smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name C[C@@H]1CCC[C@@H]1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042768453 751897025 /nfs/dbraw/zinc/89/70/25/751897025.db2.gz UIXKZNHZJRCVSH-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043373503 752200451 /nfs/dbraw/zinc/20/04/51/752200451.db2.gz AIGKIFMEZCHOPJ-SSDOTTSWSA-N 0 2 300.252 0.232 20 0 DCADLN CC(C)C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043373503 752200455 /nfs/dbraw/zinc/20/04/55/752200455.db2.gz AIGKIFMEZCHOPJ-SSDOTTSWSA-N 0 2 300.252 0.232 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H]2CC23CC3)C1)C(F)C(F)(F)F ZINC001043373545 752200468 /nfs/dbraw/zinc/20/04/68/752200468.db2.gz AXFZMXCJTHQMEW-YUMQZZPRSA-N 0 2 324.274 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H]2CC23CC3)C1)[C@H](F)C(F)(F)F ZINC001043373545 752200478 /nfs/dbraw/zinc/20/04/78/752200478.db2.gz AXFZMXCJTHQMEW-YUMQZZPRSA-N 0 2 324.274 0.376 20 0 DCADLN COCC(=O)NCC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001059765792 749566992 /nfs/dbraw/zinc/56/69/92/749566992.db2.gz CCAQVPKXIHCIPN-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NCC[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001059765792 749566995 /nfs/dbraw/zinc/56/69/95/749566995.db2.gz CCAQVPKXIHCIPN-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@H](CCNC(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001077298474 750328276 /nfs/dbraw/zinc/32/82/76/750328276.db2.gz HCVHKYJEKSMJFE-CAHLUQPWSA-N 0 2 311.239 0.330 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ncccc2F)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088940313 750738441 /nfs/dbraw/zinc/73/84/41/750738441.db2.gz NVOQLSLZGYSJKT-SCZZXKLOSA-N 0 2 320.328 0.437 20 0 DCADLN Cc1nsc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142384 750978789 /nfs/dbraw/zinc/97/87/89/750978789.db2.gz OVPWMVVFKWSDLR-NTSWFWBYSA-N 0 2 316.280 0.636 20 0 DCADLN Cc1nsc(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001106142384 750978790 /nfs/dbraw/zinc/97/87/90/750978790.db2.gz OVPWMVVFKWSDLR-NTSWFWBYSA-N 0 2 316.280 0.636 20 0 DCADLN C[C@@H](C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001107849362 752420888 /nfs/dbraw/zinc/42/08/88/752420888.db2.gz XSVRLLBADDNTFN-MEBBXXQBSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001044021033 752520963 /nfs/dbraw/zinc/52/09/63/752520963.db2.gz MIPVUOGXGALCNT-SNVBAGLBSA-N 0 2 319.365 0.858 20 0 DCADLN CCc1nc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001044040472 752528393 /nfs/dbraw/zinc/52/83/93/752528393.db2.gz NCVUZMXNVZSBLX-UHFFFAOYSA-N 0 2 320.353 0.326 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001044275190 752658394 /nfs/dbraw/zinc/65/83/94/752658394.db2.gz TVAVLOLEYYYHPV-UHFFFAOYSA-N 0 2 322.394 0.540 20 0 DCADLN CO[C@@H]1CCCC[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044355926 752703038 /nfs/dbraw/zinc/70/30/38/752703038.db2.gz IXLVSRBEDMCVCZ-NWDGAFQWSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001044898640 752921145 /nfs/dbraw/zinc/92/11/45/752921145.db2.gz OUPDLCMQKWCKIO-BIIVOSGPSA-N 0 2 312.263 0.232 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001044898640 752921148 /nfs/dbraw/zinc/92/11/48/752921148.db2.gz OUPDLCMQKWCKIO-BIIVOSGPSA-N 0 2 312.263 0.232 20 0 DCADLN CC1(NC(=O)c2n[nH]cc2F)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045546927 753236164 /nfs/dbraw/zinc/23/61/64/753236164.db2.gz AYTQQTJTPYBRKH-UHFFFAOYSA-N 0 2 323.332 0.157 20 0 DCADLN C[C@@]1(NC(=O)c2ccns2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046356159 753662319 /nfs/dbraw/zinc/66/23/19/753662319.db2.gz QHWYNCCCTOWIER-GFCCVEGCSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1nc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001046572563 753801204 /nfs/dbraw/zinc/80/12/04/753801204.db2.gz UPCNAYCSENUKCR-ZDUSSCGKSA-N 0 2 322.394 0.670 20 0 DCADLN CC1(C(=O)NC[C@]2(C)CN(Cc3n[nH]c(=O)[nH]3)CCO2)CCC1 ZINC001108129289 754390789 /nfs/dbraw/zinc/39/07/89/754390789.db2.gz VVNINZGBNZOASY-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H](Nc1[nH+]cnc2nc[nH]c21)C1CC1)c1nnc[n-]1 ZINC001096705284 755503715 /nfs/dbraw/zinc/50/37/15/755503715.db2.gz MCQPKHRQGIJOAL-QMMMGPOBSA-N 0 2 313.325 0.092 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cc(F)c[nH]1 ZINC001097558298 755679658 /nfs/dbraw/zinc/67/96/58/755679658.db2.gz ZQZKGPLBQBFPIV-KKZNHRDASA-N 0 2 320.328 0.513 20 0 DCADLN CCN(C(=O)COC)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001079215126 755750650 /nfs/dbraw/zinc/75/06/50/755750650.db2.gz SLODESBEZGNTCA-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN CCN(C(=O)COC)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001079215126 755750653 /nfs/dbraw/zinc/75/06/53/755750653.db2.gz SLODESBEZGNTCA-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CC=CCC1 ZINC001079414714 755816067 /nfs/dbraw/zinc/81/60/67/755816067.db2.gz HOTBPQOWEOBZSQ-IJLUTSLNSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CC=CCC1 ZINC001079414714 755816072 /nfs/dbraw/zinc/81/60/72/755816072.db2.gz HOTBPQOWEOBZSQ-IJLUTSLNSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCCCO1 ZINC001079561423 755900996 /nfs/dbraw/zinc/90/09/96/755900996.db2.gz GIRLYJCIIPPLPB-GRYCIOLGSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCCCO1 ZINC001079561423 755900999 /nfs/dbraw/zinc/90/09/99/755900999.db2.gz GIRLYJCIIPPLPB-GRYCIOLGSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCCCO1 ZINC001079577791 755914691 /nfs/dbraw/zinc/91/46/91/755914691.db2.gz PYOVCOXBWKSGQB-MXWKQRLJSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCCCO1 ZINC001079577791 755914695 /nfs/dbraw/zinc/91/46/95/755914695.db2.gz PYOVCOXBWKSGQB-MXWKQRLJSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3ncccn3)C2)c1[O-] ZINC001054569216 756545103 /nfs/dbraw/zinc/54/51/03/756545103.db2.gz GJOCGHNWLANZOX-MWLCHTKSSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1nonc1C[NH2+][C@@H]1CN(C(=O)c2n[nH]c(C)c2[O-])C[C@H]1C ZINC001054574293 756545957 /nfs/dbraw/zinc/54/59/57/756545957.db2.gz QKTUDANCSMFVNO-RDDDGLTNSA-N 0 2 320.353 0.365 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1CCCCC1 ZINC001081296203 756562032 /nfs/dbraw/zinc/56/20/32/756562032.db2.gz DVFSTWXLOTZGRH-VXGBXAGGSA-N 0 2 323.397 0.406 20 0 DCADLN CCCCC(=O)NC1CC[NH+](CCNC(=O)c2ncn[nH]2)CC1 ZINC001055613680 756834259 /nfs/dbraw/zinc/83/42/59/756834259.db2.gz JOEGLDRAPBSAPT-UHFFFAOYSA-N 0 2 322.413 0.305 20 0 DCADLN O=C(c1ccncc1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084153172 757698379 /nfs/dbraw/zinc/69/83/79/757698379.db2.gz VGXSMFRHAMNIIM-VXGBXAGGSA-N 0 2 314.349 0.252 20 0 DCADLN O=C(C1=COCCC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084227060 757746949 /nfs/dbraw/zinc/74/69/49/757746949.db2.gz NRDZJERJEGYAGQ-ZYHUDNBSSA-N 0 2 319.365 0.237 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1)C(F)F ZINC001065236249 758300600 /nfs/dbraw/zinc/30/06/00/758300600.db2.gz BJLRGZSQZLDJOP-PHDIDXHHSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1)C(F)F ZINC001065236249 758300610 /nfs/dbraw/zinc/30/06/10/758300610.db2.gz BJLRGZSQZLDJOP-PHDIDXHHSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(C[NH+]1CCCC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCn1cncn1 ZINC001098401717 762666075 /nfs/dbraw/zinc/66/60/75/762666075.db2.gz LNPMLJIIPQQOPO-KFWWJZLASA-N 0 2 318.425 0.095 20 0 DCADLN Cc1nscc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085554131 759034327 /nfs/dbraw/zinc/03/43/27/759034327.db2.gz KWWYYZVUHAKCBK-VIFPVBQESA-N 0 2 322.394 0.622 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001085777305 759306360 /nfs/dbraw/zinc/30/63/60/759306360.db2.gz PWSJDHRLOPWYIU-QCNOEVLYSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C[C@@H]1CC[N@@H+]1CCF)C(=O)c1c[n-]n2c1nccc2=O ZINC001085860878 759399549 /nfs/dbraw/zinc/39/95/49/759399549.db2.gz KHKJPUNGFZRJJK-JTQLQIEISA-N 0 2 307.329 0.138 20 0 DCADLN CN(C[C@@H]1CC[N@H+]1CCF)C(=O)c1c[n-]n2c1nccc2=O ZINC001085860878 759399561 /nfs/dbraw/zinc/39/95/61/759399561.db2.gz KHKJPUNGFZRJJK-JTQLQIEISA-N 0 2 307.329 0.138 20 0 DCADLN CN(C[C@H]1CC[N@@H+]1CCF)C(=O)c1c[n-]n2c1nccc2=O ZINC001085860879 759400229 /nfs/dbraw/zinc/40/02/29/759400229.db2.gz KHKJPUNGFZRJJK-SNVBAGLBSA-N 0 2 307.329 0.138 20 0 DCADLN CN(C[C@H]1CC[N@H+]1CCF)C(=O)c1c[n-]n2c1nccc2=O ZINC001085860879 759400238 /nfs/dbraw/zinc/40/02/38/759400238.db2.gz KHKJPUNGFZRJJK-SNVBAGLBSA-N 0 2 307.329 0.138 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1nccs1 ZINC001085890493 759439070 /nfs/dbraw/zinc/43/90/70/759439070.db2.gz BAICSYKSLBAOJN-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN COCCN1CCO[C@](C)(CNC(=O)COc2cccnc2)C1 ZINC001108282660 760273798 /nfs/dbraw/zinc/27/37/98/760273798.db2.gz XYVZPQKICMTBNM-MRXNPFEDSA-N 0 2 323.393 0.314 20 0 DCADLN C[C@H](O)CN1CCO[C@@](C)(CNC(=O)COc2cccnc2)C1 ZINC001108282677 760274681 /nfs/dbraw/zinc/27/46/81/760274681.db2.gz YIDDFDCKHVETPV-BBRMVZONSA-N 0 2 323.393 0.048 20 0 DCADLN Cc1cc(=O)n(-c2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2)[nH]1 ZINC001148336655 767963415 /nfs/dbraw/zinc/96/34/15/767963415.db2.gz QNJGUDNAKNFNRQ-UHFFFAOYSA-N 0 2 314.305 0.553 20 0 DCADLN C[N@@H+](CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[NH+]2CC(N)=O)CC(C)(C)C ZINC001109724306 761520333 /nfs/dbraw/zinc/52/03/33/761520333.db2.gz LRUPYDFEJVISFD-AGIUHOORSA-N 0 2 310.442 0.171 20 0 DCADLN CCCC(=O)N1C[C@H](C)O[C@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071125506 761636703 /nfs/dbraw/zinc/63/67/03/761636703.db2.gz SHXITOKCCDZNNQ-NHYWBVRUSA-N 0 2 323.397 0.502 20 0 DCADLN CCCC(=O)N1C[C@H](C)O[C@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071125506 761636708 /nfs/dbraw/zinc/63/67/08/761636708.db2.gz SHXITOKCCDZNNQ-NHYWBVRUSA-N 0 2 323.397 0.502 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cnns2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071366259 761798578 /nfs/dbraw/zinc/79/85/78/761798578.db2.gz LLZNOWHSHWDBTF-JGVFFNPUSA-N 0 2 323.382 0.145 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071410397 761827005 /nfs/dbraw/zinc/82/70/05/761827005.db2.gz AYKDLIOCMIRQAY-WCBMZHEXSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)/C=C\C2CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131942669 764142949 /nfs/dbraw/zinc/14/29/49/764142949.db2.gz FSHQMYOIPCIVMD-ZXIUILOBSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)/C=C\C2CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131942669 764142952 /nfs/dbraw/zinc/14/29/52/764142952.db2.gz FSHQMYOIPCIVMD-ZXIUILOBSA-N 0 2 305.382 0.946 20 0 DCADLN COC(=O)c1nccc(N[C@H](C)CN(C)C(=O)CC(C)C)n1 ZINC001115614162 765768691 /nfs/dbraw/zinc/76/86/91/765768691.db2.gz AYAOAZJADZMIAV-LLVKDONJSA-N 0 2 308.382 0.990 20 0 DCADLN O=C(NCC1(NCc2ncccn2)CCCCC1)c1cn[nH]n1 ZINC001115613102 765768817 /nfs/dbraw/zinc/76/88/17/765768817.db2.gz YBRJRWFMUBZETG-UHFFFAOYSA-N 0 2 315.381 0.817 20 0 DCADLN COC(=O)C[C@H]1COCC[N@@H+]1Cc1ccc(OCC(=O)[O-])cc1 ZINC001138926222 768446766 /nfs/dbraw/zinc/44/67/66/768446766.db2.gz DKUSDAWODFSBNM-ZDUSSCGKSA-N 0 2 323.345 0.914 20 0 DCADLN COC(=O)C[C@H]1COCC[N@H+]1Cc1ccc(OCC(=O)[O-])cc1 ZINC001138926222 768446771 /nfs/dbraw/zinc/44/67/71/768446771.db2.gz DKUSDAWODFSBNM-ZDUSSCGKSA-N 0 2 323.345 0.914 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1CC1 ZINC001149472227 768761814 /nfs/dbraw/zinc/76/18/14/768761814.db2.gz DXQQLTYGSWCJQJ-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(/C=C/C1CC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149528436 768775105 /nfs/dbraw/zinc/77/51/05/768775105.db2.gz IDPKUZPVZHDIOJ-ITKZLYELSA-N 0 2 321.381 0.184 20 0 DCADLN CC(=O)CCCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001230757626 768833531 /nfs/dbraw/zinc/83/35/31/768833531.db2.gz AZIHMGVEEAWNRP-NSHDSACASA-N 0 2 309.370 0.302 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231086744 769168608 /nfs/dbraw/zinc/16/86/08/769168608.db2.gz PXYOVBLPEXPFCV-ZYHUDNBSSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@@H](C)OCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233728810 769434014 /nfs/dbraw/zinc/43/40/14/769434014.db2.gz CQASGTINHUFZPV-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN Cn1cccc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095184330 769642683 /nfs/dbraw/zinc/64/26/83/769642683.db2.gz BVJFGDUHRSPJON-VWYCJHECSA-N 0 2 316.365 0.384 20 0 DCADLN Nc1c2c(=O)nccc-2[nH]n1[C@H]1CCC[C@@H](n2ncnn2)C1 ZINC001173741142 769706775 /nfs/dbraw/zinc/70/67/75/769706775.db2.gz BBBUGBPQJJSHBV-DTWKUNHWSA-N 0 2 300.326 0.601 20 0 DCADLN CCCNC(=O)[C@@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153356090 769796650 /nfs/dbraw/zinc/79/66/50/769796650.db2.gz JDYAMGXKVXSMLT-CYBMUJFWSA-N 0 2 309.414 0.284 20 0 DCADLN O=C(Cn1ccc2sccc2c1=O)NCc1n[nH]c(=O)[nH]1 ZINC001175688171 769944547 /nfs/dbraw/zinc/94/45/47/769944547.db2.gz UUBWVZSDDDFQHX-UHFFFAOYSA-N 0 2 305.319 0.203 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(-c2ccc(N3CC[NH2+]CC3)nc2)cc1 ZINC001240491891 770249031 /nfs/dbraw/zinc/24/90/31/770249031.db2.gz QNYRRTACJYLWMK-UHFFFAOYSA-N 0 2 319.301 0.961 20 0 DCADLN O=[P@]([O-])(O)c1ccc(-c2ccc(N3CC[NH2+]CC3)nc2)cc1 ZINC001240491891 770249040 /nfs/dbraw/zinc/24/90/40/770249040.db2.gz QNYRRTACJYLWMK-UHFFFAOYSA-N 0 2 319.301 0.961 20 0 DCADLN O=C([O-])CCC(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccco1 ZINC001177626209 770515076 /nfs/dbraw/zinc/51/50/76/770515076.db2.gz MYTVFIHWNITXAQ-ZDUSSCGKSA-N 0 2 308.334 0.794 20 0 DCADLN O=C([O-])CCC(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1ccco1 ZINC001177626209 770515078 /nfs/dbraw/zinc/51/50/78/770515078.db2.gz MYTVFIHWNITXAQ-ZDUSSCGKSA-N 0 2 308.334 0.794 20 0 DCADLN NC(=O)c1ccnc(Cl)c1NCCNC(=O)C(F)(F)F ZINC001156206343 770749241 /nfs/dbraw/zinc/74/92/41/770749241.db2.gz YDJZZFZLNQZFIY-UHFFFAOYSA-N 0 2 310.663 0.924 20 0 DCADLN Cc1cccn2c(C(=O)Nc3c(N)nc(=O)[nH]c3O)nnc12 ZINC001156344909 770791143 /nfs/dbraw/zinc/79/11/43/770791143.db2.gz BKSXVEHZGFNXNO-UHFFFAOYSA-N 0 2 301.266 0.073 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cc(C)cc(C=O)c2O)C1=O ZINC001156423845 770814141 /nfs/dbraw/zinc/81/41/41/770814141.db2.gz HXAZKEUYWBMAMN-OAHLLOKOSA-N 0 2 319.317 0.878 20 0 DCADLN Cc1nc([C@@H](C)[NH2+]CCCNC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001156626699 770879967 /nfs/dbraw/zinc/87/99/67/770879967.db2.gz NFYPWIANUVQTTR-MRVPVSSYSA-N 0 2 308.342 0.586 20 0 DCADLN O=C(COc1ccc(Cl)cc1F)NCc1n[nH]c(=O)[nH]1 ZINC001182491226 771504446 /nfs/dbraw/zinc/50/44/46/771504446.db2.gz ISFGAUHORIWKHF-UHFFFAOYSA-N 0 2 300.677 0.998 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)Cc2ccc(S)cc2)CCN1C ZINC001183190903 771617223 /nfs/dbraw/zinc/61/72/23/771617223.db2.gz OBNJMOMWFRIVPE-CYBMUJFWSA-N 0 2 308.403 0.833 20 0 DCADLN O=C(Nc1n[nH]c(-c2ccncc2)n1)C(CO)C(F)(F)F ZINC001183280284 771626821 /nfs/dbraw/zinc/62/68/21/771626821.db2.gz RCUVLTKBRMOQHQ-ZETCQYMHSA-N 0 2 301.228 0.976 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001160880669 772131360 /nfs/dbraw/zinc/13/13/60/772131360.db2.gz ZXFNJVSLSKHWGS-VHSXEESVSA-N 0 2 310.354 0.539 20 0 DCADLN CC/C=C(/C)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187100333 772136082 /nfs/dbraw/zinc/13/60/82/772136082.db2.gz CAPQZYZTFVSEOV-WJQOWHFOSA-N 0 2 305.382 0.899 20 0 DCADLN CC/C=C(/C)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187100333 772136085 /nfs/dbraw/zinc/13/60/85/772136085.db2.gz CAPQZYZTFVSEOV-WJQOWHFOSA-N 0 2 305.382 0.899 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)c2n[nH]nc2C(F)(F)F)n1 ZINC001187758868 772224550 /nfs/dbraw/zinc/22/45/50/772224550.db2.gz LTPQNGLWUVHPIO-UHFFFAOYSA-N 0 2 318.215 0.976 20 0 DCADLN O=C1[N-]S(=O)(=O)c2cc(NCCCn3cc[nH+]c3)ccc21 ZINC001161688313 772266274 /nfs/dbraw/zinc/26/62/74/772266274.db2.gz OQIGPXNOHXAUKC-UHFFFAOYSA-N 0 2 306.347 0.817 20 0 DCADLN O=C(Nc1cnn(CC[NH+]2CCOCC2)c1)c1ccncc1[O-] ZINC001188628818 772336659 /nfs/dbraw/zinc/33/66/59/772336659.db2.gz NYOGIPNEEYGXGW-UHFFFAOYSA-N 0 2 317.349 0.568 20 0 DCADLN CC(C)(C)C(=O)Nc1ncccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001189215583 772445889 /nfs/dbraw/zinc/44/58/89/772445889.db2.gz GWQUNKDDJZQJQJ-UHFFFAOYSA-N 0 2 318.337 0.820 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1cc(F)ccc1F)=C(C)O ZINC001189708280 772520819 /nfs/dbraw/zinc/52/08/19/772520819.db2.gz IQTILSQLQQELMB-LLVKDONJSA-N 0 2 321.301 0.515 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1c(O)ccc(F)c1F ZINC001192786252 772958638 /nfs/dbraw/zinc/95/86/38/772958638.db2.gz MOIDYQOTZFMIRV-UHFFFAOYSA-N 0 2 323.215 0.952 20 0 DCADLN O=C(N[C@@H]1CN(Cc2cccnc2)C[C@H]1O)C(F)C(F)(F)F ZINC001193130256 773011621 /nfs/dbraw/zinc/01/16/21/773011621.db2.gz ILJOMBRZJVVQMT-MXWKQRLJSA-N 0 2 321.274 0.643 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=Cc1ccco1 ZINC001205785114 773020819 /nfs/dbraw/zinc/02/08/19/773020819.db2.gz OWBWWHLRENQJFI-DJVCXFJCSA-N 0 2 317.349 0.753 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=Cc1ccco1 ZINC001205785114 773020821 /nfs/dbraw/zinc/02/08/21/773020821.db2.gz OWBWWHLRENQJFI-DJVCXFJCSA-N 0 2 317.349 0.753 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CC[NH+](CCOc2ccccc2)CC1 ZINC001193466866 773067387 /nfs/dbraw/zinc/06/73/87/773067387.db2.gz HVRJLBGMAHHDDX-UHFFFAOYSA-N 0 2 316.361 0.952 20 0 DCADLN Cc1ncc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)[C@H](C(C)C)[NH+](C)C)C2)o1 ZINC001193960714 773132463 /nfs/dbraw/zinc/13/24/63/773132463.db2.gz BPCBAGBWNUYLMW-KFWWJZLASA-N 0 2 324.425 0.231 20 0 DCADLN CCO[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001206983664 773156880 /nfs/dbraw/zinc/15/68/80/773156880.db2.gz VVZLIPNROVHVGJ-IRUJWGPZSA-N 0 2 323.397 0.262 20 0 DCADLN CCO[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001206983664 773156881 /nfs/dbraw/zinc/15/68/81/773156881.db2.gz VVZLIPNROVHVGJ-IRUJWGPZSA-N 0 2 323.397 0.262 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cnc3c(c2)COCC3)[nH]n1 ZINC001194278604 773165955 /nfs/dbraw/zinc/16/59/55/773165955.db2.gz FINAQTHPMIYTJZ-UHFFFAOYSA-N 0 2 302.290 0.916 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cnc3c(c2)COCC3)n[nH]1 ZINC001194278604 773165958 /nfs/dbraw/zinc/16/59/58/773165958.db2.gz FINAQTHPMIYTJZ-UHFFFAOYSA-N 0 2 302.290 0.916 20 0 DCADLN Cn1cnc(NS(=O)(=O)C2CCC(=O)CC2)c2ncnc1-2 ZINC001194750091 773244569 /nfs/dbraw/zinc/24/45/69/773244569.db2.gz WKBOEAMBYWGJCX-UHFFFAOYSA-N 0 2 309.351 0.568 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc(C(=O)NC)cc1 ZINC001195931074 773504813 /nfs/dbraw/zinc/50/48/13/773504813.db2.gz YEZYFMFEACQXTM-UHFFFAOYSA-N 0 2 300.336 0.351 20 0 DCADLN COc1nc(C(F)(F)F)c(C(=O)NCc2n[nH]c(=O)[nH]2)s1 ZINC001196845149 773654991 /nfs/dbraw/zinc/65/49/91/773654991.db2.gz WKQFGQBAPALIRC-UHFFFAOYSA-N 0 2 323.256 0.924 20 0 DCADLN COC(=O)C1(NS(=O)(=O)Cc2cccc(OC)c2)COC1 ZINC001197397371 773738066 /nfs/dbraw/zinc/73/80/66/773738066.db2.gz WUEHFUXSUJPKKW-UHFFFAOYSA-N 0 2 315.347 0.057 20 0 DCADLN O=C(Nc1ncnc2[nH]nnc21)c1cnc2ccccn2c1=O ZINC001198519214 773939745 /nfs/dbraw/zinc/93/97/45/773939745.db2.gz ABRXHJFPKRDGLT-UHFFFAOYSA-N 0 2 308.261 0.008 20 0 DCADLN Cc1nccn1CC(=O)N[C@@H]1C[N@H+](CCOCC(C)C)C[C@H]1O ZINC001198673262 773962967 /nfs/dbraw/zinc/96/29/67/773962967.db2.gz YXWRNDRVJNAYRF-HUUCEWRRSA-N 0 2 324.425 0.025 20 0 DCADLN C[Si](C)(C)c1ccnc(C(=O)NCc2n[nH]c(=O)[nH]2)c1F ZINC001199739016 774186865 /nfs/dbraw/zinc/18/68/65/774186865.db2.gz WEANUFATMXNGGX-UHFFFAOYSA-N 0 2 309.377 0.520 20 0 DCADLN Cc1ccnc(NCCN(CCO)C(=O)C(F)C(F)(F)F)n1 ZINC001111579749 775670010 /nfs/dbraw/zinc/67/00/10/775670010.db2.gz OZJPRPWNLJKZHF-SECBINFHSA-N 0 2 324.278 0.918 20 0 DCADLN Cc1ccnc(NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F)n1 ZINC001111579749 775670017 /nfs/dbraw/zinc/67/00/17/775670017.db2.gz OZJPRPWNLJKZHF-SECBINFHSA-N 0 2 324.278 0.918 20 0 DCADLN CSCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001111580497 775700578 /nfs/dbraw/zinc/70/05/78/775700578.db2.gz ZQYISJBGXQJYOP-ZANVPECISA-N 0 2 311.411 0.344 20 0 DCADLN CSCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001111580497 775700598 /nfs/dbraw/zinc/70/05/98/775700598.db2.gz ZQYISJBGXQJYOP-ZANVPECISA-N 0 2 311.411 0.344 20 0 DCADLN C[C@H](Oc1[nH]c(=O)nc2c(=O)[nH]c(=O)[nH]c21)c1cncnc1 ZINC001226885804 775890675 /nfs/dbraw/zinc/89/06/75/775890675.db2.gz IMZBJYFDUKRXKM-YFKPBYRVSA-N 0 2 302.250 0.467 20 0 DCADLN CSCC(=O)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041918688 777583719 /nfs/dbraw/zinc/58/37/19/777583719.db2.gz BUHCFTDRZIAFSV-ZJUUUORDSA-N 0 2 311.411 0.296 20 0 DCADLN CSCC(=O)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041918688 777583730 /nfs/dbraw/zinc/58/37/30/777583730.db2.gz BUHCFTDRZIAFSV-ZJUUUORDSA-N 0 2 311.411 0.296 20 0 DCADLN O=C([O-])[C@@H]1CCCC[C@@H]1S(=O)(=O)NCCn1cc[nH+]c1 ZINC001601810074 1168902908 /nfs/dbraw/zinc/90/29/08/1168902908.db2.gz KHADXLRAYDTURT-MNOVXSKESA-N 0 2 301.368 0.446 20 0 DCADLN O=C([O-])c1cncc(S(=O)(=O)NCCCn2cc[nH+]c2)c1 ZINC001602542974 1169112565 /nfs/dbraw/zinc/11/25/65/1169112565.db2.gz VABKNNCDQGPRTK-UHFFFAOYSA-N 0 2 310.335 0.345 20 0 DCADLN C[N@H+](CCNC(=O)[C@]12CCO[C@@H]1CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001480889592 939233484 /nfs/dbraw/zinc/23/34/84/939233484.db2.gz LHPPUNCJNIGJQA-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN C[N@@H+](CCNC(=O)[C@]12CCO[C@@H]1CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001480889592 939233488 /nfs/dbraw/zinc/23/34/88/939233488.db2.gz LHPPUNCJNIGJQA-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN CCc1nnc([C@H](C)N(CCNC(=O)c2cnn[nH]2)C2CC2)[nH]1 ZINC001481178450 939597555 /nfs/dbraw/zinc/59/75/55/939597555.db2.gz VNBVRGCBEYLOMY-VIFPVBQESA-N 0 2 318.385 0.441 20 0 DCADLN CCCC[C@H](C(N)=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001481561156 939938388 /nfs/dbraw/zinc/93/83/88/939938388.db2.gz INFLXGMSLKDZOI-BDAKNGLRSA-N 0 2 313.295 0.731 20 0 DCADLN CCCC[C@H](C(N)=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001481561156 939938390 /nfs/dbraw/zinc/93/83/90/939938390.db2.gz INFLXGMSLKDZOI-BDAKNGLRSA-N 0 2 313.295 0.731 20 0 DCADLN O=C(CN1CC(NC(=O)C(F)C(F)(F)F)C1)NCC1CC1 ZINC001481561092 939938406 /nfs/dbraw/zinc/93/84/06/939938406.db2.gz GSPLNPSYPBNWLC-JTQLQIEISA-N 0 2 311.279 0.213 20 0 DCADLN O=C(CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1)NCC1CC1 ZINC001481561092 939938418 /nfs/dbraw/zinc/93/84/18/939938418.db2.gz GSPLNPSYPBNWLC-JTQLQIEISA-N 0 2 311.279 0.213 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC/C=C/C[NH2+]Cc1cncn1C ZINC001268616935 940302056 /nfs/dbraw/zinc/30/20/56/940302056.db2.gz FNPGNUXMMMURNQ-ONEGZZNKSA-N 0 2 302.382 0.456 20 0 DCADLN CCCOCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001269654649 940750275 /nfs/dbraw/zinc/75/02/75/940750275.db2.gz ACYSPYWBNLBSBS-TXEJJXNPSA-N 0 2 323.397 0.502 20 0 DCADLN NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1csc3c1[nH]cnc3=O)C2 ZINC001270703173 940968902 /nfs/dbraw/zinc/96/89/02/940968902.db2.gz GNAKSGPPPMGHIO-CAAJLBCPSA-N 0 2 304.331 0.344 20 0 DCADLN CCc1nc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001482591917 941543792 /nfs/dbraw/zinc/54/37/92/941543792.db2.gz QUZLRPPRBQGJKG-UHFFFAOYSA-N 0 2 308.342 0.265 20 0 DCADLN CCc1nc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001482591917 941543795 /nfs/dbraw/zinc/54/37/95/941543795.db2.gz QUZLRPPRBQGJKG-UHFFFAOYSA-N 0 2 308.342 0.265 20 0 DCADLN Cc1nnc(C[NH2+][C@H](C)[C@H](C)NC(=O)c2nc[nH]n2)n1C1CC1 ZINC001409358612 941962942 /nfs/dbraw/zinc/96/29/42/941962942.db2.gz HGEYBIBSWNUKEI-BDAKNGLRSA-N 0 2 318.385 0.336 20 0 DCADLN CN1CC2(CN(C(=O)c3ccc(F)c(F)c3O)C2)OCC1=O ZINC001272262551 941966640 /nfs/dbraw/zinc/96/66/40/941966640.db2.gz AMSHGLWJVREUDD-UHFFFAOYSA-N 0 2 312.272 0.354 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[N@H+](C)[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001409616395 942094140 /nfs/dbraw/zinc/09/41/40/942094140.db2.gz DVCXUFXOJCHBTR-QWRGUYRKSA-N 0 2 324.429 0.548 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[N@@H+](C)[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001409616395 942094147 /nfs/dbraw/zinc/09/41/47/942094147.db2.gz DVCXUFXOJCHBTR-QWRGUYRKSA-N 0 2 324.429 0.548 20 0 DCADLN CCC(=O)NC[C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001409659660 942125519 /nfs/dbraw/zinc/12/55/19/942125519.db2.gz SJIXXPSXTDMOFL-JTQLQIEISA-N 0 2 318.381 0.702 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1Cc2ccccc21 ZINC001483379050 942314411 /nfs/dbraw/zinc/31/44/11/942314411.db2.gz QLQQTZKFVRFVMR-MFKMUULPSA-N 0 2 315.377 0.787 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1Cc2ccccc21 ZINC001483379050 942314415 /nfs/dbraw/zinc/31/44/15/942314415.db2.gz QLQQTZKFVRFVMR-MFKMUULPSA-N 0 2 315.377 0.787 20 0 DCADLN COCC(=O)N(C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001414605137 942559203 /nfs/dbraw/zinc/55/92/03/942559203.db2.gz DFEHOYQUXITCJW-IONNQARKSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N(C)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001414605137 942559207 /nfs/dbraw/zinc/55/92/07/942559207.db2.gz DFEHOYQUXITCJW-IONNQARKSA-N 0 2 300.252 0.593 20 0 DCADLN Cc1nonc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001483651162 942626002 /nfs/dbraw/zinc/62/60/02/942626002.db2.gz MJTUBRGSNWGSSV-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nonc1CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001483651162 942626006 /nfs/dbraw/zinc/62/60/06/942626006.db2.gz MJTUBRGSNWGSSV-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N[C@@H](Cn1cc[nH+]c1)C(C)(C)C ZINC001413564437 943079233 /nfs/dbraw/zinc/07/92/33/943079233.db2.gz DWHRKXVGTWDYNL-JTQLQIEISA-N 0 2 306.374 0.548 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001484058002 943119875 /nfs/dbraw/zinc/11/98/75/943119875.db2.gz NMMHRSHFQXZMIX-BONVTDFDSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001484058002 943119882 /nfs/dbraw/zinc/11/98/82/943119882.db2.gz NMMHRSHFQXZMIX-BONVTDFDSA-N 0 2 319.365 0.988 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@]1(C)CC[N@@H+]([C@@H]2CCCNC2=O)C1 ZINC001484071959 943134475 /nfs/dbraw/zinc/13/44/75/943134475.db2.gz PXBWTOQLIJHKIO-CZUORRHYSA-N 0 2 319.409 0.051 20 0 DCADLN CCC(=O)N1CCC[C@@](CO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001055978257 943156664 /nfs/dbraw/zinc/15/66/64/943156664.db2.gz WYBMGQAKZCTXEG-GXSJLCMTSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N1CCC[C@@](CO)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001055978257 943156668 /nfs/dbraw/zinc/15/66/68/943156668.db2.gz WYBMGQAKZCTXEG-GXSJLCMTSA-N 0 2 314.279 0.767 20 0 DCADLN Cc1noc(C)c1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485273785 943792408 /nfs/dbraw/zinc/79/24/08/943792408.db2.gz DXKFBPBYQMMQDB-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1noc(C)c1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485273785 943792417 /nfs/dbraw/zinc/79/24/17/943792417.db2.gz DXKFBPBYQMMQDB-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1cc(C[N@@H+](C)[C@@H](C)CNC(=O)CCc2cn[nH]n2)ncn1 ZINC001485351541 943865532 /nfs/dbraw/zinc/86/55/32/943865532.db2.gz FUGSZAKVUPZKSR-LBPRGKRZSA-N 0 2 317.397 0.472 20 0 DCADLN C[C@@H](CCC[NH2+]Cc1nccn1C)NC(=O)[C@@H]1C[N@@H+](C)CCO1 ZINC001485715836 944094986 /nfs/dbraw/zinc/09/49/86/944094986.db2.gz HQBQFEOZWOXJOF-KBPBESRZSA-N 0 2 323.441 0.125 20 0 DCADLN CCn1ncc(CN(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001442411560 944111789 /nfs/dbraw/zinc/11/17/89/944111789.db2.gz IPUCQKZKHLIZEW-VIFPVBQESA-N 0 2 311.283 0.746 20 0 DCADLN CCn1ncc(CN(C)CCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001442411560 944111793 /nfs/dbraw/zinc/11/17/93/944111793.db2.gz IPUCQKZKHLIZEW-VIFPVBQESA-N 0 2 311.283 0.746 20 0 DCADLN CN1C(=O)COCC12C[NH+](Cc1ccc(OCC(=O)[O-])cc1)C2 ZINC001272907086 944314871 /nfs/dbraw/zinc/31/48/71/944314871.db2.gz AWCTZOOQWFGVHD-UHFFFAOYSA-N 0 2 320.345 0.193 20 0 DCADLN CC(C)=CC[N@@H+](C)C[C@H](O)CN(C)C(=O)Cc1c[nH+]cn1C ZINC001486356446 944814858 /nfs/dbraw/zinc/81/48/58/944814858.db2.gz PLVNKOJGTSKBBP-HNNXBMFYSA-N 0 2 308.426 0.680 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnn(C)c2C(N)=O)cc1 ZINC001251883845 945807457 /nfs/dbraw/zinc/80/74/57/945807457.db2.gz OPKQTEZTJRTJHS-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN CO[C@@H](C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)C1CC1 ZINC001431238550 945907152 /nfs/dbraw/zinc/90/71/52/945907152.db2.gz QVSCQSXTLJUONC-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@@H](C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001431238550 945907165 /nfs/dbraw/zinc/90/71/65/945907165.db2.gz QVSCQSXTLJUONC-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H](CNC(=O)Cc1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001410217826 946047686 /nfs/dbraw/zinc/04/76/86/946047686.db2.gz HHHCITHJSSEEBE-XCBNKYQSSA-N 0 2 322.262 0.540 20 0 DCADLN C[C@H](CNC(=O)Cc1cncnc1)NC(=O)[C@H](F)C(F)(F)F ZINC001410217826 946047699 /nfs/dbraw/zinc/04/76/99/946047699.db2.gz HHHCITHJSSEEBE-XCBNKYQSSA-N 0 2 322.262 0.540 20 0 DCADLN CCS(=O)(=O)Nc1ncc(Br)nc1C(=O)OC ZINC001253272800 946102459 /nfs/dbraw/zinc/10/24/59/946102459.db2.gz ZHMMUSVOQMBZRV-UHFFFAOYSA-N 0 2 324.156 0.787 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001410319630 946182333 /nfs/dbraw/zinc/18/23/33/946182333.db2.gz KMDKJRMGECWQRB-XPUUQOCRSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)[nH]n1 ZINC001410319630 946182342 /nfs/dbraw/zinc/18/23/42/946182342.db2.gz KMDKJRMGECWQRB-XPUUQOCRSA-N 0 2 310.251 0.853 20 0 DCADLN COc1cc(C)ccc1S(=O)(=O)Nc1cnn(C)c1C(N)=O ZINC001259197455 946927845 /nfs/dbraw/zinc/92/78/45/946927845.db2.gz YTBNZOCPQXJSGX-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC001323196791 946970291 /nfs/dbraw/zinc/97/02/91/946970291.db2.gz JKTUSSSCCWSQNZ-AWEZNQCLSA-N 0 2 305.378 0.993 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC001323196791 946970295 /nfs/dbraw/zinc/97/02/95/946970295.db2.gz JKTUSSSCCWSQNZ-AWEZNQCLSA-N 0 2 305.378 0.993 20 0 DCADLN Cc1ccc(F)c(S(=O)(=O)Nc2cnc(C(N)=O)nc2)c1 ZINC001259631974 946975412 /nfs/dbraw/zinc/97/54/12/946975412.db2.gz JKKMKMFVIBRCND-UHFFFAOYSA-N 0 2 310.310 0.824 20 0 DCADLN CCOC(=O)c1ncc(NS(=O)(=O)c2cnn(C)c2)cn1 ZINC001259821618 946989167 /nfs/dbraw/zinc/98/91/67/946989167.db2.gz JEJFUXYZCPDHPK-UHFFFAOYSA-N 0 2 311.323 0.188 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc3c(c2)NC(=O)C3=O)cn1 ZINC001259839008 946997064 /nfs/dbraw/zinc/99/70/64/946997064.db2.gz VOLCIWUPEFWTOB-UHFFFAOYSA-N 0 2 320.330 0.839 20 0 DCADLN CC(C)CS(=O)(=O)Nc1cc(N2CCN(C)CC2)ncn1 ZINC001259878390 947007760 /nfs/dbraw/zinc/00/77/60/947007760.db2.gz INMXWAQSTLLFAR-UHFFFAOYSA-N 0 2 313.427 0.626 20 0 DCADLN COCCn1nccc1NS(=O)(=O)c1c(C)nn(C)c1C ZINC001260106862 947068017 /nfs/dbraw/zinc/06/80/17/947068017.db2.gz OMKCDUVQKUALHE-UHFFFAOYSA-N 0 2 313.383 0.681 20 0 DCADLN C[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(O)c(C(=O)[O-])c1 ZINC001260397005 947098414 /nfs/dbraw/zinc/09/84/14/947098414.db2.gz FQJCGSLZMJUMDL-IUCAKERBSA-N 0 2 312.347 0.167 20 0 DCADLN C[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(O)c(C(=O)[O-])c1 ZINC001260397005 947098421 /nfs/dbraw/zinc/09/84/21/947098421.db2.gz FQJCGSLZMJUMDL-IUCAKERBSA-N 0 2 312.347 0.167 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1ncnn1C ZINC001261658070 947389998 /nfs/dbraw/zinc/38/99/98/947389998.db2.gz UYTUKYVCLRBXQI-UHFFFAOYSA-N 0 2 304.335 0.798 20 0 DCADLN CCCCCC(=O)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325070495 947635851 /nfs/dbraw/zinc/63/58/51/947635851.db2.gz YXDYCQOJYHOGOS-CQSZACIVSA-N 0 2 311.386 0.144 20 0 DCADLN CCCCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325070495 947635858 /nfs/dbraw/zinc/63/58/58/947635858.db2.gz YXDYCQOJYHOGOS-CQSZACIVSA-N 0 2 311.386 0.144 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1nc2c(s1)CCCC2 ZINC001326719677 948258597 /nfs/dbraw/zinc/25/85/97/948258597.db2.gz FEEFLMYZRXFYDC-UHFFFAOYSA-N 0 2 322.350 0.101 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001326762352 948270715 /nfs/dbraw/zinc/27/07/15/948270715.db2.gz OGLSKOYJCOHNCO-GFCCVEGCSA-N 0 2 323.397 0.452 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001487972619 948392914 /nfs/dbraw/zinc/39/29/14/948392914.db2.gz XAJNXWOMHNUIPR-HGMQHHKOSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001487972619 948392920 /nfs/dbraw/zinc/39/29/20/948392920.db2.gz XAJNXWOMHNUIPR-HGMQHHKOSA-N 0 2 300.252 0.446 20 0 DCADLN CC[C@@H]([NH2+]CC(=O)NCC(=O)[O-])c1nnc2n1CCCCC2 ZINC001589567992 948884784 /nfs/dbraw/zinc/88/47/84/948884784.db2.gz IEVFCJRYMCWVFT-SNVBAGLBSA-N 0 2 309.370 0.246 20 0 DCADLN Cc1n[nH]cc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364474620 949382030 /nfs/dbraw/zinc/38/20/30/949382030.db2.gz NNCRADVSKVNZFY-SECBINFHSA-N 0 2 305.342 0.631 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC001602806259 971588224 /nfs/dbraw/zinc/58/82/24/971588224.db2.gz FNIWJQJKFOPNRO-VIFPVBQESA-N 0 2 305.334 0.189 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC001602806259 971588232 /nfs/dbraw/zinc/58/82/32/971588232.db2.gz FNIWJQJKFOPNRO-VIFPVBQESA-N 0 2 305.334 0.189 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2(C(F)F)CCC2)[nH]1 ZINC001364998541 950327244 /nfs/dbraw/zinc/32/72/44/950327244.db2.gz VRGFHCKZDYQFSO-UHFFFAOYSA-N 0 2 322.337 0.401 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001364998202 950329549 /nfs/dbraw/zinc/32/95/49/950329549.db2.gz QNTOQWBIBHTMME-RKDXNWHRSA-N 0 2 300.384 0.402 20 0 DCADLN CCOC(=O)[C@@H]1CN(c2[nH+]cccc2C(=O)[O-])CC12COC2 ZINC001590346158 950908019 /nfs/dbraw/zinc/90/80/19/950908019.db2.gz IYNCVPOUFIWSOR-NSHDSACASA-N 0 2 306.318 0.796 20 0 DCADLN CC(C)C[C@@H](C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365359832 951020847 /nfs/dbraw/zinc/02/08/47/951020847.db2.gz QRFWWGMNRPCXSO-SECBINFHSA-N 0 2 317.415 0.583 20 0 DCADLN CCOC(=O)c1ncc(C[NH2+][C@]2(C(=O)[O-])CCOC2)s1 ZINC001591280188 951127944 /nfs/dbraw/zinc/12/79/44/951127944.db2.gz PNCRFLLQCZTDAP-GFCCVEGCSA-N 0 2 300.336 0.653 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)NCCc1cccnc1C ZINC001365526132 951221355 /nfs/dbraw/zinc/22/13/55/951221355.db2.gz IIKVAWPQEQDRCV-UHFFFAOYSA-N 0 2 303.326 0.232 20 0 DCADLN O=C([O-])CC[C@H]1CCCN(S(=O)(=O)CCn2cc[nH+]c2)C1 ZINC001595016698 951290099 /nfs/dbraw/zinc/29/00/99/951290099.db2.gz CYAIKXLLZIXXHZ-GFCCVEGCSA-N 0 2 315.395 0.790 20 0 DCADLN O=C([O-])CCCC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC001595025782 951351628 /nfs/dbraw/zinc/35/16/28/951351628.db2.gz MDEGQUPSNWYPAV-CQSZACIVSA-N 0 2 316.423 0.565 20 0 DCADLN Cn1ccnc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1=O ZINC001365776005 951526031 /nfs/dbraw/zinc/52/60/31/951526031.db2.gz RJUMLXFGYLVYBP-QMMMGPOBSA-N 0 2 319.325 0.016 20 0 DCADLN O=C(CCc1nc[nH]n1)NC[C@H]1C[C@H]([NH2+]Cc2cscn2)C1 ZINC001365834919 951600450 /nfs/dbraw/zinc/60/04/50/951600450.db2.gz HWKVUZRVVQQLBS-XYPYZODXSA-N 0 2 320.422 0.878 20 0 DCADLN O=C([O-])CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC001595102156 951856162 /nfs/dbraw/zinc/85/61/62/951856162.db2.gz XMEYURHJYIQHOQ-LBPRGKRZSA-N 0 2 322.365 0.218 20 0 DCADLN O=C([O-])CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC001595102156 951856168 /nfs/dbraw/zinc/85/61/68/951856168.db2.gz XMEYURHJYIQHOQ-LBPRGKRZSA-N 0 2 322.365 0.218 20 0 DCADLN O=C([O-])COCCNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC001595112785 951917271 /nfs/dbraw/zinc/91/72/71/951917271.db2.gz JGTXJGNTAMCVKH-NSHDSACASA-N 0 2 310.354 0.341 20 0 DCADLN O=C([O-])COCCNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC001595112785 951917286 /nfs/dbraw/zinc/91/72/86/951917286.db2.gz JGTXJGNTAMCVKH-NSHDSACASA-N 0 2 310.354 0.341 20 0 DCADLN Cc1[nH]ncc1CCCNC(=O)CCC[C@@]1(C)NC(=O)NC1=O ZINC001334357563 952295245 /nfs/dbraw/zinc/29/52/45/952295245.db2.gz FXWJLHQLQIDHQJ-OAHLLOKOSA-N 0 2 321.381 0.535 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@H](c3ccc(O)cc3)C2=O)[nH]1 ZINC001335246312 952499818 /nfs/dbraw/zinc/49/98/18/952499818.db2.gz RKNVJKDQGJLDCK-LBPRGKRZSA-N 0 2 317.305 0.450 20 0 DCADLN CC1(C)CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC[S@@]1=O ZINC001412128744 952656514 /nfs/dbraw/zinc/65/65/14/952656514.db2.gz UOSHUAMLJIHJIL-SQFXPLBJSA-N 0 2 317.436 0.302 20 0 DCADLN CC1(C)CCC[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)O1 ZINC001412330224 952752041 /nfs/dbraw/zinc/75/20/41/952752041.db2.gz XMEICJVJKQSVTJ-JTQLQIEISA-N 0 2 319.365 0.535 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[C@@]1(O)C(=O)Nc2ccccc21 ZINC001412521187 952865220 /nfs/dbraw/zinc/86/52/20/952865220.db2.gz JZBXIUKEUVCSTB-INIZCTEOSA-N 0 2 314.345 0.607 20 0 DCADLN CCOCC(=O)N[C@H](C)CN(C)C(=O)C(F)C(F)(F)F ZINC001412564325 952900945 /nfs/dbraw/zinc/90/09/45/952900945.db2.gz QYZVSTVMBUOGDC-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N[C@H](C)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001412564325 952900948 /nfs/dbraw/zinc/90/09/48/952900948.db2.gz QYZVSTVMBUOGDC-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001412671682 952981023 /nfs/dbraw/zinc/98/10/23/952981023.db2.gz SAZLOJCBXWBTML-FSPLSTOPSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnon1)NC(=O)[C@H](F)C(F)(F)F ZINC001412671682 952981025 /nfs/dbraw/zinc/98/10/25/952981025.db2.gz SAZLOJCBXWBTML-FSPLSTOPSA-N 0 2 312.223 0.547 20 0 DCADLN Cn1cnnc1N1CC[NH+](Cc2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC001339183215 953078960 /nfs/dbraw/zinc/07/89/60/953078960.db2.gz OYSLWMZGPGPNBB-SNAWJCMRSA-N 0 2 317.349 0.828 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(C)Cc3cnn(C)c3)n2C)c1=O ZINC001339271747 953085045 /nfs/dbraw/zinc/08/50/45/953085045.db2.gz HPLNPJCVZWVVID-UHFFFAOYSA-N 0 2 316.369 0.774 20 0 DCADLN O=C([O-])c1ccnc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001594758271 953483890 /nfs/dbraw/zinc/48/38/90/953483890.db2.gz OVPOCRQXSAVAPL-TXEJJXNPSA-N 0 2 320.349 0.765 20 0 DCADLN O=C([O-])c1ccnc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001594758271 953483901 /nfs/dbraw/zinc/48/39/01/953483901.db2.gz OVPOCRQXSAVAPL-TXEJJXNPSA-N 0 2 320.349 0.765 20 0 DCADLN CCc1nn(C[N@@H+]2CC[C@@](COC)(C(=O)[O-])C2)c(=O)n1CC ZINC001593654456 953738851 /nfs/dbraw/zinc/73/88/51/953738851.db2.gz INRPAYYTMMAULP-CQSZACIVSA-N 0 2 312.370 0.008 20 0 DCADLN CCc1nn(C[N@H+]2CC[C@@](COC)(C(=O)[O-])C2)c(=O)n1CC ZINC001593654456 953738857 /nfs/dbraw/zinc/73/88/57/953738857.db2.gz INRPAYYTMMAULP-CQSZACIVSA-N 0 2 312.370 0.008 20 0 DCADLN CCO[C@@H](CC)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001282936964 953791642 /nfs/dbraw/zinc/79/16/42/953791642.db2.gz NYRZWKZLDSTSGK-JGVFFNPUSA-N 0 2 302.268 0.934 20 0 DCADLN CCO[C@@H](CC)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001282936964 953791653 /nfs/dbraw/zinc/79/16/53/953791653.db2.gz NYRZWKZLDSTSGK-JGVFFNPUSA-N 0 2 302.268 0.934 20 0 DCADLN O=C([O-])[C@@H]1CCCN1C(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001594814550 953845603 /nfs/dbraw/zinc/84/56/03/953845603.db2.gz LILIXNKFZLMYNH-JTQLQIEISA-N 0 2 302.290 0.348 20 0 DCADLN C[C@@H](CNC(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001346520044 953908862 /nfs/dbraw/zinc/90/88/62/953908862.db2.gz ZTHGGQMRWKQFBY-GWCFXTLKSA-N 0 2 319.361 0.126 20 0 DCADLN C[C@@H](CNC(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001346520044 953908871 /nfs/dbraw/zinc/90/88/71/953908871.db2.gz ZTHGGQMRWKQFBY-GWCFXTLKSA-N 0 2 319.361 0.126 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](C[C@@H](O)c2cc3ccccc3o2)CC1 ZINC001594828175 953954029 /nfs/dbraw/zinc/95/40/29/953954029.db2.gz DUPJFVRUYYUWMZ-GFCCVEGCSA-N 0 2 318.329 0.695 20 0 DCADLN O=C([O-])[C@@H](OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C1CC1 ZINC001594843721 954108474 /nfs/dbraw/zinc/10/84/74/954108474.db2.gz OCICUSIZLCBVJC-AGIUHOORSA-N 0 2 313.350 0.273 20 0 DCADLN C[C@@H]1CCN(c2nnc([C@@H]3C[C@@H](O)C[N@@H+]3C)n2C)C[C@@H]1C(=O)[O-] ZINC001589363796 954173065 /nfs/dbraw/zinc/17/30/65/954173065.db2.gz ZALZAGAYUKFHAF-WYUUTHIRSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1CCN(c2nnc([C@@H]3C[C@@H](O)C[N@H+]3C)n2C)C[C@@H]1C(=O)[O-] ZINC001589363796 954173069 /nfs/dbraw/zinc/17/30/69/954173069.db2.gz ZALZAGAYUKFHAF-WYUUTHIRSA-N 0 2 323.397 0.100 20 0 DCADLN CCOC(=O)C(C)(C)ONC(=O)C1(COC)CCOCC1 ZINC001347897792 954176506 /nfs/dbraw/zinc/17/65/06/954176506.db2.gz RDCBIVKSZUBWAK-UHFFFAOYSA-N 0 2 303.355 0.819 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CC[C@H](C(=O)[O-])[C@@H](C)C2)CCO1 ZINC001589399489 954403856 /nfs/dbraw/zinc/40/38/56/954403856.db2.gz GERJPQIVNUUPCC-XQQFMLRXSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CC[C@H](C(=O)[O-])[C@@H](C)C2)CCO1 ZINC001589399489 954403862 /nfs/dbraw/zinc/40/38/62/954403862.db2.gz GERJPQIVNUUPCC-XQQFMLRXSA-N 0 2 313.398 0.459 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCC[NH+]1C)Cc1cc2n(n1)CCC2 ZINC001366603445 954494961 /nfs/dbraw/zinc/49/49/61/954494961.db2.gz QOTSKILRIPBJHZ-MRXNPFEDSA-N 0 2 319.453 0.862 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C)c(C)o1)Cc1n[nH]c(=O)[n-]1 ZINC001366611924 954512039 /nfs/dbraw/zinc/51/20/39/954512039.db2.gz KLBDIQOOXBLZKS-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C)c(C)o1)Cc1n[nH]c(=O)[n-]1 ZINC001366611924 954512049 /nfs/dbraw/zinc/51/20/49/954512049.db2.gz KLBDIQOOXBLZKS-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN CN1CC[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)Cc2cccnc21 ZINC001593785381 954696959 /nfs/dbraw/zinc/69/69/59/954696959.db2.gz SGEMUGQFXFJQQE-GFCCVEGCSA-N 0 2 304.350 0.019 20 0 DCADLN CN1CC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)Cc2cccnc21 ZINC001593785381 954696964 /nfs/dbraw/zinc/69/69/64/954696964.db2.gz SGEMUGQFXFJQQE-GFCCVEGCSA-N 0 2 304.350 0.019 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1(C(=O)[O-])CCCCC1 ZINC001593794629 954796787 /nfs/dbraw/zinc/79/67/87/954796787.db2.gz QXCDGRUYOJDXCG-NSHDSACASA-N 0 2 322.365 0.218 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1(C(=O)[O-])CCCCC1 ZINC001593794629 954796799 /nfs/dbraw/zinc/79/67/99/954796799.db2.gz QXCDGRUYOJDXCG-NSHDSACASA-N 0 2 322.365 0.218 20 0 DCADLN CNC(=O)c1cccc(C[N@@H+]2CCO[C@](COC)(C(=O)[O-])C2)c1 ZINC001593798735 954839376 /nfs/dbraw/zinc/83/93/76/954839376.db2.gz SJJVTOOSHLQNFF-MRXNPFEDSA-N 0 2 322.361 0.348 20 0 DCADLN CNC(=O)c1cccc(C[N@H+]2CCO[C@](COC)(C(=O)[O-])C2)c1 ZINC001593798735 954839386 /nfs/dbraw/zinc/83/93/86/954839386.db2.gz SJJVTOOSHLQNFF-MRXNPFEDSA-N 0 2 322.361 0.348 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001593807397 954915439 /nfs/dbraw/zinc/91/54/39/954915439.db2.gz PKLOQYAVKKXSBP-XHDPSFHLSA-N 0 2 314.382 0.629 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001593807397 954915443 /nfs/dbraw/zinc/91/54/43/954915443.db2.gz PKLOQYAVKKXSBP-XHDPSFHLSA-N 0 2 314.382 0.629 20 0 DCADLN COCCC(=O)NCCCN(C)C(=O)C(F)C(F)(F)F ZINC001351149879 955253687 /nfs/dbraw/zinc/25/36/87/955253687.db2.gz FBBKJEOTMQJDFB-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN COCCC(=O)NCCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001351149879 955253693 /nfs/dbraw/zinc/25/36/93/955253693.db2.gz FBBKJEOTMQJDFB-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2nncn2C)C1 ZINC001367054162 955266971 /nfs/dbraw/zinc/26/69/71/955266971.db2.gz ROQDWVXEEZRTKG-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(Cc2nncn2C)C1 ZINC001367054162 955266979 /nfs/dbraw/zinc/26/69/79/955266979.db2.gz ROQDWVXEEZRTKG-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN CC(F)(F)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001284877921 955285166 /nfs/dbraw/zinc/28/51/66/955285166.db2.gz JKTDEIFDGPETCK-UHFFFAOYSA-N 0 2 301.297 0.414 20 0 DCADLN CC(F)(F)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001284877921 955285172 /nfs/dbraw/zinc/28/51/72/955285172.db2.gz JKTDEIFDGPETCK-UHFFFAOYSA-N 0 2 301.297 0.414 20 0 DCADLN C[C@@]1(C(=O)[O-])[C@@H]2C=C[C@@H](C2)[C@@H]1C(=O)N1CC[NH+](CCO)CC1 ZINC001352140820 955525387 /nfs/dbraw/zinc/52/53/87/955525387.db2.gz FOYBKMRWMACEHU-VPWBDBDCSA-N 0 2 308.378 0.036 20 0 DCADLN C[C@H](CNC(=O)Cc1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001416818747 956084882 /nfs/dbraw/zinc/08/48/82/956084882.db2.gz AAKMIAHHXHGTCD-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)Cc1cnn(C)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001416818747 956084899 /nfs/dbraw/zinc/08/48/99/956084899.db2.gz AAKMIAHHXHGTCD-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN COC[C@@H](C[N@@H+]1CCO[C@H](C)C1)OC(=O)[C@@H]1CC[C@@H]1C(=O)[O-] ZINC001593957155 956443001 /nfs/dbraw/zinc/44/30/01/956443001.db2.gz AZCJCLSGOFDARP-FVCCEPFGSA-N 0 2 315.366 0.376 20 0 DCADLN COC[C@@H](C[N@H+]1CCO[C@H](C)C1)OC(=O)[C@@H]1CC[C@@H]1C(=O)[O-] ZINC001593957155 956443003 /nfs/dbraw/zinc/44/30/03/956443003.db2.gz AZCJCLSGOFDARP-FVCCEPFGSA-N 0 2 315.366 0.376 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001594719108 956951267 /nfs/dbraw/zinc/95/12/67/956951267.db2.gz ODIIKEXDNYBYAG-CHWSQXEVSA-N 0 2 314.345 0.653 20 0 DCADLN CC[C@@H](OC)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001293186907 957298626 /nfs/dbraw/zinc/29/86/26/957298626.db2.gz LBILECSDLNBJIG-SFYZADRCSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](OC)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001293186907 957298630 /nfs/dbraw/zinc/29/86/30/957298630.db2.gz LBILECSDLNBJIG-SFYZADRCSA-N 0 2 302.268 0.934 20 0 DCADLN CCCn1ncc(C(=O)NN2C(=O)N[C@@](C)(CC)C2=O)c1C ZINC001358187751 957435025 /nfs/dbraw/zinc/43/50/25/957435025.db2.gz YSCOMAOAYOQNBO-AWEZNQCLSA-N 0 2 307.354 0.967 20 0 DCADLN CCS(=O)(=O)c1ccc(O)c(NC(=O)Cc2nnc[nH]2)c1 ZINC001295348739 957682254 /nfs/dbraw/zinc/68/22/54/957682254.db2.gz YXNYEALZAPTGQO-UHFFFAOYSA-N 0 2 310.335 0.485 20 0 DCADLN CCS(=O)(=O)c1ccc(O)c(NC(=O)Cc2nc[nH]n2)c1 ZINC001295348739 957682258 /nfs/dbraw/zinc/68/22/58/957682258.db2.gz YXNYEALZAPTGQO-UHFFFAOYSA-N 0 2 310.335 0.485 20 0 DCADLN Cc1nc2ccnn2cc1C(=O)NN1C(=O)c2ccccc2C1=O ZINC001296100350 957897197 /nfs/dbraw/zinc/89/71/97/957897197.db2.gz AMDPYHFUJRGYQH-UHFFFAOYSA-N 0 2 321.296 0.979 20 0 DCADLN CC(=O)N[C@@H](CC(C)C)C(=O)NCCc1n[nH]c(=S)o1 ZINC001296732567 958043765 /nfs/dbraw/zinc/04/37/65/958043765.db2.gz QKTFOVLPFXJHOT-VIFPVBQESA-N 0 2 300.384 0.568 20 0 DCADLN CN1CC[C@@](C)(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001297019420 958092794 /nfs/dbraw/zinc/09/27/94/958092794.db2.gz KQXZFXFDGRVTNA-LKFCYVNXSA-N 0 2 307.354 0.085 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+](C)CCCC(=O)[O-])cc1 ZINC001594077886 958231180 /nfs/dbraw/zinc/23/11/80/958231180.db2.gz DXPBRTCFUVPVNN-UHFFFAOYSA-N 0 2 308.334 0.748 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+](C)CCCC(=O)[O-])cc1 ZINC001594077886 958231190 /nfs/dbraw/zinc/23/11/90/958231190.db2.gz DXPBRTCFUVPVNN-UHFFFAOYSA-N 0 2 308.334 0.748 20 0 DCADLN Cn1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(C(F)F)n1 ZINC001361836026 958387223 /nfs/dbraw/zinc/38/72/23/958387223.db2.gz LVILAUPVVCYPCU-UHFFFAOYSA-N 0 2 323.263 0.381 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001367730962 958460096 /nfs/dbraw/zinc/46/00/96/958460096.db2.gz NGINEFNZSDCLPQ-XPUUQOCRSA-N 0 2 308.342 0.584 20 0 DCADLN O=C(C[C@@H](n1cc[nH+]c1)C(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001362215280 958943879 /nfs/dbraw/zinc/94/38/79/958943879.db2.gz FWWYDEIFNQQCDD-ZCFIWIBFSA-N 0 2 304.232 0.517 20 0 DCADLN Cc1cc(=O)c(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c[nH]1 ZINC001362386177 959256619 /nfs/dbraw/zinc/25/66/19/959256619.db2.gz OWACDYILZIRLMY-SECBINFHSA-N 0 2 303.322 0.527 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368150447 959334338 /nfs/dbraw/zinc/33/43/38/959334338.db2.gz CLPNVRXHTOSBDH-MEBBXXQBSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368150447 959334352 /nfs/dbraw/zinc/33/43/52/959334352.db2.gz CLPNVRXHTOSBDH-MEBBXXQBSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@H](C)[C@@H](O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362429813 959339071 /nfs/dbraw/zinc/33/90/71/959339071.db2.gz AVDYFXHBLQBWJT-CABZTGNLSA-N 0 2 320.349 0.403 20 0 DCADLN Cc1[nH]c([C@@H]2CCCN2[C@@H]2CCN(CC(=O)[O-])C2=O)[nH+]c1C ZINC001594452076 959385167 /nfs/dbraw/zinc/38/51/67/959385167.db2.gz WLFWKMSXSWNOJF-NWDGAFQWSA-N 0 2 306.366 0.849 20 0 DCADLN C[C@H](CCCO)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362491706 959460181 /nfs/dbraw/zinc/46/01/81/959460181.db2.gz GFNZNNHGAVEDKP-SNVBAGLBSA-N 0 2 320.349 0.405 20 0 DCADLN CC[C@H](C)[C@H](C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001368381381 959779343 /nfs/dbraw/zinc/77/93/43/959779343.db2.gz BFKUDKLAMAFZLW-IUCAKERBSA-N 0 2 317.415 0.583 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCc3ccnc(N)c3C2)S1 ZINC001362748465 959928543 /nfs/dbraw/zinc/92/85/43/959928543.db2.gz RSWDUSKCGLIIDQ-SECBINFHSA-N 0 2 305.363 0.105 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc3oc(=O)[nH]c3c2)S1 ZINC001362865265 960107446 /nfs/dbraw/zinc/10/74/46/960107446.db2.gz QWXPGDCZBOSLNV-VIFPVBQESA-N 0 2 320.330 0.706 20 0 DCADLN O=C(Cn1ncc2cccnc21)NCCCc1n[nH]c(=O)[nH]1 ZINC001306661013 960131388 /nfs/dbraw/zinc/13/13/88/960131388.db2.gz QUCCUCGGEXMHNR-UHFFFAOYSA-N 0 2 301.310 0.004 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC001362933191 960194425 /nfs/dbraw/zinc/19/44/25/960194425.db2.gz CLKKXJBDWBWEMN-NSHDSACASA-N 0 2 307.354 0.933 20 0 DCADLN Cc1cnc(C[N@@H+](C)CCCNC(=O)C[NH+]2CCCC2)nc1 ZINC001316865738 960258603 /nfs/dbraw/zinc/25/86/03/960258603.db2.gz RHZWEEXSFCYPJY-UHFFFAOYSA-N 0 2 305.426 0.819 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001377684922 960435295 /nfs/dbraw/zinc/43/52/95/960435295.db2.gz KOQRCIZKUAEGKH-NKWVEPMBSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001377684922 960435302 /nfs/dbraw/zinc/43/53/02/960435302.db2.gz KOQRCIZKUAEGKH-NKWVEPMBSA-N 0 2 310.251 0.853 20 0 DCADLN CO[C@@]1(C)C[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363297143 960828001 /nfs/dbraw/zinc/82/80/01/960828001.db2.gz ZOGASOQCBANVSK-YGRLFVJLSA-N 0 2 304.306 0.173 20 0 DCADLN CC(C)(C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)F ZINC001377874935 960877131 /nfs/dbraw/zinc/87/71/31/960877131.db2.gz RSOHLNZUZZCXGF-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN O=C([O-])C[C@@H]1CCC[C@H]1NS(=O)(=O)CCn1cc[nH+]c1 ZINC001571074698 960941899 /nfs/dbraw/zinc/94/18/99/960941899.db2.gz OGNAUXAOTPHNBY-WDEREUQCSA-N 0 2 301.368 0.446 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Cc1ccc(O)cc1 ZINC001571080857 961004949 /nfs/dbraw/zinc/00/49/49/961004949.db2.gz XQCLAZQAUSQLOJ-LLVKDONJSA-N 0 2 303.318 0.718 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CS1 ZINC001363443305 961162983 /nfs/dbraw/zinc/16/29/83/961162983.db2.gz DYJBFXRMWRHUNA-PSASIEDQSA-N 0 2 320.374 0.890 20 0 DCADLN CCc1cc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)nn1C ZINC001363450965 961183871 /nfs/dbraw/zinc/18/38/71/961183871.db2.gz WECUPXGDZZTGSI-UHFFFAOYSA-N 0 2 324.362 0.588 20 0 DCADLN C[C@H]1CNc2c(C(=O)NCc3nc(O)cc(=O)[nH]3)cnn2C1 ZINC001363485720 961255771 /nfs/dbraw/zinc/25/57/71/961255771.db2.gz MYXGIACZFQVMEA-ZETCQYMHSA-N 0 2 304.310 0.076 20 0 DCADLN O=C(CCn1cnnn1)Nc1nccc(Br)c1O ZINC001363495246 961278924 /nfs/dbraw/zinc/27/89/24/961278924.db2.gz KEUAPDRJTGVZAZ-UHFFFAOYSA-N 0 2 313.115 0.565 20 0 DCADLN CC(C)CCC[C@H](C)NC(=O)C[N@@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC000253093125 961299897 /nfs/dbraw/zinc/29/98/97/961299897.db2.gz LYIUYDKNIVMIEI-AVGNSLFASA-N 0 2 300.399 0.837 20 0 DCADLN CC(C)CCC[C@H](C)NC(=O)C[N@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC000253093125 961299910 /nfs/dbraw/zinc/29/99/10/961299910.db2.gz LYIUYDKNIVMIEI-AVGNSLFASA-N 0 2 300.399 0.837 20 0 DCADLN CS(=O)(=O)CCN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001378062774 961345869 /nfs/dbraw/zinc/34/58/69/961345869.db2.gz RVZCVFQIYGMXPX-YUMQZZPRSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCN1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001378062774 961345888 /nfs/dbraw/zinc/34/58/88/961345888.db2.gz RVZCVFQIYGMXPX-YUMQZZPRSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001378062767 961346233 /nfs/dbraw/zinc/34/62/33/961346233.db2.gz RVZCVFQIYGMXPX-JGVFFNPUSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCN1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001378062767 961346239 /nfs/dbraw/zinc/34/62/39/961346239.db2.gz RVZCVFQIYGMXPX-JGVFFNPUSA-N 0 2 320.308 0.122 20 0 DCADLN C[C@@H](C[NH2+]Cc1ccccn1)N(C)C(=O)[C@H]1C[N@H+](C)CCO1 ZINC001378754470 961413007 /nfs/dbraw/zinc/41/30/07/961413007.db2.gz NGCGJXOXDJZIDQ-DZGCQCFKSA-N 0 2 306.410 0.349 20 0 DCADLN Cc1nonc1C[NH2+]C[C@H](C)N(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001378760534 961424793 /nfs/dbraw/zinc/42/47/93/961424793.db2.gz UIGXYIOVPKGZKA-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN NC(=O)CCC(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001363562281 961450815 /nfs/dbraw/zinc/45/08/15/961450815.db2.gz PBJQKFJUVNFHOU-JTQLQIEISA-N 0 2 303.322 0.176 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001430218821 1013258590 /nfs/dbraw/zinc/25/85/90/1013258590.db2.gz BZMHOOREYUNVNM-DSYKOEDSSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001430218821 1013258594 /nfs/dbraw/zinc/25/85/94/1013258594.db2.gz BZMHOOREYUNVNM-DSYKOEDSSA-N 0 2 308.235 0.687 20 0 DCADLN Cc1nccc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001430218732 1013259877 /nfs/dbraw/zinc/25/98/77/1013259877.db2.gz NHGXORVYJYAFKD-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1nccc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001430218732 1013259885 /nfs/dbraw/zinc/25/98/85/1013259885.db2.gz NHGXORVYJYAFKD-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1)C(F)C(F)(F)F ZINC001430221705 1013260810 /nfs/dbraw/zinc/26/08/10/1013260810.db2.gz RYBOVUFUGQXWKK-IMSYWVGJSA-N 0 2 324.274 0.781 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1)[C@H](F)C(F)(F)F ZINC001430221705 1013260816 /nfs/dbraw/zinc/26/08/16/1013260816.db2.gz RYBOVUFUGQXWKK-IMSYWVGJSA-N 0 2 324.274 0.781 20 0 DCADLN O=C([O-])c1cnc(N[C@@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001571128586 961526392 /nfs/dbraw/zinc/52/63/92/961526392.db2.gz NRVFGSJFTHGOET-AOOOYVTPSA-N 0 2 316.321 0.200 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+]1CCc2n[nH]c(=O)cc2C1 ZINC001571129050 961529663 /nfs/dbraw/zinc/52/96/63/961529663.db2.gz VPFCNKRQRYEBJL-UHFFFAOYSA-N 0 2 316.317 0.758 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+]1CCc2n[nH]c(=O)cc2C1 ZINC001571129050 961529679 /nfs/dbraw/zinc/52/96/79/961529679.db2.gz VPFCNKRQRYEBJL-UHFFFAOYSA-N 0 2 316.317 0.758 20 0 DCADLN C[C@@H]1CCC[C@@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001363630987 961585269 /nfs/dbraw/zinc/58/52/69/961585269.db2.gz KBUABUNKFYTOCG-NXEZZACHSA-N 0 2 314.411 0.792 20 0 DCADLN Cc1cnc(C[NH+]2CCC(NC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)nc1 ZINC001571137403 961611423 /nfs/dbraw/zinc/61/14/23/961611423.db2.gz FEDBYOORWWCASC-STQMWFEESA-N 0 2 318.377 0.586 20 0 DCADLN CCO[C@@H]1[C@H](C)[C@H]1C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001363658889 961644421 /nfs/dbraw/zinc/64/44/21/961644421.db2.gz YJEHWEHEHAWYMZ-GGZOMVNGSA-N 0 2 309.366 0.868 20 0 DCADLN C[C@H](NC(=O)c1cnc2n(c1=O)CCS2)c1nn(C)cc1O ZINC001363667054 961658783 /nfs/dbraw/zinc/65/87/83/961658783.db2.gz OOAXCOZKNYESJC-ZETCQYMHSA-N 0 2 321.362 0.279 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1CNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC001571153556 961824003 /nfs/dbraw/zinc/82/40/03/961824003.db2.gz BNBVMQVKYIAXOD-CHWSQXEVSA-N 0 2 321.377 0.271 20 0 DCADLN CS(=O)(=O)C[C@@H](O)CNC(=O)c1cccc(Cl)c1O ZINC001363775367 961854643 /nfs/dbraw/zinc/85/46/43/961854643.db2.gz BCCCDLSUWBKQDW-ZETCQYMHSA-N 0 2 307.755 0.181 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001379750149 961932760 /nfs/dbraw/zinc/93/27/60/961932760.db2.gz DHLOSDXQVJEKEH-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001379750149 961932771 /nfs/dbraw/zinc/93/27/71/961932771.db2.gz DHLOSDXQVJEKEH-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN C[C@@H](NC(=O)c1cnc2c(c1)NC(=O)CO2)c1nn(C)cc1O ZINC001363830688 961976945 /nfs/dbraw/zinc/97/69/45/961976945.db2.gz LZTWQUPKAIRQIJ-SSDOTTSWSA-N 0 2 317.305 0.343 20 0 DCADLN C[C@H](NC(=O)CNC(=O)CC1CCCC1)c1nn(C)cc1O ZINC001363847769 962014887 /nfs/dbraw/zinc/01/48/87/962014887.db2.gz HNGJLQAZFIXQEK-JTQLQIEISA-N 0 2 308.382 0.999 20 0 DCADLN CN(CCN(C)C(=O)C1(C(F)(F)F)CC1)Cc1n[nH]c(=O)[nH]1 ZINC001379107271 962118297 /nfs/dbraw/zinc/11/82/97/962118297.db2.gz BFMILFCQPPAONU-UHFFFAOYSA-N 0 2 321.303 0.743 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C(F)(F)F)CC1 ZINC001379107271 962118324 /nfs/dbraw/zinc/11/83/24/962118324.db2.gz BFMILFCQPPAONU-UHFFFAOYSA-N 0 2 321.303 0.743 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C(F)(F)F)CC1 ZINC001379107271 962118340 /nfs/dbraw/zinc/11/83/40/962118340.db2.gz BFMILFCQPPAONU-UHFFFAOYSA-N 0 2 321.303 0.743 20 0 DCADLN CC(C)(CNS(N)(=O)=O)[NH2+]Cc1ccc([O-])c(F)c1F ZINC001363931492 962145255 /nfs/dbraw/zinc/14/52/55/962145255.db2.gz BKBBSRIXIDYFDR-UHFFFAOYSA-N 0 2 309.338 0.332 20 0 DCADLN CC(C)C[C@H](CNS(=O)(=O)CCn1cc[nH+]c1)CC(=O)[O-] ZINC001588898720 962239333 /nfs/dbraw/zinc/23/93/33/962239333.db2.gz XVKSZHBZBMRBIC-LBPRGKRZSA-N 0 2 317.411 0.940 20 0 DCADLN Cc1ncc(C[NH2+]C[C@@H](O)CNC(=O)CCc2c[nH+]c[nH]2)s1 ZINC001379209598 962367338 /nfs/dbraw/zinc/36/73/38/962367338.db2.gz XARFFRLDLIPLHV-GFCCVEGCSA-N 0 2 323.422 0.374 20 0 DCADLN Cc1noc([C@@H](C)NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001364199094 962655195 /nfs/dbraw/zinc/65/51/95/962655195.db2.gz BHBPHUKALBIFOT-VXNVDRBHSA-N 0 2 321.341 0.852 20 0 DCADLN COCC[N@H+](CCC(=O)[O-])[C@@H](C)c1nc(N)nc(Cl)n1 ZINC001574156973 962685622 /nfs/dbraw/zinc/68/56/22/962685622.db2.gz ZPQXNNRFHLQLRW-ZETCQYMHSA-N 0 2 303.750 0.591 20 0 DCADLN COCC[N@@H+](CCC(=O)[O-])[C@@H](C)c1nc(N)nc(Cl)n1 ZINC001574156973 962685628 /nfs/dbraw/zinc/68/56/28/962685628.db2.gz ZPQXNNRFHLQLRW-ZETCQYMHSA-N 0 2 303.750 0.591 20 0 DCADLN CC(C)n1c[nH+]cc1CN1CCS(=O)(=O)C[C@@H]1C(=O)[O-] ZINC001588982936 962749600 /nfs/dbraw/zinc/74/96/00/962749600.db2.gz GDSQMSNNVOFIDX-LLVKDONJSA-N 0 2 301.368 0.148 20 0 DCADLN CCCN(C(=O)C[C@H]1SC(=N)NC1=O)[C@@H](C)C(=O)OC ZINC001364290380 962832465 /nfs/dbraw/zinc/83/24/65/962832465.db2.gz FZMPQWHCNZBNPV-JGVFFNPUSA-N 0 2 301.368 0.343 20 0 DCADLN CCC[C@@H](C)N(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364346326 962936033 /nfs/dbraw/zinc/93/60/33/962936033.db2.gz MOWLFPYIVNNLJU-SECBINFHSA-N 0 2 317.415 0.679 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)OCC1CC1 ZINC001379634777 963301027 /nfs/dbraw/zinc/30/10/27/963301027.db2.gz SPXMJNISZJQVLW-UWVGGRQHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)OCC1CC1 ZINC001379634777 963301040 /nfs/dbraw/zinc/30/10/40/963301040.db2.gz SPXMJNISZJQVLW-UWVGGRQHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)Cc1ncc[nH]1 ZINC001380760118 963563809 /nfs/dbraw/zinc/56/38/09/963563809.db2.gz NGRKAPYUMSLYIG-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)Cc2cccc(C(=O)[O-])c2)c1 ZINC000313188918 963621493 /nfs/dbraw/zinc/62/14/93/963621493.db2.gz GHZUYIZLMAVOID-UHFFFAOYSA-N 0 2 323.374 0.780 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+]Cc3cnon3)CCC2)c1[O-] ZINC001380859085 963639170 /nfs/dbraw/zinc/63/91/70/963639170.db2.gz QQLHVAJLENETIF-UHFFFAOYSA-N 0 2 306.326 0.249 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)C)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314124296 963714597 /nfs/dbraw/zinc/71/45/97/963714597.db2.gz MJRBISTXNSJPBF-SCZZXKLOSA-N 0 2 310.354 0.072 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)C)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314124296 963714602 /nfs/dbraw/zinc/71/46/02/963714602.db2.gz MJRBISTXNSJPBF-SCZZXKLOSA-N 0 2 310.354 0.072 20 0 DCADLN N#Cc1ccccc1S(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1 ZINC000314249108 963731599 /nfs/dbraw/zinc/73/15/99/963731599.db2.gz KCEFGPVWEHEVQL-UHFFFAOYSA-N 0 2 323.374 0.339 20 0 DCADLN CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+][C@H](C)c1nc(C)no1 ZINC001373746143 964565949 /nfs/dbraw/zinc/56/59/49/964565949.db2.gz ZSIVQJVOTGWSNX-SCZZXKLOSA-N 0 2 322.369 0.974 20 0 DCADLN CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1CC ZINC001375607910 964603934 /nfs/dbraw/zinc/60/39/34/964603934.db2.gz YIPLVGGIDBEANH-GARJFASQSA-N 0 2 311.386 0.404 20 0 DCADLN CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1CC ZINC001375607910 964603938 /nfs/dbraw/zinc/60/39/38/964603938.db2.gz YIPLVGGIDBEANH-GARJFASQSA-N 0 2 311.386 0.404 20 0 DCADLN C[C@@](O)(CC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001375822050 964871611 /nfs/dbraw/zinc/87/16/11/964871611.db2.gz PXKNACGFPJMIAL-LSDHHAIUSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@](O)(CC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001375822050 964871619 /nfs/dbraw/zinc/87/16/19/964871619.db2.gz PXKNACGFPJMIAL-LSDHHAIUSA-N 0 2 323.397 0.142 20 0 DCADLN CC(C)OCCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376031922 965107993 /nfs/dbraw/zinc/10/79/93/965107993.db2.gz QFGFMAOXLPAIDX-LLVKDONJSA-N 0 2 311.386 0.406 20 0 DCADLN Cc1cc(C[NH2+]C[C@@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])no1 ZINC001374217051 965144677 /nfs/dbraw/zinc/14/46/77/965144677.db2.gz HHCUJVYAJRSZGI-MRVPVSSYSA-N 0 2 307.354 0.970 20 0 DCADLN C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)N(C)C(=O)[C@H]1CCC[N@@H+]1C ZINC001369942470 965593342 /nfs/dbraw/zinc/59/33/42/965593342.db2.gz YJAZPJOSIJVMEF-VXGBXAGGSA-N 0 2 307.398 0.010 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1CC[N@H+](Cc2cnnn2CC)C1)[NH+](C)C ZINC001369977583 965641287 /nfs/dbraw/zinc/64/12/87/965641287.db2.gz CMUJUCVWFZBNRL-TZMCWYRMSA-N 0 2 308.430 0.329 20 0 DCADLN CO[C@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)C(C)C ZINC001374665864 965725254 /nfs/dbraw/zinc/72/52/54/965725254.db2.gz OPCQRVXRGVJAFP-DTWKUNHWSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@H](C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)C(C)C ZINC001374665864 965725258 /nfs/dbraw/zinc/72/52/58/965725258.db2.gz OPCQRVXRGVJAFP-DTWKUNHWSA-N 0 2 314.279 0.885 20 0 DCADLN Cc1cnn(C)c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001374664380 965725822 /nfs/dbraw/zinc/72/58/22/965725822.db2.gz CJKCJIBSNMCXMN-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cnn(C)c1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001374664380 965725834 /nfs/dbraw/zinc/72/58/34/965725834.db2.gz CJKCJIBSNMCXMN-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001381465003 966439392 /nfs/dbraw/zinc/43/93/92/966439392.db2.gz JEAUHKGFQUWXDW-JBDRJPRFSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001381465003 966439399 /nfs/dbraw/zinc/43/93/99/966439399.db2.gz JEAUHKGFQUWXDW-JBDRJPRFSA-N 0 2 314.279 0.933 20 0 DCADLN COCC(=O)N(C)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001381573600 966546376 /nfs/dbraw/zinc/54/63/76/966546376.db2.gz MIFVPVJIELPCJS-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N(C)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001381573600 966546382 /nfs/dbraw/zinc/54/63/82/966546382.db2.gz MIFVPVJIELPCJS-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cccnn1)NC(=O)C(F)C(F)(F)F ZINC001382173599 967007584 /nfs/dbraw/zinc/00/75/84/967007584.db2.gz IHUMPHCVOIQGIM-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cccnn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001382173599 967007588 /nfs/dbraw/zinc/00/75/88/967007588.db2.gz IHUMPHCVOIQGIM-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN CC1(C(=O)N2C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C2)CC1 ZINC001371463787 967013720 /nfs/dbraw/zinc/01/37/20/967013720.db2.gz MSZWAGZRUKRCHN-BWZBUEFSSA-N 0 2 312.263 0.375 20 0 DCADLN CC1(C(=O)N2C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)CC1 ZINC001371463787 967013727 /nfs/dbraw/zinc/01/37/27/967013727.db2.gz MSZWAGZRUKRCHN-BWZBUEFSSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CCC1)C(F)C(F)(F)F ZINC001382268897 967143303 /nfs/dbraw/zinc/14/33/03/967143303.db2.gz CZXOZMWAOPEKOW-JGVFFNPUSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CCC1)[C@@H](F)C(F)(F)F ZINC001382268897 967143307 /nfs/dbraw/zinc/14/33/07/967143307.db2.gz CZXOZMWAOPEKOW-JGVFFNPUSA-N 0 2 300.252 0.280 20 0 DCADLN CCOC1CC(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001448026141 1013718158 /nfs/dbraw/zinc/71/81/58/1013718158.db2.gz VJPNUEKGNRHGAP-WHXUTIOJSA-N 0 2 311.386 0.262 20 0 DCADLN CCOC1CC(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001448026141 1013718164 /nfs/dbraw/zinc/71/81/64/1013718164.db2.gz VJPNUEKGNRHGAP-WHXUTIOJSA-N 0 2 311.386 0.262 20 0 DCADLN COCC(=O)N1CCC(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001372150534 967685747 /nfs/dbraw/zinc/68/57/47/967685747.db2.gz AEVPWCHDCPSKRI-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CCC(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001372150534 967685750 /nfs/dbraw/zinc/68/57/50/967685750.db2.gz AEVPWCHDCPSKRI-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN Cn1ncnc1CN1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001372617053 968182082 /nfs/dbraw/zinc/18/20/82/968182082.db2.gz RRTFPVGXJRJVJA-PSASIEDQSA-N 0 2 323.294 0.654 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cccc(=O)[nH]1 ZINC001372902122 968514451 /nfs/dbraw/zinc/51/44/51/968514451.db2.gz HUODEJQJGIWUJS-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cccc(=O)[nH]1 ZINC001372902122 968514457 /nfs/dbraw/zinc/51/44/57/968514457.db2.gz HUODEJQJGIWUJS-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2ncc[nH]2)C1)C(F)C(F)(F)F ZINC001373151700 968762132 /nfs/dbraw/zinc/76/21/32/968762132.db2.gz ODFCFIQRCZNZPE-JGVFFNPUSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2ncc[nH]2)C1)[C@@H](F)C(F)(F)F ZINC001373151700 968762141 /nfs/dbraw/zinc/76/21/41/968762141.db2.gz ODFCFIQRCZNZPE-JGVFFNPUSA-N 0 2 322.262 0.888 20 0 DCADLN C[C@@H](NC(=O)[C@@H](C)c1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001448323050 1013870560 /nfs/dbraw/zinc/87/05/60/1013870560.db2.gz UHPIBUWYLXEEMO-VHSXEESVSA-N 0 2 319.365 0.844 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ccncn1 ZINC001431385921 1014024745 /nfs/dbraw/zinc/02/47/45/1014024745.db2.gz IKPBMTRKFQIHSX-SECBINFHSA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccncn1 ZINC001431385921 1014024751 /nfs/dbraw/zinc/02/47/51/1014024751.db2.gz IKPBMTRKFQIHSX-SECBINFHSA-N 0 2 322.262 0.907 20 0 DCADLN O=C([O-])Cc1occc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000394625043 972723178 /nfs/dbraw/zinc/72/31/78/972723178.db2.gz ZSBBCWBHROFWEQ-LBPRGKRZSA-N 0 2 322.361 0.844 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)[C@@]1(C(=O)[O-])CC1(C)C ZINC001603375868 972929017 /nfs/dbraw/zinc/92/90/17/972929017.db2.gz WGBXNIFYPMJYAW-XHBSWPGZSA-N 0 2 310.394 0.809 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(C[N@H+]2CCC[C@@](O)(C(F)(F)F)CC2)C1 ZINC001605978627 973013236 /nfs/dbraw/zinc/01/32/36/973013236.db2.gz ZCWZCLPBIYKIIZ-CABZTGNLSA-N 0 2 324.299 0.656 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(C[N@@H+]2CCC[C@@](O)(C(F)(F)F)CC2)C1 ZINC001605978627 973013248 /nfs/dbraw/zinc/01/32/48/973013248.db2.gz ZCWZCLPBIYKIIZ-CABZTGNLSA-N 0 2 324.299 0.656 20 0 DCADLN C[C@@H]1C[N@H+](Cc2c(C(=O)[O-])cnn2C)C[C@@]2(CCCOC2)O1 ZINC001603461184 973297165 /nfs/dbraw/zinc/29/71/65/973297165.db2.gz ODMHVDBMXCQCPJ-IAQYHMDHSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2c(C(=O)[O-])cnn2C)C[C@@]2(CCCOC2)O1 ZINC001603461184 973297169 /nfs/dbraw/zinc/29/71/69/973297169.db2.gz ODMHVDBMXCQCPJ-IAQYHMDHSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@@H]1C[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CC[C@@H](C(F)(F)F)O1 ZINC001603461239 973300394 /nfs/dbraw/zinc/30/03/94/973300394.db2.gz NKKCDKOJQPNWDG-UTLUCORTSA-N 0 2 324.299 0.714 20 0 DCADLN C[C@@H]1C[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CC[C@@H](C(F)(F)F)O1 ZINC001603461239 973300406 /nfs/dbraw/zinc/30/04/06/973300406.db2.gz NKKCDKOJQPNWDG-UTLUCORTSA-N 0 2 324.299 0.714 20 0 DCADLN CO[C@@]1(C(F)(F)F)CCC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001604480167 973369587 /nfs/dbraw/zinc/36/95/87/973369587.db2.gz GBNDJNNMKMOKEA-CABZTGNLSA-N 0 2 324.299 0.715 20 0 DCADLN CO[C@@]1(C(F)(F)F)CCC[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001604480167 973369575 /nfs/dbraw/zinc/36/95/75/973369575.db2.gz GBNDJNNMKMOKEA-CABZTGNLSA-N 0 2 324.299 0.715 20 0 DCADLN Cc1nc2sccn2c1C[N@@H+](C)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001605420122 973435797 /nfs/dbraw/zinc/43/57/97/973435797.db2.gz HPOUYPBZRWKFJY-JTQLQIEISA-N 0 2 322.390 0.822 20 0 DCADLN Cc1nc2sccn2c1C[N@H+](C)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001605420122 973435804 /nfs/dbraw/zinc/43/58/04/973435804.db2.gz HPOUYPBZRWKFJY-JTQLQIEISA-N 0 2 322.390 0.822 20 0 DCADLN CS(=O)(=O)c1ccc(C[N@@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)cc1 ZINC000401903684 973510023 /nfs/dbraw/zinc/51/00/23/973510023.db2.gz PVUYQTODULNWKJ-NWDGAFQWSA-N 0 2 313.375 0.358 20 0 DCADLN CS(=O)(=O)c1ccc(C[N@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)cc1 ZINC000401903684 973510027 /nfs/dbraw/zinc/51/00/27/973510027.db2.gz PVUYQTODULNWKJ-NWDGAFQWSA-N 0 2 313.375 0.358 20 0 DCADLN CCN(C)c1ccc(C[N@@H+]2CCO[C@@](COC)(C(=O)[O-])C2)cn1 ZINC001603723616 974154979 /nfs/dbraw/zinc/15/49/79/974154979.db2.gz CVBZFYBAYLCKBT-INIZCTEOSA-N 0 2 323.393 0.840 20 0 DCADLN CCN(C)c1ccc(C[N@H+]2CCO[C@@](COC)(C(=O)[O-])C2)cn1 ZINC001603723616 974154982 /nfs/dbraw/zinc/15/49/82/974154982.db2.gz CVBZFYBAYLCKBT-INIZCTEOSA-N 0 2 323.393 0.840 20 0 DCADLN CCn1ccnc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001528078103 1014194524 /nfs/dbraw/zinc/19/45/24/1014194524.db2.gz ZQNDBXQZVCZDOM-MRVPVSSYSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1ccnc1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001528078103 1014194532 /nfs/dbraw/zinc/19/45/32/1014194532.db2.gz ZQNDBXQZVCZDOM-MRVPVSSYSA-N 0 2 324.278 0.992 20 0 DCADLN CC(C)OC1CC([NH+]2CCN([C@]3(C(=O)[O-])CCOC3)CC2)C1 ZINC001591695863 975527576 /nfs/dbraw/zinc/52/75/76/975527576.db2.gz MVYOIDHACGNAMR-ZBCRRDGASA-N 0 2 312.410 0.804 20 0 DCADLN CCc1oncc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001522642854 1014270859 /nfs/dbraw/zinc/27/08/59/1014270859.db2.gz XNOMNFUHJAXOFB-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1oncc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001522642854 1014270865 /nfs/dbraw/zinc/27/08/65/1014270865.db2.gz XNOMNFUHJAXOFB-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN CN(CCNC(=O)c1csnn1)C(=O)C(F)C(F)(F)F ZINC001528448421 1014297906 /nfs/dbraw/zinc/29/79/06/1014297906.db2.gz TYBSLQNHWZFACQ-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)c1csnn1)C(=O)[C@@H](F)C(F)(F)F ZINC001528448421 1014297917 /nfs/dbraw/zinc/29/79/17/1014297917.db2.gz TYBSLQNHWZFACQ-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN CC(C)S(=O)(=O)CCC[N@@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001591820669 976066348 /nfs/dbraw/zinc/06/63/48/976066348.db2.gz PHICXPWERFDANT-ZDUSSCGKSA-N 0 2 318.395 0.505 20 0 DCADLN CC(C)S(=O)(=O)CCC[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001591820669 976066363 /nfs/dbraw/zinc/06/63/63/976066363.db2.gz PHICXPWERFDANT-ZDUSSCGKSA-N 0 2 318.395 0.505 20 0 DCADLN Cc1csc(C(=O)[O-])c1S(=O)(=O)NCC[NH+]1CCC1 ZINC000700097868 976935243 /nfs/dbraw/zinc/93/52/43/976935243.db2.gz SKSNDOUWKMYCJD-UHFFFAOYSA-N 0 2 304.393 0.739 20 0 DCADLN O=C(CC1(O)CCC1)NCCCNC(=O)C(F)C(F)(F)F ZINC001522905995 1014467461 /nfs/dbraw/zinc/46/74/61/1014467461.db2.gz RDASXECMLRWODU-SECBINFHSA-N 0 2 314.279 0.814 20 0 DCADLN O=C(CC1(O)CCC1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522905995 1014467464 /nfs/dbraw/zinc/46/74/64/1014467464.db2.gz RDASXECMLRWODU-SECBINFHSA-N 0 2 314.279 0.814 20 0 DCADLN C[C@@H](C[S@@](C)=O)[N@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001592822566 979529820 /nfs/dbraw/zinc/52/98/20/979529820.db2.gz QIJUCNQCZLBAFY-WIUDPPPLSA-N 0 2 318.439 0.589 20 0 DCADLN C[C@@H](C[S@@](C)=O)[N@@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001592822566 979529823 /nfs/dbraw/zinc/52/98/23/979529823.db2.gz QIJUCNQCZLBAFY-WIUDPPPLSA-N 0 2 318.439 0.589 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(C(=O)[C@@H]1CCc3c[nH+]cn3C1)C2 ZINC001550344265 1014672771 /nfs/dbraw/zinc/67/27/71/1014672771.db2.gz GEPKGFDSDDVRCK-ZETOZRRWSA-N 0 2 305.334 0.005 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1CCO[C@@H]1C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001595484566 981222978 /nfs/dbraw/zinc/22/29/78/981222978.db2.gz SSEMHOPGWFFUAX-LPWJVIDDSA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1CCO[C@@H]1C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001595484566 981222984 /nfs/dbraw/zinc/22/29/84/981222984.db2.gz SSEMHOPGWFFUAX-LPWJVIDDSA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@](C)(NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001594842521 982063754 /nfs/dbraw/zinc/06/37/54/982063754.db2.gz VIKIGWFDCXXLRE-XUJVJEKNSA-N 0 2 314.382 0.236 20 0 DCADLN CNS(=O)(=O)c1ccc(C(=O)Nc2cccc3[nH]nnc32)o1 ZINC001449942180 1014802931 /nfs/dbraw/zinc/80/29/31/1014802931.db2.gz PCVQRDTWSZQMLX-UHFFFAOYSA-N 0 2 321.318 0.711 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)s1 ZINC000910816193 982135422 /nfs/dbraw/zinc/13/54/22/982135422.db2.gz KXPMVDCXCXRPJX-QMMMGPOBSA-N 0 2 306.347 0.917 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)Cc1cc[nH]n1 ZINC001449950102 1014807083 /nfs/dbraw/zinc/80/70/83/1014807083.db2.gz OHRPXKRRTBBOEQ-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)Cc1cc[nH]n1 ZINC001449950102 1014807094 /nfs/dbraw/zinc/80/70/94/1014807094.db2.gz OHRPXKRRTBBOEQ-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](C)[C@H](C)C[S@](C)=O)C(=O)[O-] ZINC001594887567 982273190 /nfs/dbraw/zinc/27/31/90/982273190.db2.gz RACDOENGWDRUJG-OVLUVOGVSA-N 0 2 306.428 0.301 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)[C@H](C)C[S@](C)=O)C(=O)[O-] ZINC001594887567 982273196 /nfs/dbraw/zinc/27/31/96/982273196.db2.gz RACDOENGWDRUJG-OVLUVOGVSA-N 0 2 306.428 0.301 20 0 DCADLN CCN(C[C@H](C)OC)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001450020534 1014848553 /nfs/dbraw/zinc/84/85/53/1014848553.db2.gz FYAHXJFFNLNEMW-JTQLQIEISA-N 0 2 320.349 0.763 20 0 DCADLN CCCN(CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])C(=O)Cc1c[nH+]c[nH]1 ZINC001595880249 982888653 /nfs/dbraw/zinc/88/86/53/982888653.db2.gz VHOYOHXEXSUNME-VXGBXAGGSA-N 0 2 322.365 0.028 20 0 DCADLN CO[C@@H](C)CC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530713567 1014899763 /nfs/dbraw/zinc/89/97/63/1014899763.db2.gz DKZANKGNPUJYQG-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@@H](C)CC(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001530713567 1014899782 /nfs/dbraw/zinc/89/97/82/1014899782.db2.gz DKZANKGNPUJYQG-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN CCN(CC[NH2+]Cc1nnc(C2CC2)o1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001596339033 983782553 /nfs/dbraw/zinc/78/25/53/983782553.db2.gz CHGCCECWONYPEA-GHMZBOCLSA-N 0 2 322.365 0.606 20 0 DCADLN O=C(NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]n1 ZINC001524458189 1014982547 /nfs/dbraw/zinc/98/25/47/1014982547.db2.gz CYKMHXVSYFIXHR-POYBYMJQSA-N 0 2 323.250 0.330 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001596368550 983863461 /nfs/dbraw/zinc/86/34/61/983863461.db2.gz SWOVYSDVENIKTL-ZIAGYGMSSA-N 0 2 324.352 0.694 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001596368550 983863470 /nfs/dbraw/zinc/86/34/70/983863470.db2.gz SWOVYSDVENIKTL-ZIAGYGMSSA-N 0 2 324.352 0.694 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001596368554 983864035 /nfs/dbraw/zinc/86/40/35/983864035.db2.gz TXAXLXZUNPOOJV-HOCLYGCPSA-N 0 2 320.389 0.945 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001596368554 983864042 /nfs/dbraw/zinc/86/40/42/983864042.db2.gz TXAXLXZUNPOOJV-HOCLYGCPSA-N 0 2 320.389 0.945 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)[C@H]2CCCC[C@H]2C(=O)[O-])CC1 ZINC001596436498 984121156 /nfs/dbraw/zinc/12/11/56/984121156.db2.gz SVYWUALLZIRYNY-NEPJUHHUSA-N 0 2 304.412 0.597 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccc(C)c(C)c1 ZINC001596484326 984217266 /nfs/dbraw/zinc/21/72/66/984217266.db2.gz GUVUEEORJXVOHT-CYBMUJFWSA-N 0 2 307.350 0.864 20 0 DCADLN CNC(=O)[C@]12CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@H]1COCC2 ZINC001551415726 1015052136 /nfs/dbraw/zinc/05/21/36/1015052136.db2.gz ZCBZJMVVRCDNNM-XHDPSFHLSA-N 0 2 305.334 0.369 20 0 DCADLN C/C(=C/C(=O)NC[C@H]1[C@@H](C(=O)[O-])C1(F)F)C[NH+]1CCOCC1 ZINC001588942668 984638237 /nfs/dbraw/zinc/63/82/37/984638237.db2.gz QJFHFXJJDHQYAW-IERNXYBSSA-N 0 2 318.320 0.347 20 0 DCADLN COCCN(CCNC(=O)C(F)C(F)(F)F)C(=O)C1CC1 ZINC001383320100 984770168 /nfs/dbraw/zinc/77/01/68/984770168.db2.gz FSTHUMOCGDLWBD-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN COCCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1CC1 ZINC001383320100 984770172 /nfs/dbraw/zinc/77/01/72/984770172.db2.gz FSTHUMOCGDLWBD-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN CC(=O)N1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001383635458 984961105 /nfs/dbraw/zinc/96/11/05/984961105.db2.gz GYDGJCLFWZBIEO-DTWKUNHWSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)N1CCCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001383635458 984961113 /nfs/dbraw/zinc/96/11/13/984961113.db2.gz GYDGJCLFWZBIEO-DTWKUNHWSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N(C)C[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001383645608 984967793 /nfs/dbraw/zinc/96/77/93/984967793.db2.gz UVSTXSUXTDYIBU-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N(C)C[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001383645608 984967796 /nfs/dbraw/zinc/96/77/96/984967796.db2.gz UVSTXSUXTDYIBU-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN C[C@H]1CN(c2nnc(Cc3[nH+]ccn3C)n2C)C[C@]1(C)C(=O)[O-] ZINC001599933720 985359987 /nfs/dbraw/zinc/35/99/87/985359987.db2.gz UXKJUGKUOBAPQD-BONVTDFDSA-N 0 2 318.381 0.686 20 0 DCADLN C[C@]12C[N@H+](Cc3ccc(C(=O)[O-])cn3)C[C@H]1CS(=O)(=O)C2 ZINC001599938440 985425185 /nfs/dbraw/zinc/42/51/85/985425185.db2.gz UEHCSXVZOAOUNB-SMDDNHRTSA-N 0 2 310.375 0.646 20 0 DCADLN C[C@]12C[N@@H+](Cc3ccc(C(=O)[O-])cn3)C[C@H]1CS(=O)(=O)C2 ZINC001599938440 985425186 /nfs/dbraw/zinc/42/51/86/985425186.db2.gz UEHCSXVZOAOUNB-SMDDNHRTSA-N 0 2 310.375 0.646 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001599955956 985690023 /nfs/dbraw/zinc/69/00/23/985690023.db2.gz YUEVHAHUDQZDPR-TXEJJXNPSA-N 0 2 321.377 0.923 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001599955956 985690030 /nfs/dbraw/zinc/69/00/30/985690030.db2.gz YUEVHAHUDQZDPR-TXEJJXNPSA-N 0 2 321.377 0.923 20 0 DCADLN C[C@H]1C[N@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C[C@H](C2CC2)O1 ZINC001594509436 985775631 /nfs/dbraw/zinc/77/56/31/985775631.db2.gz YLLXMJTVMVCNAK-SMDDNHRTSA-N 0 2 310.394 0.809 20 0 DCADLN C[C@H]1C[N@@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C[C@H](C2CC2)O1 ZINC001594509436 985775645 /nfs/dbraw/zinc/77/56/45/985775645.db2.gz YLLXMJTVMVCNAK-SMDDNHRTSA-N 0 2 310.394 0.809 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2CCCN2C=O)n[nH]1 ZINC001551660597 1015165417 /nfs/dbraw/zinc/16/54/17/1015165417.db2.gz PZVUSCOVXZBFTG-FRRDWIJNSA-N 0 2 321.381 0.065 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2nccc(C)n2)n[nH]1 ZINC001551666621 1015171954 /nfs/dbraw/zinc/17/19/54/1015171954.db2.gz GPXRGCQSRKATJQ-VXGBXAGGSA-N 0 2 316.365 0.856 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2ccnn2C)n[nH]1 ZINC001551667294 1015172027 /nfs/dbraw/zinc/17/20/27/1015172027.db2.gz PJMMUNXZXKDKOG-CMPLNLGQSA-N 0 2 304.354 0.491 20 0 DCADLN Cc1cnn(CC(=O)N(C)C[C@@H]2OCC[C@@H]2c2n[nH]c(C)n2)c1 ZINC001551662563 1015169046 /nfs/dbraw/zinc/16/90/46/1015169046.db2.gz FOFSIESCOPUXFY-STQMWFEESA-N 0 2 318.381 0.649 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H]2OCC[C@@H]2c2n[nH]c(C)n2)n(C)n1 ZINC001551661976 1015169115 /nfs/dbraw/zinc/16/91/15/1015169115.db2.gz DOBVHUBPDWEKBU-AAEUAGOBSA-N 0 2 318.381 0.800 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)Cn2cccn2)n[nH]1 ZINC001551668767 1015172118 /nfs/dbraw/zinc/17/21/18/1015172118.db2.gz RPJLPEFJWUIVTQ-NEPJUHHUSA-N 0 2 304.354 0.341 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2csnn2)n[nH]1 ZINC001551669421 1015171467 /nfs/dbraw/zinc/17/14/67/1015171467.db2.gz SMKSJSXCBULOMI-WPRPVWTQSA-N 0 2 308.367 0.609 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2snnc2C)n[nH]1 ZINC001551672044 1015172795 /nfs/dbraw/zinc/17/27/95/1015172795.db2.gz YLXPCGHEAXBIIJ-UWVGGRQHSA-N 0 2 322.394 0.918 20 0 DCADLN CCOCC[N@H+](C)CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001596923264 985992883 /nfs/dbraw/zinc/99/28/83/985992883.db2.gz NJEXWWAFSOTESQ-ZDUSSCGKSA-N 0 2 301.343 0.088 20 0 DCADLN CCOCC[N@@H+](C)CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001596923264 985992890 /nfs/dbraw/zinc/99/28/90/985992890.db2.gz NJEXWWAFSOTESQ-ZDUSSCGKSA-N 0 2 301.343 0.088 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ccnc(C(=O)[O-])c1 ZINC001594526593 986056981 /nfs/dbraw/zinc/05/69/81/986056981.db2.gz AGQDWKYQAYBAOX-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ccnc(C(=O)[O-])c1 ZINC001594526593 986056993 /nfs/dbraw/zinc/05/69/93/986056993.db2.gz AGQDWKYQAYBAOX-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CCO1 ZINC001594528661 986102875 /nfs/dbraw/zinc/10/28/75/986102875.db2.gz XKWFZFRRPQVNPZ-FRRDWIJNSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CCO1 ZINC001594528661 986102882 /nfs/dbraw/zinc/10/28/82/986102882.db2.gz XKWFZFRRPQVNPZ-FRRDWIJNSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCn2c[nH+]cc2C1 ZINC001589352105 986320912 /nfs/dbraw/zinc/32/09/12/986320912.db2.gz GDTUNKDUGNBJCW-NSHDSACASA-N 0 2 306.366 0.410 20 0 DCADLN C[C@@H]1CNc2c(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cnn2C1 ZINC001594585690 986480376 /nfs/dbraw/zinc/48/03/76/986480376.db2.gz DGCPTKQJYPXNMQ-KCJUWKMLSA-N 0 2 318.337 0.094 20 0 DCADLN C[C@@H]1CNc2c(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cnn2C1 ZINC001594585690 986480381 /nfs/dbraw/zinc/48/03/81/986480381.db2.gz DGCPTKQJYPXNMQ-KCJUWKMLSA-N 0 2 318.337 0.094 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[NH+]1CCC(CN=S(C)(C)=O)CC1 ZINC001589396773 986527761 /nfs/dbraw/zinc/52/77/61/986527761.db2.gz LWSSTDIWSPRERA-JTQLQIEISA-N 0 2 319.427 0.015 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC001594607819 986588006 /nfs/dbraw/zinc/58/80/06/986588006.db2.gz OERCTEWTXSAMDA-AAEUAGOBSA-N 0 2 313.398 0.507 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC001594607819 986588012 /nfs/dbraw/zinc/58/80/12/986588012.db2.gz OERCTEWTXSAMDA-AAEUAGOBSA-N 0 2 313.398 0.507 20 0 DCADLN Cc1ccnn1CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001386273083 987023061 /nfs/dbraw/zinc/02/30/61/987023061.db2.gz NUWNNRMLMCLHQZ-XCBNKYQSSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccnn1CC(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001386273083 987023069 /nfs/dbraw/zinc/02/30/69/987023069.db2.gz NUWNNRMLMCLHQZ-XCBNKYQSSA-N 0 2 324.278 0.713 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001386644128 987262341 /nfs/dbraw/zinc/26/23/41/987262341.db2.gz CNLISJHPRMKTJX-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001386644128 987262345 /nfs/dbraw/zinc/26/23/45/987262345.db2.gz CNLISJHPRMKTJX-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN CC(C)(C(=O)[O-])[NH+]1CCN(C(=O)C(=O)c2ccc(O)cc2)CC1 ZINC001589621381 987502128 /nfs/dbraw/zinc/50/21/28/987502128.db2.gz VZVKGMLOGDQPBY-UHFFFAOYSA-N 0 2 320.345 0.582 20 0 DCADLN Cn1ccc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001387749512 988116082 /nfs/dbraw/zinc/11/60/82/988116082.db2.gz MRLDTRLOVKYVDZ-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1ccc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001387749512 988116089 /nfs/dbraw/zinc/11/60/89/988116089.db2.gz MRLDTRLOVKYVDZ-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]cc[nH+]1)C(=O)C[N@H+](C)C(C)C ZINC001388402207 988272422 /nfs/dbraw/zinc/27/24/22/988272422.db2.gz ZCXYDLPAFSLJJI-UHFFFAOYSA-N 0 2 309.414 0.257 20 0 DCADLN CCc1nc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cs1 ZINC001597662257 988733933 /nfs/dbraw/zinc/73/39/33/988733933.db2.gz ABANJWLBENKCEY-JTQLQIEISA-N 0 2 308.363 0.783 20 0 DCADLN CCc1nc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cs1 ZINC001597662257 988733941 /nfs/dbraw/zinc/73/39/41/988733941.db2.gz ABANJWLBENKCEY-JTQLQIEISA-N 0 2 308.363 0.783 20 0 DCADLN O=C(CC[C@@H]1CCCCO1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389490533 989321358 /nfs/dbraw/zinc/32/13/58/989321358.db2.gz PMXBRPQCEBRBLN-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(Cc1cnoc1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001389941309 989698697 /nfs/dbraw/zinc/69/86/97/989698697.db2.gz IINTUPQSFZFSEW-SECBINFHSA-N 0 2 323.246 0.883 20 0 DCADLN O=C(Cc1cnoc1)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001389941309 989698699 /nfs/dbraw/zinc/69/86/99/989698699.db2.gz IINTUPQSFZFSEW-SECBINFHSA-N 0 2 323.246 0.883 20 0 DCADLN CCn1nncc1C[N@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])C1CC1 ZINC001597975894 990039852 /nfs/dbraw/zinc/03/98/52/990039852.db2.gz NEVSCNFGKGFYDG-QWHCGFSZSA-N 0 2 321.381 0.099 20 0 DCADLN CCn1nncc1C[N@@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])C1CC1 ZINC001597975894 990039860 /nfs/dbraw/zinc/03/98/60/990039860.db2.gz NEVSCNFGKGFYDG-QWHCGFSZSA-N 0 2 321.381 0.099 20 0 DCADLN CC[N@@H+]1CC[C@H]1CNC(=O)N1CC[C@@H]([NH+]2CCN(C)CC2)C1 ZINC001552901240 1015559754 /nfs/dbraw/zinc/55/97/54/1015559754.db2.gz RQXTXKNLYHMLFS-LSDHHAIUSA-N 0 2 309.458 0.112 20 0 DCADLN CC(C)(CS(=O)(=O)N[C@@H]1CCn2cc[nH+]c2C1)C(=O)[O-] ZINC001590804577 990640204 /nfs/dbraw/zinc/64/02/04/990640204.db2.gz XYAVULKXZQXZOC-SECBINFHSA-N 0 2 301.368 0.228 20 0 DCADLN CCCN(C(=O)[C@@H]1CCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391259550 990703966 /nfs/dbraw/zinc/70/39/66/990703966.db2.gz IFZBERWXPINOMQ-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CCCN(C(=O)[C@@H]1CCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391259550 990703971 /nfs/dbraw/zinc/70/39/71/990703971.db2.gz IFZBERWXPINOMQ-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CC(=O)N[C@@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)C(C)C ZINC001391705056 991117265 /nfs/dbraw/zinc/11/72/65/991117265.db2.gz UPSWATZLXWFVPG-ZDUSSCGKSA-N 0 2 318.381 0.701 20 0 DCADLN CC(C)[C@H](NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001591014637 991292069 /nfs/dbraw/zinc/29/20/69/991292069.db2.gz MZAZXHMSINIWGO-AGIUHOORSA-N 0 2 314.382 0.092 20 0 DCADLN CN(C)c1cccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001598335458 991388399 /nfs/dbraw/zinc/38/83/99/991388399.db2.gz LFHXCIMHAYVKCB-ZDUSSCGKSA-N 0 2 302.334 0.832 20 0 DCADLN O=C(Nn1ccccc1=O)c1cnn(C[C@H]2CCCCO2)c1 ZINC001553232418 1015649135 /nfs/dbraw/zinc/64/91/35/1015649135.db2.gz YQWLTDPYULUDFT-CYBMUJFWSA-N 0 2 302.334 0.998 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC12CCCC2 ZINC001392318929 991747609 /nfs/dbraw/zinc/74/76/09/991747609.db2.gz WVYZRRZCAOQDGZ-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC12CCCC2 ZINC001392318929 991747618 /nfs/dbraw/zinc/74/76/18/991747618.db2.gz WVYZRRZCAOQDGZ-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN COc1ncc(C=CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001599736483 991782779 /nfs/dbraw/zinc/78/27/79/991782779.db2.gz WGSJCDHWLGBRFT-KXMPLOMGSA-N 0 2 317.305 0.034 20 0 DCADLN COc1ncc(C=CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001599736483 991782784 /nfs/dbraw/zinc/78/27/84/991782784.db2.gz WGSJCDHWLGBRFT-KXMPLOMGSA-N 0 2 317.305 0.034 20 0 DCADLN COc1ncccc1C[N@H+](C)CCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001599737046 991790281 /nfs/dbraw/zinc/79/02/81/991790281.db2.gz AQMXFZNCELLLDV-NWDGAFQWSA-N 0 2 307.350 0.359 20 0 DCADLN COc1ncccc1C[N@@H+](C)CCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001599737046 991790290 /nfs/dbraw/zinc/79/02/90/991790290.db2.gz AQMXFZNCELLLDV-NWDGAFQWSA-N 0 2 307.350 0.359 20 0 DCADLN C[S@](=O)c1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC001599778195 992331599 /nfs/dbraw/zinc/33/15/99/992331599.db2.gz JJNBYKDMHYVJBD-IPQOISQHSA-N 0 2 321.358 0.573 20 0 DCADLN C[S@](=O)c1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001599778195 992331606 /nfs/dbraw/zinc/33/16/06/992331606.db2.gz JJNBYKDMHYVJBD-IPQOISQHSA-N 0 2 321.358 0.573 20 0 DCADLN O=C(CCc1cccnc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001392832867 992441895 /nfs/dbraw/zinc/44/18/95/992441895.db2.gz IKIBONCGYQHLPN-LBPRGKRZSA-N 0 2 316.365 0.229 20 0 DCADLN CN1C(=O)NC2(CC[NH+](Cc3nc(C(=O)[O-])cs3)CC2)C1=O ZINC001598556209 993060354 /nfs/dbraw/zinc/06/03/54/993060354.db2.gz FDMDHODFRPPGBX-UHFFFAOYSA-N 0 2 324.362 0.358 20 0 DCADLN CN1CC[N@H+](C)[C@@H](CNC(=O)/C=C/c2cc(C(=O)[O-])co2)C1 ZINC001598611351 993782203 /nfs/dbraw/zinc/78/22/03/993782203.db2.gz JVFNVWHKRUSPAB-PCAWENJQSA-N 0 2 307.350 0.353 20 0 DCADLN CN1CC[N@@H+](C)[C@@H](CNC(=O)/C=C/c2cc(C(=O)[O-])co2)C1 ZINC001598611351 993782212 /nfs/dbraw/zinc/78/22/12/993782212.db2.gz JVFNVWHKRUSPAB-PCAWENJQSA-N 0 2 307.350 0.353 20 0 DCADLN CO[C@@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001399877544 993871390 /nfs/dbraw/zinc/87/13/90/993871390.db2.gz YWWWOUMYSNPDQY-ZYHUDNBSSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001399877544 993871392 /nfs/dbraw/zinc/87/13/92/993871392.db2.gz YWWWOUMYSNPDQY-ZYHUDNBSSA-N 0 2 311.386 0.262 20 0 DCADLN CN(CCNC(=O)C(C)(C)C(C)(F)F)Cc1n[nH]c(=O)[nH]1 ZINC001492830573 993888047 /nfs/dbraw/zinc/88/80/47/993888047.db2.gz KGRVRQRLFKNTAG-UHFFFAOYSA-N 0 2 305.329 0.740 20 0 DCADLN C[N@H+](CCNC(=O)C(C)(C)C(C)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001492830573 993888055 /nfs/dbraw/zinc/88/80/55/993888055.db2.gz KGRVRQRLFKNTAG-UHFFFAOYSA-N 0 2 305.329 0.740 20 0 DCADLN C[N@@H+](CCNC(=O)C(C)(C)C(C)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001492830573 993888061 /nfs/dbraw/zinc/88/80/61/993888061.db2.gz KGRVRQRLFKNTAG-UHFFFAOYSA-N 0 2 305.329 0.740 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)C2([NH+](C)C)CCC2)c1[O-] ZINC001400168898 994229217 /nfs/dbraw/zinc/22/92/17/994229217.db2.gz CCKBMGKDVLQMAV-VIFPVBQESA-N 0 2 323.397 0.143 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(C)(C)O ZINC001400181143 994247005 /nfs/dbraw/zinc/24/70/05/994247005.db2.gz TYBRFWUKOVKGSL-XPUUQOCRSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CC(C)(C)O ZINC001400181143 994247012 /nfs/dbraw/zinc/24/70/12/994247012.db2.gz TYBRFWUKOVKGSL-XPUUQOCRSA-N 0 2 302.268 0.669 20 0 DCADLN O=C(CC[C@H]1CCOC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400276651 994371360 /nfs/dbraw/zinc/37/13/60/994371360.db2.gz QIYHCIPSLRPJJG-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1CCC[N@H+](CCNC(=O)C2CC2)C1)[NH+](C)C ZINC001400278817 994374357 /nfs/dbraw/zinc/37/43/57/994374357.db2.gz GJJGCTFWMFXGEC-HUUCEWRRSA-N 0 2 324.469 0.433 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1nc[nH]n1 ZINC001395095896 994429726 /nfs/dbraw/zinc/42/97/26/994429726.db2.gz HMBYVOBDTPPXSN-PHDIDXHHSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1nc[nH]n1 ZINC001395095896 994429737 /nfs/dbraw/zinc/42/97/37/994429737.db2.gz HMBYVOBDTPPXSN-PHDIDXHHSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001400388057 994465334 /nfs/dbraw/zinc/46/53/34/994465334.db2.gz AARBQLMSURAVRH-ZCFIWIBFSA-N 0 2 307.276 0.399 20 0 DCADLN CNC(=O)NC[C@@H]1C[N@H+](Cc2ccsc2C(=O)[O-])CCO1 ZINC001598654011 994491954 /nfs/dbraw/zinc/49/19/54/994491954.db2.gz YZIFWWUUQHFYMD-SNVBAGLBSA-N 0 2 313.379 0.576 20 0 DCADLN CNC(=O)NC[C@@H]1C[N@@H+](Cc2ccsc2C(=O)[O-])CCO1 ZINC001598654011 994491963 /nfs/dbraw/zinc/49/19/63/994491963.db2.gz YZIFWWUUQHFYMD-SNVBAGLBSA-N 0 2 313.379 0.576 20 0 DCADLN CNS(=O)(=O)C1CCN(c2ccc(C)c(C(=O)[O-])[nH+]2)CC1 ZINC001598669078 994724743 /nfs/dbraw/zinc/72/47/43/994724743.db2.gz HYTLSOQGFMEPGA-UHFFFAOYSA-N 0 2 313.379 0.606 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCC[N@@H+]1[C@H](C(=O)[O-])c1ccsc1 ZINC001598671132 994758404 /nfs/dbraw/zinc/75/84/04/994758404.db2.gz MWTDMDDVDIFIAV-MNOVXSKESA-N 0 2 318.420 0.887 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCC[N@H+]1[C@H](C(=O)[O-])c1ccsc1 ZINC001598671132 994758413 /nfs/dbraw/zinc/75/84/13/994758413.db2.gz MWTDMDDVDIFIAV-MNOVXSKESA-N 0 2 318.420 0.887 20 0 DCADLN COC(=O)[C@H](c1cccc(C(=O)[O-])c1)[NH+]1C[C@@H](CO)[C@H](CO)C1 ZINC001598712307 995362842 /nfs/dbraw/zinc/36/28/42/995362842.db2.gz KPRIRKBYNCTGEU-IHRRRGAJSA-N 0 2 323.345 0.132 20 0 DCADLN COC(=O)[C@H](c1cccc(OC)c1)[N@@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001598715336 995399425 /nfs/dbraw/zinc/39/94/25/995399425.db2.gz DJBNRQHEAQEGRO-SCRDCRAPSA-N 0 2 323.345 0.677 20 0 DCADLN COC(=O)[C@H](c1cccc(OC)c1)[N@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001598715336 995399432 /nfs/dbraw/zinc/39/94/32/995399432.db2.gz DJBNRQHEAQEGRO-SCRDCRAPSA-N 0 2 323.345 0.677 20 0 DCADLN CO[C@@H](C)CC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001396723407 995562955 /nfs/dbraw/zinc/56/29/55/995562955.db2.gz QPYOLOFYWKNYIP-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CO[C@@H](C)CC(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001396723407 995562963 /nfs/dbraw/zinc/56/29/63/995562963.db2.gz QPYOLOFYWKNYIP-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001397016077 995744377 /nfs/dbraw/zinc/74/43/77/995744377.db2.gz VLOIRCOIZMHAGU-DTWKUNHWSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001397016077 995744383 /nfs/dbraw/zinc/74/43/83/995744383.db2.gz VLOIRCOIZMHAGU-DTWKUNHWSA-N 0 2 322.262 0.935 20 0 DCADLN COC[C@H](C)CC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001397252194 995888254 /nfs/dbraw/zinc/88/82/54/995888254.db2.gz CUXNQKXQYISZFU-MXWKQRLJSA-N 0 2 311.386 0.262 20 0 DCADLN COC[C@H](C)CC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001397252194 995888256 /nfs/dbraw/zinc/88/82/56/995888256.db2.gz CUXNQKXQYISZFU-MXWKQRLJSA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])N(C(=O)CNc2cccc[nH+]2)C1 ZINC001598777063 995940817 /nfs/dbraw/zinc/94/08/17/995940817.db2.gz QAYGEWIXBQEJGU-WDEREUQCSA-N 0 2 321.333 0.358 20 0 DCADLN COC(=O)Cc1n[nH]c(NC(=O)c2cccn(C3CC3)c2=O)n1 ZINC001465361875 1015997706 /nfs/dbraw/zinc/99/77/06/1015997706.db2.gz ZSEMOWFVINOZSZ-UHFFFAOYSA-N 0 2 317.305 0.269 20 0 DCADLN C[C@@H](Oc1cccnc1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593655508 996020220 /nfs/dbraw/zinc/02/02/20/996020220.db2.gz GVKMWZFUGCIFNZ-SKDRFNHKSA-N 0 2 304.306 0.384 20 0 DCADLN C[C@@H](Oc1cccnc1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593655508 996020223 /nfs/dbraw/zinc/02/02/23/996020223.db2.gz GVKMWZFUGCIFNZ-SKDRFNHKSA-N 0 2 304.306 0.384 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCn1cccc1 ZINC001397565468 996073186 /nfs/dbraw/zinc/07/31/86/996073186.db2.gz COAJEELJGKUTTO-LBPRGKRZSA-N 0 2 318.381 0.435 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@H](C(=O)[O-])C1 ZINC001598795396 996106691 /nfs/dbraw/zinc/10/66/91/996106691.db2.gz YGRCTBGHKIQGNH-KOLCDFICSA-N 0 2 309.322 0.125 20 0 DCADLN C[C@@H](c1ncc(C(=O)[O-])s1)[N@@H+]1CCC[C@]2(C1)NC(=O)NC2=O ZINC001593731215 996301261 /nfs/dbraw/zinc/30/12/61/996301261.db2.gz DKGDFWOFBGZUPQ-WPPNPWJKSA-N 0 2 324.362 0.576 20 0 DCADLN C[C@@H](c1ncc(C(=O)[O-])s1)[N@H+]1CCC[C@]2(C1)NC(=O)NC2=O ZINC001593731215 996301266 /nfs/dbraw/zinc/30/12/66/996301266.db2.gz DKGDFWOFBGZUPQ-WPPNPWJKSA-N 0 2 324.362 0.576 20 0 DCADLN COC(=O)Cc1occc1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001598874678 996755343 /nfs/dbraw/zinc/75/53/43/996755343.db2.gz TXMFFDVMKFPCBH-SNVBAGLBSA-N 0 2 321.289 0.075 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@H+](Cc2nnnn2-c2ccccc2)C1 ZINC001599079572 996967212 /nfs/dbraw/zinc/96/72/12/996967212.db2.gz SDGNFQJBYKYYFJ-CQSZACIVSA-N 0 2 303.322 0.338 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@@H+](Cc2nnnn2-c2ccccc2)C1 ZINC001599079572 996967230 /nfs/dbraw/zinc/96/72/30/996967230.db2.gz SDGNFQJBYKYYFJ-CQSZACIVSA-N 0 2 303.322 0.338 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001404493955 997168040 /nfs/dbraw/zinc/16/80/40/997168040.db2.gz ZPSICJLZJLTYBM-QWRGUYRKSA-N 0 2 323.397 0.129 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001404493955 997168047 /nfs/dbraw/zinc/16/80/47/997168047.db2.gz ZPSICJLZJLTYBM-QWRGUYRKSA-N 0 2 323.397 0.129 20 0 DCADLN CO[C@@H]1CC(=O)N(C[N@@H+]2CCc3cccc(C(=O)[O-])c3C2)C1 ZINC001599095670 997277187 /nfs/dbraw/zinc/27/71/87/997277187.db2.gz IGWQNENJKRNDJA-GFCCVEGCSA-N 0 2 304.346 0.948 20 0 DCADLN CO[C@@H]1CC(=O)N(C[N@H+]2CCc3cccc(C(=O)[O-])c3C2)C1 ZINC001599095670 997277194 /nfs/dbraw/zinc/27/71/94/997277194.db2.gz IGWQNENJKRNDJA-GFCCVEGCSA-N 0 2 304.346 0.948 20 0 DCADLN O=C(NCCC1CC(O)C1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001466832016 1016115681 /nfs/dbraw/zinc/11/56/81/1016115681.db2.gz XFTHRRPLKCJBEP-UHFFFAOYSA-N 0 2 318.333 0.157 20 0 DCADLN CS(=O)(=O)CCCN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404779788 997451962 /nfs/dbraw/zinc/45/19/62/997451962.db2.gz XZLWWUNXFJSTHT-QMMMGPOBSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCCN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404779788 997451965 /nfs/dbraw/zinc/45/19/65/997451965.db2.gz XZLWWUNXFJSTHT-QMMMGPOBSA-N 0 2 320.308 0.122 20 0 DCADLN CC1(CCC(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001404978254 997640222 /nfs/dbraw/zinc/64/02/22/997640222.db2.gz GICBLMJCMPHHON-QWRGUYRKSA-N 0 2 305.382 0.876 20 0 DCADLN CCC[C@H](OCC)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405014493 997685089 /nfs/dbraw/zinc/68/50/89/997685089.db2.gz FCDASOPCPDHCCS-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H]1CC[N@H+](CC(=O)N2C[C@@H](C)O[C@@H](C)C2)[C@@H](C(=O)[O-])C1 ZINC001599123033 997777023 /nfs/dbraw/zinc/77/70/23/997777023.db2.gz PDVLCPPHIZKNFN-YVECIDJPSA-N 0 2 314.382 0.186 20 0 DCADLN CO[C@@H]1CC[N@@H+](CC(=O)N2C[C@@H](C)O[C@@H](C)C2)[C@@H](C(=O)[O-])C1 ZINC001599123033 997777036 /nfs/dbraw/zinc/77/70/36/997777036.db2.gz PDVLCPPHIZKNFN-YVECIDJPSA-N 0 2 314.382 0.186 20 0 DCADLN COc1cccc(C[C@@H](O)C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC001467311154 1016156741 /nfs/dbraw/zinc/15/67/41/1016156741.db2.gz WNKPGVBFQNRXFB-LLVKDONJSA-N 0 2 323.374 0.629 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@H](O)[C@@H]2C(=O)[O-])n(C)c1C ZINC001598933502 997815089 /nfs/dbraw/zinc/81/50/89/997815089.db2.gz YCNSSNIQUDYZCD-QWHCGFSZSA-N 0 2 310.350 0.530 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@H](O)[C@@H]2C(=O)[O-])n(C)c1C ZINC001598933502 997815098 /nfs/dbraw/zinc/81/50/98/997815098.db2.gz YCNSSNIQUDYZCD-QWHCGFSZSA-N 0 2 310.350 0.530 20 0 DCADLN CCN(C(=O)CC1(O)CCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405167095 997879111 /nfs/dbraw/zinc/87/91/11/997879111.db2.gz IVYQDSWYVOMCSC-LLVKDONJSA-N 0 2 323.397 0.238 20 0 DCADLN CCN(C(=O)CC1(O)CCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405167095 997879119 /nfs/dbraw/zinc/87/91/19/997879119.db2.gz IVYQDSWYVOMCSC-LLVKDONJSA-N 0 2 323.397 0.238 20 0 DCADLN COc1c(O)cccc1C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001599345287 997994593 /nfs/dbraw/zinc/99/45/93/997994593.db2.gz UHURITNFUADKPV-LLVKDONJSA-N 0 2 308.334 0.566 20 0 DCADLN COc1c(O)cccc1C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001599345287 997994603 /nfs/dbraw/zinc/99/46/03/997994603.db2.gz UHURITNFUADKPV-LLVKDONJSA-N 0 2 308.334 0.566 20 0 DCADLN CC1(CC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001405287101 998064428 /nfs/dbraw/zinc/06/44/28/998064428.db2.gz IACCEMTXWVDWOH-IONNQARKSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001405287101 998064438 /nfs/dbraw/zinc/06/44/38/998064438.db2.gz IACCEMTXWVDWOH-IONNQARKSA-N 0 2 314.279 0.670 20 0 DCADLN COc1ccc(C[N@H+](C)CC(=O)N[C@H](C(=O)[O-])C(C)C)cn1 ZINC001599558900 998149926 /nfs/dbraw/zinc/14/99/26/998149926.db2.gz OIFUYNDDIJBJEY-AWEZNQCLSA-N 0 2 309.366 0.747 20 0 DCADLN COc1ccc(C[N@@H+](C)CC(=O)N[C@H](C(=O)[O-])C(C)C)cn1 ZINC001599558900 998149935 /nfs/dbraw/zinc/14/99/35/998149935.db2.gz OIFUYNDDIJBJEY-AWEZNQCLSA-N 0 2 309.366 0.747 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])s1 ZINC001598958853 998295447 /nfs/dbraw/zinc/29/54/47/998295447.db2.gz GTYCDMQBMVHOHF-QMMMGPOBSA-N 0 2 323.330 0.614 20 0 DCADLN Cc1cc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001468201621 1016230990 /nfs/dbraw/zinc/23/09/90/1016230990.db2.gz DQSQXEMMVUMWQC-SECBINFHSA-N 0 2 306.326 0.896 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC001594170962 998656541 /nfs/dbraw/zinc/65/65/41/998656541.db2.gz NQGDTCWBLPCUSW-MNOVXSKESA-N 0 2 319.365 0.054 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC001594170962 998656544 /nfs/dbraw/zinc/65/65/44/998656544.db2.gz NQGDTCWBLPCUSW-MNOVXSKESA-N 0 2 319.365 0.054 20 0 DCADLN COC(=O)c1ccccc1CC[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001599003618 998840196 /nfs/dbraw/zinc/84/01/96/998840196.db2.gz ZVYAPPROBMVGPY-CYBMUJFWSA-N 0 2 320.345 0.291 20 0 DCADLN COC(=O)c1ccccc1CC[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001599003618 998840202 /nfs/dbraw/zinc/84/02/02/998840202.db2.gz ZVYAPPROBMVGPY-CYBMUJFWSA-N 0 2 320.345 0.291 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC001594249930 998957119 /nfs/dbraw/zinc/95/71/19/998957119.db2.gz KJKSXSZASHLLIO-YPMHNXCESA-N 0 2 319.361 0.715 20 0 DCADLN C[C@H]1CCC[N@H+](CCNS(=O)(=O)c2cc(C(=O)[O-])no2)C1 ZINC001594382804 999444620 /nfs/dbraw/zinc/44/46/20/999444620.db2.gz DITNGFRFLJQCAT-VIFPVBQESA-N 0 2 317.367 0.383 20 0 DCADLN C[C@H]1CCC[N@@H+](CCNS(=O)(=O)c2cc(C(=O)[O-])no2)C1 ZINC001594382804 999444621 /nfs/dbraw/zinc/44/46/21/999444621.db2.gz DITNGFRFLJQCAT-VIFPVBQESA-N 0 2 317.367 0.383 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)C[C@@H]1n1cc[nH+]c1 ZINC001594407046 999525585 /nfs/dbraw/zinc/52/55/85/999525585.db2.gz LINFEFXUYLCPMF-XQHKEYJVSA-N 0 2 307.350 0.925 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)Cc1n[nH]c(=O)[n-]1 ZINC001418560552 1000346235 /nfs/dbraw/zinc/34/62/35/1000346235.db2.gz XDIMNIBURKVGQT-IJLUTSLNSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)Cc1n[nH]c(=O)[n-]1 ZINC001418560552 1000346242 /nfs/dbraw/zinc/34/62/42/1000346242.db2.gz XDIMNIBURKVGQT-IJLUTSLNSA-N 0 2 307.398 0.885 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001401358663 1000375182 /nfs/dbraw/zinc/37/51/82/1000375182.db2.gz WJZXWRXKMLWFAJ-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001401358663 1000375190 /nfs/dbraw/zinc/37/51/90/1000375190.db2.gz WJZXWRXKMLWFAJ-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN C[C@H]1Oc2ccccc2O[C@H]1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001418615272 1000393611 /nfs/dbraw/zinc/39/36/11/1000393611.db2.gz BWWJJSCBQATAMI-XLKFXECMSA-N 0 2 317.301 0.733 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2ncc3c(F)cccn32)C(=O)N1C ZINC001470306831 1016411532 /nfs/dbraw/zinc/41/15/32/1016411532.db2.gz CRIXTJZURKNTOP-SSDOTTSWSA-N 0 2 305.269 0.401 20 0 DCADLN Cc1cccc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001418741124 1000484862 /nfs/dbraw/zinc/48/48/62/1000484862.db2.gz UIUWMOVIPBHCHY-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cccc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001418741124 1000484868 /nfs/dbraw/zinc/48/48/68/1000484868.db2.gz UIUWMOVIPBHCHY-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN CCc1oncc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418786387 1000518583 /nfs/dbraw/zinc/51/85/83/1000518583.db2.gz AGPHXOKMTQLUKZ-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN CCc1oncc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418786387 1000518587 /nfs/dbraw/zinc/51/85/87/1000518587.db2.gz AGPHXOKMTQLUKZ-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)F)CCC1 ZINC001418988895 1000669234 /nfs/dbraw/zinc/66/92/34/1000669234.db2.gz YKSUVZMVWXXZCZ-UHFFFAOYSA-N 0 2 301.297 0.246 20 0 DCADLN Cc1nc(C)c(C[NH+]2CC(CNC(=O)Cc3nnc[nH]3)C2)s1 ZINC001419035029 1000707675 /nfs/dbraw/zinc/70/76/75/1000707675.db2.gz JJPPMCDQDDQFNJ-UHFFFAOYSA-N 0 2 320.422 0.669 20 0 DCADLN CCCn1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001401772916 1000711103 /nfs/dbraw/zinc/71/11/03/1000711103.db2.gz DEOKWBUONODXAK-SNVBAGLBSA-N 0 2 321.385 0.367 20 0 DCADLN CCCn1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001401772916 1000711110 /nfs/dbraw/zinc/71/11/10/1000711110.db2.gz DEOKWBUONODXAK-SNVBAGLBSA-N 0 2 321.385 0.367 20 0 DCADLN COCC(C)(C)C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419106987 1000777280 /nfs/dbraw/zinc/77/72/80/1000777280.db2.gz BJXLYTXSAZUEKG-JTQLQIEISA-N 0 2 311.386 0.216 20 0 DCADLN COCC(C)(C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419106987 1000777282 /nfs/dbraw/zinc/77/72/82/1000777282.db2.gz BJXLYTXSAZUEKG-JTQLQIEISA-N 0 2 311.386 0.216 20 0 DCADLN COC1(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001470515986 1016444303 /nfs/dbraw/zinc/44/43/03/1016444303.db2.gz AANMWQPQOIMWDG-SNVBAGLBSA-N 0 2 309.370 0.968 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001402136920 1001050583 /nfs/dbraw/zinc/05/05/83/1001050583.db2.gz JBQLBPLCZHWZRG-CPCISQLKSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001402136920 1001050593 /nfs/dbraw/zinc/05/05/93/1001050593.db2.gz JBQLBPLCZHWZRG-CPCISQLKSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001402136920 1001050597 /nfs/dbraw/zinc/05/05/97/1001050597.db2.gz JBQLBPLCZHWZRG-CPCISQLKSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402189626 1001097485 /nfs/dbraw/zinc/09/74/85/1001097485.db2.gz OKUGMFFGAYOHKJ-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(CCc1cnn[nH]1)NC[C@@H]([NH2+]Cc1nccs1)C1CC1 ZINC001402328445 1001218910 /nfs/dbraw/zinc/21/89/10/1001218910.db2.gz UNLNKQGABRESQG-GFCCVEGCSA-N 0 2 320.422 0.878 20 0 DCADLN CC[N@@H+](CC(=O)OC)C[C@@H](O)CC1(O)CCC(C(=O)[O-])CC1 ZINC001573769478 1163548536 /nfs/dbraw/zinc/54/85/36/1163548536.db2.gz WSEVEWSZOVXYFN-AVERBVTBSA-N 0 2 317.382 0.238 20 0 DCADLN CC[N@H+](CC(=O)OC)C[C@@H](O)CC1(O)CCC(C(=O)[O-])CC1 ZINC001573769478 1163548540 /nfs/dbraw/zinc/54/85/40/1163548540.db2.gz WSEVEWSZOVXYFN-AVERBVTBSA-N 0 2 317.382 0.238 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cc(C3CC3)nn2C)n1 ZINC001424703956 1001934923 /nfs/dbraw/zinc/93/49/23/1001934923.db2.gz KBZFFMTXLIQFGG-UHFFFAOYSA-N 0 2 311.371 0.666 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1C ZINC001424897768 1002126923 /nfs/dbraw/zinc/12/69/23/1002126923.db2.gz JVSDUCLFUSQCTE-SNVBAGLBSA-N 0 2 306.370 0.408 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1C ZINC001424897768 1002126927 /nfs/dbraw/zinc/12/69/27/1002126927.db2.gz JVSDUCLFUSQCTE-SNVBAGLBSA-N 0 2 306.370 0.408 20 0 DCADLN C[C@@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001425014505 1002249203 /nfs/dbraw/zinc/24/92/03/1002249203.db2.gz UMAPFUZZWVSBBP-VHSXEESVSA-N 0 2 307.354 0.844 20 0 DCADLN C[C@@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001425014505 1002249208 /nfs/dbraw/zinc/24/92/08/1002249208.db2.gz UMAPFUZZWVSBBP-VHSXEESVSA-N 0 2 307.354 0.844 20 0 DCADLN COCC(C)(C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420470237 1002464221 /nfs/dbraw/zinc/46/42/21/1002464221.db2.gz PUFWKLUZFWOAEK-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COCC(C)(C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420470237 1002464231 /nfs/dbraw/zinc/46/42/31/1002464231.db2.gz PUFWKLUZFWOAEK-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCc3[nH]cnc3C23CCC3)S1 ZINC001471659359 1016625104 /nfs/dbraw/zinc/62/51/04/1016625104.db2.gz ZKAWMKGNXAVANU-SECBINFHSA-N 0 2 319.390 0.730 20 0 DCADLN CCCN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(CC(N)=O)C1 ZINC001420804453 1002965495 /nfs/dbraw/zinc/96/54/95/1002965495.db2.gz MLUPHVDIZCKVGH-WCBMZHEXSA-N 0 2 313.295 0.685 20 0 DCADLN C[C@@H](NC(=O)c1cnc(C2CC2)nc1N)c1nn(C)cc1O ZINC001472236730 1016764723 /nfs/dbraw/zinc/76/47/23/1016764723.db2.gz MOPQKJYGIVGTFU-SSDOTTSWSA-N 0 2 302.338 0.866 20 0 DCADLN C[C@@H](C(=O)N(C)CCN(C)Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001406133430 1003403930 /nfs/dbraw/zinc/40/39/30/1003403930.db2.gz ZJTPUNDFLNJLNX-QMMMGPOBSA-N 0 2 305.329 0.692 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001406133430 1003403941 /nfs/dbraw/zinc/40/39/41/1003403941.db2.gz ZJTPUNDFLNJLNX-QMMMGPOBSA-N 0 2 305.329 0.692 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001406133430 1003403949 /nfs/dbraw/zinc/40/39/49/1003403949.db2.gz ZJTPUNDFLNJLNX-QMMMGPOBSA-N 0 2 305.329 0.692 20 0 DCADLN C[C@@H](CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001406153613 1003422583 /nfs/dbraw/zinc/42/25/83/1003422583.db2.gz BROGAERBFZQJTI-NSHDSACASA-N 0 2 321.385 0.248 20 0 DCADLN C[C@@H](CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001406153613 1003422592 /nfs/dbraw/zinc/42/25/92/1003422592.db2.gz BROGAERBFZQJTI-NSHDSACASA-N 0 2 321.385 0.248 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001421197573 1003432535 /nfs/dbraw/zinc/43/25/35/1003432535.db2.gz QADXSIOAWXGCLJ-IAQYHMDHSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001421197573 1003432536 /nfs/dbraw/zinc/43/25/36/1003432536.db2.gz QADXSIOAWXGCLJ-IAQYHMDHSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@H](C[NH2+]Cc1ncc(Cl)s1)NC(=O)c1nnc[nH]1 ZINC001406411508 1003694948 /nfs/dbraw/zinc/69/49/48/1003694948.db2.gz RTUPZJGGBUJUMP-ZCFIWIBFSA-N 0 2 300.775 0.823 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc2n(n1)CCCC2=O ZINC001472518761 1016817268 /nfs/dbraw/zinc/81/72/68/1016817268.db2.gz BDYNACRTVZDYGF-UHFFFAOYSA-N 0 2 304.310 0.046 20 0 DCADLN CN(C[C@@H](O)CN(C)C(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001428269757 1003787593 /nfs/dbraw/zinc/78/75/93/1003787593.db2.gz SKIAFONHFMZUEX-IUCAKERBSA-N 0 2 314.279 0.575 20 0 DCADLN CN(C[C@@H](O)CN(C)C(=O)C1CC1)C(=O)[C@H](F)C(F)(F)F ZINC001428269757 1003787602 /nfs/dbraw/zinc/78/76/02/1003787602.db2.gz SKIAFONHFMZUEX-IUCAKERBSA-N 0 2 314.279 0.575 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428314305 1003858271 /nfs/dbraw/zinc/85/82/71/1003858271.db2.gz GKMJZFKOPNTLJT-BKPPORCPSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001428314305 1003858283 /nfs/dbraw/zinc/85/82/83/1003858283.db2.gz GKMJZFKOPNTLJT-BKPPORCPSA-N 0 2 322.262 0.996 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001428656260 1004093643 /nfs/dbraw/zinc/09/36/43/1004093643.db2.gz YGAMFWGJKCGJFD-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001428656260 1004093651 /nfs/dbraw/zinc/09/36/51/1004093651.db2.gz YGAMFWGJKCGJFD-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN CCn1ncc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001406829861 1004130494 /nfs/dbraw/zinc/13/04/94/1004130494.db2.gz ODLMVDBJORWNAE-VHSXEESVSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncc(CN2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001406829861 1004130501 /nfs/dbraw/zinc/13/05/01/1004130501.db2.gz ODLMVDBJORWNAE-VHSXEESVSA-N 0 2 323.294 0.889 20 0 DCADLN COC(=O)c1cncc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001472782407 1016855808 /nfs/dbraw/zinc/85/58/08/1016855808.db2.gz ZXNKIXLTWVRPJR-MRVPVSSYSA-N 0 2 304.310 0.471 20 0 DCADLN COCCC(=O)N(C)C[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001428976194 1004301635 /nfs/dbraw/zinc/30/16/35/1004301635.db2.gz VFUHBFGTLYCTON-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N(C)C[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001428976194 1004301640 /nfs/dbraw/zinc/30/16/40/1004301640.db2.gz VFUHBFGTLYCTON-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1ncn(C)n1 ZINC001427363149 1004344243 /nfs/dbraw/zinc/34/42/43/1004344243.db2.gz OPYJNTRLQPPSOG-RKDXNWHRSA-N 0 2 320.357 0.289 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)[C@H]1CCOC1 ZINC001427411742 1004370040 /nfs/dbraw/zinc/37/00/40/1004370040.db2.gz GWORYXFVQHQYRF-DCAQKATOSA-N 0 2 309.370 0.824 20 0 DCADLN CCN1C[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC001427598088 1004482110 /nfs/dbraw/zinc/48/21/10/1004482110.db2.gz AUKFTLAOYVVVQP-UWVGGRQHSA-N 0 2 322.369 0.020 20 0 DCADLN O=C(CCC1CC1)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001427781661 1004604240 /nfs/dbraw/zinc/60/42/40/1004604240.db2.gz QHLYAQWZAFDTRA-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001427781661 1004604247 /nfs/dbraw/zinc/60/42/47/1004604247.db2.gz QHLYAQWZAFDTRA-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001427821096 1004629393 /nfs/dbraw/zinc/62/93/93/1004629393.db2.gz DBJUCQNXVKBREG-VXNVDRBHSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccccn1 ZINC001427821096 1004629396 /nfs/dbraw/zinc/62/93/96/1004629396.db2.gz DBJUCQNXVKBREG-VXNVDRBHSA-N 0 2 323.246 0.189 20 0 DCADLN CC1(C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001427827025 1004631654 /nfs/dbraw/zinc/63/16/54/1004631654.db2.gz QBALJVZTYMEKQC-BQBZGAKWSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001427827025 1004631661 /nfs/dbraw/zinc/63/16/61/1004631661.db2.gz QBALJVZTYMEKQC-BQBZGAKWSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001427827056 1004631987 /nfs/dbraw/zinc/63/19/87/1004631987.db2.gz QBALJVZTYMEKQC-RQJHMYQMSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001427827056 1004631990 /nfs/dbraw/zinc/63/19/90/1004631990.db2.gz QBALJVZTYMEKQC-RQJHMYQMSA-N 0 2 300.252 0.280 20 0 DCADLN COCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001408003746 1004981459 /nfs/dbraw/zinc/98/14/59/1004981459.db2.gz JAJPEGDHJMAFHG-GOZTYBTRSA-N 0 2 312.263 0.496 20 0 DCADLN COCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(F)(F)F ZINC001408003746 1004981461 /nfs/dbraw/zinc/98/14/61/1004981461.db2.gz JAJPEGDHJMAFHG-GOZTYBTRSA-N 0 2 312.263 0.496 20 0 DCADLN COCCC(=O)NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001408389512 1005187725 /nfs/dbraw/zinc/18/77/25/1005187725.db2.gz VLARPVBXVCGMNR-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN COCCC(=O)NC[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001408389512 1005187726 /nfs/dbraw/zinc/18/77/26/1005187726.db2.gz VLARPVBXVCGMNR-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN Cc1nnc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)o1 ZINC001415127887 1005465037 /nfs/dbraw/zinc/46/50/37/1005465037.db2.gz AIJUHGPOHQVYEO-SECBINFHSA-N 0 2 310.251 0.923 20 0 DCADLN Cc1nnc(CN2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)o1 ZINC001415127887 1005465038 /nfs/dbraw/zinc/46/50/38/1005465038.db2.gz AIJUHGPOHQVYEO-SECBINFHSA-N 0 2 310.251 0.923 20 0 DCADLN CC[N@@H+](C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001415233835 1005486326 /nfs/dbraw/zinc/48/63/26/1005486326.db2.gz SFDJUFAMAVHKJQ-NSHDSACASA-N 0 2 323.441 0.612 20 0 DCADLN CCOCC(=O)N1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001415289736 1005493661 /nfs/dbraw/zinc/49/36/61/1005493661.db2.gz UIGKQWGSKWLFLI-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN CCOCC(=O)N1CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001415289736 1005493667 /nfs/dbraw/zinc/49/36/67/1005493667.db2.gz UIGKQWGSKWLFLI-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)c1cncnc1)C(=O)C(F)C(F)(F)F ZINC001415476670 1005539987 /nfs/dbraw/zinc/53/99/87/1005539987.db2.gz DBWHMPFBORGYPZ-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1cncnc1)C(=O)[C@@H](F)C(F)(F)F ZINC001415476670 1005539991 /nfs/dbraw/zinc/53/99/91/1005539991.db2.gz DBWHMPFBORGYPZ-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN C[C@H](c1nncn1C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001415835728 1005620770 /nfs/dbraw/zinc/62/07/70/1005620770.db2.gz ISSASNGBYATINP-RKDXNWHRSA-N 0 2 323.294 0.921 20 0 DCADLN C[C@H](c1nncn1C)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001415835728 1005620771 /nfs/dbraw/zinc/62/07/71/1005620771.db2.gz ISSASNGBYATINP-RKDXNWHRSA-N 0 2 323.294 0.921 20 0 DCADLN COC[C@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416033676 1005652753 /nfs/dbraw/zinc/65/27/53/1005652753.db2.gz VBKOJVVRHVFIRE-POYBYMJQSA-N 0 2 300.252 0.496 20 0 DCADLN COC[C@H](C)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416033676 1005652755 /nfs/dbraw/zinc/65/27/55/1005652755.db2.gz VBKOJVVRHVFIRE-POYBYMJQSA-N 0 2 300.252 0.496 20 0 DCADLN CC(=O)CCCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416038357 1005654327 /nfs/dbraw/zinc/65/43/27/1005654327.db2.gz YMNYEYRZSISYMN-JTQLQIEISA-N 0 2 312.263 0.973 20 0 DCADLN CC(=O)CCCC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001416038357 1005654328 /nfs/dbraw/zinc/65/43/28/1005654328.db2.gz YMNYEYRZSISYMN-JTQLQIEISA-N 0 2 312.263 0.973 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001416092815 1005658638 /nfs/dbraw/zinc/65/86/38/1005658638.db2.gz ICUIAZFLIPKWSY-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2CCCO2)C1)[C@@H](F)C(F)(F)F ZINC001416092815 1005658640 /nfs/dbraw/zinc/65/86/40/1005658640.db2.gz ICUIAZFLIPKWSY-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001416092812 1005660073 /nfs/dbraw/zinc/66/00/73/1005660073.db2.gz ICUIAZFLIPKWSY-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2CCCO2)C1)[C@H](F)C(F)(F)F ZINC001416092812 1005660075 /nfs/dbraw/zinc/66/00/75/1005660075.db2.gz ICUIAZFLIPKWSY-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1(CF)CC1)C(F)C(F)(F)F ZINC001416766890 1005733468 /nfs/dbraw/zinc/73/34/68/1005733468.db2.gz SIXRPYOXVMFHEA-BQBZGAKWSA-N 0 2 318.242 0.230 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1(CF)CC1)[C@H](F)C(F)(F)F ZINC001416766890 1005733470 /nfs/dbraw/zinc/73/34/70/1005733470.db2.gz SIXRPYOXVMFHEA-BQBZGAKWSA-N 0 2 318.242 0.230 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cc[nH]c(=O)c1 ZINC001416800302 1005738013 /nfs/dbraw/zinc/73/80/13/1005738013.db2.gz PPUBLNTXLDDELS-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cc[nH]c(=O)c1 ZINC001416800302 1005738015 /nfs/dbraw/zinc/73/80/15/1005738015.db2.gz PPUBLNTXLDDELS-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1)C(F)C(F)(F)F ZINC001417147326 1005793304 /nfs/dbraw/zinc/79/33/04/1005793304.db2.gz SBJBIYFDAFENST-KDXUFGMBSA-N 0 2 324.274 0.544 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1)[C@H](F)C(F)(F)F ZINC001417147326 1005793305 /nfs/dbraw/zinc/79/33/05/1005793305.db2.gz SBJBIYFDAFENST-KDXUFGMBSA-N 0 2 324.274 0.544 20 0 DCADLN CC(C)n1nnc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC001417583737 1005846729 /nfs/dbraw/zinc/84/67/29/1005846729.db2.gz RXEQXUJBGMOJGJ-UHFFFAOYSA-N 0 2 308.264 0.650 20 0 DCADLN CCC1(CNC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001434781197 1005970730 /nfs/dbraw/zinc/97/07/30/1005970730.db2.gz NBEMAKAXFVVYEW-UHFFFAOYSA-N 0 2 315.399 0.339 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(C)F ZINC001451774979 1006405064 /nfs/dbraw/zinc/40/50/64/1006405064.db2.gz MKVMXHPNXGNVAW-RQJHMYQMSA-N 0 2 320.258 0.570 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C(C)(C)F ZINC001451774979 1006405068 /nfs/dbraw/zinc/40/50/68/1006405068.db2.gz MKVMXHPNXGNVAW-RQJHMYQMSA-N 0 2 320.258 0.570 20 0 DCADLN COc1cccc(F)c1C(=O)NCCN(C)Cc1n[nH]c(=O)[nH]1 ZINC001437465520 1006997606 /nfs/dbraw/zinc/99/76/06/1006997606.db2.gz JRVMUHNXXSDPBO-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN COc1cccc(F)c1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437465520 1006997615 /nfs/dbraw/zinc/99/76/15/1006997615.db2.gz JRVMUHNXXSDPBO-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN COc1cccc(F)c1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437465520 1006997626 /nfs/dbraw/zinc/99/76/26/1006997626.db2.gz JRVMUHNXXSDPBO-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN C[C@H]1CC[C@@H](CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001438128179 1007654370 /nfs/dbraw/zinc/65/43/70/1007654370.db2.gz CJCAPMAGDNXQMU-WDEREUQCSA-N 0 2 307.398 0.885 20 0 DCADLN CC(=O)CCCC(=O)N(C)C1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001440983870 1008158366 /nfs/dbraw/zinc/15/83/66/1008158366.db2.gz LABWOYCQSWCLBO-UHFFFAOYSA-N 0 2 323.397 0.692 20 0 DCADLN C[C@@H](NC(=O)CCC(C)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441169567 1008253926 /nfs/dbraw/zinc/25/39/26/1008253926.db2.gz MFRKQQYZWFGRAY-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N(C)CCN(C)C(=O)[C@H]1CCC[N@@H+]1C ZINC001433187265 1008588544 /nfs/dbraw/zinc/58/85/44/1008588544.db2.gz BMVYYUXCFCGEFV-CQSZACIVSA-N 0 2 321.425 0.272 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc2c1OCC2 ZINC001433523785 1008894846 /nfs/dbraw/zinc/89/48/46/1008894846.db2.gz KNTRQCRFXXKHGD-UHFFFAOYSA-N 0 2 311.301 0.645 20 0 DCADLN C[C@]12CN(C(=O)C(F)C(F)(F)F)C[C@H]1CS(=O)(=O)C2 ZINC001433570823 1008950764 /nfs/dbraw/zinc/95/07/64/1008950764.db2.gz KSNJYJSRCFSOBP-LKEWCRSYSA-N 0 2 303.277 0.780 20 0 DCADLN C[C@]12CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1CS(=O)(=O)C2 ZINC001433570823 1008950781 /nfs/dbraw/zinc/95/07/81/1008950781.db2.gz KSNJYJSRCFSOBP-LKEWCRSYSA-N 0 2 303.277 0.780 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001454390164 1009115234 /nfs/dbraw/zinc/11/52/34/1009115234.db2.gz KZTLQICDFDYVTH-GKROBHDKSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001454390164 1009115240 /nfs/dbraw/zinc/11/52/40/1009115240.db2.gz KZTLQICDFDYVTH-GKROBHDKSA-N 0 2 323.250 0.093 20 0 DCADLN COc1c(C)c[nH+]c(CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)c1C ZINC001442474780 1009383193 /nfs/dbraw/zinc/38/31/93/1009383193.db2.gz OFPWNQQPWJASLY-UHFFFAOYSA-N 0 2 319.321 0.575 20 0 DCADLN COc1cccc(C[N@H+](C)CCNC(=O)CCc2c[nH]nn2)n1 ZINC001442586943 1009495386 /nfs/dbraw/zinc/49/53/86/1009495386.db2.gz FMQNWBLIOFSHJG-UHFFFAOYSA-N 0 2 318.381 0.389 20 0 DCADLN CC[N@H+]1CCCC[C@H]1C(=O)NCC[NH+](C)[C@H](C)C(=O)NC1CC1 ZINC001442620537 1009528049 /nfs/dbraw/zinc/52/80/49/1009528049.db2.gz OLJVULBCJDPNSV-HIFRSBDPSA-N 0 2 324.469 0.576 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1cnn(C)n1 ZINC001442652022 1009582120 /nfs/dbraw/zinc/58/21/20/1009582120.db2.gz LYDWPEAYYSFZRG-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1cnn(C)n1 ZINC001442652022 1009582130 /nfs/dbraw/zinc/58/21/30/1009582130.db2.gz LYDWPEAYYSFZRG-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1cc(O)ccc1C(F)(F)F ZINC001434202282 1009657140 /nfs/dbraw/zinc/65/71/40/1009657140.db2.gz IDHHOCFLSMDTHJ-UHFFFAOYSA-N 0 2 316.239 0.951 20 0 DCADLN Cc1nc(SCCC(=O)NC2(c3nn[nH]n3)CCC2)n[nH]1 ZINC001455349295 1009675961 /nfs/dbraw/zinc/67/59/61/1009675961.db2.gz KYXVUOSAOIQSEV-UHFFFAOYSA-N 0 2 308.371 0.304 20 0 DCADLN COc1ncccc1C[N@@H+](C)CCN(C)C(=O)Cc1nnc[nH]1 ZINC001422229437 1009679213 /nfs/dbraw/zinc/67/92/13/1009679213.db2.gz NEXSLIDUPLDEQK-UHFFFAOYSA-N 0 2 318.381 0.341 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nc3c(cccc3F)[nH]2)S1 ZINC001434317382 1009755928 /nfs/dbraw/zinc/75/59/28/1009755928.db2.gz YEYUNNARVAZKGS-QMMMGPOBSA-N 0 2 321.337 0.875 20 0 DCADLN O=C(CCn1cccc1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001442817987 1009773715 /nfs/dbraw/zinc/77/37/15/1009773715.db2.gz MPUFCEGUFMKFTC-LBPRGKRZSA-N 0 2 318.381 0.483 20 0 DCADLN O=C(CCn1cccc1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001442817987 1009773725 /nfs/dbraw/zinc/77/37/25/1009773725.db2.gz MPUFCEGUFMKFTC-LBPRGKRZSA-N 0 2 318.381 0.483 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)c1oncc1C ZINC001455634930 1009876316 /nfs/dbraw/zinc/87/63/16/1009876316.db2.gz GAXVGGUZSOOBEJ-UHFFFAOYSA-N 0 2 319.365 0.836 20 0 DCADLN O=C(NCC[C@@H](O)C1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434414839 1009926608 /nfs/dbraw/zinc/92/66/08/1009926608.db2.gz HPNBTJJEUVXLNU-GFCCVEGCSA-N 0 2 318.333 0.157 20 0 DCADLN O=c1cc(CN2CCS(=O)(=O)[C@H]3CCC[C@H]32)nc2cc[nH]n21 ZINC001434469180 1009986932 /nfs/dbraw/zinc/98/69/32/1009986932.db2.gz JSYZRPNSQIXEBZ-NEPJUHHUSA-N 0 2 322.390 0.174 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC2(CC2)C1 ZINC001443035598 1010078768 /nfs/dbraw/zinc/07/87/68/1010078768.db2.gz TUQDHTSEIDAHJB-UHFFFAOYSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC2(CC2)C1 ZINC001443035598 1010078782 /nfs/dbraw/zinc/07/87/82/1010078782.db2.gz TUQDHTSEIDAHJB-UHFFFAOYSA-N 0 2 305.382 0.781 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N(C)CCc1ncon1 ZINC001456194100 1010116437 /nfs/dbraw/zinc/11/64/37/1010116437.db2.gz CWDNUPQKEXZSJF-VIFPVBQESA-N 0 2 322.287 0.774 20 0 DCADLN COC(=O)CCc1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001434745872 1010228054 /nfs/dbraw/zinc/22/80/54/1010228054.db2.gz FYRZVQRVYLVKAC-UHFFFAOYSA-N 0 2 319.321 0.938 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NC1(CCO)C[NH+](CC2CC(C)C2)C1 ZINC001422634385 1010431086 /nfs/dbraw/zinc/43/10/86/1010431086.db2.gz NPDYCSLSGKKOGD-UHFFFAOYSA-N 0 2 320.437 0.791 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C[N@H+](C)Cc2ccn(C)n2)c1[O-] ZINC001422801876 1010624503 /nfs/dbraw/zinc/62/45/03/1010624503.db2.gz ZBFMEBDFCSKVMU-SECBINFHSA-N 0 2 306.370 0.408 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C[N@@H+](C)Cc2ccn(C)n2)c1[O-] ZINC001422801876 1010624506 /nfs/dbraw/zinc/62/45/06/1010624506.db2.gz ZBFMEBDFCSKVMU-SECBINFHSA-N 0 2 306.370 0.408 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC[C@H]1CC[NH+]1CCN1CCCC1=O ZINC001423152966 1010927239 /nfs/dbraw/zinc/92/72/39/1010927239.db2.gz JEXYRWWRHBRXKA-CQSZACIVSA-N 0 2 310.442 0.140 20 0 DCADLN COCCN(CCNC(=O)C1CC2(CC2)C1)Cc1n[nH]c(=O)[nH]1 ZINC001423651953 1011390675 /nfs/dbraw/zinc/39/06/75/1011390675.db2.gz WHBNBRYBCBNSFG-UHFFFAOYSA-N 0 2 323.397 0.265 20 0 DCADLN COC(=O)c1cccc(C(=O)N[C@@H](C)c2nn(C)cc2O)n1 ZINC001456790666 1011462746 /nfs/dbraw/zinc/46/27/46/1011462746.db2.gz KUGXGVGWSNBTSF-QMMMGPOBSA-N 0 2 304.306 0.798 20 0 DCADLN C[C@H](CNC(=O)CC(C)(C)O)NC(=O)C(F)C(F)(F)F ZINC001431656933 1011510902 /nfs/dbraw/zinc/51/09/02/1011510902.db2.gz XEYGKRDWRHPCHQ-HTRCEHHLSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@H](CNC(=O)CC(C)(C)O)NC(=O)[C@@H](F)C(F)(F)F ZINC001431656933 1011510923 /nfs/dbraw/zinc/51/09/23/1011510923.db2.gz XEYGKRDWRHPCHQ-HTRCEHHLSA-N 0 2 302.268 0.669 20 0 DCADLN Cn1ccc(CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)c1 ZINC001445368116 1011702824 /nfs/dbraw/zinc/70/28/24/1011702824.db2.gz FTHBGOVWKDLUCM-UHFFFAOYSA-N 0 2 313.317 0.535 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NCC2(NC(=O)Cc3[nH]cc[nH+]3)CC2)C1 ZINC001535991016 1012013106 /nfs/dbraw/zinc/01/31/06/1012013106.db2.gz SMDJRNKJTMHXKP-LBPRGKRZSA-N 0 2 319.409 0.059 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccnn2C)C1 ZINC001536392430 1012110172 /nfs/dbraw/zinc/11/01/72/1012110172.db2.gz FCQACEYGDWCTON-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2ccnn2C)C1 ZINC001536392430 1012110181 /nfs/dbraw/zinc/11/01/81/1012110181.db2.gz FCQACEYGDWCTON-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN COCCC(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001432193196 1012113766 /nfs/dbraw/zinc/11/37/66/1012113766.db2.gz LJQRXYGCIOPHBD-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN COCCC(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001432193196 1012113779 /nfs/dbraw/zinc/11/37/79/1012113779.db2.gz LJQRXYGCIOPHBD-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001458723295 1012324853 /nfs/dbraw/zinc/32/48/53/1012324853.db2.gz HPURSOUFXGLDKV-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001458723295 1012324867 /nfs/dbraw/zinc/32/48/67/1012324867.db2.gz HPURSOUFXGLDKV-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C(F)=C2CCCC2)[nH]1 ZINC001558550351 1012366151 /nfs/dbraw/zinc/36/61/51/1012366151.db2.gz BMRFXTDTABCXNN-UHFFFAOYSA-N 0 2 316.358 0.763 20 0 DCADLN CCOC(OCC)[C@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001558877911 1012390368 /nfs/dbraw/zinc/39/03/68/1012390368.db2.gz LVJJKOJMXVVDJP-JGVFFNPUSA-N 0 2 303.384 0.447 20 0 DCADLN Cc1nnc2c(N3CCC[C@H](c4n[nH]c(=O)[nH]4)C3)nccn12 ZINC001559188482 1012407614 /nfs/dbraw/zinc/40/76/14/1012407614.db2.gz CREMXTJMAMGIQW-VIFPVBQESA-N 0 2 300.326 0.640 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)Cc2cc[nH]n2)C1 ZINC001432408549 1012450959 /nfs/dbraw/zinc/45/09/59/1012450959.db2.gz OXCZUFQHHSPNTF-SNVBAGLBSA-N 0 2 322.262 0.522 20 0 DCADLN Cc1cnccc1CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446036366 1012544465 /nfs/dbraw/zinc/54/44/65/1012544465.db2.gz GNESJIPEOSMYQA-LBPRGKRZSA-N 0 2 316.365 0.147 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001446609574 1012864798 /nfs/dbraw/zinc/86/47/98/1012864798.db2.gz AFSVZYOMHNLKEW-IUCAKERBSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001446609574 1012864816 /nfs/dbraw/zinc/86/48/16/1012864816.db2.gz AFSVZYOMHNLKEW-IUCAKERBSA-N 0 2 322.262 0.983 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc3c(c2)CCCC(=O)N3)n1 ZINC001475644827 1017129955 /nfs/dbraw/zinc/12/99/55/1017129955.db2.gz VYKLFKOVMZBRMJ-UHFFFAOYSA-N 0 2 322.350 0.286 20 0 DCADLN CC1(C)OCC[C@@H]1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478670975 1017371677 /nfs/dbraw/zinc/37/16/77/1017371677.db2.gz YEEKEWJOKFYKAU-UWVGGRQHSA-N 0 2 309.370 0.967 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001479328454 1017497521 /nfs/dbraw/zinc/49/75/21/1017497521.db2.gz ZKVLENWGIOYWRJ-RKDXNWHRSA-N 0 2 315.399 0.337 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]C2(CNC(=O)c3n[nH]cc3F)CC2)[nH]1 ZINC001499338351 1017863853 /nfs/dbraw/zinc/86/38/53/1017863853.db2.gz DISCSRZOUBDUJP-ZETCQYMHSA-N 0 2 307.333 0.589 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001499673799 1018036533 /nfs/dbraw/zinc/03/65/33/1018036533.db2.gz TZENXLYUUXOYRY-IONNQARKSA-N 0 2 323.294 0.919 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001499673799 1018036541 /nfs/dbraw/zinc/03/65/41/1018036541.db2.gz TZENXLYUUXOYRY-IONNQARKSA-N 0 2 323.294 0.919 20 0 DCADLN CN(C(=O)CCc1cnn[nH]1)C1C[NH+](C[C@H]2CCCOC2)C1 ZINC001499747397 1018062602 /nfs/dbraw/zinc/06/26/02/1018062602.db2.gz PYWJVTTZRNYTBT-GFCCVEGCSA-N 0 2 307.398 0.307 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001499756579 1018066074 /nfs/dbraw/zinc/06/60/74/1018066074.db2.gz UZSQFMZYERFHMX-SNVBAGLBSA-N 0 2 319.365 0.625 20 0 DCADLN O=C(c1ccncc1F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001480342743 1018448897 /nfs/dbraw/zinc/44/88/97/1018448897.db2.gz JWWAZJKGJLSSHE-UHFFFAOYSA-N 0 2 306.301 0.002 20 0 DCADLN C=C/C(C)=C/CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495861168 1018777104 /nfs/dbraw/zinc/77/71/04/1018777104.db2.gz UGESODSLYIVAQD-KBVBDRTLSA-N 0 2 321.381 0.350 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001498342266 1018977291 /nfs/dbraw/zinc/97/72/91/1018977291.db2.gz HVPYFUSFOQAUNL-DLOVCJGASA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001498342266 1018977304 /nfs/dbraw/zinc/97/73/04/1018977304.db2.gz HVPYFUSFOQAUNL-DLOVCJGASA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493084093 1019081917 /nfs/dbraw/zinc/08/19/17/1019081917.db2.gz HCMTUVUFPJXKIS-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493084093 1019081933 /nfs/dbraw/zinc/08/19/33/1019081933.db2.gz HCMTUVUFPJXKIS-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nccc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001496122993 1019087624 /nfs/dbraw/zinc/08/76/24/1019087624.db2.gz IATDEFQUDJLIOF-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1nccc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001496122993 1019087640 /nfs/dbraw/zinc/08/76/40/1019087640.db2.gz IATDEFQUDJLIOF-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cnc(C[NH2+]CCCN(C)C(=O)CCc2nc[nH]n2)nc1 ZINC001498532389 1019199133 /nfs/dbraw/zinc/19/91/33/1019199133.db2.gz UNMRNRDQNBPXIT-UHFFFAOYSA-N 0 2 317.397 0.474 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493362138 1019355498 /nfs/dbraw/zinc/35/54/98/1019355498.db2.gz ZUOZLFZJCNLXBR-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493362138 1019355509 /nfs/dbraw/zinc/35/55/09/1019355509.db2.gz ZUOZLFZJCNLXBR-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496748658 1019604159 /nfs/dbraw/zinc/60/41/59/1019604159.db2.gz QWKGOGZSBBLWNK-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496748658 1019604169 /nfs/dbraw/zinc/60/41/69/1019604169.db2.gz QWKGOGZSBBLWNK-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001502361250 1019832163 /nfs/dbraw/zinc/83/21/63/1019832163.db2.gz TUFGYDVGEGVGPE-VXNVDRBHSA-N 0 2 310.251 0.404 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001502361250 1019832179 /nfs/dbraw/zinc/83/21/79/1019832179.db2.gz TUFGYDVGEGVGPE-VXNVDRBHSA-N 0 2 310.251 0.404 20 0 DCADLN COCC(=O)NC1CC[NH+]([C@@H](C)c2nc(C(=O)[O-])co2)CC1 ZINC001606773987 1170285411 /nfs/dbraw/zinc/28/54/11/1170285411.db2.gz AYJWWGIIKGBYQC-VIFPVBQESA-N 0 2 311.338 0.661 20 0 DCADLN Cc1cccc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000092617357 185329154 /nfs/dbraw/zinc/32/91/54/185329154.db2.gz WAVOPMROXFLVIE-UHFFFAOYSA-N 0 2 315.377 0.755 20 0 DCADLN O=C(NCCN1C(=O)CCC1=O)c1cc(F)c(F)c(O)c1F ZINC000272610145 210009486 /nfs/dbraw/zinc/00/94/86/210009486.db2.gz NLWBFKAQEFZSPK-UHFFFAOYSA-N 0 2 316.235 0.688 20 0 DCADLN CS[C@H](CO)[C@@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000427443069 240360043 /nfs/dbraw/zinc/36/00/43/240360043.db2.gz SFIGGTOGNMOHJV-LDYMZIIASA-N 0 2 323.374 0.872 20 0 DCADLN O=C(N[C@H]1CCN(c2ccc(Cl)cc2)C1=O)c1nc(=O)[nH][nH]1 ZINC000155750488 291221838 /nfs/dbraw/zinc/22/18/38/291221838.db2.gz QOWHNQWNKVZFGR-VIFPVBQESA-N 0 2 321.724 0.287 20 0 DCADLN COc1ccccc1N1CC[C@H](CNC(=O)c2nc(=O)[nH][nH]2)C1 ZINC000156122295 291223274 /nfs/dbraw/zinc/22/32/74/291223274.db2.gz DNBKLBFKXZVRQZ-SNVBAGLBSA-N 0 2 317.349 0.363 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)NC(=O)/C=C/c1ccncn1 ZINC000158760545 291232465 /nfs/dbraw/zinc/23/24/65/291232465.db2.gz PQNQEIDJUZIGOH-ONEGZZNKSA-N 0 2 308.319 0.600 20 0 DCADLN CC(=O)NC[C@@H]1C[N@@H+]([C@@H](C(=O)[O-])c2ccccc2C)CCO1 ZINC000566359323 291296576 /nfs/dbraw/zinc/29/65/76/291296576.db2.gz HTYFEYPKIBCMNW-UKRRQHHQSA-N 0 2 306.362 0.958 20 0 DCADLN CC(=O)NC[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2ccccc2C)CCO1 ZINC000566359323 291296577 /nfs/dbraw/zinc/29/65/77/291296577.db2.gz HTYFEYPKIBCMNW-UKRRQHHQSA-N 0 2 306.362 0.958 20 0 DCADLN COC(=O)[C@@H]1CCCCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000378574067 291310398 /nfs/dbraw/zinc/31/03/98/291310398.db2.gz VULYFEWXUZAUQK-LBPRGKRZSA-N 0 2 304.350 0.940 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1cc(C)cc(C)c1 ZINC000421812742 240106239 /nfs/dbraw/zinc/10/62/39/240106239.db2.gz MGVFHXIUHCTOEO-ZDUSSCGKSA-N 0 2 303.318 0.907 20 0 DCADLN C[C@@H](NS(=O)(=O)C[C@H]1CCCS(=O)(=O)C1)C(F)(F)F ZINC000425187580 240304942 /nfs/dbraw/zinc/30/49/42/240304942.db2.gz FSMSSWCNAGSAHH-SFYZADRCSA-N 0 2 323.358 0.681 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C/c1ccc(C)cn1 ZINC000493856271 241169864 /nfs/dbraw/zinc/16/98/64/241169864.db2.gz LNVXAKQPPURCSE-VOTSOKGWSA-N 0 2 320.374 0.950 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1CCO)[C@H]1CCc2c[nH+]cn2C1 ZINC000567967045 291394204 /nfs/dbraw/zinc/39/42/04/291394204.db2.gz GOKCJJVKNNFTBX-KBPBESRZSA-N 0 2 306.410 0.409 20 0 DCADLN CC(=O)Nc1ccncc1NS(=O)(=O)c1cn(C)nc1C ZINC000288573758 220251819 /nfs/dbraw/zinc/25/18/19/220251819.db2.gz KWWJTHCYJXCIMI-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)Cc1ccccc1 ZINC000021954771 182300146 /nfs/dbraw/zinc/30/01/46/182300146.db2.gz PGFXRVUPSCVXSZ-GFCCVEGCSA-N 0 2 309.347 0.525 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)Cc1ccccc1 ZINC000021954771 182300147 /nfs/dbraw/zinc/30/01/47/182300147.db2.gz PGFXRVUPSCVXSZ-GFCCVEGCSA-N 0 2 309.347 0.525 20 0 DCADLN O=C([O-])C(=O)NCc1ccccc1OCC[NH+]1CCOCC1 ZINC000274159099 211387549 /nfs/dbraw/zinc/38/75/49/211387549.db2.gz KIDAPVSXHOSFIH-UHFFFAOYSA-N 0 2 308.334 0.098 20 0 DCADLN Cc1c(C(=O)NN2CC(=O)N(C)C2=O)oc2c1C(=O)CCC2 ZINC000271876919 209287816 /nfs/dbraw/zinc/28/78/16/209287816.db2.gz ZNGUGKDTLOYVMN-UHFFFAOYSA-N 0 2 305.290 0.646 20 0 DCADLN COCCN(C)S(=O)(=O)Nc1ccc(F)cc1C(N)=O ZINC000574642012 291707820 /nfs/dbraw/zinc/70/78/20/291707820.db2.gz BGZSXAYPPFXRFG-UHFFFAOYSA-N 0 2 305.331 0.160 20 0 DCADLN COC[C@H](C)CS(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000338991717 253019570 /nfs/dbraw/zinc/01/95/70/253019570.db2.gz DDLWZIADETUGMP-VHSXEESVSA-N 0 2 318.399 0.302 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cc(OC)ncn2)c[nH]1 ZINC000340513232 253270365 /nfs/dbraw/zinc/27/03/65/253270365.db2.gz YZEGSENRYHNCNR-UHFFFAOYSA-N 0 2 312.307 0.401 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn([C@@H](C)C3CC3)c2)c1O ZINC000340793645 253305385 /nfs/dbraw/zinc/30/53/85/253305385.db2.gz JSGXUAQBCVMWGT-KWQFWETISA-N 0 2 306.322 0.842 20 0 DCADLN CCCCn1ncc(-n2c(O)c(C(=O)OCC)[nH]c2=O)c1C ZINC000340823321 253310776 /nfs/dbraw/zinc/31/07/76/253310776.db2.gz NQDGJALWAVWYGM-NSHDSACASA-N 0 2 308.338 0.980 20 0 DCADLN COc1ccc(CNC(=O)C[N@@H+]2CCC[C@H]2C(=O)[O-])cc1OC ZINC000346327799 254037369 /nfs/dbraw/zinc/03/73/69/254037369.db2.gz MHWYCVPUYXMGMQ-LBPRGKRZSA-N 0 2 322.361 0.869 20 0 DCADLN COCCc1nsc(N[C@@H](CC(N)=O)C2CCOCC2)n1 ZINC000346213067 254023904 /nfs/dbraw/zinc/02/39/04/254023904.db2.gz YJFZBMFAYIMVPK-JTQLQIEISA-N 0 2 314.411 0.231 20 0 DCADLN COc1ccc(CNC(=O)C[N@H+]2CCC[C@H]2C(=O)[O-])cc1OC ZINC000346327799 254037377 /nfs/dbraw/zinc/03/73/77/254037377.db2.gz MHWYCVPUYXMGMQ-LBPRGKRZSA-N 0 2 322.361 0.869 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)Nc1nnc([N-]S(C)(=O)=O)s1 ZINC000346225428 254024763 /nfs/dbraw/zinc/02/47/63/254024763.db2.gz UHAPICDGCAMAGH-UHFFFAOYSA-N 0 2 316.368 0.122 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2cccc[nH+]2)CC1)[N@H+]1C[C@@H](C)[C@@H](O)C1 ZINC000351775167 254366951 /nfs/dbraw/zinc/36/69/51/254366951.db2.gz RBRMZVBBBZWUGB-ILXRZTDVSA-N 0 2 318.421 0.431 20 0 DCADLN C[C@H]([C@H](C)NS(=O)(=O)NCC(F)(F)F)N1CCOCC1 ZINC000195227175 299608290 /nfs/dbraw/zinc/60/82/90/299608290.db2.gz NMXQJBFALCVBJU-DTWKUNHWSA-N 0 2 319.349 0.082 20 0 DCADLN C[C@H]1CCCCN1S(=O)(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000020550583 395691330 /nfs/dbraw/zinc/69/13/30/395691330.db2.gz WXDXTLFOFBVANC-ONGXEEELSA-N 0 2 316.383 0.114 20 0 DCADLN C[C@H]1CCCCN1S(=O)(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000020550583 395691331 /nfs/dbraw/zinc/69/13/31/395691331.db2.gz WXDXTLFOFBVANC-ONGXEEELSA-N 0 2 316.383 0.114 20 0 DCADLN CC(C)CO[C@@H](C)c1noc(CN(C)Cc2n[nH]c(=O)[nH]2)n1 ZINC000091588342 395726488 /nfs/dbraw/zinc/72/64/88/395726488.db2.gz HJMZACDGASEONY-VIFPVBQESA-N 0 2 310.358 0.847 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCCOCC1CC1 ZINC000104137836 395777687 /nfs/dbraw/zinc/77/76/87/395777687.db2.gz LEPSTDYSVAQLDU-UHFFFAOYSA-N 0 2 318.377 0.806 20 0 DCADLN CCOC(=O)N[C@@H](C(=O)NNc1nnc(C)c(=O)[nH]1)C(C)C ZINC000048130205 395808632 /nfs/dbraw/zinc/80/86/32/395808632.db2.gz QDNUOEHKTJZAER-MRVPVSSYSA-N 0 2 312.330 0.099 20 0 DCADLN CC(=O)C[C@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000270910160 395822225 /nfs/dbraw/zinc/82/22/25/395822225.db2.gz CHXKYUUXBVPWNF-ZETCQYMHSA-N 0 2 304.306 0.842 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)sc1C ZINC000271364230 395860977 /nfs/dbraw/zinc/86/09/77/395860977.db2.gz JIWGTKKLHXFFID-UHFFFAOYSA-N 0 2 314.392 0.848 20 0 DCADLN Cc1nc(S(=O)(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)cn1C ZINC000151123882 395979433 /nfs/dbraw/zinc/97/94/33/395979433.db2.gz OXYYDQXNPLWCRT-CYBMUJFWSA-N 0 2 322.390 0.966 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[NH+]1CCN(c2cc[nH+]cc2)CC1 ZINC000151346007 395984554 /nfs/dbraw/zinc/98/45/54/395984554.db2.gz QBACYQQVAQTVOM-UHFFFAOYSA-N 0 2 320.393 0.225 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cc(F)ccc1F ZINC000067659957 396031194 /nfs/dbraw/zinc/03/11/94/396031194.db2.gz FFTSYXMUFQVECO-UHFFFAOYSA-N 0 2 303.290 0.954 20 0 DCADLN CCC[C@]1(CO)CCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000277898610 396093870 /nfs/dbraw/zinc/09/38/70/396093870.db2.gz GCTGOHQYEAILIF-GFCCVEGCSA-N 0 2 300.384 0.344 20 0 DCADLN Cc1n[nH]c(NC(=O)Cc2csc(N3CCCC3=O)n2)n1 ZINC000171546850 396117841 /nfs/dbraw/zinc/11/78/41/396117841.db2.gz WCZGZMUQFMYBKS-UHFFFAOYSA-N 0 2 306.351 0.878 20 0 DCADLN CN(C)C(=O)N1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000073359366 396144946 /nfs/dbraw/zinc/14/49/46/396144946.db2.gz IZUKPLFWXWQXNU-UHFFFAOYSA-N 0 2 321.406 0.784 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCCc1nnc[nH]1 ZINC000279016940 396146589 /nfs/dbraw/zinc/14/65/89/396146589.db2.gz LPDQVIVZSWMXEA-QMMMGPOBSA-N 0 2 307.276 0.167 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCCc1nc[nH]n1 ZINC000279016940 396146591 /nfs/dbraw/zinc/14/65/91/396146591.db2.gz LPDQVIVZSWMXEA-QMMMGPOBSA-N 0 2 307.276 0.167 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCCn1cncn1 ZINC000279283121 396163125 /nfs/dbraw/zinc/16/31/25/396163125.db2.gz SXADGBNNTIAGDO-QMMMGPOBSA-N 0 2 307.276 0.097 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)C[N@H+](C)[C@H](C)C(=O)[O-])c1 ZINC000262659726 396169356 /nfs/dbraw/zinc/16/93/56/396169356.db2.gz NIZFCTBNEJRTCQ-SNVBAGLBSA-N 0 2 307.350 0.780 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])c1 ZINC000262659726 396169360 /nfs/dbraw/zinc/16/93/60/396169360.db2.gz NIZFCTBNEJRTCQ-SNVBAGLBSA-N 0 2 307.350 0.780 20 0 DCADLN COC[C@H](CO)NC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000279833937 396186992 /nfs/dbraw/zinc/18/69/92/396186992.db2.gz BEWCOUHNNCXIBD-JTQLQIEISA-N 0 2 321.333 0.324 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCN(c2cccc(C(=O)[O-])[nH+]2)C1 ZINC000263533900 396220096 /nfs/dbraw/zinc/22/00/96/396220096.db2.gz YCZYNJGSTOZTES-SNVBAGLBSA-N 0 2 313.379 0.545 20 0 DCADLN O=C(N[C@@]1(CO)CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000185283743 396323844 /nfs/dbraw/zinc/32/38/44/396323844.db2.gz QGZJGYFMIKOUOR-OAHLLOKOSA-N 0 2 303.318 0.459 20 0 DCADLN CCCC(O)(CCC)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000185703752 396333368 /nfs/dbraw/zinc/33/33/68/396333368.db2.gz NRCHXUGXUOYUHV-UHFFFAOYSA-N 0 2 302.400 0.638 20 0 DCADLN CN(CCO)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185902874 396336658 /nfs/dbraw/zinc/33/66/58/396336658.db2.gz XVFSHHDMSXVWPQ-UHFFFAOYSA-N 0 2 305.338 0.517 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCO2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265823719 396345209 /nfs/dbraw/zinc/34/52/09/396345209.db2.gz OWDUSELAMDBPBY-QMMMGPOBSA-N 0 2 304.306 0.653 20 0 DCADLN O=C(NC(CO)CO)c1cc(Br)cc(Cl)c1O ZINC000186716828 396355950 /nfs/dbraw/zinc/35/59/50/396355950.db2.gz SJPJBJWBZFWDSC-UHFFFAOYSA-N 0 2 324.558 0.891 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCO[C@@H](CO)C1 ZINC000269175808 396392204 /nfs/dbraw/zinc/39/22/04/396392204.db2.gz JKZQURIFFXGMNH-GFCCVEGCSA-N 0 2 303.318 0.411 20 0 DCADLN C[C@H](c1nnnn1C)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000189985814 396401506 /nfs/dbraw/zinc/40/15/06/396401506.db2.gz ULQQKPWIUOXASW-SSDOTTSWSA-N 0 2 305.367 0.701 20 0 DCADLN O=C(NC1CC1)[C@H]1CCCN1S(=O)(=O)NCC(F)(F)F ZINC000192012809 396427999 /nfs/dbraw/zinc/42/79/99/396427999.db2.gz XFBBGHCKIDTUBM-MRVPVSSYSA-N 0 2 315.317 0.126 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCC[C@H]2O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000269623798 396430365 /nfs/dbraw/zinc/43/03/65/396430365.db2.gz XXQLDMUDLWCWHV-VXNVDRBHSA-N 0 2 304.306 0.388 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@@H](O)C[C@@H]1CO ZINC000371525182 396469435 /nfs/dbraw/zinc/46/94/35/396469435.db2.gz LPNJDNGXWHQZMS-NEPJUHHUSA-N 0 2 303.318 0.146 20 0 DCADLN C[C@H]1Oc2ccccc2[C@@H]1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000290697058 396470182 /nfs/dbraw/zinc/47/01/82/396470182.db2.gz SFSPGEYDWUKFBX-RDDDGLTNSA-N 0 2 306.347 0.829 20 0 DCADLN CCOC(=O)c1n[nH]c([C@@H](CC(C)C)NC(=O)c2nnc[nH]2)n1 ZINC000580959195 396514621 /nfs/dbraw/zinc/51/46/21/396514621.db2.gz QVCMXKLMQSQQBA-MRVPVSSYSA-N 0 2 321.341 0.617 20 0 DCADLN CCOC(=O)c1n[nH]c([C@@H](CC(C)C)NC(=O)c2ncn[nH]2)n1 ZINC000580959195 396514624 /nfs/dbraw/zinc/51/46/24/396514624.db2.gz QVCMXKLMQSQQBA-MRVPVSSYSA-N 0 2 321.341 0.617 20 0 DCADLN CCOC(=O)c1n[nH]c([C@@H](CC(C)C)NC(=O)c2nc[nH]n2)n1 ZINC000580959195 396514628 /nfs/dbraw/zinc/51/46/28/396514628.db2.gz QVCMXKLMQSQQBA-MRVPVSSYSA-N 0 2 321.341 0.617 20 0 DCADLN O=C([O-])C1(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)CC1 ZINC000580957048 396514864 /nfs/dbraw/zinc/51/48/64/396514864.db2.gz MEZXBVMUMMFZGT-UHFFFAOYSA-N 0 2 305.334 0.399 20 0 DCADLN C[C@@H]1OC(=O)N[C@@H]1C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000497047258 396487066 /nfs/dbraw/zinc/48/70/66/396487066.db2.gz QQSXUTYQZUJDBS-RCOVLWMOSA-N 0 2 322.346 0.852 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)o1 ZINC000530774460 396583362 /nfs/dbraw/zinc/58/33/62/396583362.db2.gz HTHJQGDFHUPIFT-UHFFFAOYSA-N 0 2 317.348 0.431 20 0 DCADLN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)C(F)F)C2=O)c1 ZINC000292689377 396563173 /nfs/dbraw/zinc/56/31/73/396563173.db2.gz BUYFUECPSBIKIZ-CYBMUJFWSA-N 0 2 313.260 0.759 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1cnn([C@H]2CCOC2)c1 ZINC000561496613 396642969 /nfs/dbraw/zinc/64/29/69/396642969.db2.gz TXYSMHKRZQWCOI-NSHDSACASA-N 0 2 311.367 0.355 20 0 DCADLN CCNC(=O)NCCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000294229813 396662319 /nfs/dbraw/zinc/66/23/19/396662319.db2.gz ZBBQIUIXJGEZQB-UHFFFAOYSA-N 0 2 305.256 0.858 20 0 DCADLN CNC(=O)[C@H](C)NC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000294761546 396682686 /nfs/dbraw/zinc/68/26/86/396682686.db2.gz XATRSIAHMKOLPS-VIFPVBQESA-N 0 2 318.333 0.451 20 0 DCADLN CCOC(=O)c1ccc(CS(=O)(=O)Nc2nnc[nH]2)cc1 ZINC000295387465 396696043 /nfs/dbraw/zinc/69/60/43/396696043.db2.gz USMCJMAAHHYXAL-UHFFFAOYSA-N 0 2 310.335 0.923 20 0 DCADLN CCOC(=O)c1ccc(CS(=O)(=O)Nc2nc[nH]n2)cc1 ZINC000295387465 396696047 /nfs/dbraw/zinc/69/60/47/396696047.db2.gz USMCJMAAHHYXAL-UHFFFAOYSA-N 0 2 310.335 0.923 20 0 DCADLN CCOC(=O)C(C)(C)ONC(=O)CNC(=O)c1cccs1 ZINC000295543478 396699814 /nfs/dbraw/zinc/69/98/14/396699814.db2.gz LAOLOPRRYOILFK-UHFFFAOYSA-N 0 2 314.363 0.867 20 0 DCADLN [NH3+][C@H]1C[C@H]2C[N@H+](Cc3ccc(-n4cc[nH+]c4)cc3)CCN2C1=O ZINC000563432136 396733412 /nfs/dbraw/zinc/73/34/12/396733412.db2.gz KPPJEJREPYBXBG-HOTGVXAUSA-N 0 2 311.389 0.616 20 0 DCADLN O=C(NCc1ccc[nH+]c1N1CCCC1)c1n[nH]c(=O)[n-]c1=O ZINC000617484857 396733743 /nfs/dbraw/zinc/73/37/43/396733743.db2.gz OMEDAPHKJGPTEJ-UHFFFAOYSA-N 0 2 316.321 0.208 20 0 DCADLN COC(=O)c1ccc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC000596228155 396737752 /nfs/dbraw/zinc/73/77/52/396737752.db2.gz XCXWBDABXZHNPV-QMMMGPOBSA-N 0 2 304.310 0.471 20 0 DCADLN C[N@H+]1CCN(C(=O)N[C@@H]2Cc3cccc(O)c3C2)[C@@H](C[NH3+])C1 ZINC000563593550 396756512 /nfs/dbraw/zinc/75/65/12/396756512.db2.gz HFZNHABYCCIQTQ-OLZOCXBDSA-N 0 2 304.394 0.144 20 0 DCADLN CCN1C[C@@H](C(=O)N=c2nc(C(C)(C)OC)[nH]s2)CC1=O ZINC000634153277 396797685 /nfs/dbraw/zinc/79/76/85/396797685.db2.gz PAOGDSFGSZJTRI-QMMMGPOBSA-N 0 2 312.395 0.648 20 0 DCADLN C=CCNC(=O)NC(=O)C[N@@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000321661677 396818016 /nfs/dbraw/zinc/81/80/16/396818016.db2.gz YXPZJUQHOKLZJM-AWEZNQCLSA-N 0 2 317.345 0.682 20 0 DCADLN C=CCNC(=O)NC(=O)C[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000321661677 396818020 /nfs/dbraw/zinc/81/80/20/396818020.db2.gz YXPZJUQHOKLZJM-AWEZNQCLSA-N 0 2 317.345 0.682 20 0 DCADLN CC1=NN(c2ccc(S(=O)(=O)NC3CC3)cn2)C(=O)[C@@H]1C ZINC000634589154 396888294 /nfs/dbraw/zinc/88/82/94/396888294.db2.gz PEYUAVFWVZDUFR-MRVPVSSYSA-N 0 2 308.363 0.881 20 0 DCADLN CCN(CC)c1ccnc(N2N=C(C)[C@H](NC(C)=O)C2=O)n1 ZINC000634618400 396891808 /nfs/dbraw/zinc/89/18/08/396891808.db2.gz PPTHLBNVLWRKKA-LBPRGKRZSA-N 0 2 304.354 0.550 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ncc3c(n2)CCOC3)cnn1C ZINC000634660576 396894818 /nfs/dbraw/zinc/89/48/18/396894818.db2.gz SCZFZIBIHVHNMT-UHFFFAOYSA-N 0 2 309.351 0.392 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)c2cnc(C)n2C)cn1 ZINC000600653046 396905889 /nfs/dbraw/zinc/90/58/89/396905889.db2.gz YYJZJVTXGAINNU-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000630157242 396950846 /nfs/dbraw/zinc/95/08/46/396950846.db2.gz PYEUBLSCLDVYKJ-LSDHHAIUSA-N 0 2 318.373 0.918 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000630157242 396950850 /nfs/dbraw/zinc/95/08/50/396950850.db2.gz PYEUBLSCLDVYKJ-LSDHHAIUSA-N 0 2 318.373 0.918 20 0 DCADLN COC(=O)[C@H](Cc1ccncc1)NC(=O)Cc1[nH]c[nH+]c1C ZINC000572134258 397025315 /nfs/dbraw/zinc/02/53/15/397025315.db2.gz YITASRAOWCTYJE-ZDUSSCGKSA-N 0 2 302.334 0.556 20 0 DCADLN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000377159653 397039926 /nfs/dbraw/zinc/03/99/26/397039926.db2.gz YIOAARIMKNLZCQ-MZFCOBPBSA-N 0 2 310.379 0.484 20 0 DCADLN CCC[C@]1(CO)CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000377343391 397070501 /nfs/dbraw/zinc/07/05/01/397070501.db2.gz OCMIQOQWIYEXPY-VIFPVBQESA-N 0 2 304.334 0.868 20 0 DCADLN CC(C)Cc1cc(NS(=O)(=O)CCCS(N)(=O)=O)n[nH]1 ZINC000349450080 397073044 /nfs/dbraw/zinc/07/30/44/397073044.db2.gz LKUYTFDSIIEMJB-UHFFFAOYSA-N 0 2 324.428 0.029 20 0 DCADLN CC(C)S(=O)(=O)CCCN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000377485833 397087470 /nfs/dbraw/zinc/08/74/70/397087470.db2.gz SMYCQQYVQQGWDZ-NSHDSACASA-N 0 2 316.427 0.903 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000625957444 397041193 /nfs/dbraw/zinc/04/11/93/397041193.db2.gz JNCDVCVEDJGUID-UWVGGRQHSA-N 0 2 321.333 0.598 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CC(O)(c2ccc(F)cc2)C1 ZINC000377260235 397057670 /nfs/dbraw/zinc/05/76/70/397057670.db2.gz ODKSKFYMHLXCML-UHFFFAOYSA-N 0 2 324.337 0.472 20 0 DCADLN C[C@H]1OCC[C@@]1(C)[NH2+]CC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000573275175 397152421 /nfs/dbraw/zinc/15/24/21/397152421.db2.gz PFPFZLXVYVGQAV-CZUORRHYSA-N 0 2 313.442 0.371 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cccc(C(C)=O)c1O ZINC000573920785 397219112 /nfs/dbraw/zinc/21/91/12/397219112.db2.gz ZRQGRPQTCZQUBW-UHFFFAOYSA-N 0 2 301.320 0.900 20 0 DCADLN COC(=O)C(C)(C)n1cc(NC(=O)c2n[nH]c(C)c2O)cn1 ZINC000598371315 397219599 /nfs/dbraw/zinc/21/95/99/397219599.db2.gz YHXVIJPAEMSFKJ-UHFFFAOYSA-N 0 2 307.310 0.781 20 0 DCADLN CC(C)C[C@H](CNC(=O)NC[C@H]1COCC[N@@H+]1C)CC(=O)[O-] ZINC000626772080 397237107 /nfs/dbraw/zinc/23/71/07/397237107.db2.gz FNBOCZVBKHUYQJ-STQMWFEESA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)C[C@H](CNC(=O)NC[C@H]1COCC[N@H+]1C)CC(=O)[O-] ZINC000626772080 397237110 /nfs/dbraw/zinc/23/71/10/397237110.db2.gz FNBOCZVBKHUYQJ-STQMWFEESA-N 0 2 315.414 0.753 20 0 DCADLN COC(=O)[C@@H]1CCC[C@@H]1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000598537350 397246100 /nfs/dbraw/zinc/24/61/00/397246100.db2.gz BNBIDZOHDNSPNC-RQJHMYQMSA-N 0 2 300.340 0.060 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000574571946 397280607 /nfs/dbraw/zinc/28/06/07/397280607.db2.gz RHLCDDPWEJIGLT-ZFWWWQNUSA-N 0 2 320.389 0.767 20 0 DCADLN C[C@@H]1C[N@H+](C)CC[C@@H]1N(C)C(=O)NC[C@@H]1C[NH+](C2CC2)CCO1 ZINC000366551817 397374759 /nfs/dbraw/zinc/37/47/59/397374759.db2.gz ANCRJEIRTOGUFJ-BMFZPTHFSA-N 0 2 324.469 0.831 20 0 DCADLN C[C@@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@@H](C)S1(=O)=O ZINC000578178222 397400005 /nfs/dbraw/zinc/40/00/05/397400005.db2.gz ICLZZTLZHOMOBO-NXEZZACHSA-N 0 2 310.379 0.030 20 0 DCADLN COc1cc(CCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)on1 ZINC000614518612 397462837 /nfs/dbraw/zinc/46/28/37/397462837.db2.gz JAUOBSRPKHLXRE-SECBINFHSA-N 0 2 321.337 0.846 20 0 DCADLN CN(C)C(=O)OC[C@H]1CCCC[N@H+]1C[C@@H](O)C[NH+](C)C1CC1 ZINC000495849583 397560748 /nfs/dbraw/zinc/56/07/48/397560748.db2.gz KNPNWPAJJFINNS-CABCVRRESA-N 0 2 313.442 0.994 20 0 DCADLN CCOC(=O)N1CC[C@@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC000495555757 397546730 /nfs/dbraw/zinc/54/67/30/397546730.db2.gz OKSXJXJSOLZRMN-SSDOTTSWSA-N 0 2 304.290 0.699 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)cn1 ZINC000050531922 158012070 /nfs/dbraw/zinc/01/20/70/158012070.db2.gz ZGFBBHQNNURKHD-UHFFFAOYSA-N 0 2 321.362 0.411 20 0 DCADLN O=C(NCCCn1cccn1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000052562480 158015993 /nfs/dbraw/zinc/01/59/93/158015993.db2.gz MZHALFNIVPHFDP-UHFFFAOYSA-N 0 2 314.305 0.040 20 0 DCADLN CC(C)C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)N1CCCCC1=O ZINC000087454976 158093615 /nfs/dbraw/zinc/09/36/15/158093615.db2.gz UPEVCLJPRUTDIU-JTQLQIEISA-N 0 2 309.370 0.141 20 0 DCADLN C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)[N@H+]1[C@H](C)CC[C@@H]1C ZINC000113421685 158147220 /nfs/dbraw/zinc/14/72/20/158147220.db2.gz MIDYGGGUSRLOBA-YIZRAAEISA-N 0 2 315.399 0.408 20 0 DCADLN C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000113421685 158147222 /nfs/dbraw/zinc/14/72/22/158147222.db2.gz MIDYGGGUSRLOBA-YIZRAAEISA-N 0 2 315.399 0.408 20 0 DCADLN C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)[NH+]1[C@H](C)CC[C@H]1C ZINC000113421690 158148024 /nfs/dbraw/zinc/14/80/24/158148024.db2.gz MIDYGGGUSRLOBA-HLTSFMKQSA-N 0 2 315.399 0.408 20 0 DCADLN COc1ccc(S(O)=CC(=O)N[C@@H](C)C(=O)N(C)C)cc1 ZINC000133071611 158316158 /nfs/dbraw/zinc/31/61/58/158316158.db2.gz ZHQQFYZVQGPLEU-CWKPULSASA-N 0 2 312.391 0.396 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@@H]1CCN(C)C1=O)c2=O ZINC000172417585 158394261 /nfs/dbraw/zinc/39/42/61/158394261.db2.gz WLTSKTKCSMLYDF-LLVKDONJSA-N 0 2 300.318 0.604 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)CCC3CC3)C2)[nH]1 ZINC000328846146 159034306 /nfs/dbraw/zinc/03/43/06/159034306.db2.gz QIMOERRUNLFKSS-JTQLQIEISA-N 0 2 300.384 0.820 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)c2cn(C)nn2)[nH]1 ZINC000329539290 159100995 /nfs/dbraw/zinc/10/09/95/159100995.db2.gz CJTRBBQFEBKHDL-SNVBAGLBSA-N 0 2 305.342 0.447 20 0 DCADLN C[C@H]1CN(C(=O)N=c2nc(C(C)(C)C)[nH]s2)C[C@H](CO)O1 ZINC000408277802 160075183 /nfs/dbraw/zinc/07/51/83/160075183.db2.gz BQLPDTNOLJNWSI-DTWKUNHWSA-N 0 2 314.411 0.871 20 0 DCADLN COC(=O)Cn1cc(NS(=O)(=O)c2cncc(F)c2)cn1 ZINC000044262152 286886255 /nfs/dbraw/zinc/88/62/55/286886255.db2.gz PUGZJWJMJIPDLI-UHFFFAOYSA-N 0 2 314.298 0.391 20 0 DCADLN CCC[C@@H](C)NC(=O)[C@H]1CCCN(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000126577157 286972211 /nfs/dbraw/zinc/97/22/11/286972211.db2.gz KGTDKTYMVGZAQU-ZJUUUORDSA-N 0 2 309.370 0.255 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(NC(=O)C2CC2)cc1 ZINC000128658565 286984085 /nfs/dbraw/zinc/98/40/85/286984085.db2.gz ZPVKFDCLCHWNMA-UHFFFAOYSA-N 0 2 316.321 0.768 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1c[nH]nc1-c1c(F)cccc1F ZINC000130446681 286994154 /nfs/dbraw/zinc/99/41/54/286994154.db2.gz IKCNIERAUGPODE-UHFFFAOYSA-N 0 2 320.259 0.696 20 0 DCADLN C[C@@H]1CC[N@@H+](C)CCN1C(=O)NCC[NH+]1CCOCC1(C)C ZINC000355397831 287181738 /nfs/dbraw/zinc/18/17/38/287181738.db2.gz AEEZINBHNJIWAI-CQSZACIVSA-N 0 2 312.458 0.833 20 0 DCADLN COc1c(C)ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC000356002768 287192706 /nfs/dbraw/zinc/19/27/06/287192706.db2.gz XWIZFUKHBYJXEW-UHFFFAOYSA-N 0 2 319.321 0.391 20 0 DCADLN CCOC(=O)[C@@H](C)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358389779 287207874 /nfs/dbraw/zinc/20/78/74/287207874.db2.gz BAHKXNVAAQIGAM-QMMMGPOBSA-N 0 2 306.322 0.603 20 0 DCADLN CC(C)[C@H](C(=O)[O-])N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1=O ZINC000358718424 287212160 /nfs/dbraw/zinc/21/21/60/287212160.db2.gz YCKDEXBNBZGTDP-DGCLKSJQSA-N 0 2 322.365 0.100 20 0 DCADLN CCNc1nc(NC(C)(C)C)nc(NCc2ccn(C)n2)[nH+]1 ZINC000342467186 415185970 /nfs/dbraw/zinc/18/59/70/415185970.db2.gz BIFFZJNAGUDWPS-UHFFFAOYSA-N 0 2 304.402 0.703 20 0 DCADLN CN(Cc1ccnn1C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000352536305 415212266 /nfs/dbraw/zinc/21/22/66/415212266.db2.gz CMGLPQRJXQKGRF-UHFFFAOYSA-N 0 2 314.305 0.442 20 0 DCADLN COc1cccc(C(F)(F)CNS(=O)(=O)[C@H]2CCOC2)n1 ZINC000352632592 415258501 /nfs/dbraw/zinc/25/85/01/415258501.db2.gz FJMLTKSPPSFWAA-VIFPVBQESA-N 0 2 322.333 0.890 20 0 DCADLN COc1ncccc1CCNS(=O)(=O)NCC(F)(F)F ZINC000352561116 415228561 /nfs/dbraw/zinc/22/85/61/415228561.db2.gz VCKKMFYLOOZZQF-UHFFFAOYSA-N 0 2 313.301 0.619 20 0 DCADLN COCCCCCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000352723889 415289510 /nfs/dbraw/zinc/28/95/10/415289510.db2.gz GZNIPRGEJGXQNO-UHFFFAOYSA-N 0 2 306.366 0.806 20 0 DCADLN CC(C)[C@H]1C(=O)NCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000066498725 415362480 /nfs/dbraw/zinc/36/24/80/415362480.db2.gz PGKQHRPTGYYYCA-NSHDSACASA-N 0 2 306.391 0.941 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc2c(c(Cl)c1)OCO2 ZINC000080975408 415485041 /nfs/dbraw/zinc/48/50/41/415485041.db2.gz IHONQQGMYAYPFR-UHFFFAOYSA-N 0 2 324.724 0.843 20 0 DCADLN CS(=O)(=O)Nc1cccc(NC(=O)C(N)C(F)(F)F)c1 ZINC000353166206 415459260 /nfs/dbraw/zinc/45/92/60/415459260.db2.gz IQDKPIXUIUQBJS-QMMMGPOBSA-N 0 2 311.285 0.886 20 0 DCADLN CS(=O)(=O)Nc1cccc(NC(=O)[C@H](N)C(F)(F)F)c1 ZINC000353166206 415459271 /nfs/dbraw/zinc/45/92/71/415459271.db2.gz IQDKPIXUIUQBJS-QMMMGPOBSA-N 0 2 311.285 0.886 20 0 DCADLN CCn1cc(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)cn1 ZINC000343133286 415467581 /nfs/dbraw/zinc/46/75/81/415467581.db2.gz XFXVMUBVXKPRCP-UHFFFAOYSA-N 0 2 300.322 0.820 20 0 DCADLN CN(C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1)C1CC(O)C1 ZINC000353335131 415510752 /nfs/dbraw/zinc/51/07/52/415510752.db2.gz HLOYKYSJDKECEH-UHFFFAOYSA-N 0 2 312.395 0.178 20 0 DCADLN O=c1[nH]nc(CN2C[C@H]3Oc4c(F)ccc(F)c4O[C@H]3C2)[nH]1 ZINC000333665006 415709276 /nfs/dbraw/zinc/70/92/76/415709276.db2.gz BPUMWJCLLYETBZ-DTORHVGOSA-N 0 2 310.260 0.813 20 0 DCADLN COc1cc(OC)c(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1F ZINC000354158204 415775720 /nfs/dbraw/zinc/77/57/20/415775720.db2.gz OGOLYCFYSSALAH-UHFFFAOYSA-N 0 2 311.273 0.988 20 0 DCADLN Cc1nc(C)c(F)c(NCC2(N3CCOCC3)CCOCC2)n1 ZINC000334031686 415787591 /nfs/dbraw/zinc/78/75/91/415787591.db2.gz LRFGWIDQFHNIEG-UHFFFAOYSA-N 0 2 324.400 1.526 20 0 DCADLN C[C@@H](NC(=O)c1ccccc1)C(=O)N[C@@H]1CCN(C(N)=O)C1 ZINC000334028687 415788199 /nfs/dbraw/zinc/78/81/99/415788199.db2.gz DFNWRIRGKASJTF-ZYHUDNBSSA-N 0 2 304.350 0.074 20 0 DCADLN C[C@@H](C[S@@](C)=O)NC(=O)Nc1ccn(CC(F)(F)F)n1 ZINC000334034248 415788486 /nfs/dbraw/zinc/78/84/86/415788486.db2.gz OVSKFHIAVVFRRB-JKNYTWMOSA-N 0 2 312.317 1.334 20 0 DCADLN CCCNC(=O)[C@H]1CCC[N@@H+]([C@H](C)C(=O)NC(=O)NCC)C1 ZINC000334024821 415789323 /nfs/dbraw/zinc/78/93/23/415789323.db2.gz VCATVLOBRFCOTC-NEPJUHHUSA-N 0 2 312.414 0.459 20 0 DCADLN CC(C)NC(=O)NC(=O)[C@H](C)[N@@H+]1CCCc2c(cnn2C)C1 ZINC000334030760 415789509 /nfs/dbraw/zinc/78/95/09/415789509.db2.gz KSPYGHXNRNQLAQ-NSHDSACASA-N 0 2 307.398 0.791 20 0 DCADLN CC(C)NC(=O)NC(=O)[C@H](C)N1CCCc2c(cnn2C)C1 ZINC000334030760 415789515 /nfs/dbraw/zinc/78/95/15/415789515.db2.gz KSPYGHXNRNQLAQ-NSHDSACASA-N 0 2 307.398 0.791 20 0 DCADLN COCCC(=O)N1CCC(NC(=O)c2c[nH]cc(C)c2=O)CC1 ZINC000334032231 415789566 /nfs/dbraw/zinc/78/95/66/415789566.db2.gz FXNGISBNXITYND-UHFFFAOYSA-N 0 2 321.377 0.441 20 0 DCADLN CC(C)NC(=O)Nc1ccc(NC(=O)c2nc(=O)[nH][nH]2)cc1 ZINC000129245061 415907304 /nfs/dbraw/zinc/90/73/04/415907304.db2.gz UAHOWONIFSVZHM-UHFFFAOYSA-N 0 2 304.310 0.880 20 0 DCADLN C[C@@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccc2c(c1)CCC(=O)N2 ZINC000129663546 415911414 /nfs/dbraw/zinc/91/14/14/415911414.db2.gz ALXAFEZITUMFIB-SSDOTTSWSA-N 0 2 301.306 0.474 20 0 DCADLN CNC(=O)COc1ccc(NS(=O)(=O)c2cn(C)cn2)cc1 ZINC000356777983 415986218 /nfs/dbraw/zinc/98/62/18/415986218.db2.gz LMJWBHYDLYHZOS-UHFFFAOYSA-N 0 2 324.362 0.346 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC[C@@H](O)C(C)C ZINC000357232145 416048273 /nfs/dbraw/zinc/04/82/73/416048273.db2.gz UEEUPPOEALHVEF-GFCCVEGCSA-N 0 2 306.366 0.397 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H](CO)C1CCCCC1 ZINC000357613733 416105097 /nfs/dbraw/zinc/10/50/97/416105097.db2.gz MWCSSLKWMIWKCM-JTQLQIEISA-N 0 2 314.411 0.907 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCS(=O)(=O)CC1 ZINC000175947322 416116852 /nfs/dbraw/zinc/11/68/52/416116852.db2.gz LCADYHVDDBALAD-UHFFFAOYSA-N 0 2 321.358 0.449 20 0 DCADLN O=C(Nc1ccc(Cc2nn[nH]n2)cc1)[C@@H]1CCc2[nH]nnc2C1 ZINC000345653271 416128773 /nfs/dbraw/zinc/12/87/73/416128773.db2.gz BGWHYTLQXSGNFV-SNVBAGLBSA-N 0 2 324.348 0.652 20 0 DCADLN O=C(Nc1ccc(Cc2nn[nH]n2)cc1)[C@@H]1CCc2nn[nH]c2C1 ZINC000345653271 416128774 /nfs/dbraw/zinc/12/87/74/416128774.db2.gz BGWHYTLQXSGNFV-SNVBAGLBSA-N 0 2 324.348 0.652 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)c2cccc(C)c2)cn1 ZINC000345680951 416132670 /nfs/dbraw/zinc/13/26/70/416132670.db2.gz BMMXQECZXNKEMS-UHFFFAOYSA-N 0 2 307.331 1.372 20 0 DCADLN CC(=O)N1CCCN(C(=O)c2cnc3[nH]c(=O)[nH]c3c2)CC1 ZINC000329612594 416179667 /nfs/dbraw/zinc/17/96/67/416179667.db2.gz RQGVCQUAHPKMEN-UHFFFAOYSA-N 0 2 303.322 0.358 20 0 DCADLN Cc1oc(-c2ccco2)nc1CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358372426 416231129 /nfs/dbraw/zinc/23/11/29/416231129.db2.gz SQJORPLWEVGIGR-UHFFFAOYSA-N 0 2 303.278 0.926 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC[C@H]1CCCCO1 ZINC000182836424 416232467 /nfs/dbraw/zinc/23/24/67/416232467.db2.gz ZDXUUKOWBDKVDE-GFCCVEGCSA-N 0 2 318.377 0.949 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc3c(cn2)CCCC3)c1O ZINC000349027176 416250969 /nfs/dbraw/zinc/25/09/69/416250969.db2.gz PTUSLBICZIPRLH-LBPRGKRZSA-N 0 2 303.318 0.948 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H](CCO)C1CCCCC1 ZINC000187207281 416261430 /nfs/dbraw/zinc/26/14/30/416261430.db2.gz NCYOYWLIZJBWPX-JTQLQIEISA-N 0 2 314.411 0.638 20 0 DCADLN C[C@H](O)CNC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000192555453 416307860 /nfs/dbraw/zinc/30/78/60/416307860.db2.gz GOBUMXRKGVXLJV-JTQLQIEISA-N 0 2 305.338 0.564 20 0 DCADLN CCN(C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)[C@@H](C)CO ZINC000358847912 416283357 /nfs/dbraw/zinc/28/33/57/416283357.db2.gz JQOQTFDTQRCGIQ-QMMMGPOBSA-N 0 2 306.322 0.587 20 0 DCADLN CN(C)c1noc([C@@H]2CCC[NH+](C[C@H](O)C[N@@H+](C)C3CC3)C2)n1 ZINC000352103745 416287765 /nfs/dbraw/zinc/28/77/65/416287765.db2.gz RARKIPABPJXXIV-TZMCWYRMSA-N 0 2 323.441 0.770 20 0 DCADLN CCCCCN(CC(N)=O)S(=O)(=O)NCC(F)(F)F ZINC000195448517 416326352 /nfs/dbraw/zinc/32/63/52/416326352.db2.gz WGHCEFHIIYWNMB-UHFFFAOYSA-N 0 2 305.322 0.361 20 0 DCADLN COCCN(C(=O)c1c[nH]c2c(cnn2C)c1=O)[C@@H]1CCOC1 ZINC000428557226 287327537 /nfs/dbraw/zinc/32/75/37/287327537.db2.gz IDHMENLIMSNEIE-SNVBAGLBSA-N 0 2 320.349 0.551 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H]1CCC[C@@H](CO)C1 ZINC000360652491 416401681 /nfs/dbraw/zinc/40/16/81/416401681.db2.gz SNUOWXJFEKMYAX-RKDXNWHRSA-N 0 2 300.384 0.517 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000422664555 416446469 /nfs/dbraw/zinc/44/64/69/416446469.db2.gz WUYZSNSGDFYSNS-SECBINFHSA-N 0 2 309.322 0.644 20 0 DCADLN O=C(N[C@@H]1CCO[C@H]1C1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000362063771 416554611 /nfs/dbraw/zinc/55/46/11/416554611.db2.gz GPTDEDUCTOEOBK-MNOVXSKESA-N 0 2 316.317 0.733 20 0 DCADLN Cn1c[nH+]cc1CCNC(=O)c1ccccc1[N-]S(C)(=O)=O ZINC000541550622 416608439 /nfs/dbraw/zinc/60/84/39/416608439.db2.gz BBJRZITXWYZPCD-UHFFFAOYSA-N 0 2 322.390 0.764 20 0 DCADLN C[C@H]1C[C@@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)CO1 ZINC000439467896 416613555 /nfs/dbraw/zinc/61/35/55/416613555.db2.gz KRIUGJNZVPUHKB-NTSWFWBYSA-N 0 2 306.369 0.273 20 0 DCADLN COCC[C@@H](NC(=O)c1cc(F)cc(Cl)c1O)C(N)=O ZINC000436746740 416570394 /nfs/dbraw/zinc/57/03/94/416570394.db2.gz ZOLXXTDSRXQQHG-SECBINFHSA-N 0 2 304.705 0.805 20 0 DCADLN C[C@H]1C[C@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)CO1 ZINC000439467899 416614300 /nfs/dbraw/zinc/61/43/00/416614300.db2.gz KRIUGJNZVPUHKB-WDSKDSINSA-N 0 2 306.369 0.273 20 0 DCADLN COC[C@@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C[C@@H](C)O1 ZINC000439935419 416623607 /nfs/dbraw/zinc/62/36/07/416623607.db2.gz MYRJROSSDDSHCJ-ZJUUUORDSA-N 0 2 320.349 0.550 20 0 DCADLN CC(C)C[C@@H](CNC(=O)C[N@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000424246346 416633031 /nfs/dbraw/zinc/63/30/31/416633031.db2.gz LIFPHFKMOYNZSS-LBPRGKRZSA-N 0 2 315.414 0.306 20 0 DCADLN CC(C)C[C@@H](CNC(=O)C[N@@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000424246346 416633035 /nfs/dbraw/zinc/63/30/35/416633035.db2.gz LIFPHFKMOYNZSS-LBPRGKRZSA-N 0 2 315.414 0.306 20 0 DCADLN Cc1ccc(/C=C\C(=O)NS(=O)(=O)c2c(C)onc2N)o1 ZINC000492823299 416638369 /nfs/dbraw/zinc/63/83/69/416638369.db2.gz MQOKSWPJORETCI-WAYWQWQTSA-N 0 2 311.319 0.985 20 0 DCADLN CC(C)C[C@@H](CNC(=O)N(C)CC[NH+]1CCOCC1)C(=O)[O-] ZINC000424420619 416643165 /nfs/dbraw/zinc/64/31/65/416643165.db2.gz KPRSNWLVMJGUFT-ZDUSSCGKSA-N 0 2 315.414 0.707 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N(C)[C@H]1CC[N@H+](C)C[C@H]1C ZINC000441042545 416659200 /nfs/dbraw/zinc/65/92/00/416659200.db2.gz VCKGWLBVUSOMJW-YPMHNXCESA-N 0 2 320.393 0.827 20 0 DCADLN CCC(CC)(CNC(=O)C(=O)NCc1[nH+]ccn1C)C(=O)[O-] ZINC000424518815 416661193 /nfs/dbraw/zinc/66/11/93/416661193.db2.gz NKSHPDNIEUSULG-UHFFFAOYSA-N 0 2 310.354 0.044 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCCC[C@@H]2C(N)=O)c1 ZINC000424581470 416665836 /nfs/dbraw/zinc/66/58/36/416665836.db2.gz HAXWUYXZWGRELD-MCMMXHMISA-N 0 2 324.402 0.669 20 0 DCADLN O=C(NCC1=CCCOC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000514627022 416666178 /nfs/dbraw/zinc/66/61/78/416666178.db2.gz QPOSETZPUCPFSS-UHFFFAOYSA-N 0 2 302.290 0.513 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCCNC(=O)[C@@H]2C)c1 ZINC000424787810 416668304 /nfs/dbraw/zinc/66/83/04/416668304.db2.gz GDCNOGZBBNTHST-KPWVOAKYSA-N 0 2 324.402 0.540 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2C(=O)NCC[C@H]2C)c1 ZINC000424829437 416670619 /nfs/dbraw/zinc/67/06/19/416670619.db2.gz RWYISNYPVSCTBE-LYFLOJOASA-N 0 2 324.402 0.444 20 0 DCADLN Cc1ncc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c(N)n1 ZINC000614518712 416688702 /nfs/dbraw/zinc/68/87/02/416688702.db2.gz KWEZFLPBSIHEFS-QMMMGPOBSA-N 0 2 303.326 0.211 20 0 DCADLN Cc1c(NS(=O)(=O)c2cnn(C)c2)cccc1-n1cnnn1 ZINC000614759538 416701823 /nfs/dbraw/zinc/70/18/23/416701823.db2.gz KRMBLVNPQCDCRB-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)C(=O)Nc1ncccc1O ZINC000614827431 416710929 /nfs/dbraw/zinc/71/09/29/416710929.db2.gz ROSFLFVEQAIMLN-YUMQZZPRSA-N 0 2 304.310 0.298 20 0 DCADLN C[C@H](NC(=O)c1nc[nH]n1)[C@H](C)C(=O)Nc1ncccc1O ZINC000614827431 416710933 /nfs/dbraw/zinc/71/09/33/416710933.db2.gz ROSFLFVEQAIMLN-YUMQZZPRSA-N 0 2 304.310 0.298 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC1([S@@](C)=O)CC1)c2=O ZINC000442935957 416720965 /nfs/dbraw/zinc/72/09/65/416720965.db2.gz WAPFNGZBZBDLJI-OAQYLSRUSA-N 0 2 308.363 0.315 20 0 DCADLN O=C(CN1CN=NC1=O)N=c1nc(-c2ccsc2)[nH]s1 ZINC000444005293 416798101 /nfs/dbraw/zinc/79/81/01/416798101.db2.gz VSLMQXLKAUPMQT-UHFFFAOYSA-N 0 2 308.348 0.624 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000517587652 416813244 /nfs/dbraw/zinc/81/32/44/416813244.db2.gz DCRAUHNDBFMOMD-UHFFFAOYSA-N 0 2 304.306 0.596 20 0 DCADLN CN(C(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(C)(C)C(N)=O ZINC000615460901 416836166 /nfs/dbraw/zinc/83/61/66/416836166.db2.gz NAQYFIFRNRWXHU-UHFFFAOYSA-N 0 2 304.306 0.366 20 0 DCADLN O=S(=O)(C[C@@H]1COc2ccccc2O1)NCC(F)(F)CO ZINC000641427601 416848671 /nfs/dbraw/zinc/84/86/71/416848671.db2.gz ACOKAUOYNRQJMP-VIFPVBQESA-N 0 2 323.317 0.373 20 0 DCADLN CCCN(C(=O)CSc1n[nH]c(=O)[nH]1)[C@@H](C)C(=O)OCC ZINC000444679868 416857528 /nfs/dbraw/zinc/85/75/28/416857528.db2.gz VYGAISBHRMSMMQ-QMMMGPOBSA-N 0 2 316.383 0.793 20 0 DCADLN O=C(NCc1ccccc1)c1cc(NCc2n[nH]c(=O)[nH]2)ccn1 ZINC000446863039 416951526 /nfs/dbraw/zinc/95/15/26/416951526.db2.gz SYEFKLOTDYOQLK-UHFFFAOYSA-N 0 2 324.344 0.869 20 0 DCADLN CC[C@@H](NC(C)=O)C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000637051871 416971063 /nfs/dbraw/zinc/97/10/63/416971063.db2.gz SXRUJXNRBSNRLL-SNVBAGLBSA-N 0 2 305.363 0.875 20 0 DCADLN Cc1cnn(CCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC000565364251 416971839 /nfs/dbraw/zinc/97/18/39/416971839.db2.gz LJBOZZFHXLYGEY-LLVKDONJSA-N 0 2 304.354 0.812 20 0 DCADLN CCC(=O)N1CC[C@@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000565660548 417005006 /nfs/dbraw/zinc/00/50/06/417005006.db2.gz LHPAKWUJNPUESV-GHMZBOCLSA-N 0 2 321.381 0.475 20 0 DCADLN C[NH+](C)CCn1ccc(C(=O)N[C@H]2CCn3cc[nH+]c3C2)n1 ZINC000568209013 417100506 /nfs/dbraw/zinc/10/05/06/417100506.db2.gz LJXGGSIOZRWFIP-LBPRGKRZSA-N 0 2 302.382 0.386 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N[C@H](CO)[C@H]2CCCO2)s[nH]1 ZINC000448019469 417057506 /nfs/dbraw/zinc/05/75/06/417057506.db2.gz WAHKDKOKLJNHHV-RKDXNWHRSA-N 0 2 314.411 0.919 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@@H+]2CCO[C@@H](C)C2)cc1 ZINC000523463731 417085094 /nfs/dbraw/zinc/08/50/94/417085094.db2.gz GFMPMWRUGZLSKQ-ZDUSSCGKSA-N 0 2 302.382 0.525 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@H+]2CCO[C@@H](C)C2)cc1 ZINC000523463731 417085103 /nfs/dbraw/zinc/08/51/03/417085103.db2.gz GFMPMWRUGZLSKQ-ZDUSSCGKSA-N 0 2 302.382 0.525 20 0 DCADLN COC(=O)c1ccc(O)c(NS(=O)(=O)C[C@H](C)OC)c1 ZINC000414397837 417278597 /nfs/dbraw/zinc/27/85/97/417278597.db2.gz SCCHXNWYEMCCIU-QMMMGPOBSA-N 0 2 303.336 0.955 20 0 DCADLN O=C([C@@H]1OC[C@@H]2COCC[C@@H]12)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000569344027 417245139 /nfs/dbraw/zinc/24/51/39/417245139.db2.gz XTBIBQXXZPJZJE-NNYUYHANSA-N 0 2 322.365 0.268 20 0 DCADLN Cc1nc2ccccc2nc1C[NH+]1CCN(C(=O)C(=O)[O-])CC1 ZINC000574920070 417493806 /nfs/dbraw/zinc/49/38/06/417493806.db2.gz XXDNSPSGROBMKC-UHFFFAOYSA-N 0 2 314.345 0.667 20 0 DCADLN CCN(C(=O)C[N@H+](C)CC(=O)NC(C)C)C1CC[NH+](C)CC1 ZINC000627619773 417474141 /nfs/dbraw/zinc/47/41/41/417474141.db2.gz UKJVZYAJDOWHCD-UHFFFAOYSA-N 0 2 312.458 0.386 20 0 DCADLN COCc1nsc(N2CC[NH+](C(C)(C)C(=O)[O-])CC2)n1 ZINC000576163656 417586898 /nfs/dbraw/zinc/58/68/98/417586898.db2.gz ZVLVRGUNAVARQH-UHFFFAOYSA-N 0 2 300.384 0.670 20 0 DCADLN CCc1nn(C)c(CC)c1S(=O)(=O)Nc1nc(C)n(C)n1 ZINC000644636998 417635248 /nfs/dbraw/zinc/63/52/48/417635248.db2.gz ZIJURDVOOTUCKX-UHFFFAOYSA-N 0 2 312.399 0.783 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[NH+]1CCN(c2ccc(F)cn2)CC1 ZINC000635313267 417635678 /nfs/dbraw/zinc/63/56/78/417635678.db2.gz UKOHBECHYYCPHC-UHFFFAOYSA-N 0 2 319.340 0.975 20 0 DCADLN C[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)[C@@H](O)C(F)(F)F ZINC000644754732 417655491 /nfs/dbraw/zinc/65/54/91/417655491.db2.gz SWRXMIACQBCSOL-WVZVXSGGSA-N 0 2 300.262 0.030 20 0 DCADLN C[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)[C@H](O)C(F)(F)F ZINC000644754730 417655631 /nfs/dbraw/zinc/65/56/31/417655631.db2.gz SWRXMIACQBCSOL-WUJLRWPWSA-N 0 2 300.262 0.030 20 0 DCADLN CCC[C@@H](C)[C@H](CO)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651963855 417825421 /nfs/dbraw/zinc/82/54/21/417825421.db2.gz VKLPUNOUICJJQK-MNOVXSKESA-N 0 2 311.386 0.133 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(O[C@H]2CCOC2)cc1 ZINC000629289658 417772692 /nfs/dbraw/zinc/77/26/92/417772692.db2.gz MTRXMHPUXWUTEI-LBPRGKRZSA-N 0 2 324.362 0.784 20 0 DCADLN CC(C)c1nnc2ccc(NS(=O)(=O)c3cnnn3C)cn21 ZINC000629350330 417786135 /nfs/dbraw/zinc/78/61/35/417786135.db2.gz NVFWOFIBVWYGAE-UHFFFAOYSA-N 0 2 321.366 0.782 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCc3occc3C2)[nH]1 ZINC000651807809 417787433 /nfs/dbraw/zinc/78/74/33/417787433.db2.gz SHFNFHVPDJAHIE-UHFFFAOYSA-N 0 2 317.349 0.787 20 0 DCADLN CCc1[nH]nc(NC(=O)C(N)C(F)(F)F)c1Br ZINC000646137259 417788463 /nfs/dbraw/zinc/78/84/63/417788463.db2.gz VDQCXCATXGNAQV-RXMQYKEDSA-N 0 2 315.093 1.563 20 0 DCADLN CO[C@](C)(CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CC1 ZINC000651816072 417790478 /nfs/dbraw/zinc/79/04/78/417790478.db2.gz APRKUICRSWSJPP-CQSZACIVSA-N 0 2 309.370 0.151 20 0 DCADLN CCOC1(C)CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651817182 417791195 /nfs/dbraw/zinc/79/11/95/417791195.db2.gz BRVZJPOWBSDNEG-UHFFFAOYSA-N 0 2 323.397 0.637 20 0 DCADLN CC(C)O[C@@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651865766 417803186 /nfs/dbraw/zinc/80/31/86/417803186.db2.gz GYWREFJJODEKGJ-LLVKDONJSA-N 0 2 309.370 0.245 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2c[nH]c3ncccc23)[nH]1 ZINC000657104381 417812307 /nfs/dbraw/zinc/81/23/07/417812307.db2.gz OTLJXWFTHHVIDA-UHFFFAOYSA-N 0 2 322.350 0.306 20 0 DCADLN Cc1c[nH]c(C[NH2+]CCS(=O)(=O)NC[C@H]2CCCCO2)n1 ZINC000657355984 417851562 /nfs/dbraw/zinc/85/15/62/417851562.db2.gz GZKJPQBCQXZYIS-GFCCVEGCSA-N 0 2 316.427 0.296 20 0 DCADLN C[N@H+](CCN1CCCS1(=O)=O)[C@H](C(=O)[O-])c1ccsc1 ZINC000652433762 417908877 /nfs/dbraw/zinc/90/88/77/417908877.db2.gz DWDGIEIONMJURV-NSHDSACASA-N 0 2 318.420 0.841 20 0 DCADLN C[N@@H+](CCN1CCCS1(=O)=O)[C@H](C(=O)[O-])c1ccsc1 ZINC000652433762 417908882 /nfs/dbraw/zinc/90/88/82/417908882.db2.gz DWDGIEIONMJURV-NSHDSACASA-N 0 2 318.420 0.841 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)[C@@H](Nc2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000662895813 417940742 /nfs/dbraw/zinc/94/07/42/417940742.db2.gz HHKRTEORSPZANJ-BJDJZHNGSA-N 0 2 322.365 0.074 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)[C@@H](Nc2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000662895813 417940746 /nfs/dbraw/zinc/94/07/46/417940746.db2.gz HHKRTEORSPZANJ-BJDJZHNGSA-N 0 2 322.365 0.074 20 0 DCADLN O=C([O-])c1ccc[nH+]c1NCCS(=O)(=O)NCC1CCC1 ZINC000647450538 418010263 /nfs/dbraw/zinc/01/02/63/418010263.db2.gz UAJFVHMTCKDLIF-UHFFFAOYSA-N 0 2 313.379 0.911 20 0 DCADLN Cc1cc(C)n2c(C[NH2+][C@@H](C(=O)[O-])c3ccnn3C)cnc2n1 ZINC000647467702 418012561 /nfs/dbraw/zinc/01/25/61/418012561.db2.gz QLBDHNKDOUPZFV-CYBMUJFWSA-N 0 2 314.349 0.995 20 0 DCADLN CCCCS(=O)(=O)N1C[C@H](C(=O)[O-])[C@@H](c2c[nH+]cn2C)C1 ZINC000647624284 418034752 /nfs/dbraw/zinc/03/47/52/418034752.db2.gz XPDCSODGDOARSW-QWRGUYRKSA-N 0 2 315.395 0.650 20 0 DCADLN Cc1nc(=NC(=O)N[C@@H]2CCN(C3CCCCC3)C2=O)[nH]n1C ZINC000653241179 418046545 /nfs/dbraw/zinc/04/65/45/418046545.db2.gz FKTXJVFAMAIKEN-GFCCVEGCSA-N 0 2 320.397 0.601 20 0 DCADLN CC(C)(C)[C@H](C(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000663116320 417991631 /nfs/dbraw/zinc/99/16/31/417991631.db2.gz ZDUMWWRRWHPMOQ-NSHDSACASA-N 0 2 300.399 0.960 20 0 DCADLN CC(C)(C)[C@H](C(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000663116320 417991632 /nfs/dbraw/zinc/99/16/32/417991632.db2.gz ZDUMWWRRWHPMOQ-NSHDSACASA-N 0 2 300.399 0.960 20 0 DCADLN O=C([O-])[C@H](C(=O)N1CC[NH+](Cc2ccccn2)CC1)C1CC1 ZINC000663115317 417992008 /nfs/dbraw/zinc/99/20/08/417992008.db2.gz RYYXVNHRGWOCFI-AWEZNQCLSA-N 0 2 303.362 0.837 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000663113910 417992150 /nfs/dbraw/zinc/99/21/50/417992150.db2.gz FYAXOLIZJQWZEA-SNVBAGLBSA-N 0 2 309.244 0.551 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc3c(c2)nnn3C)cnn1C ZINC000647136358 417992420 /nfs/dbraw/zinc/99/24/20/417992420.db2.gz ISJXPPYJIDUHIT-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)[C@@H]1CCc3[nH+]ccn3C1)C2 ZINC000663129832 417993277 /nfs/dbraw/zinc/99/32/77/417993277.db2.gz XCJZSBPZJXQKOY-UEKVPHQBSA-N 0 2 305.334 0.005 20 0 DCADLN COCc1nnc(CNc2ncnc3c2NC(=O)CS3)[nH]1 ZINC000664274800 418108743 /nfs/dbraw/zinc/10/87/43/418108743.db2.gz OERVNCBVKSXCIU-UHFFFAOYSA-N 0 2 307.339 0.397 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000653285245 418053400 /nfs/dbraw/zinc/05/34/00/418053400.db2.gz IUUKBDBQKNYWOP-UHFFFAOYSA-N 0 2 322.409 0.955 20 0 DCADLN C[C@H](NC(=O)c1ccc(C(=O)[O-])nc1)[C@H](C)[NH+]1CCOCC1 ZINC000653308117 418055867 /nfs/dbraw/zinc/05/58/67/418055867.db2.gz BBECFQBBSNRYQX-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN CCN(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@@H]1CC[NH+](C)C1 ZINC000663898459 418065224 /nfs/dbraw/zinc/06/52/24/418065224.db2.gz FKMBKSIXNDUGLB-CQSZACIVSA-N 0 2 312.458 0.833 20 0 DCADLN C[C@H](NC(=O)NCC1(C(=O)[O-])CCC1)[C@H](C)[NH+]1CCOCC1 ZINC000659396008 418091184 /nfs/dbraw/zinc/09/11/84/418091184.db2.gz CRIBFENRDBQJNG-RYUDHWBXSA-N 0 2 313.398 0.650 20 0 DCADLN CO[C@](C)(CO)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000655213767 418240144 /nfs/dbraw/zinc/24/01/44/418240144.db2.gz NBUPPYZFQQCODL-HNNXBMFYSA-N 0 2 323.324 0.844 20 0 DCADLN C[C@H]1CN(c2cc(N3CCOCC3)nc[nH+]2)CC[C@@H]1C(=O)[O-] ZINC000649404678 418251046 /nfs/dbraw/zinc/25/10/46/418251046.db2.gz RQKQLFOLZLDZSZ-RYUDHWBXSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@H]1CN(c2cc(N3CCOCC3)[nH+]cn2)CC[C@@H]1C(=O)[O-] ZINC000649404678 418251049 /nfs/dbraw/zinc/25/10/49/418251049.db2.gz RQKQLFOLZLDZSZ-RYUDHWBXSA-N 0 2 306.366 0.860 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(c2nc(C(C)C)[nH+]c(N(C)C)n2)C1 ZINC000649402403 418251607 /nfs/dbraw/zinc/25/16/07/418251607.db2.gz RHDGZUIERCNTTB-HNNXBMFYSA-N 0 2 323.397 0.989 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(c2nc(N(C)C)nc(C(C)C)[nH+]2)C1 ZINC000649402403 418251608 /nfs/dbraw/zinc/25/16/08/418251608.db2.gz RHDGZUIERCNTTB-HNNXBMFYSA-N 0 2 323.397 0.989 20 0 DCADLN O=C(CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)NC1CC1 ZINC000665486374 418212316 /nfs/dbraw/zinc/21/23/16/418212316.db2.gz PPWHEUNKSZRNGR-UHFFFAOYSA-N 0 2 302.290 0.038 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)COc2ccc(C)cc2C)[nH]n1 ZINC000650815461 418326521 /nfs/dbraw/zinc/32/65/21/418326521.db2.gz RNIJRKWJTVHZLI-UHFFFAOYSA-N 0 2 314.345 0.892 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H](C)c2c(C)nn(C)c2C)[nH]n1 ZINC000650817314 418326597 /nfs/dbraw/zinc/32/65/97/418326597.db2.gz ZAIVJGYHRYMNSO-MRVPVSSYSA-N 0 2 316.365 0.351 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2ccc(OC(C)C)nc2)[nH]n1 ZINC000650816426 418326630 /nfs/dbraw/zinc/32/66/30/418326630.db2.gz VDBUDJQKAGAZLZ-UHFFFAOYSA-N 0 2 315.333 0.693 20 0 DCADLN COC[C@@H]1C[C@@H](O)CN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000650026586 418288502 /nfs/dbraw/zinc/28/85/02/418288502.db2.gz NHGCWSQFKGAYAQ-DTWKUNHWSA-N 0 2 314.411 0.871 20 0 DCADLN Cc1cc(=NC(=O)N2CCOC[C@@H]2c2ccnn2C)[nH]nc1C ZINC000650039925 418289547 /nfs/dbraw/zinc/28/95/47/418289547.db2.gz BRHTUHXSHYBLFF-CYBMUJFWSA-N 0 2 316.365 0.854 20 0 DCADLN CN(C)C(=O)Cn1cnc(=NC(=O)NC2CC3(CCC3)C2)[nH]1 ZINC000650054763 418290410 /nfs/dbraw/zinc/29/04/10/418290410.db2.gz OABFBRNOSYFBSD-UHFFFAOYSA-N 0 2 306.370 0.242 20 0 DCADLN Cc1cc[nH+]cc1N1CCN(C(=O)NC[C@@H](C)C(=O)[O-])CC1 ZINC000655885440 418296430 /nfs/dbraw/zinc/29/64/30/418296430.db2.gz VIFNZMMAXRWWAH-GFCCVEGCSA-N 0 2 306.366 0.942 20 0 DCADLN CCNC(=O)c1ccccc1NS(=O)(=O)N(C)CCOC ZINC000650162827 418296524 /nfs/dbraw/zinc/29/65/24/418296524.db2.gz LONUQKQCCCHZQX-UHFFFAOYSA-N 0 2 315.395 0.671 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000650515636 418313338 /nfs/dbraw/zinc/31/33/38/418313338.db2.gz CLJOCYVAEGYVRL-NEPJUHHUSA-N 0 2 313.398 0.299 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000650515636 418313340 /nfs/dbraw/zinc/31/33/40/418313340.db2.gz CLJOCYVAEGYVRL-NEPJUHHUSA-N 0 2 313.398 0.299 20 0 DCADLN CC(C)C[N@H+]1CCO[C@@H](CNC(=O)CN(C)Cc2c[nH+]c[nH]2)C1 ZINC000661083688 418314297 /nfs/dbraw/zinc/31/42/97/418314297.db2.gz IIKIAZBSYJBOIS-HNNXBMFYSA-N 0 2 323.441 0.315 20 0 DCADLN COCCn1nnc2c1CCN(c1cc[nH+]c(C(=O)[O-])c1)C2 ZINC000650770929 418323328 /nfs/dbraw/zinc/32/33/28/418323328.db2.gz HYZGADVKIAVILR-UHFFFAOYSA-N 0 2 303.322 0.580 20 0 DCADLN C[C@@H](NC(=O)c1ccc(C(=O)[O-])cn1)[C@@H](C)[NH+]1CCOCC1 ZINC000649465232 418256076 /nfs/dbraw/zinc/25/60/76/418256076.db2.gz WKBCCNSBVRXDJV-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)COCCc2ccccc2)[nH]n1 ZINC000650815972 418326068 /nfs/dbraw/zinc/32/60/68/418326068.db2.gz GAAQJHHQESLQIB-UHFFFAOYSA-N 0 2 314.345 0.456 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cccc3c2O[C@@H](C)C3)[nH]n1 ZINC000650819200 418326372 /nfs/dbraw/zinc/32/63/72/418326372.db2.gz LKOUHNWNVGVQOP-VIFPVBQESA-N 0 2 312.329 0.834 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)CCOC(C)(C)C)[nH]1 ZINC000651628344 418398560 /nfs/dbraw/zinc/39/85/60/418398560.db2.gz JBLWYFKPINQWHT-UHFFFAOYSA-N 0 2 311.386 0.493 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ccc(C(=O)[O-])cc2)CCN1S(C)(=O)=O ZINC000656763341 418374773 /nfs/dbraw/zinc/37/47/73/418374773.db2.gz NGGIJAHKNXIIIE-LLVKDONJSA-N 0 2 312.391 0.851 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2ccc(C(=O)[O-])cc2)CCN1S(C)(=O)=O ZINC000656763341 418374776 /nfs/dbraw/zinc/37/47/76/418374776.db2.gz NGGIJAHKNXIIIE-LLVKDONJSA-N 0 2 312.391 0.851 20 0 DCADLN CO[C@H](CS(=O)(=O)NCc1cn[nH]c1C)[C@@H]1CCOC1 ZINC000656884823 418382163 /nfs/dbraw/zinc/38/21/63/418382163.db2.gz FIXSYCMHAJPFFO-ZYHUDNBSSA-N 0 2 303.384 0.189 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCc2cccc(F)c2)[nH]1 ZINC000651501379 418385204 /nfs/dbraw/zinc/38/52/04/418385204.db2.gz RCJFLYQHVBOUDE-UHFFFAOYSA-N 0 2 305.313 0.675 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H]2C[C@@H]2c2ccccc2)[nH]1 ZINC000651535100 418387835 /nfs/dbraw/zinc/38/78/35/418387835.db2.gz BZIDFQLUQWNORJ-OLZOCXBDSA-N 0 2 313.361 0.892 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H](C)c2cccc(O)c2)[nH]1 ZINC000651603298 418396343 /nfs/dbraw/zinc/39/63/43/418396343.db2.gz UJWTWZZYSFAYQE-SNVBAGLBSA-N 0 2 317.349 0.802 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCO[C@H](C(C)(C)C)C2)[nH]1 ZINC000651761951 418408930 /nfs/dbraw/zinc/40/89/30/418408930.db2.gz QTKGLOPCXXEBSF-NSHDSACASA-N 0 2 323.397 0.493 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCOC3(CCC3)C2)[nH]1 ZINC000651644042 418399765 /nfs/dbraw/zinc/39/97/65/418399765.db2.gz AFFQYXUMJAZZFY-UHFFFAOYSA-N 0 2 307.354 0.001 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCC1=O ZINC000329390509 296933631 /nfs/dbraw/zinc/93/36/31/296933631.db2.gz SGKHKQSTKUNEIH-SSDOTTSWSA-N 0 2 302.290 0.487 20 0 DCADLN C/C=C\C[C@@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000354242961 261195374 /nfs/dbraw/zinc/19/53/74/261195374.db2.gz VFCGOVKQGBNYNK-XADBCAIWSA-N 0 2 304.306 0.493 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@@H](C)CC(N)=O)=N2)cc1 ZINC000354722676 261263068 /nfs/dbraw/zinc/26/30/68/261263068.db2.gz DXHXARYNNOCLRL-VIFPVBQESA-N 0 2 318.333 0.580 20 0 DCADLN O=C(NCCc1ccon1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000354899207 261284488 /nfs/dbraw/zinc/28/44/88/261284488.db2.gz SWDXAWWBTZYSDG-UHFFFAOYSA-N 0 2 301.262 0.397 20 0 DCADLN CCc1nc2ccccc2n1CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000355058175 261301799 /nfs/dbraw/zinc/30/17/99/261301799.db2.gz KFRPHNXRLFJXIC-UHFFFAOYSA-N 0 2 300.322 0.739 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)Cc2ccncc2)s1 ZINC000355150387 261311973 /nfs/dbraw/zinc/31/19/73/261311973.db2.gz OSXKFCOJAYUDTO-UHFFFAOYSA-N 0 2 313.364 0.486 20 0 DCADLN CC(C)OC(=O)[C@H](C)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355602705 261351594 /nfs/dbraw/zinc/35/15/94/261351594.db2.gz XPLKQWVPTQSXIF-SECBINFHSA-N 0 2 320.349 0.991 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@]1(O)CCSC1)c2=O ZINC000355603829 261351894 /nfs/dbraw/zinc/35/18/94/261351894.db2.gz ILLLNRGWTHWUTK-CYBMUJFWSA-N 0 2 308.363 0.272 20 0 DCADLN CCCOc1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000355980916 261380943 /nfs/dbraw/zinc/38/09/43/261380943.db2.gz PNKCOYMIVJFLJK-UHFFFAOYSA-N 0 2 319.321 0.554 20 0 DCADLN COCCOCc1noc(-c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000357150057 261508289 /nfs/dbraw/zinc/50/82/89/261508289.db2.gz QAZNCEMVCXDKEX-UHFFFAOYSA-N 0 2 319.277 0.649 20 0 DCADLN CC[NH+]1CCCC[C@H]1C(=O)N1CCC[N@@H+](CC(=O)NC)CC1 ZINC000363070591 262097263 /nfs/dbraw/zinc/09/72/63/262097263.db2.gz SWRVRELFKGJVLQ-AWEZNQCLSA-N 0 2 310.442 0.141 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@@H+](C)[C@@H](C)[C@H]1C ZINC000355449659 271009076 /nfs/dbraw/zinc/00/90/76/271009076.db2.gz ANFXLZRKSKFWIS-DTWKUNHWSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@H+](C)[C@@H](C)[C@H]1C ZINC000355449659 271009079 /nfs/dbraw/zinc/00/90/79/271009079.db2.gz ANFXLZRKSKFWIS-DTWKUNHWSA-N 0 2 316.379 0.999 20 0 DCADLN CC(=O)N1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@@H](C)C1 ZINC000358386584 271050885 /nfs/dbraw/zinc/05/08/85/271050885.db2.gz QQBXYCSKLBKMHT-VIFPVBQESA-N 0 2 317.349 0.367 20 0 DCADLN CS(=O)(=O)NCCNc1nc(C2CCCCC2)ns1 ZINC000420579594 271373275 /nfs/dbraw/zinc/37/32/75/271373275.db2.gz BFDOLXXJYGSUGB-UHFFFAOYSA-N 0 2 304.441 0.969 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)NC[C@@H]2C[NH+](C3CC3)CCO2)CCC[N@@H+]1C ZINC000489979448 272067784 /nfs/dbraw/zinc/06/77/84/272067784.db2.gz HMBPJDBUTUJLOM-YUELXQCFSA-N 0 2 310.442 0.632 20 0 DCADLN COc1ccccc1/C=C\C(=O)NS(=O)(=O)c1ccnn1C ZINC000492563602 272135354 /nfs/dbraw/zinc/13/53/54/272135354.db2.gz JFCVKEAYGWBSIU-FPLPWBNLSA-N 0 2 321.358 0.947 20 0 DCADLN CN(CC(=O)NCCCc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000493157025 272171980 /nfs/dbraw/zinc/17/19/80/272171980.db2.gz ODPNARYTGOEFKS-UHFFFAOYSA-N 0 2 313.358 0.426 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)/C=C\c2ccnn2C)cn1 ZINC000493607879 272200118 /nfs/dbraw/zinc/20/01/18/272200118.db2.gz BFQXDDZVJFHVRM-HYXAFXHYSA-N 0 2 322.346 0.342 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)C[C@H](C)OC ZINC000493714022 272205659 /nfs/dbraw/zinc/20/56/59/272205659.db2.gz HYAWMEYPTVZHKB-PORFMDCZSA-N 0 2 301.368 0.397 20 0 DCADLN Cc1cccnc1/C=C\C(=O)NS(=O)(=O)c1cnn(C)c1 ZINC000493728585 272206371 /nfs/dbraw/zinc/20/63/71/272206371.db2.gz SCHYOOURXDLWNQ-WAYWQWQTSA-N 0 2 306.347 0.642 20 0 DCADLN COC(=O)N1CCN(CC(=O)NOCc2ccccc2)CC1 ZINC000494143431 272211733 /nfs/dbraw/zinc/21/17/33/272211733.db2.gz XDAORJRRJWTKIQ-UHFFFAOYSA-N 0 2 307.350 0.618 20 0 DCADLN COC(=O)N1CC[NH+](CC(=O)[N-]OCc2ccccc2)CC1 ZINC000494143431 272211736 /nfs/dbraw/zinc/21/17/36/272211736.db2.gz XDAORJRRJWTKIQ-UHFFFAOYSA-N 0 2 307.350 0.618 20 0 DCADLN CC(C)(C)OC(=O)NC(=C(O)Nn1cnnc1)C(F)(F)F ZINC000496757096 272341673 /nfs/dbraw/zinc/34/16/73/272341673.db2.gz IUPGTJDWIGSVTB-LURJTMIESA-N 0 2 309.248 0.804 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2ccc(OCCCO)cc2)c1 ZINC000507585300 272395839 /nfs/dbraw/zinc/39/58/39/272395839.db2.gz QTTANZYLVQZXIV-UHFFFAOYSA-N 0 2 311.363 0.982 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CCc2n[nH]cc2C1 ZINC000522282295 272550818 /nfs/dbraw/zinc/55/08/18/272550818.db2.gz VDLMZWBDPOLKBQ-UHFFFAOYSA-N 0 2 311.301 0.952 20 0 DCADLN Cn1ncc2cc(C(=O)NCCCc3n[nH]c(=O)[nH]3)cnc21 ZINC000531358292 287775979 /nfs/dbraw/zinc/77/59/79/287775979.db2.gz LANFGTARMRIIRQ-UHFFFAOYSA-N 0 2 301.310 0.155 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)CC(=O)N1 ZINC000544618044 287978871 /nfs/dbraw/zinc/97/88/71/287978871.db2.gz UEMDQRNYMJDGLE-SCZZXKLOSA-N 0 2 317.374 0.875 20 0 DCADLN CC(C)(C)c1nc(=NCC2(O)CCS(=O)(=O)CC2)s[nH]1 ZINC000553563412 288302868 /nfs/dbraw/zinc/30/28/68/288302868.db2.gz GZMYYRXUGTXLMI-UHFFFAOYSA-N 0 2 319.452 0.609 20 0 DCADLN C[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC000186827035 297171211 /nfs/dbraw/zinc/17/12/11/297171211.db2.gz FFTIYIXUZWIBBZ-SSDOTTSWSA-N 0 2 305.302 0.930 20 0 DCADLN C[C@H]1OCCN(C(=O)c2cc(F)c(O)c(F)c2)[C@@H]1C(N)=O ZINC000285690347 300595221 /nfs/dbraw/zinc/59/52/21/300595221.db2.gz ZNPUKVWVFSDIKK-LDWIPMOCSA-N 0 2 300.261 0.385 20 0 DCADLN Cc1cc(C(=O)N2CC[N@@H+](C)C[C@@H]2C)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000345332007 301099824 /nfs/dbraw/zinc/09/98/24/301099824.db2.gz DMPXAHITFUCVDX-VIFPVBQESA-N 0 2 317.349 0.521 20 0 DCADLN Cc1cc(C(=O)N2CC[N@H+](C)C[C@@H]2C)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000345332007 301099827 /nfs/dbraw/zinc/09/98/27/301099827.db2.gz DMPXAHITFUCVDX-VIFPVBQESA-N 0 2 317.349 0.521 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[C@@H](O)C2(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000275316369 301141185 /nfs/dbraw/zinc/14/11/85/301141185.db2.gz ZIKVNOMZEYTMNL-RKDXNWHRSA-N 0 2 318.333 0.634 20 0 DCADLN Cc1ccncc1S(=O)(=O)NC(=O)[C@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC000408494668 302292778 /nfs/dbraw/zinc/29/27/78/302292778.db2.gz DUEBUDMGFYMYAU-AXFHLTTASA-N 0 2 308.359 0.810 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCCN1C(=O)c1ccccc1 ZINC000359264084 303814108 /nfs/dbraw/zinc/81/41/08/303814108.db2.gz AAGNTPQAGMTHBM-LLVKDONJSA-N 0 2 315.333 0.431 20 0 DCADLN O=C(CCOCC(F)F)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000570398964 332750378 /nfs/dbraw/zinc/75/03/78/332750378.db2.gz HFQPEMOQGYJJMO-MRVPVSSYSA-N 0 2 304.297 0.888 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000571212032 333684722 /nfs/dbraw/zinc/68/47/22/333684722.db2.gz LSWPDNGKYDKYDN-AXFHLTTASA-N 0 2 322.365 0.806 20 0 DCADLN O=C(N[C@@H]1CNC(=O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000582454034 337055630 /nfs/dbraw/zinc/05/56/30/337055630.db2.gz KNUWUHCLXQXJEH-VIFPVBQESA-N 0 2 304.281 0.335 20 0 DCADLN CS(=O)(=O)CC1(CN2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC000576785293 341863101 /nfs/dbraw/zinc/86/31/01/341863101.db2.gz QLVIDRVPEHVKAT-JTQLQIEISA-N 0 2 314.411 0.515 20 0 DCADLN COCC(=O)NCC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001446809085 1159550853 /nfs/dbraw/zinc/55/08/53/1159550853.db2.gz BHWBTWREQVZQHY-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NCC1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001446809085 1159550857 /nfs/dbraw/zinc/55/08/57/1159550857.db2.gz BHWBTWREQVZQHY-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN Cc1ccnc(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)n1 ZINC000331976960 534404379 /nfs/dbraw/zinc/40/43/79/534404379.db2.gz TYPPCHPRHBBCNJ-SNVBAGLBSA-N 0 2 309.351 0.744 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)C[C@@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266889248 524086774 /nfs/dbraw/zinc/08/67/74/524086774.db2.gz LVISPAMTLPOMIC-IONNQARKSA-N 0 2 320.349 0.881 20 0 DCADLN Cc1cc(C(=O)NCc2cccnc2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000154471453 524107882 /nfs/dbraw/zinc/10/78/82/524107882.db2.gz GELCYTNFACFOHC-UHFFFAOYSA-N 0 2 311.301 0.657 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[NH+](C)CC2)cc(C(=O)[O-])c1F ZINC000127591902 525398417 /nfs/dbraw/zinc/39/84/17/525398417.db2.gz ACSYDQSCYJOIDE-UHFFFAOYSA-N 0 2 316.354 0.768 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](C(=O)N=c2nc(-c3ccsc3)[nH]s2)O1 ZINC000366376539 526975886 /nfs/dbraw/zinc/97/58/86/526975886.db2.gz QHDHGZKUEOQAME-JGVFFNPUSA-N 0 2 324.387 0.660 20 0 DCADLN COCCOCCS(=O)(=O)Nc1cccc2[nH]c(=O)oc21 ZINC000290540067 536520942 /nfs/dbraw/zinc/52/09/42/536520942.db2.gz PBOFZKFIUQNVHT-UHFFFAOYSA-N 0 2 316.335 0.526 20 0 DCADLN O=C(C[N@@H+]1CCc2ccccc2[C@@H]1C(=O)[O-])NC1CCOCC1 ZINC000320508310 546034095 /nfs/dbraw/zinc/03/40/95/546034095.db2.gz ZZJBMMSNCNCVFI-MRXNPFEDSA-N 0 2 318.373 0.966 20 0 DCADLN O=C(C[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-])NC1CCOCC1 ZINC000320508310 546034099 /nfs/dbraw/zinc/03/40/99/546034099.db2.gz ZZJBMMSNCNCVFI-MRXNPFEDSA-N 0 2 318.373 0.966 20 0 DCADLN Cc1c(C(=O)[O-])cccc1S(=O)(=O)NCc1c[nH+]cn1C ZINC000357146542 546186574 /nfs/dbraw/zinc/18/65/74/546186574.db2.gz HZIWGIXSFIYQCZ-UHFFFAOYSA-N 0 2 309.347 0.905 20 0 DCADLN C[C@@H](NC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+])c1ccc2c(c1)OCO2 ZINC000668975242 546894203 /nfs/dbraw/zinc/89/42/03/546894203.db2.gz FNNNQINJZXNMII-DGCLKSJQSA-N 0 2 320.393 0.761 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CC[C@](F)(CO)C1 ZINC000670288736 547069934 /nfs/dbraw/zinc/06/99/34/547069934.db2.gz FZFHVIUVVDHKPB-CQSZACIVSA-N 0 2 307.281 0.576 20 0 DCADLN C[C@H](c1ccccc1)[C@H](CO)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000673056991 547442281 /nfs/dbraw/zinc/44/22/81/547442281.db2.gz OSMUSXODIKVZMQ-KOLCDFICSA-N 0 2 322.390 0.883 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000676230143 547746695 /nfs/dbraw/zinc/74/66/95/547746695.db2.gz MOHXTBLYJLAPSG-UHFFFAOYSA-N 0 2 324.333 0.429 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000676230143 547746699 /nfs/dbraw/zinc/74/66/99/547746699.db2.gz MOHXTBLYJLAPSG-UHFFFAOYSA-N 0 2 324.333 0.429 20 0 DCADLN C[C@H](C(=O)N(C)Cc1cccc(F)c1)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231667 547746786 /nfs/dbraw/zinc/74/67/86/547746786.db2.gz OKYGGHXJTDLCNV-BXUZGUMPSA-N 0 2 324.352 0.958 20 0 DCADLN C[C@H](C(=O)N(C)Cc1cccc(F)c1)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231667 547746790 /nfs/dbraw/zinc/74/67/90/547746790.db2.gz OKYGGHXJTDLCNV-BXUZGUMPSA-N 0 2 324.352 0.958 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N(C)Cc1ccc(F)cc1 ZINC000676230275 547747044 /nfs/dbraw/zinc/74/70/44/547747044.db2.gz UWKBXZJLBHYRAI-UHFFFAOYSA-N 0 2 312.341 0.817 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N(C)Cc1ccc(F)cc1 ZINC000676230275 547747046 /nfs/dbraw/zinc/74/70/46/547747046.db2.gz UWKBXZJLBHYRAI-UHFFFAOYSA-N 0 2 312.341 0.817 20 0 DCADLN Cc1ccccc1CCNC(=O)[C@H](C)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231230 547747059 /nfs/dbraw/zinc/74/70/59/547747059.db2.gz JQTKACNPWBDRLS-DZGCQCFKSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1ccccc1CCNC(=O)[C@H](C)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231230 547747061 /nfs/dbraw/zinc/74/70/61/547747061.db2.gz JQTKACNPWBDRLS-DZGCQCFKSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCn3c(nnc3C(F)(F)F)C2)c1O ZINC000676698416 547805530 /nfs/dbraw/zinc/80/55/30/547805530.db2.gz WPHZOCDKLGUINE-UHFFFAOYSA-N 0 2 316.243 0.690 20 0 DCADLN CCN1CCOC[C@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000678060917 547941336 /nfs/dbraw/zinc/94/13/36/547941336.db2.gz KLZVQYIGUSTQAA-LBPRGKRZSA-N 0 2 318.333 0.689 20 0 DCADLN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)c1n[nH]c(C)c1O ZINC000678577766 547992183 /nfs/dbraw/zinc/99/21/83/547992183.db2.gz MQAZMMYAFUKWIW-LLVKDONJSA-N 0 2 319.365 0.988 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)c(F)cc1F ZINC000679202302 548032843 /nfs/dbraw/zinc/03/28/43/548032843.db2.gz FEZSPXNRKDOLOD-UHFFFAOYSA-N 0 2 316.289 0.896 20 0 DCADLN Cc1csc(N2CC[N@@H+](CC(=O)NCC(=O)[O-])C[C@@H]2C)n1 ZINC000679658236 548081947 /nfs/dbraw/zinc/08/19/47/548081947.db2.gz NOUZBADLEDTCBP-JTQLQIEISA-N 0 2 312.395 0.163 20 0 DCADLN Cc1csc(N2CC[N@H+](CC(=O)NCC(=O)[O-])C[C@@H]2C)n1 ZINC000679658236 548081949 /nfs/dbraw/zinc/08/19/49/548081949.db2.gz NOUZBADLEDTCBP-JTQLQIEISA-N 0 2 312.395 0.163 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)Cc2ncnn2CC(F)F)c1O ZINC000683892103 548531407 /nfs/dbraw/zinc/53/14/07/548531407.db2.gz GNXBUKFONUANKY-UHFFFAOYSA-N 0 2 300.269 0.553 20 0 DCADLN CCOC(=O)CCCCn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC000736592415 598824688 /nfs/dbraw/zinc/82/46/88/598824688.db2.gz QECSOBZSRWYRLO-UHFFFAOYSA-N 0 2 320.353 0.774 20 0 DCADLN Cc1c(NS(=O)(=O)c2cnn(C)c2)cccc1-c1nn[nH]n1 ZINC000822130588 607256179 /nfs/dbraw/zinc/25/61/79/607256179.db2.gz WMYRYSTXYSNRPC-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NC(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000739631131 596907401 /nfs/dbraw/zinc/90/74/01/596907401.db2.gz LHPFNVQZEVBQEI-UHFFFAOYSA-N 0 2 323.393 0.797 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NC(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000739631131 596907404 /nfs/dbraw/zinc/90/74/04/596907404.db2.gz LHPFNVQZEVBQEI-UHFFFAOYSA-N 0 2 323.393 0.797 20 0 DCADLN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000262622082 597129933 /nfs/dbraw/zinc/12/99/33/597129933.db2.gz FHHQVUVTIYBFPH-QJPTWQEYSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000262622082 597129936 /nfs/dbraw/zinc/12/99/36/597129936.db2.gz FHHQVUVTIYBFPH-QJPTWQEYSA-N 0 2 311.382 0.940 20 0 DCADLN Cc1ccc(NC(=O)N2CCO[C@@H](C(=O)[O-])C2)c(N(C)C)[nH+]1 ZINC000821087872 597370128 /nfs/dbraw/zinc/37/01/28/597370128.db2.gz IPXTZWLBSUKBOF-LLVKDONJSA-N 0 2 308.338 0.773 20 0 DCADLN C[C@@H](c1cccc(F)c1)[NH+]1CCN(CC(=O)NCC(=O)[O-])CC1 ZINC000820199808 597799580 /nfs/dbraw/zinc/79/95/80/597799580.db2.gz JXLIDAPVRFIJQH-LBPRGKRZSA-N 0 2 323.368 0.705 20 0 DCADLN CNC(=O)[C@@H](NC(=O)C[N@H+](CC(=O)[O-])C(C)C)c1ccccc1 ZINC000820630141 598083486 /nfs/dbraw/zinc/08/34/86/598083486.db2.gz AOLBTUNWKKRRAY-HNNXBMFYSA-N 0 2 321.377 0.385 20 0 DCADLN CNC(=O)[C@@H](NC(=O)C[N@@H+](CC(=O)[O-])C(C)C)c1ccccc1 ZINC000820630141 598083487 /nfs/dbraw/zinc/08/34/87/598083487.db2.gz AOLBTUNWKKRRAY-HNNXBMFYSA-N 0 2 321.377 0.385 20 0 DCADLN COc1ccnc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)c1 ZINC000737159747 598392626 /nfs/dbraw/zinc/39/26/26/598392626.db2.gz PBKJZKWLAXAMHY-UHFFFAOYSA-N 0 2 313.321 0.492 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1COc2ccccc2O1 ZINC000170584773 599777493 /nfs/dbraw/zinc/77/74/93/599777493.db2.gz OKOBBXJORICYDM-GWCFXTLKSA-N 0 2 317.301 0.362 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1COc2ccccc2O1 ZINC000170584773 599777494 /nfs/dbraw/zinc/77/74/94/599777494.db2.gz OKOBBXJORICYDM-GWCFXTLKSA-N 0 2 317.301 0.362 20 0 DCADLN O=C([O-])c1cccc(C[N@@H+]2CCO[C@@H](Cn3cncn3)C2)c1 ZINC000740220096 599919772 /nfs/dbraw/zinc/91/97/72/599919772.db2.gz FQDGJVQPWCSGMY-CQSZACIVSA-N 0 2 302.334 0.877 20 0 DCADLN O=C([O-])c1cccc(C[N@H+]2CCO[C@@H](Cn3cncn3)C2)c1 ZINC000740220096 599919773 /nfs/dbraw/zinc/91/97/73/599919773.db2.gz FQDGJVQPWCSGMY-CQSZACIVSA-N 0 2 302.334 0.877 20 0 DCADLN CCOC[C@H](O)CN1CC[NH+](Cc2cccc(C(=O)[O-])c2)CC1 ZINC000737161669 599919788 /nfs/dbraw/zinc/91/97/88/599919788.db2.gz IOFGXNLQPKREJP-MRXNPFEDSA-N 0 2 322.405 0.900 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)CCO1 ZINC000738551564 599941227 /nfs/dbraw/zinc/94/12/27/599941227.db2.gz KMRQTQSAROHSQY-CQSZACIVSA-N 0 2 315.329 0.857 20 0 DCADLN COc1ccc(OC)c([C@H](O)C[N@@H+]2CCO[C@H](C(=O)[O-])C2)c1 ZINC000738047337 599968277 /nfs/dbraw/zinc/96/82/77/599968277.db2.gz DFQZKFCOGLJKHR-OCCSQVGLSA-N 0 2 311.334 0.523 20 0 DCADLN COc1ccc(OC)c([C@H](O)C[N@H+]2CCO[C@H](C(=O)[O-])C2)c1 ZINC000738047337 599968279 /nfs/dbraw/zinc/96/82/79/599968279.db2.gz DFQZKFCOGLJKHR-OCCSQVGLSA-N 0 2 311.334 0.523 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)N2CCO[C@H](C(=O)[O-])C2)c1C ZINC000737839595 600039555 /nfs/dbraw/zinc/03/95/55/600039555.db2.gz MNWUYNHIACGJGX-LBPRGKRZSA-N 0 2 323.349 0.702 20 0 DCADLN CC(C)(C)OC(=O)N[C@H]1CC[N@H+](CC(=O)NCCC(=O)[O-])C1 ZINC000736281018 600092789 /nfs/dbraw/zinc/09/27/89/600092789.db2.gz JYDGOXKFZFYMNI-JTQLQIEISA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)N[C@H]1CC[N@@H+](CC(=O)NCCC(=O)[O-])C1 ZINC000736281018 600092792 /nfs/dbraw/zinc/09/27/92/600092792.db2.gz JYDGOXKFZFYMNI-JTQLQIEISA-N 0 2 315.370 0.176 20 0 DCADLN CCS(=O)(=O)NCC[N@H+](C)Cc1cc(C(=O)[O-])c(C)o1 ZINC000737222967 600093704 /nfs/dbraw/zinc/09/37/04/600093704.db2.gz OWNOTJLYFBJUQD-UHFFFAOYSA-N 0 2 304.368 0.657 20 0 DCADLN CCS(=O)(=O)NCC[N@@H+](C)Cc1cc(C(=O)[O-])c(C)o1 ZINC000737222967 600093706 /nfs/dbraw/zinc/09/37/06/600093706.db2.gz OWNOTJLYFBJUQD-UHFFFAOYSA-N 0 2 304.368 0.657 20 0 DCADLN CCc1nc([C@@H]2CCC[N@@H+]2CCC(=O)N(C)CC(=O)[O-])no1 ZINC000737282127 600295395 /nfs/dbraw/zinc/29/53/95/600295395.db2.gz VMHLHKNWSPKVCW-JTQLQIEISA-N 0 2 310.354 0.702 20 0 DCADLN CCc1nc([C@@H]2CCC[N@H+]2CCC(=O)N(C)CC(=O)[O-])no1 ZINC000737282127 600295397 /nfs/dbraw/zinc/29/53/97/600295397.db2.gz VMHLHKNWSPKVCW-JTQLQIEISA-N 0 2 310.354 0.702 20 0 DCADLN COCCCn1cnn(C[N@@H+]2CC[C@H](C(=O)[O-])C2)c1=S ZINC000737766238 600418116 /nfs/dbraw/zinc/41/81/16/600418116.db2.gz XIZUXPDZMDACPI-JTQLQIEISA-N 0 2 300.384 0.815 20 0 DCADLN COCCCn1cnn(C[N@H+]2CC[C@H](C(=O)[O-])C2)c1=S ZINC000737766238 600418117 /nfs/dbraw/zinc/41/81/17/600418117.db2.gz XIZUXPDZMDACPI-JTQLQIEISA-N 0 2 300.384 0.815 20 0 DCADLN CCOCC[N@@H+]1CCN(S(=O)(=O)CCCC(=O)[O-])C[C@H]1C ZINC000737178053 600500533 /nfs/dbraw/zinc/50/05/33/600500533.db2.gz ZZIGPRFOZMYQOT-GFCCVEGCSA-N 0 2 322.427 0.224 20 0 DCADLN CCOCC[N@H+]1CCN(S(=O)(=O)CCCC(=O)[O-])C[C@H]1C ZINC000737178053 600500535 /nfs/dbraw/zinc/50/05/35/600500535.db2.gz ZZIGPRFOZMYQOT-GFCCVEGCSA-N 0 2 322.427 0.224 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000829628654 600997510 /nfs/dbraw/zinc/99/75/10/600997510.db2.gz RFEHNLMZMRTTGQ-UHFFFAOYSA-N 0 2 323.368 0.881 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000829628654 600997513 /nfs/dbraw/zinc/99/75/13/600997513.db2.gz RFEHNLMZMRTTGQ-UHFFFAOYSA-N 0 2 323.368 0.881 20 0 DCADLN C[C@H](CS(=O)(=O)NC[C@@H](c1ccco1)[NH+](C)C)C(=O)[O-] ZINC000827558237 601272769 /nfs/dbraw/zinc/27/27/69/601272769.db2.gz KLLYNAAXANWKDL-ZJUUUORDSA-N 0 2 304.368 0.522 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)c2ccnc(C(=O)[O-])c2)CC1 ZINC000827030275 601292836 /nfs/dbraw/zinc/29/28/36/601292836.db2.gz CPRQYXRJMVHTNO-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN O=C([O-])c1ncn(C[NH+]2CCC(OC[C@@H]3CCCO3)CC2)n1 ZINC000833345154 601342797 /nfs/dbraw/zinc/34/27/97/601342797.db2.gz RJGHWJSCDAOYMR-LBPRGKRZSA-N 0 2 310.354 0.594 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](CC(=O)[O-])C1CC1 ZINC000829833005 601461798 /nfs/dbraw/zinc/46/17/98/601461798.db2.gz UXIYPJNNWQJYFS-LBPRGKRZSA-N 0 2 312.366 0.480 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](CC(=O)[O-])C1CC1 ZINC000829833005 601461799 /nfs/dbraw/zinc/46/17/99/601461799.db2.gz UXIYPJNNWQJYFS-LBPRGKRZSA-N 0 2 312.366 0.480 20 0 DCADLN COc1cc(OC)cc(-n2nnnc2C[N@H+](C)CCC(=O)[O-])c1 ZINC000818564191 601465987 /nfs/dbraw/zinc/46/59/87/601465987.db2.gz HDRAHTXERCVYRX-UHFFFAOYSA-N 0 2 321.337 0.586 20 0 DCADLN COc1cc(OC)cc(-n2nnnc2C[N@@H+](C)CCC(=O)[O-])c1 ZINC000818564191 601465990 /nfs/dbraw/zinc/46/59/90/601465990.db2.gz HDRAHTXERCVYRX-UHFFFAOYSA-N 0 2 321.337 0.586 20 0 DCADLN O=C([O-])C[C@H]1C[N@H+](Cc2cc(=O)n3ccccc3n2)CCO1 ZINC000387521257 601571371 /nfs/dbraw/zinc/57/13/71/601571371.db2.gz QCWHCBNDCNUTCG-LBPRGKRZSA-N 0 2 303.318 0.370 20 0 DCADLN O=C([O-])C[C@H]1C[N@@H+](Cc2cc(=O)n3ccccc3n2)CCO1 ZINC000387521257 601571372 /nfs/dbraw/zinc/57/13/72/601571372.db2.gz QCWHCBNDCNUTCG-LBPRGKRZSA-N 0 2 303.318 0.370 20 0 DCADLN C[C@H](CS(=O)(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C(=O)[O-] ZINC000827541748 601800264 /nfs/dbraw/zinc/80/02/64/601800264.db2.gz ONFPLRKAXFVCAA-SECBINFHSA-N 0 2 301.368 0.640 20 0 DCADLN C[C@@]1(C(=O)[O-])CC[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000828024296 601939512 /nfs/dbraw/zinc/93/95/12/601939512.db2.gz MPVZVBBZQRVTEQ-CQSZACIVSA-N 0 2 323.374 0.805 20 0 DCADLN C[C@@]1(C(=O)[O-])CC[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000828024296 601939515 /nfs/dbraw/zinc/93/95/15/601939515.db2.gz MPVZVBBZQRVTEQ-CQSZACIVSA-N 0 2 323.374 0.805 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000821547427 602298455 /nfs/dbraw/zinc/29/84/55/602298455.db2.gz SBIZXCNWOQGVBP-CQSZACIVSA-N 0 2 304.346 0.932 20 0 DCADLN C[C@H]1CCN(Cn2nc(C(=O)[O-])ccc2=O)C[C@@H]1n1cc[nH+]c1 ZINC000828295490 602304505 /nfs/dbraw/zinc/30/45/05/602304505.db2.gz ILPZLHMEXMYOGX-AAEUAGOBSA-N 0 2 317.349 0.679 20 0 DCADLN C[C@@H](NC(=O)Nc1cc(C(=O)[O-])n(C)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000827671459 602373232 /nfs/dbraw/zinc/37/32/32/602373232.db2.gz IEPZTFZERNYMSC-GHMZBOCLSA-N 0 2 324.381 0.954 20 0 DCADLN Cc1[nH]c(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c(C)c1C(=O)[O-] ZINC000832842726 602389953 /nfs/dbraw/zinc/38/99/53/602389953.db2.gz CUTVVQNDBOUCNF-LBPRGKRZSA-N 0 2 321.377 0.876 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cnn(C(C)(C)C)c2)[C@H](CNC(=O)[O-])C1 ZINC000828513803 603507492 /nfs/dbraw/zinc/50/74/92/603507492.db2.gz QDZYZCGLDKHEAQ-GFCCVEGCSA-N 0 2 323.397 0.662 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cnn(C(C)(C)C)c2)[C@H](CNC(=O)[O-])C1 ZINC000828513803 603507495 /nfs/dbraw/zinc/50/74/95/603507495.db2.gz QDZYZCGLDKHEAQ-GFCCVEGCSA-N 0 2 323.397 0.662 20 0 DCADLN Cc1cccc(CC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000830493879 603514834 /nfs/dbraw/zinc/51/48/34/603514834.db2.gz UWZDJBMEEQUUBA-CQSZACIVSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1cccc(CC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000830493879 603514837 /nfs/dbraw/zinc/51/48/37/603514837.db2.gz UWZDJBMEEQUUBA-CQSZACIVSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1cc(C)n([C@H](C)C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000830016129 603519560 /nfs/dbraw/zinc/51/95/60/603519560.db2.gz BWDDXNCGHIMTAP-OLZOCXBDSA-N 0 2 323.397 0.471 20 0 DCADLN Cc1cc(C)n([C@H](C)C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000830016129 603519562 /nfs/dbraw/zinc/51/95/62/603519562.db2.gz BWDDXNCGHIMTAP-OLZOCXBDSA-N 0 2 323.397 0.471 20 0 DCADLN C[N@H+](CC(=O)Nc1ccccc1C(N)=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828120242 603538023 /nfs/dbraw/zinc/53/80/23/603538023.db2.gz HECLSFKAWDDWSF-SNVBAGLBSA-N 0 2 320.349 0.408 20 0 DCADLN C[N@@H+](CC(=O)Nc1ccccc1C(N)=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828120242 603538026 /nfs/dbraw/zinc/53/80/26/603538026.db2.gz HECLSFKAWDDWSF-SNVBAGLBSA-N 0 2 320.349 0.408 20 0 DCADLN C[C@@H](CNC(=O)N1CCC[C@H]1CNC(=O)[O-])[NH+]1CCOCC1 ZINC000824931525 603691821 /nfs/dbraw/zinc/69/18/21/603691821.db2.gz XIFSIZJATANLBT-RYUDHWBXSA-N 0 2 314.386 0.149 20 0 DCADLN Cn1ccc(CNC(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)c1 ZINC000831018545 603703491 /nfs/dbraw/zinc/70/34/91/603703491.db2.gz KLPQYMKFJHOSRW-UHFFFAOYSA-N 0 2 309.370 0.120 20 0 DCADLN CNC(=O)[C@@H](C)C[N@H+](C)Cc1nc(C(C)(C)NC(=O)[O-])no1 ZINC000828526218 603730450 /nfs/dbraw/zinc/73/04/50/603730450.db2.gz IXJJVZNQYZBMMY-QMMMGPOBSA-N 0 2 313.358 0.386 20 0 DCADLN CNC(=O)[C@@H](C)C[N@@H+](C)Cc1nc(C(C)(C)NC(=O)[O-])no1 ZINC000828526218 603730452 /nfs/dbraw/zinc/73/04/52/603730452.db2.gz IXJJVZNQYZBMMY-QMMMGPOBSA-N 0 2 313.358 0.386 20 0 DCADLN CNC(=O)Cc1nc(C[N@@H+]2CC[C@H](N(C)C(=O)[O-])C2)cs1 ZINC000828547027 603799162 /nfs/dbraw/zinc/79/91/62/603799162.db2.gz JJEVWMZTGRRZDP-JTQLQIEISA-N 0 2 312.395 0.616 20 0 DCADLN CNC(=O)Cc1nc(C[N@H+]2CC[C@H](N(C)C(=O)[O-])C2)cs1 ZINC000828547027 603799164 /nfs/dbraw/zinc/79/91/64/603799164.db2.gz JJEVWMZTGRRZDP-JTQLQIEISA-N 0 2 312.395 0.616 20 0 DCADLN Cc1cc(N2CC[C@H]([N@@H+]3CC[C@@H](N(C)C(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073398 603818747 /nfs/dbraw/zinc/81/87/47/603818747.db2.gz QQOKPPQVPDKNET-NEPJUHHUSA-N 0 2 321.381 0.518 20 0 DCADLN Cc1cc(N2CC[C@H]([N@H+]3CC[C@@H](N(C)C(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073398 603818750 /nfs/dbraw/zinc/81/87/50/603818750.db2.gz QQOKPPQVPDKNET-NEPJUHHUSA-N 0 2 321.381 0.518 20 0 DCADLN CC(C)[C@]1(C)NC(=O)N(C[N@@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000824150702 603918948 /nfs/dbraw/zinc/91/89/48/603918948.db2.gz QLHBBBLSNJFRFO-YGRLFVJLSA-N 0 2 312.370 0.595 20 0 DCADLN CC(C)[C@]1(C)NC(=O)N(C[N@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000824150702 603918950 /nfs/dbraw/zinc/91/89/50/603918950.db2.gz QLHBBBLSNJFRFO-YGRLFVJLSA-N 0 2 312.370 0.595 20 0 DCADLN C[C@H](NC(=O)[C@]1(C)CCN(C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000825076487 603927138 /nfs/dbraw/zinc/92/71/38/603927138.db2.gz SENJOPCIARADCA-SLEUVZQESA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CCN(C(=O)[O-])[C@H](C)C2)CCO1 ZINC000826059601 604083702 /nfs/dbraw/zinc/08/37/02/604083702.db2.gz XOTGABCZUSYFEK-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CCN(C(=O)[O-])[C@H](C)C2)CCO1 ZINC000826059601 604083706 /nfs/dbraw/zinc/08/37/06/604083706.db2.gz XOTGABCZUSYFEK-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)C[C@@H]1CCCCO1 ZINC000828312927 604207389 /nfs/dbraw/zinc/20/73/89/604207389.db2.gz SILWIZUBHXUWSO-ZDUSSCGKSA-N 0 2 313.398 0.700 20 0 DCADLN CC[N@@H+]1CCO[C@@H](C(=O)Nc2nc(CCC(=O)[O-])cs2)C1 ZINC000829786126 604345616 /nfs/dbraw/zinc/34/56/16/604345616.db2.gz IFCXXGBCLFWJIJ-SNVBAGLBSA-N 0 2 313.379 0.820 20 0 DCADLN CC[N@H+]1CCO[C@@H](C(=O)Nc2nc(CCC(=O)[O-])cs2)C1 ZINC000829786126 604345619 /nfs/dbraw/zinc/34/56/19/604345619.db2.gz IFCXXGBCLFWJIJ-SNVBAGLBSA-N 0 2 313.379 0.820 20 0 DCADLN Cc1ccccc1OCC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830585893 604407158 /nfs/dbraw/zinc/40/71/58/604407158.db2.gz HAYIHMYSHVBJQD-ZDUSSCGKSA-N 0 2 321.377 0.784 20 0 DCADLN Cc1ccccc1OCC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830585893 604407159 /nfs/dbraw/zinc/40/71/59/604407159.db2.gz HAYIHMYSHVBJQD-ZDUSSCGKSA-N 0 2 321.377 0.784 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccnc(C3CC3)n2)[C@@H](CNC(=O)[O-])C1 ZINC000828513784 604409110 /nfs/dbraw/zinc/40/91/10/604409110.db2.gz PRLHRQDZTGXTPS-NSHDSACASA-N 0 2 319.365 0.378 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccnc(C3CC3)n2)[C@@H](CNC(=O)[O-])C1 ZINC000828513784 604409112 /nfs/dbraw/zinc/40/91/12/604409112.db2.gz PRLHRQDZTGXTPS-NSHDSACASA-N 0 2 319.365 0.378 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(Cl)c[nH]2)[C@@H](CNC(=O)[O-])C1 ZINC000828507038 604409159 /nfs/dbraw/zinc/40/91/59/604409159.db2.gz MGABLZIFOBEISH-VIFPVBQESA-N 0 2 300.746 0.692 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(Cl)c[nH]2)[C@@H](CNC(=O)[O-])C1 ZINC000828507038 604409161 /nfs/dbraw/zinc/40/91/61/604409161.db2.gz MGABLZIFOBEISH-VIFPVBQESA-N 0 2 300.746 0.692 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc3[nH]ncc32)[C@@H](CNC(=O)[O-])C1 ZINC000828514357 604411191 /nfs/dbraw/zinc/41/11/91/604411191.db2.gz YAXNWJBZHAHKIQ-JTQLQIEISA-N 0 2 317.349 0.587 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc3[nH]ncc32)[C@@H](CNC(=O)[O-])C1 ZINC000828514357 604411192 /nfs/dbraw/zinc/41/11/92/604411192.db2.gz YAXNWJBZHAHKIQ-JTQLQIEISA-N 0 2 317.349 0.587 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CN(c2[nH+]cnc3c2cnn3C)CCO1 ZINC000825371239 604451753 /nfs/dbraw/zinc/45/17/53/604451753.db2.gz DTYVFTVGOVYALV-WPRPVWTQSA-N 0 2 306.326 0.225 20 0 DCADLN C[C@@H](Oc1ccc(C[NH+]2CCN(CCO)CC2)cc1)C(=O)[O-] ZINC000077011647 604514791 /nfs/dbraw/zinc/51/47/91/604514791.db2.gz KEVBIUKBPQYVOW-CYBMUJFWSA-N 0 2 308.378 0.648 20 0 DCADLN O=C([O-])N1CC(NC(=O)N2CC[NH+](Cc3ccsc3)CC2)C1 ZINC000831846906 604610769 /nfs/dbraw/zinc/61/07/69/604610769.db2.gz MZFXIYXCEZVELY-UHFFFAOYSA-N 0 2 324.406 0.938 20 0 DCADLN C[C@H]1CCN(C(=O)NC2CN(C(=O)[O-])C2)C[C@H]1n1cc[nH+]c1 ZINC000825945154 604624449 /nfs/dbraw/zinc/62/44/49/604624449.db2.gz UFZNCARSLBEFIX-CMPLNLGQSA-N 0 2 307.354 0.838 20 0 DCADLN CN(C)c1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccn1 ZINC000314085162 604899669 /nfs/dbraw/zinc/89/96/69/604899669.db2.gz GCISCKLCSCCKAV-NSHDSACASA-N 0 2 303.322 0.296 20 0 DCADLN CN(C)c1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccn1 ZINC000314085162 604899674 /nfs/dbraw/zinc/89/96/74/604899674.db2.gz GCISCKLCSCCKAV-NSHDSACASA-N 0 2 303.322 0.296 20 0 DCADLN CC(C)(C)n1ncc2c1nc[nH+]c2N1CCO[C@@H](C(=O)[O-])C1 ZINC000819940420 605155440 /nfs/dbraw/zinc/15/54/40/605155440.db2.gz BIQICINEUWDMQM-SNVBAGLBSA-N 0 2 305.338 0.871 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cncc(C(=O)[O-])c1 ZINC000833630741 605182455 /nfs/dbraw/zinc/18/24/55/605182455.db2.gz BIZZMXIDXLTBHE-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cncc(C(=O)[O-])c1 ZINC000833630741 605182461 /nfs/dbraw/zinc/18/24/61/605182461.db2.gz BIZZMXIDXLTBHE-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN O=C([O-])NCC(=O)NCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000834199070 605376479 /nfs/dbraw/zinc/37/64/79/605376479.db2.gz UBDPHAKKJFZMRB-UHFFFAOYSA-N 0 2 317.305 0.399 20 0 DCADLN CC(=O)Nc1ccc(C[NH+]2CC(n3cc(C(=O)[O-])nn3)C2)cc1 ZINC000320850974 605555981 /nfs/dbraw/zinc/55/59/81/605555981.db2.gz XBKMLKOZNVVSRT-UHFFFAOYSA-N 0 2 315.333 0.992 20 0 DCADLN O=C([O-])NCc1ccc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)cc1 ZINC000834248902 605652329 /nfs/dbraw/zinc/65/23/29/605652329.db2.gz KFYGNGQLZMIZER-CQSZACIVSA-N 0 2 318.377 0.184 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)NC[C@@H](C)CNC(=O)[O-])C2 ZINC000833914588 605825114 /nfs/dbraw/zinc/82/51/14/605825114.db2.gz CGSRVFAKVXXLCX-SECBINFHSA-N 0 2 309.370 0.929 20 0 DCADLN CNC(=O)[C@@H]1C[N@H+](Cc2cccc3c2N(C(=O)[O-])CC3)CCO1 ZINC000833875569 605914246 /nfs/dbraw/zinc/91/42/46/605914246.db2.gz WFPQPTMZLZVTQQ-ZDUSSCGKSA-N 0 2 319.361 0.674 20 0 DCADLN CNC(=O)[C@@H]1C[N@@H+](Cc2cccc3c2N(C(=O)[O-])CC3)CCO1 ZINC000833875569 605914247 /nfs/dbraw/zinc/91/42/47/605914247.db2.gz WFPQPTMZLZVTQQ-ZDUSSCGKSA-N 0 2 319.361 0.674 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)[C@H]2CN(C(=O)[O-])CCO2)C1 ZINC000833955846 606082819 /nfs/dbraw/zinc/08/28/19/606082819.db2.gz USNLLTIQMBZAHV-GHMZBOCLSA-N 0 2 308.338 0.115 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)COC2CN(C(=O)[O-])C2)C1 ZINC000833953922 606083425 /nfs/dbraw/zinc/08/34/25/606083425.db2.gz FDDAGRVKUDPVPK-SNVBAGLBSA-N 0 2 308.338 0.115 20 0 DCADLN Cc1noc(C)c1NC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000833932580 606086727 /nfs/dbraw/zinc/08/67/27/606086727.db2.gz PGFXKUBCEQAOEG-JTQLQIEISA-N 0 2 311.342 0.707 20 0 DCADLN Cc1noc(C)c1NC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000833932580 606086731 /nfs/dbraw/zinc/08/67/31/606086731.db2.gz PGFXKUBCEQAOEG-JTQLQIEISA-N 0 2 311.342 0.707 20 0 DCADLN CC[C@H]1CN(C(=O)NCCn2cc[nH+]c2)CC[C@H]1NC(=O)[O-] ZINC000833849777 606098735 /nfs/dbraw/zinc/09/87/35/606098735.db2.gz TVSPOMVXDXPPLW-NWDGAFQWSA-N 0 2 309.370 0.961 20 0 DCADLN O=C(C=Cc1ccccc1-c1nn[nH]n1)OCCc1cnn[nH]1 ZINC000822935828 606180496 /nfs/dbraw/zinc/18/04/96/606180496.db2.gz ORHBKVVVWMPTSZ-AATRIKPKSA-N 0 2 311.305 0.784 20 0 DCADLN Cc1cncc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)nc2)c1 ZINC000822399131 606203117 /nfs/dbraw/zinc/20/31/17/606203117.db2.gz AGPGSURJINORPU-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cc1nn(CC[C@@H]2CCCC2=O)c(=O)c(-c2nn[nH]n2)c1C ZINC000822501096 606327967 /nfs/dbraw/zinc/32/79/67/606327967.db2.gz VUEJPHSHWOBFPE-JTQLQIEISA-N 0 2 302.338 0.800 20 0 DCADLN O=C(C=Cc1ccccc1-c1nn[nH]n1)NCc1n[nH]c(=O)[nH]1 ZINC000822927992 606640536 /nfs/dbraw/zinc/64/05/36/606640536.db2.gz CEARDJXDEIDVBS-WAYWQWQTSA-N 0 2 312.293 0.020 20 0 DCADLN CC[C@H]1CCC[C@@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820980138 606807307 /nfs/dbraw/zinc/80/73/07/606807307.db2.gz KSGYCPHYPUVSCU-IUCAKERBSA-N 0 2 319.369 0.255 20 0 DCADLN CN(C(=O)c1ncc[nH]1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646997 665406386 /nfs/dbraw/zinc/40/63/86/665406386.db2.gz PCHHAZYTDPDJBI-JGVFFNPUSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1ncc[nH]1)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938646997 665406389 /nfs/dbraw/zinc/40/63/89/665406389.db2.gz PCHHAZYTDPDJBI-JGVFFNPUSA-N 0 2 322.262 0.983 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cc(Cl)c[nH]1 ZINC001027752607 660694023 /nfs/dbraw/zinc/69/40/23/660694023.db2.gz CPVLKMRHBGQXIQ-VIFPVBQESA-N 0 2 324.772 0.886 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cc(Cl)c[nH]1 ZINC001027752607 660694027 /nfs/dbraw/zinc/69/40/27/660694027.db2.gz CPVLKMRHBGQXIQ-VIFPVBQESA-N 0 2 324.772 0.886 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCCn2cc[nH+]c2)cc1F ZINC000184882444 660743163 /nfs/dbraw/zinc/74/31/63/660743163.db2.gz HXPDWRBIQUUVTO-UHFFFAOYSA-N 0 2 313.310 0.699 20 0 DCADLN CCc1[nH]ccc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027863426 660855570 /nfs/dbraw/zinc/85/55/70/660855570.db2.gz LMUCSEWQUOFSRW-JTQLQIEISA-N 0 2 318.381 0.795 20 0 DCADLN CCc1[nH]ccc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027863426 660855572 /nfs/dbraw/zinc/85/55/72/660855572.db2.gz LMUCSEWQUOFSRW-JTQLQIEISA-N 0 2 318.381 0.795 20 0 DCADLN O=C(c1cccnc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980627365 660971313 /nfs/dbraw/zinc/97/13/13/660971313.db2.gz BTCARWAWOZWFEX-UHFFFAOYSA-N 0 2 302.338 0.253 20 0 DCADLN C[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)n1cccc1 ZINC000980986243 661058911 /nfs/dbraw/zinc/05/89/11/661058911.db2.gz MGJJENPZZLMYFZ-LBPRGKRZSA-N 0 2 318.381 0.607 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1CCC2 ZINC001028038751 661092966 /nfs/dbraw/zinc/09/29/66/661092966.db2.gz BYHODZKZIUFSOF-UEKVPHQBSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1CCC2 ZINC001028038751 661092968 /nfs/dbraw/zinc/09/29/68/661092968.db2.gz BYHODZKZIUFSOF-UEKVPHQBSA-N 0 2 305.382 0.781 20 0 DCADLN Cn1ncc(CN2CCCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC000981209792 661103522 /nfs/dbraw/zinc/10/35/22/661103522.db2.gz MQDHXVZMADANGV-JTQLQIEISA-N 0 2 323.294 0.750 20 0 DCADLN Cn1ncc(CN2CCCN(C(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC000981209792 661103523 /nfs/dbraw/zinc/10/35/23/661103523.db2.gz MQDHXVZMADANGV-JTQLQIEISA-N 0 2 323.294 0.750 20 0 DCADLN CO[C@@H]1CCC[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981485642 661159323 /nfs/dbraw/zinc/15/93/23/661159323.db2.gz FZCPSLBKKWCWTJ-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@H]1CCC[N@H+]1CC(=O)N1CCC[NH+](CC(=O)NC2CC2)CC1 ZINC000981953472 661254044 /nfs/dbraw/zinc/25/40/44/661254044.db2.gz ODYUAUFKWUAXEY-AWEZNQCLSA-N 0 2 322.453 0.284 20 0 DCADLN CC(F)(F)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032612102 665422030 /nfs/dbraw/zinc/42/20/30/665422030.db2.gz VONKSPOFUBFWAA-YUMQZZPRSA-N 0 2 301.297 0.341 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001038072987 665426204 /nfs/dbraw/zinc/42/62/04/665426204.db2.gz SVYYXTWCQCYSQN-LLVKDONJSA-N 0 2 302.338 0.218 20 0 DCADLN Cc1cc(C(=O)NNC(=O)c2ccccn2)c(N)c([N+](=O)[O-])c1 ZINC000068509745 665426222 /nfs/dbraw/zinc/42/62/22/665426222.db2.gz GGOBVTYHFUALDX-UHFFFAOYSA-N 0 2 315.289 0.955 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC1(F)F ZINC001031758428 665454564 /nfs/dbraw/zinc/45/45/64/665454564.db2.gz ZSNIYAPLCFRYSU-QMMMGPOBSA-N 0 2 301.297 0.104 20 0 DCADLN Cn1ccnc1C[NH+]1CCC[C@H](CNC(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001023273548 657688910 /nfs/dbraw/zinc/68/89/10/657688910.db2.gz NTXZNWSLDHMVDN-HUUCEWRRSA-N 0 2 319.453 0.843 20 0 DCADLN C[C@@H]1CC[C@@H](CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949738150 657690231 /nfs/dbraw/zinc/69/02/31/657690231.db2.gz OZAPDLLUANNRQQ-VXGBXAGGSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001010473098 657700238 /nfs/dbraw/zinc/70/02/38/657700238.db2.gz SNRDBZVOXMJKGJ-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN COCCOc1ncccc1C(=O)NNC(=O)c1ccccn1 ZINC000053058467 658128873 /nfs/dbraw/zinc/12/88/73/658128873.db2.gz OIPJBVIKVUJZJT-UHFFFAOYSA-N 0 2 316.317 0.577 20 0 DCADLN Cc1ccncc1C[NH+]1CC([C@@H](C)NC(=O)c2cn[nH]n2)C1 ZINC000970025220 658366940 /nfs/dbraw/zinc/36/69/40/658366940.db2.gz UUZRNAKJFKCEOT-LLVKDONJSA-N 0 2 300.366 0.758 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038139836 658416552 /nfs/dbraw/zinc/41/65/52/658416552.db2.gz FXTPEXPAYNILIL-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccc[nH]1 ZINC000972805908 658431954 /nfs/dbraw/zinc/43/19/54/658431954.db2.gz ZIYXWZBSJOLTKO-JTQLQIEISA-N 0 2 304.354 0.479 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001024300663 658555298 /nfs/dbraw/zinc/55/52/98/658555298.db2.gz JFILOZMXWFVJED-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001024300663 658555306 /nfs/dbraw/zinc/55/53/06/658555306.db2.gz JFILOZMXWFVJED-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1CCCO)[C@H]1CCc2[nH+]ccn2C1 ZINC001024515606 658675028 /nfs/dbraw/zinc/67/50/28/658675028.db2.gz WTOIUGTZSYIBQF-LSDHHAIUSA-N 0 2 320.437 0.799 20 0 DCADLN Cn1nnc(CN[C@@H]2CCCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000999211789 665601913 /nfs/dbraw/zinc/60/19/13/665601913.db2.gz WPQYLQRMSYEIJB-APPZFPTMSA-N 0 2 324.282 0.191 20 0 DCADLN CC(C)[N@H+]1CCCC[C@H]1C(=O)NC[C@@H]1CCCC[NH+]1CC(N)=O ZINC001024692421 658773299 /nfs/dbraw/zinc/77/32/99/658773299.db2.gz KMYLKVKCLYNPMX-GJZGRUSLSA-N 0 2 324.469 0.705 20 0 DCADLN Cc1nc[nH]c1C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncn[nH]1)C2 ZINC000999356859 665631379 /nfs/dbraw/zinc/63/13/79/665631379.db2.gz IEQXRCVVZQEHSM-UTLUCORTSA-N 0 2 315.337 0.012 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@@H](NC(=O)C[C@H]2CCCO2)C1 ZINC000973902755 659025193 /nfs/dbraw/zinc/02/51/93/659025193.db2.gz NBLHUDIFBTVZIG-FRRDWIJNSA-N 0 2 320.393 0.593 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccsc1 ZINC001006680928 659068134 /nfs/dbraw/zinc/06/81/34/659068134.db2.gz TXWUKWSHPCAQND-JTQLQIEISA-N 0 2 307.379 0.966 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)C[C@@H]3C[C@H]3C)C[C@H]21 ZINC000976928283 659497972 /nfs/dbraw/zinc/49/79/72/659497972.db2.gz RWKVDCJLBPLFHW-YCRHMDCQSA-N 0 2 316.405 0.880 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC000977408329 659683441 /nfs/dbraw/zinc/68/34/41/659683441.db2.gz IUKIGJXRPJKEQG-DTWKUNHWSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC000977408329 659683442 /nfs/dbraw/zinc/68/34/42/659683442.db2.gz IUKIGJXRPJKEQG-DTWKUNHWSA-N 0 2 322.262 0.983 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001038534501 665761947 /nfs/dbraw/zinc/76/19/47/665761947.db2.gz HWGBMNPSVFUOHM-MRBYEJRBSA-N 0 2 321.381 0.016 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCCn2nncc2C1 ZINC000894249785 661726109 /nfs/dbraw/zinc/72/61/09/661726109.db2.gz WZBBMFIPSNWPRL-UHFFFAOYSA-N 0 2 319.287 0.468 20 0 DCADLN Cc1nc(CC(=O)N[C@H]2C[C@H](C[NH2+]Cc3nonc3C)C2)n[nH]1 ZINC000983632689 661993322 /nfs/dbraw/zinc/99/33/22/661993322.db2.gz CVNDQWGIQHWRRJ-XYPYZODXSA-N 0 2 319.369 0.032 20 0 DCADLN O=C(C[C@H]1CCCO1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029223835 662055796 /nfs/dbraw/zinc/05/57/96/662055796.db2.gz MYHQISKNHHYZTC-GRYCIOLGSA-N 0 2 321.381 0.255 20 0 DCADLN Cc1cnc(C[NH2+]C[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC000984858646 662323854 /nfs/dbraw/zinc/32/38/54/662323854.db2.gz LGPRHWTVWCMDGE-JOCQHMNTSA-N 0 2 314.393 0.735 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000485649 665857713 /nfs/dbraw/zinc/85/77/13/665857713.db2.gz ZVXJTPMAWIXESB-NWDGAFQWSA-N 0 2 321.381 0.184 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000485649 665857716 /nfs/dbraw/zinc/85/77/16/665857716.db2.gz ZVXJTPMAWIXESB-NWDGAFQWSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CCF ZINC001029506137 662347003 /nfs/dbraw/zinc/34/70/03/662347003.db2.gz CLXAXWPDWOZQDU-PHIMTYICSA-N 0 2 319.340 0.281 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CCF ZINC001029506137 662347004 /nfs/dbraw/zinc/34/70/04/662347004.db2.gz CLXAXWPDWOZQDU-PHIMTYICSA-N 0 2 319.340 0.281 20 0 DCADLN C[N@H+](Cc1ccon1)C1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC000985365885 662418199 /nfs/dbraw/zinc/41/81/99/662418199.db2.gz HYCNGISCDXEEAR-UHFFFAOYSA-N 0 2 318.381 0.848 20 0 DCADLN Cn1ncnc1C[NH+](C)C[C@H]1CCN(C(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001029672753 662447310 /nfs/dbraw/zinc/44/73/10/662447310.db2.gz NYWSUWFSLNLNKT-ZIAGYGMSSA-N 0 2 320.441 0.190 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3ncn[nH]3)C[C@@H]2C)on1 ZINC000947818665 662489289 /nfs/dbraw/zinc/48/92/89/662489289.db2.gz ABCQXKSRZZIKCQ-QWRGUYRKSA-N 0 2 304.354 0.884 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3ncn[nH]3)C[C@@H]2C)on1 ZINC000947818665 662489290 /nfs/dbraw/zinc/48/92/90/662489290.db2.gz ABCQXKSRZZIKCQ-QWRGUYRKSA-N 0 2 304.354 0.884 20 0 DCADLN CCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1OC ZINC000940914821 665876706 /nfs/dbraw/zinc/87/67/06/665876706.db2.gz RONTZLIHAQGKIY-BHNWBGBOSA-N 0 2 300.252 0.639 20 0 DCADLN CCC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1OC ZINC000940914821 665876709 /nfs/dbraw/zinc/87/67/09/665876709.db2.gz RONTZLIHAQGKIY-BHNWBGBOSA-N 0 2 300.252 0.639 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[N@H+](C2CC2)C[C@H]1C ZINC000866953262 662662084 /nfs/dbraw/zinc/66/20/84/662662084.db2.gz IWODOIHZODJOAP-ZYHUDNBSSA-N 0 2 323.484 0.811 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC000866953262 662662083 /nfs/dbraw/zinc/66/20/83/662662083.db2.gz IWODOIHZODJOAP-ZYHUDNBSSA-N 0 2 323.484 0.811 20 0 DCADLN Cn1ccc(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001000581929 665887829 /nfs/dbraw/zinc/88/78/29/665887829.db2.gz GQRFJGNXJVPFTO-UHFFFAOYSA-N 0 2 316.365 0.411 20 0 DCADLN Cn1ccc(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001000581929 665887831 /nfs/dbraw/zinc/88/78/31/665887831.db2.gz GQRFJGNXJVPFTO-UHFFFAOYSA-N 0 2 316.365 0.411 20 0 DCADLN CC(C)Nc1nc(N2CCC[C@H]2C(=O)[O-])nc(NCCO)[nH+]1 ZINC000263398346 662684012 /nfs/dbraw/zinc/68/40/12/662684012.db2.gz IFJDYEYPEXQPLZ-VIFPVBQESA-N 0 2 310.358 0.150 20 0 DCADLN C[C@@H](CN(C)c1cc(N2CCCC[C@H]2CO)nc[nH+]1)C(=O)[O-] ZINC000263565561 662718320 /nfs/dbraw/zinc/71/83/20/662718320.db2.gz VUIPQCXCVUEGFO-RYUDHWBXSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@@H](CN(C)c1cc(N2CCCC[C@H]2CO)[nH+]cn1)C(=O)[O-] ZINC000263565561 662718321 /nfs/dbraw/zinc/71/83/21/662718321.db2.gz VUIPQCXCVUEGFO-RYUDHWBXSA-N 0 2 308.382 0.985 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC000937628828 662743569 /nfs/dbraw/zinc/74/35/69/662743569.db2.gz CUWMXACYGKZOON-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnccn1 ZINC000937628828 662743571 /nfs/dbraw/zinc/74/35/71/662743571.db2.gz CUWMXACYGKZOON-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN CCc1nc[nH]c1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000989577806 662961536 /nfs/dbraw/zinc/96/15/36/662961536.db2.gz MAQWPQNTJURVTL-UHFFFAOYSA-N 0 2 319.369 0.144 20 0 DCADLN CN(C)C(=O)C[NH+]1CC[C@]2(NC(=O)[C@@H]3CCC[N@@H+]3C)CCC[C@H]12 ZINC000990024736 662995042 /nfs/dbraw/zinc/99/50/42/662995042.db2.gz DRVIUUNRCAINGG-GRDNDAEWSA-N 0 2 322.453 0.282 20 0 DCADLN Cn1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000990200603 663015416 /nfs/dbraw/zinc/01/54/16/663015416.db2.gz YBXTVNMEFTYXBB-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC000990200603 663015418 /nfs/dbraw/zinc/01/54/18/663015418.db2.gz YBXTVNMEFTYXBB-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnns1 ZINC000990350965 663036464 /nfs/dbraw/zinc/03/64/64/663036464.db2.gz OWGDNDXKPDWAJP-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnns1 ZINC000990350965 663036466 /nfs/dbraw/zinc/03/64/66/663036466.db2.gz OWGDNDXKPDWAJP-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN COc1cccc(OC)c1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC000899028835 663118745 /nfs/dbraw/zinc/11/87/45/663118745.db2.gz IWNWTILLCDSQHV-MRVPVSSYSA-N 0 2 307.306 0.631 20 0 DCADLN Cc1cc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c2nccn2c1 ZINC000899040305 663119087 /nfs/dbraw/zinc/11/90/87/663119087.db2.gz NVMGQCQSQFBJSC-VIFPVBQESA-N 0 2 301.306 0.570 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(Cl)o1 ZINC001038065312 663330345 /nfs/dbraw/zinc/33/03/45/663330345.db2.gz SQXBEOPTBJMIQJ-SSDOTTSWSA-N 0 2 311.729 0.761 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000901450830 663344801 /nfs/dbraw/zinc/34/48/01/663344801.db2.gz VIQUHCPTFSCCMZ-RKDXNWHRSA-N 0 2 321.255 0.689 20 0 DCADLN O=C([O-])CCN(C(=O)[C@@H]1CCc2c[nH+]cn2C1)C1CCOCC1 ZINC000901577685 663353773 /nfs/dbraw/zinc/35/37/73/663353773.db2.gz LFMOKRMVWYSKCE-GFCCVEGCSA-N 0 2 321.377 0.928 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@]3(C(=O)[O-])C[C@H]3C)CC2)cc[nH+]1 ZINC000901624225 663356445 /nfs/dbraw/zinc/35/64/45/663356445.db2.gz XXPZILUNAWARKD-BMIGLBTASA-N 0 2 304.350 0.544 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CO[C@@H](CCC(=O)[O-])C1)Cn1cc[nH+]c1 ZINC000901876687 663371611 /nfs/dbraw/zinc/37/16/11/663371611.db2.gz VJIWIBIUTUQZDM-AGIUHOORSA-N 0 2 309.366 0.905 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000902032787 663381334 /nfs/dbraw/zinc/38/13/34/663381334.db2.gz BTBPROKGOFZBSZ-OCCSQVGLSA-N 0 2 314.345 0.653 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)C(C)(C)n2c[nH+]c(C)c2)C1 ZINC000902322091 663406853 /nfs/dbraw/zinc/40/68/53/663406853.db2.gz HKPODIQAHZUYMN-OAHLLOKOSA-N 0 2 309.366 0.876 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C(=O)[O-])cn1 ZINC000902667395 663426943 /nfs/dbraw/zinc/42/69/43/663426943.db2.gz HYERFPZFDYTFQC-GFCCVEGCSA-N 0 2 307.350 0.620 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C(=O)[O-])cn1 ZINC000902667395 663426944 /nfs/dbraw/zinc/42/69/44/663426944.db2.gz HYERFPZFDYTFQC-GFCCVEGCSA-N 0 2 307.350 0.620 20 0 DCADLN COC[C@@H]1CNCCN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000903597878 663470156 /nfs/dbraw/zinc/47/01/56/663470156.db2.gz GBESTXRNATYYHM-JTQLQIEISA-N 0 2 304.343 0.140 20 0 DCADLN CC[C@H](C)c1nc([C@H](C)NC(=O)[C@]2(C)C[C@H](O)C[N@H+]2C)n[nH]1 ZINC000907723209 663714364 /nfs/dbraw/zinc/71/43/64/663714364.db2.gz XFJIUSUQWLVNMC-VEABSNGSSA-N 0 2 309.414 0.951 20 0 DCADLN O=C([O-])C[C@@H]1CSCCN1C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000909008434 663777391 /nfs/dbraw/zinc/77/73/91/663777391.db2.gz AMDJFUDHYWGFKM-CMPLNLGQSA-N 0 2 309.391 0.864 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000908985644 663777913 /nfs/dbraw/zinc/77/79/13/663777913.db2.gz ALHAVSAFSSSUHN-OCCSQVGLSA-N 0 2 321.377 0.928 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000908990311 663777990 /nfs/dbraw/zinc/77/79/90/663777990.db2.gz VSVVSZOKTQNAAE-ZDUSSCGKSA-N 0 2 309.366 0.835 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@@](C)(CC(=O)[O-])c2cccc(F)c2)C1 ZINC000909681849 663792972 /nfs/dbraw/zinc/79/29/72/663792972.db2.gz MNCPKYITMMMEKD-BBRMVZONSA-N 0 2 324.352 0.962 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N[C@@](C)(CC(=O)[O-])c2cccc(F)c2)C1 ZINC000909681849 663792976 /nfs/dbraw/zinc/79/29/76/663792976.db2.gz MNCPKYITMMMEKD-BBRMVZONSA-N 0 2 324.352 0.962 20 0 DCADLN COC1(C[C@@H](NC(=O)[C@H]2CCn3c[nH+]cc3C2)C(=O)[O-])CCC1 ZINC000909895242 663818170 /nfs/dbraw/zinc/81/81/70/663818170.db2.gz XJZUIABGZSEMTI-WCQYABFASA-N 0 2 321.377 0.974 20 0 DCADLN CC[C@H](C(=O)N1CC2CC1(C(=O)[O-])C2)[N@@H+]1CCO[C@H](CC)C1 ZINC000909948766 663823858 /nfs/dbraw/zinc/82/38/58/663823858.db2.gz MBCQAQHVMSIPNL-LGESCRJUSA-N 0 2 310.394 0.951 20 0 DCADLN CC[C@H](C(=O)N1CC2CC1(C(=O)[O-])C2)[N@H+]1CCO[C@H](CC)C1 ZINC000909948766 663823859 /nfs/dbraw/zinc/82/38/59/663823859.db2.gz MBCQAQHVMSIPNL-LGESCRJUSA-N 0 2 310.394 0.951 20 0 DCADLN CC[C@@H](C(=O)N1CC2CC1(C(=O)[O-])C2)[N@@H+]1CCO[C@H](CC)C1 ZINC000909948767 663823910 /nfs/dbraw/zinc/82/39/10/663823910.db2.gz MBCQAQHVMSIPNL-WULFKBJJSA-N 0 2 310.394 0.951 20 0 DCADLN CC[C@@H](C(=O)N1CC2CC1(C(=O)[O-])C2)[N@H+]1CCO[C@H](CC)C1 ZINC000909948767 663823911 /nfs/dbraw/zinc/82/39/11/663823911.db2.gz MBCQAQHVMSIPNL-WULFKBJJSA-N 0 2 310.394 0.951 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C1CCOCC1 ZINC000910016412 663835943 /nfs/dbraw/zinc/83/59/43/663835943.db2.gz TXCCVIRUSVVCCW-AAEUAGOBSA-N 0 2 307.350 0.442 20 0 DCADLN O=C([O-])[C@]1(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)CCCOC1 ZINC000910306759 663866866 /nfs/dbraw/zinc/86/68/66/663866866.db2.gz PZRBRAKRULDQBU-NHYWBVRUSA-N 0 2 307.350 0.443 20 0 DCADLN Cc1cn(C(C)(C)C(=O)NC[C@]2(C(=O)[O-])CCCOC2)c[nH+]1 ZINC000910309507 663867706 /nfs/dbraw/zinc/86/77/06/663867706.db2.gz NZHWHSBJDGWRML-OAHLLOKOSA-N 0 2 309.366 0.924 20 0 DCADLN O=C([O-])C1(CNc2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)CC1 ZINC000910428852 663882334 /nfs/dbraw/zinc/88/23/34/663882334.db2.gz LMNUHOYLPOOBTD-LLVKDONJSA-N 0 2 306.366 0.962 20 0 DCADLN O=C([O-])C1(CNc2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)CC1 ZINC000910428852 663882336 /nfs/dbraw/zinc/88/23/36/663882336.db2.gz LMNUHOYLPOOBTD-LLVKDONJSA-N 0 2 306.366 0.962 20 0 DCADLN CC[C@H](C(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])[N@@H+]1CCO[C@@H](CC)C1 ZINC000910442221 663884032 /nfs/dbraw/zinc/88/40/32/663884032.db2.gz RHVNMIQRGYHLNH-RVMXOQNASA-N 0 2 316.373 0.899 20 0 DCADLN CC[C@H](C(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])[N@H+]1CCO[C@@H](CC)C1 ZINC000910442221 663884035 /nfs/dbraw/zinc/88/40/35/663884035.db2.gz RHVNMIQRGYHLNH-RVMXOQNASA-N 0 2 316.373 0.899 20 0 DCADLN O=C([O-])[C@]1(O)CCN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)C1 ZINC000910524991 663898472 /nfs/dbraw/zinc/89/84/72/663898472.db2.gz JDVMKLSAYFTBOV-INIZCTEOSA-N 0 2 315.329 0.593 20 0 DCADLN CC[N@H+]1CC[C@H]1CNC(=O)C(=O)N1C[C@H](C)[NH+](CC)C[C@@H]1C ZINC000910652022 663917226 /nfs/dbraw/zinc/91/72/26/663917226.db2.gz HOFLQQYIDMIORN-IHRRRGAJSA-N 0 2 310.442 0.138 20 0 DCADLN C[C@H](CNC(=O)CC1(C(=O)[O-])CCOCC1)Cn1cc[nH+]c1 ZINC000911234678 664012588 /nfs/dbraw/zinc/01/25/88/664012588.db2.gz MYWFTQQAQYNGMV-GFCCVEGCSA-N 0 2 309.366 0.907 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1cc(C(=O)[O-])ccn1)[NH+]1CCOCC1 ZINC000911351117 664034291 /nfs/dbraw/zinc/03/42/91/664034291.db2.gz AKLYZBFMRGLXHR-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)Cn2ccc(C(=O)[O-])n2)C1 ZINC000911589314 664086674 /nfs/dbraw/zinc/08/66/74/664086674.db2.gz RARQPAIZBQXWQS-LLVKDONJSA-N 0 2 317.349 0.721 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N[C@H]2CCn3c[nH+]cc3C2)O1 ZINC000911690853 664100731 /nfs/dbraw/zinc/10/07/31/664100731.db2.gz HTEZPNOPSMJEHU-WCFLWFBJSA-N 0 2 307.350 0.727 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2nc3cnccc3s2)C(=O)N1C ZINC000912819890 664232629 /nfs/dbraw/zinc/23/26/29/664232629.db2.gz YSPFUKKGJJFWQS-LURJTMIESA-N 0 2 305.319 0.619 20 0 DCADLN CC[C@H]1OCCC[C@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030422376 664539352 /nfs/dbraw/zinc/53/93/52/664539352.db2.gz CSAKQOQOHKVJTA-GHMZBOCLSA-N 0 2 309.370 0.016 20 0 DCADLN COc1cccc(CN2CC(NC(=O)c3cnn[nH]3)C2)c1C ZINC001030600699 664599690 /nfs/dbraw/zinc/59/96/90/664599690.db2.gz JGVRQAGTLIYOPS-UHFFFAOYSA-N 0 2 301.350 0.736 20 0 DCADLN CC1(C)CO[C@@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030665055 664624385 /nfs/dbraw/zinc/62/43/85/664624385.db2.gz UUXRXAFQKWRSEI-JTQLQIEISA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cccn2C)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993041097 664795060 /nfs/dbraw/zinc/79/50/60/664795060.db2.gz SQNSBHRSVCNSRB-WDEREUQCSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cccn2C)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993041097 664795061 /nfs/dbraw/zinc/79/50/61/664795061.db2.gz SQNSBHRSVCNSRB-WDEREUQCSA-N 0 2 318.381 0.632 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2ccncc2c1 ZINC001031209737 664884412 /nfs/dbraw/zinc/88/44/12/664884412.db2.gz QVHVALTWCFTPOM-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN CC[C@@H]1C[C@@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031218539 664899784 /nfs/dbraw/zinc/89/97/84/664899784.db2.gz YPAMESCFIJDQLN-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@@H](C)O1 ZINC000732145634 664905746 /nfs/dbraw/zinc/90/57/46/664905746.db2.gz CFXXVKMKGGRTHQ-ZJUUUORDSA-N 0 2 305.338 0.097 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000993735520 664997282 /nfs/dbraw/zinc/99/72/82/664997282.db2.gz WULUTXYQWBUGAU-ONGXEEELSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1cccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001031337654 665093998 /nfs/dbraw/zinc/09/39/98/665093998.db2.gz BRSKJGHVFDKFPB-UHFFFAOYSA-N 0 2 301.350 0.741 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccn(C)n2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994427177 665105908 /nfs/dbraw/zinc/10/59/08/665105908.db2.gz JJMNWXSJMURHRH-ZJUUUORDSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000994428170 665105912 /nfs/dbraw/zinc/10/59/12/665105912.db2.gz KHSDUEOPQIQIGC-PWSUYJOCSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000994428170 665105915 /nfs/dbraw/zinc/10/59/15/665105915.db2.gz KHSDUEOPQIQIGC-PWSUYJOCSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000994553077 665155652 /nfs/dbraw/zinc/15/56/52/665155652.db2.gz YMLUPEAXQREQFV-UWVGGRQHSA-N 0 2 319.369 0.325 20 0 DCADLN CO[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001032545954 665332749 /nfs/dbraw/zinc/33/27/49/665332749.db2.gz NJRQIUIVRDOCFM-GVXVVHGQSA-N 0 2 321.381 0.111 20 0 DCADLN C[C@]1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)C=CCC1 ZINC001032567476 665360550 /nfs/dbraw/zinc/36/05/50/665360550.db2.gz AAOVROJZJLXOIB-PGUXBMHVSA-N 0 2 303.366 0.652 20 0 DCADLN Cc1nnsc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002323042 666156451 /nfs/dbraw/zinc/15/64/51/666156451.db2.gz OBIOIVIMSPJRSZ-UHFFFAOYSA-N 0 2 323.382 0.065 20 0 DCADLN C[C@H]1C[N@H+](Cc2nncn2C)CC[C@H]1NC(=O)c1n[nH]cc1F ZINC000942599930 666198085 /nfs/dbraw/zinc/19/80/85/666198085.db2.gz HXHFHKAVHVGQGK-GXSJLCMTSA-N 0 2 321.360 0.318 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001002947056 666249862 /nfs/dbraw/zinc/24/98/62/666249862.db2.gz WSTUFLPKGWGURG-RYUDHWBXSA-N 0 2 323.397 0.549 20 0 DCADLN CC(C)[C@@H]1C[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003873207 666388588 /nfs/dbraw/zinc/38/85/88/666388588.db2.gz LLMSNGSLAZVIHJ-RYUDHWBXSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001032928401 666395865 /nfs/dbraw/zinc/39/58/65/666395865.db2.gz LEGNSMAKBDAAHD-GHMZBOCLSA-N 0 2 319.369 0.001 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001032928401 666395868 /nfs/dbraw/zinc/39/58/68/666395868.db2.gz LEGNSMAKBDAAHD-GHMZBOCLSA-N 0 2 319.369 0.001 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3cnn(C)c3)C2)c1[O-] ZINC001033010683 666425270 /nfs/dbraw/zinc/42/52/70/666425270.db2.gz UKPFDKUQGDOWGA-GFCCVEGCSA-N 0 2 318.381 0.504 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3cnn(C)c3)C2)c1[O-] ZINC001033010683 666425271 /nfs/dbraw/zinc/42/52/71/666425271.db2.gz UKPFDKUQGDOWGA-GFCCVEGCSA-N 0 2 318.381 0.504 20 0 DCADLN CO[C@@H]1CCCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032220658 666527011 /nfs/dbraw/zinc/52/70/11/666527011.db2.gz NBHKPAJEKODVJU-NWDGAFQWSA-N 0 2 323.397 0.264 20 0 DCADLN CO[C@@H]1CCCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032220660 666527049 /nfs/dbraw/zinc/52/70/49/666527049.db2.gz NBHKPAJEKODVJU-VXGBXAGGSA-N 0 2 323.397 0.264 20 0 DCADLN CN(C(=O)C1C=CC=CC=C1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033118906 666542651 /nfs/dbraw/zinc/54/26/51/666542651.db2.gz UGEORLUAMDUUMR-CYBMUJFWSA-N 0 2 315.377 0.841 20 0 DCADLN CN(C(=O)C1C=CC=CC=C1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033118906 666542652 /nfs/dbraw/zinc/54/26/52/666542652.db2.gz UGEORLUAMDUUMR-CYBMUJFWSA-N 0 2 315.377 0.841 20 0 DCADLN Cc1ncc(CC(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001033139739 666558506 /nfs/dbraw/zinc/55/85/06/666558506.db2.gz PHFKYHBIEFHQLX-JTQLQIEISA-N 0 2 320.353 0.082 20 0 DCADLN Cc1ncc(CC(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001033139739 666558508 /nfs/dbraw/zinc/55/85/08/666558508.db2.gz PHFKYHBIEFHQLX-JTQLQIEISA-N 0 2 320.353 0.082 20 0 DCADLN Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)o1 ZINC001032268201 666633562 /nfs/dbraw/zinc/63/35/62/666633562.db2.gz WGIXEGGLHRJVSJ-UWVGGRQHSA-N 0 2 303.322 0.511 20 0 DCADLN O=C(c1cc(Cl)c[nH]1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032276425 666655299 /nfs/dbraw/zinc/65/52/99/666655299.db2.gz NIJNJBHXNAOHJA-IUCAKERBSA-N 0 2 322.756 0.591 20 0 DCADLN Cc1nocc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033378930 666697601 /nfs/dbraw/zinc/69/76/01/666697601.db2.gz PRWLMBIBUZSGEJ-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN Cc1ocnc1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005160199 666723117 /nfs/dbraw/zinc/72/31/17/666723117.db2.gz UNXOYAFIEDPBEB-UHFFFAOYSA-N 0 2 320.353 0.543 20 0 DCADLN COc1ccc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001033534843 666748398 /nfs/dbraw/zinc/74/83/98/666748398.db2.gz ZLZUDDCAQPZNPP-VIFPVBQESA-N 0 2 321.337 0.458 20 0 DCADLN Cc1ncc(C[N@H+]2CC[C@@H](N(C)C(=O)C[NH+]3CCCC3)C2)cn1 ZINC001033659162 666790080 /nfs/dbraw/zinc/79/00/80/666790080.db2.gz VPEHJJKFYRDKHT-MRXNPFEDSA-N 0 2 317.437 0.914 20 0 DCADLN O=C(CC(F)(F)F)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032304737 666822362 /nfs/dbraw/zinc/82/23/62/666822362.db2.gz BGLFOSKGQFBKFD-BQBZGAKWSA-N 0 2 305.260 0.248 20 0 DCADLN CCN(C(=O)c1nc(C)c[nH]1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033980079 666884730 /nfs/dbraw/zinc/88/47/30/666884730.db2.gz PJPZUZPFWJGTGH-JTQLQIEISA-N 0 2 319.369 0.278 20 0 DCADLN CCN(C(=O)c1ccncn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033991392 666887185 /nfs/dbraw/zinc/88/71/85/666887185.db2.gz WFVKILHIQBJHHI-JTQLQIEISA-N 0 2 317.353 0.037 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCc2ccccc2CC1 ZINC000734783058 666908387 /nfs/dbraw/zinc/90/83/87/666908387.db2.gz DXXQSMCDEFZQFB-UHFFFAOYSA-N 0 2 323.356 0.699 20 0 DCADLN O=C(C[C@@H]1CCOC1)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034146122 666937476 /nfs/dbraw/zinc/93/74/76/666937476.db2.gz UGFHYJZXWNBVPS-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@@H]1CCOC1)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034146122 666937481 /nfs/dbraw/zinc/93/74/81/666937481.db2.gz UGFHYJZXWNBVPS-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN CC1(C)CCC[C@](CO)(NC(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000862846455 667086987 /nfs/dbraw/zinc/08/69/87/667086987.db2.gz OZHYXUMNQRDHPT-XPTSAGLGSA-N 0 2 313.423 0.990 20 0 DCADLN C[C@]1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H]2C[C@H]2C1 ZINC000863126600 667112611 /nfs/dbraw/zinc/11/26/11/667112611.db2.gz KMQJJKYBLJVDLS-RTUWITSCSA-N 0 2 301.350 0.624 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)N2CCc3ccccc3C2)n1 ZINC000921533422 667162377 /nfs/dbraw/zinc/16/23/77/667162377.db2.gz PNBRDSFENREEKB-UHFFFAOYSA-N 0 2 322.394 0.969 20 0 DCADLN O=C(C(F)C(F)(F)F)N1C[C@@H]2C[C@H]1CN2Cc1cnon1 ZINC001032415552 667218592 /nfs/dbraw/zinc/21/85/92/667218592.db2.gz AYWYWVDLAFUVQT-CIUDSAMLSA-N 0 2 308.235 0.755 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1C[C@@H]2C[C@H]1CN2Cc1cnon1 ZINC001032415552 667218595 /nfs/dbraw/zinc/21/85/95/667218595.db2.gz AYWYWVDLAFUVQT-CIUDSAMLSA-N 0 2 308.235 0.755 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)c1ccccc1 ZINC001035266509 667279421 /nfs/dbraw/zinc/27/94/21/667279421.db2.gz BZGKNZCKMSGQBR-GFCCVEGCSA-N 0 2 317.349 0.141 20 0 DCADLN CC(C)OCCCN(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000740787775 667752707 /nfs/dbraw/zinc/75/27/07/667752707.db2.gz JZKZFLIUHUSZMU-UHFFFAOYSA-N 0 2 307.354 0.345 20 0 DCADLN COc1ccc(OCCNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000742492755 667792343 /nfs/dbraw/zinc/79/23/43/667792343.db2.gz SEOZHBJRHROVNY-LLVKDONJSA-N 0 2 323.374 0.747 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2COc3ccccc3C2)S1 ZINC000742491367 667792655 /nfs/dbraw/zinc/79/26/55/667792655.db2.gz LEPHLKSVOCKLCL-SKDRFNHKSA-N 0 2 319.386 0.910 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(OCC(N)=O)c2)S1 ZINC000742512983 667793005 /nfs/dbraw/zinc/79/30/05/667793005.db2.gz YKHPFOLWASCIBM-VIFPVBQESA-N 0 2 322.346 0.046 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(OCC(N)=O)cc2)S1 ZINC000742518929 667793219 /nfs/dbraw/zinc/79/32/19/667793219.db2.gz KZDJGDRBVRVSSR-SECBINFHSA-N 0 2 322.346 0.046 20 0 DCADLN CNC(=O)c1ccccc1NC(=O)CC1SC(=N)NC1=O ZINC000742516596 667793293 /nfs/dbraw/zinc/79/32/93/667793293.db2.gz XDPVXEOOGZCRTN-VIFPVBQESA-N 0 2 306.347 0.541 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nc3ccccc3[nH]2)S1 ZINC000742666036 667796346 /nfs/dbraw/zinc/79/63/46/667796346.db2.gz ZZSYPPHDXDBXQH-VIFPVBQESA-N 0 2 303.347 0.736 20 0 DCADLN CCOC(=O)C1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCC1 ZINC000742697135 667797312 /nfs/dbraw/zinc/79/73/12/667797312.db2.gz IBETZPAAKRUOAV-MRVPVSSYSA-N 0 2 313.379 0.392 20 0 DCADLN O=C(CN1CCS(=O)(=O)CC1)NOCCCC(F)(F)F ZINC000871211216 667801129 /nfs/dbraw/zinc/80/11/29/667801129.db2.gz HBPDFJMMHGXSNP-UHFFFAOYSA-N 0 2 318.317 0.107 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)NC(=O)CO3)S1 ZINC000745413827 667847221 /nfs/dbraw/zinc/84/72/21/667847221.db2.gz QYGRTBLDQZLZNM-SECBINFHSA-N 0 2 320.330 0.512 20 0 DCADLN COc1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)cc1OC ZINC000745415966 667847379 /nfs/dbraw/zinc/84/73/79/667847379.db2.gz ZDOBAAWRWZKKBE-LLVKDONJSA-N 0 2 323.374 0.876 20 0 DCADLN CC(=O)Nc1cccc(CNC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000745434612 667847723 /nfs/dbraw/zinc/84/77/23/667847723.db2.gz FCYYDQAZJBAMMQ-LLVKDONJSA-N 0 2 320.374 0.818 20 0 DCADLN Cc1cc(S(=O)(=O)NCC[NH+]2CCC2)cc(C(=O)[O-])c1C ZINC000871923670 667857427 /nfs/dbraw/zinc/85/74/27/667857427.db2.gz BZLJHYGRRDGTQJ-UHFFFAOYSA-N 0 2 312.391 0.986 20 0 DCADLN Cn1cc(C[C@H]2CCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)cn1 ZINC000746623711 667884168 /nfs/dbraw/zinc/88/41/68/667884168.db2.gz GJPURYYDCSRGJL-MWLCHTKSSA-N 0 2 321.406 0.368 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NC[C@H]1COCCO1 ZINC000872438546 667896325 /nfs/dbraw/zinc/89/63/25/667896325.db2.gz ANVLWURQVBFNEG-NIVTXAMTSA-N 0 2 314.429 0.133 20 0 DCADLN COc1ccc(C=CC(=O)NCc2n[nH]c(=O)[nH]2)cc1OC ZINC000748057604 667917525 /nfs/dbraw/zinc/91/75/25/667917525.db2.gz OQPVIGWURPKOIX-GQCTYLIASA-N 0 2 304.306 0.857 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ncc(Cl)s1 ZINC000748337334 667923504 /nfs/dbraw/zinc/92/35/04/667923504.db2.gz GDGJDQXDNKZHCK-UHFFFAOYSA-N 0 2 310.726 0.820 20 0 DCADLN CN1CCN(c2cc(N[C@H]3C[C@@H](C(=O)[O-])C3)[nH+]cn2)CC1=O ZINC000873066212 667969031 /nfs/dbraw/zinc/96/90/31/667969031.db2.gz PYLUXWRAHCVQJT-AOOOYVTPSA-N 0 2 305.338 0.030 20 0 DCADLN CN1CCN(c2cc(N[C@H]3C[C@@H](C(=O)[O-])C3)nc[nH+]2)CC1=O ZINC000873066212 667969032 /nfs/dbraw/zinc/96/90/32/667969032.db2.gz PYLUXWRAHCVQJT-AOOOYVTPSA-N 0 2 305.338 0.030 20 0 DCADLN COC1CCC(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000750085249 667971619 /nfs/dbraw/zinc/97/16/19/667971619.db2.gz XUBNNOUZARZZAV-UHFFFAOYSA-N 0 2 319.365 0.393 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](O)CCc2ccccc2)S1 ZINC000753309547 668028496 /nfs/dbraw/zinc/02/84/96/668028496.db2.gz XNZLFRKAPMIFOH-RYUDHWBXSA-N 0 2 321.402 0.653 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2CCN(c3ccccn3)C2)S1 ZINC000753736627 668034576 /nfs/dbraw/zinc/03/45/76/668034576.db2.gz YQQNCHBRQGQRKD-ZJUUUORDSA-N 0 2 319.390 0.333 20 0 DCADLN C[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1nccs1 ZINC000754737494 668050191 /nfs/dbraw/zinc/05/01/91/668050191.db2.gz NHWJBVFYBJSZPP-MRVPVSSYSA-N 0 2 318.362 0.448 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1cc(C(N)=O)co1 ZINC000759213864 668210175 /nfs/dbraw/zinc/21/01/75/668210175.db2.gz DXBZMCLEWKNSOH-UHFFFAOYSA-N 0 2 321.255 0.452 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCCNc1cccnn1 ZINC000759555413 668225784 /nfs/dbraw/zinc/22/57/84/668225784.db2.gz MTWJPEDNPQDVJQ-UHFFFAOYSA-N 0 2 320.271 0.889 20 0 DCADLN C[C@H](C[S@@](C)=O)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760505185 668275427 /nfs/dbraw/zinc/27/54/27/668275427.db2.gz MVUOFLBIQCITMG-ZLWQNGGKSA-N 0 2 302.318 0.327 20 0 DCADLN O=C(NC1CCN(C(=O)C(F)C(F)(F)F)CC1)c1cnon1 ZINC000946998643 668282755 /nfs/dbraw/zinc/28/27/55/668282755.db2.gz MCEKSFKBSYMMBI-QMMMGPOBSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC1CCN(C(=O)[C@H](F)C(F)(F)F)CC1)c1cnon1 ZINC000946998643 668282757 /nfs/dbraw/zinc/28/27/57/668282757.db2.gz MCEKSFKBSYMMBI-QMMMGPOBSA-N 0 2 324.234 0.691 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)Nc1ccnc(CO)c1 ZINC000760855927 668289938 /nfs/dbraw/zinc/28/99/38/668289938.db2.gz VZGIVLQWMSYSBN-UHFFFAOYSA-N 0 2 305.256 0.970 20 0 DCADLN COCC(=O)Nc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000762212124 668352141 /nfs/dbraw/zinc/35/21/41/668352141.db2.gz ZLQVHNHIHAIWRH-UHFFFAOYSA-N 0 2 320.309 0.417 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](O)COc2ccccc2)S1 ZINC000762357564 668358091 /nfs/dbraw/zinc/35/80/91/668358091.db2.gz ZFDBENIXLIVZTM-KOLCDFICSA-N 0 2 323.374 0.099 20 0 DCADLN COc1cccc([C@H](O)CNC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000762396951 668359467 /nfs/dbraw/zinc/35/94/67/668359467.db2.gz GSRDWGVAQTUYPZ-GHMZBOCLSA-N 0 2 323.374 0.401 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](O)c2cccc(F)c2)S1 ZINC000762392639 668359800 /nfs/dbraw/zinc/35/98/00/668359800.db2.gz KBRUWMJHMALCCL-ZJUUUORDSA-N 0 2 311.338 0.532 20 0 DCADLN C[C@H](CC(F)(F)F)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000766924984 668551836 /nfs/dbraw/zinc/55/18/36/668551836.db2.gz XQHJEEMGORWGSI-ZCFIWIBFSA-N 0 2 303.244 0.528 20 0 DCADLN Cc1nc(CCOC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cs1 ZINC000767627440 668580948 /nfs/dbraw/zinc/58/09/48/668580948.db2.gz GPFWFALDHMANQQ-UHFFFAOYSA-N 0 2 319.346 0.622 20 0 DCADLN C[C@]1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCO[C@@H]1C1CC1 ZINC000768659389 668617683 /nfs/dbraw/zinc/61/76/83/668617683.db2.gz YPBIOGYUAXAUPB-GLXFQSAKSA-N 0 2 311.407 0.864 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](CO)Cc2ccco2)S1 ZINC000769111126 668634993 /nfs/dbraw/zinc/63/49/93/668634993.db2.gz NLHNOTTUIUETNF-WPRPVWTQSA-N 0 2 311.363 0.103 20 0 DCADLN Cc1ccccc1[C@@H](CO)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000771212296 668734583 /nfs/dbraw/zinc/73/45/83/668734583.db2.gz OEXNYPIYOQJAGN-GHMZBOCLSA-N 0 2 307.375 0.701 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)C[C@H](OC)C3)c1O ZINC000772122830 668764559 /nfs/dbraw/zinc/76/45/59/668764559.db2.gz HGOVTZYZEWYOCR-OLZOCXBDSA-N 0 2 318.329 0.788 20 0 DCADLN CS(=O)(=O)Nc1cccc(C(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774878358 668849316 /nfs/dbraw/zinc/84/93/16/668849316.db2.gz LPQNDYUJHGHVOB-UHFFFAOYSA-N 0 2 312.307 0.239 20 0 DCADLN O=C(CCc1nc2ccccc2c(=O)[nH]1)OCc1n[nH]c(=O)[nH]1 ZINC000774873239 668849572 /nfs/dbraw/zinc/84/95/72/668849572.db2.gz NKRLYGXFOZXLKS-UHFFFAOYSA-N 0 2 315.289 0.835 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(Cn2cncn2)cc1 ZINC000774896395 668850278 /nfs/dbraw/zinc/85/02/78/668850278.db2.gz KCFWGJXMMVGDLX-UHFFFAOYSA-N 0 2 300.278 0.507 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)C1CCN(C(=O)N2CCCC2)CC1 ZINC000774920719 668851434 /nfs/dbraw/zinc/85/14/34/668851434.db2.gz DSKINBCDMURQGC-UHFFFAOYSA-N 0 2 323.353 0.481 20 0 DCADLN COC[C@H](C)S(=O)(=O)[N-]C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000776089358 668870706 /nfs/dbraw/zinc/87/07/06/668870706.db2.gz UUSUIJPVUTWUAP-NSHDSACASA-N 0 2 323.374 0.967 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cc(Cl)ccn1 ZINC000776240765 668873828 /nfs/dbraw/zinc/87/38/28/668873828.db2.gz RXOUVPCJTRGQMA-UHFFFAOYSA-N 0 2 318.724 0.436 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC(Cc2ccccc2)C1 ZINC000779077534 668907455 /nfs/dbraw/zinc/90/74/55/668907455.db2.gz IFFDPYMJCAEBIY-UHFFFAOYSA-N 0 2 323.356 0.772 20 0 DCADLN CCN(C[C@H](O)C(F)(F)F)C(=O)C[C@H]1SC(=N)NC1=O ZINC000779190081 668908339 /nfs/dbraw/zinc/90/83/39/668908339.db2.gz BTGJCSUYRUFFKI-RITPCOANSA-N 0 2 313.301 0.315 20 0 DCADLN CN(C1=NC(=O)C(CC(=O)Nc2cnccn2)S1)C1CC1 ZINC000783681992 669168884 /nfs/dbraw/zinc/16/88/84/669168884.db2.gz OFMQRDUWYLQUCX-VIFPVBQESA-N 0 2 305.363 0.897 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NC[C@@H](C)O)cc2[nH]c1=S ZINC000128340656 669189659 /nfs/dbraw/zinc/18/96/59/669189659.db2.gz RXARKUQDMQKHQD-MRVPVSSYSA-N 0 2 307.375 0.816 20 0 DCADLN COc1cnc([C@H]2CCCN2CCC(=O)N(C)OC)[nH]c1=O ZINC000934280004 669591528 /nfs/dbraw/zinc/59/15/28/669591528.db2.gz RSVGMYBNCRLUMQ-SNVBAGLBSA-N 0 2 310.354 0.738 20 0 DCADLN Cc1cccc(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000791826074 669632097 /nfs/dbraw/zinc/63/20/97/669632097.db2.gz UQPFDZZJJXIENA-UHFFFAOYSA-N 0 2 312.333 0.134 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H]1CCc2ccccc2NC1=O ZINC000883413207 669681379 /nfs/dbraw/zinc/68/13/79/669681379.db2.gz FMYKKFVWJXLMDW-ZDUSSCGKSA-N 0 2 320.345 0.890 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H]1CCc2ccccc2NC1=O ZINC000883413207 669681380 /nfs/dbraw/zinc/68/13/80/669681380.db2.gz FMYKKFVWJXLMDW-ZDUSSCGKSA-N 0 2 320.345 0.890 20 0 DCADLN O=C(c1cccc(F)c1O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000935456820 669724754 /nfs/dbraw/zinc/72/47/54/669724754.db2.gz MTMOCUIMQRSGFT-VIFPVBQESA-N 0 2 309.326 0.975 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cccc3nsnc32)[nH]n1 ZINC000799184630 670048814 /nfs/dbraw/zinc/04/88/14/670048814.db2.gz BGJKKVHPXRXBJU-UHFFFAOYSA-N 0 2 324.347 0.314 20 0 DCADLN O=C(O[C@@H]1CCNC1=O)c1nn(-c2ccc(F)cc2F)cc1O ZINC000801412743 670128146 /nfs/dbraw/zinc/12/81/46/670128146.db2.gz AJWDCPRJFCNLOW-LLVKDONJSA-N 0 2 323.255 0.901 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC000951005842 670173921 /nfs/dbraw/zinc/17/39/21/670173921.db2.gz VSVHPZPFXPRRRA-IYSWYEEDSA-N 0 2 309.223 0.036 20 0 DCADLN CCN(C(=O)c1cocc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951181977 670211634 /nfs/dbraw/zinc/21/16/34/670211634.db2.gz OMXZYFXUEBXOMW-UHFFFAOYSA-N 0 2 305.338 0.758 20 0 DCADLN CCN(C(=O)[C@H]1CCC1(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951205179 670217208 /nfs/dbraw/zinc/21/72/08/670217208.db2.gz BYMFZFOXXJINBY-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)c1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)no1 ZINC000949525823 670362231 /nfs/dbraw/zinc/36/22/31/670362231.db2.gz KTSVTNPDBNZHTB-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN COc1csc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000949614402 670385563 /nfs/dbraw/zinc/38/55/63/670385563.db2.gz SIUOBUADEAVGPV-UHFFFAOYSA-N 0 2 323.378 0.538 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCCc2occc2C1 ZINC000809391363 670451122 /nfs/dbraw/zinc/45/11/22/670451122.db2.gz TZRPCHXESUFUSI-UHFFFAOYSA-N 0 2 313.317 0.639 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccnnc1 ZINC000952242453 670465600 /nfs/dbraw/zinc/46/56/00/670465600.db2.gz WSVURNKFXQFFIF-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ccnnc1 ZINC000952242453 670465601 /nfs/dbraw/zinc/46/56/01/670465601.db2.gz WSVURNKFXQFFIF-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN COCCO[N-]C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000810479732 670487206 /nfs/dbraw/zinc/48/72/06/670487206.db2.gz RICKRDCJXPVJHD-LBPRGKRZSA-N 0 2 312.370 0.177 20 0 DCADLN COCCO[N-]C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000810479732 670487210 /nfs/dbraw/zinc/48/72/10/670487210.db2.gz RICKRDCJXPVJHD-LBPRGKRZSA-N 0 2 312.370 0.177 20 0 DCADLN CCN(C(=O)[C@@H]1CC[C@H](C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952394258 670487495 /nfs/dbraw/zinc/48/74/95/670487495.db2.gz IOJMHCKKAYOFNZ-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC000811522817 670532631 /nfs/dbraw/zinc/53/26/31/670532631.db2.gz GOMPSNGDCCVSDA-JGVFFNPUSA-N 0 2 320.308 0.817 20 0 DCADLN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC000811522817 670532632 /nfs/dbraw/zinc/53/26/32/670532632.db2.gz GOMPSNGDCCVSDA-JGVFFNPUSA-N 0 2 320.308 0.817 20 0 DCADLN COC(=O)CCCONC(=O)[C@H]1CN(C)C(=O)c2ccccc21 ZINC000811560938 670536133 /nfs/dbraw/zinc/53/61/33/670536133.db2.gz CXWWBUXDLPEFRD-ZDUSSCGKSA-N 0 2 320.345 0.857 20 0 DCADLN O=C(C[C@@H]1CCC(=O)N1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000854386367 670557973 /nfs/dbraw/zinc/55/79/73/670557973.db2.gz NZIVXPUIVMQMCR-JTQLQIEISA-N 0 2 301.306 0.785 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2COc3ccccc32)S1 ZINC000813891766 670658067 /nfs/dbraw/zinc/65/80/67/670658067.db2.gz NQDWPMYCWFHVIP-KCJUWKMLSA-N 0 2 305.359 0.835 20 0 DCADLN C[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccccn1 ZINC000855177246 670685182 /nfs/dbraw/zinc/68/51/82/670685182.db2.gz KQCPFJGXBCFPJE-JTQLQIEISA-N 0 2 312.333 0.386 20 0 DCADLN C[C@@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H]1CCO ZINC000855538984 670728992 /nfs/dbraw/zinc/72/89/92/670728992.db2.gz XBQCJNPWCAAADH-PWSUYJOCSA-N 0 2 319.365 0.081 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NN2CCc3ccccc3C2)S1 ZINC000855710987 670750844 /nfs/dbraw/zinc/75/08/44/670750844.db2.gz GCIHUYAEZBAUMV-LLVKDONJSA-N 0 2 304.375 0.632 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccn(C3CCOCC3)n2)S1 ZINC000855863677 670761446 /nfs/dbraw/zinc/76/14/46/670761446.db2.gz MHPNPUQMEGBDBV-VIFPVBQESA-N 0 2 323.378 0.730 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC3(CCCC3=O)CC2)S1 ZINC000856018199 670776998 /nfs/dbraw/zinc/77/69/98/670776998.db2.gz LRWDJXNBXIAAHV-VIFPVBQESA-N 0 2 309.391 0.905 20 0 DCADLN O=S(=O)(c1ccccc1O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000849401400 670791365 /nfs/dbraw/zinc/79/13/65/670791365.db2.gz WVPSCKNCYHTXBH-UHFFFAOYSA-N 0 2 323.378 0.017 20 0 DCADLN CCOC(=O)C12CC(C1)CN2C(=O)C[C@H]1SC(=N)NC1=O ZINC000856250422 670797468 /nfs/dbraw/zinc/79/74/68/670797468.db2.gz OOUUKCOXDDDXLB-LPATWVRLSA-N 0 2 311.363 0.097 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCCn1cnccc1=O ZINC000816281893 670799651 /nfs/dbraw/zinc/79/96/51/670799651.db2.gz HDSJBBHIHKEDBN-UHFFFAOYSA-N 0 2 321.255 0.244 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000816816956 670867379 /nfs/dbraw/zinc/86/73/79/670867379.db2.gz NUTSRVBIDKXVAQ-BQBZGAKWSA-N 0 2 306.281 0.379 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000816816956 670867385 /nfs/dbraw/zinc/86/73/85/670867385.db2.gz NUTSRVBIDKXVAQ-BQBZGAKWSA-N 0 2 306.281 0.379 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC3(CCOCC3)[C@@H]2C2CC2)S1 ZINC000818790757 671059929 /nfs/dbraw/zinc/05/99/29/671059929.db2.gz ZFDFTJHVMGDEMJ-JQWIXIFHSA-N 0 2 323.418 0.960 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2C[C@]3(CCCO3)[C@H]2C2CC2)S1 ZINC000819259743 671106743 /nfs/dbraw/zinc/10/67/43/671106743.db2.gz AXZNGWVXNOAQCQ-DRCTWCGVSA-N 0 2 309.391 0.713 20 0 DCADLN CC(=O)N[C@H](CC(C)C)C(=O)NCCc1n[nH]c(=S)o1 ZINC000860922417 671250411 /nfs/dbraw/zinc/25/04/11/671250411.db2.gz QKTFOVLPFXJHOT-SECBINFHSA-N 0 2 300.384 0.568 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CCCN1c1ncccn1 ZINC000822042996 671304074 /nfs/dbraw/zinc/30/40/74/671304074.db2.gz BHHUFEKITHZZRW-VIFPVBQESA-N 0 2 320.378 0.476 20 0 DCADLN COC1(OC)CCN(C(=O)c2c(O)cc(F)cc2F)C[C@H]1O ZINC000824076984 671419292 /nfs/dbraw/zinc/41/92/92/671419292.db2.gz FCQAMHOCHUECJI-LLVKDONJSA-N 0 2 317.288 0.866 20 0 DCADLN CN1C[C@@H](NC(=O)C[C@H]2SC(=N)NC2=O)Cc2ccccc21 ZINC000824938681 671444548 /nfs/dbraw/zinc/44/45/48/671444548.db2.gz UTTCZTRXWWHGNI-CMPLNLGQSA-N 0 2 318.402 0.720 20 0 DCADLN CO[N-]C(=O)CNC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000832741510 671738141 /nfs/dbraw/zinc/73/81/41/671738141.db2.gz RGEXQMNWAFYEBF-UHFFFAOYSA-N 0 2 317.349 0.403 20 0 DCADLN CO[C@@H]1C[C@H](N(C)C(=O)C[C@@H]2SC(=N)NC2=O)C12CCC2 ZINC000837015923 671842819 /nfs/dbraw/zinc/84/28/19/671842819.db2.gz ZRZSREWZODPKJY-LPEHRKFASA-N 0 2 311.407 0.959 20 0 DCADLN CC(C)(C)OC(=O)COCC(=O)NCCc1n[nH]c(=S)o1 ZINC000843856144 672125167 /nfs/dbraw/zinc/12/51/67/672125167.db2.gz IQPZXUBWGBQECL-UHFFFAOYSA-N 0 2 317.367 0.375 20 0 DCADLN Cn1cc(C=O)cc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843877558 672126850 /nfs/dbraw/zinc/12/68/50/672126850.db2.gz GYSDJPWPCXWBBJ-JTQLQIEISA-N 0 2 303.322 0.681 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)C[C@@H]1CCOC1)n1cc[nH+]c1 ZINC000845504827 672254009 /nfs/dbraw/zinc/25/40/09/672254009.db2.gz XQXBGQOUKPBECG-GHMZBOCLSA-N 0 2 301.368 0.317 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](C2CC2)C2CCOCC2)S1 ZINC000846421966 672312161 /nfs/dbraw/zinc/31/21/61/672312161.db2.gz VUEWBZHPUKDUJQ-JQWIXIFHSA-N 0 2 311.407 0.864 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)c3cocn3)CC2)[nH+]c1 ZINC000738682729 685324716 /nfs/dbraw/zinc/32/47/16/685324716.db2.gz FMFZIRPJWIQRLQ-UHFFFAOYSA-N 0 2 302.290 0.730 20 0 DCADLN Cc1c[nH]cc1C(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024802027 693833028 /nfs/dbraw/zinc/83/30/28/693833028.db2.gz RQAGKGDTDQGXTB-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN Cc1c[nH]cc1C(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024802027 693833032 /nfs/dbraw/zinc/83/30/32/693833032.db2.gz RQAGKGDTDQGXTB-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN Cc1cccc(-c2nnc(SCc3n[nH]c(=O)[nH]3)n2N)c1 ZINC000091588589 685105282 /nfs/dbraw/zinc/10/52/82/685105282.db2.gz VODWLMGXMTUWCN-UHFFFAOYSA-N 0 2 303.351 0.671 20 0 DCADLN CN(C(=O)c1cn[nH]c1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953794820 685844117 /nfs/dbraw/zinc/84/41/17/685844117.db2.gz WXFJCYDSGTTXKU-QMMMGPOBSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)c1cn[nH]c1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953794820 685844121 /nfs/dbraw/zinc/84/41/21/685844121.db2.gz WXFJCYDSGTTXKU-QMMMGPOBSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)c1ncc[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954224686 685972896 /nfs/dbraw/zinc/97/28/96/685972896.db2.gz BBBLEJAQGKINJH-SSDOTTSWSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)c1ncc[nH]1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954224686 685972899 /nfs/dbraw/zinc/97/28/99/685972899.db2.gz BBBLEJAQGKINJH-SSDOTTSWSA-N 0 2 308.235 0.593 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1)C1CC1 ZINC001037993072 693980895 /nfs/dbraw/zinc/98/08/95/693980895.db2.gz IRDQTRPYIDQIHD-LBPRGKRZSA-N 0 2 301.350 0.123 20 0 DCADLN Cc1cccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038027536 693992239 /nfs/dbraw/zinc/99/22/39/693992239.db2.gz VOESAVRTAQCJJA-GFCCVEGCSA-N 0 2 301.350 0.823 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc2occc2[nH]1 ZINC001038311010 694040350 /nfs/dbraw/zinc/04/03/50/694040350.db2.gz RNOPPUWSIJSLIL-MRVPVSSYSA-N 0 2 316.321 0.589 20 0 DCADLN CC(C)[C@]1(C)C[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956980288 686926501 /nfs/dbraw/zinc/92/65/01/686926501.db2.gz WFOZCGLZUORIAL-NHYWBVRUSA-N 0 2 307.398 0.837 20 0 DCADLN CCN(C(=O)[C@H]1CCO[C@H](C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957048538 686944545 /nfs/dbraw/zinc/94/45/45/686944545.db2.gz ABEWYDIOWWDNAB-MNOVXSKESA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)(C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C(F)(F)F ZINC000957403717 687053668 /nfs/dbraw/zinc/05/36/68/687053668.db2.gz IPEYFGDZSIMFSK-UHFFFAOYSA-N 0 2 321.303 0.743 20 0 DCADLN CCCc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]n1 ZINC001038571779 694118684 /nfs/dbraw/zinc/11/86/84/694118684.db2.gz KEHMYLOJDXPTAX-JTQLQIEISA-N 0 2 319.369 0.190 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(C2CC2)n[nH]1 ZINC001038737097 694177830 /nfs/dbraw/zinc/17/78/30/694177830.db2.gz AIKBLEOYXGSLDZ-SECBINFHSA-N 0 2 317.353 0.115 20 0 DCADLN CCC(=O)N1CCO[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]21 ZINC000959844691 688234595 /nfs/dbraw/zinc/23/45/95/688234595.db2.gz MCTXCAIXPZVNPZ-QXFUBDJGSA-N 0 2 312.263 0.735 20 0 DCADLN CCC(=O)N1CCO[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]21 ZINC000959844691 688234599 /nfs/dbraw/zinc/23/45/99/688234599.db2.gz MCTXCAIXPZVNPZ-QXFUBDJGSA-N 0 2 312.263 0.735 20 0 DCADLN O=C(NC[C@@H]1CC[N@H+]1C/C=C\Cl)c1c[n-]n2c1nccc2=O ZINC001038778256 694188659 /nfs/dbraw/zinc/18/86/59/694188659.db2.gz LIVBTTDIRYBLBS-GZXOQBQISA-N 0 2 321.768 0.579 20 0 DCADLN O=C(NC[C@@H]1CC[N@@H+]1C/C=C\Cl)c1c[n-]n2c1nccc2=O ZINC001038778256 694188662 /nfs/dbraw/zinc/18/86/62/694188662.db2.gz LIVBTTDIRYBLBS-GZXOQBQISA-N 0 2 321.768 0.579 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cnoc1C1CC1 ZINC001038877348 694228019 /nfs/dbraw/zinc/22/80/19/694228019.db2.gz TXBRFJYZVFSPAO-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001038995733 694264308 /nfs/dbraw/zinc/26/43/08/694264308.db2.gz OKEKSFUCXSMRFK-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cscn1 ZINC000965503792 689459912 /nfs/dbraw/zinc/45/99/12/689459912.db2.gz VTSGSRRXNYFYAR-RKDXNWHRSA-N 0 2 322.394 0.607 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000965851119 689566630 /nfs/dbraw/zinc/56/66/30/689566630.db2.gz IJCLBROYPMADJR-PSASIEDQSA-N 0 2 320.353 0.447 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccoc1 ZINC000965932081 689585609 /nfs/dbraw/zinc/58/56/09/689585609.db2.gz SHNMJEHCAYHTCZ-ZYHUDNBSSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccoc1 ZINC000965932081 689585614 /nfs/dbraw/zinc/58/56/14/689585614.db2.gz SHNMJEHCAYHTCZ-ZYHUDNBSSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccoc1 ZINC000965932078 689585987 /nfs/dbraw/zinc/58/59/87/689585987.db2.gz SHNMJEHCAYHTCZ-CMPLNLGQSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccoc1 ZINC000965932078 689585989 /nfs/dbraw/zinc/58/59/89/689585989.db2.gz SHNMJEHCAYHTCZ-CMPLNLGQSA-N 0 2 319.365 0.673 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC[C@@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC000968752183 690385592 /nfs/dbraw/zinc/38/55/92/690385592.db2.gz JWQXJHCJCZJZCQ-VDTYLAMSSA-N 0 2 311.239 0.101 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1O ZINC001006778249 690584240 /nfs/dbraw/zinc/58/42/40/690584240.db2.gz OEHXDDGSNKMZKO-JTQLQIEISA-N 0 2 317.349 0.610 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCOC1 ZINC001006905395 690602804 /nfs/dbraw/zinc/60/28/04/690602804.db2.gz LCSNVVGQQFTEDS-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCC[N@@H+](CCO)C1)c1cc(C[NH+]2CCCC2)on1 ZINC001008536844 690887619 /nfs/dbraw/zinc/88/76/19/690887619.db2.gz BHKODLSHQVRQMV-ZDUSSCGKSA-N 0 2 322.409 0.457 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009110644 690992989 /nfs/dbraw/zinc/99/29/89/690992989.db2.gz QUHZRLXKXLKBTF-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN CCCC(=O)N1CC[C@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040069520 694475652 /nfs/dbraw/zinc/47/56/52/694475652.db2.gz LQKHOEUJEJWWRJ-KOLCDFICSA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)N1CC[C@](O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001040069520 694475657 /nfs/dbraw/zinc/47/56/57/694475657.db2.gz LQKHOEUJEJWWRJ-KOLCDFICSA-N 0 2 314.279 0.767 20 0 DCADLN Cc1csc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001014386375 692004452 /nfs/dbraw/zinc/00/44/52/692004452.db2.gz XSOBGPCAIPQNQS-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN C[C@@]1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC=CCC1 ZINC001014555242 692042310 /nfs/dbraw/zinc/04/23/10/692042310.db2.gz XMOXXYMVTWTDIB-IAQYHMDHSA-N 0 2 305.382 0.947 20 0 DCADLN CC(C)n1nccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014569950 692045288 /nfs/dbraw/zinc/04/52/88/692045288.db2.gz HKROTNCQODDCNE-SNVBAGLBSA-N 0 2 319.369 0.292 20 0 DCADLN CC(C)c1nocc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014704602 692075650 /nfs/dbraw/zinc/07/56/50/692075650.db2.gz LLUJIPRXELBFGE-SECBINFHSA-N 0 2 320.353 0.626 20 0 DCADLN CC1(C)CO[C@@H](CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001015240952 692237112 /nfs/dbraw/zinc/23/71/12/692237112.db2.gz IYWRBWMBOMEWNP-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cnc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001015354893 692271398 /nfs/dbraw/zinc/27/13/98/692271398.db2.gz KUDOUYXIZKFMLS-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C2CC2)CCC1 ZINC001015414098 692292747 /nfs/dbraw/zinc/29/27/47/692292747.db2.gz HNFWNPKCDMVQGZ-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(C[C@H]1CC=CCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015536927 692336235 /nfs/dbraw/zinc/33/62/35/692336235.db2.gz PWYFMXZUAMQCEG-RYUDHWBXSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001015604178 692360046 /nfs/dbraw/zinc/36/00/46/692360046.db2.gz ISSNIQRZRKCBEK-QMMMGPOBSA-N 0 2 308.367 0.280 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(C2CC2)n[nH]1 ZINC001015743331 692424257 /nfs/dbraw/zinc/42/42/57/692424257.db2.gz HXIIGTQWLLIHTK-SECBINFHSA-N 0 2 317.353 0.115 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001016075922 692561406 /nfs/dbraw/zinc/56/14/06/692561406.db2.gz BPUGSKGJUKJLPJ-GFCCVEGCSA-N 0 2 301.350 0.823 20 0 DCADLN COc1cccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001016081573 692562694 /nfs/dbraw/zinc/56/26/94/692562694.db2.gz CXNWBZIEGGMWKA-NSHDSACASA-N 0 2 317.349 0.523 20 0 DCADLN O=C(c1cccs1)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075510663 694955425 /nfs/dbraw/zinc/95/54/25/694955425.db2.gz WRNIRJVQBQFZFE-VHSXEESVSA-N 0 2 319.390 0.918 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1 ZINC001075686313 694968318 /nfs/dbraw/zinc/96/83/18/694968318.db2.gz NIZLFQSYDOBTRP-CMPLNLGQSA-N 0 2 316.365 0.195 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1 ZINC001075686313 694968319 /nfs/dbraw/zinc/96/83/19/694968319.db2.gz NIZLFQSYDOBTRP-CMPLNLGQSA-N 0 2 316.365 0.195 20 0 DCADLN O=C(c1cc(F)c[nH]1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076057654 694996393 /nfs/dbraw/zinc/99/63/93/694996393.db2.gz DAHPFTUITUHONL-GZMMTYOYSA-N 0 2 320.328 0.324 20 0 DCADLN O=C(c1cc(F)c[nH]1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076057654 694996394 /nfs/dbraw/zinc/99/63/94/694996394.db2.gz DAHPFTUITUHONL-GZMMTYOYSA-N 0 2 320.328 0.324 20 0 DCADLN Cc1c[nH]cc1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076568099 695028456 /nfs/dbraw/zinc/02/84/56/695028456.db2.gz VAJRRFPUSCBQSA-CMPLNLGQSA-N 0 2 316.365 0.493 20 0 DCADLN Cc1c[nH]cc1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076568099 695028457 /nfs/dbraw/zinc/02/84/57/695028457.db2.gz VAJRRFPUSCBQSA-CMPLNLGQSA-N 0 2 316.365 0.493 20 0 DCADLN CCOCC(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076857305 695077474 /nfs/dbraw/zinc/07/74/74/695077474.db2.gz NHSMQPHDMIEHNG-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)N[C@@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001076857305 695077477 /nfs/dbraw/zinc/07/74/77/695077477.db2.gz NHSMQPHDMIEHNG-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CC/C=C(/C)C(=O)NC1(CO)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001570488946 1162527714 /nfs/dbraw/zinc/52/77/14/1162527714.db2.gz VCFCWRLQIBDYHV-WCIBSUBMSA-N 0 2 323.397 0.310 20 0 DCADLN O=C(CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F)N1CCC1 ZINC001446034476 1159330685 /nfs/dbraw/zinc/33/06/85/1159330685.db2.gz YQPXLJBDDVJDPD-PSASIEDQSA-N 0 2 311.279 0.310 20 0 DCADLN O=C(CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F)N1CCC1 ZINC001446034476 1159330695 /nfs/dbraw/zinc/33/06/95/1159330695.db2.gz YQPXLJBDDVJDPD-PSASIEDQSA-N 0 2 311.279 0.310 20 0 DCADLN O=C(C[C@H]1CCCS(=O)(=O)C1)NCCc1n[nH]c(=S)o1 ZINC001448074698 1159715220 /nfs/dbraw/zinc/71/52/20/1159715220.db2.gz NKARDTLVLKVPBU-MRVPVSSYSA-N 0 2 319.408 0.232 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CCc2nncn2CC1 ZINC001448076904 1159716466 /nfs/dbraw/zinc/71/64/66/1159716466.db2.gz PIJYTFOEDKNLKE-QMMMGPOBSA-N 0 2 308.367 0.261 20 0 DCADLN O=C(C[C@H]1C=CCC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567358605 1160323477 /nfs/dbraw/zinc/32/34/77/1160323477.db2.gz WYOIDTDHHCBDJU-RYUDHWBXSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(C[C@H]1C=CCC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567358605 1160323482 /nfs/dbraw/zinc/32/34/82/1160323482.db2.gz WYOIDTDHHCBDJU-RYUDHWBXSA-N 0 2 305.382 0.947 20 0 DCADLN Cn1ncc2c1C[C@H](C(=O)NCCc1n[nH]c(=S)o1)CC2 ZINC001567853203 1160502505 /nfs/dbraw/zinc/50/25/05/1160502505.db2.gz UGGWLSVYHMSBGG-MRVPVSSYSA-N 0 2 307.379 0.556 20 0 DCADLN C[C@H](CC(=O)N[C@H](C(=O)[O-])[C@H](O)c1ccncc1)n1cc[nH+]c1 ZINC001573534573 1163464571 /nfs/dbraw/zinc/46/45/71/1163464571.db2.gz AIYINIFBBCURQU-DDTOSNHZSA-N 0 2 318.333 0.532 20 0 DCADLN CCc1nc(NC[C@@]2(C(=O)OC)CCOC2)c(C(=O)[O-])c[nH+]1 ZINC001573837704 1163563568 /nfs/dbraw/zinc/56/35/68/1163563568.db2.gz OBRIGTZNXAIOFB-AWEZNQCLSA-N 0 2 309.322 0.729 20 0 DCADLN C[N@H+](CCc1ccccc1)CC(=O)NCc1nc(C(=O)[O-])n[nH]1 ZINC001573873128 1163575738 /nfs/dbraw/zinc/57/57/38/1163575738.db2.gz WIFGTMLSNYCNKY-UHFFFAOYSA-N 0 2 317.349 0.294 20 0 DCADLN C[N@@H+](CCc1ccccc1)CC(=O)NCc1nc(C(=O)[O-])n[nH]1 ZINC001573873128 1163575740 /nfs/dbraw/zinc/57/57/40/1163575740.db2.gz WIFGTMLSNYCNKY-UHFFFAOYSA-N 0 2 317.349 0.294 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCc2cc(C(=O)[O-])no2)[nH]n1 ZINC001573884371 1163576948 /nfs/dbraw/zinc/57/69/48/1163576948.db2.gz FCECXZVLQXFSTB-LBPRGKRZSA-N 0 2 319.321 0.793 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)NCc2cc(C(=O)[O-])no2)[nH]n1 ZINC001573884371 1163576954 /nfs/dbraw/zinc/57/69/54/1163576954.db2.gz FCECXZVLQXFSTB-LBPRGKRZSA-N 0 2 319.321 0.793 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](C[C@@H]1CCCO1)C(=O)[O-] ZINC001574221731 1163668462 /nfs/dbraw/zinc/66/84/62/1163668462.db2.gz XPCSYAKHVMKKCU-IRCOFANPSA-N 0 2 307.350 0.662 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@H](C(=O)[O-])[C@H](O)c1ccncc1 ZINC001574221414 1163668499 /nfs/dbraw/zinc/66/84/99/1163668499.db2.gz PPZADGUNWRXTRP-UONOGXRCSA-N 0 2 318.333 0.051 20 0 DCADLN Cn1ncc(C[NH+]2CCC(C(=O)[O-])([S@@](C)=O)CC2)c1Cl ZINC001574226619 1163669344 /nfs/dbraw/zinc/66/93/44/1163669344.db2.gz MMILFYMYAVEELV-HXUWFJFHSA-N 0 2 319.814 0.871 20 0 DCADLN O=C([O-])[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](O)c1cccnc1 ZINC001574299297 1163689537 /nfs/dbraw/zinc/68/95/37/1163689537.db2.gz CRVALJNKUHNKDG-CHWSQXEVSA-N 0 2 304.306 0.040 20 0 DCADLN O=C([O-])[C@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](O)c1cccnc1 ZINC001574299297 1163689541 /nfs/dbraw/zinc/68/95/41/1163689541.db2.gz CRVALJNKUHNKDG-CHWSQXEVSA-N 0 2 304.306 0.040 20 0 DCADLN O=C([O-])c1ccc(N2CC(NC(=O)NC[C@H]3C[C@@H](O)C3)C2)[nH+]c1 ZINC001574326618 1163697449 /nfs/dbraw/zinc/69/74/49/1163697449.db2.gz AMJUMEFPUPXKQN-WVSHTKLVSA-N 0 2 320.349 0.039 20 0 DCADLN C[C@@H](NC(=O)c1cccc(-c2nn[nH]n2)c1)c1nn(C)cc1O ZINC001575339374 1163981549 /nfs/dbraw/zinc/98/15/49/1163981549.db2.gz VQMOCLKGOLSMRW-MRVPVSSYSA-N 0 2 313.321 0.797 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cnns1 ZINC001575732682 1164124801 /nfs/dbraw/zinc/12/48/01/1164124801.db2.gz ANXIHKQPLVSPGI-ZCFIWIBFSA-N 0 2 314.264 0.675 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1cnns1 ZINC001575732682 1164124805 /nfs/dbraw/zinc/12/48/05/1164124805.db2.gz ANXIHKQPLVSPGI-ZCFIWIBFSA-N 0 2 314.264 0.675 20 0 DCADLN O=C(Cc1cccs1)NC[C@@H]1CN(Cc2cn[nH]n2)CCO1 ZINC001577964193 1164865517 /nfs/dbraw/zinc/86/55/17/1164865517.db2.gz JKZZZJABTQHDES-GFCCVEGCSA-N 0 2 321.406 0.426 20 0 DCADLN O=C(Cc1cccs1)NC[C@@H]1CN(Cc2c[nH]nn2)CCO1 ZINC001577964193 1164865526 /nfs/dbraw/zinc/86/55/26/1164865526.db2.gz JKZZZJABTQHDES-GFCCVEGCSA-N 0 2 321.406 0.426 20 0 DCADLN O=C(Cc1cncs1)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cn[nH]n1 ZINC001577966350 1164866156 /nfs/dbraw/zinc/86/61/56/1164866156.db2.gz YMFMQDBZXWDNLP-WXHSDQCUSA-N 0 2 318.406 0.725 20 0 DCADLN O=C(Cc1cncs1)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1c[nH]nn1 ZINC001577966350 1164866169 /nfs/dbraw/zinc/86/61/69/1164866169.db2.gz YMFMQDBZXWDNLP-WXHSDQCUSA-N 0 2 318.406 0.725 20 0 DCADLN CCn1nc(C)c(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)n1 ZINC001578805073 1165122742 /nfs/dbraw/zinc/12/27/42/1165122742.db2.gz ALDZDFGRCVWBOI-UHFFFAOYSA-N 0 2 315.341 0.008 20 0 DCADLN COC(=O)c1ccc(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)o1 ZINC001579482676 1165326768 /nfs/dbraw/zinc/32/67/68/1165326768.db2.gz NASKWFPZSHZEBW-UHFFFAOYSA-N 0 2 315.289 0.765 20 0 DCADLN COC(=O)c1[nH]cnc1NC(=O)c1ccc(-c2nn[nH]n2)o1 ZINC001579491322 1165331431 /nfs/dbraw/zinc/33/14/31/1165331431.db2.gz GSKLYMNAWASRKK-UHFFFAOYSA-N 0 2 303.238 0.222 20 0 DCADLN COC(=O)c1nc[nH]c1NC(=O)c1ccc(-c2nn[nH]n2)o1 ZINC001579491322 1165331435 /nfs/dbraw/zinc/33/14/35/1165331435.db2.gz GSKLYMNAWASRKK-UHFFFAOYSA-N 0 2 303.238 0.222 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC(CO)CC1 ZINC001580597579 1165649035 /nfs/dbraw/zinc/64/90/35/1165649035.db2.gz JPZUYDUZBIBECJ-UHFFFAOYSA-N 0 2 318.337 0.120 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@H](O)[C@H](C)C1 ZINC001580598545 1165650364 /nfs/dbraw/zinc/65/03/64/1165650364.db2.gz YYFLRJNYRFVFQI-PSASIEDQSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCO[C@@H](C)C1 ZINC001580602699 1165653244 /nfs/dbraw/zinc/65/32/44/1165653244.db2.gz RIYFAVHLKGTGCB-DTWKUNHWSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1ncc(CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)o1 ZINC001581549353 1165841164 /nfs/dbraw/zinc/84/11/64/1165841164.db2.gz WZBVFEYIRBQFIF-UHFFFAOYSA-N 0 2 315.293 0.502 20 0 DCADLN O=C(CCc1cnn[nH]1)Nc1ccc(F)c(-c2nn[nH]n2)c1 ZINC001582057563 1165945623 /nfs/dbraw/zinc/94/56/23/1165945623.db2.gz LVWVMKKIAORTIT-UHFFFAOYSA-N 0 2 302.273 0.695 20 0 DCADLN O=C(NC[C@H](O)c1cnc[nH]1)c1ccc(F)c(-c2nn[nH]n2)c1 ZINC001582380594 1166009303 /nfs/dbraw/zinc/00/93/03/1166009303.db2.gz CCLWPMFZRCCPGF-NSHDSACASA-N 0 2 317.284 0.192 20 0 DCADLN O=C([C@@H]1C[C@H]1c1cccc(-c2nn[nH]n2)c1)N1CCC[C@@H](O)C1 ZINC001582660350 1166062824 /nfs/dbraw/zinc/06/28/24/1166062824.db2.gz UQAMAZPKROCNOZ-HZSPNIEDSA-N 0 2 313.361 0.954 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@H]1CCC[C@@]2(CCOC2)O1 ZINC001582806811 1166086518 /nfs/dbraw/zinc/08/65/18/1166086518.db2.gz UKGLDRHSAJDWSI-YGRLFVJLSA-N 0 2 318.337 0.152 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1ccc(=O)[nH]n1 ZINC000021066110 1166582147 /nfs/dbraw/zinc/58/21/47/1166582147.db2.gz WQOAVIISLNRMFS-UHFFFAOYSA-N 0 2 317.330 0.752 20 0 DCADLN C[C@@H](CNc1cncc(-c2nn[nH]n2)n1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589879429 1166705593 /nfs/dbraw/zinc/70/55/93/1166705593.db2.gz OWYWPBFGNLBAGE-GARJFASQSA-N 0 2 318.385 0.566 20 0 DCADLN C[C@H](NCc1ccc(-c2nn[nH]n2)o1)C(=O)NCC(F)(F)F ZINC001589969358 1166733659 /nfs/dbraw/zinc/73/36/59/1166733659.db2.gz ZPGROJDZCKPNME-LURJTMIESA-N 0 2 318.259 0.616 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCCC(C)(F)F)c1=O ZINC001590950137 1167057542 /nfs/dbraw/zinc/05/75/42/1167057542.db2.gz SETDKVBDLICKPJ-UHFFFAOYSA-N 0 2 314.296 0.645 20 0 DCADLN CO[C@@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)CC[C@H]1C ZINC001591363086 1167237511 /nfs/dbraw/zinc/23/75/11/1167237511.db2.gz SINMBZXFTHPXRE-ZYHUDNBSSA-N 0 2 318.381 0.651 20 0 DCADLN COC[C@H]1CCC[N@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001591392998 1167260493 /nfs/dbraw/zinc/26/04/93/1167260493.db2.gz RGLJEPCXLZVEGA-LBPRGKRZSA-N 0 2 318.381 0.653 20 0 DCADLN COC[C@H]1CCC[N@@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001591392998 1167260500 /nfs/dbraw/zinc/26/05/00/1167260500.db2.gz RGLJEPCXLZVEGA-LBPRGKRZSA-N 0 2 318.381 0.653 20 0 DCADLN COCC[C@@H](C)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001591398438 1167267808 /nfs/dbraw/zinc/26/78/08/1167267808.db2.gz FMQXIJAZPVLCQM-MRVPVSSYSA-N 0 2 306.326 0.431 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCCOC(C)C ZINC001591835976 1167391407 /nfs/dbraw/zinc/39/14/07/1167391407.db2.gz RDLUOEJUUDTEED-UHFFFAOYSA-N 0 2 320.353 0.821 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2c(-c3nn[nH]n3)cnn2C)cn1 ZINC001592195667 1167476253 /nfs/dbraw/zinc/47/62/53/1167476253.db2.gz LXRHSKOIJKHKJL-UHFFFAOYSA-N 0 2 320.338 0.104 20 0 DCADLN Cc1ccn(CN2CC(OC(C)(C)C)C2)c(=O)c1-c1nn[nH]n1 ZINC001592267273 1167481036 /nfs/dbraw/zinc/48/10/36/1167481036.db2.gz NYDOLGPQKQLULQ-UHFFFAOYSA-N 0 2 318.381 0.794 20 0 DCADLN Cc1nn(CCCOC(F)(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436889 1167522581 /nfs/dbraw/zinc/52/25/81/1167522581.db2.gz FQCKBHWOAVPZTE-UHFFFAOYSA-N 0 2 318.259 0.967 20 0 DCADLN Cc1nn(COC(=O)OC(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437272 1167523744 /nfs/dbraw/zinc/52/37/44/1167523744.db2.gz QOTYEMUUVRYMCZ-UHFFFAOYSA-N 0 2 308.298 0.559 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC[S@](=O)C[C@@H](C)C1 ZINC001592499507 1167539750 /nfs/dbraw/zinc/53/97/50/1167539750.db2.gz NIUOMZZKWIBLCQ-ZCAPAMDOSA-N 0 2 323.378 0.609 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1-c1nc(-c2cc(N)ncn2)no1 ZINC001592495364 1167539999 /nfs/dbraw/zinc/53/99/99/1167539999.db2.gz LIELWBLQSGRVJY-UHFFFAOYSA-N 0 2 311.265 0.857 20 0 DCADLN Cn1c(=O)[nH]c(NCC[C@@H]2CCCS2)c(-c2nn[nH]n2)c1=O ZINC001592545485 1167549465 /nfs/dbraw/zinc/54/94/65/1167549465.db2.gz ABZIRYVVMABILA-ZETCQYMHSA-N 0 2 323.382 0.364 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@]2(C)CCCS2)c(-c2nn[nH]n2)c1=O ZINC001592545658 1167551542 /nfs/dbraw/zinc/55/15/42/1167551542.db2.gz IOBLNSNLKOYDJU-LBPRGKRZSA-N 0 2 323.382 0.364 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CCCC(C)(C)O2)c1=O ZINC001592558128 1167557620 /nfs/dbraw/zinc/55/76/20/1167557620.db2.gz PONXQUFGUKBJIU-SECBINFHSA-N 0 2 320.353 0.075 20 0 DCADLN O=C(CCNCc1nc(-c2nn[nH]n2)cs1)N1CCCCC1 ZINC001592841914 1167649911 /nfs/dbraw/zinc/64/99/11/1167649911.db2.gz AJSNLNWVEXEAHN-UHFFFAOYSA-N 0 2 321.410 0.815 20 0 DCADLN O=C(Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O)c1ccccc1F ZINC001592935150 1167667612 /nfs/dbraw/zinc/66/76/12/1167667612.db2.gz XLFOEQGUYVXLQP-UHFFFAOYSA-N 0 2 316.252 0.151 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)[C@@H]1Cc2ccccc21 ZINC001592941787 1167670007 /nfs/dbraw/zinc/67/00/07/1167670007.db2.gz WINZPIHGTBFWFH-LLVKDONJSA-N 0 2 308.301 0.332 20 0 DCADLN O=C(NCCCc1nc[nH]n1)Nc1cccc(-c2nn[nH]n2)n1 ZINC001593080199 1167699949 /nfs/dbraw/zinc/69/99/49/1167699949.db2.gz OAUOQNGOMOWCLN-UHFFFAOYSA-N 0 2 314.313 0.134 20 0 DCADLN O=C(NCc1ccnc(-c2nn[nH]n2)c1)C(F)C(F)(F)F ZINC001593147332 1167723565 /nfs/dbraw/zinc/72/35/65/1167723565.db2.gz MNGKXKWWCUOBKQ-SSDOTTSWSA-N 0 2 304.207 0.778 20 0 DCADLN O=C(NCc1ccnc(-c2nn[nH]n2)c1)[C@@H](F)C(F)(F)F ZINC001593147332 1167723572 /nfs/dbraw/zinc/72/35/72/1167723572.db2.gz MNGKXKWWCUOBKQ-SSDOTTSWSA-N 0 2 304.207 0.778 20 0 DCADLN O=C1NC[C@@H](Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3)O1 ZINC001593406140 1167817964 /nfs/dbraw/zinc/81/79/64/1167817964.db2.gz PTLRTHLGQPQOFF-VIFPVBQESA-N 0 2 316.321 0.016 20 0 DCADLN O=c1c(-c2nn[nH]n2)cnc2ncn(C[C@@H]3C[C@H]4C=C[C@@H]3C4)n21 ZINC001593493672 1167877130 /nfs/dbraw/zinc/87/71/30/1167877130.db2.gz BAOWEKXNZHDXRR-AEJSXWLSSA-N 0 2 310.321 0.283 20 0 DCADLN c1ncc(-c2nn[nH]n2)c(NCc2n[nH]c([C@H]3CCCO3)n2)n1 ZINC001593595116 1167957053 /nfs/dbraw/zinc/95/70/53/1167957053.db2.gz NHQOHNNGCVCCDP-MRVPVSSYSA-N 0 2 314.313 0.238 20 0 DCADLN COC(=O)[C@]1(OC)CC[N@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001598725533 1168032443 /nfs/dbraw/zinc/03/24/43/1168032443.db2.gz FDPZMPMJDAPLIO-LBPRGKRZSA-N 0 2 300.336 0.605 20 0 DCADLN COC(=O)[C@]1(OC)CC[N@@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001598725533 1168032451 /nfs/dbraw/zinc/03/24/51/1168032451.db2.gz FDPZMPMJDAPLIO-LBPRGKRZSA-N 0 2 300.336 0.605 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)c2ccc(C(=O)[O-])o2)C[C@H]1C ZINC001598854087 1168048065 /nfs/dbraw/zinc/04/80/65/1168048065.db2.gz QABJBVGLEJDOPU-SNVBAGLBSA-N 0 2 324.333 0.687 20 0 DCADLN COC(=O)CC[N@H+]1CCN(C(=O)c2ccc(C(=O)[O-])o2)C[C@H]1C ZINC001598854087 1168048074 /nfs/dbraw/zinc/04/80/74/1168048074.db2.gz QABJBVGLEJDOPU-SNVBAGLBSA-N 0 2 324.333 0.687 20 0 DCADLN Cc1c(CCC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cnn1C ZINC001600012145 1168093829 /nfs/dbraw/zinc/09/38/29/1168093829.db2.gz ZHLSXUYSWSRTTD-GFCCVEGCSA-N 0 2 305.338 0.127 20 0 DCADLN Cc1c(NC(=O)[C@@H]2CCn3cc[nH+]c3C2)cnn1CC(=O)[O-] ZINC001600032768 1168106085 /nfs/dbraw/zinc/10/60/85/1168106085.db2.gz PXUOUPMTCVIFRZ-SNVBAGLBSA-N 0 2 303.322 0.674 20 0 DCADLN Cc1cc(C[NH2+]Cc2nnc3n2CCNC3=O)ccc1C(=O)[O-] ZINC001600210626 1168139200 /nfs/dbraw/zinc/13/92/00/1168139200.db2.gz UGCARSVNGYYXIH-UHFFFAOYSA-N 0 2 315.333 0.318 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1O ZINC001600399833 1168178772 /nfs/dbraw/zinc/17/87/72/1168178772.db2.gz UXRILPBANJHENS-LBPRGKRZSA-N 0 2 303.318 0.709 20 0 DCADLN Cc1ccc(C[N@@H+]2CCN3C(=O)NC(=O)[C@H]3C2)cc1C(=O)[O-] ZINC001600414214 1168180269 /nfs/dbraw/zinc/18/02/69/1168180269.db2.gz HLFGODKBGLZKJC-GFCCVEGCSA-N 0 2 303.318 0.429 20 0 DCADLN Cc1ccc(C[N@H+]2CCN3C(=O)NC(=O)[C@H]3C2)cc1C(=O)[O-] ZINC001600414214 1168180273 /nfs/dbraw/zinc/18/02/73/1168180273.db2.gz HLFGODKBGLZKJC-GFCCVEGCSA-N 0 2 303.318 0.429 20 0 DCADLN Cc1cccn2cc(CC(=O)N3C[C@H](O)C[C@@H](C(=O)[O-])C3)[nH+]c12 ZINC001600589395 1168203951 /nfs/dbraw/zinc/20/39/51/1168203951.db2.gz MWMRMTYLCKPJCZ-DGCLKSJQSA-N 0 2 317.345 0.479 20 0 DCADLN Cc1ccnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600600255 1168207566 /nfs/dbraw/zinc/20/75/66/1168207566.db2.gz ZUTGRMXECOIEED-ZXPJVPCYSA-N 0 2 316.361 0.052 20 0 DCADLN Cc1ccnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600600255 1168207579 /nfs/dbraw/zinc/20/75/79/1168207579.db2.gz ZUTGRMXECOIEED-ZXPJVPCYSA-N 0 2 316.361 0.052 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001600639954 1168225298 /nfs/dbraw/zinc/22/52/98/1168225298.db2.gz RDJRHOHCXNLCRK-GFCCVEGCSA-N 0 2 322.361 0.762 20 0 DCADLN Cc1nn(C)cc1CCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600779101 1168290353 /nfs/dbraw/zinc/29/03/53/1168290353.db2.gz XDBHZPPHUYIMDM-LBPRGKRZSA-N 0 2 305.338 0.196 20 0 DCADLN Cc1nn(C)cc1CCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600779101 1168290361 /nfs/dbraw/zinc/29/03/61/1168290361.db2.gz XDBHZPPHUYIMDM-LBPRGKRZSA-N 0 2 305.338 0.196 20 0 DCADLN Cc1oc(C[N@@H+]2CCCN(S(C)(=O)=O)CC2)cc1C(=O)[O-] ZINC001600844761 1168483019 /nfs/dbraw/zinc/48/30/19/1168483019.db2.gz WLIAPVVRKCOQNX-UHFFFAOYSA-N 0 2 316.379 0.754 20 0 DCADLN Cc1oc(C[N@H+]2CCCN(S(C)(=O)=O)CC2)cc1C(=O)[O-] ZINC001600844761 1168483024 /nfs/dbraw/zinc/48/30/24/1168483024.db2.gz WLIAPVVRKCOQNX-UHFFFAOYSA-N 0 2 316.379 0.754 20 0 DCADLN Cn1cc(-c2ccc(=O)n(C[N@@H+]3CC[C@@](C)(C(=O)[O-])C3)n2)cn1 ZINC001600923263 1168510492 /nfs/dbraw/zinc/51/04/92/1168510492.db2.gz CIWDFAHPXPTGCZ-OAHLLOKOSA-N 0 2 317.349 0.398 20 0 DCADLN Cn1cc(-c2ccc(=O)n(C[N@H+]3CC[C@@](C)(C(=O)[O-])C3)n2)cn1 ZINC001600923263 1168510498 /nfs/dbraw/zinc/51/04/98/1168510498.db2.gz CIWDFAHPXPTGCZ-OAHLLOKOSA-N 0 2 317.349 0.398 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)Nc2cccc(CC(=O)[O-])n2)c1 ZINC001600988949 1168547174 /nfs/dbraw/zinc/54/71/74/1168547174.db2.gz PJMONLXTGYHRQS-UHFFFAOYSA-N 0 2 303.322 0.806 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)NCCNc1ncc(C(=O)[O-])cn1 ZINC001600993749 1168549830 /nfs/dbraw/zinc/54/98/30/1168549830.db2.gz XDRBNIHQPDHWFI-UHFFFAOYSA-N 0 2 318.337 0.069 20 0 DCADLN Cn1ncc(Br)c1C[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001601019775 1168566640 /nfs/dbraw/zinc/56/66/40/1168566640.db2.gz QDQAZNPKKHABQP-JTQLQIEISA-N 0 2 304.144 0.204 20 0 DCADLN Cn1ncc(Br)c1C[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001601019775 1168566648 /nfs/dbraw/zinc/56/66/48/1168566648.db2.gz QDQAZNPKKHABQP-JTQLQIEISA-N 0 2 304.144 0.204 20 0 DCADLN NC(=O)NC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC001601155157 1168606639 /nfs/dbraw/zinc/60/66/39/1168606639.db2.gz DALVSSYXKMROHG-SECBINFHSA-N 0 2 319.239 0.616 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)CCc1ccc(=O)[nH]c1 ZINC001601287090 1168691741 /nfs/dbraw/zinc/69/17/41/1168691741.db2.gz KHRGNMSXBGVJPG-NSHDSACASA-N 0 2 304.306 0.186 20 0 DCADLN O=C([O-])[C@H](CCC1OCCO1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001601412321 1168736243 /nfs/dbraw/zinc/73/62/43/1168736243.db2.gz GWUXQKHRGXIWHB-QWRGUYRKSA-N 0 2 323.349 0.168 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2nonc2c1 ZINC001601425561 1168742743 /nfs/dbraw/zinc/74/27/43/1168742743.db2.gz DBUUQQXYAIDJBW-NSHDSACASA-N 0 2 301.262 0.303 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2nsnc2c1 ZINC001601564579 1168783328 /nfs/dbraw/zinc/78/33/28/1168783328.db2.gz BKTIBNSAKCKEON-NSHDSACASA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2nsnc2c1 ZINC001601564579 1168783336 /nfs/dbraw/zinc/78/33/36/1168783336.db2.gz BKTIBNSAKCKEON-NSHDSACASA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc2ncccc2o1 ZINC001601568514 1168784559 /nfs/dbraw/zinc/78/45/59/1168784559.db2.gz VXIPVVKRFVEVAQ-SNVBAGLBSA-N 0 2 300.274 0.977 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc2ncccc2o1 ZINC001601568514 1168784561 /nfs/dbraw/zinc/78/45/61/1168784561.db2.gz VXIPVVKRFVEVAQ-SNVBAGLBSA-N 0 2 300.274 0.977 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC001601643842 1168799259 /nfs/dbraw/zinc/79/92/59/1168799259.db2.gz FGDBVYZOEOSQLE-NEPJUHHUSA-N 0 2 302.346 0.559 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC001601643842 1168799266 /nfs/dbraw/zinc/79/92/66/1168799266.db2.gz FGDBVYZOEOSQLE-NEPJUHHUSA-N 0 2 302.346 0.559 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+]1CCOCC(F)(F)C(F)(F)F ZINC001601774124 1168872441 /nfs/dbraw/zinc/87/24/41/1168872441.db2.gz XCBZKSYWGWTABZ-NKWVEPMBSA-N 0 2 307.215 0.720 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)[C@@H]3CCn4cc[nH+]c4C3)C2)C1 ZINC001601740259 1168844998 /nfs/dbraw/zinc/84/49/98/1168844998.db2.gz UFSJSKRDZMWMCB-MEBBXXQBSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+]1CCOCC(F)(F)C(F)(F)F ZINC001601774124 1168872431 /nfs/dbraw/zinc/87/24/31/1168872431.db2.gz XCBZKSYWGWTABZ-NKWVEPMBSA-N 0 2 307.215 0.720 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCCC[N@H+](Cc1cnns1)C1CC1 ZINC001601776836 1168876265 /nfs/dbraw/zinc/87/62/65/1168876265.db2.gz AMDJDAGWSIWOMO-NWDGAFQWSA-N 0 2 324.406 0.730 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCCC[N@@H+](Cc1cnns1)C1CC1 ZINC001601776836 1168876278 /nfs/dbraw/zinc/87/62/78/1168876278.db2.gz AMDJDAGWSIWOMO-NWDGAFQWSA-N 0 2 324.406 0.730 20 0 DCADLN O=C([O-])CC1(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)CCOCC1 ZINC001601916439 1168935074 /nfs/dbraw/zinc/93/50/74/1168935074.db2.gz RJFGGPKWOYKJOR-LBPRGKRZSA-N 0 2 321.377 0.833 20 0 DCADLN O=C([O-])CCN1CC[C@H]([N@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)C1=O ZINC001602010665 1168961776 /nfs/dbraw/zinc/96/17/76/1168961776.db2.gz YZTZQYDAHKMKII-UWVGGRQHSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])CCN1CC[C@H]([N@@H+]2CCC[C@H](C3N=NC(=O)O3)C2)C1=O ZINC001602010665 1168961781 /nfs/dbraw/zinc/96/17/81/1168961781.db2.gz YZTZQYDAHKMKII-UWVGGRQHSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])CCN1CC[C@H]([N@H+]2CCC[C@H](C3N=NC(=O)O3)C2)C1=O ZINC001602010665 1168961784 /nfs/dbraw/zinc/96/17/84/1168961784.db2.gz YZTZQYDAHKMKII-UWVGGRQHSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])CCNC(=O)CCNC(=O)Nc1ccn2cc[nH+]c2c1 ZINC001602020855 1168968901 /nfs/dbraw/zinc/96/89/01/1168968901.db2.gz RVDJLUIXLGXGEG-UHFFFAOYSA-N 0 2 319.321 0.437 20 0 DCADLN O=C([O-])COc1ccc(C(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)cc1 ZINC001602081166 1168991083 /nfs/dbraw/zinc/99/10/83/1168991083.db2.gz KHCGDAUIMZFCAV-LBPRGKRZSA-N 0 2 319.317 0.207 20 0 DCADLN O=C([O-])COc1ccc(C(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)cc1 ZINC001602081166 1168991088 /nfs/dbraw/zinc/99/10/88/1168991088.db2.gz KHCGDAUIMZFCAV-LBPRGKRZSA-N 0 2 319.317 0.207 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@@]2(c3ccccc3)C[C@@H](O)C2)nn1 ZINC001602161807 1169007918 /nfs/dbraw/zinc/00/79/18/1169007918.db2.gz LBRRDRRNWKLWBZ-OTVXOJSOSA-N 0 2 302.334 0.503 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)[C@H](O)c2c[nH+]c[nH]2)C[C@@H]1c1ccccc1 ZINC001602181287 1169020589 /nfs/dbraw/zinc/02/05/89/1169020589.db2.gz YCFOGQLLKTYHCN-YRGRVCCFSA-N 0 2 315.329 0.770 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001602208791 1169030537 /nfs/dbraw/zinc/03/05/37/1169030537.db2.gz XLRZBLFLRMBDRX-XQQFMLRXSA-N 0 2 300.318 0.720 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001602208791 1169030543 /nfs/dbraw/zinc/03/05/43/1169030543.db2.gz XLRZBLFLRMBDRX-XQQFMLRXSA-N 0 2 300.318 0.720 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)o1 ZINC001602319119 1169057069 /nfs/dbraw/zinc/05/70/69/1169057069.db2.gz JSRSYMDHHJGHJL-NSHDSACASA-N 0 2 308.334 0.915 20 0 DCADLN O=C([O-])c1ncoc1CCC(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC001602581403 1169125881 /nfs/dbraw/zinc/12/58/81/1169125881.db2.gz UPSNHWVVSBKNEL-UHFFFAOYSA-N 0 2 317.301 0.990 20 0 DCADLN O=C([O-])c1cnc(C2CC[NH+]([C@H]3CC(=O)NC3=O)CC2)s1 ZINC001602637866 1169139688 /nfs/dbraw/zinc/13/96/88/1169139688.db2.gz UZKBXCDZEQZGDL-QMMMGPOBSA-N 0 2 309.347 0.436 20 0 DCADLN CC(C)(C)c1nc(Cn2cnc(-c3nn[nH]n3)cc2=O)no1 ZINC001603123787 1169248924 /nfs/dbraw/zinc/24/89/24/1169248924.db2.gz GFYHOEQSMPKEKU-UHFFFAOYSA-N 0 2 302.298 0.152 20 0 DCADLN C[C@H](C(=O)NC1CC1)N1CC[NH+](Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC001603155393 1169259409 /nfs/dbraw/zinc/25/94/09/1169259409.db2.gz IUPDQTBYBCGERE-LLVKDONJSA-N 0 2 320.393 0.498 20 0 DCADLN C[C@H](C(=O)NC1CC1)[NH+]1CCN(Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC001603155393 1169259418 /nfs/dbraw/zinc/25/94/18/1169259418.db2.gz IUPDQTBYBCGERE-LLVKDONJSA-N 0 2 320.393 0.498 20 0 DCADLN C[C@H](C(=O)Nc1ccc(F)cc1F)[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001603178771 1169267088 /nfs/dbraw/zinc/26/70/88/1169267088.db2.gz CVZABTFMLMDILE-CLAHSXSESA-N 0 2 314.288 0.813 20 0 DCADLN C[C@H](C(=O)Nc1ccc(F)cc1F)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001603178771 1169267098 /nfs/dbraw/zinc/26/70/98/1169267098.db2.gz CVZABTFMLMDILE-CLAHSXSESA-N 0 2 314.288 0.813 20 0 DCADLN CC(C)[C@@H](O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001603232895 1169278928 /nfs/dbraw/zinc/27/89/28/1169278928.db2.gz PYNCRJWBBQMSCF-LBPRGKRZSA-N 0 2 303.366 0.924 20 0 DCADLN CC(C)c1[nH][nH]c(=O)c1C(=O)Nc1cccc(-c2nn[nH]n2)c1 ZINC001603240161 1169281231 /nfs/dbraw/zinc/28/12/31/1169281231.db2.gz AAXZAEFWFQVXOU-SNVBAGLBSA-N 0 2 313.321 0.563 20 0 DCADLN CC(C)(CNC(=O)NC(C)(C)C[NH+]1CCOCC1)CC(=O)[O-] ZINC001603630991 1169336617 /nfs/dbraw/zinc/33/66/17/1169336617.db2.gz SOEFTCLPRHBQBV-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN CC(C)[C@@H]1C[C@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCO1 ZINC001603750756 1169376291 /nfs/dbraw/zinc/37/62/91/1169376291.db2.gz RNXWBZREDHLLJN-WXHSDQCUSA-N 0 2 309.366 0.973 20 0 DCADLN CC(C)[C@@H]1C[C@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCO1 ZINC001603750756 1169376304 /nfs/dbraw/zinc/37/63/04/1169376304.db2.gz RNXWBZREDHLLJN-WXHSDQCUSA-N 0 2 309.366 0.973 20 0 DCADLN C[C@H]1CCC[C@@H](Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)C1 ZINC001603887599 1169423706 /nfs/dbraw/zinc/42/37/06/1169423706.db2.gz RXMCSZQWAMXGGU-VHSXEESVSA-N 0 2 304.354 0.553 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n(C)n1 ZINC001603988461 1169465138 /nfs/dbraw/zinc/46/51/38/1169465138.db2.gz TUKRRBOKWVLPJF-NSHDSACASA-N 0 2 305.338 0.623 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NCC(C)(C)CC(=O)[O-])[NH+]1CCOCC1 ZINC001604289438 1169549477 /nfs/dbraw/zinc/54/94/77/1169549477.db2.gz FBKDQZPURDXSQO-NWDGAFQWSA-N 0 2 315.414 0.896 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)c2coc(-c3nn[nH]n3)c2)n1 ZINC001604389909 1169580116 /nfs/dbraw/zinc/58/01/16/1169580116.db2.gz ICCMKXLYLBQWQP-UHFFFAOYSA-N 0 2 317.265 0.612 20 0 DCADLN CCOC1CCN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)CC1 ZINC001604412665 1169587151 /nfs/dbraw/zinc/58/71/51/1169587151.db2.gz WQFQJXGWTDKNCS-UHFFFAOYSA-N 0 2 318.381 0.795 20 0 DCADLN C[C@@]1(CCC(=O)[O-])NC(=O)N(C[NH+]2CCC(C)(F)CC2)C1=O ZINC001604585941 1169623864 /nfs/dbraw/zinc/62/38/64/1169623864.db2.gz RLMWXSBFNNJQOY-AWEZNQCLSA-N 0 2 315.345 0.943 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H](CF)C(=O)[O-])[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC001604784458 1169681312 /nfs/dbraw/zinc/68/13/12/1169681312.db2.gz XXDXEALUBFLHDA-KKOKHZNYSA-N 0 2 304.362 0.659 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NC[C@H](CC(=O)[O-])C2CC2)CCO1 ZINC001604795337 1169686681 /nfs/dbraw/zinc/68/66/81/1169686681.db2.gz AUUXJXCAUKXSQM-AAEUAGOBSA-N 0 2 313.398 0.507 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NC[C@H](CC(=O)[O-])C2CC2)CCO1 ZINC001604795337 1169686684 /nfs/dbraw/zinc/68/66/84/1169686684.db2.gz AUUXJXCAUKXSQM-AAEUAGOBSA-N 0 2 313.398 0.507 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)C[C@H]1CC(=O)[O-] ZINC001604820047 1169696894 /nfs/dbraw/zinc/69/68/94/1169696894.db2.gz MZBQIZQOJQRJIL-FRRDWIJNSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCOC[C@@H]2C)C[C@H]1CC(=O)[O-] ZINC001604820047 1169696898 /nfs/dbraw/zinc/69/68/98/1169696898.db2.gz MZBQIZQOJQRJIL-FRRDWIJNSA-N 0 2 313.398 0.459 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)N(C)C)C(=O)[O-] ZINC001604932373 1169752431 /nfs/dbraw/zinc/75/24/31/1169752431.db2.gz NGZFJGDEHWLJLC-NTZNESFSSA-N 0 2 313.398 0.155 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)N(C)C)C(=O)[O-] ZINC001604932373 1169752434 /nfs/dbraw/zinc/75/24/34/1169752434.db2.gz NGZFJGDEHWLJLC-NTZNESFSSA-N 0 2 313.398 0.155 20 0 DCADLN CO[C@H](Cn1ccc(C)c(-c2nn[nH]n2)c1=O)[C@@H]1CCOC1 ZINC001605012600 1169778250 /nfs/dbraw/zinc/77/82/50/1169778250.db2.gz PPYDPDNEKYFGKY-GHMZBOCLSA-N 0 2 305.338 0.388 20 0 DCADLN CC[C@H](C[NH+]1CCCC1)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001605025097 1169784908 /nfs/dbraw/zinc/78/49/08/1169784908.db2.gz PWMBLBPXDTXEBU-SECBINFHSA-N 0 2 317.367 0.526 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CC2CCC2)c(=O)n1C1CC1 ZINC001605462560 1169929754 /nfs/dbraw/zinc/92/97/54/1169929754.db2.gz GFQNTVDATNRCEY-UHFFFAOYSA-N 0 2 302.338 0.634 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)[C@H](C)C1 ZINC001605482031 1169935097 /nfs/dbraw/zinc/93/50/97/1169935097.db2.gz NIALLWYVAYDATQ-LLVKDONJSA-N 0 2 304.412 0.597 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)[C@H](C)C1 ZINC001605482031 1169935098 /nfs/dbraw/zinc/93/50/98/1169935098.db2.gz NIALLWYVAYDATQ-LLVKDONJSA-N 0 2 304.412 0.597 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC001605495061 1169938972 /nfs/dbraw/zinc/93/89/72/1169938972.db2.gz MYBYSFDYTOOGJL-CYBMUJFWSA-N 0 2 321.333 0.183 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CCNC(=O)CC2)c1 ZINC001605510979 1169945387 /nfs/dbraw/zinc/94/53/87/1169945387.db2.gz QYQWAIDBLBKLTA-SNVBAGLBSA-N 0 2 302.338 0.253 20 0 DCADLN CCOC(=O)[C@@H]1C[N@@H+]([C@H](C)c2nc(C(=O)[O-])co2)CC12COC2 ZINC001605525848 1169947881 /nfs/dbraw/zinc/94/78/81/1169947881.db2.gz DVRWVNPTOYFVIU-ZJUUUORDSA-N 0 2 324.333 0.945 20 0 DCADLN CCOC(=O)[C@@H]1C[N@H+]([C@H](C)c2nc(C(=O)[O-])co2)CC12COC2 ZINC001605525848 1169947887 /nfs/dbraw/zinc/94/78/87/1169947887.db2.gz DVRWVNPTOYFVIU-ZJUUUORDSA-N 0 2 324.333 0.945 20 0 DCADLN CCOCCNC(=O)C[NH2+][C@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC001605637197 1169979369 /nfs/dbraw/zinc/97/93/69/1169979369.db2.gz OXNMNMZZZPLGIZ-HNNXBMFYSA-N 0 2 322.361 0.490 20 0 DCADLN CCc1noc(CC)c1CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001605877008 1170019489 /nfs/dbraw/zinc/01/94/89/1170019489.db2.gz WZYYDZXZYQVERG-GFCCVEGCSA-N 0 2 320.349 0.808 20 0 DCADLN CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@@](F)(C(=O)[O-])C1 ZINC001605912469 1170025339 /nfs/dbraw/zinc/02/53/39/1170025339.db2.gz ULRCUURUPBZUNP-AWEZNQCLSA-N 0 2 322.344 0.625 20 0 DCADLN Cc1ccn(CN(C)C[C@@H]2CCCO2)c(=O)c1-c1nn[nH]n1 ZINC001605948792 1170031225 /nfs/dbraw/zinc/03/12/25/1170031225.db2.gz GXXAWLDWPSVUNW-NSHDSACASA-N 0 2 304.354 0.405 20 0 DCADLN Cc1ccn(CCCCS(C)(=O)=O)c(=O)c1-c1nn[nH]n1 ZINC001605949594 1170032796 /nfs/dbraw/zinc/03/27/96/1170032796.db2.gz SKKBBXWGBYLSSD-UHFFFAOYSA-N 0 2 311.367 0.162 20 0 DCADLN CN(C(=O)[C@H]1CCn2cc[nH+]c2C1)[C@@H](COC1CCC1)C(=O)[O-] ZINC001605956741 1170035586 /nfs/dbraw/zinc/03/55/86/1170035586.db2.gz XHCHVRSEJPIDFD-AAEUAGOBSA-N 0 2 321.377 0.926 20 0 DCADLN C[NH+](C)Cc1nc(CNS(=O)(=O)C2(C(=O)[O-])CCC2)co1 ZINC001606036918 1170062830 /nfs/dbraw/zinc/06/28/30/1170062830.db2.gz HPVMLWONXMKZCJ-UHFFFAOYSA-N 0 2 317.367 0.163 20 0 DCADLN CNC(=O)[C@@H]1CCC[C@@H]1[NH2+]Cc1noc(CCCC(=O)[O-])n1 ZINC001606262006 1170139296 /nfs/dbraw/zinc/13/92/96/1170139296.db2.gz JHUXDCSXKDSAEZ-ZJUUUORDSA-N 0 2 310.354 0.481 20 0 DCADLN COC(=O)[C@H](c1cccc(C(=O)[O-])c1)[N@@H+]1C[C@@H]2COC(=O)[C@@H]2C1 ZINC001606344248 1170164266 /nfs/dbraw/zinc/16/42/66/1170164266.db2.gz NYSBTEBOXZGBNU-UPJWGTAASA-N 0 2 319.313 0.704 20 0 DCADLN COC(=O)[C@H](c1cccc(C(=O)[O-])c1)[N@H+]1C[C@@H]2COC(=O)[C@@H]2C1 ZINC001606344248 1170164269 /nfs/dbraw/zinc/16/42/69/1170164269.db2.gz NYSBTEBOXZGBNU-UPJWGTAASA-N 0 2 319.313 0.704 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)CCCn1cc[nH+]c1 ZINC001606384123 1170176565 /nfs/dbraw/zinc/17/65/65/1170176565.db2.gz NBMBIMZXDQJGEQ-RYUDHWBXSA-N 0 2 323.349 0.528 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@@H+]2CCC[C@H](C3N=NC(=O)O3)C2)CCC1 ZINC001606709126 1170270697 /nfs/dbraw/zinc/27/06/97/1170270697.db2.gz VOOGAFSPKATQDF-UWVGGRQHSA-N 0 2 311.338 0.977 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@H+]2CCC[C@H](C3N=NC(=O)O3)C2)CCC1 ZINC001606709126 1170270706 /nfs/dbraw/zinc/27/07/06/1170270706.db2.gz VOOGAFSPKATQDF-UWVGGRQHSA-N 0 2 311.338 0.977 20 0 DCADLN COCCN1CCC[N@H+](Cc2cc(C(=O)[O-])n(C)c2)CC1=O ZINC001606895327 1170326173 /nfs/dbraw/zinc/32/61/73/1170326173.db2.gz JZESHHFTVIEEDR-UHFFFAOYSA-N 0 2 309.366 0.404 20 0 DCADLN COCCN1CCC[N@@H+](Cc2cc(C(=O)[O-])n(C)c2)CC1=O ZINC001606895327 1170326178 /nfs/dbraw/zinc/32/61/78/1170326178.db2.gz JZESHHFTVIEEDR-UHFFFAOYSA-N 0 2 309.366 0.404 20 0 DCADLN COCc1cccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001606963739 1170340349 /nfs/dbraw/zinc/34/03/49/1170340349.db2.gz TYDGFSFYLWGLTR-ZDUSSCGKSA-N 0 2 303.318 0.913 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@H]1COc2ccccc2O1 ZINC001607090146 1170386441 /nfs/dbraw/zinc/38/64/41/1170386441.db2.gz YFVCYNNOPNRRPJ-VIFPVBQESA-N 0 2 312.289 0.263 20 0 DCADLN COc1cc[nH+]cc1Cn1c(C)nnc1N1CC[C@H](C(=O)[O-])C1 ZINC001607350990 1170433633 /nfs/dbraw/zinc/43/36/33/1170433633.db2.gz WXRSZMUHYGANSZ-NSHDSACASA-N 0 2 317.349 0.949 20 0 DCADLN C[C@@H]1CN(C(=O)[C@H]([NH3+])c2c(F)cccc2F)C[C@@H](C(=O)[O-])O1 ZINC001607430412 1170451089 /nfs/dbraw/zinc/45/10/89/1170451089.db2.gz WSZHQTWETXCVCX-INNHCVQGSA-N 0 2 314.288 0.665 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)NCC(=O)c1cccs1 ZINC001607946404 1170531141 /nfs/dbraw/zinc/53/11/41/1170531141.db2.gz LASVNMGHNSRAND-UHFFFAOYSA-N 0 2 307.331 0.950 20 0 DCADLN Cc1nc(N(C)C2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC001607986769 1170540584 /nfs/dbraw/zinc/54/05/84/1170540584.db2.gz LXSALOWVOJMIKT-CHWSQXEVSA-N 0 2 318.377 0.933 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC001608136654 1170589533 /nfs/dbraw/zinc/58/95/33/1170589533.db2.gz DLKRGOPFDJRLCP-IINYFYTJSA-N 0 2 308.338 0.053 20 0 DCADLN Cn1c[nH+]c(CCN2C(=O)[C@@H]3CC[C@@H](C(=O)[O-])CN3C2=O)c1 ZINC001608135907 1170589624 /nfs/dbraw/zinc/58/96/24/1170589624.db2.gz YCKVUMWHVKRFDA-KOLCDFICSA-N 0 2 306.322 0.090 20 0 DCADLN NC(=O)C[N@H+](CC(=O)Nc1cccc(C(=O)[O-])n1)C1CCCC1 ZINC001608235247 1170624163 /nfs/dbraw/zinc/62/41/63/1170624163.db2.gz XJOGKVIYURPBCD-UHFFFAOYSA-N 0 2 320.349 0.448 20 0 DCADLN NC(=O)C[N@@H+](CC(=O)Nc1cccc(C(=O)[O-])n1)C1CCCC1 ZINC001608235247 1170624164 /nfs/dbraw/zinc/62/41/64/1170624164.db2.gz XJOGKVIYURPBCD-UHFFFAOYSA-N 0 2 320.349 0.448 20 0 DCADLN O=C(C[C@H](n1cc[nH+]c1)C(F)(F)F)N[C@@]1(C(=O)[O-])C[C@@H](O)C1 ZINC001608290041 1170642421 /nfs/dbraw/zinc/64/24/21/1170642421.db2.gz KPRFASOITOXKCT-DKCNOQQISA-N 0 2 321.255 0.471 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)C[C@H]1Cc2ccccc2O1 ZINC001608299042 1170644940 /nfs/dbraw/zinc/64/49/40/1170644940.db2.gz AKMAMWPEVDZWFP-OLZOCXBDSA-N 0 2 315.329 0.846 20 0 DCADLN O=C([O-])c1ncoc1COCC(=O)N[C@H]1CCc2c[nH+]cn2C1 ZINC001608348584 1170657883 /nfs/dbraw/zinc/65/78/83/1170657883.db2.gz VTFWENLPIDVCOT-VIFPVBQESA-N 0 2 320.305 0.217 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC001608369955 1170660510 /nfs/dbraw/zinc/66/05/10/1170660510.db2.gz OYQPVJRTLLHOCX-ZDUSSCGKSA-N 0 2 303.318 0.036 20 0 DCADLN O=C([O-])c1cnn(CC(=O)NCc2[nH]c3c([nH+]2)CCCC3)c1 ZINC001608375536 1170662146 /nfs/dbraw/zinc/66/21/46/1170662146.db2.gz BNHQAKYVJDAGSI-UHFFFAOYSA-N 0 2 303.322 0.500 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ncnc2sccc21 ZINC001608401093 1170669336 /nfs/dbraw/zinc/66/93/36/1170669336.db2.gz BBVPCONYDVKUQN-VIFPVBQESA-N 0 2 317.330 0.771 20 0 DCADLN O=C([O-])c1cn(CCNC(=O)Nc2ccn3cc[nH+]c3c2)nn1 ZINC001608455894 1170682554 /nfs/dbraw/zinc/68/25/54/1170682554.db2.gz IITYUWKNMYAGKC-UHFFFAOYSA-N 0 2 315.293 0.446 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc2c(cn1)OCCC2 ZINC001608485916 1170688820 /nfs/dbraw/zinc/68/88/20/1170688820.db2.gz HRDJORPYYOPQDP-LBPRGKRZSA-N 0 2 316.317 0.555 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc2c(cn1)OCCC2 ZINC001608485916 1170688826 /nfs/dbraw/zinc/68/88/26/1170688826.db2.gz HRDJORPYYOPQDP-LBPRGKRZSA-N 0 2 316.317 0.555 20 0 DCADLN O=C([O-])[C@@H]1CC[C@@H]1C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001608524623 1170696029 /nfs/dbraw/zinc/69/60/29/1170696029.db2.gz ONGKCQINPQUWSY-QWHCGFSZSA-N 0 2 322.365 0.265 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC001608554406 1170700606 /nfs/dbraw/zinc/70/06/06/1170700606.db2.gz PXEZGNQRZIHKRH-AAEUAGOBSA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])C1(S(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)CCC1 ZINC001608579498 1170707454 /nfs/dbraw/zinc/70/74/54/1170707454.db2.gz KJFRHDURCOVVIP-JTQLQIEISA-N 0 2 313.379 0.926 20 0 DCADLN O=C([O-])[C@H]1[C@@H](C[NH+]2CCN(S(=O)(=O)C3CC3)CC2)C1(F)F ZINC001608599241 1170712235 /nfs/dbraw/zinc/71/22/35/1170712235.db2.gz RNONSMKCRSRECW-NXEZZACHSA-N 0 2 324.349 0.062 20 0 DCADLN O=C([O-])[C@H]1CCN2C(=O)N(CCCCn3cc[nH+]c3)C(=O)[C@H]2C1 ZINC001608640772 1170728729 /nfs/dbraw/zinc/72/87/29/1170728729.db2.gz ZIRQOLVLEMSURZ-NWDGAFQWSA-N 0 2 320.349 0.791 20 0 DCADLN O=C([O-])CC1(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC001608677494 1170735597 /nfs/dbraw/zinc/73/55/97/1170735597.db2.gz AIMDAXYOMNBLBI-GFCCVEGCSA-N 0 2 321.377 0.833 20 0 DCADLN O=C([O-])CCNC(=O)C[N@@H+]1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC001608728995 1170745678 /nfs/dbraw/zinc/74/56/78/1170745678.db2.gz DQJWBIOSHHLJBK-NSHDSACASA-N 0 2 321.381 0.452 20 0 DCADLN O=C([O-])CCNC(=O)C[N@H+]1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC001608728995 1170745679 /nfs/dbraw/zinc/74/56/79/1170745679.db2.gz DQJWBIOSHHLJBK-NSHDSACASA-N 0 2 321.381 0.452 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N[C@H]2CCN(C3CCOCC3)C2=O)c1 ZINC001608791806 1170754879 /nfs/dbraw/zinc/75/48/79/1170754879.db2.gz ZMULZDMZKPOVCE-ZDUSSCGKSA-N 0 2 319.361 0.901 20 0 DCADLN O=C([O-])c1c(NC(=O)[C@H]2CCc3[nH+]ccn3C2)nc2n1CCC2 ZINC001608830038 1170763419 /nfs/dbraw/zinc/76/34/19/1170763419.db2.gz YACLLMMGGNWPFM-VIFPVBQESA-N 0 2 315.333 0.925 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCOC[C@H]2C[C@@H]2CCOC2)cn1 ZINC001608971260 1170778274 /nfs/dbraw/zinc/77/82/74/1170778274.db2.gz CFIUQZJAGJVLQC-WCQYABFASA-N 0 2 307.350 0.802 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCOC[C@H]2C[C@@H]2CCOC2)cn1 ZINC001608971260 1170778275 /nfs/dbraw/zinc/77/82/75/1170778275.db2.gz CFIUQZJAGJVLQC-WCQYABFASA-N 0 2 307.350 0.802 20 0 DCADLN O=C([O-])c1cncc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)c1 ZINC001608981161 1170780381 /nfs/dbraw/zinc/78/03/81/1170780381.db2.gz HDRFMDMUMHBVBJ-UHFFFAOYSA-N 0 2 317.349 0.376 20 0 DCADLN O=Cc1ccc(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC001609049522 1170792757 /nfs/dbraw/zinc/79/27/57/1170792757.db2.gz VWCVBZVALVFZLK-CYBMUJFWSA-N 0 2 301.302 0.577 20 0 DCADLN O=Cc1ccc(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001609049522 1170792761 /nfs/dbraw/zinc/79/27/61/1170792761.db2.gz VWCVBZVALVFZLK-CYBMUJFWSA-N 0 2 301.302 0.577 20 0 DCADLN CC(C)[C@@]1(C)C[C@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001609089838 1170807698 /nfs/dbraw/zinc/80/76/98/1170807698.db2.gz GTWPYZZBCQKAHC-XLKFXECMSA-N 0 2 319.369 0.111 20 0 DCADLN Cc1nn(COC(=O)C(C)(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC001609459620 1170984745 /nfs/dbraw/zinc/98/47/45/1170984745.db2.gz PEEXFFALEIFSRV-UHFFFAOYSA-N 0 2 306.326 0.587 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(N[C@@H]2CC23CCCC3)n(C)c1=O ZINC001609476655 1170991156 /nfs/dbraw/zinc/99/11/56/1170991156.db2.gz PHFKFMSRBUNEBO-MRVPVSSYSA-N 0 2 317.353 0.009 20 0 DCADLN O=C(NCc1ccc(-c2nn[nH]n2)cn1)C(F)C(F)(F)F ZINC001609554591 1171018965 /nfs/dbraw/zinc/01/89/65/1171018965.db2.gz YKQMNPRGPXEOLK-SSDOTTSWSA-N 0 2 304.207 0.778 20 0 DCADLN O=C(NCc1ccc(-c2nn[nH]n2)cn1)[C@@H](F)C(F)(F)F ZINC001609554591 1171018973 /nfs/dbraw/zinc/01/89/73/1171018973.db2.gz YKQMNPRGPXEOLK-SSDOTTSWSA-N 0 2 304.207 0.778 20 0 DCADLN CC(C)(C)OC(=O)CCC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001609659336 1171062543 /nfs/dbraw/zinc/06/25/43/1171062543.db2.gz MEYDKDCDMKDCLY-SNVBAGLBSA-N 0 2 311.338 0.574 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-])C1CC1 ZINC001609725278 1171075590 /nfs/dbraw/zinc/07/55/90/1171075590.db2.gz VZJAHLDJOQRJBU-WDBKCZKBSA-N 0 2 310.394 0.686 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-])C1CC1 ZINC001609725278 1171075596 /nfs/dbraw/zinc/07/55/96/1171075596.db2.gz VZJAHLDJOQRJBU-WDBKCZKBSA-N 0 2 310.394 0.686 20 0 DCADLN C[C@H]1C[N@H+](C[C@H](O)COc2ccc(C(=O)[O-])cc2)CC(=O)N1C ZINC001609871750 1171111764 /nfs/dbraw/zinc/11/17/64/1171111764.db2.gz XNPWJYFPWXQBOT-AAEUAGOBSA-N 0 2 322.361 0.287 20 0 DCADLN C[C@H]1C[N@@H+](C[C@H](O)COc2ccc(C(=O)[O-])cc2)CC(=O)N1C ZINC001609871750 1171111765 /nfs/dbraw/zinc/11/17/65/1171111765.db2.gz XNPWJYFPWXQBOT-AAEUAGOBSA-N 0 2 322.361 0.287 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1Cc1ccc(C(N)=O)cc1 ZINC001610164434 1171183710 /nfs/dbraw/zinc/18/37/10/1171183710.db2.gz OOSJKIXBIAITGD-STQMWFEESA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1Cc1ccc(C(N)=O)cc1 ZINC001610164434 1171183712 /nfs/dbraw/zinc/18/37/12/1171183712.db2.gz OOSJKIXBIAITGD-STQMWFEESA-N 0 2 320.345 0.624 20 0 DCADLN COc1ccc(CN(C)C(=O)C[N@@H+]2C[C@@H](O)C[C@@H]2C(=O)[O-])cc1 ZINC001610330878 1171220985 /nfs/dbraw/zinc/22/09/85/1171220985.db2.gz XFHZGUDPOSDDGG-GXTWGEPZSA-N 0 2 322.361 0.173 20 0 DCADLN COc1ccc(CN(C)C(=O)C[N@H+]2C[C@@H](O)C[C@@H]2C(=O)[O-])cc1 ZINC001610330878 1171220991 /nfs/dbraw/zinc/22/09/91/1171220991.db2.gz XFHZGUDPOSDDGG-GXTWGEPZSA-N 0 2 322.361 0.173 20 0 DCADLN COc1ncc(C=CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001610371392 1171226592 /nfs/dbraw/zinc/22/65/92/1171226592.db2.gz WGSJCDHWLGBRFT-QUCGXOGASA-N 0 2 317.305 0.034 20 0 DCADLN COc1ncc(C=CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001610371392 1171226598 /nfs/dbraw/zinc/22/65/98/1171226598.db2.gz WGSJCDHWLGBRFT-QUCGXOGASA-N 0 2 317.305 0.034 20 0 DCADLN Cc1cc(=O)[nH]c([C@@H](C)[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610475546 1171240940 /nfs/dbraw/zinc/24/09/40/1171240940.db2.gz BBJLZDBZYFYBNQ-ZJUUUORDSA-N 0 2 318.337 0.788 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1Cc1nnc(S(C)(=O)=O)s1 ZINC001610552023 1171253354 /nfs/dbraw/zinc/25/33/54/1171253354.db2.gz GYTMMGXTPFVFML-UHFFFAOYSA-N 0 2 302.337 0.193 20 0 DCADLN Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CC[C@@](F)(C(=O)[O-])C2)C1 ZINC001610611615 1171267300 /nfs/dbraw/zinc/26/73/00/1171267300.db2.gz MRUSRYVPAMZVSH-HZMBPMFUSA-N 0 2 310.329 0.192 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1Cc1ccc(Cl)cc1-n1cncn1 ZINC001610733949 1171301390 /nfs/dbraw/zinc/30/13/90/1171301390.db2.gz CCBRWSPZANROHP-DGCLKSJQSA-N 0 2 322.752 0.941 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1Cc1ccc(Cl)cc1-n1cncn1 ZINC001610733949 1171301397 /nfs/dbraw/zinc/30/13/97/1171301397.db2.gz CCBRWSPZANROHP-DGCLKSJQSA-N 0 2 322.752 0.941 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1C[C@@H](O)c1ccc(Cl)cc1 ZINC001610762309 1171314474 /nfs/dbraw/zinc/31/44/74/1171314474.db2.gz XNIVGGNAWKQWMS-VXGBXAGGSA-N 0 2 312.753 0.649 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1C[C@@H](O)c1ccc(Cl)cc1 ZINC001610762309 1171314489 /nfs/dbraw/zinc/31/44/89/1171314489.db2.gz XNIVGGNAWKQWMS-VXGBXAGGSA-N 0 2 312.753 0.649 20 0 DCADLN O=C([O-])c1cncc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)c1 ZINC001610861871 1171343218 /nfs/dbraw/zinc/34/32/18/1171343218.db2.gz IJNNAKZNCGHFOU-AWEZNQCLSA-N 0 2 319.361 0.717 20 0 DCADLN Cn1nncc1C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635456055 1171703423 /nfs/dbraw/zinc/70/34/23/1171703423.db2.gz MZFMCIYOMZWIGK-JTQLQIEISA-N 0 2 313.321 0.353 20 0 DCADLN O=C(Cn1cncn1)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635454832 1171703461 /nfs/dbraw/zinc/70/34/61/1171703461.db2.gz BBUYORXUHIXZJA-NSHDSACASA-N 0 2 313.321 0.202 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)Nn3cnnc3)cc2[nH]c1=S ZINC001637329637 1171763791 /nfs/dbraw/zinc/76/37/91/1171763791.db2.gz ZTWHDWUGKZJUGC-UHFFFAOYSA-N 0 2 316.346 0.681 20 0 DCADLN Nc1cn[nH]c1[C@H]1CCN(C(=O)C(=O)c2ccc(O)cc2)C1 ZINC001637395870 1171765152 /nfs/dbraw/zinc/76/51/52/1171765152.db2.gz MOOKBTBBDDFNEE-JTQLQIEISA-N 0 2 300.318 0.896 20 0 DCADLN O=C(NCc1cc(O)[nH]c(=S)n1)c1nccn2ccnc12 ZINC001644268515 1172002349 /nfs/dbraw/zinc/00/23/49/1172002349.db2.gz BAAUQXASKHEDRR-UHFFFAOYSA-N 0 2 302.319 0.444 20 0 DCADLN CCC/C=C/[C@H](O)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001645355064 1172039903 /nfs/dbraw/zinc/03/99/03/1172039903.db2.gz LJSGJSZJIPNWPY-MLRMMBSGSA-N 0 2 309.366 0.914 20 0 DCADLN O=C(C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1)c1ccc(O)cc1 ZINC001645346121 1172040126 /nfs/dbraw/zinc/04/01/26/1172040126.db2.gz GHOHRMWQBTUIRQ-SNVBAGLBSA-N 0 2 315.333 0.569 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)c2c(C(=O)[O-])cnn2C)CC1 ZINC001646227907 1172296909 /nfs/dbraw/zinc/29/69/09/1172296909.db2.gz GDOBJAGBQVBQJR-UHFFFAOYSA-N 0 2 324.381 0.301 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)C(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001646249436 1172304996 /nfs/dbraw/zinc/30/49/96/1172304996.db2.gz VSRYBNAUPQQCDZ-SECBINFHSA-N 0 2 313.379 0.677 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC001647254895 1172768180 /nfs/dbraw/zinc/76/81/80/1172768180.db2.gz RPDIWLXHAJKWKT-NSHDSACASA-N 0 2 302.352 0.491 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC001647254895 1172768185 /nfs/dbraw/zinc/76/81/85/1172768185.db2.gz RPDIWLXHAJKWKT-NSHDSACASA-N 0 2 302.352 0.491 20 0 DCADLN Cn1ncc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1C1CC1 ZINC001647338646 1172824237 /nfs/dbraw/zinc/82/42/37/1172824237.db2.gz WUYDZLRJDAMIDC-UHFFFAOYSA-N 0 2 313.321 0.321 20 0 DCADLN Cc1nc(C)c(C[NH+]2CCN([C@]3(C(=O)[O-])CCOC3)CC2)o1 ZINC001647408671 1172867617 /nfs/dbraw/zinc/86/76/17/1172867617.db2.gz HOJQBSZCAAJNCZ-OAHLLOKOSA-N 0 2 309.366 0.653 20 0 DCADLN O=c1ncnc2n(Cc3nc([C@H]4CCCOC4)no3)[nH]cc1-2 ZINC001649774600 1173215734 /nfs/dbraw/zinc/21/57/34/1173215734.db2.gz RWZKQHOZZUVTNY-QMMMGPOBSA-N 0 2 302.294 0.397 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2C[C@@H](C(=O)[O-])CC[C@H]2C)CCO1 ZINC001650320192 1173564947 /nfs/dbraw/zinc/56/49/47/1173564947.db2.gz BWRSWXXLWTYHGV-UPJWGTAASA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2C[C@@H](C(=O)[O-])CC[C@H]2C)CCO1 ZINC001650320192 1173564959 /nfs/dbraw/zinc/56/49/59/1173564959.db2.gz BWRSWXXLWTYHGV-UPJWGTAASA-N 0 2 313.398 0.602 20 0 DCADLN O=C(c1ccc2n[nH]nc2c1)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001651874913 1173757758 /nfs/dbraw/zinc/75/77/58/1173757758.db2.gz SQSJGAPTCHCOBD-SECBINFHSA-N 0 2 312.337 0.566 20 0 DCADLN O=C(C=Cc1ccco1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001660801770 1174051416 /nfs/dbraw/zinc/05/14/16/1174051416.db2.gz GSFMKIBZBJZJFX-ISALQUGTSA-N 0 2 317.349 0.897 20 0 DCADLN C[C@]1(NC(=O)C=Cc2ccco2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001671608063 1175021833 /nfs/dbraw/zinc/02/18/33/1175021833.db2.gz FTPFTYAWRJSUDY-XVWMLYKFSA-N 0 2 317.349 0.897 20 0 DCADLN C[C@]1(NC(=O)C=Cc2ccco2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001671608063 1175021837 /nfs/dbraw/zinc/02/18/37/1175021837.db2.gz FTPFTYAWRJSUDY-XVWMLYKFSA-N 0 2 317.349 0.897 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCNC(=O)C1CC(F)(F)C1 ZINC001686526499 1176138841 /nfs/dbraw/zinc/13/88/41/1176138841.db2.gz YLJRGOLOUGJKLK-UHFFFAOYSA-N 0 2 300.309 0.538 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)ncn1 ZINC001686539449 1176145746 /nfs/dbraw/zinc/14/57/46/1176145746.db2.gz XULBTWQZYULFOB-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001686539449 1176145751 /nfs/dbraw/zinc/14/57/51/1176145751.db2.gz XULBTWQZYULFOB-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN COc1nccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001720130399 1178681668 /nfs/dbraw/zinc/68/16/68/1178681668.db2.gz RZDVPBOJUWOIJW-SSDOTTSWSA-N 0 2 324.234 0.232 20 0 DCADLN COc1nccc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001720130399 1178681669 /nfs/dbraw/zinc/68/16/69/1178681669.db2.gz RZDVPBOJUWOIJW-SSDOTTSWSA-N 0 2 324.234 0.232 20 0 DCADLN COCCO[C@@H](C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720130772 1178721292 /nfs/dbraw/zinc/72/12/92/1178721292.db2.gz ZALKUSZDLZOVIT-YUMQZZPRSA-N 0 2 318.267 0.171 20 0 DCADLN COCCO[C@@H](C)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001720130772 1178721296 /nfs/dbraw/zinc/72/12/96/1178721296.db2.gz ZALKUSZDLZOVIT-YUMQZZPRSA-N 0 2 318.267 0.171 20 0 DCADLN COCC(=O)NCCOCCN(C)C(=O)C(F)C(F)(F)F ZINC001701530972 1179057600 /nfs/dbraw/zinc/05/76/00/1179057600.db2.gz ZGNPTHWRFZPZHT-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN COCC(=O)NCCOCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001701530972 1179057607 /nfs/dbraw/zinc/05/76/07/1179057607.db2.gz ZGNPTHWRFZPZHT-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCNC(=O)[C@H]1CCO[C@@H](C)C1 ZINC001703278679 1179439720 /nfs/dbraw/zinc/43/97/20/1179439720.db2.gz YVYOUMULUNXUQL-JQWIXIFHSA-N 0 2 308.382 0.308 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001703325070 1179471804 /nfs/dbraw/zinc/47/18/04/1179471804.db2.gz AXBKVBOKDXPAMT-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)n(C)n1 ZINC001703325070 1179471805 /nfs/dbraw/zinc/47/18/05/1179471805.db2.gz AXBKVBOKDXPAMT-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN CN(CCCNC(=O)Cn1cccn1)C(=O)C(F)C(F)(F)F ZINC001703541357 1179579196 /nfs/dbraw/zinc/57/91/96/1179579196.db2.gz DNAHEBBUMNAYTG-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCCNC(=O)Cn1cccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001703541357 1179579197 /nfs/dbraw/zinc/57/91/97/1179579197.db2.gz DNAHEBBUMNAYTG-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCCNC(=O)[C@@H]1CCC[N@@H+]1C)C(=O)CCn1cc[nH+]c1 ZINC001703554613 1179588393 /nfs/dbraw/zinc/58/83/93/1179588393.db2.gz OPGRCLVNEZVODL-AWEZNQCLSA-N 0 2 321.425 0.332 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001714630954 1181449863 /nfs/dbraw/zinc/44/98/63/1181449863.db2.gz STJLXGHLNINLHU-SECBINFHSA-N 0 2 309.370 0.064 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001714630954 1181449866 /nfs/dbraw/zinc/44/98/66/1181449866.db2.gz STJLXGHLNINLHU-SECBINFHSA-N 0 2 309.370 0.064 20 0 DCADLN O=C(NCC=CCNC(=O)C(F)C(F)(F)F)c1ccn[nH]1 ZINC001721799784 1184046301 /nfs/dbraw/zinc/04/63/01/1184046301.db2.gz KCLKSLZFXAYPPA-SLYZXXNYSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NCC=CCNC(=O)[C@@H](F)C(F)(F)F)c1ccn[nH]1 ZINC001721799784 1184046305 /nfs/dbraw/zinc/04/63/05/1184046305.db2.gz KCLKSLZFXAYPPA-SLYZXXNYSA-N 0 2 308.235 0.712 20 0 DCADLN CN(CCCNC(=O)c1ccc(C(N)=O)[nH]1)Cc1cscn1 ZINC001731269293 1185231724 /nfs/dbraw/zinc/23/17/24/1185231724.db2.gz ZNXHJRIRYVOKSI-UHFFFAOYSA-N 0 2 321.406 0.822 20 0 DCADLN O=C(C=Cc1ccco1)N[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001731370011 1185304062 /nfs/dbraw/zinc/30/40/62/1185304062.db2.gz WOXSQWHDBRBOCU-HMDXOVGESA-N 0 2 303.322 0.507 20 0 DCADLN O=C(C=Cc1ccco1)N[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001731370011 1185304066 /nfs/dbraw/zinc/30/40/66/1185304066.db2.gz WOXSQWHDBRBOCU-HMDXOVGESA-N 0 2 303.322 0.507 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2(O)C[NH+](CC3=CCCCC3)C2)c1[O-] ZINC001733500725 1186308517 /nfs/dbraw/zinc/30/85/17/1186308517.db2.gz UJMMAOYFSDNZOE-UHFFFAOYSA-N 0 2 320.393 0.701 20 0 DCADLN CCn1ccc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001742473318 1187990929 /nfs/dbraw/zinc/99/09/29/1187990929.db2.gz RQDIITVCEQSPCQ-JTQLQIEISA-N 0 2 324.278 0.578 20 0 DCADLN CCn1ccc(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001742473318 1187990934 /nfs/dbraw/zinc/99/09/34/1187990934.db2.gz RQDIITVCEQSPCQ-JTQLQIEISA-N 0 2 324.278 0.578 20 0 DCADLN CN(CCCNC(=O)c1ccnnc1)C(=O)C(F)C(F)(F)F ZINC001743769161 1188161216 /nfs/dbraw/zinc/16/12/16/1188161216.db2.gz VUFZKMXMVQXJPD-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1ccnnc1)C(=O)[C@H](F)C(F)(F)F ZINC001743769161 1188161218 /nfs/dbraw/zinc/16/12/18/1188161218.db2.gz VUFZKMXMVQXJPD-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001753153815 1188516988 /nfs/dbraw/zinc/51/69/88/1188516988.db2.gz HVRPDDAZOGBGKV-ZZXKWVIFSA-N 0 2 316.365 0.632 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001753153815 1188516992 /nfs/dbraw/zinc/51/69/92/1188516992.db2.gz HVRPDDAZOGBGKV-ZZXKWVIFSA-N 0 2 316.365 0.632 20 0 DCADLN CCCCNC(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001753377298 1188576475 /nfs/dbraw/zinc/57/64/75/1188576475.db2.gz UIVITFUOFMWAMP-JTQLQIEISA-N 0 2 313.295 0.604 20 0 DCADLN CCCCNC(=O)CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001753377298 1188576478 /nfs/dbraw/zinc/57/64/78/1188576478.db2.gz UIVITFUOFMWAMP-JTQLQIEISA-N 0 2 313.295 0.604 20 0 DCADLN CCCCCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001753646642 1188630484 /nfs/dbraw/zinc/63/04/84/1188630484.db2.gz VFXLZTSMAXIQFG-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CCOCCC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001758918357 1190034248 /nfs/dbraw/zinc/03/42/48/1190034248.db2.gz HNPBYQALMZQVRL-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCOCCC(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001758918357 1190034251 /nfs/dbraw/zinc/03/42/51/1190034251.db2.gz HNPBYQALMZQVRL-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001771920393 1190556941 /nfs/dbraw/zinc/55/69/41/1190556941.db2.gz FIPAMXWEPLVQRX-CYZMBNFOSA-N 0 2 307.350 0.584 20 0 DCADLN Cc1ccncc1C[N@@H+]1C[C@@H](NC(=O)c2n[nH]cc2F)[C@@H](O)C1 ZINC001083758830 751412959 /nfs/dbraw/zinc/41/29/59/751412959.db2.gz VKACAGRPMAFZQJ-OLZOCXBDSA-N 0 2 319.340 0.227 20 0 DCADLN CNC(=O)NCCNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001212265308 751500917 /nfs/dbraw/zinc/50/09/17/751500917.db2.gz YFDRFZMZDZVEIF-UHFFFAOYSA-N 0 2 322.774 0.413 20 0 DCADLN C[C@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001042621969 751787760 /nfs/dbraw/zinc/78/77/60/751787760.db2.gz JRLBUQLVUHXAMI-SNVBAGLBSA-N 0 2 304.354 0.216 20 0 DCADLN CN(C(=O)c1sccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042689417 751839478 /nfs/dbraw/zinc/83/94/78/751839478.db2.gz IEMGRPGZJZWKNZ-UHFFFAOYSA-N 0 2 311.342 0.667 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001042826813 751934939 /nfs/dbraw/zinc/93/49/39/751934939.db2.gz FGJLCKYYMWTCQD-WDEREUQCSA-N 0 2 309.370 0.111 20 0 DCADLN CN(C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043158713 752107177 /nfs/dbraw/zinc/10/71/77/752107177.db2.gz UUGLMEVFWLDXIF-SCVCMEIPSA-N 0 2 305.382 0.589 20 0 DCADLN CCCc1nc(C)c(C[NH2+]CCNC(=O)CCc2nc[nH]n2)o1 ZINC001125420173 747125215 /nfs/dbraw/zinc/12/52/15/747125215.db2.gz YWWVMKYBXQUMNU-UHFFFAOYSA-N 0 2 320.397 0.892 20 0 DCADLN O=C([O-])C(=O)N1CCC2(CC1)CN(c1cccc[nH+]1)CCO2 ZINC001143418953 747325063 /nfs/dbraw/zinc/32/50/63/747325063.db2.gz HHJZPQMWVKVNNJ-UHFFFAOYSA-N 0 2 305.334 0.364 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+][C@H](C)c2nc(C3CC3)no2)c1[O-] ZINC001125749827 747548129 /nfs/dbraw/zinc/54/81/29/747548129.db2.gz QEPZJQDOPKCWOE-MRVPVSSYSA-N 0 2 320.353 0.765 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2CCC2)C1)C(F)C(F)(F)F ZINC001043373691 752200788 /nfs/dbraw/zinc/20/07/88/752200788.db2.gz CZXYVKKNKDZEFR-QMMMGPOBSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2CCC2)C1)[C@H](F)C(F)(F)F ZINC001043373691 752200797 /nfs/dbraw/zinc/20/07/97/752200797.db2.gz CZXYVKKNKDZEFR-QMMMGPOBSA-N 0 2 312.263 0.376 20 0 DCADLN CC[C@H](F)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043378527 752203506 /nfs/dbraw/zinc/20/35/06/752203506.db2.gz YUKHGEVAWUYDHD-BQBZGAKWSA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@H](F)C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043378527 752203510 /nfs/dbraw/zinc/20/35/10/752203510.db2.gz YUKHGEVAWUYDHD-BQBZGAKWSA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cc(C)n[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087512826 748908086 /nfs/dbraw/zinc/90/80/86/748908086.db2.gz MKUOOABKTYWDNI-GXSJLCMTSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cc(C)n[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087512826 748908090 /nfs/dbraw/zinc/90/80/90/748908090.db2.gz MKUOOABKTYWDNI-GXSJLCMTSA-N 0 2 319.369 0.325 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071494140 761903343 /nfs/dbraw/zinc/90/33/43/761903343.db2.gz OLINAQHXICFPRY-UWVGGRQHSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCN1Cc1nnc(C)o1 ZINC001087636231 749003083 /nfs/dbraw/zinc/00/30/83/749003083.db2.gz GXIGCXNFUQZNML-GXSJLCMTSA-N 0 2 305.342 0.279 20 0 DCADLN CCn1ccnc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088546214 749573353 /nfs/dbraw/zinc/57/33/53/749573353.db2.gz WBOSPQKWPNALKC-ZJUUUORDSA-N 0 2 319.369 0.120 20 0 DCADLN Cc1nccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)n1 ZINC001089046347 750777520 /nfs/dbraw/zinc/77/75/20/750777520.db2.gz FAEVDEBONIEJGF-SCZZXKLOSA-N 0 2 317.353 0.002 20 0 DCADLN CCC(=O)N1C[C@@H](C(F)(F)F)[C@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001099193714 751021540 /nfs/dbraw/zinc/02/15/40/751021540.db2.gz MVVSVRDGLKJKCG-RNFRBKRXSA-N 0 2 307.276 0.399 20 0 DCADLN Cc1nc(NCC[C@@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)cc[nH+]1 ZINC001106644041 751214773 /nfs/dbraw/zinc/21/47/73/751214773.db2.gz KBELKGBNALFENO-SSDOTTSWSA-N 0 2 319.325 0.002 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)Cc2cnoc2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071760986 762117823 /nfs/dbraw/zinc/11/78/23/762117823.db2.gz SEWRNKWVOOMEMJ-MWLCHTKSSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)Cc2cnoc2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071760986 762117829 /nfs/dbraw/zinc/11/78/29/762117829.db2.gz SEWRNKWVOOMEMJ-MWLCHTKSSA-N 0 2 320.353 0.210 20 0 DCADLN CN(C(=O)c1cccc(F)c1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043683366 752348126 /nfs/dbraw/zinc/34/81/26/752348126.db2.gz ZJRYJFLCTPVVIR-UHFFFAOYSA-N 0 2 323.303 0.745 20 0 DCADLN CN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1C[NH+](CC2=CCCCC2)C1 ZINC001044237081 752640055 /nfs/dbraw/zinc/64/00/55/752640055.db2.gz VJIWMWQGBBSZCP-UHFFFAOYSA-N 0 2 321.381 0.332 20 0 DCADLN CN(C(=O)C1CCC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044306506 752680629 /nfs/dbraw/zinc/68/06/29/752680629.db2.gz AXCJKMAYSWGZJH-UHFFFAOYSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2C[C@H](NC(=O)c3cn[nH]n3)C23CCC3)o1 ZINC001078667634 753334811 /nfs/dbraw/zinc/33/48/11/753334811.db2.gz HFNBHJYYXVWSHA-MNOVXSKESA-N 0 2 317.353 0.327 20 0 DCADLN CC[C@@H](F)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045828043 753345743 /nfs/dbraw/zinc/34/57/43/753345743.db2.gz RILYKAPRHCTAIO-OUAUKWLOSA-N 0 2 311.361 0.824 20 0 DCADLN CC[C@@H](F)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045828043 753345750 /nfs/dbraw/zinc/34/57/50/753345750.db2.gz RILYKAPRHCTAIO-OUAUKWLOSA-N 0 2 311.361 0.824 20 0 DCADLN C[C@]1(NC(=O)c2cccnc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046101989 753481377 /nfs/dbraw/zinc/48/13/77/753481377.db2.gz QKKNAECAIWKKSP-AWEZNQCLSA-N 0 2 302.338 0.300 20 0 DCADLN C[C@]1(NC(=O)c2cccnc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046101989 753481378 /nfs/dbraw/zinc/48/13/78/753481378.db2.gz QKKNAECAIWKKSP-AWEZNQCLSA-N 0 2 302.338 0.300 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001046124348 753494188 /nfs/dbraw/zinc/49/41/88/753494188.db2.gz YSTJPZKNIMOSRA-CYBMUJFWSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@@]1(NC(=O)c2cncs2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046171103 753526002 /nfs/dbraw/zinc/52/60/02/753526002.db2.gz BNRDGQNHQQREDK-GFCCVEGCSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1cncc(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046212509 753561503 /nfs/dbraw/zinc/56/15/03/753561503.db2.gz KCHFRPGGMADDET-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cncc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046212509 753561509 /nfs/dbraw/zinc/56/15/09/753561509.db2.gz KCHFRPGGMADDET-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccnc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001046283575 753613683 /nfs/dbraw/zinc/61/36/83/753613683.db2.gz KEEFIIAFKZJWJS-CQSZACIVSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046639247 753845244 /nfs/dbraw/zinc/84/52/44/753845244.db2.gz LEDDNBGBDBIKAZ-OZWUEAAUSA-N 0 2 321.381 0.158 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046639247 753845252 /nfs/dbraw/zinc/84/52/52/753845252.db2.gz LEDDNBGBDBIKAZ-OZWUEAAUSA-N 0 2 321.381 0.158 20 0 DCADLN CCn1cccc1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046838675 753972913 /nfs/dbraw/zinc/97/29/13/753972913.db2.gz NDONECOXUONABG-HNNXBMFYSA-N 0 2 318.381 0.726 20 0 DCADLN CCn1cccc1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046838675 753972915 /nfs/dbraw/zinc/97/29/15/753972915.db2.gz NDONECOXUONABG-HNNXBMFYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1nc(N[C@H](C)[C@@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)cc[nH+]1 ZINC001113364026 762270865 /nfs/dbraw/zinc/27/08/65/762270865.db2.gz HUHHQPXMIOYYPL-RNFRBKRXSA-N 0 2 319.325 0.000 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[NH2+]CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001128992067 754451958 /nfs/dbraw/zinc/45/19/58/754451958.db2.gz MXEFHXFIQZVDBX-GFCCVEGCSA-N 0 2 323.441 0.741 20 0 DCADLN COCC(=O)NCC1(NC(=O)C(F)C(F)(F)F)CCC1 ZINC001062398909 754721144 /nfs/dbraw/zinc/72/11/44/754721144.db2.gz NWWHDXSJFWEGDB-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN COCC(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CCC1 ZINC001062398909 754721148 /nfs/dbraw/zinc/72/11/48/754721148.db2.gz NWWHDXSJFWEGDB-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN CCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001048957984 755040381 /nfs/dbraw/zinc/04/03/81/755040381.db2.gz PXJYTJOGDPPEBP-ZKWXMUAHSA-N 0 2 300.252 0.327 20 0 DCADLN CCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001048957984 755040383 /nfs/dbraw/zinc/04/03/83/755040383.db2.gz PXJYTJOGDPPEBP-ZKWXMUAHSA-N 0 2 300.252 0.327 20 0 DCADLN CC[C@H](F)C(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049650460 755348004 /nfs/dbraw/zinc/34/80/04/755348004.db2.gz YNGSYKYOAITJLU-GARJFASQSA-N 0 2 311.361 0.824 20 0 DCADLN CC[C@H](F)C(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049650460 755348009 /nfs/dbraw/zinc/34/80/09/755348009.db2.gz YNGSYKYOAITJLU-GARJFASQSA-N 0 2 311.361 0.824 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cncs1 ZINC001096853138 755536785 /nfs/dbraw/zinc/53/67/85/755536785.db2.gz UXSAVQZWLWSHDY-HLTSFMKQSA-N 0 2 320.378 0.502 20 0 DCADLN CN(C)c1cc[nH+]cc1C(=O)N[C@@H]1CCC[N@H+](CC(N)=O)CC1 ZINC001052872153 755849260 /nfs/dbraw/zinc/84/92/60/755849260.db2.gz XBKOSGKSDJYSGS-GFCCVEGCSA-N 0 2 319.409 0.217 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]2C)c1[O-] ZINC001079579363 755916030 /nfs/dbraw/zinc/91/60/30/755916030.db2.gz FBMLIUSBTPIJJF-BXKDBHETSA-N 0 2 318.381 0.408 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]2C)c1[O-] ZINC001079579363 755916036 /nfs/dbraw/zinc/91/60/36/755916036.db2.gz FBMLIUSBTPIJJF-BXKDBHETSA-N 0 2 318.381 0.408 20 0 DCADLN Cc1nscc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001079598657 755927504 /nfs/dbraw/zinc/92/75/04/755927504.db2.gz KKEWAVNPJNKWBB-GMSGAONNSA-N 0 2 322.394 0.526 20 0 DCADLN CCC1(C(=O)N2CCOC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)CC1 ZINC001053412314 756035218 /nfs/dbraw/zinc/03/52/18/756035218.db2.gz WUTKEAUFXIQXDP-UHFFFAOYSA-N 0 2 321.381 0.114 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080633562 756272019 /nfs/dbraw/zinc/27/20/19/756272019.db2.gz JBBOWPIZOGTYMY-GMSGAONNSA-N 0 2 319.369 0.101 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080633562 756272022 /nfs/dbraw/zinc/27/20/22/756272022.db2.gz JBBOWPIZOGTYMY-GMSGAONNSA-N 0 2 319.369 0.101 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053932791 756285134 /nfs/dbraw/zinc/28/51/34/756285134.db2.gz CIZNUOWOJWNHOO-GMTAPVOTSA-N 0 2 321.381 0.016 20 0 DCADLN CCC1(C(=O)NC[C@@]2(C)CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001108193318 757356546 /nfs/dbraw/zinc/35/65/46/757356546.db2.gz DJKFXYUSIACLNR-AWEZNQCLSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(c1cnco1)N1CCC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001050107539 757373757 /nfs/dbraw/zinc/37/37/57/757373757.db2.gz MHMVDRUEYZODMF-VHSXEESVSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cnco1)N1CCC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001050107539 757373767 /nfs/dbraw/zinc/37/37/67/757373767.db2.gz MHMVDRUEYZODMF-VHSXEESVSA-N 0 2 318.337 0.235 20 0 DCADLN CC1(CC(=O)N2CCO[C@@H]3CN(Cc4n[nH]c(=O)[nH]4)C[C@@H]32)CC1 ZINC001083146990 757517264 /nfs/dbraw/zinc/51/72/64/757517264.db2.gz MTDKOBOJVXKWEK-WDEREUQCSA-N 0 2 321.381 0.112 20 0 DCADLN CS[C@H](C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084205006 757724936 /nfs/dbraw/zinc/72/49/36/757724936.db2.gz KQIWSHYEMWPXOD-OPRDCNLKSA-N 0 2 311.411 0.295 20 0 DCADLN O=C(C=C1CCC1)N1C[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084273178 757766867 /nfs/dbraw/zinc/76/68/67/757766867.db2.gz WNBUYCUNMRLNMR-VXGBXAGGSA-N 0 2 303.366 0.653 20 0 DCADLN O=C(C=C1CCC1)N1C[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084273178 757766873 /nfs/dbraw/zinc/76/68/73/757766873.db2.gz WNBUYCUNMRLNMR-VXGBXAGGSA-N 0 2 303.366 0.653 20 0 DCADLN CC(C)(C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1CC1 ZINC001084595383 757925707 /nfs/dbraw/zinc/92/57/07/757925707.db2.gz VMAAZVJDILIRRK-ZYHUDNBSSA-N 0 2 319.409 0.979 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2COCC[N@H+]2CC[C@@H](C)F)c1[O-] ZINC001050876185 758200123 /nfs/dbraw/zinc/20/01/23/758200123.db2.gz JKXDXELAMPPBDA-KOLCDFICSA-N 0 2 314.361 0.602 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2COCC[N@@H+]2CC[C@@H](C)F)c1[O-] ZINC001050876185 758200132 /nfs/dbraw/zinc/20/01/32/758200132.db2.gz JKXDXELAMPPBDA-KOLCDFICSA-N 0 2 314.361 0.602 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cccc(F)c1 ZINC001085463572 758905965 /nfs/dbraw/zinc/90/59/65/758905965.db2.gz HMYIGRXRACJPOJ-LBPRGKRZSA-N 0 2 319.340 0.996 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccccc1 ZINC001085463173 758906181 /nfs/dbraw/zinc/90/61/81/758906181.db2.gz WRDNZBGUAOOANR-GFCCVEGCSA-N 0 2 301.350 0.857 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1=COCCC1 ZINC001085509741 758973094 /nfs/dbraw/zinc/97/30/94/758973094.db2.gz FARBVUGEINYRDC-NSHDSACASA-N 0 2 307.354 0.237 20 0 DCADLN O=C(Cc1ccc[nH]1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001098448069 762676308 /nfs/dbraw/zinc/67/63/08/762676308.db2.gz JGQDOQPGMBJYHL-UTUOFQBUSA-N 0 2 316.365 0.303 20 0 DCADLN O=C(Cc1ccc[nH]1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001098448069 762676310 /nfs/dbraw/zinc/67/63/10/762676310.db2.gz JGQDOQPGMBJYHL-UTUOFQBUSA-N 0 2 316.365 0.303 20 0 DCADLN CCOC1CC(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001085574036 759089846 /nfs/dbraw/zinc/08/98/46/759089846.db2.gz MICUAKULCDFTRX-CXQJBGSLSA-N 0 2 323.397 0.358 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccsn1 ZINC001085665309 759177320 /nfs/dbraw/zinc/17/73/20/759177320.db2.gz DSNGMUPVSXGJHK-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1csc(=O)[nH]1 ZINC001085774551 759294425 /nfs/dbraw/zinc/29/44/25/759294425.db2.gz GAIUGXMBOLHNKB-ZETCQYMHSA-N 0 2 324.366 0.019 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CC12CCC2 ZINC001085848173 759373984 /nfs/dbraw/zinc/37/39/84/759373984.db2.gz SQBWUKXYMDISAV-MNOVXSKESA-N 0 2 305.382 0.733 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccn1 ZINC001085883369 759433070 /nfs/dbraw/zinc/43/30/70/759433070.db2.gz BNOJSAJHCJTLQZ-LBPRGKRZSA-N 0 2 316.365 0.560 20 0 DCADLN Cc1cnoc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085904389 759458447 /nfs/dbraw/zinc/45/84/47/759458447.db2.gz DAAAFAFTTYATSJ-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN Cc1cc(C)nc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122760817 767870540 /nfs/dbraw/zinc/87/05/40/767870540.db2.gz LETURLNCZNXUHC-IUCAKERBSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1cc(C)nc(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001122760817 767870547 /nfs/dbraw/zinc/87/05/47/767870547.db2.gz LETURLNCZNXUHC-IUCAKERBSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1nsc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122761571 767871104 /nfs/dbraw/zinc/87/11/04/767871104.db2.gz SNGFBDPPIOGQEA-WDSKDSINSA-N 0 2 316.280 0.636 20 0 DCADLN Cc1nsc(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001122761571 767871113 /nfs/dbraw/zinc/87/11/13/767871113.db2.gz SNGFBDPPIOGQEA-WDSKDSINSA-N 0 2 316.280 0.636 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057833640 759576189 /nfs/dbraw/zinc/57/61/89/759576189.db2.gz LMDPAGOEVCAXAG-CIUDSAMLSA-N 0 2 314.279 0.480 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057833640 759576196 /nfs/dbraw/zinc/57/61/96/759576196.db2.gz LMDPAGOEVCAXAG-CIUDSAMLSA-N 0 2 314.279 0.480 20 0 DCADLN CCN(CC)C(=O)C[C@H](C)[NH2+][C@@H](CCC(=O)[O-])C(=O)OC ZINC001169438311 760678181 /nfs/dbraw/zinc/67/81/81/760678181.db2.gz VBQKWYBCWHUPEN-QWRGUYRKSA-N 0 2 302.371 0.629 20 0 DCADLN CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001108963507 761172189 /nfs/dbraw/zinc/17/21/89/761172189.db2.gz JJXAXUYHLAVYOW-ZNSHCXBVSA-N 0 2 309.370 0.157 20 0 DCADLN CC[NH+](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncn1C ZINC001109864409 761584023 /nfs/dbraw/zinc/58/40/23/761584023.db2.gz ARXOWHRNGHFVAL-KBMXLJTQSA-N 0 2 319.453 0.983 20 0 DCADLN O=C(NCc1nnc[nH]1)c1cc(O)c(Br)c(O)c1 ZINC001148996158 768063909 /nfs/dbraw/zinc/06/39/09/768063909.db2.gz JMJTZONGYLTWSZ-UHFFFAOYSA-N 0 2 313.111 0.908 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071379692 761810166 /nfs/dbraw/zinc/81/01/66/761810166.db2.gz XTKLDWCQDJKRJP-WCBMZHEXSA-N 0 2 320.353 0.590 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001131802051 764038314 /nfs/dbraw/zinc/03/83/14/764038314.db2.gz DZLSSESHCPAPBB-CMPLNLGQSA-N 0 2 323.397 0.739 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)/C=C\C2CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131942665 764143128 /nfs/dbraw/zinc/14/31/28/764143128.db2.gz FSHQMYOIPCIVMD-MIISXNGMSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)/C=C\C2CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131942665 764143131 /nfs/dbraw/zinc/14/31/31/764143131.db2.gz FSHQMYOIPCIVMD-MIISXNGMSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@H]([NH2+]CCCNC(=O)Cc1nnc[nH]1)c1nc(C2CC2)no1 ZINC001170735038 765403147 /nfs/dbraw/zinc/40/31/47/765403147.db2.gz WBGAYWIGYXIDMB-VIFPVBQESA-N 0 2 319.369 0.465 20 0 DCADLN Cc1cc(NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F)ncn1 ZINC001124808508 768307865 /nfs/dbraw/zinc/30/78/65/768307865.db2.gz DMPGMDLVNZRSBE-SCZZXKLOSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1cc(NC[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001124808508 768307871 /nfs/dbraw/zinc/30/78/71/768307871.db2.gz DMPGMDLVNZRSBE-SCZZXKLOSA-N 0 2 324.278 0.917 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn(C(C)C)c2N)C1=O ZINC001117669434 766124345 /nfs/dbraw/zinc/12/43/45/766124345.db2.gz JPTZWJISKNFDDS-ZDUSSCGKSA-N 0 2 308.342 0.412 20 0 DCADLN COCc1nnc(CN2C(=O)[C@H]3c4ccoc4CCN3C2=O)[nH]1 ZINC001118960224 766485406 /nfs/dbraw/zinc/48/54/06/766485406.db2.gz WGVJBGYQEVPBBG-GFCCVEGCSA-N 0 2 317.305 0.606 20 0 DCADLN CCOCc1nc(C)c(C(=O)NN2CC(=O)N(C)C2=O)s1 ZINC001137608144 768384541 /nfs/dbraw/zinc/38/45/41/768384541.db2.gz XAQOQXRZZZMYTP-UHFFFAOYSA-N 0 2 312.351 0.527 20 0 DCADLN O=C(NN1CCc2ccccc2C1=O)c1cccn2nnnc12 ZINC001137764531 768391379 /nfs/dbraw/zinc/39/13/79/768391379.db2.gz VLCJOZZJNWRKKZ-UHFFFAOYSA-N 0 2 308.301 0.468 20 0 DCADLN Cc1ncc(CO)c(C[NH+]2CCN(C[C@@H]3CCCO3)CC2)c1O ZINC001140868699 768551141 /nfs/dbraw/zinc/55/11/41/768551141.db2.gz WBMKRCZQLLAMIW-HNNXBMFYSA-N 0 2 321.421 0.885 20 0 DCADLN CSc1n[nH]c(NC(=O)c2nnn3cc(C)[nH]c(=O)c23)n1 ZINC001142712898 768660203 /nfs/dbraw/zinc/66/02/03/768660203.db2.gz JXFZNAJJHXYOMH-UHFFFAOYSA-N 0 2 306.311 0.231 20 0 DCADLN CSC[C@@H](C)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231232815 769202502 /nfs/dbraw/zinc/20/25/02/769202502.db2.gz GMXBINXOIHBUIM-NXEZZACHSA-N 0 2 313.427 0.542 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC[C@H]1CCOC1 ZINC001233580217 769400522 /nfs/dbraw/zinc/40/05/22/769400522.db2.gz JRMNOKIRHKOQRO-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN C[NH+]1CCN(C(=O)c2sc(C(=O)[O-])c3c2OCCO3)CC1 ZINC001152696917 769625619 /nfs/dbraw/zinc/62/56/19/769625619.db2.gz BCSFIIHMYZMDJZ-UHFFFAOYSA-N 0 2 312.347 0.605 20 0 DCADLN CC[N@H+](Cc1ncccn1)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152781929 769639661 /nfs/dbraw/zinc/63/96/61/769639661.db2.gz LMVMHUFVFBNWPB-SNVBAGLBSA-N 0 2 318.381 0.854 20 0 DCADLN CC[N@@H+](Cc1ncccn1)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152781929 769639664 /nfs/dbraw/zinc/63/96/64/769639664.db2.gz LMVMHUFVFBNWPB-SNVBAGLBSA-N 0 2 318.381 0.854 20 0 DCADLN O=S(=O)(c1cccc(Nc2nnco2)c1)N1CCOCC1 ZINC001174794143 769806014 /nfs/dbraw/zinc/80/60/14/769806014.db2.gz CDCXWKDRGVMMFI-UHFFFAOYSA-N 0 2 310.335 0.834 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc2c(c1)[nH]c(=O)[nH]c2=O ZINC001153798282 769940619 /nfs/dbraw/zinc/94/06/19/769940619.db2.gz BHGVESKHJNSSES-JTQLQIEISA-N 0 2 320.286 0.080 20 0 DCADLN C[C@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001235743394 769941456 /nfs/dbraw/zinc/94/14/56/769941456.db2.gz RSJXSHYWCKEKAK-CMPLNLGQSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(NC1(CO)CCOCC1)c1c[nH]c2cccnc2c1=O ZINC001153859900 769967200 /nfs/dbraw/zinc/96/72/00/769967200.db2.gz APEQWAGCCZDLQA-UHFFFAOYSA-N 0 2 303.318 0.194 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153861808 769969847 /nfs/dbraw/zinc/96/98/47/769969847.db2.gz JSMNQNMODPKSHD-RKDXNWHRSA-N 0 2 301.302 0.462 20 0 DCADLN COc1cc2[nH]ncc2cc1C(=O)Nc1c(N)[nH]c(=O)[nH]c1=O ZINC001154786799 770296078 /nfs/dbraw/zinc/29/60/78/770296078.db2.gz NGTMNCZAACNPKH-UHFFFAOYSA-N 0 2 316.277 0.607 20 0 DCADLN Cn1cc(-c2n[nH]cc2C(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC001154901130 770331443 /nfs/dbraw/zinc/33/14/43/770331443.db2.gz UHFWYLDBUJSZRC-UHFFFAOYSA-N 0 2 319.350 0.454 20 0 DCADLN CC(=O)Nc1cnccc1C(=O)NCCc1n[nH]c(=S)o1 ZINC001154907443 770334686 /nfs/dbraw/zinc/33/46/86/770334686.db2.gz QHOMCLZQHGGHFG-UHFFFAOYSA-N 0 2 307.335 0.684 20 0 DCADLN CCC(C)=C(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001157027838 771520245 /nfs/dbraw/zinc/52/02/45/771520245.db2.gz XHQULAIFNFOSHA-CMDGGOBGSA-N 0 2 300.384 0.712 20 0 DCADLN CCn1cc(C[NH+](CC)[C@H](C)CNC(=O)C[N@@H+](C)C(C)C)nn1 ZINC001157114307 771539627 /nfs/dbraw/zinc/53/96/27/771539627.db2.gz WDLBDWDMLUJHPO-CQSZACIVSA-N 0 2 324.473 0.965 20 0 DCADLN COC(=O)[C@H]1C[N@H+](C)CCN1C(=O)Cc1ccc([S-])cc1 ZINC001183191340 771617352 /nfs/dbraw/zinc/61/73/52/771617352.db2.gz YDYIBDQVXRKIQL-CYBMUJFWSA-N 0 2 308.403 0.833 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](C)CCN1C(=O)Cc1ccc([S-])cc1 ZINC001183191340 771617355 /nfs/dbraw/zinc/61/73/55/771617355.db2.gz YDYIBDQVXRKIQL-CYBMUJFWSA-N 0 2 308.403 0.833 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2ncnc3c2cnn3C)n1 ZINC001185161003 771870983 /nfs/dbraw/zinc/87/09/83/771870983.db2.gz GAWFJUCEZZBJNJ-UHFFFAOYSA-N 0 2 317.334 0.833 20 0 DCADLN Cc1ncn(C)c1NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185567728 771929928 /nfs/dbraw/zinc/92/99/28/771929928.db2.gz FECZNYYKKCFPGT-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN CCCCOC(=O)N[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)C1CC1 ZINC001186301562 772029372 /nfs/dbraw/zinc/02/93/72/772029372.db2.gz PXBJXLOVYFUKFT-SNVBAGLBSA-N 0 2 311.342 0.431 20 0 DCADLN CC/C=C(\C)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187100330 772136045 /nfs/dbraw/zinc/13/60/45/772136045.db2.gz CAPQZYZTFVSEOV-PEXLVHELSA-N 0 2 305.382 0.899 20 0 DCADLN CC/C=C(\C)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187100330 772136046 /nfs/dbraw/zinc/13/60/46/772136046.db2.gz CAPQZYZTFVSEOV-PEXLVHELSA-N 0 2 305.382 0.899 20 0 DCADLN O=c1[nH]c2nc(=O)[nH]c(NS(=O)(=O)c3nccs3)c2[nH]1 ZINC001187917816 772246742 /nfs/dbraw/zinc/24/67/42/772246742.db2.gz JLPZJXHTUBNURF-UHFFFAOYSA-N 0 2 314.308 0.021 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1ncc(C)cc1C(=O)OC ZINC001188352607 772297808 /nfs/dbraw/zinc/29/78/08/772297808.db2.gz HYQHJFSHLPPLGV-UHFFFAOYSA-N 0 2 316.335 0.481 20 0 DCADLN O=S(=O)(Nc1ccncn1)c1ccc(N2CCOCC2)nc1 ZINC001188489493 772308020 /nfs/dbraw/zinc/30/80/20/772308020.db2.gz VQATVRSZHXYDBA-UHFFFAOYSA-N 0 2 321.362 0.509 20 0 DCADLN COC(=O)[C@@H](Nc1ccc([P@@](=O)([O-])O)cc1)C(C)(C)[NH3+] ZINC001162956398 772436141 /nfs/dbraw/zinc/43/61/41/772436141.db2.gz OAAXUFMUQRUFCJ-SNVBAGLBSA-N 0 2 302.267 0.180 20 0 DCADLN COC(=O)[C@@H](Nc1ccc([P@](=O)([O-])O)cc1)C(C)(C)[NH3+] ZINC001162956398 772436143 /nfs/dbraw/zinc/43/61/43/772436143.db2.gz OAAXUFMUQRUFCJ-SNVBAGLBSA-N 0 2 302.267 0.180 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnccc1Br ZINC001189589586 772502785 /nfs/dbraw/zinc/50/27/85/772502785.db2.gz ZXLXKHVYYQQLSO-QMMMGPOBSA-N 0 2 316.136 0.883 20 0 DCADLN O=S(=O)(NC[C@H](c1nc[nH]n1)c1ccccc1)c1ncc[nH]1 ZINC001190284557 772616729 /nfs/dbraw/zinc/61/67/29/772616729.db2.gz HBNASIMTNZVDAI-NSHDSACASA-N 0 2 318.362 0.638 20 0 DCADLN CCOC(=O)[C@@H](NS(=O)(=O)c1ncc[nH]1)c1ccccn1 ZINC001190755430 772666176 /nfs/dbraw/zinc/66/61/76/772666176.db2.gz RDTPGQMUXGAOIW-JTQLQIEISA-N 0 2 310.335 0.387 20 0 DCADLN O=S(=O)(c1ncc[nH]1)N1CC[C@@H]([NH+]2CCCC2)[C@@H](F)C1 ZINC001190718978 772668055 /nfs/dbraw/zinc/66/80/55/772668055.db2.gz WDVYQWYQYCYXGB-WDEREUQCSA-N 0 2 302.375 0.607 20 0 DCADLN O=S(=O)(c1ncc[n-]1)N1CC[C@@H]([NH+]2CCCC2)[C@@H](F)C1 ZINC001190718978 772668058 /nfs/dbraw/zinc/66/80/58/772668058.db2.gz WDVYQWYQYCYXGB-WDEREUQCSA-N 0 2 302.375 0.607 20 0 DCADLN CCOC(=O)c1ncc(NS(=O)(=O)c2ccncc2)cn1 ZINC001190860508 772689378 /nfs/dbraw/zinc/68/93/78/772689378.db2.gz QVBIUTVTZRNWEL-UHFFFAOYSA-N 0 2 308.319 0.849 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1c(F)ccc(O)c1F ZINC001192553092 772931444 /nfs/dbraw/zinc/93/14/44/772931444.db2.gz WHPCTBHLYONERF-UHFFFAOYSA-N 0 2 323.215 0.952 20 0 DCADLN O=C(CCNC(=O)c1ccc(O)c(F)c1F)N1CCOCC1 ZINC001192826988 772965385 /nfs/dbraw/zinc/96/53/85/772965385.db2.gz HADWWKKIYXXFSJ-UHFFFAOYSA-N 0 2 314.288 0.649 20 0 DCADLN O=C(c1ccc(O)c(F)c1F)N1CCC2(CNC(=O)N2)CC1 ZINC001192839769 772968868 /nfs/dbraw/zinc/96/88/68/772968868.db2.gz LEJBRTUMPGKEIF-UHFFFAOYSA-N 0 2 311.288 0.958 20 0 DCADLN CC[NH+](CC)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001192960833 772979968 /nfs/dbraw/zinc/97/99/68/772979968.db2.gz UVXFKSJSQGBLPI-CYBMUJFWSA-N 0 2 308.430 0.190 20 0 DCADLN C[N@@H+](CCNC(=O)C1CC1)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001193048875 772994855 /nfs/dbraw/zinc/99/48/55/772994855.db2.gz YAFPCGOMHTZGFU-CYBMUJFWSA-N 0 2 319.409 0.011 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)COC(C)(C)C ZINC001206889917 773121727 /nfs/dbraw/zinc/12/17/27/773121727.db2.gz PGZQLUVFGHEALV-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)COC(C)(C)C ZINC001206889917 773121730 /nfs/dbraw/zinc/12/17/30/773121730.db2.gz PGZQLUVFGHEALV-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2nnc(C(F)(F)F)n2C)[nH]n1 ZINC001194278806 773166094 /nfs/dbraw/zinc/16/60/94/773166094.db2.gz JOWOPDJBZHUINS-UHFFFAOYSA-N 0 2 318.215 0.596 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2nnc(C(F)(F)F)n2C)n[nH]1 ZINC001194278806 773166096 /nfs/dbraw/zinc/16/60/96/773166096.db2.gz JOWOPDJBZHUINS-UHFFFAOYSA-N 0 2 318.215 0.596 20 0 DCADLN COCC1(CC(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CC1 ZINC001195817757 773479078 /nfs/dbraw/zinc/47/90/78/773479078.db2.gz XSBGAJBXHUIHOC-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc(NC(C)=O)nc1 ZINC001195933926 773505689 /nfs/dbraw/zinc/50/56/89/773505689.db2.gz KFGMFJDIFPFAIU-UHFFFAOYSA-N 0 2 301.324 0.345 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2c(c1)C(=O)N(C)C2 ZINC001195973542 773509236 /nfs/dbraw/zinc/50/92/36/773509236.db2.gz RSRIASZKFAHLJN-UHFFFAOYSA-N 0 2 312.347 0.577 20 0 DCADLN CC[C@@H](C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1)[NH+](C)C ZINC001197172556 773710232 /nfs/dbraw/zinc/71/02/32/773710232.db2.gz NHMIALIHWHHUDC-HNNXBMFYSA-N 0 2 324.469 0.387 20 0 DCADLN COc1cc(NS(=O)(=O)Cc2ccccn2)nc(OC)n1 ZINC001197783338 773799758 /nfs/dbraw/zinc/79/97/58/773799758.db2.gz UGYQEYOPHYPWOI-UHFFFAOYSA-N 0 2 310.335 0.831 20 0 DCADLN COCC[C@H](C)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001198175819 773870612 /nfs/dbraw/zinc/87/06/12/773870612.db2.gz JBJNEOTUXJJZKN-NSHDSACASA-N 0 2 311.386 0.217 20 0 DCADLN CC(=O)Nc1ccc(NS(=O)(=O)c2cnc(C)n2C)cn1 ZINC001198353960 773913966 /nfs/dbraw/zinc/91/39/66/773913966.db2.gz DNZNKBCKNPBNCL-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)nn1Cc1ccncc1 ZINC001199101361 774029597 /nfs/dbraw/zinc/02/95/97/774029597.db2.gz QAZAWCMUKNVXFG-UHFFFAOYSA-N 0 2 313.321 0.389 20 0 DCADLN CC(C)[NH+](C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001199119378 774042366 /nfs/dbraw/zinc/04/23/66/774042366.db2.gz CAWXVMMMWKKAIF-HUUCEWRRSA-N 0 2 306.410 0.083 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1nnc(Br)s1 ZINC001218512185 774233043 /nfs/dbraw/zinc/23/30/43/774233043.db2.gz ZIJAPXDPIYRIPP-RXMQYKEDSA-N 0 2 317.172 0.532 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc(C2OCCO2)cc1 ZINC001218912794 774325012 /nfs/dbraw/zinc/32/50/12/774325012.db2.gz MNOMLYWFQLRYDB-ZDUSSCGKSA-N 0 2 302.334 0.964 20 0 DCADLN CNC(=O)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001110579834 774636867 /nfs/dbraw/zinc/63/68/67/774636867.db2.gz LVEYIJGAOJRSJS-BDNRQGISSA-N 0 2 311.279 0.354 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[N@H+](CCCCCF)C[C@@H]1O ZINC001220852796 774935308 /nfs/dbraw/zinc/93/53/08/774935308.db2.gz XKCNPTYTGBPAOC-KGLIPLIRSA-N 0 2 312.389 0.562 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095396269 775038280 /nfs/dbraw/zinc/03/82/80/775038280.db2.gz QNDFXOZWHCERAD-UTLUCORTSA-N 0 2 317.353 0.077 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCC[C@@H]1CCOC1 ZINC001224696363 775596589 /nfs/dbraw/zinc/59/65/89/775596589.db2.gz CTDGBJXZOBVPKH-QWHCGFSZSA-N 0 2 323.411 0.018 20 0 DCADLN CCO[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001227429781 775972680 /nfs/dbraw/zinc/97/26/80/775972680.db2.gz GGPCGBLZYPCALG-ZDUSSCGKSA-N 0 2 323.397 0.406 20 0 DCADLN O=c1nc(OC[C@H]2C[N@H+](Cc3ccccc3)CCO2)[nH]c(=O)[n-]1 ZINC001227569234 775986463 /nfs/dbraw/zinc/98/64/63/775986463.db2.gz WBKPCLBHVSIOCG-GFCCVEGCSA-N 0 2 318.333 0.563 20 0 DCADLN O=c1nc(OC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)[nH]c(=O)[n-]1 ZINC001227569234 775986473 /nfs/dbraw/zinc/98/64/73/775986473.db2.gz WBKPCLBHVSIOCG-GFCCVEGCSA-N 0 2 318.333 0.563 20 0 DCADLN CC(C)=CC(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041323587 777203955 /nfs/dbraw/zinc/20/39/55/777203955.db2.gz OBBVWFRVUOPSCB-SECBINFHSA-N 0 2 312.263 0.543 20 0 DCADLN CC(C)=CC(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001041323587 777203964 /nfs/dbraw/zinc/20/39/64/777203964.db2.gz OBBVWFRVUOPSCB-SECBINFHSA-N 0 2 312.263 0.543 20 0 DCADLN O=C(c1cocn1)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041974900 777616389 /nfs/dbraw/zinc/61/63/89/777616389.db2.gz HXZMYSPXNOPCRT-MWLCHTKSSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cocn1)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041974900 777616394 /nfs/dbraw/zinc/61/63/94/777616394.db2.gz HXZMYSPXNOPCRT-MWLCHTKSSA-N 0 2 318.337 0.235 20 0 DCADLN Cc1nc(C[N@@H+](C)CCCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])co1 ZINC001600698820 1168256449 /nfs/dbraw/zinc/25/64/49/1168256449.db2.gz JOLYWDNAZFJEPG-STQMWFEESA-N 0 2 309.366 0.984 20 0 DCADLN Cc1nc(C[N@H+](C)CCCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])co1 ZINC001600698820 1168256457 /nfs/dbraw/zinc/25/64/57/1168256457.db2.gz JOLYWDNAZFJEPG-STQMWFEESA-N 0 2 309.366 0.984 20 0 DCADLN Cc1cc(C[NH2+]CCN(C)C(=O)CC[NH+]2CCOCC2)on1 ZINC001317643204 945138931 /nfs/dbraw/zinc/13/89/31/945138931.db2.gz LWESQBBHPMJORN-UHFFFAOYSA-N 0 2 310.398 0.253 20 0 DCADLN CCCC[N@@H+]1CCc2onc(C(=O)N=c3nn[n-]n3C)c2C1 ZINC001278217986 945182194 /nfs/dbraw/zinc/18/21/94/945182194.db2.gz FJGGUTQZKYUOCK-UHFFFAOYSA-N 0 2 305.342 0.031 20 0 DCADLN CCCC[N@H+]1CCc2onc(C(=O)N=c3nn[n-]n3C)c2C1 ZINC001278217986 945182201 /nfs/dbraw/zinc/18/22/01/945182201.db2.gz FJGGUTQZKYUOCK-UHFFFAOYSA-N 0 2 305.342 0.031 20 0 DCADLN Cc1oc(C(C)C)nc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001480863704 939040655 /nfs/dbraw/zinc/04/06/55/939040655.db2.gz DAKRFXAHRYETBM-UHFFFAOYSA-N 0 2 322.369 0.792 20 0 DCADLN Cc1oc(C(C)C)nc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001480863704 939040660 /nfs/dbraw/zinc/04/06/60/939040660.db2.gz DAKRFXAHRYETBM-UHFFFAOYSA-N 0 2 322.369 0.792 20 0 DCADLN C[N@H+](CCNC(=O)c1cccnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001480885660 939172302 /nfs/dbraw/zinc/17/23/02/939172302.db2.gz DJXMPERGURWAAB-UHFFFAOYSA-N 0 2 316.365 0.645 20 0 DCADLN C[N@@H+](CCNC(=O)c1cccnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001480885660 939172303 /nfs/dbraw/zinc/17/23/03/939172303.db2.gz DJXMPERGURWAAB-UHFFFAOYSA-N 0 2 316.365 0.645 20 0 DCADLN CC[C@@H](C)NC(=O)C[NH+](CCNC(=O)[C@@H]1CCC[N@H+]1C)C1CC1 ZINC001481162219 939590134 /nfs/dbraw/zinc/59/01/34/939590134.db2.gz GNPVLHSMBIJGSS-HIFRSBDPSA-N 0 2 324.469 0.576 20 0 DCADLN CCN(CCNC(=O)[C@@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001408723525 939608874 /nfs/dbraw/zinc/60/88/74/939608874.db2.gz VMOXANPRDJNWLP-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CCN(CCNC(=O)[C@@H]1CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001408723525 939608875 /nfs/dbraw/zinc/60/88/75/939608875.db2.gz VMOXANPRDJNWLP-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CC(C)[C@H](F)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001481577405 939945679 /nfs/dbraw/zinc/94/56/79/939945679.db2.gz QSCGIWKBHVUEFB-AWEZNQCLSA-N 0 2 321.356 0.317 20 0 DCADLN COCCC(C)(C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481707747 940062316 /nfs/dbraw/zinc/06/23/16/940062316.db2.gz MAECTCYVMWLEOJ-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1nccnc1C[NH2+]C[C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001482128685 940268687 /nfs/dbraw/zinc/26/86/87/940268687.db2.gz NKCRQWIBJXXCFK-ZDUSSCGKSA-N 0 2 316.409 0.914 20 0 DCADLN CCn1ncc(Br)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362076229 940379454 /nfs/dbraw/zinc/37/94/54/940379454.db2.gz TVSDITWCXYDCSJ-UHFFFAOYSA-N 0 2 315.131 0.419 20 0 DCADLN CC(C)OCCCC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001269356970 940690768 /nfs/dbraw/zinc/69/07/68/940690768.db2.gz VWHDJIRJGGTFDE-LLVKDONJSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(Cc1cc[nH]n1)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001409132234 941542520 /nfs/dbraw/zinc/54/25/20/941542520.db2.gz SBNDFGICTRRZSW-SNVBAGLBSA-N 0 2 322.262 0.427 20 0 DCADLN O=C(Cc1cc[nH]n1)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409132234 941542524 /nfs/dbraw/zinc/54/25/24/941542524.db2.gz SBNDFGICTRRZSW-SNVBAGLBSA-N 0 2 322.262 0.427 20 0 DCADLN O=C(NCC1CN(C(=O)c2cc[nH]n2)C1)C(F)C(F)(F)F ZINC001409147119 941551813 /nfs/dbraw/zinc/55/18/13/941551813.db2.gz NQYVTAPQZDQGDE-MRVPVSSYSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccn[nH]2)C1)C(F)C(F)(F)F ZINC001409147119 941551814 /nfs/dbraw/zinc/55/18/14/941551814.db2.gz NQYVTAPQZDQGDE-MRVPVSSYSA-N 0 2 308.235 0.498 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001482638279 941606324 /nfs/dbraw/zinc/60/63/24/941606324.db2.gz MARFNCUGMMUQBT-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001482638279 941606325 /nfs/dbraw/zinc/60/63/25/941606325.db2.gz MARFNCUGMMUQBT-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN CN(CC[N@H+](C)CCn1cccn1)C(=O)CCc1c[nH+]cn1C ZINC001482645924 941607981 /nfs/dbraw/zinc/60/79/81/941607981.db2.gz LWNKXZRNADLIRW-UHFFFAOYSA-N 0 2 318.425 0.640 20 0 DCADLN C[C@@H](CNC(=O)[C@H](C)OCC1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409548826 942045353 /nfs/dbraw/zinc/04/53/53/942045353.db2.gz XAULDYUBNDVZSQ-UWVGGRQHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](CNC(=O)[C@H](C)OCC1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409548826 942045357 /nfs/dbraw/zinc/04/53/57/942045357.db2.gz XAULDYUBNDVZSQ-UWVGGRQHSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001409562856 942055567 /nfs/dbraw/zinc/05/55/67/942055567.db2.gz BLLCUPGUMCMCSF-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001409562856 942055571 /nfs/dbraw/zinc/05/55/71/942055571.db2.gz BLLCUPGUMCMCSF-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN CC(F)(F)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001412870622 942116617 /nfs/dbraw/zinc/11/66/17/942116617.db2.gz FYNPITDQUQIDIS-UHNVWZDZSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001412870622 942116622 /nfs/dbraw/zinc/11/66/22/942116622.db2.gz FYNPITDQUQIDIS-UHNVWZDZSA-N 0 2 310.194 0.135 20 0 DCADLN C[C@H](CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409707140 942155585 /nfs/dbraw/zinc/15/55/85/942155585.db2.gz SBDBPKHDXLHLCQ-ATEVWHNOSA-N 0 2 319.409 0.739 20 0 DCADLN C[C@H](CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409707140 942155590 /nfs/dbraw/zinc/15/55/90/942155590.db2.gz SBDBPKHDXLHLCQ-ATEVWHNOSA-N 0 2 319.409 0.739 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc2occc2[nH]1 ZINC001483344037 942286943 /nfs/dbraw/zinc/28/69/43/942286943.db2.gz OESJZHRSBUBIDW-MRVPVSSYSA-N 0 2 318.337 0.835 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc2occc2[nH]1 ZINC001483344037 942286950 /nfs/dbraw/zinc/28/69/50/942286950.db2.gz OESJZHRSBUBIDW-MRVPVSSYSA-N 0 2 318.337 0.835 20 0 DCADLN Cn1cc[nH+]c1C[C@@H](NC(=O)COCC(=O)[O-])c1ccccc1 ZINC001600986163 970892922 /nfs/dbraw/zinc/89/29/22/970892922.db2.gz YXNDTGIGHRSUNR-CYBMUJFWSA-N 0 2 317.345 0.921 20 0 DCADLN Cc1cc(CCC(=O)NCc2n[nH]c([C@H]3CCCO3)n2)[nH]n1 ZINC001413206137 942558218 /nfs/dbraw/zinc/55/82/18/942558218.db2.gz ZMRXCBXVWPIZDL-LLVKDONJSA-N 0 2 304.354 0.937 20 0 DCADLN CC(C)(F)C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001483624270 942574445 /nfs/dbraw/zinc/57/44/45/942574445.db2.gz KTPYUBYFXDGHQK-LLVKDONJSA-N 0 2 321.356 0.461 20 0 DCADLN COc1cc2c(cc1C(=O)NCc1nc(O)cc(=O)[nH]1)OCO2 ZINC001413342262 942908980 /nfs/dbraw/zinc/90/89/80/942908980.db2.gz LULVBNAAABNIHE-UHFFFAOYSA-N 0 2 319.273 0.555 20 0 DCADLN COc1cc2c(cc1C(=O)NCC1=NC(=O)CC(=O)N1)OCO2 ZINC001413342262 942908985 /nfs/dbraw/zinc/90/89/85/942908985.db2.gz LULVBNAAABNIHE-UHFFFAOYSA-N 0 2 319.273 0.555 20 0 DCADLN CC(C)=CC(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056880735 943465713 /nfs/dbraw/zinc/46/57/13/943465713.db2.gz QTNNYNKFWFJGTJ-SNVBAGLBSA-N 0 2 314.279 0.790 20 0 DCADLN CC(C)=CC(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001056880735 943465716 /nfs/dbraw/zinc/46/57/16/943465716.db2.gz QTNNYNKFWFJGTJ-SNVBAGLBSA-N 0 2 314.279 0.790 20 0 DCADLN CO[C@H](C)C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001493824424 943532929 /nfs/dbraw/zinc/53/29/29/943532929.db2.gz AENINBYRDDZJSI-CSMHCCOUSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001493824424 943532934 /nfs/dbraw/zinc/53/29/34/943532934.db2.gz AENINBYRDDZJSI-CSMHCCOUSA-N 0 2 300.252 0.639 20 0 DCADLN CC(C)CNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cnn[nH]2)CC1 ZINC001484718343 943533896 /nfs/dbraw/zinc/53/38/96/943533896.db2.gz ONDWRLLGDQLRHA-LBPRGKRZSA-N 0 2 322.413 0.161 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@H](c3cnccn3)C2)S1 ZINC001413666977 943559129 /nfs/dbraw/zinc/55/91/29/943559129.db2.gz NOYXAAZVZIAVSA-WCBMZHEXSA-N 0 2 305.363 0.349 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NC[C@H]1CCC[N@H+](Cc2cnon2)C1 ZINC001491110933 943757980 /nfs/dbraw/zinc/75/79/80/943757980.db2.gz KRKLFGHEMYLOCJ-CYBMUJFWSA-N 0 2 318.381 0.603 20 0 DCADLN CC(C)(C)NC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001491123370 943771544 /nfs/dbraw/zinc/77/15/44/943771544.db2.gz VJVGOLFEGUJDDV-LLVKDONJSA-N 0 2 322.413 0.161 20 0 DCADLN CCCC1(C(=O)NC2(CCO)CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001485416786 943931228 /nfs/dbraw/zinc/93/12/28/943931228.db2.gz QQSLUDFLQRBWGN-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN C[C@H](NC(=O)C=Cc1ccc[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485503724 943971287 /nfs/dbraw/zinc/97/12/87/943971287.db2.gz KFIJQKAVRDUAQW-LWTINBJPSA-N 0 2 316.365 0.488 20 0 DCADLN CCCc1noc(C[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001491430724 943989417 /nfs/dbraw/zinc/98/94/17/943989417.db2.gz HGJFJPHIRMJCBN-MRVPVSSYSA-N 0 2 322.369 0.667 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@]2(C1)OCc1ccccc12 ZINC001611471043 971069673 /nfs/dbraw/zinc/06/96/73/971069673.db2.gz NCHLVARJRYOJTQ-MRXNPFEDSA-N 0 2 304.346 0.709 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@]2(C1)OCc1ccccc12 ZINC001611471043 971069681 /nfs/dbraw/zinc/06/96/81/971069681.db2.gz NCHLVARJRYOJTQ-MRXNPFEDSA-N 0 2 304.346 0.709 20 0 DCADLN NC(=O)[C@@H]1CCC2(CN(C(=O)c3cc(F)c(O)cc3F)C2)O1 ZINC001276858754 944330625 /nfs/dbraw/zinc/33/06/25/944330625.db2.gz NZHONOKPJCOFQX-NSHDSACASA-N 0 2 312.272 0.529 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@H](c2cc[nH]n2)C1 ZINC001611488344 971076001 /nfs/dbraw/zinc/07/60/01/971076001.db2.gz CGKKOMNDAHBSGY-JTQLQIEISA-N 0 2 301.368 0.089 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@H](c2cc[nH]n2)C1 ZINC001611488344 971076012 /nfs/dbraw/zinc/07/60/12/971076012.db2.gz CGKKOMNDAHBSGY-JTQLQIEISA-N 0 2 301.368 0.089 20 0 DCADLN CNC(=O)C[NH2+]C[C@H](NC(=O)CCCn1cc[nH+]c1)C(C)(C)C ZINC001486423581 944871292 /nfs/dbraw/zinc/87/12/92/944871292.db2.gz UOVMAVANYAKDDP-ZDUSSCGKSA-N 0 2 323.441 0.530 20 0 DCADLN CCOCC(=O)NCC1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001486602027 944989261 /nfs/dbraw/zinc/98/92/61/944989261.db2.gz ONCGUWOGNLHBAL-SFVIPPHHSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NCC1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001486602027 944989265 /nfs/dbraw/zinc/98/92/65/944989265.db2.gz ONCGUWOGNLHBAL-SFVIPPHHSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H]1[C@H](NC(=O)C(=O)C(C)(C)C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001278505322 945599031 /nfs/dbraw/zinc/59/90/31/945599031.db2.gz IYEKSHPUSNAOTA-VHSXEESVSA-N 0 2 323.397 0.595 20 0 DCADLN Nc1nc2nc(CSC(=S)N3CCCC3)cc(=O)n2[nH]1 ZINC001321978065 946534000 /nfs/dbraw/zinc/53/40/00/946534000.db2.gz CIFQTCOVTPIJCW-UHFFFAOYSA-N 0 2 310.408 0.614 20 0 DCADLN O=C(Cc1cc[nH]n1)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001410567400 946569926 /nfs/dbraw/zinc/56/99/26/946569926.db2.gz SPIRKWFJFYSOLB-SCZZXKLOSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001410567400 946569932 /nfs/dbraw/zinc/56/99/32/946569932.db2.gz SPIRKWFJFYSOLB-SCZZXKLOSA-N 0 2 322.262 0.570 20 0 DCADLN CO[C@@H](C)C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001410678115 946753949 /nfs/dbraw/zinc/75/39/49/946753949.db2.gz DAWZZYGXWFHOMJ-BQBZGAKWSA-N 0 2 300.252 0.687 20 0 DCADLN CO[C@@H](C)C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001410678115 946753953 /nfs/dbraw/zinc/75/39/53/946753953.db2.gz DAWZZYGXWFHOMJ-BQBZGAKWSA-N 0 2 300.252 0.687 20 0 DCADLN CO[C@H](C)C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001410678139 946760542 /nfs/dbraw/zinc/76/05/42/946760542.db2.gz DAWZZYGXWFHOMJ-RNFRBKRXSA-N 0 2 300.252 0.687 20 0 DCADLN CO[C@H](C)C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001410678139 946760549 /nfs/dbraw/zinc/76/05/49/946760549.db2.gz DAWZZYGXWFHOMJ-RNFRBKRXSA-N 0 2 300.252 0.687 20 0 DCADLN Cc1ccc(F)cc1S(=O)(=O)Nc1cnc(C(N)=O)nc1 ZINC001258921362 946870870 /nfs/dbraw/zinc/87/08/70/946870870.db2.gz DAMXTEYIDCPFTB-UHFFFAOYSA-N 0 2 310.310 0.824 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc(S(C)(=O)=O)cc2)n1 ZINC001259139316 946920742 /nfs/dbraw/zinc/92/07/42/946920742.db2.gz GGVKZBYDOIQXML-UHFFFAOYSA-N 0 2 316.364 0.019 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)C(=O)NCCO3)cn1 ZINC001259819396 946987125 /nfs/dbraw/zinc/98/71/25/946987125.db2.gz CVISGAGMWOMJIH-UHFFFAOYSA-N 0 2 322.346 0.343 20 0 DCADLN O=C([O-])c1ccc(F)c(S(=O)(=O)N2CC[N@@H+]3CC[C@H]3C2)c1 ZINC001260214911 947084977 /nfs/dbraw/zinc/08/49/77/947084977.db2.gz RQYZUHFJSWIEEH-JTQLQIEISA-N 0 2 314.338 0.603 20 0 DCADLN O=C([O-])c1ccc(F)c(S(=O)(=O)N2CC[N@H+]3CC[C@H]3C2)c1 ZINC001260214911 947084988 /nfs/dbraw/zinc/08/49/88/947084988.db2.gz RQYZUHFJSWIEEH-JTQLQIEISA-N 0 2 314.338 0.603 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cnn(CC(F)(F)F)c1 ZINC001260583955 947115473 /nfs/dbraw/zinc/11/54/73/947115473.db2.gz PUANAVLJBKPSLQ-UHFFFAOYSA-N 0 2 321.302 0.189 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cncc2ccccc21 ZINC001323593325 947139708 /nfs/dbraw/zinc/13/97/08/947139708.db2.gz SYTWBCFHNQTZIU-UHFFFAOYSA-N 0 2 312.289 0.313 20 0 DCADLN O=S(=O)(Nc1nnc(Br)s1)c1cn[nH]c1 ZINC001260953639 947155975 /nfs/dbraw/zinc/15/59/75/947155975.db2.gz BSWWEDIUOASRCP-UHFFFAOYSA-N 0 2 310.158 0.825 20 0 DCADLN CN1C(=O)NCc2c(NS(=O)(=O)c3cn[nH]c3)cccc21 ZINC001260961631 947160141 /nfs/dbraw/zinc/16/01/41/947160141.db2.gz JGNMFKQVSUNTMM-UHFFFAOYSA-N 0 2 307.335 0.870 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1cccc(CC(N)=O)c1 ZINC001261029881 947168191 /nfs/dbraw/zinc/16/81/91/947168191.db2.gz XSTAGHSQGQSACD-UHFFFAOYSA-N 0 2 308.363 0.855 20 0 DCADLN COC[C@](C)(CC(=O)[O-])NC(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC001262177419 947593375 /nfs/dbraw/zinc/59/33/75/947593375.db2.gz UKZVRJAQMBHSCN-JQVXPOPVSA-N 0 2 314.382 0.261 20 0 DCADLN COCC(=O)NCC1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001411314884 947694276 /nfs/dbraw/zinc/69/42/76/947694276.db2.gz ARZWRJJXDQKNCV-IEIXJENWSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NCC1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001411314884 947694284 /nfs/dbraw/zinc/69/42/84/947694284.db2.gz ARZWRJJXDQKNCV-IEIXJENWSA-N 0 2 300.252 0.544 20 0 DCADLN Cc1nnc(C[N@@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CC[NH+](C)C)o1 ZINC001273792271 948094805 /nfs/dbraw/zinc/09/48/05/948094805.db2.gz NHMHWTQFWIPNQK-CHWSQXEVSA-N 0 2 307.398 0.505 20 0 DCADLN Cn1cnc(CCCNC(=O)c2cn[nH]c2-c2cnn(C)c2)n1 ZINC001327166328 948410635 /nfs/dbraw/zinc/41/06/35/948410635.db2.gz CGCMWLGYYOPVNA-UHFFFAOYSA-N 0 2 314.353 0.301 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)NC[C@@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001327235980 948431059 /nfs/dbraw/zinc/43/10/59/948431059.db2.gz PUWUAMVPEJFVNW-UPJWGTAASA-N 0 2 315.414 0.304 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)NC[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001327235980 948431065 /nfs/dbraw/zinc/43/10/65/948431065.db2.gz PUWUAMVPEJFVNW-UPJWGTAASA-N 0 2 315.414 0.304 20 0 DCADLN CSc1ccccc1Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001570918653 948577003 /nfs/dbraw/zinc/57/70/03/948577003.db2.gz RXPJCSHNOMBOHG-UHFFFAOYSA-N 0 2 316.346 0.899 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(CCCO)C1CC1 ZINC001570921430 948620281 /nfs/dbraw/zinc/62/02/81/948620281.db2.gz ABLGABUJCJVXMI-UHFFFAOYSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCC1(O)CCC1 ZINC001570921814 948628322 /nfs/dbraw/zinc/62/83/22/948628322.db2.gz PJWHPYKRMUNFKP-UHFFFAOYSA-N 0 2 318.337 0.311 20 0 DCADLN CCOC1(C(=O)NCCc2n[nH]c(=S)o2)CCOCC1 ZINC001328348096 948846879 /nfs/dbraw/zinc/84/68/79/948846879.db2.gz CQPZHUWGDUWYAY-UHFFFAOYSA-N 0 2 301.368 0.603 20 0 DCADLN O=C([O-])c1ccc(NCC2(O)CCS(=O)(=O)CC2)[nH+]c1 ZINC001595236095 949404882 /nfs/dbraw/zinc/40/48/82/949404882.db2.gz PKKAKOJSXCTABF-UHFFFAOYSA-N 0 2 300.336 0.131 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@H](O)C1CCCC1 ZINC001364498921 949428782 /nfs/dbraw/zinc/42/87/82/949428782.db2.gz OVKPLXWYRMOTOJ-LBPRGKRZSA-N 0 2 319.365 0.129 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)C1(C(=O)[O-])CCOCC1 ZINC001589626999 949496618 /nfs/dbraw/zinc/49/66/18/949496618.db2.gz UZRBGKYIPGNQSM-GFCCVEGCSA-N 0 2 314.382 0.095 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)C1(C(=O)[O-])CCOCC1 ZINC001589626999 949496629 /nfs/dbraw/zinc/49/66/29/949496629.db2.gz UZRBGKYIPGNQSM-GFCCVEGCSA-N 0 2 314.382 0.095 20 0 DCADLN CCO[C@H](C(=O)NCCc1n[nH]c(=S)o1)C1CCOCC1 ZINC001329796467 949878103 /nfs/dbraw/zinc/87/81/03/949878103.db2.gz FUEIWNIMVRRZQZ-NSHDSACASA-N 0 2 315.395 0.849 20 0 DCADLN CCC[N@H+](CC(=O)[O-])[C@H](C)C(=O)Nc1sccc1C(N)=O ZINC001589667598 949907996 /nfs/dbraw/zinc/90/79/96/949907996.db2.gz DHIWYJHRKXKJIX-MRVPVSSYSA-N 0 2 313.379 0.971 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)Nc1sccc1C(N)=O ZINC001589667598 949908007 /nfs/dbraw/zinc/90/80/07/949908007.db2.gz DHIWYJHRKXKJIX-MRVPVSSYSA-N 0 2 313.379 0.971 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CC2CC(F)(F)C2)[nH]1 ZINC001364902384 950165948 /nfs/dbraw/zinc/16/59/48/950165948.db2.gz NQXXVRVGJKALLR-UHFFFAOYSA-N 0 2 310.326 0.416 20 0 DCADLN CSCc1nc(CNS(=O)(=O)c2ccccc2O)n[nH]1 ZINC001364934716 950207766 /nfs/dbraw/zinc/20/77/66/950207766.db2.gz FYHDPVHVYITDAJ-UHFFFAOYSA-N 0 2 314.392 0.852 20 0 DCADLN CSCc1n[nH]c(CNS(=O)(=O)c2ccccc2O)n1 ZINC001364934716 950207783 /nfs/dbraw/zinc/20/77/83/950207783.db2.gz FYHDPVHVYITDAJ-UHFFFAOYSA-N 0 2 314.392 0.852 20 0 DCADLN C[C@H]1CC[C@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001364998198 950326972 /nfs/dbraw/zinc/32/69/72/950326972.db2.gz QNTOQWBIBHTMME-IUCAKERBSA-N 0 2 300.384 0.402 20 0 DCADLN COc1cccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001364998523 950329083 /nfs/dbraw/zinc/32/90/83/950329083.db2.gz UYXIDXDMWYHCOQ-UHFFFAOYSA-N 0 2 324.362 0.288 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)N1CCC[C@H](c2cc[nH]n2)C1 ZINC001365082692 950480973 /nfs/dbraw/zinc/48/09/73/950480973.db2.gz JOYDFDUXXQQQJS-QMMMGPOBSA-N 0 2 318.341 0.299 20 0 DCADLN Cn1cccc(NC(=O)NCc2nnc(O)n2C2CC2)c1=O ZINC001365127433 950567748 /nfs/dbraw/zinc/56/77/48/950567748.db2.gz ZYXDJHPQGWVSPF-UHFFFAOYSA-N 0 2 304.310 0.339 20 0 DCADLN COC(=O)c1cc(NC(=O)CC2SC(=N)NC2=O)cnc1C ZINC001365185809 950694289 /nfs/dbraw/zinc/69/42/89/950694289.db2.gz PUDSBSIQZIKDAB-SECBINFHSA-N 0 2 322.346 0.672 20 0 DCADLN C[C@H](CNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C)C(=O)[O-] ZINC001331509047 951148548 /nfs/dbraw/zinc/14/85/48/951148548.db2.gz HTNIJTRDECIVIZ-MFKMUULPSA-N 0 2 319.361 0.126 20 0 DCADLN C[C@H](CNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C)C(=O)[O-] ZINC001331509047 951148556 /nfs/dbraw/zinc/14/85/56/951148556.db2.gz HTNIJTRDECIVIZ-MFKMUULPSA-N 0 2 319.361 0.126 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[N@H+](C)CC1(C)C ZINC001365467307 951161673 /nfs/dbraw/zinc/16/16/73/951161673.db2.gz PIMFHWIIGFVABB-SNVBAGLBSA-N 0 2 311.473 0.669 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[N@@H+](C)CC1(C)C ZINC001365467307 951161681 /nfs/dbraw/zinc/16/16/81/951161681.db2.gz PIMFHWIIGFVABB-SNVBAGLBSA-N 0 2 311.473 0.669 20 0 DCADLN O=C(Cc1cccs1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365621379 951332765 /nfs/dbraw/zinc/33/27/65/951332765.db2.gz ATRSYKRSMPSLGS-UHFFFAOYSA-N 0 2 307.379 0.459 20 0 DCADLN O=C([C@H]1C[C@@H]1C(F)F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365693732 951441999 /nfs/dbraw/zinc/44/19/99/951441999.db2.gz YZSHQTBYGGMKQK-YUMQZZPRSA-N 0 2 301.297 0.056 20 0 DCADLN CCc1nnc(CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)[nH]1 ZINC001365709674 951463487 /nfs/dbraw/zinc/46/34/87/951463487.db2.gz ZWIXTLOXEUDMHU-LJQANCHMSA-N 0 2 323.444 0.598 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@H]1CC[C@@H](C2CC2)O1 ZINC001365710521 951464567 /nfs/dbraw/zinc/46/45/67/951464567.db2.gz QOPYSLMTRYIQIV-VWYCJHECSA-N 0 2 309.359 0.375 20 0 DCADLN O=C([O-])CC[NH+]1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC001595055382 951488744 /nfs/dbraw/zinc/48/87/44/951488744.db2.gz JUXOUCCXCDOFCA-UHFFFAOYSA-N 0 2 310.375 0.538 20 0 DCADLN CS(=O)(=O)c1ccc(C(=O)Nc2nn3cnnc3s2)o1 ZINC001332159167 951504116 /nfs/dbraw/zinc/50/41/16/951504116.db2.gz CSWKZEVUCFQBMV-UHFFFAOYSA-N 0 2 313.320 0.435 20 0 DCADLN Cn1cc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)cn1 ZINC001365781797 951531812 /nfs/dbraw/zinc/53/18/12/951531812.db2.gz ULLPUMMCOOIMED-RKDXNWHRSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)NC[C@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001365781797 951531814 /nfs/dbraw/zinc/53/18/14/951531814.db2.gz ULLPUMMCOOIMED-RKDXNWHRSA-N 0 2 322.262 0.651 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH2+]Cc1nc(C2CC2)cs1 ZINC001595124674 952000967 /nfs/dbraw/zinc/00/09/67/952000967.db2.gz BCGZZADNBIEOEM-UHFFFAOYSA-N 0 2 304.393 0.610 20 0 DCADLN CN(C)C(=O)c1cn(Cc2nc(=O)c3sccc3[nH]2)nn1 ZINC001333519605 952087817 /nfs/dbraw/zinc/08/78/17/952087817.db2.gz JSHWTERJHBQHPM-UHFFFAOYSA-N 0 2 304.335 0.739 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1[O-] ZINC001411390386 952088062 /nfs/dbraw/zinc/08/80/62/952088062.db2.gz WHNNDIDORGMRRA-YUMQZZPRSA-N 0 2 320.353 0.013 20 0 DCADLN O=C([O-])Cn1cccc(C(=O)OCCCn2cc[nH+]c2)c1=O ZINC001595158027 952176263 /nfs/dbraw/zinc/17/62/63/952176263.db2.gz DDAUDXVAVBOIPI-UHFFFAOYSA-N 0 2 305.290 0.377 20 0 DCADLN COCCOCC[NH+]1CCN(C(=O)C[C@@H](C)CC(=O)[O-])CC1 ZINC001334231686 952266220 /nfs/dbraw/zinc/26/62/20/952266220.db2.gz VQBUUYVSBOLDFX-CYBMUJFWSA-N 0 2 316.398 0.295 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)ncn1 ZINC001415476510 952334712 /nfs/dbraw/zinc/33/47/12/952334712.db2.gz TXGXCFSUNPWJGP-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001415476510 952334717 /nfs/dbraw/zinc/33/47/17/952334717.db2.gz TXGXCFSUNPWJGP-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN CN1CCN(C(=O)C[NH+](C)Cc2ccccc2)CC[N@H+](C)CC1 ZINC001334810760 952397229 /nfs/dbraw/zinc/39/72/29/952397229.db2.gz SHWWKBAQCXZWSH-UHFFFAOYSA-N 0 2 318.465 0.824 20 0 DCADLN CCn1ccc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001415514571 952434270 /nfs/dbraw/zinc/43/42/70/952434270.db2.gz MTISIJFKXFBAII-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CCn1ccc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001415514571 952434274 /nfs/dbraw/zinc/43/42/74/952434274.db2.gz MTISIJFKXFBAII-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@H]1C[C@@H](O)C1 ZINC001412045844 952616274 /nfs/dbraw/zinc/61/62/74/952616274.db2.gz MZAFZPFEDWYWQF-PHIMTYICSA-N 0 2 302.334 0.771 20 0 DCADLN CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)[N@H+]2Cc1ccc(C(=O)[O-])s1 ZINC001276015165 952622054 /nfs/dbraw/zinc/62/20/54/952622054.db2.gz GOBMKNKJJGVDSW-PHIMTYICSA-N 0 2 323.418 0.793 20 0 DCADLN CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)[N@@H+]2Cc1ccc(C(=O)[O-])s1 ZINC001276015165 952622057 /nfs/dbraw/zinc/62/20/57/952622057.db2.gz GOBMKNKJJGVDSW-PHIMTYICSA-N 0 2 323.418 0.793 20 0 DCADLN CC1(C)CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC[S@]1=O ZINC001412128730 952656235 /nfs/dbraw/zinc/65/62/35/952656235.db2.gz UOSHUAMLJIHJIL-FFVOIRBGSA-N 0 2 317.436 0.302 20 0 DCADLN Cc1ncc2n1C[C@@H](C(=O)NCc1nc(O)cc(=O)[nH]1)CC2 ZINC001412378322 952770343 /nfs/dbraw/zinc/77/03/43/952770343.db2.gz WXMGEUDIPPOUTP-VIFPVBQESA-N 0 2 303.322 0.272 20 0 DCADLN CCOc1n[nH]c(NC(=O)Cc2cc(=O)n(CC(C)C)[nH]2)n1 ZINC001412473872 952828939 /nfs/dbraw/zinc/82/89/39/952828939.db2.gz RZYDDIBAVRJPBJ-UHFFFAOYSA-N 0 2 308.342 0.530 20 0 DCADLN C[C@@]1(CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCOC1 ZINC001412575641 952907281 /nfs/dbraw/zinc/90/72/81/952907281.db2.gz LWSBCOGVQBEDND-HNNXBMFYSA-N 0 2 318.333 0.423 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001412593967 952923364 /nfs/dbraw/zinc/92/33/64/952923364.db2.gz XEBCUWIQOCRHJT-PSASIEDQSA-N 0 2 303.322 0.349 20 0 DCADLN CC(C)(C)c1ccc(=O)n(C[N@@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)n1 ZINC001602922928 971882282 /nfs/dbraw/zinc/88/22/82/971882282.db2.gz JXHOIEZBMYXNJO-BDJLRTHQSA-N 0 2 321.377 0.531 20 0 DCADLN CC(C)(C)c1ccc(=O)n(C[N@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)n1 ZINC001602922928 971882289 /nfs/dbraw/zinc/88/22/89/971882289.db2.gz JXHOIEZBMYXNJO-BDJLRTHQSA-N 0 2 321.377 0.531 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1(c2ccc3c(c2)OCO3)CC1 ZINC001412637558 952959405 /nfs/dbraw/zinc/95/94/05/952959405.db2.gz UNMWXDJZYWHTHS-UHFFFAOYSA-N 0 2 302.290 0.587 20 0 DCADLN C[C@@H]1CCC[C@@]1(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412681810 952991100 /nfs/dbraw/zinc/99/11/00/952991100.db2.gz AAXMXQZHGVMQRI-NOZJJQNGSA-N 0 2 314.411 0.792 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)NCCc1cccc(O)c1 ZINC001341128959 953212087 /nfs/dbraw/zinc/21/20/87/953212087.db2.gz HPPDRLJSOFCOIL-UHFFFAOYSA-N 0 2 302.334 0.399 20 0 DCADLN Cn1nnnc1CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001365933319 953427457 /nfs/dbraw/zinc/42/74/57/953427457.db2.gz CZVYPVBFZJRZHO-IONNQARKSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CCC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001365933319 953427468 /nfs/dbraw/zinc/42/74/68/953427468.db2.gz CZVYPVBFZJRZHO-IONNQARKSA-N 0 2 324.282 0.191 20 0 DCADLN C[C@H](CNC(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001346520046 953909019 /nfs/dbraw/zinc/90/90/19/953909019.db2.gz ZTHGGQMRWKQFBY-MFKMUULPSA-N 0 2 319.361 0.126 20 0 DCADLN C[C@H](CNC(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001346520046 953909027 /nfs/dbraw/zinc/90/90/27/953909027.db2.gz ZTHGGQMRWKQFBY-MFKMUULPSA-N 0 2 319.361 0.126 20 0 DCADLN C[C@@H]1CCN(c2nnc([C@@H]3C[C@H](O)C[N@@H+]3C)n2C)C[C@@H]1C(=O)[O-] ZINC001589363795 954173216 /nfs/dbraw/zinc/17/32/16/954173216.db2.gz ZALZAGAYUKFHAF-RHYQMDGZSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1CCN(c2nnc([C@@H]3C[C@H](O)C[N@H+]3C)n2C)C[C@@H]1C(=O)[O-] ZINC001589363795 954173222 /nfs/dbraw/zinc/17/32/22/954173222.db2.gz ZALZAGAYUKFHAF-RHYQMDGZSA-N 0 2 323.397 0.100 20 0 DCADLN Nc1nc2nc(CN3CCOC[C@@H]3c3ccco3)cc(=O)n2[nH]1 ZINC001347919120 954178701 /nfs/dbraw/zinc/17/87/01/954178701.db2.gz JQTBREHDIAPGNL-SNVBAGLBSA-N 0 2 316.321 0.166 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001347976281 954185698 /nfs/dbraw/zinc/18/56/98/954185698.db2.gz AKLIFSHSUNGCRA-SNVBAGLBSA-N 0 2 312.333 0.766 20 0 DCADLN Cc1cnc(C[NH2+][C@@H](C)CCNC(=O)Cc2c[nH+]cn2C)cn1 ZINC001366498616 954280367 /nfs/dbraw/zinc/28/03/67/954280367.db2.gz ONAJQNBBEXAYLX-LBPRGKRZSA-N 0 2 316.409 0.746 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)C(=O)[O-] ZINC001589400413 954418117 /nfs/dbraw/zinc/41/81/17/954418117.db2.gz PYHNNZOOGWSPLA-NWDGAFQWSA-N 0 2 301.387 0.363 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@H+]1CCO[C@@H](C)C1)C(=O)[O-] ZINC001589400413 954418123 /nfs/dbraw/zinc/41/81/23/954418123.db2.gz PYHNNZOOGWSPLA-NWDGAFQWSA-N 0 2 301.387 0.363 20 0 DCADLN C[N@H+](CCNC(=O)c1ncsc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001366565561 954432525 /nfs/dbraw/zinc/43/25/25/954432525.db2.gz KCSYCYZSCJKSOQ-UHFFFAOYSA-N 0 2 322.394 0.706 20 0 DCADLN C[N@@H+](CCNC(=O)c1ncsc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001366565561 954432529 /nfs/dbraw/zinc/43/25/29/954432529.db2.gz KCSYCYZSCJKSOQ-UHFFFAOYSA-N 0 2 322.394 0.706 20 0 DCADLN CCC[N@@H+]1CCC[C@H]1C(=O)NCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001348789392 954467536 /nfs/dbraw/zinc/46/75/36/954467536.db2.gz UFUYBHYNFIBJBH-NSHDSACASA-N 0 2 323.397 0.144 20 0 DCADLN CCC[N@H+]1CCC[C@H]1C(=O)NCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001348789392 954467541 /nfs/dbraw/zinc/46/75/41/954467541.db2.gz UFUYBHYNFIBJBH-NSHDSACASA-N 0 2 323.397 0.144 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CCC1 ZINC001349163873 954623343 /nfs/dbraw/zinc/62/33/43/954623343.db2.gz SUZUSIZEKKDCTO-IUCAKERBSA-N 0 2 314.279 0.934 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C1CCC1 ZINC001349163873 954623350 /nfs/dbraw/zinc/62/33/50/954623350.db2.gz SUZUSIZEKKDCTO-IUCAKERBSA-N 0 2 314.279 0.934 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001593807393 954915385 /nfs/dbraw/zinc/91/53/85/954915385.db2.gz PKLOQYAVKKXSBP-ABAIWWIYSA-N 0 2 314.382 0.629 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001593807393 954915393 /nfs/dbraw/zinc/91/53/93/954915393.db2.gz PKLOQYAVKKXSBP-ABAIWWIYSA-N 0 2 314.382 0.629 20 0 DCADLN CO[C@]1(CNc2ccc(C(=O)[O-])c[nH+]2)CCS(=O)(=O)C1 ZINC000720881025 955183630 /nfs/dbraw/zinc/18/36/30/955183630.db2.gz UKJMMTYTOOGUIV-LBPRGKRZSA-N 0 2 300.336 0.395 20 0 DCADLN CS[C@@H](C)CC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367050670 955262815 /nfs/dbraw/zinc/26/28/15/955262815.db2.gz GWZUHFSBRHHYTC-UWVGGRQHSA-N 0 2 313.427 0.685 20 0 DCADLN CS[C@@H](C)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367050670 955262822 /nfs/dbraw/zinc/26/28/22/955262822.db2.gz GWZUHFSBRHHYTC-UWVGGRQHSA-N 0 2 313.427 0.685 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2nncn2C)C1 ZINC001367054166 955266337 /nfs/dbraw/zinc/26/63/37/955266337.db2.gz ROQDWVXEEZRTKG-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(Cc2nncn2C)C1 ZINC001367054166 955266344 /nfs/dbraw/zinc/26/63/44/955266344.db2.gz ROQDWVXEEZRTKG-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@@H+]1CCC[C@]1(C)C(=O)[O-])C(C)C ZINC001593860769 955537240 /nfs/dbraw/zinc/53/72/40/955537240.db2.gz BZOOIMIZSBMMIJ-SMDDNHRTSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@H+]1CCC[C@]1(C)C(=O)[O-])C(C)C ZINC001593860769 955537256 /nfs/dbraw/zinc/53/72/56/955537256.db2.gz BZOOIMIZSBMMIJ-SMDDNHRTSA-N 0 2 300.355 0.239 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@]1(C(=O)[O-])CC=CCC1 ZINC001589040010 955587595 /nfs/dbraw/zinc/58/75/95/955587595.db2.gz WVMSMYIAURAPKS-BBRMVZONSA-N 0 2 310.394 0.977 20 0 DCADLN COC(=O)c1ccc(C[N@H+](C)CN2C[C@@H](C(=O)[O-])CC2=O)cc1 ZINC001593876701 955679413 /nfs/dbraw/zinc/67/94/13/955679413.db2.gz DNFOJBHAWMBKDX-ZDUSSCGKSA-N 0 2 320.345 0.796 20 0 DCADLN COC(=O)c1ccc(C[N@@H+](C)CN2C[C@@H](C(=O)[O-])CC2=O)cc1 ZINC001593876701 955679418 /nfs/dbraw/zinc/67/94/18/955679418.db2.gz DNFOJBHAWMBKDX-ZDUSSCGKSA-N 0 2 320.345 0.796 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC001594557740 955779585 /nfs/dbraw/zinc/77/95/85/955779585.db2.gz WFFJBDQUTLMTIP-GFAPAMAISA-N 0 2 305.290 0.574 20 0 DCADLN Cn1c[nH+]cc1CCCOC(=O)[C@H]1CCCN(CC(=O)[O-])C1=O ZINC001594558624 955789291 /nfs/dbraw/zinc/78/92/91/955789291.db2.gz PQFBLEGTGBVAGK-LBPRGKRZSA-N 0 2 323.349 0.219 20 0 DCADLN COC[C@]1(C(=O)[O-])CC[N@@H+]([C@H](C(=O)OC)c2cccnc2)C1 ZINC001593967400 956570825 /nfs/dbraw/zinc/57/08/25/956570825.db2.gz HTUNXIROJRIODO-WFASDCNBSA-N 0 2 308.334 0.719 20 0 DCADLN COC[C@]1(C(=O)[O-])CC[N@H+]([C@H](C(=O)OC)c2cccnc2)C1 ZINC001593967400 956570833 /nfs/dbraw/zinc/57/08/33/956570833.db2.gz HTUNXIROJRIODO-WFASDCNBSA-N 0 2 308.334 0.719 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2cccc3nsnc32)CCO1 ZINC001593984284 956747179 /nfs/dbraw/zinc/74/71/79/956747179.db2.gz PDKUMXAMWFRSGI-CQSZACIVSA-N 0 2 323.374 0.993 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2cccc3nsnc32)CCO1 ZINC001593984284 956747188 /nfs/dbraw/zinc/74/71/88/956747188.db2.gz PDKUMXAMWFRSGI-CQSZACIVSA-N 0 2 323.374 0.993 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2ccc(N(C)C)nc2)CCO1 ZINC001593985310 956766374 /nfs/dbraw/zinc/76/63/74/956766374.db2.gz WQTQMVOQZPTBOI-OAHLLOKOSA-N 0 2 309.366 0.450 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2ccc(N(C)C)nc2)CCO1 ZINC001593985310 956766382 /nfs/dbraw/zinc/76/63/82/956766382.db2.gz WQTQMVOQZPTBOI-OAHLLOKOSA-N 0 2 309.366 0.450 20 0 DCADLN COCCCOC1CC[NH+](CCS(=O)(=O)CC(=O)[O-])CC1 ZINC001593994529 956867980 /nfs/dbraw/zinc/86/79/80/956867980.db2.gz IDCXTKRUHIRYKL-UHFFFAOYSA-N 0 2 323.411 0.003 20 0 DCADLN C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001589263208 957060530 /nfs/dbraw/zinc/06/05/30/957060530.db2.gz YVPRODGRHTZRHU-YUMQZZPRSA-N 0 2 303.302 0.759 20 0 DCADLN C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001589263208 957060541 /nfs/dbraw/zinc/06/05/41/957060541.db2.gz YVPRODGRHTZRHU-YUMQZZPRSA-N 0 2 303.302 0.759 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1n[nH]cc1F ZINC001292843734 957170049 /nfs/dbraw/zinc/17/00/49/957170049.db2.gz KTGYJBJJLUSNBS-LURJTMIESA-N 0 2 300.187 0.295 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1n[nH]cc1F ZINC001292843734 957170060 /nfs/dbraw/zinc/17/00/60/957170060.db2.gz KTGYJBJJLUSNBS-LURJTMIESA-N 0 2 300.187 0.295 20 0 DCADLN Cc1cc(C)n(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001292844202 957170069 /nfs/dbraw/zinc/17/00/69/957170069.db2.gz OPYDCFUZMYDDDN-JTQLQIEISA-N 0 2 324.278 0.633 20 0 DCADLN Cc1cc(C)n(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001292844202 957170085 /nfs/dbraw/zinc/17/00/85/957170085.db2.gz OPYDCFUZMYDDDN-JTQLQIEISA-N 0 2 324.278 0.633 20 0 DCADLN Cc1[nH]c(CNC(=O)c2cccc(-n3[n-]nnc3=N)c2)[nH+]c1C ZINC001294518524 957540192 /nfs/dbraw/zinc/54/01/92/957540192.db2.gz CHAXPGXDDRNJKB-UHFFFAOYSA-N 0 2 312.337 0.345 20 0 DCADLN CCOCCN(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001295628161 957771831 /nfs/dbraw/zinc/77/18/31/957771831.db2.gz VXLLNACZTFUMSX-UHFFFAOYSA-N 0 2 306.322 0.375 20 0 DCADLN CC(C)(C)CCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001367477951 957867389 /nfs/dbraw/zinc/86/73/89/957867389.db2.gz BXFADVIBGVRQQV-UHFFFAOYSA-N 0 2 317.415 0.585 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)[C@H]2CC(C(=O)[O-])=NO2)c1C ZINC001594046500 957934030 /nfs/dbraw/zinc/93/40/30/957934030.db2.gz OTOVLVWOIDYRBW-LLVKDONJSA-N 0 2 307.306 0.553 20 0 DCADLN COC[C@@H](C)[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367515374 957942214 /nfs/dbraw/zinc/94/22/14/957942214.db2.gz SVXQXXIZPNIXNV-MNOVXSKESA-N 0 2 313.402 0.319 20 0 DCADLN COC[C@@H](C)[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367515374 957942224 /nfs/dbraw/zinc/94/22/24/957942224.db2.gz SVXQXXIZPNIXNV-MNOVXSKESA-N 0 2 313.402 0.319 20 0 DCADLN O=C(NC1CN(C(=O)c2cc[n+]([O-])cc2)C1)C(F)C(F)(F)F ZINC001297069198 958124547 /nfs/dbraw/zinc/12/45/47/958124547.db2.gz GONHQARPZHFBSB-SECBINFHSA-N 0 2 321.230 0.161 20 0 DCADLN O=C(NC1CN(C(=O)c2cc[n+]([O-])cc2)C1)[C@@H](F)C(F)(F)F ZINC001297069198 958124558 /nfs/dbraw/zinc/12/45/58/958124558.db2.gz GONHQARPZHFBSB-SECBINFHSA-N 0 2 321.230 0.161 20 0 DCADLN COc1cc2c(cc1O)CC[N@@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC001594069736 958171914 /nfs/dbraw/zinc/17/19/14/958171914.db2.gz OBHLCVBYSYIMMH-GFCCVEGCSA-N 0 2 320.345 0.444 20 0 DCADLN COc1cc2c(cc1O)CC[N@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC001594069736 958171924 /nfs/dbraw/zinc/17/19/24/958171924.db2.gz OBHLCVBYSYIMMH-GFCCVEGCSA-N 0 2 320.345 0.444 20 0 DCADLN C[C@H]([C@H](C)NC(=O)C1(C(=O)[O-])CCOCC1)[NH+]1CCOCC1 ZINC001603216019 972329745 /nfs/dbraw/zinc/32/97/45/972329745.db2.gz MSOSIMGTYFOEDZ-NWDGAFQWSA-N 0 2 314.382 0.093 20 0 DCADLN NC(=O)[C@@H]1CCC[N@@H+]1C1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001361882659 958444179 /nfs/dbraw/zinc/44/41/79/958444179.db2.gz MYJKPGNTCSYTOA-AWEZNQCLSA-N 0 2 319.409 0.283 20 0 DCADLN O=C(Cc1ccon1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001298322415 958545821 /nfs/dbraw/zinc/54/58/21/958545821.db2.gz YJLCSKOYHUEZRU-TXXBHVLJSA-N 0 2 323.246 0.906 20 0 DCADLN O=C(Cc1ccon1)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001298322415 958545828 /nfs/dbraw/zinc/54/58/28/958545828.db2.gz YJLCSKOYHUEZRU-TXXBHVLJSA-N 0 2 323.246 0.906 20 0 DCADLN Cc1ccc(CC(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001367798542 958607431 /nfs/dbraw/zinc/60/74/31/958607431.db2.gz XFRGBZYGIKFGNN-SECBINFHSA-N 0 2 307.354 0.591 20 0 DCADLN Cc1ccc(CC(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001367798542 958607439 /nfs/dbraw/zinc/60/74/39/958607439.db2.gz XFRGBZYGIKFGNN-SECBINFHSA-N 0 2 307.354 0.591 20 0 DCADLN O=C(Cc1ccccc1O[C@H]1CCOC1)NCc1n[nH]c(=O)[nH]1 ZINC001362076417 958697309 /nfs/dbraw/zinc/69/73/09/958697309.db2.gz VCDDJHAAZUMHQP-NSHDSACASA-N 0 2 318.333 0.537 20 0 DCADLN CC(=O)NCCN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@@H](C)C(=O)[O-] ZINC001588508882 958756897 /nfs/dbraw/zinc/75/68/97/958756897.db2.gz VZIJMFSYTWQJQB-VIFPVBQESA-N 0 2 318.333 0.614 20 0 DCADLN C[C@H](CCCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362150980 958831301 /nfs/dbraw/zinc/83/13/01/958831301.db2.gz GCYAZLOYNFMGCK-SECBINFHSA-N 0 2 306.322 0.157 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC[C@H](Nc2cnccn2)CC1 ZINC001362222467 958952300 /nfs/dbraw/zinc/95/23/00/958952300.db2.gz ZLEIOZUJUJQVSY-MGCOHNPYSA-N 0 2 317.353 0.587 20 0 DCADLN Cc1cccn2cc(CC(=O)Nc3ncn(CC(=O)[O-])n3)[nH+]c12 ZINC001594421005 959068756 /nfs/dbraw/zinc/06/87/56/959068756.db2.gz WUSRRANDTZLHRD-UHFFFAOYSA-N 0 2 314.305 0.500 20 0 DCADLN Cn1ccc(=O)c(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001362386977 959257451 /nfs/dbraw/zinc/25/74/51/959257451.db2.gz RDTHWFWZZGAVDL-VIFPVBQESA-N 0 2 303.322 0.229 20 0 DCADLN CCC(=O)NCC1(O)CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001368276414 959586605 /nfs/dbraw/zinc/58/66/05/959586605.db2.gz NXHROAUIKFFOEJ-UHFFFAOYSA-N 0 2 322.390 0.470 20 0 DCADLN C[N@H+](CCNC(=O)C[C@@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001377168230 959614982 /nfs/dbraw/zinc/61/49/82/959614982.db2.gz JQVJUNOXNNOZPV-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN C[N@@H+](CCNC(=O)C[C@@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001377168230 959614989 /nfs/dbraw/zinc/61/49/89/959614989.db2.gz JQVJUNOXNNOZPV-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362584958 959645528 /nfs/dbraw/zinc/64/55/28/959645528.db2.gz PTCALUGCDGLTSA-GDNZZTSVSA-N 0 2 300.318 0.795 20 0 DCADLN CC(C)(CCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362598403 959672577 /nfs/dbraw/zinc/67/25/77/959672577.db2.gz CGNVWTCIFURTCO-UHFFFAOYSA-N 0 2 306.322 0.157 20 0 DCADLN COc1ccnc(C[N@@H+](C)CCNC(=O)[C@H]2CCC[NH+]2C)c1 ZINC001377205231 959690552 /nfs/dbraw/zinc/69/05/52/959690552.db2.gz HSFWGOTXYGKRPT-OAHLLOKOSA-N 0 2 306.410 0.732 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccc1F ZINC001377209762 959697210 /nfs/dbraw/zinc/69/72/10/959697210.db2.gz DZOKHYFWLZCODW-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccc1F ZINC001377209762 959697223 /nfs/dbraw/zinc/69/72/23/959697223.db2.gz DZOKHYFWLZCODW-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2c(s1)CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001377249750 959790039 /nfs/dbraw/zinc/79/00/39/959790039.db2.gz GRSBHSNEOIFNDL-UHFFFAOYSA-N 0 2 321.406 0.922 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2c(s1)CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001377249750 959790048 /nfs/dbraw/zinc/79/00/48/959790048.db2.gz GRSBHSNEOIFNDL-UHFFFAOYSA-N 0 2 321.406 0.922 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)c2cc(C(=O)[O-])n[nH]2)n1 ZINC001604075035 972468730 /nfs/dbraw/zinc/46/87/30/972468730.db2.gz VNFRSCXOQAYGNA-UHFFFAOYSA-N 0 2 309.351 0.556 20 0 DCADLN Cn1ncnc1C1(O)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001362859787 960100497 /nfs/dbraw/zinc/10/04/97/960100497.db2.gz OQQKPJZQBXUBDW-ZETCQYMHSA-N 0 2 310.251 0.526 20 0 DCADLN Cn1ncnc1C1(O)CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001362859787 960100504 /nfs/dbraw/zinc/10/05/04/960100504.db2.gz OQQKPJZQBXUBDW-ZETCQYMHSA-N 0 2 310.251 0.526 20 0 DCADLN CC(C)n1ncc2cc(S(=O)(=O)Nc3nnn(C)n3)ccc21 ZINC001309823488 960208520 /nfs/dbraw/zinc/20/85/20/960208520.db2.gz AJLNFTXSUYDPNH-UHFFFAOYSA-N 0 2 321.366 0.942 20 0 DCADLN O=C(NC1(CF)CCOCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC001362948189 960213630 /nfs/dbraw/zinc/21/36/30/960213630.db2.gz GJKIFVWPWMIMMB-UHFFFAOYSA-N 0 2 322.296 0.685 20 0 DCADLN COCC1(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001368779334 960258135 /nfs/dbraw/zinc/25/81/35/960258135.db2.gz SHXOYEGOLJLUJL-ZJUUUORDSA-N 0 2 309.370 0.016 20 0 DCADLN COCC1(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001368779334 960258142 /nfs/dbraw/zinc/25/81/42/960258142.db2.gz SHXOYEGOLJLUJL-ZJUUUORDSA-N 0 2 309.370 0.016 20 0 DCADLN CCc1cnc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)o1 ZINC001363025395 960312948 /nfs/dbraw/zinc/31/29/48/960312948.db2.gz AXQOKVPOFNCSSY-UHFFFAOYSA-N 0 2 311.319 0.842 20 0 DCADLN CCOC(=O)c1n[nH]c([C@@H](C)NC(=O)CCc2cc(C)[nH]n2)n1 ZINC001363047676 960342376 /nfs/dbraw/zinc/34/23/76/960342376.db2.gz XIGONQNOJCNELL-SECBINFHSA-N 0 2 320.353 0.823 20 0 DCADLN CCCN(C(=O)C[N@H+]1CC[C@H](C)C1)[C@@H]1CC[NH+](CC(N)=O)C1 ZINC001377656802 960400220 /nfs/dbraw/zinc/40/02/20/960400220.db2.gz PKYGDRYRVBOREX-UONOGXRCSA-N 0 2 310.442 0.126 20 0 DCADLN CCc1[nH]nc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)c1C ZINC001363107331 960406021 /nfs/dbraw/zinc/40/60/21/960406021.db2.gz IATSXVAGALECCE-UHFFFAOYSA-N 0 2 324.362 0.886 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)N2CCOC[C@H]2c2nn[nH]n2)C1 ZINC001363115394 960418753 /nfs/dbraw/zinc/41/87/53/960418753.db2.gz AUQAFFYDVMRLOQ-IEBDPFPHSA-N 0 2 317.353 0.189 20 0 DCADLN CC(C)SCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378495554 960865391 /nfs/dbraw/zinc/86/53/91/960865391.db2.gz TZPBGXFFDUWRKO-SECBINFHSA-N 0 2 313.427 0.588 20 0 DCADLN C[C@@H](c1ccccc1)[N@H+](CCO)Cc1cn(CC(=O)[O-])nn1 ZINC001571086522 961072548 /nfs/dbraw/zinc/07/25/48/961072548.db2.gz REQSNWBBEQKIPC-LBPRGKRZSA-N 0 2 304.350 0.918 20 0 DCADLN C[C@@H](c1ccccc1)[N@@H+](CCO)Cc1cn(CC(=O)[O-])nn1 ZINC001571086522 961072553 /nfs/dbraw/zinc/07/25/53/961072553.db2.gz REQSNWBBEQKIPC-LBPRGKRZSA-N 0 2 304.350 0.918 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)[C@H](O)c1ccccc1Cl ZINC001363483708 961247291 /nfs/dbraw/zinc/24/72/91/961247291.db2.gz DVEXCFWCICXXFD-GFCCVEGCSA-N 0 2 309.709 0.891 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)[C@H](O)c1ccccc1Cl ZINC001363483708 961247304 /nfs/dbraw/zinc/24/73/04/961247304.db2.gz DVEXCFWCICXXFD-GFCCVEGCSA-N 0 2 309.709 0.891 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)C[N@H+](C)[C@@H]1CCC[C@H]1O ZINC001363607164 961540559 /nfs/dbraw/zinc/54/05/59/961540559.db2.gz JZJVRTHZMCUQDN-RBSFLKMASA-N 0 2 313.442 0.059 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC1(CC(=O)[O-])CCOCC1 ZINC001574462824 961552561 /nfs/dbraw/zinc/55/25/61/961552561.db2.gz MKEASPMQDVCNNZ-GHMZBOCLSA-N 0 2 307.350 0.664 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc2n1CCCCC2 ZINC001571133896 961603650 /nfs/dbraw/zinc/60/36/50/961603650.db2.gz ZDXVEVBSIVSIGK-LLVKDONJSA-N 0 2 317.349 0.758 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc2n1CCCCC2 ZINC001571133896 961603662 /nfs/dbraw/zinc/60/36/62/961603662.db2.gz ZDXVEVBSIVSIGK-LLVKDONJSA-N 0 2 317.349 0.758 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H](C)c1nn(C)cc1O)N1CCCC1=O ZINC001363660251 961648020 /nfs/dbraw/zinc/64/80/20/961648020.db2.gz FTGUBOQYTQCPKM-YGRLFVJLSA-N 0 2 308.382 0.950 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CC(c2ccccn2)=NO1)c1nn(C)cc1O ZINC001363667556 961660850 /nfs/dbraw/zinc/66/08/50/961660850.db2.gz PGFSCSJVAJXDKE-NOZJJQNGSA-N 0 2 315.333 0.891 20 0 DCADLN C[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(F)(F)F ZINC001363706949 961733555 /nfs/dbraw/zinc/73/35/55/961733555.db2.gz QOHNXVSYXZIJAI-RXMQYKEDSA-N 0 2 314.289 0.164 20 0 DCADLN CCc1cccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001363708619 961739138 /nfs/dbraw/zinc/73/91/38/961739138.db2.gz WSFDWAREBURTQY-UHFFFAOYSA-N 0 2 323.378 0.237 20 0 DCADLN O=C(Cc1cncc(O)c1)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001363737374 961789441 /nfs/dbraw/zinc/78/94/41/961789441.db2.gz YOJUVQMAEPYARP-JTQLQIEISA-N 0 2 303.322 0.268 20 0 DCADLN CCCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)no1 ZINC001571156224 961872105 /nfs/dbraw/zinc/87/21/05/961872105.db2.gz NZGOQVDXFBPHLF-NAKRPEOUSA-N 0 2 322.365 0.480 20 0 DCADLN C[C@@H](NC(=O)c1cc2c([nH]c1=O)CCOC2)c1nn(C)cc1O ZINC001363829958 961976284 /nfs/dbraw/zinc/97/62/84/961976284.db2.gz BMTBBBRBDJHFSE-MRVPVSSYSA-N 0 2 318.333 0.790 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H](C)n1cccc1 ZINC001379767688 961986386 /nfs/dbraw/zinc/98/63/86/961986386.db2.gz KJOASYIGHSLLIS-RYUDHWBXSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H](C)n1cccc1 ZINC001379767688 961986401 /nfs/dbraw/zinc/98/64/01/961986401.db2.gz KJOASYIGHSLLIS-RYUDHWBXSA-N 0 2 320.397 0.900 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@H](C)c2nn(C)cc2O)nc1 ZINC001363849034 962014796 /nfs/dbraw/zinc/01/47/96/962014796.db2.gz KBROTHOHABZDLG-MRVPVSSYSA-N 0 2 303.322 0.970 20 0 DCADLN O=C(N=S1(=O)CCOCC1)c1nnc2ccccc2c1O ZINC001363886717 962080115 /nfs/dbraw/zinc/08/01/15/962080115.db2.gz UGDSTFDCDRVAQU-UHFFFAOYSA-N 0 2 307.331 0.974 20 0 DCADLN COC(=O)C1CC2(C1)CN(C(=O)Cc1c[nH+]c[nH]1)C[C@H]2C(=O)[O-] ZINC001574045218 962099157 /nfs/dbraw/zinc/09/91/57/962099157.db2.gz HRDKULQTILPMOH-UQACVICXSA-N 0 2 321.333 0.065 20 0 DCADLN COC1(CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001379952722 962374335 /nfs/dbraw/zinc/37/43/35/962374335.db2.gz NRMWWMDHRZZPID-SNVBAGLBSA-N 0 2 309.370 0.160 20 0 DCADLN CCNC(=O)c1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)c(C)s1 ZINC001364146292 962559197 /nfs/dbraw/zinc/55/91/97/962559197.db2.gz FHSLOITUBSLWND-UHFFFAOYSA-N 0 2 324.366 0.952 20 0 DCADLN CC(C)C[C@@H]1C[NH+](C)CCN1C(=O)[C@@H]1C[N@H+]2CCN1C[C@H]2C ZINC001364235231 962725436 /nfs/dbraw/zinc/72/54/36/962725436.db2.gz LMHOGHANJCDJIP-OAGGEKHMSA-N 0 2 308.470 0.563 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)Cc1ncc[nH]1 ZINC001380760117 963562704 /nfs/dbraw/zinc/56/27/04/963562704.db2.gz NGRKAPYUMSLYIG-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN CC(C)C(=O)NC1(CCO)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001380958315 963721401 /nfs/dbraw/zinc/72/14/01/963721401.db2.gz HSUHIBKNHYWVTE-MRVPVSSYSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)NC1(CCO)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001380958315 963721408 /nfs/dbraw/zinc/72/14/08/963721408.db2.gz HSUHIBKNHYWVTE-MRVPVSSYSA-N 0 2 314.279 0.622 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NC[C@H]1CCC2(C[NH+](C(C)C)C2)O1 ZINC001380997801 963755647 /nfs/dbraw/zinc/75/56/47/963755647.db2.gz GDRDGIOBHFWZNS-CQSZACIVSA-N 0 2 306.410 0.950 20 0 DCADLN CCc1nnc(C[NH2+][C@@H](C)[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001368849148 964020337 /nfs/dbraw/zinc/02/03/37/964020337.db2.gz WJZCESUGGDFSOL-YUMQZZPRSA-N 0 2 322.369 0.666 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)[N@H+](C)Cc2ccn(C)n2)c1[O-] ZINC001368945704 964170120 /nfs/dbraw/zinc/17/01/20/964170120.db2.gz PARQCGJRJNYSOO-SECBINFHSA-N 0 2 306.370 0.408 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)[N@@H+](C)Cc2ccn(C)n2)c1[O-] ZINC001368945704 964170124 /nfs/dbraw/zinc/17/01/24/964170124.db2.gz PARQCGJRJNYSOO-SECBINFHSA-N 0 2 306.370 0.408 20 0 DCADLN C[C@@H](CNC(=O)c1ocnc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981579 964234888 /nfs/dbraw/zinc/23/48/88/964234888.db2.gz VGCALKCGQDFPAY-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CNC(=O)c1ocnc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981579 964234896 /nfs/dbraw/zinc/23/48/96/964234896.db2.gz VGCALKCGQDFPAY-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN CCC(CC)NC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001375320025 964251667 /nfs/dbraw/zinc/25/16/67/964251667.db2.gz DPIUBIAUOHZANJ-CMPLNLGQSA-N 0 2 322.413 0.302 20 0 DCADLN CCC(CC)NC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001375320025 964251671 /nfs/dbraw/zinc/25/16/71/964251671.db2.gz DPIUBIAUOHZANJ-CMPLNLGQSA-N 0 2 322.413 0.302 20 0 DCADLN CCC(CC)NC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001375320034 964252410 /nfs/dbraw/zinc/25/24/10/964252410.db2.gz DPIUBIAUOHZANJ-ZYHUDNBSSA-N 0 2 322.413 0.302 20 0 DCADLN CCC(CC)NC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001375320034 964252420 /nfs/dbraw/zinc/25/24/20/964252420.db2.gz DPIUBIAUOHZANJ-ZYHUDNBSSA-N 0 2 322.413 0.302 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1(F)CCCCC1 ZINC001375359482 964305349 /nfs/dbraw/zinc/30/53/49/964305349.db2.gz WKKKFBBCGCVEPA-SNVBAGLBSA-N 0 2 311.361 0.873 20 0 DCADLN C[C@]1(NC(=O)C[C@H]2CCCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375820051 964870060 /nfs/dbraw/zinc/87/00/60/964870060.db2.gz KIGMPAXBWQTHNW-ABAIWWIYSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@]1(NC(=O)C[C@H]2CCCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375820051 964870070 /nfs/dbraw/zinc/87/00/70/964870070.db2.gz KIGMPAXBWQTHNW-ABAIWWIYSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(c1sccc1F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001374067108 964970890 /nfs/dbraw/zinc/97/08/90/964970890.db2.gz DQZCJAVSCKYBGA-UHFFFAOYSA-N 0 2 311.342 0.669 20 0 DCADLN COCC(=O)NC[C@@H](NC(=O)C(F)C(F)(F)F)C(C)C ZINC001375935073 964987571 /nfs/dbraw/zinc/98/75/71/964987571.db2.gz SSRFXQSJCLNXHD-VXNVDRBHSA-N 0 2 302.268 0.790 20 0 DCADLN COCC(=O)NC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C(C)C ZINC001375935073 964987587 /nfs/dbraw/zinc/98/75/87/964987587.db2.gz SSRFXQSJCLNXHD-VXNVDRBHSA-N 0 2 302.268 0.790 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](C[C@H]3C[C@]34CCOC4)CC2)c1[O-] ZINC001374278560 965214362 /nfs/dbraw/zinc/21/43/62/965214362.db2.gz AQKMZXDHORDCCU-WBMJQRKESA-N 0 2 320.393 0.608 20 0 DCADLN O=C(CCc1ccccn1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376149938 965288474 /nfs/dbraw/zinc/28/84/74/965288474.db2.gz TWUVYFOSCNYVOK-GFCCVEGCSA-N 0 2 316.365 0.229 20 0 DCADLN CCC[N@H+](C)CC(=O)N[C@@H]1CC[NH+](Cc2snnc2C)C1 ZINC001376157268 965302628 /nfs/dbraw/zinc/30/26/28/965302628.db2.gz WRZVNQGCZTVKIT-GFCCVEGCSA-N 0 2 311.455 0.879 20 0 DCADLN CCCn1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001374540945 965505164 /nfs/dbraw/zinc/50/51/64/965505164.db2.gz PFOSMSADLGTXCI-UHFFFAOYSA-N 0 2 321.385 0.321 20 0 DCADLN CCCn1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001374540945 965505174 /nfs/dbraw/zinc/50/51/74/965505174.db2.gz PFOSMSADLGTXCI-UHFFFAOYSA-N 0 2 321.385 0.321 20 0 DCADLN CCn1ccnc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001374666299 965727886 /nfs/dbraw/zinc/72/78/86/965727886.db2.gz SQDBSTOAAXYXNY-QMMMGPOBSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1ccnc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001374666299 965727894 /nfs/dbraw/zinc/72/78/94/965727894.db2.gz SQDBSTOAAXYXNY-QMMMGPOBSA-N 0 2 322.262 0.744 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC1(O)CCC1 ZINC001430589594 1013609416 /nfs/dbraw/zinc/60/94/16/1013609416.db2.gz RZMZZMLBAPVOQE-APPZFPTMSA-N 0 2 314.279 0.813 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CC1(O)CCC1 ZINC001430589594 1013609418 /nfs/dbraw/zinc/60/94/18/1013609418.db2.gz RZMZZMLBAPVOQE-APPZFPTMSA-N 0 2 314.279 0.813 20 0 DCADLN O=C(Cc1ccon1)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001374847277 966025522 /nfs/dbraw/zinc/02/55/22/966025522.db2.gz OEFAPBCUHHYNNZ-JTQLQIEISA-N 0 2 323.246 0.692 20 0 DCADLN O=C(Cc1ccon1)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001374847277 966025508 /nfs/dbraw/zinc/02/55/08/966025508.db2.gz OEFAPBCUHHYNNZ-JTQLQIEISA-N 0 2 323.246 0.692 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001430610298 1013622914 /nfs/dbraw/zinc/62/29/14/1013622914.db2.gz JIMRAUNHYFSTFZ-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001375104324 966354862 /nfs/dbraw/zinc/35/48/62/966354862.db2.gz KZCUMGTYMRUREF-VHSXEESVSA-N 0 2 307.354 0.844 20 0 DCADLN C[C@@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001375104324 966354874 /nfs/dbraw/zinc/35/48/74/966354874.db2.gz KZCUMGTYMRUREF-VHSXEESVSA-N 0 2 307.354 0.844 20 0 DCADLN CC1(CC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001370764328 966453386 /nfs/dbraw/zinc/45/33/86/966453386.db2.gz YCKHXIRMHYWGHT-IONNQARKSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001370764328 966453391 /nfs/dbraw/zinc/45/33/91/966453391.db2.gz YCKHXIRMHYWGHT-IONNQARKSA-N 0 2 314.279 0.670 20 0 DCADLN CC(C)OCCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381787659 966683570 /nfs/dbraw/zinc/68/35/70/966683570.db2.gz OBQDPUNEIKCXMX-SNVBAGLBSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](CNC(=O)c1ccccc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001447992861 1013691841 /nfs/dbraw/zinc/69/18/41/1013691841.db2.gz VSHPNFKRRBIPOG-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN C[C@H](CNC(=O)c1ccccc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001447992861 1013691849 /nfs/dbraw/zinc/69/18/49/1013691849.db2.gz VSHPNFKRRBIPOG-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2=CCCC2)C[C@H]1O)C(F)C(F)(F)F ZINC001371468152 967016513 /nfs/dbraw/zinc/01/65/13/967016513.db2.gz ZDHIJHPFKOTZLI-OPRDCNLKSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2=CCCC2)C[C@H]1O)[C@@H](F)C(F)(F)F ZINC001371468152 967016520 /nfs/dbraw/zinc/01/65/20/967016520.db2.gz ZDHIJHPFKOTZLI-OPRDCNLKSA-N 0 2 324.274 0.685 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cccnn1 ZINC001382501377 967450095 /nfs/dbraw/zinc/45/00/95/967450095.db2.gz HISIGAAQDRGBLD-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cccnn1 ZINC001382501377 967450098 /nfs/dbraw/zinc/45/00/98/967450098.db2.gz HISIGAAQDRGBLD-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001372036012 967588495 /nfs/dbraw/zinc/58/84/95/967588495.db2.gz CFNOZPMECYVWAO-VDTYLAMSSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnon2)C1)[C@H](F)C(F)(F)F ZINC001372036012 967588504 /nfs/dbraw/zinc/58/85/04/967588504.db2.gz CFNOZPMECYVWAO-VDTYLAMSSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001372564031 968124991 /nfs/dbraw/zinc/12/49/91/968124991.db2.gz LGQWZSFEXHDRKP-WYDQCIBASA-N 0 2 310.207 0.347 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC001372564031 968124996 /nfs/dbraw/zinc/12/49/96/968124996.db2.gz LGQWZSFEXHDRKP-WYDQCIBASA-N 0 2 310.207 0.347 20 0 DCADLN CO[C@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001372715873 968284130 /nfs/dbraw/zinc/28/41/30/968284130.db2.gz RDCOJJGUQYYTAA-DFTQBPQZSA-N 0 2 312.263 0.495 20 0 DCADLN CO[C@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(F)(F)F ZINC001372715873 968284135 /nfs/dbraw/zinc/28/41/35/968284135.db2.gz RDCOJJGUQYYTAA-DFTQBPQZSA-N 0 2 312.263 0.495 20 0 DCADLN CCC(CC)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001372759528 968333576 /nfs/dbraw/zinc/33/35/76/968333576.db2.gz PAYNJYRUXGOYOU-UHFFFAOYSA-N 0 2 303.388 0.337 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)nn1 ZINC001372878492 968491212 /nfs/dbraw/zinc/49/12/12/968491212.db2.gz YZAKPZYQNXYECT-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001372878492 968491217 /nfs/dbraw/zinc/49/12/17/968491217.db2.gz YZAKPZYQNXYECT-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN CCc1nc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)no1 ZINC001373429817 969085230 /nfs/dbraw/zinc/08/52/30/969085230.db2.gz YVLHMVYYKVFRNG-SECBINFHSA-N 0 2 310.251 0.833 20 0 DCADLN CCc1nc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)no1 ZINC001373429817 969085236 /nfs/dbraw/zinc/08/52/36/969085236.db2.gz YVLHMVYYKVFRNG-SECBINFHSA-N 0 2 310.251 0.833 20 0 DCADLN C[C@H](NC(=O)[C@@H](C)c1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001448323045 1013869993 /nfs/dbraw/zinc/86/99/93/1013869993.db2.gz UHPIBUWYLXEEMO-UWVGGRQHSA-N 0 2 319.365 0.844 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H]1CCCO1 ZINC001431305039 1013988664 /nfs/dbraw/zinc/98/86/64/1013988664.db2.gz QZFMUGQVXGRMML-YUMQZZPRSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@@H]1CCCO1 ZINC001431305039 1013988667 /nfs/dbraw/zinc/98/86/67/1013988667.db2.gz QZFMUGQVXGRMML-YUMQZZPRSA-N 0 2 300.252 0.640 20 0 DCADLN COc1cccc(OC)c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000319212606 970514098 /nfs/dbraw/zinc/51/40/98/970514098.db2.gz GVYNMLYOPDFPGC-JTQLQIEISA-N 0 2 319.317 0.853 20 0 DCADLN COc1cccc(OC)c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000319212606 970514104 /nfs/dbraw/zinc/51/41/04/970514104.db2.gz GVYNMLYOPDFPGC-JTQLQIEISA-N 0 2 319.317 0.853 20 0 DCADLN CN(CCNC(=O)CCn1cccn1)C(=O)C(F)C(F)(F)F ZINC001448712780 1014007755 /nfs/dbraw/zinc/00/77/55/1014007755.db2.gz FFEMVQYTGIOOBO-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCNC(=O)CCn1cccn1)C(=O)[C@H](F)C(F)(F)F ZINC001448712780 1014007760 /nfs/dbraw/zinc/00/77/60/1014007760.db2.gz FFEMVQYTGIOOBO-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN CC(C)CN1C[C@@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1=O ZINC000320544920 970676546 /nfs/dbraw/zinc/67/65/46/970676546.db2.gz CFVXOKYCPLQCJB-CMPLNLGQSA-N 0 2 322.365 0.026 20 0 DCADLN CC(C)CN1C[C@@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1=O ZINC000320544920 970676549 /nfs/dbraw/zinc/67/65/49/970676549.db2.gz CFVXOKYCPLQCJB-CMPLNLGQSA-N 0 2 322.365 0.026 20 0 DCADLN CCn1cnc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)c1 ZINC001431390767 1014026640 /nfs/dbraw/zinc/02/66/40/1014026640.db2.gz VKYIJYJURWHPLE-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1cnc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)c1 ZINC001431390767 1014026642 /nfs/dbraw/zinc/02/66/42/1014026642.db2.gz VKYIJYJURWHPLE-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN O=C([O-])CC1(CC(=O)NC[C@@H]2C[NH+]3CCN2CC3)CCCC1 ZINC000394697852 972742041 /nfs/dbraw/zinc/74/20/41/972742041.db2.gz VWRQRRPEILSXNU-CYBMUJFWSA-N 0 2 309.410 0.528 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc(C(=O)[O-])c1 ZINC001604239842 972752517 /nfs/dbraw/zinc/75/25/17/972752517.db2.gz HXMURCMDQAOHPO-LBPRGKRZSA-N 0 2 316.317 0.195 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc(C(=O)[O-])c1 ZINC001604239842 972752522 /nfs/dbraw/zinc/75/25/22/972752522.db2.gz HXMURCMDQAOHPO-LBPRGKRZSA-N 0 2 316.317 0.195 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C1(C(=O)[O-])CC=CC1 ZINC001603375722 972925448 /nfs/dbraw/zinc/92/54/48/972925448.db2.gz VJONAIJNZLBSPL-OLZOCXBDSA-N 0 2 308.378 0.729 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[N@@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001603504703 973481145 /nfs/dbraw/zinc/48/11/45/973481145.db2.gz LYTVAMDLSFJTQF-IAQYHMDHSA-N 0 2 316.398 0.482 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[N@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001603504703 973481147 /nfs/dbraw/zinc/48/11/47/973481147.db2.gz LYTVAMDLSFJTQF-IAQYHMDHSA-N 0 2 316.398 0.482 20 0 DCADLN Cn1cc(Cl)c(C[NH2+][C@@H]2CCCCN(CC(=O)[O-])C2=O)n1 ZINC001605496389 973661360 /nfs/dbraw/zinc/66/13/60/973661360.db2.gz BMAXYBPUDISECF-SNVBAGLBSA-N 0 2 314.773 0.629 20 0 DCADLN CC1(C)CN(Cc2cc(=O)n3[nH]ccc3n2)[C@@H]2COC[C@@H]2O1 ZINC001549082181 1014133673 /nfs/dbraw/zinc/13/36/73/1014133673.db2.gz MGUJDXLVGXTLFH-NEPJUHHUSA-N 0 2 304.350 0.401 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H]1C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001606399955 973852438 /nfs/dbraw/zinc/85/24/38/973852438.db2.gz FKGOZCBFLOOWJT-ZIAGYGMSSA-N 0 2 318.377 0.274 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)c1 ZINC001606504379 973996368 /nfs/dbraw/zinc/99/63/68/973996368.db2.gz VYURLRRLSPAGLF-ZDUSSCGKSA-N 0 2 300.318 0.895 20 0 DCADLN O=C([O-])c1cccc(N2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)c1 ZINC001606518290 974015921 /nfs/dbraw/zinc/01/59/21/974015921.db2.gz QXSKSGINHSLSMV-NSHDSACASA-N 0 2 300.274 0.775 20 0 DCADLN CCCCC(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001522519841 1014158320 /nfs/dbraw/zinc/15/83/20/1014158320.db2.gz YMUNKRDDDOTGBG-UHFFFAOYSA-N 0 2 318.381 0.846 20 0 DCADLN NS(=O)(=O)c1ccc(C[N@H+](CC(=O)[O-])C2CCC2)s1 ZINC001605649533 974021929 /nfs/dbraw/zinc/02/19/29/974021929.db2.gz AJUCJXZATCUNOO-UHFFFAOYSA-N 0 2 304.393 0.835 20 0 DCADLN NS(=O)(=O)c1ccc(C[N@@H+](CC(=O)[O-])C2CCC2)s1 ZINC001605649533 974021937 /nfs/dbraw/zinc/02/19/37/974021937.db2.gz AJUCJXZATCUNOO-UHFFFAOYSA-N 0 2 304.393 0.835 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N2CC(C(=O)[O-])C2)cc1 ZINC001604790557 974025034 /nfs/dbraw/zinc/02/50/34/974025034.db2.gz QHTJXIJKPHJSBX-CQSZACIVSA-N 0 2 304.346 0.813 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N2CC(C(=O)[O-])C2)cc1 ZINC001604790557 974025044 /nfs/dbraw/zinc/02/50/44/974025044.db2.gz QHTJXIJKPHJSBX-CQSZACIVSA-N 0 2 304.346 0.813 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)N1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001549179265 1014165207 /nfs/dbraw/zinc/16/52/07/1014165207.db2.gz BXRCOJLHKMPOFK-SUNKGSAMSA-N 0 2 308.338 0.014 20 0 DCADLN C[C@H]1CN(c2[nH+]cccc2C(=O)[O-])C[C@H]1C(=O)N1CCOCC1 ZINC001549176562 1014163688 /nfs/dbraw/zinc/16/36/88/1014163688.db2.gz NTEDKJAOFUGKMQ-WCQYABFASA-N 0 2 319.361 0.711 20 0 DCADLN CC(C)c1nc(C[NH2+]CCCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])no1 ZINC001592020303 976712692 /nfs/dbraw/zinc/71/26/92/976712692.db2.gz WDMGWXQTKTWAAO-ZJUUUORDSA-N 0 2 310.354 0.510 20 0 DCADLN CC(C)c1nnc(CCC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])o1 ZINC001592050489 976790885 /nfs/dbraw/zinc/79/08/85/976790885.db2.gz VHDBYXDROYZXLV-SNVBAGLBSA-N 0 2 321.337 0.592 20 0 DCADLN Cn1cc(O[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2O)cn1 ZINC001549638374 1014364142 /nfs/dbraw/zinc/36/41/42/1014364142.db2.gz DCYOGIXOHJVMSG-YIZRAAEISA-N 0 2 311.235 0.271 20 0 DCADLN Cn1cc(O[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]2O)cn1 ZINC001549638374 1014364157 /nfs/dbraw/zinc/36/41/57/1014364157.db2.gz DCYOGIXOHJVMSG-YIZRAAEISA-N 0 2 311.235 0.271 20 0 DCADLN Cc1n[nH]c(C(=O)NCCCNC(=O)CCc2[nH]cc[nH+]2)c1[O-] ZINC001522882360 1014448699 /nfs/dbraw/zinc/44/86/99/1014448699.db2.gz WRGSREQJPNPYDF-UHFFFAOYSA-N 0 2 320.353 0.016 20 0 DCADLN CCN(CCNC(=O)c1cn[nH]n1)C(=O)C(F)C(F)(F)F ZINC001449436794 1014478508 /nfs/dbraw/zinc/47/85/08/1014478508.db2.gz USBFEVXJHRXCCX-ZETCQYMHSA-N 0 2 311.239 0.283 20 0 DCADLN Cn1nc2c(c1C[N@@H+]1CC[C@H](N3CCN(C)CC3)C1)CCC2 ZINC001550621500 1014780310 /nfs/dbraw/zinc/78/03/10/1014780310.db2.gz VRJCCTJKHXSSBY-AWEZNQCLSA-N 0 2 303.454 0.731 20 0 DCADLN CCC[N@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1nccc(C)n1 ZINC001595883178 982900950 /nfs/dbraw/zinc/90/09/50/982900950.db2.gz TXLLYKWNNAKDOF-QWHCGFSZSA-N 0 2 320.393 0.834 20 0 DCADLN CCC[N@@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1nccc(C)n1 ZINC001595883178 982900955 /nfs/dbraw/zinc/90/09/55/982900955.db2.gz TXLLYKWNNAKDOF-QWHCGFSZSA-N 0 2 320.393 0.834 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C(C)C)no1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001596339085 983783076 /nfs/dbraw/zinc/78/30/76/983783076.db2.gz DLVAAWQHIXPJQY-QWRGUYRKSA-N 0 2 324.381 0.852 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C)c(C)o1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001596340391 983787232 /nfs/dbraw/zinc/78/72/32/983787232.db2.gz ZUDJRWBKMRLZCB-NWDGAFQWSA-N 0 2 309.366 0.950 20 0 DCADLN CCN1C(=O)[C@@H]2CN(c3cc(CC(=O)[O-])cc[nH+]3)CCN2C1=O ZINC001596390613 983924261 /nfs/dbraw/zinc/92/42/61/983924261.db2.gz WFKYAVONPYLOHR-NSHDSACASA-N 0 2 318.333 0.181 20 0 DCADLN CCOC(=O)[C@@]1(C)C[N@@H+]([C@@H](C(=O)[O-])C2(OC)CCC2)CCO1 ZINC001596552878 984420436 /nfs/dbraw/zinc/42/04/36/984420436.db2.gz SIVRBPBBTPEZHQ-SMDDNHRTSA-N 0 2 315.366 0.663 20 0 DCADLN CCOC(=O)[C@@]1(C)C[N@H+]([C@@H](C(=O)[O-])C2(OC)CCC2)CCO1 ZINC001596552878 984420438 /nfs/dbraw/zinc/42/04/38/984420438.db2.gz SIVRBPBBTPEZHQ-SMDDNHRTSA-N 0 2 315.366 0.663 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001596679575 984763348 /nfs/dbraw/zinc/76/33/48/984763348.db2.gz CIEIRIGFLYDZQS-UMNHJUIQSA-N 0 2 323.349 0.501 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001596679575 984763356 /nfs/dbraw/zinc/76/33/56/984763356.db2.gz CIEIRIGFLYDZQS-UMNHJUIQSA-N 0 2 323.349 0.501 20 0 DCADLN C[C@H]1C[N@H+](Cc2ccc3c(c2)NC(=O)CO3)C[C@@H](C(=O)[O-])O1 ZINC001599929364 985278281 /nfs/dbraw/zinc/27/82/81/985278281.db2.gz NEYPOATXYTUZLX-ZANVPECISA-N 0 2 306.318 0.691 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccc3c(c2)NC(=O)CO3)C[C@@H](C(=O)[O-])O1 ZINC001599929364 985278289 /nfs/dbraw/zinc/27/82/89/985278289.db2.gz NEYPOATXYTUZLX-ZANVPECISA-N 0 2 306.318 0.691 20 0 DCADLN Cc1[nH]c(C(=O)CN2CC[N@@H+](C)C[C@@H]2CO)c(C)c1C(=O)[O-] ZINC001599945464 985518933 /nfs/dbraw/zinc/51/89/33/985518933.db2.gz NSYOKEKSVQRWGF-LLVKDONJSA-N 0 2 309.366 0.121 20 0 DCADLN Cc1[nH]c(C(=O)CN2CC[N@H+](C)C[C@@H]2CO)c(C)c1C(=O)[O-] ZINC001599945464 985518945 /nfs/dbraw/zinc/51/89/45/985518945.db2.gz NSYOKEKSVQRWGF-LLVKDONJSA-N 0 2 309.366 0.121 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2nnc(C)s2)n[nH]1 ZINC001551655769 1015163773 /nfs/dbraw/zinc/16/37/73/1015163773.db2.gz AYMGWVWAFPUWPH-UWVGGRQHSA-N 0 2 322.394 0.918 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@]2(F)CCOC2)n[nH]1 ZINC001551660378 1015165145 /nfs/dbraw/zinc/16/51/45/1015165145.db2.gz PCPKNHNPHIBEGU-JTNHKYCSSA-N 0 2 312.345 0.573 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)Cc2cnoc2)n[nH]1 ZINC001551657344 1015165202 /nfs/dbraw/zinc/16/52/02/1015165202.db2.gz GFBQCKHMPNTBRW-RYUDHWBXSA-N 0 2 305.338 0.675 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)c1ccc(C=O)cn1 ZINC001594520043 985972006 /nfs/dbraw/zinc/97/20/06/985972006.db2.gz KITSRFUFWITLDB-LLVKDONJSA-N 0 2 305.334 0.515 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1ccc(C=O)cn1 ZINC001594520043 985972014 /nfs/dbraw/zinc/97/20/14/985972014.db2.gz KITSRFUFWITLDB-LLVKDONJSA-N 0 2 305.334 0.515 20 0 DCADLN CCOCCNC(=O)C[NH2+][C@H](C(=O)[O-])c1ccc(OC)cc1 ZINC001596929727 986023092 /nfs/dbraw/zinc/02/30/92/986023092.db2.gz VDGKGPAKYPSVDY-AWEZNQCLSA-N 0 2 310.350 0.563 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cccnc1 ZINC001594525575 986037747 /nfs/dbraw/zinc/03/77/47/986037747.db2.gz MRJCJNJPTBRNQX-LLVKDONJSA-N 0 2 313.379 0.251 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cccnc1 ZINC001594525575 986037759 /nfs/dbraw/zinc/03/77/59/986037759.db2.gz MRJCJNJPTBRNQX-LLVKDONJSA-N 0 2 313.379 0.251 20 0 DCADLN CC(=O)c1cccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@](O)(C(=O)[O-])C2)c1 ZINC001589308964 986075833 /nfs/dbraw/zinc/07/58/33/986075833.db2.gz CDBXVCKRXJDBBH-QLJPJBMISA-N 0 2 320.345 0.738 20 0 DCADLN CC(=O)c1cccc(NC(=O)[C@@H](C)[N@H+]2CC[C@](O)(C(=O)[O-])C2)c1 ZINC001589308964 986075846 /nfs/dbraw/zinc/07/58/46/986075846.db2.gz CDBXVCKRXJDBBH-QLJPJBMISA-N 0 2 320.345 0.738 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](CC(=O)[O-])C2)CCO1 ZINC001594528151 986093967 /nfs/dbraw/zinc/09/39/67/986093967.db2.gz QSLMKHDXYVJIRF-UPJWGTAASA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](CC(=O)[O-])C2)CCO1 ZINC001594528151 986093982 /nfs/dbraw/zinc/09/39/82/986093982.db2.gz QSLMKHDXYVJIRF-UPJWGTAASA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1OCC[C@@]12C[N@H+](Cc1cnc(C(=O)[O-])cn1)C[C@@H](C)O2 ZINC001594547369 986224590 /nfs/dbraw/zinc/22/45/90/986224590.db2.gz JRXUMSBUKGUMDR-JRPNMDOOSA-N 0 2 307.350 0.943 20 0 DCADLN C[C@@H]1OCC[C@@]12C[N@@H+](Cc1cnc(C(=O)[O-])cn1)C[C@@H](C)O2 ZINC001594547369 986224608 /nfs/dbraw/zinc/22/46/08/986224608.db2.gz JRXUMSBUKGUMDR-JRPNMDOOSA-N 0 2 307.350 0.943 20 0 DCADLN CCO[C@@H]1COC[C@H]1[NH2+][C@H](C(=O)[O-])c1cccc(C(=O)OC)c1 ZINC001596981163 986239743 /nfs/dbraw/zinc/23/97/43/986239743.db2.gz WJIJVAPNFIAHJC-MCIONIFRSA-N 0 2 323.345 0.992 20 0 DCADLN C[C@@H](C(=O)N[C@@H](CC(F)(F)F)C(=O)[O-])[NH+]1CCSCC1 ZINC001589385998 986483285 /nfs/dbraw/zinc/48/32/85/986483285.db2.gz RKZWKYCNTNNMKM-YUMQZZPRSA-N 0 2 314.329 0.946 20 0 DCADLN COC[C@@H](C)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001386826927 987421776 /nfs/dbraw/zinc/42/17/76/987421776.db2.gz GAGKNWRBHDQCIZ-SFYZADRCSA-N 0 2 302.268 0.744 20 0 DCADLN COC[C@@H](C)C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001386826927 987421780 /nfs/dbraw/zinc/42/17/80/987421780.db2.gz GAGKNWRBHDQCIZ-SFYZADRCSA-N 0 2 302.268 0.744 20 0 DCADLN CC[C@H](C)[C@H](NC(C)=O)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001597321793 987450135 /nfs/dbraw/zinc/45/01/35/987450135.db2.gz HWXFCPFCPBFGLY-UWJYBYFXSA-N 0 2 310.354 0.072 20 0 DCADLN CC[C@H](C)[C@H](NC(C)=O)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001597321793 987450136 /nfs/dbraw/zinc/45/01/36/987450136.db2.gz HWXFCPFCPBFGLY-UWJYBYFXSA-N 0 2 310.354 0.072 20 0 DCADLN Cc1[nH]nc(C(=O)NCCN(C)C(=O)C[N@@H+]2CCC[C@@H]2C)c1[O-] ZINC001386855816 987454958 /nfs/dbraw/zinc/45/49/58/987454958.db2.gz ZDJDNXOJLBMBPZ-JTQLQIEISA-N 0 2 323.397 0.096 20 0 DCADLN O=C(NCCN(C(=O)c1cnon1)C1CC1)C(F)C(F)(F)F ZINC001387495539 987870031 /nfs/dbraw/zinc/87/00/31/987870031.db2.gz OCLLBFGWTHJAEV-MRVPVSSYSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NCCN(C(=O)c1cnon1)C1CC1)[C@@H](F)C(F)(F)F ZINC001387495539 987870035 /nfs/dbraw/zinc/87/00/35/987870035.db2.gz OCLLBFGWTHJAEV-MRVPVSSYSA-N 0 2 324.234 0.691 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001387722251 988083556 /nfs/dbraw/zinc/08/35/56/988083556.db2.gz LMGXYMMPFCVOST-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001387722251 988083567 /nfs/dbraw/zinc/08/35/67/988083567.db2.gz LMGXYMMPFCVOST-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1nocc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387748704 988113273 /nfs/dbraw/zinc/11/32/73/988113273.db2.gz MDFQTVFBAPSRGF-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nocc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387748704 988113305 /nfs/dbraw/zinc/11/33/05/988113305.db2.gz MDFQTVFBAPSRGF-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN O=C(NC1CN(C(=O)c2cnccn2)C1)C(F)C(F)(F)F ZINC001387749943 988114996 /nfs/dbraw/zinc/11/49/96/988114996.db2.gz NWCQCYUIPAWDGW-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2cnccn2)C1)[C@H](F)C(F)(F)F ZINC001387749943 988115003 /nfs/dbraw/zinc/11/50/03/988115003.db2.gz NWCQCYUIPAWDGW-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN CC[C@H](OC)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001387792192 988157021 /nfs/dbraw/zinc/15/70/21/988157021.db2.gz AXEZAGDWKSFYJC-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@H](OC)C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001387792192 988157023 /nfs/dbraw/zinc/15/70/23/988157023.db2.gz AXEZAGDWKSFYJC-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)CCn1cnnn1 ZINC001532634546 1015372562 /nfs/dbraw/zinc/37/25/62/1015372562.db2.gz YGUBNJUUTDTFJZ-UHFFFAOYSA-N 0 2 319.350 0.432 20 0 DCADLN CC[C@@H](CNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001388068048 988452909 /nfs/dbraw/zinc/45/29/09/988452909.db2.gz AMTICXLWEBFHGX-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)c1ccnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001388068048 988452914 /nfs/dbraw/zinc/45/29/14/988452914.db2.gz AMTICXLWEBFHGX-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CCc1cnc(C[NH2+]C2(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)o1 ZINC001597631643 988599186 /nfs/dbraw/zinc/59/91/86/988599186.db2.gz YCLLITIJYORRBU-MNOVXSKESA-N 0 2 307.350 0.696 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001389181510 988960389 /nfs/dbraw/zinc/96/03/89/988960389.db2.gz ZUFRSCNJNTXVTA-SVRRBLITSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001389181510 988960394 /nfs/dbraw/zinc/96/03/94/988960394.db2.gz ZUFRSCNJNTXVTA-SVRRBLITSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)cn1 ZINC001389198640 988975577 /nfs/dbraw/zinc/97/55/77/988975577.db2.gz RVZHLXVRTLFRDH-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001389198640 988975583 /nfs/dbraw/zinc/97/55/83/988975583.db2.gz RVZHLXVRTLFRDH-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN CCc1nn(C)c(CC)c1C[NH2+]Cc1cn(CC(=O)[O-])nn1 ZINC001597716360 988981771 /nfs/dbraw/zinc/98/17/71/988981771.db2.gz OJKAHLUSLRPZJG-UHFFFAOYSA-N 0 2 306.370 0.511 20 0 DCADLN O=C(NC1CN(C(=O)c2ccncc2)C1)C(F)C(F)(F)F ZINC001389232133 989007363 /nfs/dbraw/zinc/00/73/63/989007363.db2.gz HHVAZGNMVOQKRL-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)c2ccncc2)C1)[C@@H](F)C(F)(F)F ZINC001389232133 989007371 /nfs/dbraw/zinc/00/73/71/989007371.db2.gz HHVAZGNMVOQKRL-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001389745494 989538447 /nfs/dbraw/zinc/53/84/47/989538447.db2.gz QRJIVFNCKXUTMA-MRVPVSSYSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001389745494 989538450 /nfs/dbraw/zinc/53/84/50/989538450.db2.gz QRJIVFNCKXUTMA-MRVPVSSYSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1[O-] ZINC001527516659 1015487910 /nfs/dbraw/zinc/48/79/10/1015487910.db2.gz MFUQQTXTOGAWPN-MRVPVSSYSA-N 0 2 320.353 0.014 20 0 DCADLN CC(C)(CNC(=O)N[C@H]1CC=C(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC001590724179 990510764 /nfs/dbraw/zinc/51/07/64/990510764.db2.gz UURZJDDVPUTESD-LBPRGKRZSA-N 0 2 311.382 0.570 20 0 DCADLN CN(C)[C@H](C(=O)Nc1cc(C(=O)[O-])nn1C)c1c[nH+]cn1C ZINC001598165107 990678475 /nfs/dbraw/zinc/67/84/75/990678475.db2.gz KFSCNBDYALQVOT-NSHDSACASA-N 0 2 306.326 0.093 20 0 DCADLN CCCN(C(=O)[C@H]1CCCO1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391267291 990708939 /nfs/dbraw/zinc/70/89/39/990708939.db2.gz IQSRIZOAQLSKMS-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(CC[C@@H]1CCCO1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391468495 990868703 /nfs/dbraw/zinc/86/87/03/990868703.db2.gz QVUGCIHUOUFUGV-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN COc1cc[nH+]c(CN2CCS(=O)(=O)C[C@@H]2CC(=O)[O-])c1 ZINC001599721169 991540062 /nfs/dbraw/zinc/54/00/62/991540062.db2.gz IUXYORYXAMGDAO-NSHDSACASA-N 0 2 314.363 0.164 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]n1 ZINC001392199289 991601933 /nfs/dbraw/zinc/60/19/33/991601933.db2.gz LERUBPWDCDMMNZ-SVGQVSJJSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]n1 ZINC001392199289 991601941 /nfs/dbraw/zinc/60/19/41/991601941.db2.gz LERUBPWDCDMMNZ-SVGQVSJJSA-N 0 2 324.234 0.317 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CC[C@H](OC(F)F)C1)C(=O)[O-] ZINC001591169637 991953302 /nfs/dbraw/zinc/95/33/02/991953302.db2.gz JHKJGDJOMKNYJX-VHSXEESVSA-N 0 2 308.325 0.915 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CC[C@H](OC(F)F)C1)C(=O)[O-] ZINC001591169637 991953305 /nfs/dbraw/zinc/95/33/05/991953305.db2.gz JHKJGDJOMKNYJX-VHSXEESVSA-N 0 2 308.325 0.915 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])CC(=O)Nc1ccccc1 ZINC001598489027 992255401 /nfs/dbraw/zinc/25/54/01/992255401.db2.gz JKPQBQGLPARDCI-QWHCGFSZSA-N 0 2 319.361 0.394 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])CC(=O)Nc1ccccc1 ZINC001598489027 992255410 /nfs/dbraw/zinc/25/54/10/992255410.db2.gz JKPQBQGLPARDCI-QWHCGFSZSA-N 0 2 319.361 0.394 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1cc(C2CC2)no1 ZINC001598489463 992264876 /nfs/dbraw/zinc/26/48/76/992264876.db2.gz QITHORPYRWGIJJ-NWDGAFQWSA-N 0 2 307.350 0.821 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1cc(C2CC2)no1 ZINC001598489463 992264887 /nfs/dbraw/zinc/26/48/87/992264887.db2.gz QITHORPYRWGIJJ-NWDGAFQWSA-N 0 2 307.350 0.821 20 0 DCADLN C[S@](=O)c1cccc(C(=O)N2CC[NH+](CC(=O)[O-])CC2)c1 ZINC001599778752 992338974 /nfs/dbraw/zinc/33/89/74/992338974.db2.gz JVERCAKFZQJYPP-NRFANRHFSA-N 0 2 310.375 0.266 20 0 DCADLN C[N@H+](CCc1cn(CC(=O)[O-])nn1)Cc1nccn1C(F)F ZINC001598502800 992457206 /nfs/dbraw/zinc/45/72/06/992457206.db2.gz LCQLZYKXTTUJHP-UHFFFAOYSA-N 0 2 314.296 0.629 20 0 DCADLN C[N@@H+](CCc1cn(CC(=O)[O-])nn1)Cc1nccn1C(F)F ZINC001598502800 992457210 /nfs/dbraw/zinc/45/72/10/992457210.db2.gz LCQLZYKXTTUJHP-UHFFFAOYSA-N 0 2 314.296 0.629 20 0 DCADLN O=C(CCc1cnn[nH]1)NCC1([NH2+]Cc2ccc(F)cn2)CC1 ZINC001392938234 992563699 /nfs/dbraw/zinc/56/36/99/992563699.db2.gz UXVYQTNBQLQVCO-UHFFFAOYSA-N 0 2 318.356 0.710 20 0 DCADLN COC[C@H](C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001392971928 992593418 /nfs/dbraw/zinc/59/34/18/992593418.db2.gz DZBSENNNPZATAF-SDDRHHMPSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1ccn(C)n1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001591593837 992811806 /nfs/dbraw/zinc/81/18/06/992811806.db2.gz JKAIOWRIWDMSFX-UONOGXRCSA-N 0 2 322.409 0.810 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1ccn(C)n1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001591593837 992811811 /nfs/dbraw/zinc/81/18/11/992811811.db2.gz JKAIOWRIWDMSFX-UONOGXRCSA-N 0 2 322.409 0.810 20 0 DCADLN Cn1nnc2cc(C(=O)O[C@H]3C[C@@H](C(=O)[O-])[N@H+](C)C3)ccc21 ZINC001598577261 993296923 /nfs/dbraw/zinc/29/69/23/993296923.db2.gz YTNSWCQAZFYGAI-CABZTGNLSA-N 0 2 304.306 0.282 20 0 DCADLN Cn1nnc2cc(C(=O)O[C@H]3C[C@@H](C(=O)[O-])[N@@H+](C)C3)ccc21 ZINC001598577261 993296931 /nfs/dbraw/zinc/29/69/31/993296931.db2.gz YTNSWCQAZFYGAI-CABZTGNLSA-N 0 2 304.306 0.282 20 0 DCADLN CCC[NH+]1CCC[C@@H]1C(=O)NC[C@H](C)[N@H+](C)Cc1cnnn1C ZINC001394065730 993448995 /nfs/dbraw/zinc/44/89/95/993448995.db2.gz HVHICWLMBPYVSX-DZGCQCFKSA-N 0 2 322.457 0.626 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccs1 ZINC001399579383 993581964 /nfs/dbraw/zinc/58/19/64/993581964.db2.gz MZKGTRNFIPGPCS-VIFPVBQESA-N 0 2 309.395 0.924 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccs1 ZINC001399579383 993581970 /nfs/dbraw/zinc/58/19/70/993581970.db2.gz MZKGTRNFIPGPCS-VIFPVBQESA-N 0 2 309.395 0.924 20 0 DCADLN Cc1ccn(CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001399982330 994018175 /nfs/dbraw/zinc/01/81/75/994018175.db2.gz MMYSNYCKRHPKEE-PSASIEDQSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccn(CC(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001399982330 994018181 /nfs/dbraw/zinc/01/81/81/994018181.db2.gz MMYSNYCKRHPKEE-PSASIEDQSA-N 0 2 324.278 0.713 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)COCC(F)F ZINC001400025614 994067300 /nfs/dbraw/zinc/06/73/00/994067300.db2.gz QMWAUVIBUDIEER-SVGQVSJJSA-N 0 2 324.221 0.789 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)COCC(F)F ZINC001400025614 994067312 /nfs/dbraw/zinc/06/73/12/994067312.db2.gz QMWAUVIBUDIEER-SVGQVSJJSA-N 0 2 324.221 0.789 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CC(O)(C(=O)[O-])C1 ZINC001598629996 994159015 /nfs/dbraw/zinc/15/90/15/994159015.db2.gz CZSGIFOJCNVNMG-LBPRGKRZSA-N 0 2 305.334 0.014 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CC(O)(C(=O)[O-])C1 ZINC001598629996 994159019 /nfs/dbraw/zinc/15/90/19/994159019.db2.gz CZSGIFOJCNVNMG-LBPRGKRZSA-N 0 2 305.334 0.014 20 0 DCADLN CSCC(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001400439668 994517291 /nfs/dbraw/zinc/51/72/91/994517291.db2.gz ZOSHUHZKEQYBES-XPUUQOCRSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001400439668 994517297 /nfs/dbraw/zinc/51/72/97/994517297.db2.gz ZOSHUHZKEQYBES-XPUUQOCRSA-N 0 2 302.293 0.967 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400630849 994730852 /nfs/dbraw/zinc/73/08/52/994730852.db2.gz OCXPTOPMMGKKTK-MNOVXSKESA-N 0 2 319.365 0.673 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+](C)CCN1CCOC(C)(C)C1 ZINC001598696071 995139323 /nfs/dbraw/zinc/13/93/23/995139323.db2.gz DMFAHHNDNGURBT-LBPRGKRZSA-N 0 2 316.398 0.435 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+](C)CCN1CCOC(C)(C)C1 ZINC001598696071 995139330 /nfs/dbraw/zinc/13/93/30/995139330.db2.gz DMFAHHNDNGURBT-LBPRGKRZSA-N 0 2 316.398 0.435 20 0 DCADLN COC(=O)[C@H](C[C@@H]1CCCO1)[NH2+]C[C@H]1[C@@H](C(=O)[O-])C1(F)F ZINC001598699803 995203031 /nfs/dbraw/zinc/20/30/31/995203031.db2.gz ZSSLIAOAGNRUMX-XKNYDFJKSA-N 0 2 307.293 0.653 20 0 DCADLN CC(=O)N[C@@](C)(C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C(C)C ZINC001573417675 1163431430 /nfs/dbraw/zinc/43/14/30/1163431430.db2.gz KTHRYLBSWFOYBY-BXUZGUMPSA-N 0 2 310.354 0.072 20 0 DCADLN CC(=O)N[C@@](C)(C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C(C)C ZINC001573417675 1163431438 /nfs/dbraw/zinc/43/14/38/1163431438.db2.gz KTHRYLBSWFOYBY-BXUZGUMPSA-N 0 2 310.354 0.072 20 0 DCADLN COC(=O)C1CCC(NC(=O)[C@H](C)n2cc[nH+]c2)(C(=O)[O-])CC1 ZINC001598785928 996028998 /nfs/dbraw/zinc/02/89/98/996028998.db2.gz WKTWLUJBGSRMGX-NLTNOIMHSA-N 0 2 323.349 0.747 20 0 DCADLN COC(=O)[C@@H]1CCC[C@@H]1[NH2+]Cc1noc(CCCC(=O)[O-])n1 ZINC001598791669 996078736 /nfs/dbraw/zinc/07/87/36/996078736.db2.gz PYXKKPZWTMGJQF-ZJUUUORDSA-N 0 2 311.338 0.908 20 0 DCADLN Cc1ncc(C(=O)N2CCCC[C@H]2C[N@H+](C)[C@@H](C)C(N)=O)[nH]1 ZINC001397804870 996185104 /nfs/dbraw/zinc/18/51/04/996185104.db2.gz SEXJEEMBQJAHEQ-JQWIXIFHSA-N 0 2 307.398 0.518 20 0 DCADLN O=C(C[C@@H]1CC(=O)Nc2ccccc21)NCc1n[nH]c(=O)[nH]1 ZINC001465725083 1016020993 /nfs/dbraw/zinc/02/09/93/1016020993.db2.gz IYWDCRIZRJRCGW-MRVPVSSYSA-N 0 2 301.306 0.643 20 0 DCADLN Cc1ncoc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001398603679 996599580 /nfs/dbraw/zinc/59/95/80/996599580.db2.gz HVCUDCSPHMZKBK-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1ncoc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398603679 996599582 /nfs/dbraw/zinc/59/95/82/996599582.db2.gz HVCUDCSPHMZKBK-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN O=C(NC1CN(C(=O)c2cncnc2)C1)C(F)C(F)(F)F ZINC001398606847 996601367 /nfs/dbraw/zinc/60/13/67/996601367.db2.gz PPEHQKGNEOTLFH-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2cncnc2)C1)[C@H](F)C(F)(F)F ZINC001398606847 996601371 /nfs/dbraw/zinc/60/13/71/996601371.db2.gz PPEHQKGNEOTLFH-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN COC(=O)CNC(=O)[C@H](C)[N@@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC001598864011 996699278 /nfs/dbraw/zinc/69/92/78/996699278.db2.gz VRDZBLYDUOTTRW-JTQLQIEISA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)CNC(=O)[C@H](C)[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC001598864011 996699280 /nfs/dbraw/zinc/69/92/80/996699280.db2.gz VRDZBLYDUOTTRW-JTQLQIEISA-N 0 2 320.345 0.421 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)[NH2+]Cc2nnc(C3CC3)o2)c1[O-] ZINC001398912827 996745377 /nfs/dbraw/zinc/74/53/77/996745377.db2.gz DVNVUPJJWSGPBW-SSDOTTSWSA-N 0 2 320.353 0.592 20 0 DCADLN CO[C@@H]1CCC[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404251376 996860502 /nfs/dbraw/zinc/86/05/02/996860502.db2.gz KMEUQNZFGJNTOV-VXGBXAGGSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H]1CCC[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404251376 996860512 /nfs/dbraw/zinc/86/05/12/996860512.db2.gz KMEUQNZFGJNTOV-VXGBXAGGSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H]1CCC[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404251362 996863523 /nfs/dbraw/zinc/86/35/23/996863523.db2.gz KMEUQNZFGJNTOV-NEPJUHHUSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H]1CCC[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404251362 996863530 /nfs/dbraw/zinc/86/35/30/996863530.db2.gz KMEUQNZFGJNTOV-NEPJUHHUSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cncnc1 ZINC001404395369 997068461 /nfs/dbraw/zinc/06/84/61/997068461.db2.gz WANLCESKVKHLIF-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cncnc1 ZINC001404395369 997068473 /nfs/dbraw/zinc/06/84/73/997068473.db2.gz WANLCESKVKHLIF-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001598897217 997103638 /nfs/dbraw/zinc/10/36/38/997103638.db2.gz BAMROJXLAAQBBC-IQJOONFLSA-N 0 2 309.322 0.111 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001598897217 997103651 /nfs/dbraw/zinc/10/36/51/997103651.db2.gz BAMROJXLAAQBBC-IQJOONFLSA-N 0 2 309.322 0.111 20 0 DCADLN CC(C)(C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510972236 1016097007 /nfs/dbraw/zinc/09/70/07/1016097007.db2.gz QFWADXCWYJWPPK-NKWVEPMBSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001510972236 1016097020 /nfs/dbraw/zinc/09/70/20/1016097020.db2.gz QFWADXCWYJWPPK-NKWVEPMBSA-N 0 2 302.268 0.526 20 0 DCADLN COC[C@H](C)[N@H+](C)[C@@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001399294118 997168684 /nfs/dbraw/zinc/16/86/84/997168684.db2.gz ISQRGXOGHOPQFD-CMPLNLGQSA-N 0 2 310.398 0.995 20 0 DCADLN COC[C@H](C)[N@@H+](C)[C@@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001399294118 997168693 /nfs/dbraw/zinc/16/86/93/997168693.db2.gz ISQRGXOGHOPQFD-CMPLNLGQSA-N 0 2 310.398 0.995 20 0 DCADLN COc1ccc([C@@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])cc1O ZINC001599520617 997472914 /nfs/dbraw/zinc/47/29/14/997472914.db2.gz WYSFTUOULXIVRI-CQSZACIVSA-N 0 2 319.317 0.619 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404892920 997568884 /nfs/dbraw/zinc/56/88/84/997568884.db2.gz ZICNNBRDHKBEKE-VIFPVBQESA-N 0 2 317.340 0.740 20 0 DCADLN CO[C@@H]1CC[N@H+](CC(=O)NC(C2CC2)C2CC2)[C@H](C(=O)[O-])C1 ZINC001599122529 997764970 /nfs/dbraw/zinc/76/49/70/997764970.db2.gz LPNBOSMIHJESQM-OLZOCXBDSA-N 0 2 310.394 0.855 20 0 DCADLN CO[C@@H]1CC[N@@H+](CC(=O)NC(C2CC2)C2CC2)[C@H](C(=O)[O-])C1 ZINC001599122529 997764979 /nfs/dbraw/zinc/76/49/79/997764979.db2.gz LPNBOSMIHJESQM-OLZOCXBDSA-N 0 2 310.394 0.855 20 0 DCADLN CN(C(=O)CCC(F)(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405090563 997776222 /nfs/dbraw/zinc/77/62/22/997776222.db2.gz ALRYKPATTLEFQT-MRVPVSSYSA-N 0 2 321.303 0.886 20 0 DCADLN CN(C(=O)CCC(F)(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405090563 997776234 /nfs/dbraw/zinc/77/62/34/997776234.db2.gz ALRYKPATTLEFQT-MRVPVSSYSA-N 0 2 321.303 0.886 20 0 DCADLN CCOC(=O)NCCCC(=O)NCCc1n[nH]c(=S)o1 ZINC001467310876 1016157175 /nfs/dbraw/zinc/15/71/75/1016157175.db2.gz SWDKAJDFDPQBQR-UHFFFAOYSA-N 0 2 302.356 0.543 20 0 DCADLN Cn1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1C=O ZINC001467508978 1016176408 /nfs/dbraw/zinc/17/64/08/1016176408.db2.gz QINNOZUFTPYPIG-SECBINFHSA-N 0 2 303.322 0.681 20 0 DCADLN CCC(CC)C(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001405282803 998057472 /nfs/dbraw/zinc/05/74/72/998057472.db2.gz DGUUVHYVXTYJMS-BDAKNGLRSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405282803 998057459 /nfs/dbraw/zinc/05/74/59/998057459.db2.gz DGUUVHYVXTYJMS-BDAKNGLRSA-N 0 2 316.295 0.916 20 0 DCADLN COC[C@H](C[N@@H+]1CCO[C@H](C)C1)OC(=O)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001599163903 998507096 /nfs/dbraw/zinc/50/70/96/998507096.db2.gz XOFNTKRTETUYJW-NDBYEHHHSA-N 0 2 315.366 0.376 20 0 DCADLN COC[C@H](C[N@H+]1CCO[C@H](C)C1)OC(=O)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001599163903 998507104 /nfs/dbraw/zinc/50/71/04/998507104.db2.gz XOFNTKRTETUYJW-NDBYEHHHSA-N 0 2 315.366 0.376 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)C1CCC(C(=O)[O-])CC1 ZINC001594176797 998682084 /nfs/dbraw/zinc/68/20/84/998682084.db2.gz MBEBXMGXOKEDCS-OKZRHMCRSA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)C1CCC(C(=O)[O-])CC1 ZINC001594176797 998682087 /nfs/dbraw/zinc/68/20/87/998682087.db2.gz MBEBXMGXOKEDCS-OKZRHMCRSA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2cncc(C(=O)[O-])c2)CC[N@H+]1C ZINC001594179385 998695147 /nfs/dbraw/zinc/69/51/47/998695147.db2.gz LUYBIVSWVAPSNA-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2cncc(C(=O)[O-])c2)CC[N@@H+]1C ZINC001594179385 998695151 /nfs/dbraw/zinc/69/51/51/998695151.db2.gz LUYBIVSWVAPSNA-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN COC(=O)c1ccc2c(c1)C[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001598991771 998744375 /nfs/dbraw/zinc/74/43/75/998744375.db2.gz WIVVLVJCCCHWBR-JTQLQIEISA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)c1ccc2c(c1)C[N@@H+]([C@@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001598991771 998744381 /nfs/dbraw/zinc/74/43/81/998744381.db2.gz WIVVLVJCCCHWBR-JTQLQIEISA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)c1csc(C[N@@H+]2CC[C@](OC)(C(=O)[O-])C2)n1 ZINC001599013021 998914931 /nfs/dbraw/zinc/91/49/31/998914931.db2.gz QXFCTOUWIOQLEU-GFCCVEGCSA-N 0 2 300.336 0.605 20 0 DCADLN COC(=O)c1csc(C[N@H+]2CC[C@](OC)(C(=O)[O-])C2)n1 ZINC001599013021 998914937 /nfs/dbraw/zinc/91/49/37/998914937.db2.gz QXFCTOUWIOQLEU-GFCCVEGCSA-N 0 2 300.336 0.605 20 0 DCADLN COC(=O)c1oc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])cc1C ZINC001599017472 998950954 /nfs/dbraw/zinc/95/09/54/998950954.db2.gz ICDMFRQVVUBDDW-NSHDSACASA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)c1oc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])cc1C ZINC001599017472 998950956 /nfs/dbraw/zinc/95/09/56/998950956.db2.gz ICDMFRQVVUBDDW-NSHDSACASA-N 0 2 324.333 0.540 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001594249476 998954234 /nfs/dbraw/zinc/95/42/34/998954234.db2.gz IEWNVSFVLCMVED-WUHRBBMRSA-N 0 2 311.382 0.354 20 0 DCADLN CCc1ncc(Cl)c(C(=O)NCC2=NC(=O)CC(=O)N2)n1 ZINC001418628650 1000405544 /nfs/dbraw/zinc/40/55/44/1000405544.db2.gz ZPZRUDHJPXMVKZ-UHFFFAOYSA-N 0 2 309.713 0.824 20 0 DCADLN C[C@@H](CN(C)Cc1cnn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001401749459 1000685828 /nfs/dbraw/zinc/68/58/28/1000685828.db2.gz KKYFZUKXBPCFBG-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CN(C)Cc1cnn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001401749459 1000685837 /nfs/dbraw/zinc/68/58/37/1000685837.db2.gz KKYFZUKXBPCFBG-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN CO[C@H](C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001419128473 1000802297 /nfs/dbraw/zinc/80/22/97/1000802297.db2.gz WYDVSWQYANNICH-PWSUYJOCSA-N 0 2 311.386 0.214 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)[NH2+][C@@H](C)c2csnn2)c1[O-] ZINC001419734418 1001261682 /nfs/dbraw/zinc/26/16/82/1001261682.db2.gz WUHCCBFTLAMBTO-RQJHMYQMSA-N 0 2 310.383 0.744 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cnnn1C ZINC001403134357 1001689528 /nfs/dbraw/zinc/68/95/28/1001689528.db2.gz YSYBGISICHMFBO-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN CC(=O)[C@H]1CC[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC001420048755 1001786151 /nfs/dbraw/zinc/78/61/51/1001786151.db2.gz UMVPOPPEXZSCTN-XYPYZODXSA-N 0 2 317.349 0.335 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](c3ncccn3)C2)S1 ZINC001420060816 1001793750 /nfs/dbraw/zinc/79/37/50/1001793750.db2.gz XJTUPHMHUGIRBS-IUCAKERBSA-N 0 2 305.363 0.349 20 0 DCADLN CCO[C@@H](C)C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001403366514 1001888913 /nfs/dbraw/zinc/88/89/13/1001888913.db2.gz WBHKSGRSTSZKHH-UWVGGRQHSA-N 0 2 311.386 0.262 20 0 DCADLN CC(C)[C@H](F)C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001403381974 1001910478 /nfs/dbraw/zinc/91/04/78/1001910478.db2.gz MDKOSNUVRHEVBS-ONGXEEELSA-N 0 2 313.377 0.831 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CC2CCCCC2)[nH]1 ZINC001420148105 1001941081 /nfs/dbraw/zinc/94/10/81/1001941081.db2.gz QWGVXOGOXKIAQE-UHFFFAOYSA-N 0 2 314.411 0.936 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)Cc1csnn1 ZINC001420216258 1002059134 /nfs/dbraw/zinc/05/91/34/1002059134.db2.gz WNXHWNNPBGCNKZ-SSDOTTSWSA-N 0 2 300.281 0.987 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1csnn1 ZINC001420216258 1002059140 /nfs/dbraw/zinc/05/91/40/1002059140.db2.gz WNXHWNNPBGCNKZ-SSDOTTSWSA-N 0 2 300.281 0.987 20 0 DCADLN CC[C@H](C)N(CC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001471450072 1016577056 /nfs/dbraw/zinc/57/70/56/1016577056.db2.gz YTUNMFJOSXFEPA-VIFPVBQESA-N 0 2 317.415 0.679 20 0 DCADLN C[C@@H](CNC(=O)Cc1cscn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425036734 1002278690 /nfs/dbraw/zinc/27/86/90/1002278690.db2.gz WVSGUTUISNCOFX-QMMMGPOBSA-N 0 2 310.383 0.146 20 0 DCADLN C[C@@H](CNC(=O)Cc1cscn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425036734 1002278701 /nfs/dbraw/zinc/27/87/01/1002278701.db2.gz WVSGUTUISNCOFX-QMMMGPOBSA-N 0 2 310.383 0.146 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H](OC)C1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420408525 1002370855 /nfs/dbraw/zinc/37/08/55/1002370855.db2.gz OKNOZTDVPIZYDF-LBPRGKRZSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H](OC)C1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420408525 1002370864 /nfs/dbraw/zinc/37/08/64/1002370864.db2.gz OKNOZTDVPIZYDF-LBPRGKRZSA-N 0 2 311.386 0.264 20 0 DCADLN C[N@H+](CCNC(=O)c1ncoc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001403742313 1002453484 /nfs/dbraw/zinc/45/34/84/1002453484.db2.gz QAEMKFWWKAYMSL-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN C[N@@H+](CCNC(=O)c1ncoc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001403742313 1002453493 /nfs/dbraw/zinc/45/34/93/1002453493.db2.gz QAEMKFWWKAYMSL-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cc(=O)[nH]c(C3CC3)n2)S1 ZINC001471809289 1016657389 /nfs/dbraw/zinc/65/73/89/1016657389.db2.gz AHFSXFXPJJYWJG-MRVPVSSYSA-N 0 2 321.362 0.232 20 0 DCADLN C[C@H](CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001472082799 1016726414 /nfs/dbraw/zinc/72/64/14/1016726414.db2.gz UYXXDCAUJYCZBU-MRVPVSSYSA-N 0 2 300.384 0.402 20 0 DCADLN COc1cncc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001421028175 1003247580 /nfs/dbraw/zinc/24/75/80/1003247580.db2.gz AXWMEUKSFCIJKV-JTQLQIEISA-N 0 2 322.262 0.686 20 0 DCADLN COc1cncc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001421028175 1003247589 /nfs/dbraw/zinc/24/75/89/1003247589.db2.gz AXWMEUKSFCIJKV-JTQLQIEISA-N 0 2 322.262 0.686 20 0 DCADLN CCn1ncnc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001421029882 1003248408 /nfs/dbraw/zinc/24/84/08/1003248408.db2.gz KMQKTHQCDIAXKV-SECBINFHSA-N 0 2 309.267 0.499 20 0 DCADLN CCn1ncnc1CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001421029882 1003248421 /nfs/dbraw/zinc/24/84/21/1003248421.db2.gz KMQKTHQCDIAXKV-SECBINFHSA-N 0 2 309.267 0.499 20 0 DCADLN O=C(CC[C@H]1CCCCO1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421071572 1003290609 /nfs/dbraw/zinc/29/06/09/1003290609.db2.gz CZZUPJCDYHVVTQ-LLVKDONJSA-N 0 2 309.370 0.160 20 0 DCADLN CC[C@@H](CC(F)(F)F)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421090918 1003308694 /nfs/dbraw/zinc/30/86/94/1003308694.db2.gz IMHARIOWORDTMQ-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@]1(NC(=O)CCc2ccon2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421220026 1003456926 /nfs/dbraw/zinc/45/69/26/1003456926.db2.gz RKYMETUIGZONBC-CQSZACIVSA-N 0 2 320.353 0.212 20 0 DCADLN C[C@@]1(NC(=O)CCc2ccon2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421220026 1003456930 /nfs/dbraw/zinc/45/69/30/1003456930.db2.gz RKYMETUIGZONBC-CQSZACIVSA-N 0 2 320.353 0.212 20 0 DCADLN CCN(C(=O)C[C@H](C)OC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421326053 1003544350 /nfs/dbraw/zinc/54/43/50/1003544350.db2.gz LNABOSPECMZUDE-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CCN(C(=O)C[C@H](C)OC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421326053 1003544353 /nfs/dbraw/zinc/54/43/53/1003544353.db2.gz LNABOSPECMZUDE-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)CC1CC1 ZINC001428141403 1003722985 /nfs/dbraw/zinc/72/29/85/1003722985.db2.gz GCRGENFHJOYWKO-WCBMZHEXSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)CC1CC1 ZINC001428141403 1003722992 /nfs/dbraw/zinc/72/29/92/1003722992.db2.gz GCRGENFHJOYWKO-WCBMZHEXSA-N 0 2 314.279 0.622 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001428301429 1003846776 /nfs/dbraw/zinc/84/67/76/1003846776.db2.gz BASYCBZRDKHRQQ-RNJXMRFFSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001428301429 1003846795 /nfs/dbraw/zinc/84/67/95/1003846795.db2.gz BASYCBZRDKHRQQ-RNJXMRFFSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1nnc(CN(C)C[C@H](C)NC(=O)C(F)C(F)(F)F)[nH]1 ZINC001406618108 1003931266 /nfs/dbraw/zinc/93/12/66/1003931266.db2.gz SOLXHPIVEWQQCG-RCOVLWMOSA-N 0 2 311.283 0.950 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cccnn1 ZINC001426688208 1003966908 /nfs/dbraw/zinc/96/69/08/1003966908.db2.gz SEJXRYCOEGPXSB-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cccnn1 ZINC001426688208 1003966913 /nfs/dbraw/zinc/96/69/13/1003966913.db2.gz SEJXRYCOEGPXSB-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN Cc1ccccc1CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001406891246 1004191078 /nfs/dbraw/zinc/19/10/78/1004191078.db2.gz PJHXVCMTCUEPHV-CYBMUJFWSA-N 0 2 315.377 0.752 20 0 DCADLN CC(=O)NC[C@]1(C)CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001427219664 1004264128 /nfs/dbraw/zinc/26/41/28/1004264128.db2.gz XBCSXOSDQUDPGQ-PSASIEDQSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)NC[C@]1(C)CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001427219664 1004264136 /nfs/dbraw/zinc/26/41/36/1004264136.db2.gz XBCSXOSDQUDPGQ-PSASIEDQSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)c1ccc(=O)[nH]n1)C(=O)C(F)C(F)(F)F ZINC001429146806 1004413301 /nfs/dbraw/zinc/41/33/01/1004413301.db2.gz BMPDHKCUCOGXGK-QMMMGPOBSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)c1ccc(=O)[nH]n1)C(=O)[C@H](F)C(F)(F)F ZINC001429146806 1004413305 /nfs/dbraw/zinc/41/33/05/1004413305.db2.gz BMPDHKCUCOGXGK-QMMMGPOBSA-N 0 2 324.234 0.271 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1C(=O)c1cn[nH]n1 ZINC001429235106 1004473349 /nfs/dbraw/zinc/47/33/49/1004473349.db2.gz YVLYHSLPUZBORS-GKROBHDKSA-N 0 2 323.250 0.424 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CCN1C(=O)c1cn[nH]n1 ZINC001429235106 1004473351 /nfs/dbraw/zinc/47/33/51/1004473351.db2.gz YVLYHSLPUZBORS-GKROBHDKSA-N 0 2 323.250 0.424 20 0 DCADLN CCN1C[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC001427598092 1004483167 /nfs/dbraw/zinc/48/31/67/1004483167.db2.gz AUKFTLAOYVVVQP-VHSXEESVSA-N 0 2 322.369 0.020 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001407504924 1004696078 /nfs/dbraw/zinc/69/60/78/1004696078.db2.gz WARYGDSMRBVKNP-SCZZXKLOSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001407899286 1004931840 /nfs/dbraw/zinc/93/18/40/1004931840.db2.gz LGQWZSFEXHDRKP-JCGDXUMPSA-N 0 2 310.207 0.347 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC001407899286 1004931844 /nfs/dbraw/zinc/93/18/44/1004931844.db2.gz LGQWZSFEXHDRKP-JCGDXUMPSA-N 0 2 310.207 0.347 20 0 DCADLN CCC1(C(=O)N[C@@H]2COC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)CC1 ZINC001407992980 1004976029 /nfs/dbraw/zinc/97/60/29/1004976029.db2.gz VXCMZOHASUQMEZ-JTQLQIEISA-N 0 2 321.381 0.160 20 0 DCADLN C[C@H](CNC(=O)Cc1ccc(F)cc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506048532 1016946553 /nfs/dbraw/zinc/94/65/53/1016946553.db2.gz UVRQPUCCMRXVIA-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN C[C@H](CNC(=O)Cc1ccc(F)cc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506048532 1016946562 /nfs/dbraw/zinc/94/65/62/1016946562.db2.gz UVRQPUCCMRXVIA-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414930772 1005426134 /nfs/dbraw/zinc/42/61/34/1005426134.db2.gz YSAUQBXSXWTINP-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001414930772 1005426136 /nfs/dbraw/zinc/42/61/36/1005426136.db2.gz YSAUQBXSXWTINP-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001415011599 1005441567 /nfs/dbraw/zinc/44/15/67/1005441567.db2.gz LSGFKBCPVSJVQL-OOZYFLPDSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001415011599 1005441569 /nfs/dbraw/zinc/44/15/69/1005441569.db2.gz LSGFKBCPVSJVQL-OOZYFLPDSA-N 0 2 322.262 0.996 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CC2(F)F)C1)C(F)C(F)(F)F ZINC001416025218 1005651301 /nfs/dbraw/zinc/65/13/01/1005651301.db2.gz APWQBTZKUGAGIM-NTSWFWBYSA-N 0 2 304.190 0.869 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CC2(F)F)C1)[C@@H](F)C(F)(F)F ZINC001416025218 1005651302 /nfs/dbraw/zinc/65/13/02/1005651302.db2.gz APWQBTZKUGAGIM-NTSWFWBYSA-N 0 2 304.190 0.869 20 0 DCADLN Cc1nc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)co1 ZINC001416030297 1005652493 /nfs/dbraw/zinc/65/24/93/1005652493.db2.gz NGPJVAREGKTWFC-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)co1 ZINC001416030297 1005652494 /nfs/dbraw/zinc/65/24/94/1005652494.db2.gz NGPJVAREGKTWFC-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1ccc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001416033568 1005652769 /nfs/dbraw/zinc/65/27/69/1005652769.db2.gz TZIPHWPPDZCGTM-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ccc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001416033568 1005652770 /nfs/dbraw/zinc/65/27/70/1005652770.db2.gz TZIPHWPPDZCGTM-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001416716852 1005727085 /nfs/dbraw/zinc/72/70/85/1005727085.db2.gz FQJYXQXFDFKHBU-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccncc1 ZINC001416716852 1005727087 /nfs/dbraw/zinc/72/70/87/1005727087.db2.gz FQJYXQXFDFKHBU-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC[C@H](C)CCO ZINC001417878430 1005912583 /nfs/dbraw/zinc/91/25/83/1005912583.db2.gz WLVGGHMNWAENKF-JTQLQIEISA-N 0 2 306.366 0.398 20 0 DCADLN Cc1nnc([C@@H](C)NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001434838246 1006027952 /nfs/dbraw/zinc/02/79/52/1006027952.db2.gz OFTJIUACKUOHNG-APPZFPTMSA-N 0 2 321.341 0.852 20 0 DCADLN Cc1noc(C)c1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001434852208 1006043156 /nfs/dbraw/zinc/04/31/56/1006043156.db2.gz ZCHGQIODFFRPRM-UHFFFAOYSA-N 0 2 306.326 0.073 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001451306563 1006077087 /nfs/dbraw/zinc/07/70/87/1006077087.db2.gz DDGFZXVLYKCTCZ-SVRRBLITSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccco1 ZINC001451306563 1006077093 /nfs/dbraw/zinc/07/70/93/1006077093.db2.gz DDGFZXVLYKCTCZ-SVRRBLITSA-N 0 2 312.219 0.387 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CC(F)(F)C1 ZINC001439393481 1006804953 /nfs/dbraw/zinc/80/49/53/1006804953.db2.gz SWQRNPOSKXCSLU-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CC(F)(F)C1 ZINC001439393481 1006804964 /nfs/dbraw/zinc/80/49/64/1006804964.db2.gz SWQRNPOSKXCSLU-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CC(=O)CCCC(=O)NC[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001437688375 1007264586 /nfs/dbraw/zinc/26/45/86/1007264586.db2.gz KMYBLYSZUUEYPQ-LLVKDONJSA-N 0 2 309.370 0.208 20 0 DCADLN CC(=O)CCCC(=O)NC[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001437688375 1007264598 /nfs/dbraw/zinc/26/45/98/1007264598.db2.gz KMYBLYSZUUEYPQ-LLVKDONJSA-N 0 2 309.370 0.208 20 0 DCADLN O=C(Cc1ccccc1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001437784171 1007402974 /nfs/dbraw/zinc/40/29/74/1007402974.db2.gz GDDOUXVCTNEURD-UHFFFAOYSA-N 0 2 315.377 0.834 20 0 DCADLN O=C(Cc1ccccc1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001437784171 1007402978 /nfs/dbraw/zinc/40/29/78/1007402978.db2.gz GDDOUXVCTNEURD-UHFFFAOYSA-N 0 2 315.377 0.834 20 0 DCADLN CCCN(C(=O)c1cn[nH]c1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001437888639 1007480733 /nfs/dbraw/zinc/48/07/33/1007480733.db2.gz QPGLKTQTQMXNFU-NSHDSACASA-N 0 2 319.369 0.360 20 0 DCADLN CN(C(=O)CC[C@H]1CCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438242720 1007739178 /nfs/dbraw/zinc/73/91/78/1007739178.db2.gz YNJNHEVTHQTGDB-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)CC[C@H]1CCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438242720 1007739181 /nfs/dbraw/zinc/73/91/81/1007739181.db2.gz YNJNHEVTHQTGDB-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CCCC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001509334102 1017254837 /nfs/dbraw/zinc/25/48/37/1017254837.db2.gz QTQSBKDUTNYTPQ-RNFRBKRXSA-N 0 2 315.267 0.163 20 0 DCADLN CCCC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001509334102 1017254843 /nfs/dbraw/zinc/25/48/43/1017254843.db2.gz QTQSBKDUTNYTPQ-RNFRBKRXSA-N 0 2 315.267 0.163 20 0 DCADLN Cc1noc(C[NH2+][C@H](C)[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001440769175 1008008655 /nfs/dbraw/zinc/00/86/55/1008008655.db2.gz DAUUUXPSUDVDMB-RNFRBKRXSA-N 0 2 308.342 0.412 20 0 DCADLN C[C@H](NC(=O)CCC(C)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441169568 1008253105 /nfs/dbraw/zinc/25/31/05/1008253105.db2.gz MFRKQQYZWFGRAY-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)Cc1ncc[nH]1 ZINC001433174840 1008580704 /nfs/dbraw/zinc/58/07/04/1008580704.db2.gz APLZMLCRBJPBBL-SNVBAGLBSA-N 0 2 324.278 0.769 20 0 DCADLN Cc1cnc(C[NH2+]C[C@H](O)CN(C)C(=O)C[N@@H+](C)C(C)C)o1 ZINC001442029951 1008837144 /nfs/dbraw/zinc/83/71/44/1008837144.db2.gz GUXRCACFIXZRNP-ZDUSSCGKSA-N 0 2 312.414 0.232 20 0 DCADLN C[C@H](CNC(=O)COCC1CC1)NC(=O)C(F)C(F)(F)F ZINC001454563175 1009192425 /nfs/dbraw/zinc/19/24/25/1009192425.db2.gz OINPZBIHAQMSBU-GMSGAONNSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)COCC1CC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001454563175 1009192432 /nfs/dbraw/zinc/19/24/32/1009192432.db2.gz OINPZBIHAQMSBU-GMSGAONNSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](C)Cc2ccn(C)c(=O)c2)c1C ZINC001442372749 1009232475 /nfs/dbraw/zinc/23/24/75/1009232475.db2.gz DNTJFKPQIKZXEI-UHFFFAOYSA-N 0 2 317.393 0.587 20 0 DCADLN CCOCC(=O)NCC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001454967121 1009417796 /nfs/dbraw/zinc/41/77/96/1009417796.db2.gz TUWZUKCKEXFUCC-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)NCC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001454967121 1009417807 /nfs/dbraw/zinc/41/78/07/1009417807.db2.gz TUWZUKCKEXFUCC-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001434066773 1009515762 /nfs/dbraw/zinc/51/57/62/1009515762.db2.gz JRXHQHIKJHCLTP-APPZFPTMSA-N 0 2 314.279 0.981 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001434066773 1009515775 /nfs/dbraw/zinc/51/57/75/1009515775.db2.gz JRXHQHIKJHCLTP-APPZFPTMSA-N 0 2 314.279 0.981 20 0 DCADLN Cc1ccc2nnc(CNC(=O)C[C@H]3SC(=N)NC3=O)n2c1 ZINC001434101432 1009563905 /nfs/dbraw/zinc/56/39/05/1009563905.db2.gz YYSQBPXMCOXJDU-MRVPVSSYSA-N 0 2 318.362 0.210 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)[C@H](C)c2cnccn2)c1[O-] ZINC001422157892 1009575600 /nfs/dbraw/zinc/57/56/00/1009575600.db2.gz VJDMKOUCHXHHML-LLVKDONJSA-N 0 2 318.381 0.979 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)[C@H](C)c2cnccn2)c1[O-] ZINC001422157892 1009575604 /nfs/dbraw/zinc/57/56/04/1009575604.db2.gz VJDMKOUCHXHHML-LLVKDONJSA-N 0 2 318.381 0.979 20 0 DCADLN CC[C@@H](C)[C@@H](OC)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422181768 1009617294 /nfs/dbraw/zinc/61/72/94/1009617294.db2.gz FQQTUWDSZGPRIB-ZYHUDNBSSA-N 0 2 313.402 0.462 20 0 DCADLN CC[C@@H](C)[C@@H](OC)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422181768 1009617302 /nfs/dbraw/zinc/61/73/02/1009617302.db2.gz FQQTUWDSZGPRIB-ZYHUDNBSSA-N 0 2 313.402 0.462 20 0 DCADLN Cc1nc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)c[nH]1 ZINC001455283849 1009633320 /nfs/dbraw/zinc/63/33/20/1009633320.db2.gz YMBOUKMXFONBSW-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1nc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)c[nH]1 ZINC001455283849 1009633325 /nfs/dbraw/zinc/63/33/25/1009633325.db2.gz YMBOUKMXFONBSW-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455283121 1009633354 /nfs/dbraw/zinc/63/33/54/1009633354.db2.gz VGUOMTFQTZIGFS-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001455283121 1009633358 /nfs/dbraw/zinc/63/33/58/1009633358.db2.gz VGUOMTFQTZIGFS-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN O=C(Cc1cn2c(n1)CCCC2)NC1(c2nn[nH]n2)CCC1 ZINC001455349780 1009676315 /nfs/dbraw/zinc/67/63/15/1009676315.db2.gz PSZGILBLRCUERF-UHFFFAOYSA-N 0 2 301.354 0.471 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@H]1CCCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001442761473 1009706205 /nfs/dbraw/zinc/70/62/05/1009706205.db2.gz TZEOXVULXMSGEX-LLVKDONJSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@H]1CCCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001442761473 1009706218 /nfs/dbraw/zinc/70/62/18/1009706218.db2.gz TZEOXVULXMSGEX-LLVKDONJSA-N 0 2 311.386 0.265 20 0 DCADLN Cn1cc(CN2CCC[C@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001442776017 1009722945 /nfs/dbraw/zinc/72/29/45/1009722945.db2.gz AQAQJRGHGRDILU-VHSXEESVSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CCC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001442776017 1009722958 /nfs/dbraw/zinc/72/29/58/1009722958.db2.gz AQAQJRGHGRDILU-VHSXEESVSA-N 0 2 323.294 0.796 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nc3c(cccc3F)[nH]2)S1 ZINC001434317380 1009756859 /nfs/dbraw/zinc/75/68/59/1009756859.db2.gz YEYUNNARVAZKGS-MRVPVSSYSA-N 0 2 321.337 0.875 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001442829840 1009789005 /nfs/dbraw/zinc/78/90/05/1009789005.db2.gz WRUGWKAZYLIOQR-YUMQZZPRSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001442829840 1009789014 /nfs/dbraw/zinc/78/90/14/1009789014.db2.gz WRUGWKAZYLIOQR-YUMQZZPRSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001442829840 1009789027 /nfs/dbraw/zinc/78/90/27/1009789027.db2.gz WRUGWKAZYLIOQR-YUMQZZPRSA-N 0 2 321.303 0.789 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](C)c2nn(C)cc2O)n1C ZINC001434405896 1009913869 /nfs/dbraw/zinc/91/38/69/1009913869.db2.gz CUHYSRNXLOUJBQ-QMMMGPOBSA-N 0 2 306.322 0.742 20 0 DCADLN O=C(NCCn1ccc(=O)[nH]c1=O)c1cc(F)c(O)c(F)c1 ZINC001455730096 1009936938 /nfs/dbraw/zinc/93/69/38/1009936938.db2.gz NTTBETJJCVNEMW-UHFFFAOYSA-N 0 2 311.244 0.363 20 0 DCADLN Cc1ncoc1C[NH2+][C@@H](C)[C@@H](C)NC(=O)CCc1cnn[nH]1 ZINC001422377259 1009978730 /nfs/dbraw/zinc/97/87/30/1009978730.db2.gz MVQLHPZDYGVULH-VHSXEESVSA-N 0 2 306.370 0.717 20 0 DCADLN CC(C)[C@@H]1C[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443044972 1010088451 /nfs/dbraw/zinc/08/84/51/1010088451.db2.gz KRAMAEXSVSVREA-RYUDHWBXSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)[C@@H]1C[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443044972 1010088462 /nfs/dbraw/zinc/08/84/62/1010088462.db2.gz KRAMAEXSVSVREA-RYUDHWBXSA-N 0 2 307.398 0.883 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)N[C@@H](CCO)c1ccco1 ZINC001434561830 1010091529 /nfs/dbraw/zinc/09/15/29/1010091529.db2.gz DELCOYHJDITUJE-JTQLQIEISA-N 0 2 322.408 0.799 20 0 DCADLN CCCN(C(=O)c1cnon1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001443205393 1010221251 /nfs/dbraw/zinc/22/12/51/1010221251.db2.gz RWXGAXIZUBPJFP-VIFPVBQESA-N 0 2 321.341 0.020 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001422556346 1010290581 /nfs/dbraw/zinc/29/05/81/1010290581.db2.gz BPZBLQFLBZKJOV-VIFPVBQESA-N 0 2 320.353 0.170 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001422556346 1010290584 /nfs/dbraw/zinc/29/05/84/1010290584.db2.gz BPZBLQFLBZKJOV-VIFPVBQESA-N 0 2 320.353 0.170 20 0 DCADLN COc1ncc(C[N@H+](C)[C@H](C)CNC(=O)c2nnc[nH]2)c(C)n1 ZINC001422570392 1010318937 /nfs/dbraw/zinc/31/89/37/1010318937.db2.gz TWDBZJDHJWCVGF-SECBINFHSA-N 0 2 319.369 0.162 20 0 DCADLN COc1ncc(C[N@@H+](C)[C@H](C)CNC(=O)c2nnc[nH]2)c(C)n1 ZINC001422570392 1010318950 /nfs/dbraw/zinc/31/89/50/1010318950.db2.gz TWDBZJDHJWCVGF-SECBINFHSA-N 0 2 319.369 0.162 20 0 DCADLN CCn1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001422578439 1010341320 /nfs/dbraw/zinc/34/13/20/1010341320.db2.gz PTYFJDPZYKHSOV-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN CCn1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001422578439 1010341332 /nfs/dbraw/zinc/34/13/32/1010341332.db2.gz PTYFJDPZYKHSOV-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN CCn1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001422578438 1010341691 /nfs/dbraw/zinc/34/16/91/1010341691.db2.gz PTYFJDPZYKHSOV-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCn1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001422578438 1010341706 /nfs/dbraw/zinc/34/17/06/1010341706.db2.gz PTYFJDPZYKHSOV-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN C[C@H](CN(C)C(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001443734663 1010616536 /nfs/dbraw/zinc/61/65/36/1010616536.db2.gz KBOLUKGTLACRAT-PSASIEDQSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@H](CN(C)C(=O)Cn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001443734663 1010616546 /nfs/dbraw/zinc/61/65/46/1010616546.db2.gz KBOLUKGTLACRAT-PSASIEDQSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001443848376 1010702775 /nfs/dbraw/zinc/70/27/75/1010702775.db2.gz PZERMMCUXJDNDW-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001443848376 1010702779 /nfs/dbraw/zinc/70/27/79/1010702779.db2.gz PZERMMCUXJDNDW-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN CCO[C@@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001423099203 1010886868 /nfs/dbraw/zinc/88/68/68/1010886868.db2.gz UIOFVFRSANTGFU-ZYHUDNBSSA-N 0 2 309.370 0.016 20 0 DCADLN CCC1(C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001444214939 1010908713 /nfs/dbraw/zinc/90/87/13/1010908713.db2.gz QUUZRMUICYCJER-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001444214939 1010908715 /nfs/dbraw/zinc/90/87/15/1010908715.db2.gz QUUZRMUICYCJER-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCc1cncs1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423126537 1010909444 /nfs/dbraw/zinc/90/94/44/1010909444.db2.gz LEVUGUZNKYDOAW-VIFPVBQESA-N 0 2 322.394 0.290 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC[C@@H]1CC[NH+]1CCN1CCCC1=O ZINC001423152959 1010927642 /nfs/dbraw/zinc/92/76/42/1010927642.db2.gz JEXYRWWRHBRXKA-AWEZNQCLSA-N 0 2 310.442 0.140 20 0 DCADLN CCC[C@H](C(=O)NCC1(NCc2nc(=O)n(C)[nH]2)CC1)C(C)C ZINC001423283021 1011045446 /nfs/dbraw/zinc/04/54/46/1011045446.db2.gz HTEUOYMFEGJNSE-LBPRGKRZSA-N 0 2 323.441 0.919 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001423380847 1011112656 /nfs/dbraw/zinc/11/26/56/1011112656.db2.gz RYFQXBBXUOFZQP-NEPJUHHUSA-N 0 2 323.397 0.739 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H](O)c1ccccc1C(F)(F)F ZINC001445410367 1011739018 /nfs/dbraw/zinc/73/90/18/1011739018.db2.gz CFQRCPBOXKASQS-SECBINFHSA-N 0 2 316.239 0.879 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cnccn1 ZINC001535521438 1011916837 /nfs/dbraw/zinc/91/68/37/1011916837.db2.gz VZMGHXBTLWOKCO-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1cnccn1 ZINC001535521438 1011916844 /nfs/dbraw/zinc/91/68/44/1011916844.db2.gz VZMGHXBTLWOKCO-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2C[C@H](C3CC3)[C@H](C(=O)[O-])C2)c1 ZINC001553494248 1012028086 /nfs/dbraw/zinc/02/80/86/1012028086.db2.gz YMKQWWXSWXQQNR-CHWSQXEVSA-N 0 2 306.366 0.715 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@H]1CCCO1 ZINC001445685022 1012109305 /nfs/dbraw/zinc/10/93/05/1012109305.db2.gz IUDUSZUNKNPFFB-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@H]1CCCO1 ZINC001445685022 1012109319 /nfs/dbraw/zinc/10/93/19/1012109319.db2.gz IUDUSZUNKNPFFB-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445704904 1012134930 /nfs/dbraw/zinc/13/49/30/1012134930.db2.gz HVLDOIAOQYCQKK-SECBINFHSA-N 0 2 306.370 0.651 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445704904 1012134939 /nfs/dbraw/zinc/13/49/39/1012134939.db2.gz HVLDOIAOQYCQKK-SECBINFHSA-N 0 2 306.370 0.651 20 0 DCADLN Cc1ccncc1CC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445755819 1012213963 /nfs/dbraw/zinc/21/39/63/1012213963.db2.gz VSSAVMWPXKNOCD-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ccncc1CC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445755819 1012213979 /nfs/dbraw/zinc/21/39/79/1012213979.db2.gz VSSAVMWPXKNOCD-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CCCCO2)C1)C(F)C(F)(F)F ZINC001432260959 1012219904 /nfs/dbraw/zinc/21/99/04/1012219904.db2.gz RWGXBKJGAPVCCG-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CCCCO2)C1)[C@H](F)C(F)(F)F ZINC001432260959 1012219913 /nfs/dbraw/zinc/21/99/13/1012219913.db2.gz RWGXBKJGAPVCCG-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN Cn1nccc1C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001557911441 1012304447 /nfs/dbraw/zinc/30/44/47/1012304447.db2.gz MYTVUXUBQUCEJZ-NSHDSACASA-N 0 2 312.333 0.958 20 0 DCADLN CCc1nc[nH]c1C(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001547268140 1012579482 /nfs/dbraw/zinc/57/94/82/1012579482.db2.gz KLKHKLKLRWGNHE-UHFFFAOYSA-N 0 2 310.335 0.577 20 0 DCADLN COCC(=O)N1CC[C@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001459444023 1012727398 /nfs/dbraw/zinc/72/73/98/1012727398.db2.gz GLIUSWZMVBWAQD-GXSJLCMTSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CC[C@](C)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001459444023 1012727413 /nfs/dbraw/zinc/72/74/13/1012727413.db2.gz GLIUSWZMVBWAQD-GXSJLCMTSA-N 0 2 314.279 0.888 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001432711736 1012795601 /nfs/dbraw/zinc/79/56/01/1012795601.db2.gz JAMMDQSVUBVUBV-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001432711736 1012795605 /nfs/dbraw/zinc/79/56/05/1012795605.db2.gz JAMMDQSVUBVUBV-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cocn2)C1)C(F)C(F)(F)F ZINC001429838796 1012842529 /nfs/dbraw/zinc/84/25/29/1012842529.db2.gz NDRUBXIXNHEQTL-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cocn2)C1)[C@@H](F)C(F)(F)F ZINC001429838796 1012842556 /nfs/dbraw/zinc/84/25/56/1012842556.db2.gz NDRUBXIXNHEQTL-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN Cc1ccsc1[C@H](CO)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001446693750 1012908879 /nfs/dbraw/zinc/90/88/79/1012908879.db2.gz BLVVHHHTINTVDX-JGVFFNPUSA-N 0 2 313.404 0.763 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nnn(C)n2)c(C)c1 ZINC001475644918 1017129973 /nfs/dbraw/zinc/12/99/73/1017129973.db2.gz XSTUVBOGBXYMEL-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN CN(C(=O)CCc1cn[nH]n1)C1C[NH+](CCC[C@@H]2CCOC2)C1 ZINC001499748110 1018062891 /nfs/dbraw/zinc/06/28/91/1018062891.db2.gz GXBPFEAPNJQCMW-CYBMUJFWSA-N 0 2 321.425 0.697 20 0 DCADLN CN(C(=O)CCc1c[nH]nn1)C1C[NH+](CCC[C@@H]2CCOC2)C1 ZINC001499748110 1018062905 /nfs/dbraw/zinc/06/29/05/1018062905.db2.gz GXBPFEAPNJQCMW-CYBMUJFWSA-N 0 2 321.425 0.697 20 0 DCADLN Cc1cnn(C)c1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001494962063 1018183679 /nfs/dbraw/zinc/18/36/79/1018183679.db2.gz RDRYRSVTMXDDRT-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cnn(C)c1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001494962063 1018183689 /nfs/dbraw/zinc/18/36/89/1018183689.db2.gz RDRYRSVTMXDDRT-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN O=C(CC1(O)CCC1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001498174889 1018717967 /nfs/dbraw/zinc/71/79/67/1018717967.db2.gz SXGOFGRWFHDFGO-VIFPVBQESA-N 0 2 312.263 0.519 20 0 DCADLN O=C(CC1(O)CCC1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001498174889 1018717982 /nfs/dbraw/zinc/71/79/82/1018717982.db2.gz SXGOFGRWFHDFGO-VIFPVBQESA-N 0 2 312.263 0.519 20 0 DCADLN Cc1c(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)cnn1C ZINC001495850156 1018762145 /nfs/dbraw/zinc/76/21/45/1018762145.db2.gz FYSMPLSCNKJFFK-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1c(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)cnn1C ZINC001495850156 1018762160 /nfs/dbraw/zinc/76/21/60/1018762160.db2.gz FYSMPLSCNKJFFK-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CC(C)CCC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001501413038 1019147422 /nfs/dbraw/zinc/14/74/22/1019147422.db2.gz VCAPCWOGHQHPHK-SCZZXKLOSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001501413038 1019147429 /nfs/dbraw/zinc/14/74/29/1019147429.db2.gz VCAPCWOGHQHPHK-SCZZXKLOSA-N 0 2 316.295 0.916 20 0 DCADLN Cc1nnc([C@H](C)N(CCNC(=O)c2cnn[nH]2)C2CC2)[nH]1 ZINC001493386234 1019381737 /nfs/dbraw/zinc/38/17/37/1019381737.db2.gz WGDXABRJUFMTJG-QMMMGPOBSA-N 0 2 304.358 0.187 20 0 DCADLN CC(C)(O)CC(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001501828966 1019497069 /nfs/dbraw/zinc/49/70/69/1019497069.db2.gz JPDGHGNEZXIGCQ-CDAZIORVSA-N 0 2 314.279 0.836 20 0 DCADLN CC(C)(O)CC(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001501828966 1019497074 /nfs/dbraw/zinc/49/70/74/1019497074.db2.gz JPDGHGNEZXIGCQ-CDAZIORVSA-N 0 2 314.279 0.836 20 0 DCADLN COCC1(CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001498978165 1019606860 /nfs/dbraw/zinc/60/68/60/1019606860.db2.gz YGPLLZMMWPKFGS-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1nn(C)cc1C[N@@H+](C)CCN(C)C(=O)CCc1c[nH]nn1 ZINC001496785155 1019636341 /nfs/dbraw/zinc/63/63/41/1019636341.db2.gz GRAVTJPBLWGIQR-UHFFFAOYSA-N 0 2 319.413 0.370 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCCN=S(C)(C)=O ZINC001635410790 1171702657 /nfs/dbraw/zinc/70/26/57/1171702657.db2.gz RGYRWSHBJHLJIY-UHFFFAOYSA-N 0 2 317.333 0.287 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000174015814 198281608 /nfs/dbraw/zinc/28/16/08/198281608.db2.gz BMXFMJGVQKAEPX-TXEJJXNPSA-N 0 2 300.362 0.988 20 0 DCADLN COCCCNC(=O)CN(C)C(=O)c1cc(F)c(O)c(F)c1 ZINC000177118689 237208220 /nfs/dbraw/zinc/20/82/20/237208220.db2.gz JRVLHVDJDOMGLH-UHFFFAOYSA-N 0 2 316.304 0.895 20 0 DCADLN CNC(=O)c1c(C)[nH]nc1NC(=O)COc1c(C)nn(C)c1C ZINC000281140389 216105991 /nfs/dbraw/zinc/10/59/91/216105991.db2.gz ANGVBPZPTSGBIB-UHFFFAOYSA-N 0 2 320.353 0.446 20 0 DCADLN COC(=O)[C@@H](CNS(=O)(=O)NCC(F)(F)F)CC(C)C ZINC000195303339 291255391 /nfs/dbraw/zinc/25/53/91/291255391.db2.gz AONIUTOCVIVROR-MRVPVSSYSA-N 0 2 320.333 0.808 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000566301293 291292153 /nfs/dbraw/zinc/29/21/53/291292153.db2.gz WQCBTRYJPJXCFI-CYBMUJFWSA-N 0 2 321.377 0.891 20 0 DCADLN COC(=O)C1(CS(=O)(=O)NCc2cn[nH]c2C)CCCC1 ZINC000566647230 291316373 /nfs/dbraw/zinc/31/63/73/291316373.db2.gz OCRJBCDIDSYRTQ-UHFFFAOYSA-N 0 2 315.395 0.871 20 0 DCADLN C[C@H]1CCN(C(=O)C(=O)NCCCC(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000567048978 291334655 /nfs/dbraw/zinc/33/46/55/291334655.db2.gz YAAHQNGSBXCSER-RYUDHWBXSA-N 0 2 322.365 0.274 20 0 DCADLN C[C@H](Cn1cncn1)Nc1cc(C(C)(C)C)[nH+]c(C(=O)[O-])n1 ZINC000567170166 291341140 /nfs/dbraw/zinc/34/11/40/291341140.db2.gz QYVWLLMCKONPNK-SECBINFHSA-N 0 2 304.354 0.986 20 0 DCADLN COCc1cccc(S(=O)(=O)N[C@@H](C)C(=O)NCCF)c1 ZINC000281886952 216610366 /nfs/dbraw/zinc/61/03/66/216610366.db2.gz DNZNGJAECMULPF-JTQLQIEISA-N 0 2 318.370 0.586 20 0 DCADLN Cc1[nH+]c2ccccc2n1CCC(=O)NC[C@](C)(O)C(=O)[O-] ZINC000567862622 291385567 /nfs/dbraw/zinc/38/55/67/291385567.db2.gz MMOFGESWEGRNQP-HNNXBMFYSA-N 0 2 305.334 0.687 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H](CCCO)c1ccccc1 ZINC000275143448 212147727 /nfs/dbraw/zinc/14/77/27/212147727.db2.gz QAXMBXGWWSUADB-NSHDSACASA-N 0 2 322.390 0.820 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2c[nH]nc2C2CC2)cc1C(N)=O ZINC000569139798 291464448 /nfs/dbraw/zinc/46/44/48/291464448.db2.gz VSJXYCOOYBSZOJ-UHFFFAOYSA-N 0 2 309.351 0.525 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)CNc1nccc(OC)n1 ZINC000271680765 209120753 /nfs/dbraw/zinc/12/07/53/209120753.db2.gz BSXWWHCVBMKOFY-JTQLQIEISA-N 0 2 310.354 0.601 20 0 DCADLN CCCN1C[C@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC000334041035 252786397 /nfs/dbraw/zinc/78/63/97/252786397.db2.gz OKBIPCRTQPSIAW-WDEREUQCSA-N 0 2 321.381 0.475 20 0 DCADLN CCCCN(CC(N)=O)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000339929289 253165465 /nfs/dbraw/zinc/16/54/65/253165465.db2.gz AQXZWSOUKRWPSU-UHFFFAOYSA-N 0 2 318.333 0.758 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cnc(NC(C)=O)s2)no1 ZINC000340393686 253247462 /nfs/dbraw/zinc/24/74/62/253247462.db2.gz UCAABBRQMOTMPR-UHFFFAOYSA-N 0 2 317.352 0.848 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cc([C@H]2CCCO2)[nH]n1 ZINC000340636581 253286756 /nfs/dbraw/zinc/28/67/56/253286756.db2.gz QLFZBBNCNDJBKH-PSASIEDQSA-N 0 2 316.383 0.480 20 0 DCADLN C[C@@H](O)C[C@@H](C)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000340896639 253319641 /nfs/dbraw/zinc/31/96/41/253319641.db2.gz HKHHOOARHFYQJY-HTQZYQBOSA-N 0 2 314.411 0.472 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc(NC(=O)CO)c1 ZINC000347435298 254156244 /nfs/dbraw/zinc/15/62/44/254156244.db2.gz WKBOUEDFMIHTBP-UHFFFAOYSA-N 0 2 310.335 0.450 20 0 DCADLN CO[C@@H](C)CCNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000347913819 254201577 /nfs/dbraw/zinc/20/15/77/254201577.db2.gz GWVOJBGKFKOENY-QMMMGPOBSA-N 0 2 314.411 0.737 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)no1 ZINC000351510491 254340817 /nfs/dbraw/zinc/34/08/17/254340817.db2.gz MKGMTFFIBSGZSQ-UHFFFAOYSA-N 0 2 307.295 0.155 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1ccc(C(=O)NC)cn1)OC ZINC000421252058 262392779 /nfs/dbraw/zinc/39/27/79/262392779.db2.gz RXBGNSVZRTWJNY-SNVBAGLBSA-N 0 2 301.368 0.608 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)c2ccc(OCC(=O)[O-])cc2)CC1 ZINC000032232143 395709366 /nfs/dbraw/zinc/70/93/66/395709366.db2.gz VQTZOGAZFHHOJF-UHFFFAOYSA-N 0 2 314.363 0.086 20 0 DCADLN CC[C@H]1CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C[C@@H]1O ZINC000270552304 395782916 /nfs/dbraw/zinc/78/29/16/395782916.db2.gz HMKJNXZFGRAYRN-WPRPVWTQSA-N 0 2 318.333 0.587 20 0 DCADLN O=C(NCc1nc(-c2cccc(Cl)c2)no1)c1nc(=O)[nH][nH]1 ZINC000115715697 395840404 /nfs/dbraw/zinc/84/04/04/395840404.db2.gz UAFDHKNIADQDNE-UHFFFAOYSA-N 0 2 320.696 0.731 20 0 DCADLN O=C(N[C@H]1CCCN(c2cccc(F)c2)C1)c1nc(=O)[nH][nH]1 ZINC000116466177 395844113 /nfs/dbraw/zinc/84/41/13/395844113.db2.gz DJJOSVFEBWSGEW-JTQLQIEISA-N 0 2 305.313 0.636 20 0 DCADLN CN(Cc1ccccc1N1CCCC1)C(=O)c1nc(=O)[nH][nH]1 ZINC000126564326 395913202 /nfs/dbraw/zinc/91/32/02/395913202.db2.gz PSDHQTDJGFDYBE-UHFFFAOYSA-N 0 2 301.350 0.970 20 0 DCADLN CCc1nn(C)cc1NS(=O)(=O)c1cnn(CCOC)c1 ZINC000271409172 395863810 /nfs/dbraw/zinc/86/38/10/395863810.db2.gz XQRHMAKRLHNNIX-UHFFFAOYSA-N 0 2 313.383 0.626 20 0 DCADLN Cc1cc(CN(C)C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)no1 ZINC000052606828 395866679 /nfs/dbraw/zinc/86/66/79/395866679.db2.gz JCNVCZOYMKQNMN-UHFFFAOYSA-N 0 2 315.289 0.592 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccccc2-n2ccnn2)cn1 ZINC000119758045 395875655 /nfs/dbraw/zinc/87/56/55/395875655.db2.gz OOGIXMNJFQUZIK-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN CCOC(=O)c1oc(NS(=O)(=O)c2ccnn2C)nc1C ZINC000272203628 395877069 /nfs/dbraw/zinc/87/70/69/395877069.db2.gz YQHHQUHJGZPVLG-UHFFFAOYSA-N 0 2 314.323 0.694 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)no1 ZINC000272240366 395878994 /nfs/dbraw/zinc/87/89/94/395878994.db2.gz GVFGYTQDTVJOCM-MRVPVSSYSA-N 0 2 313.339 0.591 20 0 DCADLN Cc1nc(S(=O)(=O)N2CC[C@H](Oc3cc[nH+]cc3)C2)cn1C ZINC000151123826 395979824 /nfs/dbraw/zinc/97/98/24/395979824.db2.gz OXYYDQXNPLWCRT-ZDUSSCGKSA-N 0 2 322.390 0.966 20 0 DCADLN C[C@]1(CNC(=O)CSc2n[nH]c(=O)[nH]2)CCCC[C@@H]1O ZINC000275077156 395984040 /nfs/dbraw/zinc/98/40/40/395984040.db2.gz CVLXKSINBATWDP-QPUJVOFHSA-N 0 2 300.384 0.248 20 0 DCADLN CCOC1CC(CCNC(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000276816758 396029780 /nfs/dbraw/zinc/02/97/80/396029780.db2.gz CYUHBZIIYKCKDN-UHFFFAOYSA-N 0 2 300.384 0.512 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cc(F)cc(F)c1 ZINC000067659867 396029933 /nfs/dbraw/zinc/02/99/33/396029933.db2.gz KWCGYZBEJNOSNE-UHFFFAOYSA-N 0 2 303.290 0.954 20 0 DCADLN CCO[C@H]1CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000067825694 396035050 /nfs/dbraw/zinc/03/50/50/396035050.db2.gz GTIHHDPWMLNBFZ-JTQLQIEISA-N 0 2 318.333 0.665 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cccc3nc[nH]c32)C1=O ZINC000278581054 396132617 /nfs/dbraw/zinc/13/26/17/396132617.db2.gz CSLNQYZJPLQRJP-CQSZACIVSA-N 0 2 301.306 0.928 20 0 DCADLN COC(=O)[C@H](NC(=O)c1cc(F)c(O)c(Cl)c1)[C@@H](C)O ZINC000278702573 396140234 /nfs/dbraw/zinc/14/02/34/396140234.db2.gz QGSAZMXJDGVSPY-MLUIRONXSA-N 0 2 305.689 0.837 20 0 DCADLN O=C1NC[C@@H]2CN(Cc3nc(=O)c4sccc4[nH]3)CCN12 ZINC000175927735 396146320 /nfs/dbraw/zinc/14/63/20/396146320.db2.gz UKPHMPJAKMKZIV-MRVPVSSYSA-N 0 2 305.363 0.194 20 0 DCADLN O=C([O-])[C@H](NC(=O)Cc1cn2ccccc2[nH+]1)[C@H]1CCCOC1 ZINC000262810986 396184496 /nfs/dbraw/zinc/18/44/96/396184496.db2.gz PDAJFDFBHZLRJJ-XHDPSFHLSA-N 0 2 317.345 0.873 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2CCCOC2)s1 ZINC000263907676 396242025 /nfs/dbraw/zinc/24/20/25/396242025.db2.gz IXDQNSOFQSYIIE-LURJTMIESA-N 0 2 306.369 0.275 20 0 DCADLN Cc1n[nH]c(NC(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@@H]23)n1 ZINC000264062976 396253223 /nfs/dbraw/zinc/25/32/23/396253223.db2.gz HABSZGGJPSGILB-WCABBAIRSA-N 0 2 324.410 0.777 20 0 DCADLN CC[C@](C)(OC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000280943054 396231584 /nfs/dbraw/zinc/23/15/84/396231584.db2.gz ZJZKLBNQQAJILA-VIFPVBQESA-N 0 2 308.385 0.663 20 0 DCADLN O=C(NCCCc1nc(=O)[nH][nH]1)c1ccc(-c2nnc[nH]2)cc1 ZINC000184479101 396297053 /nfs/dbraw/zinc/29/70/53/396297053.db2.gz GZCDVPKGLUXTHB-UHFFFAOYSA-N 0 2 313.321 0.246 20 0 DCADLN COC(=O)C[C@@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000268184650 396359455 /nfs/dbraw/zinc/35/94/55/396359455.db2.gz LRJGSOOUVNSKBR-RXMQYKEDSA-N 0 2 322.368 0.047 20 0 DCADLN C[C@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)N(C)c1ccccc1 ZINC000186936433 396360636 /nfs/dbraw/zinc/36/06/36/396360636.db2.gz HNSXFZVJMYFDMX-SNVBAGLBSA-N 0 2 321.406 0.831 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2n[nH]c3ccccc32)C1=O ZINC000192846080 396438732 /nfs/dbraw/zinc/43/87/32/396438732.db2.gz RVNMKVUGTWTVKI-AWEZNQCLSA-N 0 2 301.306 0.928 20 0 DCADLN CCOc1ccccc1C(=O)NCC(=O)NOCCCOC ZINC000288074870 396440708 /nfs/dbraw/zinc/44/07/08/396440708.db2.gz JNWJPNDHDGVKKA-UHFFFAOYSA-N 0 2 310.350 0.899 20 0 DCADLN CO[C@@](C)(C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CC1 ZINC000291530535 396496685 /nfs/dbraw/zinc/49/66/85/396496685.db2.gz SZJJGBGWSBDULN-SNVBAGLBSA-N 0 2 320.396 0.663 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cc([C@H]2CCCO2)nn1C ZINC000515279422 396501247 /nfs/dbraw/zinc/50/12/47/396501247.db2.gz JNJOWNNQJCXTPH-SNVBAGLBSA-N 0 2 311.367 0.806 20 0 DCADLN COC(=O)C1(CS(=O)(=O)NCc2cn[nH]c2C)CCC1 ZINC000291615837 396502191 /nfs/dbraw/zinc/50/21/91/396502191.db2.gz DWTDRTDOHSNOSY-UHFFFAOYSA-N 0 2 301.368 0.481 20 0 DCADLN CC(=O)N1CCC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288988220 396451800 /nfs/dbraw/zinc/45/18/00/396451800.db2.gz HAQUSKCNZWJTGG-LBPRGKRZSA-N 0 2 315.333 0.714 20 0 DCADLN O=C(NCC1CC1)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289039602 396453606 /nfs/dbraw/zinc/45/36/06/396453606.db2.gz LXXUWKHNAISGMM-UHFFFAOYSA-N 0 2 301.306 0.230 20 0 DCADLN CCN1C[C@@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CC1=O ZINC000289095474 396454813 /nfs/dbraw/zinc/45/48/13/396454813.db2.gz RCHVOYBBATUMGF-JTQLQIEISA-N 0 2 315.333 0.572 20 0 DCADLN COCCOCCS(=O)(=O)Nc1csnc1C(=O)OC ZINC000269929225 396455348 /nfs/dbraw/zinc/45/53/48/396455348.db2.gz CQBJDPIXWZIAPN-UHFFFAOYSA-N 0 2 324.380 0.334 20 0 DCADLN COc1cc(OC)cc(S(=O)(=O)Nc2nc(C)n(C)n2)c1 ZINC000291918395 396519097 /nfs/dbraw/zinc/51/90/97/396519097.db2.gz ASWZYGOBEGELIE-UHFFFAOYSA-N 0 2 312.351 0.942 20 0 DCADLN CCn1c(=O)[nH]nc1S(=O)(=O)Cc1n[nH]c(C(C)(C)C)n1 ZINC000292301643 396543652 /nfs/dbraw/zinc/54/36/52/396543652.db2.gz KLUOKWMFEWRUIV-UHFFFAOYSA-N 0 2 314.371 0.393 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000581726224 396578939 /nfs/dbraw/zinc/57/89/39/396578939.db2.gz DFZPXGGAKAFQOX-MNOVXSKESA-N 0 2 315.333 0.845 20 0 DCADLN C[C@H](Cc1cnn(C)c1)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000581886729 396590508 /nfs/dbraw/zinc/59/05/08/396590508.db2.gz QGNROMQTPAXINU-PWSUYJOCSA-N 0 2 318.381 0.829 20 0 DCADLN O=C(CNC(=O)c1ccco1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000560436983 396614853 /nfs/dbraw/zinc/61/48/53/396614853.db2.gz RIIWUFXBXFAURT-UHFFFAOYSA-N 0 2 319.321 0.239 20 0 DCADLN COc1ccc(NC(=O)N2CC[N@@H+](C)C[C@@H]2C[NH3+])cc1OC ZINC000562747011 396677639 /nfs/dbraw/zinc/67/76/39/396677639.db2.gz WEDHSAYJOZEKLP-LBPRGKRZSA-N 0 2 308.382 0.810 20 0 DCADLN C[NH+](C)[C@H](CNC(=O)C[N@H+](C)C(C)(C)C(N)=O)c1ccccc1 ZINC000295372896 396695119 /nfs/dbraw/zinc/69/51/19/396695119.db2.gz ACWUHHKZENQJTJ-CQSZACIVSA-N 0 2 320.437 0.601 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1cncnc1-n1cccn1 ZINC000375684545 396795349 /nfs/dbraw/zinc/79/53/49/396795349.db2.gz FWECWTBMYFJBJC-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@H](C)[C@@H]2CCC(=O)N2)s[nH]1 ZINC000634149587 396795997 /nfs/dbraw/zinc/79/59/97/396795997.db2.gz XYYOBAHXEZVCMX-SFYZADRCSA-N 0 2 312.395 0.695 20 0 DCADLN CN(C)c1nc(N2CCO[C@H](C(=O)[O-])C2)[nH+]c2ccccc21 ZINC000563521841 396746835 /nfs/dbraw/zinc/74/68/35/396746835.db2.gz LXURMROICUMOHL-LBPRGKRZSA-N 0 2 302.334 0.986 20 0 DCADLN O=S(=O)(NC[C@H]1CCC[C@H](CO)C1)NCC(F)(F)F ZINC000311964375 396761649 /nfs/dbraw/zinc/76/16/49/396761649.db2.gz MBBIXDWIHKKTGR-IUCAKERBSA-N 0 2 304.334 0.771 20 0 DCADLN CCOC[C@@H]1C[N@@H+]([C@H]2CCCCN(CC(=O)[O-])C2=O)CCO1 ZINC000629503879 396774204 /nfs/dbraw/zinc/77/42/04/396774204.db2.gz QZGSFVVCUIIMFR-STQMWFEESA-N 0 2 314.382 0.189 20 0 DCADLN CCOC[C@@H]1C[N@H+]([C@H]2CCCCN(CC(=O)[O-])C2=O)CCO1 ZINC000629503879 396774210 /nfs/dbraw/zinc/77/42/10/396774210.db2.gz QZGSFVVCUIIMFR-STQMWFEESA-N 0 2 314.382 0.189 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ncc3c(n2)CCOC3)cn1 ZINC000634660283 396895093 /nfs/dbraw/zinc/89/50/93/396895093.db2.gz DVJJTHXAADDUOU-UHFFFAOYSA-N 0 2 309.351 0.567 20 0 DCADLN CC(C)OC(=O)CC[C@H](C)NC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000591357444 396907508 /nfs/dbraw/zinc/90/75/08/396907508.db2.gz NZOJKAGRWWMFIK-STQMWFEESA-N 0 2 314.430 0.391 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2cnnn2C)cn1C ZINC000600651133 396905820 /nfs/dbraw/zinc/90/58/20/396905820.db2.gz DGDGXOYVVJIZAW-UHFFFAOYSA-N 0 2 313.339 0.131 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)NC[C@H](C(=O)[O-])c1ccccc1 ZINC000630140419 396947314 /nfs/dbraw/zinc/94/73/14/396947314.db2.gz IWDSMVNHSBYEDF-UONOGXRCSA-N 0 2 306.362 0.692 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)NC[C@H](C(=O)[O-])c1ccccc1 ZINC000630140419 396947322 /nfs/dbraw/zinc/94/73/22/396947322.db2.gz IWDSMVNHSBYEDF-UONOGXRCSA-N 0 2 306.362 0.692 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2C[C@H]3[C@H](CO)[C@H]3C2)c1 ZINC000591779809 397001783 /nfs/dbraw/zinc/00/17/83/397001783.db2.gz NGNFBWBYDKXPHE-JLOINQRVSA-N 0 2 309.387 0.500 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@H](CC(N)=O)C2)c1 ZINC000591780483 397002789 /nfs/dbraw/zinc/00/27/89/397002789.db2.gz LTPZSVJQQLYPAZ-RKFFSXRUSA-N 0 2 324.402 0.527 20 0 DCADLN O=S(=O)(CCCS(=O)(=O)NC1CCC1)Nc1ccon1 ZINC000349585811 397074964 /nfs/dbraw/zinc/07/49/64/397074964.db2.gz AEUWDPMFZBMKGS-UHFFFAOYSA-N 0 2 323.396 0.278 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCN(C(=O)c2ccccc2)C1 ZINC000625973465 397043739 /nfs/dbraw/zinc/04/37/39/397043739.db2.gz OBYXMIPLYJYUGQ-NSHDSACASA-N 0 2 315.333 0.289 20 0 DCADLN CCN1C(=O)[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)CCN2C1=O ZINC000378006853 397178091 /nfs/dbraw/zinc/17/80/91/397178091.db2.gz UWVBTPQEAYHMOQ-NKWVEPMBSA-N 0 2 311.235 0.382 20 0 DCADLN CCN1C(=O)[C@@H]2CN(C(=O)C(F)C(F)(F)F)CCN2C1=O ZINC000378006853 397178100 /nfs/dbraw/zinc/17/81/00/397178100.db2.gz UWVBTPQEAYHMOQ-NKWVEPMBSA-N 0 2 311.235 0.382 20 0 DCADLN COC(=O)c1cccc(NC(=O)N2CC[N@H+](C)C[C@H]2C[NH3+])c1 ZINC000573426107 397165155 /nfs/dbraw/zinc/16/51/55/397165155.db2.gz QHHBCMKNPHYIRU-CYBMUJFWSA-N 0 2 306.366 0.580 20 0 DCADLN O=C(NC1(CO)CCOCC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613317052 397242310 /nfs/dbraw/zinc/24/23/10/397242310.db2.gz RMMZMXKDVNPCHS-UHFFFAOYSA-N 0 2 319.317 0.301 20 0 DCADLN O=C1CC[C@H](NS(=O)(=O)CC(F)(F)F)C2(CCC2)N1 ZINC000407982731 397342704 /nfs/dbraw/zinc/34/27/04/397342704.db2.gz KHCSLGGXHRLGLY-ZETCQYMHSA-N 0 2 300.302 0.669 20 0 DCADLN COC(=O)c1n[nH]c2c1CN(c1cc[nH+]c(C(=O)[O-])c1)CC2 ZINC000593327497 397352497 /nfs/dbraw/zinc/35/24/97/397352497.db2.gz OSAIIKLQHXYBGU-UHFFFAOYSA-N 0 2 302.290 0.852 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@H](O)C[NH2+]C[C@@H](O)Cc2ccccc2)C[C@H](C)O1 ZINC000578443193 397436424 /nfs/dbraw/zinc/43/64/24/397436424.db2.gz ZWJMZFXLXKVAAR-CIRFHOKZSA-N 0 2 322.449 0.650 20 0 DCADLN C[C@@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(=O)NCCF ZINC000614479434 397447246 /nfs/dbraw/zinc/44/72/46/397447246.db2.gz JMHQVHTZNRNGLD-MRVPVSSYSA-N 0 2 322.296 0.234 20 0 DCADLN O=C(NC[C@@H]1CCCC(=O)N1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614192777 397395748 /nfs/dbraw/zinc/39/57/48/397395748.db2.gz OSYRWBFRBBZHNQ-NSHDSACASA-N 0 2 316.317 0.428 20 0 DCADLN O=C([C@@H]1CCCc2nn[nH]c21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518573 397463113 /nfs/dbraw/zinc/46/31/13/397463113.db2.gz IDSPXKYQRIKMGO-RKDXNWHRSA-N 0 2 317.353 0.455 20 0 DCADLN O=C(c1cc(F)c(F)c(O)c1F)N1CC[C@H](CO)[C@@H](O)C1 ZINC000579560753 397580135 /nfs/dbraw/zinc/58/01/35/397580135.db2.gz JTUYOEDUTOKCGW-MUWHJKNJSA-N 0 2 305.252 0.625 20 0 DCADLN Cc1cccc2[nH+]c(CNC(=O)C(=O)NCCCC(=O)[O-])cn21 ZINC000579593641 397582808 /nfs/dbraw/zinc/58/28/08/397582808.db2.gz YLGKKXFNSDBYEH-UHFFFAOYSA-N 0 2 318.333 0.240 20 0 DCADLN C[C@H](NC(=O)OC(C)(C)C)C(=O)NCCc1n[nH]c(=S)o1 ZINC000495515965 397543944 /nfs/dbraw/zinc/54/39/44/397543944.db2.gz PGUPRPHOUOIWOO-ZETCQYMHSA-N 0 2 316.383 0.930 20 0 DCADLN C[C@H](NC(=O)OC(C)(C)C)C(=O)NCCC1N=NC(=S)O1 ZINC000495515965 397543948 /nfs/dbraw/zinc/54/39/48/397543948.db2.gz PGUPRPHOUOIWOO-ZETCQYMHSA-N 0 2 316.383 0.930 20 0 DCADLN CC[C@@](COC)(NC(=O)c1cccc2[nH]nnc21)C(=O)OC ZINC000579925203 397597701 /nfs/dbraw/zinc/59/77/01/397597701.db2.gz ZNESKUMRZWFSDY-AWEZNQCLSA-N 0 2 306.322 0.656 20 0 DCADLN C[C@H]1CC(=O)NCCN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000608298916 397724472 /nfs/dbraw/zinc/72/44/72/397724472.db2.gz FKUSVOFLKWZPDM-QMMMGPOBSA-N 0 2 311.411 1.000 20 0 DCADLN C[N@@H+]1CCCC[C@H]1C(=O)Nc1nnc([N-]S(C)(=O)=O)s1 ZINC000606356192 397679752 /nfs/dbraw/zinc/67/97/52/397679752.db2.gz VGVLTYYRKKBQEI-ZETCQYMHSA-N 0 2 319.412 0.332 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)Nc1nnc([N-]S(C)(=O)=O)s1 ZINC000606356192 397679753 /nfs/dbraw/zinc/67/97/53/397679753.db2.gz VGVLTYYRKKBQEI-ZETCQYMHSA-N 0 2 319.412 0.332 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000608762793 397754066 /nfs/dbraw/zinc/75/40/66/397754066.db2.gz ZUPGRSMIRPORML-NSHDSACASA-N 0 2 321.381 0.208 20 0 DCADLN COC(=O)Cn1cc(NC(=O)c2ccc3[nH]nnc3c2)cn1 ZINC000054039738 158020574 /nfs/dbraw/zinc/02/05/74/158020574.db2.gz SZQBOUWURRRSJL-UHFFFAOYSA-N 0 2 300.278 0.580 20 0 DCADLN O=C(CNC(=O)C1=NN(c2ccccc2)CC1=O)NC1CC1 ZINC000073492414 158055601 /nfs/dbraw/zinc/05/56/01/158055601.db2.gz ASONVLIENKOVEX-UHFFFAOYSA-N 0 2 300.318 0.586 20 0 DCADLN Cc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1C(=O)N(C)C ZINC000119494561 158186916 /nfs/dbraw/zinc/18/69/16/158186916.db2.gz ONPICJLVHVLVQX-UHFFFAOYSA-N 0 2 318.337 0.430 20 0 DCADLN Cc1cncc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)c1 ZINC000124099209 158238816 /nfs/dbraw/zinc/23/88/16/158238816.db2.gz HDRHZVAIBQCDCF-UHFFFAOYSA-N 0 2 311.301 0.245 20 0 DCADLN COC(=O)[C@H]1CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000127706274 158275572 /nfs/dbraw/zinc/27/55/72/158275572.db2.gz RUQAKBOAZCLRHU-ZETCQYMHSA-N 0 2 304.290 0.268 20 0 DCADLN O=S(=O)(Nc1nc2ncccn2n1)c1ccc2c(c1)CCO2 ZINC000172039631 158390180 /nfs/dbraw/zinc/39/01/80/158390180.db2.gz JOVKQKAFESHVKR-UHFFFAOYSA-N 0 2 317.330 0.860 20 0 DCADLN CN(C)C(=O)C1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC000329063988 159056553 /nfs/dbraw/zinc/05/65/53/159056553.db2.gz KKQZQUZEISKFFZ-SECBINFHSA-N 0 2 307.354 0.085 20 0 DCADLN O=C(COc1ccc(F)c(Cl)c1)NCc1n[nH]c(=O)[nH]1 ZINC000359281658 159260590 /nfs/dbraw/zinc/26/05/90/159260590.db2.gz WJEMDTLBPIHBQH-UHFFFAOYSA-N 0 2 300.677 0.998 20 0 DCADLN CO[C@H]1CCC[C@@H](CC(=O)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000408487479 160118195 /nfs/dbraw/zinc/11/81/95/160118195.db2.gz VZSLUKMYRXZOLO-MNOVXSKESA-N 0 2 315.395 0.820 20 0 DCADLN CC[C@@H]1CCC[C@@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000162611511 287077811 /nfs/dbraw/zinc/07/78/11/287077811.db2.gz GYOPKRVFQMYREY-KCJUWKMLSA-N 0 2 302.334 0.920 20 0 DCADLN CCCOc1ccccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000355997030 287191744 /nfs/dbraw/zinc/19/17/44/287191744.db2.gz NVPZNHPNGZJBQT-UHFFFAOYSA-N 0 2 319.321 0.554 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccn(C)n2)ccc1O ZINC000044352102 415219335 /nfs/dbraw/zinc/21/93/35/415219335.db2.gz WCXDJIMXEUXHHO-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN Cc1ccc(C)c(S(=O)(=O)Nc2cnn(CC(N)=O)c2)c1 ZINC000047251664 415267850 /nfs/dbraw/zinc/26/78/50/415267850.db2.gz JVPWOMVGCCPMDB-UHFFFAOYSA-N 0 2 308.363 0.786 20 0 DCADLN CCOC(=O)c1cnc(C)c(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000352868613 415352549 /nfs/dbraw/zinc/35/25/49/415352549.db2.gz JQPCEVSVCKDJGM-UHFFFAOYSA-N 0 2 320.309 0.712 20 0 DCADLN O=C(c1cc(F)c(F)c(O)c1F)N1CCS(=O)(=O)CC1 ZINC000272589583 415291504 /nfs/dbraw/zinc/29/15/04/415291504.db2.gz HYMAKIGOFQNNRC-UHFFFAOYSA-N 0 2 309.265 0.680 20 0 DCADLN NC(=O)Cn1ccc(NS(=O)(=O)c2ccc(F)c(F)c2)n1 ZINC000342769584 415292747 /nfs/dbraw/zinc/29/27/47/415292747.db2.gz BUXIRILOXBFWAP-UHFFFAOYSA-N 0 2 316.289 0.447 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H]1CCOc2c(F)cccc21 ZINC000276073131 415425702 /nfs/dbraw/zinc/42/57/02/415425702.db2.gz VSMVSPCTGLMBGM-VIFPVBQESA-N 0 2 324.337 0.969 20 0 DCADLN Cn1c2ccc(NS(=O)(=O)c3cn[nH]c3)cc2n(C)c1=O ZINC000352905686 415363804 /nfs/dbraw/zinc/36/38/04/415363804.db2.gz UPVYIBIGXGESDR-UHFFFAOYSA-N 0 2 307.335 0.401 20 0 DCADLN C[C@@](O)(CNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000274935301 415364518 /nfs/dbraw/zinc/36/45/18/415364518.db2.gz CLHQAHVJVDHLNJ-CYBMUJFWSA-N 0 2 308.363 0.214 20 0 DCADLN Cn1c(CCNC(=O)c2ccc3[nH]nnc3c2)n[nH]c1=S ZINC000067051288 415367922 /nfs/dbraw/zinc/36/79/22/415367922.db2.gz BTZQBAYLBMCSJG-UHFFFAOYSA-N 0 2 303.351 0.721 20 0 DCADLN CCCOc1ncccc1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274947086 415369853 /nfs/dbraw/zinc/36/98/53/415369853.db2.gz HIMIXKZCABPFLP-UHFFFAOYSA-N 0 2 323.378 0.690 20 0 DCADLN CCSc1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)ccn1 ZINC000080974479 415481115 /nfs/dbraw/zinc/48/11/15/415481115.db2.gz GFDIGSSWEAPJRY-UHFFFAOYSA-N 0 2 307.379 0.968 20 0 DCADLN O=C(Cc1cn2ccsc2n1)NCCCc1n[nH]c(=O)[nH]1 ZINC000080975429 415484803 /nfs/dbraw/zinc/48/48/03/415484803.db2.gz WAYJNMGYRCCTOG-UHFFFAOYSA-N 0 2 306.351 0.099 20 0 DCADLN CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc(N(C)C)cc[nH+]2)C1=O ZINC000278810213 415492408 /nfs/dbraw/zinc/49/24/08/415492408.db2.gz PCAXKAYZBNDVIC-AWEZNQCLSA-N 0 2 305.338 0.513 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cc(N(C)C)ccn2)C1=O ZINC000278810213 415492416 /nfs/dbraw/zinc/49/24/16/415492416.db2.gz PCAXKAYZBNDVIC-AWEZNQCLSA-N 0 2 305.338 0.513 20 0 DCADLN CCOc1ccccc1C(=O)NCC(=O)NOC[C@H]1CCOC1 ZINC000276217728 415453147 /nfs/dbraw/zinc/45/31/47/415453147.db2.gz BPWJGPJOKHJZST-LBPRGKRZSA-N 0 2 322.361 0.899 20 0 DCADLN Cn1nnnc1-c1cccc(NC(=O)C(N)C(F)(F)F)c1 ZINC000353174817 415461867 /nfs/dbraw/zinc/46/18/67/415461867.db2.gz FOWMUESDOSAJOE-MRVPVSSYSA-N 0 2 300.244 0.705 20 0 DCADLN Cn1nnnc1-c1cccc(NC(=O)[C@@H](N)C(F)(F)F)c1 ZINC000353174817 415461875 /nfs/dbraw/zinc/46/18/75/415461875.db2.gz FOWMUESDOSAJOE-MRVPVSSYSA-N 0 2 300.244 0.705 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cnc([C@H]2CCCO2)s1 ZINC000089845535 415520772 /nfs/dbraw/zinc/52/07/72/415520772.db2.gz MADQLZZUIQOPTP-MRVPVSSYSA-N 0 2 323.378 0.769 20 0 DCADLN COC(=O)c1ccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)o1 ZINC000353640181 415611823 /nfs/dbraw/zinc/61/18/23/415611823.db2.gz SEMRCQWMLNKAKA-UHFFFAOYSA-N 0 2 312.307 0.298 20 0 DCADLN Cc1nn(C)c(C)c1CCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000343568416 415642316 /nfs/dbraw/zinc/64/23/16/415642316.db2.gz JIMFMPZVEKYONU-UHFFFAOYSA-N 0 2 306.370 0.542 20 0 DCADLN COc1cccc(S([O-])=CC(=O)NCc2[nH+]ccn2C)c1 ZINC000424648971 287312224 /nfs/dbraw/zinc/31/22/24/287312224.db2.gz SFJMUMMPIPBADS-OAQYLSRUSA-N 0 2 307.375 0.853 20 0 DCADLN CC(=O)NCc1ccc(NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000353595160 415597109 /nfs/dbraw/zinc/59/71/09/415597109.db2.gz DKPRHENIVOACPY-UHFFFAOYSA-N 0 2 308.363 0.857 20 0 DCADLN O=C(CNC(=O)c1nc(=O)[nH][nH]1)Nc1cccc2ccccc21 ZINC000101676605 415597257 /nfs/dbraw/zinc/59/72/57/415597257.db2.gz HCSJKRNKMRBOLR-UHFFFAOYSA-N 0 2 311.301 0.620 20 0 DCADLN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)c2cccc3[nH]nnc32)O1 ZINC000119066903 415735865 /nfs/dbraw/zinc/73/58/65/415735865.db2.gz KCVIMRXYJUAJOD-JOYOIKCWSA-N 0 2 317.349 0.324 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc(OCCC(N)=O)cc2)c[nH]1 ZINC000336851744 415835887 /nfs/dbraw/zinc/83/58/87/415835887.db2.gz BJBGPYKSUMEZIM-UHFFFAOYSA-N 0 2 324.362 0.773 20 0 DCADLN C[C@H]1[C@H](C)S(=O)(=O)CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000127412989 415887189 /nfs/dbraw/zinc/88/71/89/415887189.db2.gz IBKGHKIDJQODJQ-UWVGGRQHSA-N 0 2 310.379 0.030 20 0 DCADLN Cn1ccc2c(NC(=O)NCc3n[nH]c(=O)[nH]3)cccc2c1=O ZINC000337588813 415981576 /nfs/dbraw/zinc/98/15/76/415981576.db2.gz GOESZZWIVWLAMS-UHFFFAOYSA-N 0 2 314.305 0.684 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC[C@H](O)C(C)C ZINC000357232146 416048207 /nfs/dbraw/zinc/04/82/07/416048207.db2.gz UEEUPPOEALHVEF-LBPRGKRZSA-N 0 2 306.366 0.397 20 0 DCADLN CCCc1nnc(NC(=O)c2ccc(S(N)(=O)=O)o2)s1 ZINC000299152114 416055307 /nfs/dbraw/zinc/05/53/07/416055307.db2.gz QTAWYENSHZDWTD-UHFFFAOYSA-N 0 2 316.364 0.983 20 0 DCADLN CN(C)c1cccnc1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000356927703 416008173 /nfs/dbraw/zinc/00/81/73/416008173.db2.gz GSSPLTVZMASCAV-UHFFFAOYSA-N 0 2 308.367 0.380 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2nnc(-c3cnn(C)c3)o2)cn1 ZINC000337784450 416009373 /nfs/dbraw/zinc/00/93/73/416009373.db2.gz WGWMXJYTNHAWAH-UHFFFAOYSA-N 0 2 320.334 0.974 20 0 DCADLN CO[C@H](C)c1nsc(NCCNC(=O)c2cccnc2)n1 ZINC000337920850 416022630 /nfs/dbraw/zinc/02/26/30/416022630.db2.gz ZQMRKTVRGNTFOW-SECBINFHSA-N 0 2 307.379 0.904 20 0 DCADLN O=C(NCCN1C(=O)CNC1=O)c1cc(F)c(F)c(O)c1F ZINC000357619160 416106600 /nfs/dbraw/zinc/10/66/00/416106600.db2.gz WVUKFCTWGQGIBR-UHFFFAOYSA-N 0 2 317.223 0.091 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)NCC1(C(=O)[O-])CCOCC1 ZINC000316896325 416116307 /nfs/dbraw/zinc/11/63/07/416116307.db2.gz RKOLQEMARMLAMY-NSHDSACASA-N 0 2 310.354 0.452 20 0 DCADLN CNS(=O)(=O)c1csc(C(=O)Nc2nc(C)n[nH]2)c1 ZINC000176298991 416123867 /nfs/dbraw/zinc/12/38/67/416123867.db2.gz YUFXYZQVFAMOHX-UHFFFAOYSA-N 0 2 301.353 0.335 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H](C)[C@@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000357883012 416147075 /nfs/dbraw/zinc/14/70/75/416147075.db2.gz AIEQHNGAESPGGA-XCBNKYQSSA-N 0 2 318.333 0.587 20 0 DCADLN COc1ccc2[nH]cc(CC(=O)NCc3n[nH]c(=O)[nH]3)c2c1 ZINC000358375154 416231551 /nfs/dbraw/zinc/23/15/51/416231551.db2.gz WXZYXTDWODEGJN-UHFFFAOYSA-N 0 2 301.306 0.859 20 0 DCADLN O=S(=O)(CC(F)(F)F)N[C@H]1CCCN(c2ncccn2)C1 ZINC000331066516 416259133 /nfs/dbraw/zinc/25/91/33/416259133.db2.gz QVFLNLRFBKPYCK-VIFPVBQESA-N 0 2 324.328 0.927 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000358615302 416261228 /nfs/dbraw/zinc/26/12/28/416261228.db2.gz VYGJRVBRLFEXAI-UHFFFAOYSA-N 0 2 310.442 0.095 20 0 DCADLN O=C(NCc1csc(O)n1)c1cnc2sccn2c1=O ZINC000359171792 416309354 /nfs/dbraw/zinc/30/93/54/416309354.db2.gz GDWVADVDILDSJV-UHFFFAOYSA-N 0 2 308.344 0.848 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000342079145 416281086 /nfs/dbraw/zinc/28/10/86/416281086.db2.gz FCIGHYWTGKNKRD-UHFFFAOYSA-N 0 2 320.374 0.990 20 0 DCADLN O=C(CSc1nnc(Cc2ccccc2)[nH]1)Nc1nn[nH]n1 ZINC000352153015 416296774 /nfs/dbraw/zinc/29/67/74/416296774.db2.gz KRNICBUJSSEXOW-UHFFFAOYSA-N 0 2 316.350 0.639 20 0 DCADLN O=C(CSc1nc(Cc2ccccc2)n[nH]1)Nc1nn[nH]n1 ZINC000352153015 416296780 /nfs/dbraw/zinc/29/67/80/416296780.db2.gz KRNICBUJSSEXOW-UHFFFAOYSA-N 0 2 316.350 0.639 20 0 DCADLN O=C([O-])c1cnc(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cn1 ZINC000263428380 416338006 /nfs/dbraw/zinc/33/80/06/416338006.db2.gz MTFLZOGOEHQARI-SNVBAGLBSA-N 0 2 313.317 0.579 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCN(c2ccc(F)cc2)C1=O ZINC000359834677 416361603 /nfs/dbraw/zinc/36/16/03/416361603.db2.gz HXOGLJNCOGZJFM-SNVBAGLBSA-N 0 2 319.296 0.319 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3c2OCO3)cc1C(N)=O ZINC000359844760 416362071 /nfs/dbraw/zinc/36/20/71/416362071.db2.gz KTRWMJPCLOMLDH-UHFFFAOYSA-N 0 2 323.330 0.654 20 0 DCADLN C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)Nc1ccccc1C(N)=O ZINC000360219296 416380593 /nfs/dbraw/zinc/38/05/93/416380593.db2.gz TWXYTBZARQTBFE-ZJUUUORDSA-N 0 2 313.379 0.551 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2cn(C)nc2C)cc1 ZINC000361103561 416421741 /nfs/dbraw/zinc/42/17/41/416421741.db2.gz QTHNUJDIGNBDRZ-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nc3ccccn3n2)o1 ZINC000361521897 416443595 /nfs/dbraw/zinc/44/35/95/416443595.db2.gz KKTUHFCDDLNAQY-UHFFFAOYSA-N 0 2 322.302 0.910 20 0 DCADLN Cc1cc(NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)no1 ZINC000591199868 416532461 /nfs/dbraw/zinc/53/24/61/416532461.db2.gz FPQOBKLJUHMYIR-UHFFFAOYSA-N 0 2 309.351 1.131 20 0 DCADLN NS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000436521374 416563056 /nfs/dbraw/zinc/56/30/56/416563056.db2.gz DDISCWSABGPXSF-SSDOTTSWSA-N 0 2 306.290 0.173 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2ccccc2O)s1 ZINC000436719629 416569950 /nfs/dbraw/zinc/56/99/50/416569950.db2.gz CDQLKKUVICWKNI-UHFFFAOYSA-N 0 2 314.348 0.868 20 0 DCADLN COC(=O)CN1CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000436995559 416579243 /nfs/dbraw/zinc/57/92/43/416579243.db2.gz QQSQJYKPVLFOOE-UHFFFAOYSA-N 0 2 314.288 0.601 20 0 DCADLN Cn1cnn(-c2ccc(NC(=O)C(N)C(F)(F)F)cc2)c1=O ZINC000423911474 416587406 /nfs/dbraw/zinc/58/74/06/416587406.db2.gz RZVNTVRFIAXCRM-VIFPVBQESA-N 0 2 315.255 0.399 20 0 DCADLN Cn1cnn(-c2ccc(NC(=O)[C@H](N)C(F)(F)F)cc2)c1=O ZINC000423911474 416587412 /nfs/dbraw/zinc/58/74/12/416587412.db2.gz RZVNTVRFIAXCRM-VIFPVBQESA-N 0 2 315.255 0.399 20 0 DCADLN CC[N@H+](CCO)CCNC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000368634460 416677787 /nfs/dbraw/zinc/67/77/87/416677787.db2.gz NQWPHAALZOFZML-CQSZACIVSA-N 0 2 323.441 0.682 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCN(C)C(=O)[C@@H]2C)c1 ZINC000424803208 416667699 /nfs/dbraw/zinc/66/76/99/416667699.db2.gz YLRVRILBDWBQBC-KPWVOAKYSA-N 0 2 324.402 0.492 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@]2(CO)CCOC2)c1 ZINC000424784680 416667981 /nfs/dbraw/zinc/66/79/81/416667981.db2.gz RIJGPOCFHPFJJW-QKKBWIMNSA-N 0 2 313.375 0.070 20 0 DCADLN CN(C)C(=O)c1ccc(S(=O)(=O)Nc2cncnc2)cc1 ZINC000442243778 416686960 /nfs/dbraw/zinc/68/69/60/416686960.db2.gz QVHXZSVHUKATEN-UHFFFAOYSA-N 0 2 306.347 0.979 20 0 DCADLN O=C([O-])[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nnc2n1CCOC2 ZINC000515305717 416697693 /nfs/dbraw/zinc/69/76/93/416697693.db2.gz HBGFIVBRQQGTHO-ZDUSSCGKSA-N 0 2 314.345 0.820 20 0 DCADLN O=C([O-])[C@@H]1Cc2ccccc2C[N@H+]1Cc1nnc2n1CCOC2 ZINC000515305717 416697696 /nfs/dbraw/zinc/69/76/96/416697696.db2.gz HBGFIVBRQQGTHO-ZDUSSCGKSA-N 0 2 314.345 0.820 20 0 DCADLN O=S(=O)(NCCn1cnnc1C1CC1)NCC(F)(F)F ZINC000443014883 416724158 /nfs/dbraw/zinc/72/41/58/416724158.db2.gz RCMFJNKAMWRAOR-UHFFFAOYSA-N 0 2 313.305 0.142 20 0 DCADLN COc1c(CNS(=O)(=O)NCC(F)(F)F)c(C)nn1C ZINC000443033798 416726812 /nfs/dbraw/zinc/72/68/12/416726812.db2.gz BJDXYAZMQZGVLW-UHFFFAOYSA-N 0 2 316.305 0.223 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@@H+]([C@H](C(=O)[O-])c2ccsc2)C1 ZINC000517382054 416802247 /nfs/dbraw/zinc/80/22/47/416802247.db2.gz RKZOYGRIQANGJS-MNOVXSKESA-N 0 2 318.420 0.887 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2ccsc2)C1 ZINC000517382054 416802256 /nfs/dbraw/zinc/80/22/56/416802256.db2.gz RKZOYGRIQANGJS-MNOVXSKESA-N 0 2 318.420 0.887 20 0 DCADLN CC1(CS(=O)(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)COC1 ZINC000559158294 416803683 /nfs/dbraw/zinc/80/36/83/416803683.db2.gz PTPUYQXWOWTHHK-UHFFFAOYSA-N 0 2 316.383 0.056 20 0 DCADLN C[C@H]1C[C@@H](N(C)S(=O)(=O)CCn2cc[nH+]c2)CC[N@@H+]1C ZINC000641350746 416804384 /nfs/dbraw/zinc/80/43/84/416804384.db2.gz KTCIMIJIRPMKBB-STQMWFEESA-N 0 2 300.428 0.627 20 0 DCADLN COCCN(C(=O)CSc1n[nH]c(=O)[nH]1)[C@H]1CCO[C@@H]1C ZINC000427992046 416861799 /nfs/dbraw/zinc/86/17/99/416861799.db2.gz KZCLNCQEJXIINZ-BDAKNGLRSA-N 0 2 316.383 0.255 20 0 DCADLN COCCCOc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000428009914 416865307 /nfs/dbraw/zinc/86/53/07/416865307.db2.gz JQCULNBKLSGRDK-UHFFFAOYSA-N 0 2 306.322 0.856 20 0 DCADLN CC(C)(C)c1cc(NC[C@H](CO)[C@@H]2CCOC2)nc(C(=O)[O-])[nH+]1 ZINC000518776700 416877776 /nfs/dbraw/zinc/87/77/76/416877776.db2.gz IWDLHCVODKBCPZ-GHMZBOCLSA-N 0 2 323.393 0.951 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)CC(=O)NC3CC3)n[nH]2)cc1 ZINC000559995665 416829470 /nfs/dbraw/zinc/82/94/70/416829470.db2.gz RUGPYABMEIRPTB-UHFFFAOYSA-N 0 2 320.374 0.832 20 0 DCADLN COCC(COC)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000615857677 416923387 /nfs/dbraw/zinc/92/33/87/416923387.db2.gz IMGXHTDSEDEUOM-UHFFFAOYSA-N 0 2 307.306 0.421 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@H]2C(C)(C)C2(F)F)[nH]1 ZINC000641606111 416943667 /nfs/dbraw/zinc/94/36/67/416943667.db2.gz INSUVCRBSZNZIG-ZETCQYMHSA-N 0 2 324.353 0.662 20 0 DCADLN C[N@@H+]1CCN(C(=O)N[C@@H]2COc3ccccc3C2)[C@H](C[NH3+])C1 ZINC000565764838 417015302 /nfs/dbraw/zinc/01/53/02/417015302.db2.gz SQTDUIROQJNEKV-UONOGXRCSA-N 0 2 304.394 0.274 20 0 DCADLN C[N@H+](C[C@H](O)C[NH2+]Cc1cccnc1N1CCOCC1)C1CC1 ZINC000520567605 416971818 /nfs/dbraw/zinc/97/18/18/416971818.db2.gz NWUCTKQEJYBMOY-MRXNPFEDSA-N 0 2 320.437 0.463 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(c2cc(NC[C@@H](C)O)[nH+]cn2)C1 ZINC000520818305 416977569 /nfs/dbraw/zinc/97/75/69/416977569.db2.gz FNSYLACVKLIHMZ-ABAIWWIYSA-N 0 2 324.381 0.587 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(c2cc(NC[C@@H](C)O)nc[nH+]2)C1 ZINC000520818305 416977574 /nfs/dbraw/zinc/97/75/74/416977574.db2.gz FNSYLACVKLIHMZ-ABAIWWIYSA-N 0 2 324.381 0.587 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(c2cc(NC[C@@H](C)O)[nH+]cn2)C1 ZINC000521050676 416990380 /nfs/dbraw/zinc/99/03/80/416990380.db2.gz YNKOUZKMOAUXLV-YGRLFVJLSA-N 0 2 310.354 0.197 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(c2cc(NC[C@@H](C)O)nc[nH+]2)C1 ZINC000521050676 416990386 /nfs/dbraw/zinc/99/03/86/416990386.db2.gz YNKOUZKMOAUXLV-YGRLFVJLSA-N 0 2 310.354 0.197 20 0 DCADLN COc1ccc(-c2nc(S(=O)(=O)CCO)n[nH]2)c(OC)c1 ZINC000447478791 417003708 /nfs/dbraw/zinc/00/37/08/417003708.db2.gz MTUQFQRSZUFOIY-UHFFFAOYSA-N 0 2 313.335 0.255 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(-c2ccccc2)nn1 ZINC000448364292 417072473 /nfs/dbraw/zinc/07/24/73/417072473.db2.gz FLGCMNGBYUGATQ-UHFFFAOYSA-N 0 2 311.305 1.289 20 0 DCADLN O=C(N[C@H](CO)[C@@H]1CCCO1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000448541885 417084028 /nfs/dbraw/zinc/08/40/28/417084028.db2.gz HVKVVACHWRDORH-NEPJUHHUSA-N 0 2 319.317 0.300 20 0 DCADLN C[C@@](O)(CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C1CC1 ZINC000525261588 417132308 /nfs/dbraw/zinc/13/23/08/417132308.db2.gz ZWYJBBLQJNOOQV-OAHLLOKOSA-N 0 2 303.318 0.921 20 0 DCADLN C[NH+](C)CCn1ccc(C(=O)NCC(C)(C)[NH+]2CCOCC2)n1 ZINC000568408507 417134887 /nfs/dbraw/zinc/13/48/87/417134887.db2.gz OZIVLJPEOSJQRZ-UHFFFAOYSA-N 0 2 323.441 0.285 20 0 DCADLN COc1cccc(NC(=O)CC[N@@H+]2CCOC[C@@H]2C(=O)[O-])c1 ZINC000643054374 417149918 /nfs/dbraw/zinc/14/99/18/417149918.db2.gz RTHQUVBNXJQEJN-CYBMUJFWSA-N 0 2 308.334 0.809 20 0 DCADLN COc1cccc(NC(=O)CC[N@H+]2CCOC[C@@H]2C(=O)[O-])c1 ZINC000643054374 417149926 /nfs/dbraw/zinc/14/99/26/417149926.db2.gz RTHQUVBNXJQEJN-CYBMUJFWSA-N 0 2 308.334 0.809 20 0 DCADLN C[C@@H]1CN(c2ccc(CNC(=O)c3n[nH]c(=O)[n-]3)c[nH+]2)CCO1 ZINC000617018584 417152906 /nfs/dbraw/zinc/15/29/06/417152906.db2.gz OYQOGNGRCDUVRV-SECBINFHSA-N 0 2 318.337 0.060 20 0 DCADLN CCN(C1CCC1)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000437204471 287361712 /nfs/dbraw/zinc/36/17/12/287361712.db2.gz UQXYSBJJRWXCIM-UHFFFAOYSA-N 0 2 324.362 0.999 20 0 DCADLN CC(=O)N1CCC[C@@H](CNC(=O)c2cccc3[nH]nnc32)C1 ZINC000526022860 417176181 /nfs/dbraw/zinc/17/61/81/417176181.db2.gz NQZKMFDYESMCJX-NSHDSACASA-N 0 2 301.350 0.946 20 0 DCADLN Cc1cccc2c1C[C@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)O2 ZINC000450005453 417189737 /nfs/dbraw/zinc/18/97/37/417189737.db2.gz OOMZPJRDGLUOKH-GFCCVEGCSA-N 0 2 302.334 0.871 20 0 DCADLN CS(=O)(=O)C1(CNC(=O)c2cccc3[nH]nnc32)CCC1 ZINC000527015055 417201162 /nfs/dbraw/zinc/20/11/62/417201162.db2.gz QCQLPUZJQLGRCL-UHFFFAOYSA-N 0 2 308.363 0.655 20 0 DCADLN C[C@H]1[C@H](CO)CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000414032804 417256530 /nfs/dbraw/zinc/25/65/30/417256530.db2.gz KWSPTWJDCMXXEB-UWVGGRQHSA-N 0 2 304.350 0.907 20 0 DCADLN Cn1cc(CCNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)cn1 ZINC000622311954 417429626 /nfs/dbraw/zinc/42/96/26/417429626.db2.gz OWRWPBVCMIKLTJ-UHFFFAOYSA-N 0 2 313.317 0.736 20 0 DCADLN CO[C@H]1COCC[C@H]1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452642339 417494746 /nfs/dbraw/zinc/49/47/46/417494746.db2.gz USKCGGWGDBEOPI-CABZTGNLSA-N 0 2 320.349 0.455 20 0 DCADLN CCc1nnc(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)[nH]1 ZINC000452182214 417461017 /nfs/dbraw/zinc/46/10/17/417461017.db2.gz OBGMTBVGULMRSX-UHFFFAOYSA-N 0 2 301.310 0.284 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2nccc(C3CC3)n2)cn1 ZINC000530157804 417546516 /nfs/dbraw/zinc/54/65/16/417546516.db2.gz VACYSQNNIVMYOZ-UHFFFAOYSA-N 0 2 323.378 0.998 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cc(C(F)(F)F)nn2C)nn1C ZINC000530156472 417546587 /nfs/dbraw/zinc/54/65/87/417546587.db2.gz FMGVVUBWNWDXHN-UHFFFAOYSA-N 0 2 324.288 0.677 20 0 DCADLN COCC(COC)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000456236795 417636904 /nfs/dbraw/zinc/63/69/04/417636904.db2.gz RNIBYLZKBDCMME-UHFFFAOYSA-N 0 2 322.321 0.136 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@@]1(C)CCC(=O)N1)c2=O ZINC000457187880 417694967 /nfs/dbraw/zinc/69/49/67/417694967.db2.gz NATGGPUPNYELKS-CQSZACIVSA-N 0 2 303.322 0.072 20 0 DCADLN CCNC(=O)C(C)(C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000457291762 417702373 /nfs/dbraw/zinc/70/23/73/417702373.db2.gz FAXYFXODHQTXQU-UHFFFAOYSA-N 0 2 305.338 0.318 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000577061459 417657898 /nfs/dbraw/zinc/65/78/98/417657898.db2.gz PTTQRMCHNQTWCG-JSGCOSHPSA-N 0 2 306.362 0.897 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cc(F)c(O)c(F)c2)CCS1(=O)=O ZINC000628938570 417732164 /nfs/dbraw/zinc/73/21/64/417732164.db2.gz AAKQAQTUGIOSED-LHLIQPBNSA-N 0 2 305.302 0.976 20 0 DCADLN C[C@H]1CCN(C(=O)CCCc2nn[nH]n2)C[C@H]1n1ccnc1 ZINC000635682140 417737397 /nfs/dbraw/zinc/73/73/97/417737397.db2.gz QYKOIAJAWKEFHF-NWDGAFQWSA-N 0 2 303.370 0.829 20 0 DCADLN CCc1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC000635901460 417785006 /nfs/dbraw/zinc/78/50/06/417785006.db2.gz CVRMMHIHZWNVKU-SECBINFHSA-N 0 2 304.354 0.826 20 0 DCADLN CCc1cc(C2CC2)nc(NS(=O)(=O)c2cnnn2C)n1 ZINC000629350718 417785148 /nfs/dbraw/zinc/78/51/48/417785148.db2.gz ZXALCHGJUPTULP-UHFFFAOYSA-N 0 2 308.367 0.846 20 0 DCADLN COc1ccnc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC000646131050 417787389 /nfs/dbraw/zinc/78/73/89/417787389.db2.gz CIYOEWZDTWRXCE-SECBINFHSA-N 0 2 303.322 0.934 20 0 DCADLN CO[C@@H]1CC[N@H+](CC(=O)NCc2cccnc2)[C@@H](C(=O)[O-])C1 ZINC000629361604 417788079 /nfs/dbraw/zinc/78/80/79/417788079.db2.gz JXLPPGPAMJBWJR-CHWSQXEVSA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@@H]1CC[N@@H+](CC(=O)NCc2cccnc2)[C@@H](C(=O)[O-])C1 ZINC000629361604 417788084 /nfs/dbraw/zinc/78/80/84/417788084.db2.gz JXLPPGPAMJBWJR-CHWSQXEVSA-N 0 2 307.350 0.262 20 0 DCADLN CC(C)N(C(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@@H]1CCOC1 ZINC000651816507 417790128 /nfs/dbraw/zinc/79/01/28/417790128.db2.gz YAKYTVRQSWIJCE-LLVKDONJSA-N 0 2 309.370 0.245 20 0 DCADLN COC1(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCCC1 ZINC000651829698 417791297 /nfs/dbraw/zinc/79/12/97/417791297.db2.gz JILKKRXGASKJGQ-UHFFFAOYSA-N 0 2 309.370 0.295 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@H]2CCCC2(F)F)[nH]1 ZINC000651836028 417791971 /nfs/dbraw/zinc/79/19/71/417791971.db2.gz FXRYCCAVBRRXMO-SECBINFHSA-N 0 2 315.324 0.771 20 0 DCADLN CO[C@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@@H]1C ZINC000651837272 417793195 /nfs/dbraw/zinc/79/31/95/417793195.db2.gz YWCNHOCVADAQDG-QWRGUYRKSA-N 0 2 309.370 0.103 20 0 DCADLN CCO[C@@H]1CCC[C@H]1NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651842936 417796220 /nfs/dbraw/zinc/79/62/20/417796220.db2.gz NTQWXYBKLAZKBH-GHMZBOCLSA-N 0 2 309.370 0.293 20 0 DCADLN C[C@@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)C[C@H](C(=O)[O-])O1 ZINC000652112022 417847352 /nfs/dbraw/zinc/84/73/52/417847352.db2.gz KVMQGWPXUYVZOU-ZYHUDNBSSA-N 0 2 307.350 0.462 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)N[C@@H]1COCC[C@H]1O ZINC000631516129 417893820 /nfs/dbraw/zinc/89/38/20/417893820.db2.gz OUWZAOHXZJDXQI-GHMZBOCLSA-N 0 2 320.374 0.898 20 0 DCADLN CN(C1CCN(c2[nH+]cccc2C(=O)[O-])CC1)S(C)(=O)=O ZINC000647448859 418010280 /nfs/dbraw/zinc/01/02/80/418010280.db2.gz FCWGRMYSVZQRNI-UHFFFAOYSA-N 0 2 313.379 0.640 20 0 DCADLN COCCO[C@@H]1C[C@@H](CO)CN(c2[nH+]cccc2C(=O)[O-])C1 ZINC000647454243 418011724 /nfs/dbraw/zinc/01/17/24/418011724.db2.gz ILNZMOFKQVZSQK-VXGBXAGGSA-N 0 2 310.350 0.630 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000663038822 417980979 /nfs/dbraw/zinc/98/09/79/417980979.db2.gz DBKZSQCTJGUTOU-INIZCTEOSA-N 0 2 324.352 0.960 20 0 DCADLN COCCn1ccc(NS(=O)(=O)C[C@@H](OC)C(C)C)n1 ZINC000647126221 417990891 /nfs/dbraw/zinc/99/08/91/417990891.db2.gz IOUHQJRRLIBOEQ-LLVKDONJSA-N 0 2 305.400 0.942 20 0 DCADLN O=C([O-])CC1(NC(=O)[C@H]2CCc3[nH+]ccn3C2)CCOCC1 ZINC000663124012 417992670 /nfs/dbraw/zinc/99/26/70/417992670.db2.gz VKMFEGSWVQWECB-NSHDSACASA-N 0 2 307.350 0.586 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccc(C(=O)[O-])nc2)[C@@H](C)CO1 ZINC000663126691 417993383 /nfs/dbraw/zinc/99/33/83/417993383.db2.gz JDKFKZIXXZKPBN-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C(=O)[O-])nc2)[C@@H](C)CO1 ZINC000663126691 417993384 /nfs/dbraw/zinc/99/33/84/417993384.db2.gz JDKFKZIXXZKPBN-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN O=C([O-])[C@@H](C(=O)N1CC[NH+]([C@H]2CCOC2)CC1)C1CCCC1 ZINC000663132530 417994914 /nfs/dbraw/zinc/99/49/14/417994914.db2.gz ZRNWWKWSARGBHC-UONOGXRCSA-N 0 2 310.394 0.811 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1ccc(C(=O)[O-])nc1)[NH+]1CCOCC1 ZINC000653308118 418056898 /nfs/dbraw/zinc/05/68/98/418056898.db2.gz BBECFQBBSNRYQX-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN CCc1ncc(NS(=O)(=O)c2cnn3c2OCCC3)cn1 ZINC000647907340 418074367 /nfs/dbraw/zinc/07/43/67/418074367.db2.gz DFYVHQKSOBVPIU-UHFFFAOYSA-N 0 2 309.351 0.819 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NCC(C)(CO)CO)s[nH]1 ZINC000647989495 418083786 /nfs/dbraw/zinc/08/37/86/418083786.db2.gz SWTMRQVNFKBVLN-UHFFFAOYSA-N 0 2 302.400 0.370 20 0 DCADLN CN1CC[NH+](C)[C@H](CNC(=O)N[C@@H]2CCC[N@H+]3CCCC[C@@H]23)C1 ZINC000654439548 418144497 /nfs/dbraw/zinc/14/44/97/418144497.db2.gz PUCSIJHIIMZIED-OAGGEKHMSA-N 0 2 323.485 0.548 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)N2CC[N@@H+]3C[C@H](O)C[C@H]3C2)c2[nH+]ccn21 ZINC000654583154 418163126 /nfs/dbraw/zinc/16/31/26/418163126.db2.gz JACJJQPIRNAGAM-YVECIDJPSA-N 0 2 305.382 0.349 20 0 DCADLN O=C(c1cn(CC2CC2)nn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000648887759 418169649 /nfs/dbraw/zinc/16/96/49/418169649.db2.gz ZQZVXTDINGLYLA-UHFFFAOYSA-N 0 2 317.353 0.532 20 0 DCADLN Cn1cc(C(=O)NCC2CC[NH+](CCc3cnn[nH]3)CC2)cn1 ZINC000659941616 418205255 /nfs/dbraw/zinc/20/52/55/418205255.db2.gz AKFJXYOCFSQTPX-UHFFFAOYSA-N 0 2 317.397 0.223 20 0 DCADLN COCC[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000649211623 418209452 /nfs/dbraw/zinc/20/94/52/418209452.db2.gz XUWZFYFBCYXFSM-ZIAGYGMSSA-N 0 2 306.410 0.673 20 0 DCADLN C[N@@H+]1CCC[C@@H](CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000660846825 418295600 /nfs/dbraw/zinc/29/56/00/418295600.db2.gz JHIJXPRLMMTEDL-LSDHHAIUSA-N 0 2 310.442 0.444 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)N1CC(=O)Nc2ccccc21)C1CCC1 ZINC000655935213 418304742 /nfs/dbraw/zinc/30/47/42/418304742.db2.gz BVODAXDXNNKPIS-UHFFFAOYSA-N 0 2 317.345 0.911 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)N1CC(=O)Nc2ccccc21)C1CCC1 ZINC000655935213 418304744 /nfs/dbraw/zinc/30/47/44/418304744.db2.gz BVODAXDXNNKPIS-UHFFFAOYSA-N 0 2 317.345 0.911 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C[C@H](OC)C(F)(F)F)[nH]n1 ZINC000650819504 418327492 /nfs/dbraw/zinc/32/74/92/418327492.db2.gz WMGJEHDCYWODLZ-ZETCQYMHSA-N 0 2 306.244 0.164 20 0 DCADLN CCS(=O)(=O)NC1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650766158 418323295 /nfs/dbraw/zinc/32/32/95/418323295.db2.gz WKWHZNKZVYNYRP-UHFFFAOYSA-N 0 2 313.379 0.688 20 0 DCADLN CC(C)(C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000649462341 418255836 /nfs/dbraw/zinc/25/58/36/418255836.db2.gz VKQQQWKVVYKMNG-UHFFFAOYSA-N 0 2 307.350 0.645 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc2c1CCN(C)C2=O ZINC000656635783 418368061 /nfs/dbraw/zinc/36/80/61/418368061.db2.gz HAMKZUFRHRMPSG-UHFFFAOYSA-N 0 2 320.374 0.849 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCc3ccccc3C2)[nH]1 ZINC000651509371 418385631 /nfs/dbraw/zinc/38/56/31/418385631.db2.gz CHZJEPNVPLILFT-UHFFFAOYSA-N 0 2 313.361 0.804 20 0 DCADLN C[C@@H](COCC(=O)N=c1cc(C(N)=O)[nH][nH]1)c1ccccc1 ZINC000651534446 418387959 /nfs/dbraw/zinc/38/79/59/418387959.db2.gz JPZMTSHRHCDBSA-JTQLQIEISA-N 0 2 302.334 0.689 20 0 DCADLN NC(=O)c1cc(=NC(=O)[C@H](C[C@H]2CCCO2)C(F)(F)F)[nH][nH]1 ZINC000651534544 418388205 /nfs/dbraw/zinc/38/82/05/418388205.db2.gz OHWMADLXHMPRFH-RQJHMYQMSA-N 0 2 320.271 0.617 20 0 DCADLN C[C@H](NC(=O)C[N@H+](CC(=O)[O-])CC(C)(C)C)C(=O)N(C)C ZINC000662223148 418390487 /nfs/dbraw/zinc/39/04/87/418390487.db2.gz MFNFTOIPZQKWIA-JTQLQIEISA-N 0 2 301.387 0.012 20 0 DCADLN C[C@H](NC(=O)C[N@@H+](CC(=O)[O-])CC(C)(C)C)C(=O)N(C)C ZINC000662223148 418390488 /nfs/dbraw/zinc/39/04/88/418390488.db2.gz MFNFTOIPZQKWIA-JTQLQIEISA-N 0 2 301.387 0.012 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(CC2CC2)CC2CC2)[nH]1 ZINC000651571698 418392645 /nfs/dbraw/zinc/39/26/45/418392645.db2.gz UVRMTEWGWKUHRT-UHFFFAOYSA-N 0 2 305.382 0.868 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC[C@]2(C)CCCO)[nH]1 ZINC000651662920 418401605 /nfs/dbraw/zinc/40/16/05/418401605.db2.gz RKLVSJOGYWDVAW-OAHLLOKOSA-N 0 2 323.397 0.373 20 0 DCADLN CCc1ccccc1S(=O)(=O)Nc1cnn(CC(N)=O)c1 ZINC000187283779 261124079 /nfs/dbraw/zinc/12/40/79/261124079.db2.gz YOZMNTNPNPUBNM-UHFFFAOYSA-N 0 2 308.363 0.732 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCCO[C@@H]1CCOC1)c2=O ZINC000355863856 261371855 /nfs/dbraw/zinc/37/18/55/261371855.db2.gz VLXGIOTXBJFUAQ-SNVBAGLBSA-N 0 2 320.349 0.599 20 0 DCADLN O=C(CCn1c(=O)oc2ccccc21)NCc1n[nH]c(=O)[nH]1 ZINC000358359493 261661218 /nfs/dbraw/zinc/66/12/18/261661218.db2.gz QTOJDKLAJMRYIY-UHFFFAOYSA-N 0 2 303.278 0.125 20 0 DCADLN O=C1NCCc2ccc(S(=O)(=O)Nc3cncnc3)cc21 ZINC000362212219 262010524 /nfs/dbraw/zinc/01/05/24/262010524.db2.gz BWCWZPUEWCRYEC-UHFFFAOYSA-N 0 2 304.331 0.563 20 0 DCADLN CCC[C@@H](O)[C@@H](CO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000412523262 262190578 /nfs/dbraw/zinc/19/05/78/262190578.db2.gz KEQAUQRGSINHRK-VXGBXAGGSA-N 0 2 321.333 0.282 20 0 DCADLN CCn1c[nH+]cc1CN1CCN(C(=O)C[NH+](CC)CC)CC1 ZINC000417756684 262260447 /nfs/dbraw/zinc/26/04/47/262260447.db2.gz AAILUCQVOMPNHS-UHFFFAOYSA-N 0 2 307.442 0.889 20 0 DCADLN CNS(=O)(=O)CCNc1nc(C2CCCCC2)ns1 ZINC000420613812 262378646 /nfs/dbraw/zinc/37/86/46/262378646.db2.gz UCLXLENLMUIRHW-UHFFFAOYSA-N 0 2 304.441 0.969 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@@H+](C)[C@H](C)[C@@H]1C ZINC000355449658 271008781 /nfs/dbraw/zinc/00/87/81/271008781.db2.gz ANFXLZRKSKFWIS-BDAKNGLRSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@H+](C)[C@H](C)[C@@H]1C ZINC000355449658 271008784 /nfs/dbraw/zinc/00/87/84/271008784.db2.gz ANFXLZRKSKFWIS-BDAKNGLRSA-N 0 2 316.379 0.999 20 0 DCADLN O=C(NC[C@@H](O)[C@@H]1CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000355551759 271015379 /nfs/dbraw/zinc/01/53/79/271015379.db2.gz ZALPQIRSQIFLPU-DGCLKSJQSA-N 0 2 317.345 0.705 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N[C@H](CO)COC)=N1 ZINC000359648372 271137092 /nfs/dbraw/zinc/13/70/92/271137092.db2.gz CSVKAAKOGUSLDY-GFCCVEGCSA-N 0 2 319.361 0.877 20 0 DCADLN O=S(=O)(NC[C@@H]1Cc2ccccc2O1)NCC(F)(F)F ZINC000442905732 271688628 /nfs/dbraw/zinc/68/86/28/271688628.db2.gz WARGFXRYGPMOQU-VIFPVBQESA-N 0 2 310.297 0.976 20 0 DCADLN C[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000443509301 271712751 /nfs/dbraw/zinc/71/27/51/271712751.db2.gz IPGDNDNKCFAXKQ-ZETCQYMHSA-N 0 2 323.740 0.598 20 0 DCADLN O=C(/C=C/c1cccnc1)NCC(=O)NOC[C@H]1CCOC1 ZINC000492035814 272113930 /nfs/dbraw/zinc/11/39/30/272113930.db2.gz XPRHSZMETBGTFX-OOPCZODUSA-N 0 2 305.334 0.295 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C\c2ccncc2)cn1 ZINC000492063125 272114665 /nfs/dbraw/zinc/11/46/65/272114665.db2.gz BXSHTZXZQAXPOD-ARJAWSKDSA-N 0 2 306.347 0.816 20 0 DCADLN COc1ccc(/C=C\C(=O)NS(=O)(=O)c2ccnn2C)cc1 ZINC000492560082 272135364 /nfs/dbraw/zinc/13/53/64/272135364.db2.gz CMZFZBVFWYHUQL-YVMONPNESA-N 0 2 321.358 0.947 20 0 DCADLN Cc1c(/C=C/C(=O)NS(=O)(=O)c2cnn(C)c2C)cnn1C ZINC000493161926 272172008 /nfs/dbraw/zinc/17/20/08/272172008.db2.gz IZYHZQZFXARIFA-AATRIKPKSA-N 0 2 323.378 0.289 20 0 DCADLN O=C(/C=C\C1CC1)NS(=O)(=O)c1cnn([C@H]2CCOC2)c1 ZINC000493154497 272172123 /nfs/dbraw/zinc/17/21/23/272172123.db2.gz MKVHMYSBTNQGPC-BYCRGOAPSA-N 0 2 311.363 0.616 20 0 DCADLN O=C(/C=C/C1CC1)NS(=O)(=O)c1cnn([C@H]2CCOC2)c1 ZINC000493154501 272172245 /nfs/dbraw/zinc/17/22/45/272172245.db2.gz MKVHMYSBTNQGPC-UFFNRZRYSA-N 0 2 311.363 0.616 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1cnccc1C ZINC000493611299 272199965 /nfs/dbraw/zinc/19/99/65/272199965.db2.gz BQYBVEUJTFXWSU-SNAWJCMRSA-N 0 2 320.374 0.950 20 0 DCADLN CC(=O)Nc1ncc(S(=O)(=O)NC(=O)/C=C/C2CC2)s1 ZINC000493648767 272202111 /nfs/dbraw/zinc/20/21/11/272202111.db2.gz DCVZPCUDYMEXHO-SNAWJCMRSA-N 0 2 315.376 0.873 20 0 DCADLN O=C(C=Cc1ccncn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493727368 272206639 /nfs/dbraw/zinc/20/66/39/272206639.db2.gz QHIZFXZFSRDLKY-XOULXFPDSA-N 0 2 300.322 0.720 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCCC(=O)N(C)C)cn1 ZINC000495129480 272239239 /nfs/dbraw/zinc/23/92/39/272239239.db2.gz PRJWWFPUIMBMJB-UHFFFAOYSA-N 0 2 318.381 0.891 20 0 DCADLN CCO[C@H](CC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000516252222 272433278 /nfs/dbraw/zinc/43/32/78/272433278.db2.gz WWJCUZBIOSBYFM-ZCFIWIBFSA-N 0 2 308.385 0.663 20 0 DCADLN O=C(NCCNC(=O)C1CC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000521179206 272529698 /nfs/dbraw/zinc/52/96/98/272529698.db2.gz HHYWGYWRYHKURE-UHFFFAOYSA-N 0 2 316.317 0.286 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NC2=NCC(=O)N2)=N1 ZINC000531833311 272770969 /nfs/dbraw/zinc/77/09/69/272770969.db2.gz HCIQVLFNHHWUEH-UHFFFAOYSA-N 0 2 317.280 0.241 20 0 DCADLN CNC(=O)[C@@H](C)NC(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000531218110 287771812 /nfs/dbraw/zinc/77/18/12/287771812.db2.gz UNSDXBGFRDIUSX-MRVPVSSYSA-N 0 2 305.363 0.883 20 0 DCADLN CN1C[C@@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)CC1=O ZINC000535173064 287830583 /nfs/dbraw/zinc/83/05/83/287830583.db2.gz LEESLJLRTYVXSY-VIFPVBQESA-N 0 2 303.347 0.439 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1CCC(=O)N1 ZINC000549732815 288202614 /nfs/dbraw/zinc/20/26/14/288202614.db2.gz SAPFBLHPJNISRV-SECBINFHSA-N 0 2 301.306 0.703 20 0 DCADLN CC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000549766473 288204327 /nfs/dbraw/zinc/20/43/27/288204327.db2.gz PADFSLRFEPRXEA-WDEREUQCSA-N 0 2 307.354 0.227 20 0 DCADLN CC1(C)[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)[C@H]2CCCO[C@H]21 ZINC000376731888 281484498 /nfs/dbraw/zinc/48/44/98/281484498.db2.gz AVMQZUSCWWAWCA-SZEHBUNVSA-N 0 2 312.395 0.922 20 0 DCADLN CNC(=O)C1CCC(NC(=O)c2cccc3[nH]nnc32)CC1 ZINC000555891836 288365062 /nfs/dbraw/zinc/36/50/62/288365062.db2.gz HEIASQMEALUWOG-UHFFFAOYSA-N 0 2 301.350 0.993 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000563625007 288692917 /nfs/dbraw/zinc/69/29/17/288692917.db2.gz PCQNDSJARLMLSD-JTQLQIEISA-N 0 2 308.338 0.097 20 0 DCADLN Cc1nnc(N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)nc1C ZINC000563889507 288712305 /nfs/dbraw/zinc/71/23/05/288712305.db2.gz JQDWBVLUWXMQSX-UHFFFAOYSA-N 0 2 314.349 0.908 20 0 DCADLN CN1CC[NH+]([C@@H](C(=O)[N-]OCC(C)(C)O)c2ccccc2)CC1 ZINC000278527680 290053900 /nfs/dbraw/zinc/05/39/00/290053900.db2.gz DJHVTUBTZFOIIB-OAHLLOKOSA-N 0 2 321.421 0.794 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@@]2(CCOC2)O1 ZINC000369615151 297057542 /nfs/dbraw/zinc/05/75/42/297057542.db2.gz JRPRLWAONNPRII-RKDXNWHRSA-N 0 2 318.317 0.263 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2 ZINC000249611927 304760284 /nfs/dbraw/zinc/76/02/84/304760284.db2.gz SGDOJCVJPRFJBN-DJLDLDEBSA-N 0 2 314.329 0.930 20 0 DCADLN Cc1cc(NCCCc2n[nH]c(=O)[nH]2)nc(S(C)(=O)=O)n1 ZINC001650788358 1173732162 /nfs/dbraw/zinc/73/21/62/1173732162.db2.gz SUMZFFHJMRZZKQ-UHFFFAOYSA-N 0 2 312.355 0.057 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+](CC(=O)[O-])C(C)C)C(=O)OC ZINC000582847915 337210012 /nfs/dbraw/zinc/21/00/12/337210012.db2.gz CFVIGFFXHPYMMQ-ZWNOBZJWSA-N 0 2 302.371 0.485 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+](CC(=O)[O-])C(C)C)C(=O)OC ZINC000582847915 337210013 /nfs/dbraw/zinc/21/00/13/337210013.db2.gz CFVIGFFXHPYMMQ-ZWNOBZJWSA-N 0 2 302.371 0.485 20 0 DCADLN C[S@](=O)C1(CNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC1 ZINC000577574074 341919377 /nfs/dbraw/zinc/91/93/77/341919377.db2.gz VTDJEWVZKAXYIE-QFIPXVFZSA-N 0 2 321.358 0.671 20 0 DCADLN Cc1c(CC(=O)NOC(C)(C)CO)nnn1-c1ccccc1 ZINC000296758165 533835242 /nfs/dbraw/zinc/83/52/42/533835242.db2.gz BSKFMMSQONNHIK-UHFFFAOYSA-N 0 2 304.350 0.937 20 0 DCADLN CCS(=O)(=O)CCN(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000129093195 521639339 /nfs/dbraw/zinc/63/93/39/521639339.db2.gz CFGAMTJTLDSSGS-UHFFFAOYSA-N 0 2 315.420 0.851 20 0 DCADLN NC(=O)[C@@H]1CCN(C(=O)C2=NN(c3ccc(F)cc3)CC2=O)C1 ZINC000267819322 526970956 /nfs/dbraw/zinc/97/09/56/526970956.db2.gz HQDQCQWHCDREBI-SECBINFHSA-N 0 2 318.308 0.664 20 0 DCADLN CCOC1CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000294788534 528548631 /nfs/dbraw/zinc/54/86/31/528548631.db2.gz KJHWIUTZXGMXQA-UHFFFAOYSA-N 0 2 304.306 0.605 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@]2(C)CCC(=O)N2)c1 ZINC000424861066 536923762 /nfs/dbraw/zinc/92/37/62/536923762.db2.gz CYGJVCHDEPSECE-IVZQSRNASA-N 0 2 324.402 0.588 20 0 DCADLN CC(C)[C@H](CNC(=O)N[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000087491830 545707936 /nfs/dbraw/zinc/70/79/36/545707936.db2.gz USJUXIOZGOFYAS-NEPJUHHUSA-N 0 2 301.387 0.363 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@H]1CCNC(=O)C1 ZINC000153418915 545831537 /nfs/dbraw/zinc/83/15/37/545831537.db2.gz XORZDYZQGUEYGB-VIFPVBQESA-N 0 2 302.290 0.495 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CC(=O)N2CCC[C@H]3CCCC[C@H]32)CCO1 ZINC000315412803 546026922 /nfs/dbraw/zinc/02/69/22/546026922.db2.gz UMHWVRLLCIOEEF-MCIONIFRSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CC(=O)N2CCC[C@H]3CCCC[C@H]32)CCO1 ZINC000315412803 546026924 /nfs/dbraw/zinc/02/69/24/546026924.db2.gz UMHWVRLLCIOEEF-MCIONIFRSA-N 0 2 310.394 0.953 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCCC[C@@H]1C(=O)N1CCCC1 ZINC000333383841 546079648 /nfs/dbraw/zinc/07/96/48/546079648.db2.gz XWWZHOSCFRGPDX-QWRGUYRKSA-N 0 2 321.381 0.555 20 0 DCADLN CN1CCc2c(NC(=O)NCc3n[nH]c(=O)[nH]3)cccc2C1=O ZINC000667883453 546665344 /nfs/dbraw/zinc/66/53/44/546665344.db2.gz UICWKCKDTZRKAG-UHFFFAOYSA-N 0 2 316.321 0.460 20 0 DCADLN O=C(N[C@H]1CCN(c2cccc[nH+]2)C1)c1c[n-]n2c1nccc2=O ZINC000670419716 547082576 /nfs/dbraw/zinc/08/25/76/547082576.db2.gz DEUCOKBQMBFFBN-NSHDSACASA-N 0 2 324.344 0.426 20 0 DCADLN O=C1N[C@@H](Cc2c[nH+]c[nH]2)C(=O)N1[C@H]1CC[N@H+](CC2CC2)C1 ZINC000672062835 547315747 /nfs/dbraw/zinc/31/57/47/547315747.db2.gz IMBWFJPPWXTLGA-STQMWFEESA-N 0 2 303.366 0.357 20 0 DCADLN C[C@H]1Oc2ccccc2O[C@H]1C(=O)N(C)CC1N=NC(=O)O1 ZINC000673478737 547483293 /nfs/dbraw/zinc/48/32/93/547483293.db2.gz GSHAZTHCTKHTPR-PRHODGIISA-N 0 2 305.290 0.962 20 0 DCADLN CCOc1cccc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)n1 ZINC000673897795 547535527 /nfs/dbraw/zinc/53/55/27/547535527.db2.gz NKGUWPAVOXLXRX-UHFFFAOYSA-N 0 2 324.362 0.719 20 0 DCADLN CCC[C@@H](NC(N)=O)C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000675322418 547675859 /nfs/dbraw/zinc/67/58/59/547675859.db2.gz UTTZKMQTHQEVNQ-SNVBAGLBSA-N 0 2 319.321 0.805 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnn(-c2ccccn2)c1 ZINC000675569109 547693590 /nfs/dbraw/zinc/69/35/90/547693590.db2.gz LIRQFVWAHOVPAY-UHFFFAOYSA-N 0 2 300.282 0.413 20 0 DCADLN Cc1[nH]nc(C(=O)N2CSC[C@@H]2C(=O)N2CCCC2)c1O ZINC000676706891 547805937 /nfs/dbraw/zinc/80/59/37/547805937.db2.gz JNLNIJKVGUFIBV-SECBINFHSA-N 0 2 310.379 0.561 20 0 DCADLN CC[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)c1n[nH]c(C)c1O ZINC000676706848 547806078 /nfs/dbraw/zinc/80/60/78/547806078.db2.gz DLTDMVPLVCDJPX-VXNVDRBHSA-N 0 2 312.395 0.854 20 0 DCADLN CCCN(C(=O)c1n[nH]c(C)c1O)[C@@H]1CCS(=O)(=O)C1 ZINC000676706716 547806232 /nfs/dbraw/zinc/80/62/32/547806232.db2.gz CXYDIQQDDCYEAN-SECBINFHSA-N 0 2 301.368 0.463 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(O[C@H]2CCOC2)cc1 ZINC000684054170 548560501 /nfs/dbraw/zinc/56/05/01/548560501.db2.gz ZHNBOTKKKMVFJM-NSHDSACASA-N 0 2 304.306 0.608 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@H]2CCCC(=O)N2)cn1 ZINC000684079515 548564635 /nfs/dbraw/zinc/56/46/35/548564635.db2.gz MGHSMWFPVANLJY-SNVBAGLBSA-N 0 2 302.338 0.209 20 0 DCADLN Cn1cc2c(n1)CCC[C@@H]2C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000684998241 548670826 /nfs/dbraw/zinc/67/08/26/548670826.db2.gz DJWIVOLVXWDVCA-VIFPVBQESA-N 0 2 304.354 0.413 20 0 DCADLN Cc1nn(CCc2cnn(C)c2)c(=O)c(-c2nn[nH]n2)c1C ZINC000737518120 598938806 /nfs/dbraw/zinc/93/88/06/598938806.db2.gz QXTYLZQWMHTBGG-UHFFFAOYSA-N 0 2 300.326 0.016 20 0 DCADLN Cc1nn(CCCCCCCO)c(=O)c(-c2nn[nH]n2)c1C ZINC000822500668 599124082 /nfs/dbraw/zinc/12/40/82/599124082.db2.gz AJCSHWHHQYZPOJ-UHFFFAOYSA-N 0 2 306.370 0.983 20 0 DCADLN Cc1c(NC(=O)NCc2n[nH]c(=O)[nH]2)cccc1-c1nn[nH]n1 ZINC000822102796 607280178 /nfs/dbraw/zinc/28/01/78/607280178.db2.gz DGDPXBYJDFHLGT-UHFFFAOYSA-N 0 2 315.297 0.321 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N[C@@H](C(=O)[O-])C(C)C)c(N(C)C)[nH+]1 ZINC000817884122 597369498 /nfs/dbraw/zinc/36/94/98/597369498.db2.gz WBQLVYFQSPTRNY-LLVKDONJSA-N 0 2 322.365 0.620 20 0 DCADLN O=C([O-])c1ccc(NC(=O)C(=O)NCCn2cc[nH+]c2)c(F)c1 ZINC000739432816 597515304 /nfs/dbraw/zinc/51/53/04/597515304.db2.gz TYKAXRSNTPULIY-UHFFFAOYSA-N 0 2 320.280 0.475 20 0 DCADLN O=C([O-])CNC(=O)C[NH+]1CCC(C(=O)c2ccccc2)CC1 ZINC000821529346 597766258 /nfs/dbraw/zinc/76/62/58/597766258.db2.gz GZALLEFESDEQOH-UHFFFAOYSA-N 0 2 304.346 0.782 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)cn1 ZINC000737498724 598663857 /nfs/dbraw/zinc/66/38/57/598663857.db2.gz LHYQCHWFFFYGOX-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN CCN(c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C1CCCC1 ZINC000736564880 598815584 /nfs/dbraw/zinc/81/55/84/598815584.db2.gz NUUUYWRWODFEJA-UHFFFAOYSA-N 0 2 319.369 0.033 20 0 DCADLN COC(=O)C1=C(C)NC(=S)N[C@@H]1c1ccc(-c2nn[nH]n2)o1 ZINC000821654258 599493014 /nfs/dbraw/zinc/49/30/14/599493014.db2.gz YNSVEWUMDHIIEM-SECBINFHSA-N 0 2 320.334 0.426 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000037432572 599777526 /nfs/dbraw/zinc/77/75/26/599777526.db2.gz XCYPYXMGWSYHKF-ZETCQYMHSA-N 0 2 310.291 0.805 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000037432572 599777527 /nfs/dbraw/zinc/77/75/27/599777527.db2.gz XCYPYXMGWSYHKF-ZETCQYMHSA-N 0 2 310.291 0.805 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccc(OC)cc1 ZINC000737121700 599858512 /nfs/dbraw/zinc/85/85/12/599858512.db2.gz MQWOGBZJBARZCC-GFCCVEGCSA-N 0 2 309.322 0.256 20 0 DCADLN CCCNC(=O)NC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccc(OC)cc1 ZINC000737025564 599859681 /nfs/dbraw/zinc/85/96/81/599859681.db2.gz YMXPAQLEGRMFHT-CYBMUJFWSA-N 0 2 323.349 0.646 20 0 DCADLN O=C([O-])CSCCNC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000389470389 599902686 /nfs/dbraw/zinc/90/26/86/599902686.db2.gz PXONXITZUWDOIE-UHFFFAOYSA-N 0 2 324.406 0.731 20 0 DCADLN Cc1ccc(C)c(OCC[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC000315434745 599982786 /nfs/dbraw/zinc/98/27/86/599982786.db2.gz PPPBMPAVVAXRSQ-ZDUSSCGKSA-N 0 2 306.362 0.957 20 0 DCADLN Cc1ccc(C)c(OCC[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC000315434745 599982789 /nfs/dbraw/zinc/98/27/89/599982789.db2.gz PPPBMPAVVAXRSQ-ZDUSSCGKSA-N 0 2 306.362 0.957 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCCc1ccc(OC(F)F)cc1 ZINC000178908879 600068941 /nfs/dbraw/zinc/06/89/41/600068941.db2.gz QACOHJVXZBHKPD-UHFFFAOYSA-N 0 2 316.304 0.963 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCCc1ccc(OC(F)F)cc1 ZINC000178908879 600068945 /nfs/dbraw/zinc/06/89/45/600068945.db2.gz QACOHJVXZBHKPD-UHFFFAOYSA-N 0 2 316.304 0.963 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@@H]2CCCC[C@H]21 ZINC000740056455 600139303 /nfs/dbraw/zinc/13/93/03/600139303.db2.gz DYBUVADTVHALSY-DGAVXFQQSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@@H]2CCCC[C@H]21 ZINC000740056455 600139305 /nfs/dbraw/zinc/13/93/05/600139305.db2.gz DYBUVADTVHALSY-DGAVXFQQSA-N 0 2 310.394 0.687 20 0 DCADLN C[NH+](C)[C@H](C(=O)Nc1n[nH]c(CC(=O)[O-])n1)c1ccccc1 ZINC000737360182 600182425 /nfs/dbraw/zinc/18/24/25/600182425.db2.gz FXYUTOIKJBQRPS-LBPRGKRZSA-N 0 2 303.322 0.673 20 0 DCADLN C[C@@H](C[N@H+](C)CC1=Nc2ccccc2S(=O)(=O)N1)C(=O)[O-] ZINC000736573453 600365877 /nfs/dbraw/zinc/36/58/77/600365877.db2.gz VZWUHRUSCJBUFV-VIFPVBQESA-N 0 2 311.363 0.661 20 0 DCADLN C[C@@H](C[N@@H+](C)CC1=Nc2ccccc2S(=O)(=O)N1)C(=O)[O-] ZINC000736573453 600365881 /nfs/dbraw/zinc/36/58/81/600365881.db2.gz VZWUHRUSCJBUFV-VIFPVBQESA-N 0 2 311.363 0.661 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCc2ccc(C(=O)[O-])o2)CCO1 ZINC000736810069 600544866 /nfs/dbraw/zinc/54/48/66/600544866.db2.gz NNFMBEZIWMTARR-JTQLQIEISA-N 0 2 311.338 0.498 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCc2ccc(C(=O)[O-])o2)CCO1 ZINC000736810069 600544868 /nfs/dbraw/zinc/54/48/68/600544868.db2.gz NNFMBEZIWMTARR-JTQLQIEISA-N 0 2 311.338 0.498 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC(C(=O)[O-])CC1 ZINC000736584270 600565061 /nfs/dbraw/zinc/56/50/61/600565061.db2.gz UOUVXEAANYVBHP-GFCCVEGCSA-N 0 2 312.414 0.129 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000737403914 600831992 /nfs/dbraw/zinc/83/19/92/600831992.db2.gz NTYNTPYXHMVYED-UHFFFAOYSA-N 0 2 309.278 0.080 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000737403914 600831993 /nfs/dbraw/zinc/83/19/93/600831993.db2.gz NTYNTPYXHMVYED-UHFFFAOYSA-N 0 2 309.278 0.080 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)nc1 ZINC000833309199 600900831 /nfs/dbraw/zinc/90/08/31/600900831.db2.gz OLSRTLJCKSNRJO-ZDUSSCGKSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)cn1 ZINC000833308946 600900861 /nfs/dbraw/zinc/90/08/61/600900861.db2.gz HEVDEXHFVMTNGE-ZDUSSCGKSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])Cn1ccc(NC(=O)NCCCNc2cccc[nH+]2)n1 ZINC000833276327 601059863 /nfs/dbraw/zinc/05/98/63/601059863.db2.gz QVDWHXJCCRXYQS-UHFFFAOYSA-N 0 2 318.337 0.986 20 0 DCADLN O=C([O-])c1cn(CC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)nn1 ZINC000833340772 601282029 /nfs/dbraw/zinc/28/20/29/601282029.db2.gz WJFXJIFBCRVMQX-JTQLQIEISA-N 0 2 304.310 0.037 20 0 DCADLN CC[C@@H]1C[N@H+](CC(=O)NCCC(=O)[O-])[C@H](CC)CN1C(C)=O ZINC000829139938 601449842 /nfs/dbraw/zinc/44/98/42/601449842.db2.gz BASRHQSOBJPCRL-CHWSQXEVSA-N 0 2 313.398 0.299 20 0 DCADLN CC[C@@H]1C[N@@H+](CC(=O)NCCC(=O)[O-])[C@H](CC)CN1C(C)=O ZINC000829139938 601449843 /nfs/dbraw/zinc/44/98/43/601449843.db2.gz BASRHQSOBJPCRL-CHWSQXEVSA-N 0 2 313.398 0.299 20 0 DCADLN C[C@H]1C[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C[C@H]1C(=O)[O-] ZINC000828441325 601509134 /nfs/dbraw/zinc/50/91/34/601509134.db2.gz PBTGQDGXYFVQAB-VHSXEESVSA-N 0 2 323.374 0.661 20 0 DCADLN C[C@H]1C[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C[C@H]1C(=O)[O-] ZINC000828441325 601509136 /nfs/dbraw/zinc/50/91/36/601509136.db2.gz PBTGQDGXYFVQAB-VHSXEESVSA-N 0 2 323.374 0.661 20 0 DCADLN COC(=O)CCCc1nc(C[N@@H+]2C[C@@H](C)[C@H](C(=O)[O-])C2)no1 ZINC000831156063 601543628 /nfs/dbraw/zinc/54/36/28/601543628.db2.gz WNZOIQNEBSRBAN-NXEZZACHSA-N 0 2 311.338 0.718 20 0 DCADLN COC(=O)CCCc1nc(C[N@H+]2C[C@@H](C)[C@H](C(=O)[O-])C2)no1 ZINC000831156063 601543629 /nfs/dbraw/zinc/54/36/29/601543629.db2.gz WNZOIQNEBSRBAN-NXEZZACHSA-N 0 2 311.338 0.718 20 0 DCADLN CCOC(=O)CCCNC(=O)C[N@@H+]1CCCC[C@@H]1C(=O)[O-] ZINC000035298674 601576477 /nfs/dbraw/zinc/57/64/77/601576477.db2.gz LKXAHSMCVVFPMD-LLVKDONJSA-N 0 2 300.355 0.385 20 0 DCADLN CCOC(=O)CCCNC(=O)C[N@H+]1CCCC[C@@H]1C(=O)[O-] ZINC000035298674 601576479 /nfs/dbraw/zinc/57/64/79/601576479.db2.gz LKXAHSMCVVFPMD-LLVKDONJSA-N 0 2 300.355 0.385 20 0 DCADLN CCOCC[N@@H+]1CCN(S(=O)(=O)C[C@H](C)C(=O)[O-])C[C@@H]1C ZINC000830011695 601792475 /nfs/dbraw/zinc/79/24/75/601792475.db2.gz LKGHIZOXFSPJKD-RYUDHWBXSA-N 0 2 322.427 0.080 20 0 DCADLN CCOCC[N@H+]1CCN(S(=O)(=O)C[C@H](C)C(=O)[O-])C[C@@H]1C ZINC000830011695 601792477 /nfs/dbraw/zinc/79/24/77/601792477.db2.gz LKGHIZOXFSPJKD-RYUDHWBXSA-N 0 2 322.427 0.080 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)NCC[N@@H+]2CCOCC2(C)C)C1 ZINC000828002961 602067213 /nfs/dbraw/zinc/06/72/13/602067213.db2.gz QZGGVFDIBZXDAU-OAHLLOKOSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)NCC[N@H+]2CCOCC2(C)C)C1 ZINC000828002961 602067217 /nfs/dbraw/zinc/06/72/17/602067217.db2.gz QZGGVFDIBZXDAU-OAHLLOKOSA-N 0 2 313.398 0.603 20 0 DCADLN CN1CCO[C@@H]2C[N@H+](Cn3nc(C(=O)[O-])c4ccccc43)C[C@@H]21 ZINC000830964915 602124882 /nfs/dbraw/zinc/12/48/82/602124882.db2.gz NIVCQALBWUOLKN-UONOGXRCSA-N 0 2 316.361 0.707 20 0 DCADLN CN1CCO[C@@H]2C[N@@H+](Cn3nc(C(=O)[O-])c4ccccc43)C[C@@H]21 ZINC000830964915 602124885 /nfs/dbraw/zinc/12/48/85/602124885.db2.gz NIVCQALBWUOLKN-UONOGXRCSA-N 0 2 316.361 0.707 20 0 DCADLN C[N@@H+]1CCO[C@@H]2CN(Cn3nc(C(=O)[O-])c4ccccc43)C[C@@H]21 ZINC000830964915 602124887 /nfs/dbraw/zinc/12/48/87/602124887.db2.gz NIVCQALBWUOLKN-UONOGXRCSA-N 0 2 316.361 0.707 20 0 DCADLN C[N@H+]1CCO[C@@H]2CN(Cn3nc(C(=O)[O-])c4ccccc43)C[C@@H]21 ZINC000830964915 602124889 /nfs/dbraw/zinc/12/48/89/602124889.db2.gz NIVCQALBWUOLKN-UONOGXRCSA-N 0 2 316.361 0.707 20 0 DCADLN C[C@@H](CC(=O)[O-])C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000827324556 602146407 /nfs/dbraw/zinc/14/64/07/602146407.db2.gz HYZXBHPHQIYUNU-NSHDSACASA-N 0 2 310.354 0.265 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NC2CCN(C(=O)[O-])CC2)CCO1 ZINC000736813011 602153871 /nfs/dbraw/zinc/15/38/71/602153871.db2.gz XYNUQKQQKPGNAB-NSHDSACASA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NC2CCN(C(=O)[O-])CC2)CCO1 ZINC000736813011 602153873 /nfs/dbraw/zinc/15/38/73/602153873.db2.gz XYNUQKQQKPGNAB-NSHDSACASA-N 0 2 314.386 0.149 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000736369565 602340431 /nfs/dbraw/zinc/34/04/31/602340431.db2.gz SCRFDHRSIQTGIU-NSHDSACASA-N 0 2 301.387 0.506 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[NH2+]C3(C(N)=O)CC3)nc(=O)c12 ZINC000832854430 602401663 /nfs/dbraw/zinc/40/16/63/602401663.db2.gz YBELVWVSMWLVRF-UHFFFAOYSA-N 0 2 322.346 0.099 20 0 DCADLN C[C@H]([C@H](C)NC(=O)CN(CC1CC1)C(=O)[O-])[NH+]1CCOCC1 ZINC000825115200 602863128 /nfs/dbraw/zinc/86/31/28/602863128.db2.gz JKCIJKDSXMQDJI-NWDGAFQWSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H](CNC(=O)N[C@H]1CCCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000736594736 603249494 /nfs/dbraw/zinc/24/94/94/603249494.db2.gz CIIWWMXVPKYTLW-RYUDHWBXSA-N 0 2 314.386 0.149 20 0 DCADLN CC(C)(CNC(=O)N1CC[C@@H]([NH+]2CCOCC2)C1)NC(=O)[O-] ZINC000823917112 603455615 /nfs/dbraw/zinc/45/56/15/603455615.db2.gz BSNHVYRDKJGVBY-LLVKDONJSA-N 0 2 314.386 0.149 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc3ccccn3c2)[C@@H](CNC(=O)[O-])C1 ZINC000828506084 603502119 /nfs/dbraw/zinc/50/21/19/603502119.db2.gz DDEWKGSXKLJTLL-AWEZNQCLSA-N 0 2 316.361 0.963 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc3ccccn3c2)[C@@H](CNC(=O)[O-])C1 ZINC000828506084 603502122 /nfs/dbraw/zinc/50/21/22/603502122.db2.gz DDEWKGSXKLJTLL-AWEZNQCLSA-N 0 2 316.361 0.963 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830904186 603522278 /nfs/dbraw/zinc/52/22/78/603522278.db2.gz LUOJHMUTOSKRPO-SKDRFNHKSA-N 0 2 324.381 0.805 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830904186 603522280 /nfs/dbraw/zinc/52/22/80/603522280.db2.gz LUOJHMUTOSKRPO-SKDRFNHKSA-N 0 2 324.381 0.805 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)[C@H]1CC[C@H](NC(=O)[O-])C1 ZINC000825734509 603563722 /nfs/dbraw/zinc/56/37/22/603563722.db2.gz NXOABDXSWBINRE-RYUDHWBXSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)[C@H]1CC[C@H](NC(=O)[O-])C1 ZINC000825734509 603563726 /nfs/dbraw/zinc/56/37/26/603563726.db2.gz NXOABDXSWBINRE-RYUDHWBXSA-N 0 2 313.398 0.650 20 0 DCADLN NC(=O)c1ccccc1NC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000831241157 603571947 /nfs/dbraw/zinc/57/19/47/603571947.db2.gz SUDBTFNGZMGSBE-SNVBAGLBSA-N 0 2 320.349 0.456 20 0 DCADLN NC(=O)c1ccccc1NC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000831241157 603571953 /nfs/dbraw/zinc/57/19/53/603571953.db2.gz SUDBTFNGZMGSBE-SNVBAGLBSA-N 0 2 320.349 0.456 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@H]1CNC(=O)[O-] ZINC000824907205 603697160 /nfs/dbraw/zinc/69/71/60/603697160.db2.gz JJHSEKADXFCJKN-RYUDHWBXSA-N 0 2 314.386 0.149 20 0 DCADLN Cc1cn2cc(NC(=O)[C@@H]3C[C@@H](O)CN3C(=O)[O-])ccc2[nH+]1 ZINC000830619821 603731317 /nfs/dbraw/zinc/73/13/17/603731317.db2.gz HWSZRGRUWOJFDH-MNOVXSKESA-N 0 2 304.306 0.694 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@@H+]([C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000827882946 603806981 /nfs/dbraw/zinc/80/69/81/603806981.db2.gz UYLGYDDGOHFSDV-JQWIXIFHSA-N 0 2 307.354 0.210 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@H+]([C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000827882946 603806982 /nfs/dbraw/zinc/80/69/82/603806982.db2.gz UYLGYDDGOHFSDV-JQWIXIFHSA-N 0 2 307.354 0.210 20 0 DCADLN Cc1cc(N2CC[C@H]([N@@H+]3CC[C@H](N(C)C(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073405 603818351 /nfs/dbraw/zinc/81/83/51/603818351.db2.gz QQOKPPQVPDKNET-RYUDHWBXSA-N 0 2 321.381 0.518 20 0 DCADLN Cc1cc(N2CC[C@H]([N@H+]3CC[C@H](N(C)C(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073405 603818352 /nfs/dbraw/zinc/81/83/52/603818352.db2.gz QQOKPPQVPDKNET-RYUDHWBXSA-N 0 2 321.381 0.518 20 0 DCADLN COCC[N@@H+]1CCN(C(=O)[C@@]2(F)CCN(C(=O)[O-])C2)C[C@H]1C ZINC000828992553 603900841 /nfs/dbraw/zinc/90/08/41/603900841.db2.gz QGZGBRUVBAXLNI-BXUZGUMPSA-N 0 2 317.361 0.258 20 0 DCADLN COCC[N@H+]1CCN(C(=O)[C@@]2(F)CCN(C(=O)[O-])C2)C[C@H]1C ZINC000828992553 603900847 /nfs/dbraw/zinc/90/08/47/603900847.db2.gz QGZGBRUVBAXLNI-BXUZGUMPSA-N 0 2 317.361 0.258 20 0 DCADLN C[C@H](C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1)c1cnn(C)c1 ZINC000823654929 603927130 /nfs/dbraw/zinc/92/71/30/603927130.db2.gz NQQGQXRIQBKNSJ-LBPRGKRZSA-N 0 2 323.397 0.278 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000824854082 604136324 /nfs/dbraw/zinc/13/63/24/604136324.db2.gz GSLAFLISWLNQRV-LLVKDONJSA-N 0 2 301.387 0.459 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000824854082 604136326 /nfs/dbraw/zinc/13/63/26/604136326.db2.gz GSLAFLISWLNQRV-LLVKDONJSA-N 0 2 301.387 0.459 20 0 DCADLN Cc1cc(CC(=O)N(C)CC[NH+]2CCN(C(=O)[O-])CC2)[nH]n1 ZINC000830028788 604207688 /nfs/dbraw/zinc/20/76/88/604207688.db2.gz CPIVUGIMWQEURZ-UHFFFAOYSA-N 0 2 309.370 0.015 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)NC[C@H](C)[NH+]1CCOCC1 ZINC000832846376 604281397 /nfs/dbraw/zinc/28/13/97/604281397.db2.gz FDRDXIJUMGGUPT-VIFPVBQESA-N 0 2 309.366 0.780 20 0 DCADLN O=C([O-])NC1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CCCC1 ZINC000832151086 604296815 /nfs/dbraw/zinc/29/68/15/604296815.db2.gz DSLOEOGECSIZMV-GFCCVEGCSA-N 0 2 311.382 0.500 20 0 DCADLN Cc1cc(=O)[nH]cc1C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000829894079 604304082 /nfs/dbraw/zinc/30/40/82/604304082.db2.gz NLZMHAPYXKMNDA-UHFFFAOYSA-N 0 2 322.365 0.463 20 0 DCADLN COCCN(CC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000828971478 604326877 /nfs/dbraw/zinc/32/68/77/604326877.db2.gz XNSGKUMQVKNJFY-QWHCGFSZSA-N 0 2 324.381 0.919 20 0 DCADLN O=C([O-])CCNC(=O)C[NH+]1CCC([C@H](O)C(F)(F)F)CC1 ZINC000833237210 604370871 /nfs/dbraw/zinc/37/08/71/604370871.db2.gz YFCYJPICLSIUGR-NSHDSACASA-N 0 2 312.288 0.213 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2COc3ccccc32)[C@@H](CNC(=O)[O-])C1 ZINC000828493903 604402075 /nfs/dbraw/zinc/40/20/75/604402075.db2.gz FBKUPTJHZQBARO-AAEUAGOBSA-N 0 2 319.361 0.573 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2COc3ccccc32)[C@@H](CNC(=O)[O-])C1 ZINC000828493903 604402078 /nfs/dbraw/zinc/40/20/78/604402078.db2.gz FBKUPTJHZQBARO-AAEUAGOBSA-N 0 2 319.361 0.573 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CN(c2ncnc3c2C[N@H+](C)CC3)CCO1 ZINC000825371643 604454002 /nfs/dbraw/zinc/45/40/02/604454002.db2.gz HHYLQHMAZKUSAR-GWCFXTLKSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CN(c2ncnc3c2C[N@@H+](C)CC3)CCO1 ZINC000825371643 604454004 /nfs/dbraw/zinc/45/40/04/604454004.db2.gz HHYLQHMAZKUSAR-GWCFXTLKSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@H]1CCN(C(=O)NC2CN(C(=O)[O-])C2)C[C@@H]1n1cc[nH+]c1 ZINC000825945156 604624900 /nfs/dbraw/zinc/62/49/00/604624900.db2.gz UFZNCARSLBEFIX-PWSUYJOCSA-N 0 2 307.354 0.838 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833632377 604701932 /nfs/dbraw/zinc/70/19/32/604701932.db2.gz VWFWMBWDCVXNGL-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833632377 604701935 /nfs/dbraw/zinc/70/19/35/604701935.db2.gz VWFWMBWDCVXNGL-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H](C(=O)OC(C)(C)C)[N@H+](C)CCc1cn(CC(=O)[O-])nn1 ZINC000833408503 604914717 /nfs/dbraw/zinc/91/47/17/604914717.db2.gz ZFJRCXCWRMFYOV-JTQLQIEISA-N 0 2 312.370 0.567 20 0 DCADLN C[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)CCc1cn(CC(=O)[O-])nn1 ZINC000833408503 604914721 /nfs/dbraw/zinc/91/47/21/604914721.db2.gz ZFJRCXCWRMFYOV-JTQLQIEISA-N 0 2 312.370 0.567 20 0 DCADLN C[C@H](C(=O)NCC(F)(F)F)[NH+]1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833404801 604973674 /nfs/dbraw/zinc/97/36/74/604973674.db2.gz PSFWIZBSYTVRKJ-APPZFPTMSA-N 0 2 312.288 0.211 20 0 DCADLN Cc1nc(C)n([C@@H]2CCC[N@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC000833755177 605177299 /nfs/dbraw/zinc/17/72/99/605177299.db2.gz COWBVHDATXZBQR-QWHCGFSZSA-N 0 2 321.381 0.422 20 0 DCADLN Cc1nc(C)n([C@@H]2CCC[N@@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC000833755177 605177304 /nfs/dbraw/zinc/17/73/04/605177304.db2.gz COWBVHDATXZBQR-QWHCGFSZSA-N 0 2 321.381 0.422 20 0 DCADLN O=C([O-])N1CC(OCCC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC000834074735 605307492 /nfs/dbraw/zinc/30/74/92/605307492.db2.gz TVUQGNJWVCILLP-NSHDSACASA-N 0 2 322.365 0.885 20 0 DCADLN CCn1cc(NC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000827705881 605452034 /nfs/dbraw/zinc/45/20/34/605452034.db2.gz FLTAKGXMQUJJDG-NSHDSACASA-N 0 2 310.358 0.319 20 0 DCADLN CCn1cc(NC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000827705881 605452037 /nfs/dbraw/zinc/45/20/37/605452037.db2.gz FLTAKGXMQUJJDG-NSHDSACASA-N 0 2 310.358 0.319 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CCN(C(=O)NCCCn2cc[nH+]c2)C1 ZINC000833816366 605485998 /nfs/dbraw/zinc/48/59/98/605485998.db2.gz KTPIMQFVPSJYAD-NWDGAFQWSA-N 0 2 309.370 0.961 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CCN(C(=O)NC[C@@H]2C[N@H+](C)CCO2)C1 ZINC000833820084 605514000 /nfs/dbraw/zinc/51/40/00/605514000.db2.gz XNQODQYHFBHYIM-QJPTWQEYSA-N 0 2 314.386 0.005 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CCN(C(=O)NC[C@@H]2C[N@@H+](C)CCO2)C1 ZINC000833820084 605514002 /nfs/dbraw/zinc/51/40/02/605514002.db2.gz XNQODQYHFBHYIM-QJPTWQEYSA-N 0 2 314.386 0.005 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000736418006 605685581 /nfs/dbraw/zinc/68/55/81/605685581.db2.gz ZTGUATFLBCUVLN-LBPRGKRZSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000736418006 605685584 /nfs/dbraw/zinc/68/55/84/605685584.db2.gz ZTGUATFLBCUVLN-LBPRGKRZSA-N 0 2 315.414 0.896 20 0 DCADLN O=C([O-])N1CCC[C@@H](CNC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000834031716 605841772 /nfs/dbraw/zinc/84/17/72/605841772.db2.gz VGXSUABXMGMHBY-RYUDHWBXSA-N 0 2 306.366 0.952 20 0 DCADLN CC(C)(C)NC(=O)CNC(=O)C[N@@H+]1CCCCC[C@@H]1C(=O)[O-] ZINC000833431537 605846191 /nfs/dbraw/zinc/84/61/91/605846191.db2.gz PVZZJEUUMGSEAV-LLVKDONJSA-N 0 2 313.398 0.347 20 0 DCADLN CC(C)(C)NC(=O)CNC(=O)C[N@H+]1CCCCC[C@@H]1C(=O)[O-] ZINC000833431537 605846195 /nfs/dbraw/zinc/84/61/95/605846195.db2.gz PVZZJEUUMGSEAV-LLVKDONJSA-N 0 2 313.398 0.347 20 0 DCADLN O=C([O-])N1CC[C@@H](NC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000834056350 605932830 /nfs/dbraw/zinc/93/28/30/605932830.db2.gz NHRMUXSUNAHMNH-SNVBAGLBSA-N 0 2 317.305 0.141 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)N[C@H]2CCN(C(=O)[O-])C2)C1 ZINC000833953871 606076367 /nfs/dbraw/zinc/07/63/67/606076367.db2.gz DHOFCPZUIYCHFF-QWRGUYRKSA-N 0 2 307.354 0.671 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCC(C)(C)NC(=O)[O-])C1 ZINC000833954841 606076829 /nfs/dbraw/zinc/07/68/29/606076829.db2.gz NSFJTAARCDKFJR-SNVBAGLBSA-N 0 2 309.370 0.965 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)[C@H]2COCCN2C(=O)[O-])C1 ZINC000833954367 606081922 /nfs/dbraw/zinc/08/19/22/606081922.db2.gz HWBVYWAZVNYHSN-GHMZBOCLSA-N 0 2 308.338 0.115 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)NCCCF ZINC000822004194 607162309 /nfs/dbraw/zinc/16/23/09/607162309.db2.gz CCPQXELFLRDDHD-UHFFFAOYSA-N 0 2 322.344 0.993 20 0 DCADLN CCC(=O)N1CCCN(Cc2ccc(-c3nn[nH]n3)o2)CC1 ZINC000825034879 608611346 /nfs/dbraw/zinc/61/13/46/608611346.db2.gz SLOJYPCDWWEKRU-UHFFFAOYSA-N 0 2 304.354 0.904 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1cccs1 ZINC001027733914 660669609 /nfs/dbraw/zinc/66/96/09/660669609.db2.gz LXNBUOHAVSRKNL-VIFPVBQESA-N 0 2 307.379 0.966 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOC1 ZINC001027786226 660730265 /nfs/dbraw/zinc/73/02/65/660730265.db2.gz ZAYMXRNIEBLWCX-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOC1 ZINC001027786226 660730267 /nfs/dbraw/zinc/73/02/67/660730267.db2.gz ZAYMXRNIEBLWCX-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1cncc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001027807187 660761317 /nfs/dbraw/zinc/76/13/17/660761317.db2.gz OZTCLYCEBDIJGC-GFCCVEGCSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cncc(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001027807187 660761319 /nfs/dbraw/zinc/76/13/19/660761319.db2.gz OZTCLYCEBDIJGC-GFCCVEGCSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC(F)(F)C1 ZINC001027817741 660782032 /nfs/dbraw/zinc/78/20/32/660782032.db2.gz XHIYKMIQWJTBDE-VIFPVBQESA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC(F)(F)C1 ZINC001027817741 660782033 /nfs/dbraw/zinc/78/20/33/660782033.db2.gz XHIYKMIQWJTBDE-VIFPVBQESA-N 0 2 315.324 0.636 20 0 DCADLN CCc1nc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001027837926 660818567 /nfs/dbraw/zinc/81/85/67/660818567.db2.gz IPDVJUNNADBXGC-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1ccn(C)c1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027845512 660828027 /nfs/dbraw/zinc/82/80/27/660828027.db2.gz AGQINYAIQKAHKJ-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccn(C)c1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027845512 660828029 /nfs/dbraw/zinc/82/80/29/660828029.db2.gz AGQINYAIQKAHKJ-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)ns1 ZINC001027854015 660841990 /nfs/dbraw/zinc/84/19/90/660841990.db2.gz OJKKGQRAZKBUQT-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN C[C@@H]1C[C@@H](C[NH2+]Cc2cnsn2)CN1C(=O)Cc1ccn[nH]1 ZINC000980460298 660944709 /nfs/dbraw/zinc/94/47/09/660944709.db2.gz HWTHYQWPZZEPMA-MNOVXSKESA-N 0 2 320.422 0.831 20 0 DCADLN O=C([C@H]1CC=CCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980692777 660987079 /nfs/dbraw/zinc/98/70/79/660987079.db2.gz JTJILQLCCFTUSY-LBPRGKRZSA-N 0 2 305.382 0.901 20 0 DCADLN CCc1noc([C@H](C)[N@@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990013 661024977 /nfs/dbraw/zinc/02/49/77/661024977.db2.gz FZJARRREZCQXEL-VHSXEESVSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1noc([C@H](C)[N@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990013 661024979 /nfs/dbraw/zinc/02/49/79/661024979.db2.gz FZJARRREZCQXEL-VHSXEESVSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1noc([C@H](C)[N@@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990011 661024984 /nfs/dbraw/zinc/02/49/84/661024984.db2.gz FZJARRREZCQXEL-UWVGGRQHSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1noc([C@H](C)[N@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990011 661024985 /nfs/dbraw/zinc/02/49/85/661024985.db2.gz FZJARRREZCQXEL-UWVGGRQHSA-N 0 2 319.369 0.706 20 0 DCADLN CC[C@H](OC)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981658320 661190429 /nfs/dbraw/zinc/19/04/29/661190429.db2.gz HRRWUQZGXZFGSU-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CC[C@H](OC)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981658320 661190430 /nfs/dbraw/zinc/19/04/30/661190430.db2.gz HRRWUQZGXZFGSU-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN O=C([C@H]1CC[C@H](F)C1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981829347 661226380 /nfs/dbraw/zinc/22/63/80/661226380.db2.gz AMRIQLMRGLSUTN-QWRGUYRKSA-N 0 2 311.361 0.683 20 0 DCADLN C[C@@H]1CCC[N@H+]1CC(=O)N1CCC[NH+](Cc2cncn2C)CC1 ZINC000981953308 661254131 /nfs/dbraw/zinc/25/41/31/661254131.db2.gz MEVMXSLIRGDHSI-OAHLLOKOSA-N 0 2 319.453 0.939 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000893695216 661278934 /nfs/dbraw/zinc/27/89/34/661278934.db2.gz RGOPZXJKQWBYLO-JBLDHEPKSA-N 0 2 301.350 0.766 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC000998285824 665429862 /nfs/dbraw/zinc/42/98/62/665429862.db2.gz SZSTZJNSSLVUMS-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cccnn1 ZINC000998285824 665429863 /nfs/dbraw/zinc/42/98/63/665429863.db2.gz SZSTZJNSSLVUMS-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CN(C(=O)O[C@@H]2CCOC2)C[C@H]1C(=O)[O-] ZINC000926486368 657480142 /nfs/dbraw/zinc/48/01/42/657480142.db2.gz OFMJSQLHRFPENY-GMTAPVOTSA-N 0 2 309.322 0.446 20 0 DCADLN O=C(NCCn1cc[nH+]c1)N1CC[C@@H]2[C@H]1CCC[N@H+]2CCO ZINC000891558296 657480800 /nfs/dbraw/zinc/48/08/00/657480800.db2.gz FDLLZAOMVPRNPQ-ZIAGYGMSSA-N 0 2 307.398 0.124 20 0 DCADLN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(F)C(F)(F)F)C1 ZINC000811464437 657556972 /nfs/dbraw/zinc/55/69/72/657556972.db2.gz YBZCNYOOYLEGLP-OPRDCNLKSA-N 0 2 317.279 0.759 20 0 DCADLN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000811464437 657556977 /nfs/dbraw/zinc/55/69/77/657556977.db2.gz YBZCNYOOYLEGLP-OPRDCNLKSA-N 0 2 317.279 0.759 20 0 DCADLN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(F)C(F)(F)F ZINC000811464560 657557546 /nfs/dbraw/zinc/55/75/46/657557546.db2.gz HAFNQFDUCUKTLA-LYFYHCNISA-N 0 2 316.251 0.459 20 0 DCADLN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC000811464560 657557551 /nfs/dbraw/zinc/55/75/51/657557551.db2.gz HAFNQFDUCUKTLA-LYFYHCNISA-N 0 2 316.251 0.459 20 0 DCADLN C[C@H](NC(=O)[C@@H]1C[C@H]1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970563814 657636060 /nfs/dbraw/zinc/63/60/60/657636060.db2.gz YHSPZWXJMZPJSI-KPXOXKRLSA-N 0 2 305.382 0.493 20 0 DCADLN CCN(C(=O)c1ccc(F)cc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949569714 657709311 /nfs/dbraw/zinc/70/93/11/657709311.db2.gz FZFANMHDJPXHSU-UHFFFAOYSA-N 0 2 319.340 0.996 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1nc(Br)cs1 ZINC000779800868 657880254 /nfs/dbraw/zinc/88/02/54/657880254.db2.gz GWECBTHESXEIAP-UHFFFAOYSA-N 0 2 304.129 0.659 20 0 DCADLN CC(C)(C)C(=O)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972650427 658173526 /nfs/dbraw/zinc/17/35/26/658173526.db2.gz NIFBUWLRUWAARU-OAHLLOKOSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1c(F)cccc1S(=O)(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC000926582140 658192272 /nfs/dbraw/zinc/19/22/72/658192272.db2.gz ISUXYKIOHVPTEG-UHFFFAOYSA-N 0 2 316.354 0.525 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccccn1 ZINC000972753226 658383583 /nfs/dbraw/zinc/38/35/83/658383583.db2.gz MEAMPOVOKFMPRF-NSHDSACASA-N 0 2 316.365 0.546 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1CNC(=O)c1cn[nH]n1 ZINC001020699628 658401690 /nfs/dbraw/zinc/40/16/90/658401690.db2.gz ABKKCDMGQHJFAT-NXEZZACHSA-N 0 2 317.353 0.056 20 0 DCADLN C[C@@H]1CN(C(=O)c2cc[nH]n2)CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001020699628 658401691 /nfs/dbraw/zinc/40/16/91/658401691.db2.gz ABKKCDMGQHJFAT-NXEZZACHSA-N 0 2 317.353 0.056 20 0 DCADLN Cc1nc([N+](=O)[O-])cn1CCC(=O)NNC(=O)c1ccccn1 ZINC000065741856 658438266 /nfs/dbraw/zinc/43/82/66/658438266.db2.gz KGOBYIFIUGZRHM-UHFFFAOYSA-N 0 2 318.293 0.346 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000972845942 658477503 /nfs/dbraw/zinc/47/75/03/658477503.db2.gz NHKQTCVMYNPTMI-SECBINFHSA-N 0 2 320.353 0.447 20 0 DCADLN CC[C@H](C)C(=O)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972274298 658507929 /nfs/dbraw/zinc/50/79/29/658507929.db2.gz YHUOVTNTCLFVPR-XHDPSFHLSA-N 0 2 323.397 0.360 20 0 DCADLN COC[C@H](C)[N@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972297491 658534838 /nfs/dbraw/zinc/53/48/38/658534838.db2.gz JYRNOBQZZMDABK-BLLLJJGKSA-N 0 2 322.409 0.670 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccn[nH]1 ZINC001024346957 658579232 /nfs/dbraw/zinc/57/92/32/658579232.db2.gz VQZRWEBGKMPTCX-SECBINFHSA-N 0 2 305.342 0.018 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NCCCO)cc2[nH]c1=S ZINC000128108572 658598726 /nfs/dbraw/zinc/59/87/26/658598726.db2.gz YXIULQIJVQQYIY-UHFFFAOYSA-N 0 2 307.375 0.817 20 0 DCADLN CN(CC(=O)N1CCC[C@H](C(=O)[O-])C1)C(=O)CCn1cc[nH+]c1 ZINC000737976863 658600154 /nfs/dbraw/zinc/60/01/54/658600154.db2.gz KKPLBKJKPOZCCY-LBPRGKRZSA-N 0 2 322.365 0.055 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cc[nH]c1 ZINC001024453939 658630089 /nfs/dbraw/zinc/63/00/89/658630089.db2.gz VONFOPRBJGLGCF-LLVKDONJSA-N 0 2 304.354 0.623 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cc[nH]c1 ZINC001024453939 658630096 /nfs/dbraw/zinc/63/00/96/658630096.db2.gz VONFOPRBJGLGCF-LLVKDONJSA-N 0 2 304.354 0.623 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024585175 658736621 /nfs/dbraw/zinc/73/66/21/658736621.db2.gz AAFGCTWHAQDOPB-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN CN(Cc1nncn1C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971576276 658823023 /nfs/dbraw/zinc/82/30/23/658823023.db2.gz PFMCFOQIUOYMOD-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1nncn1C)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000971576276 658823033 /nfs/dbraw/zinc/82/30/33/658823033.db2.gz PFMCFOQIUOYMOD-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1ncnn1C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575739 658823507 /nfs/dbraw/zinc/82/35/07/658823507.db2.gz IORCOPBUARMEHM-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1ncnn1C)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000971575739 658823514 /nfs/dbraw/zinc/82/35/14/658823514.db2.gz IORCOPBUARMEHM-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN C[C@]1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CCCOC1 ZINC001032704642 665618693 /nfs/dbraw/zinc/61/86/93/665618693.db2.gz OEUJUTNGDJDEPC-PGUXBMHVSA-N 0 2 321.381 0.112 20 0 DCADLN CN(C(=O)NCC(C)(C)[NH+]1CCOCC1)[C@H]1CCC[N@H+](C)C1 ZINC000891512450 658900271 /nfs/dbraw/zinc/90/02/71/658900271.db2.gz PCOXJGTVHRMTSQ-AWEZNQCLSA-N 0 2 312.458 0.833 20 0 DCADLN O=C(CC[NH+]1CCOCC1)N[C@@H](c1nnn[n-]1)c1ccccc1 ZINC000725889943 659024014 /nfs/dbraw/zinc/02/40/14/659024014.db2.gz NGJQEMKMWXHANN-CQSZACIVSA-N 0 2 316.365 0.128 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)[N-]S(=O)(=O)C1(Cl)CC1 ZINC000893235465 659126213 /nfs/dbraw/zinc/12/62/13/659126213.db2.gz VCEUCDDACJHZDN-UHFFFAOYSA-N 0 2 317.798 0.937 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCC(F)(F)C1 ZINC001038325368 659229129 /nfs/dbraw/zinc/22/91/29/659229129.db2.gz YHFVJIWFKYWXRD-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1csc(=O)[nH]1 ZINC001008242838 659274886 /nfs/dbraw/zinc/27/48/86/659274886.db2.gz QLBLHBMUAFNMFV-ZETCQYMHSA-N 0 2 324.366 0.067 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1ncc[nH]1 ZINC000976474783 659354245 /nfs/dbraw/zinc/35/42/45/659354245.db2.gz XIWXMCVLRAWTMH-OSMVPFSASA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)c1ncc[nH]1 ZINC000976474783 659354251 /nfs/dbraw/zinc/35/42/51/659354251.db2.gz XIWXMCVLRAWTMH-OSMVPFSASA-N 0 2 320.246 0.497 20 0 DCADLN Cc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)ccc1F ZINC000949974061 659437045 /nfs/dbraw/zinc/43/70/45/659437045.db2.gz XIQVRFOYWMRCDE-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)CC(C)C)C[C@H]21 ZINC000976923650 659492856 /nfs/dbraw/zinc/49/28/56/659492856.db2.gz VDVRTEMKOZPBRP-ATCWAGBWSA-N 0 2 304.394 0.880 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)c1ccnc(C(=O)[O-])c1 ZINC000308603560 659564251 /nfs/dbraw/zinc/56/42/51/659564251.db2.gz MSMHLKWVEUEMTD-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)c1cc[nH]c1 ZINC000974625077 659600245 /nfs/dbraw/zinc/60/02/45/659600245.db2.gz WRBCGRRTIKRIFD-JTQLQIEISA-N 0 2 304.354 0.479 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)c1cc[nH]c1 ZINC000974625077 659600250 /nfs/dbraw/zinc/60/02/50/659600250.db2.gz WRBCGRRTIKRIFD-JTQLQIEISA-N 0 2 304.354 0.479 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccns1 ZINC000974636613 659604156 /nfs/dbraw/zinc/60/41/56/659604156.db2.gz SVUALUGUGMWLIT-VIFPVBQESA-N 0 2 322.394 0.607 20 0 DCADLN O=C(c1nccs1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982457493 661426663 /nfs/dbraw/zinc/42/66/63/661426663.db2.gz POJKJQKUQZNYBK-UHFFFAOYSA-N 0 2 308.367 0.315 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(=O)[nH]c1 ZINC001028300179 661448244 /nfs/dbraw/zinc/44/82/44/661448244.db2.gz RLPMSTRIOZVBKF-SNVBAGLBSA-N 0 2 318.337 0.005 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(=O)[nH]c1 ZINC001028300179 661448246 /nfs/dbraw/zinc/44/82/46/661448246.db2.gz RLPMSTRIOZVBKF-SNVBAGLBSA-N 0 2 318.337 0.005 20 0 DCADLN Cc1c[nH]cc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028315422 661454980 /nfs/dbraw/zinc/45/49/80/661454980.db2.gz MRYDLDBINUWCFM-JTQLQIEISA-N 0 2 304.354 0.541 20 0 DCADLN Cc1c[nH]cc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028315422 661454981 /nfs/dbraw/zinc/45/49/81/661454981.db2.gz MRYDLDBINUWCFM-JTQLQIEISA-N 0 2 304.354 0.541 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H]2CC[N@@H+](Cc3nncn3C)C2)c1C ZINC001028452466 661528231 /nfs/dbraw/zinc/52/82/31/661528231.db2.gz KMBHXAKHWWBSII-LBPRGKRZSA-N 0 2 317.397 0.407 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000982846659 661697254 /nfs/dbraw/zinc/69/72/54/661697254.db2.gz DCDPAYGPLKINCO-VXNVDRBHSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000982846659 661697256 /nfs/dbraw/zinc/69/72/56/661697256.db2.gz DCDPAYGPLKINCO-VXNVDRBHSA-N 0 2 322.262 0.888 20 0 DCADLN C[N@@H+]1CCCC[C@H]1C(=O)NC[C@@H]1CC[NH+]1CCn1cccn1 ZINC001038413296 661879290 /nfs/dbraw/zinc/87/92/90/661879290.db2.gz YPEDXIRNVBBWEM-GJZGRUSLSA-N 0 2 305.426 0.558 20 0 DCADLN O=C(C1CC=CC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029252222 662067882 /nfs/dbraw/zinc/06/78/82/662067882.db2.gz UOZZNYGYMOKMTQ-TXEJJXNPSA-N 0 2 303.366 0.652 20 0 DCADLN CN(C(=O)c1ncc[nH]1)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000984707977 662283403 /nfs/dbraw/zinc/28/34/03/662283403.db2.gz WHBLFOKYWCJUTE-GFCCVEGCSA-N 0 2 316.365 0.439 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cscn1 ZINC001000517033 665866297 /nfs/dbraw/zinc/86/62/97/665866297.db2.gz PLUIIQWOPWPADX-UHFFFAOYSA-N 0 2 320.378 0.529 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cscn1 ZINC001000517033 665866298 /nfs/dbraw/zinc/86/62/98/665866298.db2.gz PLUIIQWOPWPADX-UHFFFAOYSA-N 0 2 320.378 0.529 20 0 DCADLN O=C(NC[C@@H](O)c1cc2ccccc2s1)c1nc(=O)[nH][nH]1 ZINC000305024901 662467304 /nfs/dbraw/zinc/46/73/04/662467304.db2.gz GOBGLXUXYQMSJH-MRVPVSSYSA-N 0 2 304.331 0.776 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2ncn[nH]2)CC[N@@H+]1Cc1ccnn1C ZINC000947819239 662492269 /nfs/dbraw/zinc/49/22/69/662492269.db2.gz DEGULAMMDPPXJC-GHMZBOCLSA-N 0 2 303.370 0.321 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2ncn[nH]2)CC[N@H+]1Cc1ccnn1C ZINC000947819239 662492270 /nfs/dbraw/zinc/49/22/70/662492270.db2.gz DEGULAMMDPPXJC-GHMZBOCLSA-N 0 2 303.370 0.321 20 0 DCADLN Cc1cc(C[N@H+](C)C[C@@H]2CCN(C(=O)Cc3ncn[nH]3)C2)on1 ZINC001029884807 662541851 /nfs/dbraw/zinc/54/18/51/662541851.db2.gz PJQYRFGSKNDPLN-LBPRGKRZSA-N 0 2 318.381 0.624 20 0 DCADLN Cc1cc(C[N@@H+](C)C[C@@H]2CCN(C(=O)Cc3ncn[nH]3)C2)on1 ZINC001029884807 662541852 /nfs/dbraw/zinc/54/18/52/662541852.db2.gz PJQYRFGSKNDPLN-LBPRGKRZSA-N 0 2 318.381 0.624 20 0 DCADLN O=C([O-])[C@H](CC(F)(F)F)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000909669209 662642737 /nfs/dbraw/zinc/64/27/37/662642737.db2.gz PXOOKHPANCXJKW-YUMQZZPRSA-N 0 2 305.256 0.967 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC000866953252 662662238 /nfs/dbraw/zinc/66/22/38/662662238.db2.gz IUAMBBWJLXSBBM-RRAIRTEYSA-N 0 2 309.457 0.421 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[N@H+](C2CC2)C[C@H]1C ZINC000866953252 662662240 /nfs/dbraw/zinc/66/22/40/662662240.db2.gz IUAMBBWJLXSBBM-RRAIRTEYSA-N 0 2 309.457 0.421 20 0 DCADLN C[C@@H]1C[C@H]([NH2+]Cc2nc(=O)n(C)[nH]2)CN1C(=O)/C=C/C1CC1 ZINC000988465864 662788481 /nfs/dbraw/zinc/78/84/81/662788481.db2.gz YWJKUOPIDJHGTL-SXGMEYSMSA-N 0 2 305.382 0.154 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CCN1C(=O)C(F)C(F)(F)F ZINC000988876417 662869384 /nfs/dbraw/zinc/86/93/84/662869384.db2.gz KMNBZEIMFUKSLV-CHKWXVPMSA-N 0 2 323.250 0.424 20 0 DCADLN C[C@@H]1C[C@@H]([NH2+]CC(N)=O)CN1C(=O)c1cccc2[nH+]ccn21 ZINC000989178074 662888650 /nfs/dbraw/zinc/88/86/50/662888650.db2.gz CVTOIHYJRMCAJN-GHMZBOCLSA-N 0 2 301.350 0.012 20 0 DCADLN O=C(C[C@H]1CCOC1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990575504 663068491 /nfs/dbraw/zinc/06/84/91/663068491.db2.gz CIYLAPXZVOPZCK-XCBNKYQSSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(C[C@H]1CCOC1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990575504 663068492 /nfs/dbraw/zinc/06/84/92/663068492.db2.gz CIYLAPXZVOPZCK-XCBNKYQSSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N(CC(=O)[O-])CC3CC3)[nH+]cn2)C1 ZINC000900969638 663296537 /nfs/dbraw/zinc/29/65/37/663296537.db2.gz ARBNPFJVNAFKBL-AAEUAGOBSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N(CC(=O)[O-])CC3CC3)nc[nH+]2)C1 ZINC000900969638 663296538 /nfs/dbraw/zinc/29/65/38/663296538.db2.gz ARBNPFJVNAFKBL-AAEUAGOBSA-N 0 2 320.393 0.985 20 0 DCADLN O=C([O-])COCCOCCNc1cc(N2CCCC2)nc[nH+]1 ZINC000900988220 663299390 /nfs/dbraw/zinc/29/93/90/663299390.db2.gz SNHKTEGOWKDWGL-UHFFFAOYSA-N 0 2 310.354 0.607 20 0 DCADLN O=C([O-])COCCOCCNc1cc(N2CCCC2)[nH+]cn1 ZINC000900988220 663299391 /nfs/dbraw/zinc/29/93/91/663299391.db2.gz SNHKTEGOWKDWGL-UHFFFAOYSA-N 0 2 310.354 0.607 20 0 DCADLN CONC(=O)CN[C@H](C(=O)OC)c1c(F)cccc1Cl ZINC000901605569 663355104 /nfs/dbraw/zinc/35/51/04/663355104.db2.gz CSMQQWXPNOUNOA-NSHDSACASA-N 0 2 304.705 0.960 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000901666031 663360988 /nfs/dbraw/zinc/36/09/88/663360988.db2.gz LDBRGRHIXZNGJG-NHYWBVRUSA-N 0 2 307.350 0.538 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000901667821 663361302 /nfs/dbraw/zinc/36/13/02/663361302.db2.gz RMQXGRNUVIKXBC-NHYWBVRUSA-N 0 2 307.350 0.538 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000901667822 663361526 /nfs/dbraw/zinc/36/15/26/663361526.db2.gz RMQXGRNUVIKXBC-XHDPSFHLSA-N 0 2 307.350 0.538 20 0 DCADLN CO[C@@H]1CCC[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031935723 665954811 /nfs/dbraw/zinc/95/48/11/665954811.db2.gz BVAQIJNCQGNMIR-NWDGAFQWSA-N 0 2 323.397 0.264 20 0 DCADLN COC[C@@H]([NH2+]Cc1cn(CC(=O)[O-])nn1)c1cccc(OC)c1 ZINC000902369670 663409769 /nfs/dbraw/zinc/40/97/69/663409769.db2.gz OWMHRJCNEYCCFZ-CQSZACIVSA-N 0 2 320.349 0.849 20 0 DCADLN CCc1noc(C(=O)N2CCN(Cc3cc(C)on3)CC2)n1 ZINC000902614591 663422399 /nfs/dbraw/zinc/42/23/99/663422399.db2.gz XLUCPCVGIGQUGD-UHFFFAOYSA-N 0 2 305.338 0.886 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C(=O)[O-])cn1 ZINC000902842040 663436767 /nfs/dbraw/zinc/43/67/67/663436767.db2.gz USMLXCXBLMKPMM-LBPRGKRZSA-N 0 2 307.350 0.620 20 0 DCADLN COc1ccccc1[C@H](O)C(=O)NCCc1n[nH]c(=S)o1 ZINC000907461564 663699082 /nfs/dbraw/zinc/69/90/82/663699082.db2.gz MFFVDUBCAHRQFD-NSHDSACASA-N 0 2 309.347 0.759 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000907760906 663715850 /nfs/dbraw/zinc/71/58/50/663715850.db2.gz XXAIJPMJLYJTES-JSDOGUJCSA-N 0 2 322.452 0.893 20 0 DCADLN C[C@@H]1Cn2nc(C(=O)[O-])cc2CN1C(=O)CCn1cc[nH+]c1 ZINC000908430615 663755122 /nfs/dbraw/zinc/75/51/22/663755122.db2.gz DNFYOUPVXFUOIE-SNVBAGLBSA-N 0 2 303.322 0.599 20 0 DCADLN Cn1cc([C@H](NC(=O)C(F)(F)C(F)F)c2ncon2)cn1 ZINC000908624181 663764012 /nfs/dbraw/zinc/76/40/12/663764012.db2.gz QFEJJHZVDKBDGW-LURJTMIESA-N 0 2 307.207 0.909 20 0 DCADLN CO[C@@H]1CCN(C(=O)NCCCn2cc[nH+]c2)[C@H](C(=O)[O-])C1 ZINC000908738506 663767531 /nfs/dbraw/zinc/76/75/31/663767531.db2.gz AOAAOWOTWAPSRX-NEPJUHHUSA-N 0 2 310.354 0.547 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N[C@@H](CC2CC2)C(=O)[O-])CCO1 ZINC000908912777 663775021 /nfs/dbraw/zinc/77/50/21/663775021.db2.gz BUQORODKCVVEDS-LBPRGKRZSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N[C@@H](CC2CC2)C(=O)[O-])CCO1 ZINC000908912777 663775022 /nfs/dbraw/zinc/77/50/22/663775022.db2.gz BUQORODKCVVEDS-LBPRGKRZSA-N 0 2 313.398 0.650 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)NC2(C(=O)[O-])CCSCC2)c1 ZINC000909024593 663779519 /nfs/dbraw/zinc/77/95/19/663779519.db2.gz GCGLJMOTALZRCO-UHFFFAOYSA-N 0 2 312.395 0.612 20 0 DCADLN CCCN(C(=O)NCCc1cn(C)c[nH+]1)[C@@H](COC)C(=O)[O-] ZINC000909024277 663779536 /nfs/dbraw/zinc/77/95/36/663779536.db2.gz CACVHEGPGPIWDG-LBPRGKRZSA-N 0 2 312.370 0.484 20 0 DCADLN CSC[C@@H](NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)C(=O)[O-] ZINC000909081218 663780400 /nfs/dbraw/zinc/78/04/00/663780400.db2.gz CMSISBGUACZKAK-GHMZBOCLSA-N 0 2 324.406 0.776 20 0 DCADLN CC(C)C[C@@H](C(=O)N1CCC(O)(C(=O)[O-])CC1)n1cc[nH+]c1 ZINC000909282099 663791139 /nfs/dbraw/zinc/79/11/39/663791139.db2.gz FUJJHGKQWJGRKU-LBPRGKRZSA-N 0 2 309.366 0.908 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CCC(n2cc(C(=O)[O-])cn2)CC1 ZINC000909834919 663811546 /nfs/dbraw/zinc/81/15/46/663811546.db2.gz ZZAMAXZAJYKHNZ-UHFFFAOYSA-N 0 2 317.349 0.721 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000910235111 663856980 /nfs/dbraw/zinc/85/69/80/663856980.db2.gz CFEOYAHSAIKYKK-FRRDWIJNSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])c1cnc(CCNC(=O)[C@@H]2CCc3c[nH+]cn3C2)s1 ZINC000910999738 663969397 /nfs/dbraw/zinc/96/93/97/663969397.db2.gz BZCCBKXOLBAOHV-SECBINFHSA-N 0 2 320.374 0.959 20 0 DCADLN Cc1nc(C(=O)NCC(C)(C)[NH+]2CCOCC2)ccc1C(=O)[O-] ZINC000911103348 663983154 /nfs/dbraw/zinc/98/31/54/663983154.db2.gz YPFAOJFLIDMBTD-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C(F)(F)F ZINC000911161984 663995704 /nfs/dbraw/zinc/99/57/04/663995704.db2.gz QBQYTIGPYUHAOR-CBAPKCEASA-N 0 2 305.256 0.967 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)COC3CC3)CC2)[nH+]c1 ZINC000911170679 664000692 /nfs/dbraw/zinc/00/06/92/664000692.db2.gz WXRQPGMKDHYBNA-UHFFFAOYSA-N 0 2 305.334 0.607 20 0 DCADLN CC[C@H](O)[C@@H](C)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911172562 664000987 /nfs/dbraw/zinc/00/09/87/664000987.db2.gz RRDXVJSRAROVJQ-YPMHNXCESA-N 0 2 321.377 0.835 20 0 DCADLN C[C@@H]1OCC[C@H]1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171420 664001162 /nfs/dbraw/zinc/00/11/62/664001162.db2.gz FHILRWRZMPGXDK-WCQYABFASA-N 0 2 319.361 0.853 20 0 DCADLN CC[C@@H](O)[C@@H](C)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911172558 664001264 /nfs/dbraw/zinc/00/12/64/664001264.db2.gz RRDXVJSRAROVJQ-DGCLKSJQSA-N 0 2 321.377 0.835 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911174425 664001566 /nfs/dbraw/zinc/00/15/66/664001566.db2.gz WRTIUYHGUXREBM-OLZOCXBDSA-N 0 2 319.361 0.853 20 0 DCADLN C[C@H](NC(=O)c1csc(C(=O)[O-])n1)[C@H](C)[NH+]1CCOCC1 ZINC000911351726 664034529 /nfs/dbraw/zinc/03/45/29/664034529.db2.gz SQSVYPPQVHAPBD-IUCAKERBSA-N 0 2 313.379 0.680 20 0 DCADLN Cn1c[nH+]cc1CCNC(=O)c1cccc(OCC(=O)[O-])c1 ZINC000911341427 664039114 /nfs/dbraw/zinc/03/91/14/664039114.db2.gz BHHFTZXJZMHEGY-UHFFFAOYSA-N 0 2 303.318 0.856 20 0 DCADLN Cc1nc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)s1 ZINC001030527541 664578021 /nfs/dbraw/zinc/57/80/21/664578021.db2.gz NKOMUOSJOKCTFG-UHFFFAOYSA-N 0 2 322.394 0.127 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCC12CC2 ZINC001014928244 664586476 /nfs/dbraw/zinc/58/64/76/664586476.db2.gz SEKCWMIASUWPPC-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2cnccc2o1 ZINC001030617587 664606296 /nfs/dbraw/zinc/60/62/96/664606296.db2.gz LMSBGCMOKSAASB-UHFFFAOYSA-N 0 2 314.305 0.266 20 0 DCADLN CC1(C)CO[C@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030665056 664624238 /nfs/dbraw/zinc/62/42/38/664624238.db2.gz UUXRXAFQKWRSEI-SNVBAGLBSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1noc(C2CC2)c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030690329 664635481 /nfs/dbraw/zinc/63/54/81/664635481.db2.gz SABLLCFZULOVNJ-UHFFFAOYSA-N 0 2 318.337 0.298 20 0 DCADLN CN(C)C(=O)CN1CC[C@@]2(NC(=O)[C@H]3CCC[N@@H+]3C)CCC[C@@H]12 ZINC000992109302 664663019 /nfs/dbraw/zinc/66/30/19/664663019.db2.gz DRVIUUNRCAINGG-CPUCHLNUSA-N 0 2 322.453 0.282 20 0 DCADLN CCCc1[nH]ccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030818989 664677564 /nfs/dbraw/zinc/67/75/64/664677564.db2.gz NJEQLRRIKWJRHB-UHFFFAOYSA-N 0 2 304.354 0.405 20 0 DCADLN CO[C@H]1CCC[C@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030869690 664691351 /nfs/dbraw/zinc/69/13/51/664691351.db2.gz UOKZNNWAPMTZMA-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccns1 ZINC000992892409 664782015 /nfs/dbraw/zinc/78/20/15/664782015.db2.gz XJFNZWMJFXZGHT-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccns1 ZINC000992892409 664782017 /nfs/dbraw/zinc/78/20/17/664782017.db2.gz XJFNZWMJFXZGHT-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1(c2ccccc2)CC1 ZINC000730520774 664784890 /nfs/dbraw/zinc/78/48/90/664784890.db2.gz NLKBRUSIXHFKQL-UHFFFAOYSA-N 0 2 323.356 0.919 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(C)(F)F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992985037 664788828 /nfs/dbraw/zinc/78/88/28/664788828.db2.gz UTAKARSFCVRGTF-SFYZADRCSA-N 0 2 303.313 0.635 20 0 DCADLN CC(C)(C)SCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730543983 664791397 /nfs/dbraw/zinc/79/13/97/664791397.db2.gz RXMNNGAXUDTYOJ-UHFFFAOYSA-N 0 2 309.395 0.719 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000993103063 664808992 /nfs/dbraw/zinc/80/89/92/664808992.db2.gz LQNHHFVVNZEELR-PSASIEDQSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccnn2C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993107536 664809823 /nfs/dbraw/zinc/80/98/23/664809823.db2.gz OVVNMWZSWWGSPT-UWVGGRQHSA-N 0 2 319.369 0.027 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@H]1C1CCCC1 ZINC001031169387 664823329 /nfs/dbraw/zinc/82/33/29/664823329.db2.gz BXYBDBDLJWBHGT-RYUDHWBXSA-N 0 2 305.382 0.637 20 0 DCADLN CC[C@H]1C[C@@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031218540 664899819 /nfs/dbraw/zinc/89/98/19/664899819.db2.gz YPAMESCFIJDQLN-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC000732265199 664910097 /nfs/dbraw/zinc/91/00/97/664910097.db2.gz ORIHFCOARQFATA-QPJJXVBHSA-N 0 2 312.373 0.720 20 0 DCADLN COCc1ccsc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031255306 664953660 /nfs/dbraw/zinc/95/36/60/664953660.db2.gz QCSNJNXVOMUPGS-UHFFFAOYSA-N 0 2 323.378 0.332 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC2(C1)CCOCC2 ZINC001031256057 664955787 /nfs/dbraw/zinc/95/57/87/664955787.db2.gz GEMMQHKOGGEFAG-UHFFFAOYSA-N 0 2 321.381 0.018 20 0 DCADLN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N=c1cc[nH]c(C)c1 ZINC000732911075 664974216 /nfs/dbraw/zinc/97/42/16/664974216.db2.gz XZIAWFXIZRHPLI-UHFFFAOYSA-N 0 2 324.362 0.821 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)N=c1cc[nH]cc1C ZINC000732910978 664974404 /nfs/dbraw/zinc/97/44/04/664974404.db2.gz MXKIWSGGEBPMAK-UHFFFAOYSA-N 0 2 310.335 0.431 20 0 DCADLN COC1CC(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000994093615 665037478 /nfs/dbraw/zinc/03/74/78/665037478.db2.gz DQLUAHHWHBKJFI-KPIFQKDSSA-N 0 2 312.263 0.639 20 0 DCADLN COC1CC(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC000994093615 665037480 /nfs/dbraw/zinc/03/74/80/665037480.db2.gz DQLUAHHWHBKJFI-KPIFQKDSSA-N 0 2 312.263 0.639 20 0 DCADLN Cc1ccc(C)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031308355 665050886 /nfs/dbraw/zinc/05/08/86/665050886.db2.gz SXIAJCIFZQAJKH-UHFFFAOYSA-N 0 2 301.350 0.741 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C(C)(C)c2c[nH]cn2)CCC[N@@H+]1CC(N)=O ZINC000994227778 665051039 /nfs/dbraw/zinc/05/10/39/665051039.db2.gz ILXBFCQUGOUWCW-QWRGUYRKSA-N 0 2 307.398 0.142 20 0 DCADLN O=C(Cc1ccc(Cl)cc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031334899 665092907 /nfs/dbraw/zinc/09/29/07/665092907.db2.gz RAOPEDOUHZUZRL-UHFFFAOYSA-N 0 2 321.768 0.707 20 0 DCADLN CCn1cc(Cl)cc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031397500 665134237 /nfs/dbraw/zinc/13/42/37/665134237.db2.gz DIQMMYGWZARSQK-UHFFFAOYSA-N 0 2 324.772 0.599 20 0 DCADLN C[C@@H]1CC(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C[C@@H](C)C1 ZINC001031454105 665159237 /nfs/dbraw/zinc/15/92/37/665159237.db2.gz KDQFVCFFRLSIHA-UWVGGRQHSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1cc(C)n(CCCNC(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC000920954829 665285317 /nfs/dbraw/zinc/28/53/17/665285317.db2.gz JADPHDOFJJIEDG-SNVBAGLBSA-N 0 2 309.395 0.563 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001031708764 665318439 /nfs/dbraw/zinc/31/84/39/665318439.db2.gz RIYUCFBXWMHBQG-GHMZBOCLSA-N 0 2 309.370 0.016 20 0 DCADLN CCc1nc[nH]c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000997704473 665321791 /nfs/dbraw/zinc/32/17/91/665321791.db2.gz FHJRFRBJTCKMNC-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN CCc1nc[nH]c1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000997704473 665321793 /nfs/dbraw/zinc/32/17/93/665321793.db2.gz FHJRFRBJTCKMNC-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN CC[C@@H]1CCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031953344 666005664 /nfs/dbraw/zinc/00/56/64/666005664.db2.gz IUPMQEBWPIUZBC-VXGBXAGGSA-N 0 2 307.398 0.885 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)C1=NO[C@@H](c2ccccc2)C1 ZINC000080974019 666159502 /nfs/dbraw/zinc/15/95/02/666159502.db2.gz GPRUEJQIJLQBQQ-GFCCVEGCSA-N 0 2 315.333 0.664 20 0 DCADLN C[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)n1cccn1 ZINC001002370048 666161334 /nfs/dbraw/zinc/16/13/34/666161334.db2.gz HBCHNFIQILCSHN-JTQLQIEISA-N 0 2 319.369 0.049 20 0 DCADLN CCc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)[nH]n1 ZINC001002375346 666163654 /nfs/dbraw/zinc/16/36/54/666163654.db2.gz JFIMXGUOVCKGPG-UHFFFAOYSA-N 0 2 319.369 0.190 20 0 DCADLN Cc1ccncc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002521176 666185417 /nfs/dbraw/zinc/18/54/17/666185417.db2.gz YTWGVJNLPQYWFM-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001002560076 666191593 /nfs/dbraw/zinc/19/15/93/666191593.db2.gz LDJGZZWERGNCOF-PJXYFTJBSA-N 0 2 305.382 0.637 20 0 DCADLN CC(C)[C@]1(C)C[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032085904 666293148 /nfs/dbraw/zinc/29/31/48/666293148.db2.gz FVVNNFOGFHZNIJ-ABAIWWIYSA-N 0 2 307.398 0.741 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnco1 ZINC001003381722 666303544 /nfs/dbraw/zinc/30/35/44/666303544.db2.gz TXFXJZLNPMOQJB-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnco1 ZINC001003381722 666303546 /nfs/dbraw/zinc/30/35/46/666303546.db2.gz TXFXJZLNPMOQJB-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN CN(C(=O)c1cc(Cl)c[nH]1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032908766 666383106 /nfs/dbraw/zinc/38/31/06/666383106.db2.gz BCNHDWJHLQPJMC-VIFPVBQESA-N 0 2 324.772 0.838 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001032928402 666396077 /nfs/dbraw/zinc/39/60/77/666396077.db2.gz LEGNSMAKBDAAHD-MNOVXSKESA-N 0 2 319.369 0.001 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001032928402 666396079 /nfs/dbraw/zinc/39/60/79/666396079.db2.gz LEGNSMAKBDAAHD-MNOVXSKESA-N 0 2 319.369 0.001 20 0 DCADLN CN(C(=O)C1=COCCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032951555 666406147 /nfs/dbraw/zinc/40/61/47/666406147.db2.gz CCRZVZCHRSLREK-NSHDSACASA-N 0 2 307.354 0.237 20 0 DCADLN CN(C(=O)C1=COCCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032951555 666406148 /nfs/dbraw/zinc/40/61/48/666406148.db2.gz CCRZVZCHRSLREK-NSHDSACASA-N 0 2 307.354 0.237 20 0 DCADLN CCc1ocnc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032970486 666413636 /nfs/dbraw/zinc/41/36/36/666413636.db2.gz QIVNBHYTMJFWPL-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN CN(C(=O)C[C@@H]1CCCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032993634 666420124 /nfs/dbraw/zinc/42/01/24/666420124.db2.gz QVZLPJWLMZEAPF-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)C[C@@H]1CCCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032993634 666420125 /nfs/dbraw/zinc/42/01/25/666420125.db2.gz QVZLPJWLMZEAPF-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)c1ccn(C)c1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033006881 666424972 /nfs/dbraw/zinc/42/49/72/666424972.db2.gz XATNCEQGYXVRBL-LLVKDONJSA-N 0 2 304.354 0.195 20 0 DCADLN CN(C(=O)c1ccn(C)c1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033006881 666424973 /nfs/dbraw/zinc/42/49/73/666424973.db2.gz XATNCEQGYXVRBL-LLVKDONJSA-N 0 2 304.354 0.195 20 0 DCADLN O=C(Cc1ccc[nH]1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001004187817 666473135 /nfs/dbraw/zinc/47/31/35/666473135.db2.gz JBVTWIQCYFHDGL-UHFFFAOYSA-N 0 2 304.354 0.162 20 0 DCADLN O=C(C=Cc1ccco1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032254448 666599952 /nfs/dbraw/zinc/59/99/52/666599952.db2.gz HAYHNISLMKWUHX-SEUFLZDSSA-N 0 2 315.333 0.602 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033191609 666611665 /nfs/dbraw/zinc/61/16/65/666611665.db2.gz YEHFIRFJNKUHLA-BDAKNGLRSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033191609 666611667 /nfs/dbraw/zinc/61/16/67/666611667.db2.gz YEHFIRFJNKUHLA-BDAKNGLRSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033191609 666611669 /nfs/dbraw/zinc/61/16/69/666611669.db2.gz YEHFIRFJNKUHLA-BDAKNGLRSA-N 0 2 315.324 0.588 20 0 DCADLN CC[C@@H]1OCCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948702672 666650638 /nfs/dbraw/zinc/65/06/38/666650638.db2.gz CGRMCYMTEZKCBD-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N(C)[C@H]2CC[N@@H+](Cc3cnnn3C)C2)C1 ZINC001033421574 666711628 /nfs/dbraw/zinc/71/16/28/666711628.db2.gz ZWPJMEKDAUSXBS-KGLIPLIRSA-N 0 2 320.441 0.190 20 0 DCADLN Cc1[nH]ccc1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005225060 666766093 /nfs/dbraw/zinc/76/60/93/666766093.db2.gz DUBCZZLEXADGJV-UHFFFAOYSA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)[C@@H]1CCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033742795 666814093 /nfs/dbraw/zinc/81/40/93/666814093.db2.gz PGQDWKQJNIMEBX-MNOVXSKESA-N 0 2 309.370 0.112 20 0 DCADLN CCN(C(=O)[C@@H]1CCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033742795 666814095 /nfs/dbraw/zinc/81/40/95/666814095.db2.gz PGQDWKQJNIMEBX-MNOVXSKESA-N 0 2 309.370 0.112 20 0 DCADLN CCN(C(=O)[C@H]1CC1(C)C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033800375 666830016 /nfs/dbraw/zinc/83/00/16/666830016.db2.gz UXMWDHBBBJNQNO-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@H]1CC1(C)C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033800375 666830019 /nfs/dbraw/zinc/83/00/19/666830019.db2.gz UXMWDHBBBJNQNO-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN COC[C@H](CO)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861465235 666931052 /nfs/dbraw/zinc/93/10/52/666931052.db2.gz UGSQIUKIOWSXOL-ZETCQYMHSA-N 0 2 324.255 0.195 20 0 DCADLN CN(CCC(N)=O)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861686008 666958785 /nfs/dbraw/zinc/95/87/85/666958785.db2.gz SXDXUVYITNEOSV-UHFFFAOYSA-N 0 2 321.255 0.406 20 0 DCADLN O=C(C[C@@H]1CCCCO1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032350124 667006993 /nfs/dbraw/zinc/00/69/93/667006993.db2.gz FOMUPZORBXFKPU-SRVKXCTJSA-N 0 2 321.381 0.255 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CC12CC2 ZINC001034416908 667019788 /nfs/dbraw/zinc/01/97/88/667019788.db2.gz LVBPCDVMOPDDJX-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CC12CC2 ZINC001034416908 667019792 /nfs/dbraw/zinc/01/97/92/667019792.db2.gz LVBPCDVMOPDDJX-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000863755432 667176111 /nfs/dbraw/zinc/17/61/11/667176111.db2.gz PPGPTUMTGDILHJ-ZYHUDNBSSA-N 0 2 313.313 0.823 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]c1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001005747324 667206595 /nfs/dbraw/zinc/20/65/95/667206595.db2.gz UDTLCHBSFPRYII-RCOVLWMOSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]c1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001005747324 667206597 /nfs/dbraw/zinc/20/65/97/667206597.db2.gz UDTLCHBSFPRYII-RCOVLWMOSA-N 0 2 322.262 0.887 20 0 DCADLN CC[C@@H](C)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035656219 667394869 /nfs/dbraw/zinc/39/48/69/667394869.db2.gz XIJRDFSNKSDFQZ-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN CC[C@@H](F)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035767892 667407704 /nfs/dbraw/zinc/40/77/04/667407704.db2.gz WANZFFUFYINMDJ-SNVBAGLBSA-N 0 2 311.361 0.683 20 0 DCADLN C[C@@H]1OCC[C@H]1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006202332 667580574 /nfs/dbraw/zinc/58/05/74/667580574.db2.gz LZRQFNAYPNFRNK-CMPLNLGQSA-N 0 2 323.397 0.358 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@H]1CCCC(C)(C)O1 ZINC000885189146 667650349 /nfs/dbraw/zinc/65/03/49/667650349.db2.gz LEMMOGNNDJIEAV-ZJUUUORDSA-N 0 2 311.375 0.765 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1CCC=CCC1 ZINC000886021627 667725557 /nfs/dbraw/zinc/72/55/57/667725557.db2.gz CJKRZBDKPISJBS-UHFFFAOYSA-N 0 2 301.350 0.934 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2cn3ccccc3n2)S1 ZINC000742504075 667792316 /nfs/dbraw/zinc/79/23/16/667792316.db2.gz FPVLMOFWLPOSEW-JTQLQIEISA-N 0 2 317.374 0.549 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2OCCO3)S1 ZINC000742566063 667793721 /nfs/dbraw/zinc/79/37/21/667793721.db2.gz CGCIRNBHQPHTII-SECBINFHSA-N 0 2 307.331 0.953 20 0 DCADLN CCc1[nH]n(C)c2nnc(NC(=O)CC3SC(=N)NC3=O)c1-2 ZINC000742681953 667796985 /nfs/dbraw/zinc/79/69/85/667796985.db2.gz DAJUMXRYBLYLCS-ZCFIWIBFSA-N 0 2 321.366 0.354 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](CO)Cc2ccccc2)S1 ZINC000742689659 667797449 /nfs/dbraw/zinc/79/74/49/667797449.db2.gz IKVVQIMCPFQNQS-NWDGAFQWSA-N 0 2 321.402 0.510 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCOC2CCOCC2)S1 ZINC000742705253 667797947 /nfs/dbraw/zinc/79/79/47/667797947.db2.gz UPXPSJLWVNHITM-SNVBAGLBSA-N 0 2 315.395 0.245 20 0 DCADLN CS[C@@H]1CC[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000742746377 667798853 /nfs/dbraw/zinc/79/88/53/667798853.db2.gz SGZJHGNVQHCUFN-DTWKUNHWSA-N 0 2 307.379 0.472 20 0 DCADLN CC(C)Cn1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000742845165 667800440 /nfs/dbraw/zinc/80/04/40/667800440.db2.gz PEJBMFWYWBJDDI-UHFFFAOYSA-N 0 2 315.337 0.562 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCN(c3ccccn3)CC2)S1 ZINC000745415667 667847163 /nfs/dbraw/zinc/84/71/63/667847163.db2.gz SNFRCLKUXVWVIN-SNVBAGLBSA-N 0 2 319.390 0.287 20 0 DCADLN COC[C@H](Cc1ccccc1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000746657090 667884940 /nfs/dbraw/zinc/88/49/40/667884940.db2.gz LFXMCOKWRICACD-NWDGAFQWSA-N 0 2 321.402 0.917 20 0 DCADLN CN1CCn2nc(NS(=O)(=O)C[C@@H]3CCCCO3)cc2C1 ZINC000872411777 667894500 /nfs/dbraw/zinc/89/45/00/667894500.db2.gz UCIFJUCYLQTEDR-LBPRGKRZSA-N 0 2 314.411 0.639 20 0 DCADLN NC(=O)c1ccc(C(=O)Nn2cnc3ccccc3c2=O)s1 ZINC000749715404 667961179 /nfs/dbraw/zinc/96/11/79/667961179.db2.gz LYERIRSIVGMWCL-UHFFFAOYSA-N 0 2 314.326 0.941 20 0 DCADLN CSc1ccncc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000750115038 667971309 /nfs/dbraw/zinc/97/13/09/667971309.db2.gz KAKAUCFUZPETOP-UHFFFAOYSA-N 0 2 316.346 0.827 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccnc(O[C@@H]2CCOC2)c1 ZINC000755032818 668054899 /nfs/dbraw/zinc/05/48/99/668054899.db2.gz JCJVQEFCJKMOIM-SECBINFHSA-N 0 2 306.278 0.430 20 0 DCADLN CCc1noc(CC)c1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000755576054 668062393 /nfs/dbraw/zinc/06/23/93/668062393.db2.gz JMZXXWRUOCHOQG-SNVBAGLBSA-N 0 2 310.379 0.972 20 0 DCADLN C[C@H]1CSCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000889917321 668072675 /nfs/dbraw/zinc/07/26/75/668072675.db2.gz BOIADNXYUJXXPG-VIFPVBQESA-N 0 2 307.379 0.425 20 0 DCADLN CN(C(=O)CC1SC(=N)NC1=O)c1ccc2c(c1)OCO2 ZINC000756283889 668085240 /nfs/dbraw/zinc/08/52/40/668085240.db2.gz DVBFUDFFZIDJSI-SNVBAGLBSA-N 0 2 307.331 0.935 20 0 DCADLN CN(C)C(=O)O[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC000874041865 668142028 /nfs/dbraw/zinc/14/20/28/668142028.db2.gz KKLPETWGOQTLCJ-NSHDSACASA-N 0 2 310.354 0.781 20 0 DCADLN CN(C)C(=O)O[C@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC000874041865 668142030 /nfs/dbraw/zinc/14/20/30/668142030.db2.gz KKLPETWGOQTLCJ-NSHDSACASA-N 0 2 310.354 0.781 20 0 DCADLN CC[S@](=O)CCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000758424309 668174036 /nfs/dbraw/zinc/17/40/36/668174036.db2.gz IEAOJQQYTWOZIH-IBGZPJMESA-N 0 2 302.318 0.328 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCOCC2(CCC2)C1 ZINC000759318521 668215498 /nfs/dbraw/zinc/21/54/98/668215498.db2.gz YFLIVTWZDGDZPL-UHFFFAOYSA-N 0 2 317.349 0.100 20 0 DCADLN Cn1c(Cl)ncc1S(=O)(=O)Nc1ccc(C(N)=O)cc1 ZINC000759708018 668233078 /nfs/dbraw/zinc/23/30/78/668233078.db2.gz VEMHSTZHKWINJG-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN C[NH+](C)C[C@H]1NC(=O)N(C[C@H]2c3ccccc3C[N@H+]2C)C1=O ZINC000925051430 668269674 /nfs/dbraw/zinc/26/96/74/668269674.db2.gz KYBVBNPFGTZLMA-KGLIPLIRSA-N 0 2 302.378 0.655 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N(CCO)Cc2ccccc2)S1 ZINC000761281166 668321238 /nfs/dbraw/zinc/32/12/38/668321238.db2.gz JKJGWKLBSDLCNR-NSHDSACASA-N 0 2 307.375 0.564 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccnc1N1CCOCC1 ZINC000762207956 668351121 /nfs/dbraw/zinc/35/11/21/668351121.db2.gz VENLSGLCNKFHGD-UHFFFAOYSA-N 0 2 319.325 0.064 20 0 DCADLN CON(C)C(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000762218294 668352183 /nfs/dbraw/zinc/35/21/83/668352183.db2.gz NAOPQNNGZUUXHA-UHFFFAOYSA-N 0 2 320.309 0.465 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](O)c2ccc(F)cc2)S1 ZINC000762306517 668355407 /nfs/dbraw/zinc/35/54/07/668355407.db2.gz CTHWAQLBNURZRD-ZJUUUORDSA-N 0 2 311.338 0.532 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](O)c2cccc(F)c2)S1 ZINC000762392636 668359458 /nfs/dbraw/zinc/35/94/58/668359458.db2.gz KBRUWMJHMALCCL-NXEZZACHSA-N 0 2 311.338 0.532 20 0 DCADLN COC(=O)CN(C(=O)C[C@@H]1SC(=N)NC1=O)C1CCCC1 ZINC000762544481 668366393 /nfs/dbraw/zinc/36/63/93/668366393.db2.gz CURJNIMFAHOURB-VIFPVBQESA-N 0 2 313.379 0.487 20 0 DCADLN CCC(CC)(CCO)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000762779443 668377209 /nfs/dbraw/zinc/37/72/09/668377209.db2.gz SDEGGJDBXZAFNC-UHFFFAOYSA-N 0 2 321.381 0.376 20 0 DCADLN CSc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)ccn1 ZINC000766750413 668545079 /nfs/dbraw/zinc/54/50/79/668545079.db2.gz GMQJUFQDLNMMLK-UHFFFAOYSA-N 0 2 316.346 0.827 20 0 DCADLN C[C@H](Cc1cccc(O)c1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000769430723 668647821 /nfs/dbraw/zinc/64/78/21/668647821.db2.gz LYKSKXOBBHWLMZ-LDYMZIIASA-N 0 2 307.375 0.996 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cn3ccnc3s2)C1=O ZINC000769831237 668665243 /nfs/dbraw/zinc/66/52/43/668665243.db2.gz GGKRWOCSNPBWAJ-LBPRGKRZSA-N 0 2 307.335 0.761 20 0 DCADLN COc1nc(C(=O)NN2CC(=O)N(C)C2=O)cc2ccccc21 ZINC000770451926 668693241 /nfs/dbraw/zinc/69/32/41/668693241.db2.gz FRJPGYXCNQHTKB-UHFFFAOYSA-N 0 2 314.301 0.782 20 0 DCADLN CN1C(=O)CN(NC(=O)c2ccoc2Br)C1=O ZINC000770681701 668706519 /nfs/dbraw/zinc/70/65/19/668706519.db2.gz IXUWIKAKEVKOMJ-UHFFFAOYSA-N 0 2 302.084 0.581 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCC[C@H]2CCCC[C@@H]2O)S1 ZINC000771746977 668749555 /nfs/dbraw/zinc/74/95/55/668749555.db2.gz HBPYGOGCPJVHEY-VWYCJHECSA-N 0 2 313.423 0.990 20 0 DCADLN CCOCCO[N-]C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000773618221 668809650 /nfs/dbraw/zinc/80/96/50/668809650.db2.gz JGCBGELYYCKNPK-UHFFFAOYSA-N 0 2 310.354 0.479 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(N2CCOCC2)nc1 ZINC000774911397 668850950 /nfs/dbraw/zinc/85/09/50/668850950.db2.gz CPJXUCLRQUIZSH-UHFFFAOYSA-N 0 2 305.294 0.099 20 0 DCADLN Cc1c(C(=O)OCc2n[nH]c(=O)[nH]2)nnn1-c1ccccc1 ZINC000774931105 668851925 /nfs/dbraw/zinc/85/19/25/668851925.db2.gz GZTXVESQKLCCAE-UHFFFAOYSA-N 0 2 300.278 0.756 20 0 DCADLN CN(C)C(=O)COC1CN(C(=O)c2cccc(Cl)c2O)C1 ZINC000775769485 668867425 /nfs/dbraw/zinc/86/74/25/668867425.db2.gz PPJMLINBJFJMIE-UHFFFAOYSA-N 0 2 312.753 0.975 20 0 DCADLN O=C(Cn1c(=O)[nH]c2ccccc21)NCCc1n[nH]c(=S)o1 ZINC000776537464 668877399 /nfs/dbraw/zinc/87/73/99/668877399.db2.gz PCYYHBGVPPJGMU-UHFFFAOYSA-N 0 2 319.346 0.773 20 0 DCADLN CC1(C)C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C(C)(C)O1 ZINC000778132817 668894502 /nfs/dbraw/zinc/89/45/02/668894502.db2.gz NBUVFZBNKJKKTB-SNVBAGLBSA-N 0 2 319.365 0.534 20 0 DCADLN C[C@H]1C[C@@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000778354451 668898513 /nfs/dbraw/zinc/89/85/13/668898513.db2.gz CZJSKNWFOSZSJA-UWVGGRQHSA-N 0 2 306.322 0.430 20 0 DCADLN C[C@](O)(CCNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccc1 ZINC000779347358 668910068 /nfs/dbraw/zinc/91/00/68/668910068.db2.gz PUSCAWHMWWGOEO-NHYWBVRUSA-N 0 2 321.402 0.957 20 0 DCADLN Cc1[nH]nc(C(F)(F)F)c1C(=O)NN1CC(=O)N(C)C1=O ZINC000779540110 668912538 /nfs/dbraw/zinc/91/25/38/668912538.db2.gz AVRVKGDXUGZPTA-UHFFFAOYSA-N 0 2 305.216 0.276 20 0 DCADLN Cc1cc(NC(=O)CC2SC(=N)NC2=O)ccc1OCCO ZINC000782847230 669125338 /nfs/dbraw/zinc/12/53/38/669125338.db2.gz YGVJUGYSXLZKRZ-LLVKDONJSA-N 0 2 323.374 0.861 20 0 DCADLN Cn1ccnc1CN1CCC[C@H](NC(=O)C(F)(F)F)C1=O ZINC000783622771 669165294 /nfs/dbraw/zinc/16/52/94/669165294.db2.gz FHJQADHQBKEQSV-QMMMGPOBSA-N 0 2 304.272 0.590 20 0 DCADLN O=C(c1ccc(Br)[nH]c1=O)N1CCc2[nH]nnc2C1 ZINC000933660857 669515983 /nfs/dbraw/zinc/51/59/83/669515983.db2.gz WNOKJLVVANWGDW-UHFFFAOYSA-N 0 2 324.138 0.866 20 0 DCADLN COc1cnc([C@H]2CCCN2C[C@@H](O)C2(O)CCCC2)[nH]c1=O ZINC000934273432 669590588 /nfs/dbraw/zinc/59/05/88/669590588.db2.gz MXNBHNJTLQQJRS-DGCLKSJQSA-N 0 2 323.393 0.994 20 0 DCADLN Cc1cc(C(=O)NN2CC(=O)N(C)C2=O)oc1Br ZINC000935186614 669690713 /nfs/dbraw/zinc/69/07/13/669690713.db2.gz IUBZIEBFCFCIOK-UHFFFAOYSA-N 0 2 316.111 0.889 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)O[C@H]1C(=O)OCC1(C)C ZINC000793295241 669712512 /nfs/dbraw/zinc/71/25/12/669712512.db2.gz SQNQPNBCTXDMHX-LURJTMIESA-N 0 2 311.256 0.938 20 0 DCADLN CCOC(=O)c1nc(NC(=O)CC2SC(=N)NC2=O)c[nH]1 ZINC000793964435 669760333 /nfs/dbraw/zinc/76/03/33/669760333.db2.gz UGXSILBCPSVXFM-YFKPBYRVSA-N 0 2 311.323 0.081 20 0 DCADLN Cc1cnn([C@@H]2CCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)c1 ZINC000794184703 669804978 /nfs/dbraw/zinc/80/49/78/669804978.db2.gz HKJLXRIXIHWZBS-NXEZZACHSA-N 0 2 307.379 0.521 20 0 DCADLN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)OCc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC000796074825 669919656 /nfs/dbraw/zinc/91/96/56/669919656.db2.gz IYEZNUMDMVZTAM-MBTKJCJQSA-N 0 2 324.337 0.666 20 0 DCADLN Cc1cc(Cn2nnc(C(=O)OCc3n[nH]c(=O)[nH]3)c2C)on1 ZINC000796505862 669933174 /nfs/dbraw/zinc/93/31/74/669933174.db2.gz LRHSVSNZHHPMKT-UHFFFAOYSA-N 0 2 319.281 0.112 20 0 DCADLN Cn1nccc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949050861 669945876 /nfs/dbraw/zinc/94/58/76/669945876.db2.gz HWRNCDKFYZDAEQ-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000949050861 669945883 /nfs/dbraw/zinc/94/58/83/669945883.db2.gz HWRNCDKFYZDAEQ-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN CCN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1cccnc1C ZINC000798217352 669993245 /nfs/dbraw/zinc/99/32/45/669993245.db2.gz NOUZGLWPTFPNDJ-UHFFFAOYSA-N 0 2 312.333 0.828 20 0 DCADLN O=C(c1ocnc1C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950488181 670035924 /nfs/dbraw/zinc/03/59/24/670035924.db2.gz ZNIZUBKZAAFPSU-UHFFFAOYSA-N 0 2 318.337 0.334 20 0 DCADLN CC[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1NC(=O)c1cn[nH]n1 ZINC000948304392 670094617 /nfs/dbraw/zinc/09/46/17/670094617.db2.gz UHJFUFTVCDSBKA-UWVGGRQHSA-N 0 2 317.353 0.199 20 0 DCADLN CC[C@H]1CN(C(=O)c2cc[nH]n2)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000948304392 670094622 /nfs/dbraw/zinc/09/46/22/670094622.db2.gz UHJFUFTVCDSBKA-UWVGGRQHSA-N 0 2 317.353 0.199 20 0 DCADLN Cc1cc(C)c(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)[nH]1 ZINC000948892693 670208812 /nfs/dbraw/zinc/20/88/12/670208812.db2.gz MAVOHDHHEGSCPY-UHFFFAOYSA-N 0 2 304.354 0.413 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2ccc3c[nH]nc3c2)S1 ZINC000803564038 670253939 /nfs/dbraw/zinc/25/39/39/670253939.db2.gz GQPABZWKYJIPDE-NSHDSACASA-N 0 2 317.374 0.778 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951447914 670276189 /nfs/dbraw/zinc/27/61/89/670276189.db2.gz ADTCWSUEAFMVQI-HTRCEHHLSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000951447914 670276191 /nfs/dbraw/zinc/27/61/91/670276191.db2.gz ADTCWSUEAFMVQI-HTRCEHHLSA-N 0 2 323.250 0.344 20 0 DCADLN CCN(C(=O)c1conc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951595556 670315236 /nfs/dbraw/zinc/31/52/36/670315236.db2.gz AGKXWSQTMRCDEK-UHFFFAOYSA-N 0 2 306.326 0.153 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000951696063 670341658 /nfs/dbraw/zinc/34/16/58/670341658.db2.gz JKVMDTPVWRXPEX-NSHDSACASA-N 0 2 319.365 0.626 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1C[C@@H](c2ccccc2)OC1=O ZINC000809706436 670459339 /nfs/dbraw/zinc/45/93/39/670459339.db2.gz YUAJXKSRNNVQKN-UWVGGRQHSA-N 0 2 302.290 0.431 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1C[C@H](c2ccccc2)OC1=O ZINC000809706437 670459387 /nfs/dbraw/zinc/45/93/87/670459387.db2.gz YUAJXKSRNNVQKN-VHSXEESVSA-N 0 2 302.290 0.431 20 0 DCADLN C[C@H]1CCC[C@@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949930734 670465206 /nfs/dbraw/zinc/46/52/06/670465206.db2.gz RMVDHIHIHQRPEJ-NWDGAFQWSA-N 0 2 307.398 0.981 20 0 DCADLN CCN(C(=O)c1[nH]ccc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950001758 670479354 /nfs/dbraw/zinc/47/93/54/670479354.db2.gz LJCYDBIJXFQQMI-UHFFFAOYSA-N 0 2 304.354 0.493 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H](O)c1ccc(Cl)cc1F ZINC000813470545 670629340 /nfs/dbraw/zinc/62/93/40/670629340.db2.gz LTOLLTXRIALFMP-SECBINFHSA-N 0 2 300.677 0.653 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000848309591 670667763 /nfs/dbraw/zinc/66/77/63/670667763.db2.gz PRWCGEYPFUOZEL-YUMQZZPRSA-N 0 2 315.395 0.637 20 0 DCADLN C[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccccn1 ZINC000855177247 670685128 /nfs/dbraw/zinc/68/51/28/670685128.db2.gz KQCPFJGXBCFPJE-SNVBAGLBSA-N 0 2 312.333 0.386 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000814960606 670709888 /nfs/dbraw/zinc/70/98/88/670709888.db2.gz QKLDIORSGWWRHK-YUMQZZPRSA-N 0 2 320.308 0.817 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)[C@H](F)C(F)(F)F ZINC000814960606 670709892 /nfs/dbraw/zinc/70/98/92/670709892.db2.gz QKLDIORSGWWRHK-YUMQZZPRSA-N 0 2 320.308 0.817 20 0 DCADLN COCc1nnc(CNC(=O)c2ccnc3[nH]c(C)nc32)[nH]1 ZINC000855517791 670727378 /nfs/dbraw/zinc/72/73/78/670727378.db2.gz XVDZOQVLZMLAGA-UHFFFAOYSA-N 0 2 301.310 0.461 20 0 DCADLN CN(Cc1cn(C)nn1)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000855851101 670759923 /nfs/dbraw/zinc/75/99/23/670759923.db2.gz GFFIDMRAZSZWQU-UHFFFAOYSA-N 0 2 307.276 0.231 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCCC[C@@H]4CO)nc[nH+]3)C[C@@H]21 ZINC000849348706 670779999 /nfs/dbraw/zinc/77/99/99/670779999.db2.gz LTMVHWDAWYKJHZ-FJJYHAOUSA-N 0 2 318.377 0.595 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCCC[C@@H]4CO)[nH+]cn3)C[C@@H]21 ZINC000849348706 670780002 /nfs/dbraw/zinc/78/00/02/670780002.db2.gz LTMVHWDAWYKJHZ-FJJYHAOUSA-N 0 2 318.377 0.595 20 0 DCADLN COc1ccc([C@@]2(C(=O)OCc3n[nH]c(=O)[nH]3)C[C@@H](O)C2)cc1 ZINC000850166935 670889606 /nfs/dbraw/zinc/88/96/06/670889606.db2.gz QBTVPXXOBLQVRI-LGZQZNNBSA-N 0 2 319.317 0.655 20 0 DCADLN CSC[C@@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000817059697 670891461 /nfs/dbraw/zinc/89/14/61/670891461.db2.gz CMJZSUITNSIBOU-SNVBAGLBSA-N 0 2 321.406 0.673 20 0 DCADLN CN(C[C@@H](O)Cc1ccccc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000817220453 670906070 /nfs/dbraw/zinc/90/60/70/670906070.db2.gz IESGOECUEMFWBE-NWDGAFQWSA-N 0 2 321.402 0.605 20 0 DCADLN CN(C)C(=O)CNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858169526 670976113 /nfs/dbraw/zinc/97/61/13/670976113.db2.gz XTYGCHDSIAPIOD-UHFFFAOYSA-N 0 2 321.255 0.276 20 0 DCADLN COC(=O)[C@H](C)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858294192 670989851 /nfs/dbraw/zinc/98/98/51/670989851.db2.gz MDIFCYVLHJKISF-LURJTMIESA-N 0 2 322.239 0.749 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](CC(N)=O)c2ccccc2)S1 ZINC000818566003 671030879 /nfs/dbraw/zinc/03/08/79/671030879.db2.gz KIPDZXNQOVRLFX-VHSXEESVSA-N 0 2 320.374 0.276 20 0 DCADLN O=C(NN1CCCC1)c1cccc(C(=O)NN2CCCC2)c1O ZINC000819650937 671131731 /nfs/dbraw/zinc/13/17/31/671131731.db2.gz IBTUCWVKULVOCX-UHFFFAOYSA-N 0 2 318.377 0.873 20 0 DCADLN C[C@@H](O)CN(C)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000859822132 671148621 /nfs/dbraw/zinc/14/86/21/671148621.db2.gz ISLHXGQVJUKWIH-SSDOTTSWSA-N 0 2 308.256 0.911 20 0 DCADLN Cc1onc(CC(=O)NO[C@@H]2CCCCO2)c1-c1nn[nH]n1 ZINC000826348657 671486306 /nfs/dbraw/zinc/48/63/06/671486306.db2.gz XMTIPIDSGQXQKU-SNVBAGLBSA-N 0 2 308.298 0.280 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@@](C)(NC(=O)C(F)(F)F)C1 ZINC000830823002 671642530 /nfs/dbraw/zinc/64/25/30/671642530.db2.gz SFCXAVHAPDLRFB-SNVBAGLBSA-N 0 2 306.244 0.661 20 0 DCADLN C[C@]1(C(=O)NOCCO)CC1(Br)Br ZINC000832411694 671718384 /nfs/dbraw/zinc/71/83/84/671718384.db2.gz ISEJZBIWJANONQ-ZCFIWIBFSA-N 0 2 316.977 0.923 20 0 DCADLN CN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@H](CO)c1ccccc1 ZINC000836798518 671832434 /nfs/dbraw/zinc/83/24/34/671832434.db2.gz APXSHRZXGNIPFN-MNOVXSKESA-N 0 2 307.375 0.735 20 0 DCADLN CC(C)[C@H](CO)ONC(=O)[C@H]1CN(C)C(=O)c2ccccc21 ZINC000836901286 671838765 /nfs/dbraw/zinc/83/87/65/671838765.db2.gz WZYMWRCLTQPUHL-KBPBESRZSA-N 0 2 306.362 0.921 20 0 DCADLN CC[C@H](NS(=O)(=O)N=S1(=O)CCCC1)[C@H](O)C(F)F ZINC000867331481 672116853 /nfs/dbraw/zinc/11/68/53/672116853.db2.gz TWEZSPOUUBTYRB-YUMQZZPRSA-N 0 2 320.383 0.487 20 0 DCADLN COc1ncc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000843877769 672127013 /nfs/dbraw/zinc/12/70/13/672127013.db2.gz IFVOMMYNHWJZGY-QMMMGPOBSA-N 0 2 304.310 0.329 20 0 DCADLN C[C@@H]1CC2(CN1C(=O)C[C@H]1SC(=N)NC1=O)CCOCC2 ZINC000844093946 672147584 /nfs/dbraw/zinc/14/75/84/672147584.db2.gz LUBSMOFLTKBNLP-NXEZZACHSA-N 0 2 311.407 0.960 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@@](C)(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000844218205 672164470 /nfs/dbraw/zinc/16/44/70/672164470.db2.gz ITMXTABIYJNLFY-HWWQOWPSSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@@](C)(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000844218205 672164473 /nfs/dbraw/zinc/16/44/73/672164473.db2.gz ITMXTABIYJNLFY-HWWQOWPSSA-N 0 2 320.393 0.985 20 0 DCADLN COC[C@H](C)S(=O)(=O)[N-]C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845467391 672250827 /nfs/dbraw/zinc/25/08/27/672250827.db2.gz BMOHIGHNECPDNV-JTQLQIEISA-N 0 2 315.395 0.243 20 0 DCADLN Cc1cnoc1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024739812 693825121 /nfs/dbraw/zinc/82/51/21/693825121.db2.gz FHCPAKGFANULII-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN O=C(NNC(=O)c1ccc(Cl)nc1)c1cn2cccnc2n1 ZINC000119546710 685117296 /nfs/dbraw/zinc/11/72/96/685117296.db2.gz BIROKOYNAHGUJK-UHFFFAOYSA-N 0 2 316.708 0.853 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cccc(F)c1 ZINC001038018201 693987080 /nfs/dbraw/zinc/98/70/80/693987080.db2.gz JRNFFDSEBVVDSM-NSHDSACASA-N 0 2 305.313 0.654 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC000956048738 686602141 /nfs/dbraw/zinc/60/21/41/686602141.db2.gz RZTSLFWXUGTBKR-POYBYMJQSA-N 0 2 323.250 0.426 20 0 DCADLN O=C([C@H]1C[C@@H]1C1CCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956970797 686924648 /nfs/dbraw/zinc/92/46/48/686924648.db2.gz NCYMWRXRLHNHEI-OLZOCXBDSA-N 0 2 319.409 0.981 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@]1(C)CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957067163 686951090 /nfs/dbraw/zinc/95/10/90/686951090.db2.gz JPSXRBRWOXITJF-NHYWBVRUSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)n1cccc1 ZINC000957384181 687046945 /nfs/dbraw/zinc/04/69/45/687046945.db2.gz DZUFMKPXJLTPTE-LBPRGKRZSA-N 0 2 318.381 0.607 20 0 DCADLN C[C@H](C[C@H]1CCCO1)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957846336 687218713 /nfs/dbraw/zinc/21/87/13/687218713.db2.gz WDWMTBKQJUAEEH-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@]12CCC[C@H]1OCC2 ZINC001038504881 694101119 /nfs/dbraw/zinc/10/11/19/694101119.db2.gz DLVYBOAQXKYRKV-HFAKWTLXSA-N 0 2 321.381 0.160 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1cccc(F)c1 ZINC000958125340 687364629 /nfs/dbraw/zinc/36/46/29/687364629.db2.gz UQLXKKFCMWZOBK-PJXYFTJBSA-N 0 2 317.324 0.510 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1cccc(F)c1 ZINC000958125340 687364633 /nfs/dbraw/zinc/36/46/33/687364633.db2.gz UQLXKKFCMWZOBK-PJXYFTJBSA-N 0 2 317.324 0.510 20 0 DCADLN Cc1nc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cs1 ZINC001038634322 694140905 /nfs/dbraw/zinc/14/09/05/694140905.db2.gz GRHZHMCLWFDUHS-MRVPVSSYSA-N 0 2 308.367 0.280 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc2c([nH]1)CCC2 ZINC001038905788 694236363 /nfs/dbraw/zinc/23/63/63/694236363.db2.gz LVLBBMSDJUOMFK-JTQLQIEISA-N 0 2 316.365 0.331 20 0 DCADLN CC(C)n1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001038914970 694238883 /nfs/dbraw/zinc/23/88/83/694238883.db2.gz SYCGHJJCVUXRLE-LLVKDONJSA-N 0 2 319.369 0.292 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccc[nH]1 ZINC000965095324 689355766 /nfs/dbraw/zinc/35/57/66/689355766.db2.gz AQIHQFUMBOAGDC-MWLCHTKSSA-N 0 2 304.354 0.479 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cncs1 ZINC000965415501 689437784 /nfs/dbraw/zinc/43/77/84/689437784.db2.gz BLNQAFKJAVEWIA-DTWKUNHWSA-N 0 2 322.394 0.607 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000965450477 689445560 /nfs/dbraw/zinc/44/55/60/689445560.db2.gz PVDDGINDZBHDKI-WCBMZHEXSA-N 0 2 320.353 0.447 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cscn1 ZINC000965503786 689459822 /nfs/dbraw/zinc/45/98/22/689459822.db2.gz VTSGSRRXNYFYAR-BDAKNGLRSA-N 0 2 322.394 0.607 20 0 DCADLN O=C(N[C@H]1COC2(CN(C(=O)C(F)C(F)(F)F)C2)C1)C1CC1 ZINC000965585545 689490400 /nfs/dbraw/zinc/49/04/00/689490400.db2.gz DDOFEDLWAXNUHO-BDAKNGLRSA-N 0 2 324.274 0.783 20 0 DCADLN O=C(N[C@H]1COC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1)C1CC1 ZINC000965585545 689490404 /nfs/dbraw/zinc/49/04/04/689490404.db2.gz DDOFEDLWAXNUHO-BDAKNGLRSA-N 0 2 324.274 0.783 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccsn1 ZINC000966899182 689898670 /nfs/dbraw/zinc/89/86/70/689898670.db2.gz CLZDQDDBTNHRNS-PSASIEDQSA-N 0 2 322.394 0.607 20 0 DCADLN CC1(C)CN(C(=O)c2ccn[nH]2)CC[C@@H]1NC(=O)c1cn[nH]n1 ZINC000967070942 689924527 /nfs/dbraw/zinc/92/45/27/689924527.db2.gz SRNDXGYOYVEZNF-NSHDSACASA-N 0 2 317.353 0.199 20 0 DCADLN CC1(C)CN(C(=O)c2cc[nH]n2)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000967070942 689924531 /nfs/dbraw/zinc/92/45/31/689924531.db2.gz SRNDXGYOYVEZNF-NSHDSACASA-N 0 2 317.353 0.199 20 0 DCADLN Cc1cnc(C[N@H+]2CC[C@@H](C)[C@@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC000967152759 689938431 /nfs/dbraw/zinc/93/84/31/689938431.db2.gz DTZCRIXLPIJVEY-SKDRFNHKSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1cnc(C[N@@H+]2CC[C@@H](C)[C@@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC000967152759 689938432 /nfs/dbraw/zinc/93/84/32/689938432.db2.gz DTZCRIXLPIJVEY-SKDRFNHKSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1conc1C[NH2+][C@@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000968419258 690259759 /nfs/dbraw/zinc/25/97/59/690259759.db2.gz HMKFTRAZOSLCMV-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN O=C(c1ccco1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039500992 694374475 /nfs/dbraw/zinc/37/44/75/694374475.db2.gz CAFZGYMNHQSZOI-PHIMTYICSA-N 0 2 317.349 0.982 20 0 DCADLN O=C([C@H]1CCCO1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039508013 694375183 /nfs/dbraw/zinc/37/51/83/694375183.db2.gz IRSPXIBVCRLRNY-GRYCIOLGSA-N 0 2 321.381 0.255 20 0 DCADLN Cc1nnsc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006696501 690574105 /nfs/dbraw/zinc/57/41/05/690574105.db2.gz BVDZRAHNPFMPFK-QMMMGPOBSA-N 0 2 323.382 0.065 20 0 DCADLN Cc1ncsc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006837360 690594390 /nfs/dbraw/zinc/59/43/90/690594390.db2.gz XZEIZBMUTNMGLJ-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007054375 690623543 /nfs/dbraw/zinc/62/35/43/690623543.db2.gz WJMKCWMAOQGNOK-SNVBAGLBSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1cocc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008211565 690821641 /nfs/dbraw/zinc/82/16/41/690821641.db2.gz VYHKESGQGZQRLF-SNVBAGLBSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(N[C@@H]1CCC[N@H+](CCc2ccns2)C1)c1nnc[nH]1 ZINC001008917975 690951897 /nfs/dbraw/zinc/95/18/97/690951897.db2.gz FIVRXNQESQJNEU-SNVBAGLBSA-N 0 2 306.395 0.698 20 0 DCADLN O=C(N[C@@H]1CCC[N@@H+](CCc2ccns2)C1)c1nnc[nH]1 ZINC001008917975 690951900 /nfs/dbraw/zinc/95/19/00/690951900.db2.gz FIVRXNQESQJNEU-SNVBAGLBSA-N 0 2 306.395 0.698 20 0 DCADLN O=C(N[C@@H]1CCC[N@H+](CCc2ccns2)C1)c1ncn[nH]1 ZINC001008917975 690951904 /nfs/dbraw/zinc/95/19/04/690951904.db2.gz FIVRXNQESQJNEU-SNVBAGLBSA-N 0 2 306.395 0.698 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1nccs1 ZINC001008956307 690962306 /nfs/dbraw/zinc/96/23/06/690962306.db2.gz AXKHXRSMOSOYJS-MRVPVSSYSA-N 0 2 308.367 0.361 20 0 DCADLN CC[C@@H](F)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039830592 694439638 /nfs/dbraw/zinc/43/96/38/694439638.db2.gz ULGKXJKFGUTOCZ-OUAUKWLOSA-N 0 2 311.361 0.824 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001010563790 691313678 /nfs/dbraw/zinc/31/36/78/691313678.db2.gz BGMXLUOBIMAZSN-WDEREUQCSA-N 0 2 304.354 0.264 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001010563787 691313812 /nfs/dbraw/zinc/31/38/12/691313812.db2.gz BGMXLUOBIMAZSN-MNOVXSKESA-N 0 2 304.354 0.264 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3cncn3C)C2)c1[O-] ZINC001010622497 691329010 /nfs/dbraw/zinc/32/90/10/691329010.db2.gz ZKQPCZRDMUGZJO-SNVBAGLBSA-N 0 2 304.354 0.162 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3cncn3C)C2)c1[O-] ZINC001010622497 691329014 /nfs/dbraw/zinc/32/90/14/691329014.db2.gz ZKQPCZRDMUGZJO-SNVBAGLBSA-N 0 2 304.354 0.162 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)nc3)C2)c1[O-] ZINC001010635628 691331963 /nfs/dbraw/zinc/33/19/63/691331963.db2.gz FESSYHOXCOQBDJ-LBPRGKRZSA-N 0 2 316.365 0.526 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)c1[O-] ZINC001010635628 691331967 /nfs/dbraw/zinc/33/19/67/691331967.db2.gz FESSYHOXCOQBDJ-LBPRGKRZSA-N 0 2 316.365 0.526 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3ccns3)C2)c1[O-] ZINC001010635794 691332235 /nfs/dbraw/zinc/33/22/35/691332235.db2.gz FOKGJDSOKPDUKW-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccns3)C2)c1[O-] ZINC001010635794 691332238 /nfs/dbraw/zinc/33/22/38/691332238.db2.gz FOKGJDSOKPDUKW-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2occc2[nH]1 ZINC001014609166 692053602 /nfs/dbraw/zinc/05/36/02/692053602.db2.gz ASFGMCLMFGEGDV-QMMMGPOBSA-N 0 2 316.321 0.589 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2occc2[nH]1 ZINC001014609161 692053757 /nfs/dbraw/zinc/05/37/57/692053757.db2.gz ASFGMCLMFGEGDV-MRVPVSSYSA-N 0 2 316.321 0.589 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)s1 ZINC001014891562 692124167 /nfs/dbraw/zinc/12/41/67/692124167.db2.gz VTCCVHFGUNXKNR-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN Cc1cc(C)nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015040764 692165547 /nfs/dbraw/zinc/16/55/47/692165547.db2.gz ADRAAZFPHFURQI-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001015325080 692264885 /nfs/dbraw/zinc/26/48/85/692264885.db2.gz KOXNIDLJMRQAGV-MRBYEJRBSA-N 0 2 321.381 0.016 20 0 DCADLN CCn1nc(C)cc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015744600 692425064 /nfs/dbraw/zinc/42/50/64/692425064.db2.gz IQNJDEGKSZITPT-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001016014904 692549377 /nfs/dbraw/zinc/54/93/77/692549377.db2.gz CDWMSRVIALKMAN-JQWIXIFHSA-N 0 2 316.365 0.400 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001016207714 692600069 /nfs/dbraw/zinc/60/00/69/692600069.db2.gz ONCQRQZBLCFMQE-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)[C@@H]1CC12CC2 ZINC001073791371 694782125 /nfs/dbraw/zinc/78/21/25/694782125.db2.gz HIZWKPIICIWBEY-QWRGUYRKSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(c1ccccn1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075487777 694953650 /nfs/dbraw/zinc/95/36/50/694953650.db2.gz KBFPLNAESSCHBC-CMPLNLGQSA-N 0 2 314.349 0.252 20 0 DCADLN O=C(c1ccccn1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075487777 694953651 /nfs/dbraw/zinc/95/36/51/694953651.db2.gz KBFPLNAESSCHBC-CMPLNLGQSA-N 0 2 314.349 0.252 20 0 DCADLN O=C(CCC(F)F)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571691430 1162907858 /nfs/dbraw/zinc/90/78/58/1162907858.db2.gz LHSVBLKNLPBGQH-UHFFFAOYSA-N 0 2 315.324 0.804 20 0 DCADLN O=C(CCC(F)F)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571691430 1162907864 /nfs/dbraw/zinc/90/78/64/1162907864.db2.gz LHSVBLKNLPBGQH-UHFFFAOYSA-N 0 2 315.324 0.804 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001752817163 1158256122 /nfs/dbraw/zinc/25/61/22/1158256122.db2.gz VHHHRGIWHYSCSJ-SNVBAGLBSA-N 0 2 323.397 0.596 20 0 DCADLN O=C(NCCCCCCO)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001446812642 1159552238 /nfs/dbraw/zinc/55/22/38/1159552238.db2.gz PYJPIUKTSPQRFC-UHFFFAOYSA-N 0 2 320.349 0.549 20 0 DCADLN CCCCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1n[nH]c(C)n1)CO2 ZINC001570240930 1161515867 /nfs/dbraw/zinc/51/58/67/1161515867.db2.gz CWZFCPWCHQQNOO-CYBMUJFWSA-N 0 2 321.425 0.805 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)c1nc(C(=O)[O-])n[nH]1 ZINC001573559808 1163496911 /nfs/dbraw/zinc/49/69/11/1163496911.db2.gz JXPHKBYHQNYLNI-HTQZYQBOSA-N 0 2 304.310 0.139 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)c1ccc(-c2nn[nH]n2)o1 ZINC001574204503 1163661692 /nfs/dbraw/zinc/66/16/92/1163661692.db2.gz INUUREUWKJXJER-UHFFFAOYSA-N 0 2 317.313 0.271 20 0 DCADLN Nc1ccnc(C(=O)NC2CN(c3ccc(C(=O)[O-])c[nH+]3)C2)c1 ZINC001574292154 1163685468 /nfs/dbraw/zinc/68/54/68/1163685468.db2.gz VMXZUMDAOGIQBO-UHFFFAOYSA-N 0 2 313.317 0.376 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@H+](CC(=O)NC2(c3nnc[nH]3)CCC2)C1 ZINC001574299450 1163689820 /nfs/dbraw/zinc/68/98/20/1163689820.db2.gz JEASKISKUAGXLH-SNVBAGLBSA-N 0 2 307.354 0.097 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)NC2(c3nnc[nH]3)CCC2)C1 ZINC001574299450 1163689824 /nfs/dbraw/zinc/68/98/24/1163689824.db2.gz JEASKISKUAGXLH-SNVBAGLBSA-N 0 2 307.354 0.097 20 0 DCADLN C[C@H]([NH2+]Cc1c[nH]nn1)[C@@H]1CCN(C(=O)c2ncccn2)C1 ZINC001575703899 1164113280 /nfs/dbraw/zinc/11/32/80/1164113280.db2.gz UUZVKCPCGYEWCI-WDEREUQCSA-N 0 2 301.354 0.235 20 0 DCADLN CCCC[N@@H+]1CCOC[C@H]1CNC(=O)CCCc1cn[nH]n1 ZINC001576468426 1164354247 /nfs/dbraw/zinc/35/42/47/1164354247.db2.gz VLDQNDJQUCTJHP-CQSZACIVSA-N 0 2 309.414 0.745 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N[C@@H]2CNOC2)=N1 ZINC001579613546 1165358615 /nfs/dbraw/zinc/35/86/15/1165358615.db2.gz AHKXOLXQMVYANL-SNVBAGLBSA-N 0 2 306.297 0.659 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)[C@@H]1CCC[C@H]1O ZINC001580596005 1165648260 /nfs/dbraw/zinc/64/82/60/1165648260.db2.gz GGCMKBGNZDUSGC-NXEZZACHSA-N 0 2 318.337 0.261 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCc2ncccc21 ZINC001580601341 1165650972 /nfs/dbraw/zinc/65/09/72/1165650972.db2.gz WQNBJARSZOVSBL-UHFFFAOYSA-N 0 2 323.316 0.874 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CS[C@H](C)C1 ZINC001580605400 1165654060 /nfs/dbraw/zinc/65/40/60/1165654060.db2.gz ZDEPXDYRTTUOQK-SFYZADRCSA-N 0 2 320.378 0.900 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)Nc1ccn(C)n1 ZINC001580608529 1165656044 /nfs/dbraw/zinc/65/60/44/1165656044.db2.gz DPIKHPVHVHZMRK-UHFFFAOYSA-N 0 2 300.282 0.262 20 0 DCADLN Cc1cc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)nc(C)n1 ZINC001580931139 1165758556 /nfs/dbraw/zinc/75/85/56/1165758556.db2.gz UTERSZJQAOEYTN-UHFFFAOYSA-N 0 2 323.360 0.972 20 0 DCADLN O=C(Nn1ccccc1=O)[C@@H]1C[C@H]1c1cccc(-c2nn[nH]n2)c1 ZINC001582655064 1166063114 /nfs/dbraw/zinc/06/31/14/1166063114.db2.gz TVCBRXDOOSPZCM-QWHCGFSZSA-N 0 2 322.328 0.902 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1cnc2ccccc2n1 ZINC001582806348 1166086033 /nfs/dbraw/zinc/08/60/33/1166086033.db2.gz OIPRBPADPPXIIF-UHFFFAOYSA-N 0 2 306.289 0.415 20 0 DCADLN CC(C)(CC(=O)Cn1cncc(-c2nn[nH]n2)c1=O)C1CC1 ZINC001589267000 1166642623 /nfs/dbraw/zinc/64/26/23/1166642623.db2.gz FPNMWSNWPXNYPE-UHFFFAOYSA-N 0 2 302.338 0.819 20 0 DCADLN CC(C)c1nnc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)s1 ZINC001589778272 1166686205 /nfs/dbraw/zinc/68/62/05/1166686205.db2.gz BOZUOCCNCRMXOT-UHFFFAOYSA-N 0 2 320.338 0.152 20 0 DCADLN CC1(C)C(C(=O)Cn2cnc(-c3nn[nH]n3)cc2=O)C1(C)C ZINC001590073613 1166766092 /nfs/dbraw/zinc/76/60/92/1166766092.db2.gz QSRNYGUQTCTFQH-UHFFFAOYSA-N 0 2 302.338 0.675 20 0 DCADLN C[C@@H]1CC[C@H](C(N)=O)CN1Cc1nc(-c2nn[nH]n2)cs1 ZINC001590225993 1166822406 /nfs/dbraw/zinc/82/24/06/1166822406.db2.gz ISXWXIGCDRPWII-SFYZADRCSA-N 0 2 307.383 0.409 20 0 DCADLN CCC(C)(C)[C@@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590373335 1166892893 /nfs/dbraw/zinc/89/28/93/1166892893.db2.gz NOZYUDLVESLCLU-MRVPVSSYSA-N 0 2 321.385 0.501 20 0 DCADLN CC[C@H](C)[C@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590399001 1166896355 /nfs/dbraw/zinc/89/63/55/1166896355.db2.gz GYQGAVOGVSTCEF-YUMQZZPRSA-N 0 2 307.358 0.111 20 0 DCADLN CC[C@@H](C)c1nnc([C@@H](C)Nc2ccnc(-c3nn[nH]n3)n2)[nH]1 ZINC001590416911 1166903594 /nfs/dbraw/zinc/90/35/94/1166903594.db2.gz GERKVAHBXKQOFX-HTQZYQBOSA-N 0 2 314.357 0.883 20 0 DCADLN CC[C@@H](C)c1nc([C@@H](C)Nc2ccnc(-c3nn[nH]n3)n2)n[nH]1 ZINC001590416911 1166903600 /nfs/dbraw/zinc/90/36/00/1166903600.db2.gz GERKVAHBXKQOFX-HTQZYQBOSA-N 0 2 314.357 0.883 20 0 DCADLN CCc1nnc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)o1 ZINC001590930863 1167053026 /nfs/dbraw/zinc/05/30/26/1167053026.db2.gz OOGWTQHDWUDQNN-UHFFFAOYSA-N 0 2 313.321 0.511 20 0 DCADLN CCc1nn(C[C@@H]2CCC(=O)O2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929430 1167055107 /nfs/dbraw/zinc/05/51/07/1167055107.db2.gz PYPBHFBOUVJGLP-QMMMGPOBSA-N 0 2 318.337 0.254 20 0 DCADLN CO[C@@H]1CCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001591468989 1167301523 /nfs/dbraw/zinc/30/15/23/1167301523.db2.gz AVDBLHSOWROGPM-MRVPVSSYSA-N 0 2 304.310 0.137 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCC[C@@H](C)C2)c(=O)n1C ZINC001591793893 1167381672 /nfs/dbraw/zinc/38/16/72/1167381672.db2.gz NJMNSFNCHWDTCB-MWLCHTKSSA-N 0 2 318.381 0.862 20 0 DCADLN Cc1ccn(CCOC[C@@H]2CCCO2)c(=O)c1-c1nn[nH]n1 ZINC001592266863 1167480716 /nfs/dbraw/zinc/48/07/16/1167480716.db2.gz ZRXYDLRMJITTPR-NSHDSACASA-N 0 2 305.338 0.532 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)c2cccc(F)c2-c2nn[nH]n2)n[nH]1 ZINC001592440425 1167522844 /nfs/dbraw/zinc/52/28/44/1167522844.db2.gz ARHXINXAYILWDP-ZCFIWIBFSA-N 0 2 316.300 0.923 20 0 DCADLN Cc1nn(Cc2nc3ccccc3[nH]2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437305 1167523365 /nfs/dbraw/zinc/52/33/65/1167523365.db2.gz BXFQKPCJPONAOR-UHFFFAOYSA-N 0 2 322.332 0.965 20 0 DCADLN Cn1c(=O)[nH]c(N2CCC[C@H]3CCC[C@@H]32)c(-c2nn[nH]n2)c1=O ZINC001592542912 1167550972 /nfs/dbraw/zinc/55/09/72/1167550972.db2.gz CNVFWNBOFSQWKF-BDAKNGLRSA-N 0 2 317.353 0.023 20 0 DCADLN Cn1c(=O)[nH]c(N[C@H]2CCC[C@@H](F)C2)c(-c2nn[nH]n2)c1=O ZINC001592545635 1167551085 /nfs/dbraw/zinc/55/10/85/1167551085.db2.gz HLSNXTJIMMTOPV-RQJHMYQMSA-N 0 2 309.305 0.359 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(-c2nn[nH]n2)c(F)c1 ZINC001592606632 1167581781 /nfs/dbraw/zinc/58/17/81/1167581781.db2.gz HDORIVKEOXVCPR-UHFFFAOYSA-N 0 2 323.313 0.540 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(-c3nn[nH]n3)c2)S1 ZINC001592741426 1167624485 /nfs/dbraw/zinc/62/44/85/1167624485.db2.gz RRVUEOKWOQWOIW-MRVPVSSYSA-N 0 2 317.334 0.362 20 0 DCADLN O=C(NCC[NH+]1CC=CC1)c1ccc(-c2nn[nH]n2)c([O-])c1 ZINC001593084755 1167701535 /nfs/dbraw/zinc/70/15/35/1167701535.db2.gz UJOMQKCEMPHCLF-UHFFFAOYSA-N 0 2 300.322 0.174 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cccc(Cl)c1F ZINC001593489153 1167870658 /nfs/dbraw/zinc/87/06/58/1167870658.db2.gz BOBQEDJARVTFIE-UHFFFAOYSA-N 0 2 322.687 0.970 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CCC1(O)CCC1)CCC2 ZINC001593492503 1167876412 /nfs/dbraw/zinc/87/64/12/1167876412.db2.gz RUBBTAUTPGNQLS-UHFFFAOYSA-N 0 2 301.350 0.822 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(c3ccc(-c4nn[nH]n4)cn3)C2)[nH]1 ZINC001593498049 1167879082 /nfs/dbraw/zinc/87/90/82/1167879082.db2.gz PWSNAOLUUDHGKZ-VIFPVBQESA-N 0 2 313.325 0.469 20 0 DCADLN COC(=O)c1cccc2c(C[NH+]3CC(O)(C(=O)[O-])C3)ccnc12 ZINC001599000955 1168061846 /nfs/dbraw/zinc/06/18/46/1168061846.db2.gz LHOANDNPUYILPE-UHFFFAOYSA-N 0 2 316.313 0.653 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H](Cn2cncn2)C(=O)[O-])c(C)[nH+]1 ZINC001600151442 1168127591 /nfs/dbraw/zinc/12/75/91/1168127591.db2.gz KLASJYNKLMFZIR-NSHDSACASA-N 0 2 303.322 0.482 20 0 DCADLN Cc1cc(C)c(C(=O)[O-])cc1NC(=O)C(=O)N1CC[NH+](C)CC1 ZINC001600156042 1168128217 /nfs/dbraw/zinc/12/82/17/1168128217.db2.gz WZQITDXWZBIALX-UHFFFAOYSA-N 0 2 319.361 0.714 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)c(C)c(C)[nH+]1 ZINC001600691576 1168252205 /nfs/dbraw/zinc/25/22/05/1168252205.db2.gz ZCTCXKAIUUDVML-QWHCGFSZSA-N 0 2 318.377 0.771 20 0 DCADLN Cc1nc(C[NH2+]CC(=O)NCC(=O)[O-])sc1Br ZINC001600701634 1168258924 /nfs/dbraw/zinc/25/89/24/1168258924.db2.gz GUIIEBAHHHRTHU-UHFFFAOYSA-N 0 2 322.184 0.504 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)[C@H]1C[C@@H](C(=O)[O-])C1)C2 ZINC001600724428 1168263163 /nfs/dbraw/zinc/26/31/63/1168263163.db2.gz QWVDMWSPVINTQO-TUAOUCFPSA-N 0 2 321.377 0.268 20 0 DCADLN Cc1nonc1C[NH+]1CCC(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001600818400 1168474818 /nfs/dbraw/zinc/47/48/18/1168474818.db2.gz FMXSBQIVJGWWIC-QWRGUYRKSA-N 0 2 308.338 0.179 20 0 DCADLN Cn1cc(-c2ccc(=O)n(C[N@@H+]3CC[C@](C)(C(=O)[O-])C3)n2)cn1 ZINC001600923258 1168510237 /nfs/dbraw/zinc/51/02/37/1168510237.db2.gz CIWDFAHPXPTGCZ-HNNXBMFYSA-N 0 2 317.349 0.398 20 0 DCADLN Cn1cc(-c2ccc(=O)n(C[N@H+]3CC[C@](C)(C(=O)[O-])C3)n2)cn1 ZINC001600923258 1168510246 /nfs/dbraw/zinc/51/02/46/1168510246.db2.gz CIWDFAHPXPTGCZ-HNNXBMFYSA-N 0 2 317.349 0.398 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)c3cc(C(=O)[O-])co3)CC[NH2+]2)cn1 ZINC001600943424 1168523440 /nfs/dbraw/zinc/52/34/40/1168523440.db2.gz HXWROWFCLZJORM-NSHDSACASA-N 0 2 304.306 0.498 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ccc(/C=C/C(=O)[O-])o1 ZINC001600993772 1168550318 /nfs/dbraw/zinc/55/03/18/1168550318.db2.gz VXSDOAHSXHKGRZ-GFAPAMAISA-N 0 2 305.290 0.574 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC001600994317 1168552444 /nfs/dbraw/zinc/55/24/44/1168552444.db2.gz OKIKIJWDOHMLLJ-UHFFFAOYSA-N 0 2 306.326 0.758 20 0 DCADLN Cn1[nH]c(C[N@@H+]2CC[C@H](c3ncc(C(=O)[O-])s3)C2)nc1=O ZINC001601010154 1168561319 /nfs/dbraw/zinc/56/13/19/1168561319.db2.gz ITNPFVMMZRHTAN-ZETCQYMHSA-N 0 2 309.351 0.253 20 0 DCADLN Cn1[nH]c(C[N@H+]2CC[C@H](c3ncc(C(=O)[O-])s3)C2)nc1=O ZINC001601010154 1168561328 /nfs/dbraw/zinc/56/13/28/1168561328.db2.gz ITNPFVMMZRHTAN-ZETCQYMHSA-N 0 2 309.351 0.253 20 0 DCADLN Cn1nc2c(c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])CCC2 ZINC001601017879 1168566040 /nfs/dbraw/zinc/56/60/40/1168566040.db2.gz PQAPZQWOKFTNQY-LLVKDONJSA-N 0 2 303.322 0.058 20 0 DCADLN Cn1nc2c(c1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])CCC2 ZINC001601017879 1168566049 /nfs/dbraw/zinc/56/60/49/1168566049.db2.gz PQAPZQWOKFTNQY-LLVKDONJSA-N 0 2 303.322 0.058 20 0 DCADLN O=C([O-])c1coc(C=CC(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)c1 ZINC001601235827 1168672138 /nfs/dbraw/zinc/67/21/38/1168672138.db2.gz WDVIMODALKTHIP-GXFZAYBSSA-N 0 2 305.290 0.434 20 0 DCADLN O=C([O-])c1coc(C=CC(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)c1 ZINC001601235827 1168672145 /nfs/dbraw/zinc/67/21/45/1168672145.db2.gz WDVIMODALKTHIP-GXFZAYBSSA-N 0 2 305.290 0.434 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2c1COCC2 ZINC001601565310 1168782824 /nfs/dbraw/zinc/78/28/24/1168782824.db2.gz RLVZJDVBOFQAPD-AWEZNQCLSA-N 0 2 315.329 0.908 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2c1COCC2 ZINC001601565310 1168782835 /nfs/dbraw/zinc/78/28/35/1168782835.db2.gz RLVZJDVBOFQAPD-AWEZNQCLSA-N 0 2 315.329 0.908 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc2n1CCCCC2 ZINC001601565428 1168783282 /nfs/dbraw/zinc/78/32/82/1168783282.db2.gz ZDXVEVBSIVSIGK-NSHDSACASA-N 0 2 317.349 0.758 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc2n1CCCCC2 ZINC001601565428 1168783285 /nfs/dbraw/zinc/78/32/85/1168783285.db2.gz ZDXVEVBSIVSIGK-NSHDSACASA-N 0 2 317.349 0.758 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1scnc1Cl ZINC001601568088 1168784433 /nfs/dbraw/zinc/78/44/33/1168784433.db2.gz SQRGSRYPBDDADR-ZCFIWIBFSA-N 0 2 300.727 0.945 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1scnc1Cl ZINC001601568088 1168784440 /nfs/dbraw/zinc/78/44/40/1168784440.db2.gz SQRGSRYPBDDADR-ZCFIWIBFSA-N 0 2 300.727 0.945 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)C(F)(F)c1nccs1 ZINC001601662174 1168807402 /nfs/dbraw/zinc/80/74/02/1168807402.db2.gz GEMWCUXDYGFGSO-ZETCQYMHSA-N 0 2 316.289 0.701 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC001601680840 1168813868 /nfs/dbraw/zinc/81/38/68/1168813868.db2.gz LKDBPVOQSDMYIX-HNNXBMFYSA-N 0 2 321.377 0.446 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@H+](Cc2nc(Cc3cccc(F)c3)no2)C1 ZINC001601723327 1168833356 /nfs/dbraw/zinc/83/33/56/1168833356.db2.gz HPHMIQAEWFNUJO-OAHLLOKOSA-N 0 2 321.308 0.821 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@@H+](Cc2nc(Cc3cccc(F)c3)no2)C1 ZINC001601723327 1168833382 /nfs/dbraw/zinc/83/33/82/1168833382.db2.gz HPHMIQAEWFNUJO-OAHLLOKOSA-N 0 2 321.308 0.821 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)C1 ZINC001601744142 1168845809 /nfs/dbraw/zinc/84/58/09/1168845809.db2.gz VKEZBCNCKKWIBZ-NUPCCRGMSA-N 0 2 304.306 0.345 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001601780906 1168887745 /nfs/dbraw/zinc/88/77/45/1168887745.db2.gz NKVCGYHWQWEABM-MNOVXSKESA-N 0 2 322.365 0.654 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]([C@H]2CCCO2)C1 ZINC001601821233 1168904998 /nfs/dbraw/zinc/90/49/98/1168904998.db2.gz GIDFTRJFLASDHX-GRYCIOLGSA-N 0 2 307.350 0.823 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001601910691 1168931230 /nfs/dbraw/zinc/93/12/30/1168931230.db2.gz RLSFYOINOUECQX-UONOGXRCSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001601910691 1168931238 /nfs/dbraw/zinc/93/12/38/1168931238.db2.gz RLSFYOINOUECQX-UONOGXRCSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@]1([NH2+]CC2=NS(=O)(=O)c3ccccc32)CCOC1 ZINC001601935104 1168939327 /nfs/dbraw/zinc/93/93/27/1168939327.db2.gz QAPPAWXACDWIEH-CQSZACIVSA-N 0 2 324.358 0.401 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]C[C@@H](n2cccn2)C(F)(F)F)nn1 ZINC001602161903 1169008419 /nfs/dbraw/zinc/00/84/19/1169008419.db2.gz MNRDFUMDYNWOPQ-SECBINFHSA-N 0 2 318.259 0.452 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2C[C@@H](O)C[C@H]2c2ccccc2)nn1 ZINC001602161205 1169008947 /nfs/dbraw/zinc/00/89/47/1169008947.db2.gz UCKRUXVLIOMETB-KBPBESRZSA-N 0 2 302.334 0.671 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2C[C@@H](O)C[C@H]2c2ccccc2)nn1 ZINC001602161205 1169008954 /nfs/dbraw/zinc/00/89/54/1169008954.db2.gz UCKRUXVLIOMETB-KBPBESRZSA-N 0 2 302.334 0.671 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@H+]2CC[C@](O)(c3ccc(F)cc3)C2)C(=O)O1 ZINC001602188968 1169020213 /nfs/dbraw/zinc/02/02/13/1169020213.db2.gz WGIUEZSWUCMHDI-SWLSCSKDSA-N 0 2 324.308 0.582 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@@H+]2CC[C@](O)(c3ccc(F)cc3)C2)C(=O)O1 ZINC001602188968 1169020222 /nfs/dbraw/zinc/02/02/22/1169020222.db2.gz WGIUEZSWUCMHDI-SWLSCSKDSA-N 0 2 324.308 0.582 20 0 DCADLN O=C([O-])c1cc(C2CN(C(=O)[C@H]3CCn4c[nH+]cc4C3)C2)[nH]n1 ZINC001602253360 1169043641 /nfs/dbraw/zinc/04/36/41/1169043641.db2.gz OHCDYDUNSXDYIQ-VIFPVBQESA-N 0 2 315.333 0.493 20 0 DCADLN O=C([O-])c1cc(C[NH2+]CCS(=O)(=O)C2CCOCC2)on1 ZINC001602260213 1169044311 /nfs/dbraw/zinc/04/43/11/1169044311.db2.gz PBNSWNYNIZEKSW-UHFFFAOYSA-N 0 2 318.351 0.056 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CCO[C@H](Cn3ccnn3)C2)s1 ZINC001602340404 1169062339 /nfs/dbraw/zinc/06/23/39/1169062339.db2.gz ACZMGEINTCHSIP-JTQLQIEISA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CCO[C@H](Cn3ccnn3)C2)s1 ZINC001602340404 1169062343 /nfs/dbraw/zinc/06/23/43/1169062343.db2.gz ACZMGEINTCHSIP-JTQLQIEISA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])c1ccc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)o1 ZINC001602362073 1169063978 /nfs/dbraw/zinc/06/39/78/1169063978.db2.gz NJZHQOXTTLYMON-UHFFFAOYSA-N 0 2 306.322 0.574 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CC[NH2+]C[C@@H]2C(F)F)cn1 ZINC001602394301 1169070266 /nfs/dbraw/zinc/07/02/66/1169070266.db2.gz BBHJPCJSISVBNF-SECBINFHSA-N 0 2 321.305 0.007 20 0 DCADLN O=C([O-])c1cn([C@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)nn1 ZINC001602493824 1169092935 /nfs/dbraw/zinc/09/29/35/1169092935.db2.gz ZKLJINLALJYABT-JTQLQIEISA-N 0 2 304.310 0.037 20 0 DCADLN O=C([O-])c1ncn(C[NH+]2CCC(C(=O)N3CCCC3)CC2)n1 ZINC001602580166 1169124377 /nfs/dbraw/zinc/12/43/77/1169124377.db2.gz KWTFRPRMUDEEBI-UHFFFAOYSA-N 0 2 307.354 0.268 20 0 DCADLN C/C(=C/C(=O)N1CCSC[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001602951119 1169219558 /nfs/dbraw/zinc/21/95/58/1169219558.db2.gz FHWPRYUBACAWDP-KGTBHZDVSA-N 0 2 314.407 0.294 20 0 DCADLN CC(C)C[C@@H](C)Cn1cnc2ncc(-c3nn[nH]n3)c(=O)n21 ZINC001603260352 1169285386 /nfs/dbraw/zinc/28/53/86/1169285386.db2.gz XFVDPJAGEIQCJN-SECBINFHSA-N 0 2 302.342 0.753 20 0 DCADLN CC(C)(C)N1C[C@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1=O ZINC001603343393 1169298010 /nfs/dbraw/zinc/29/80/10/1169298010.db2.gz LLNRFXPZQHLULB-MWLCHTKSSA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)(C)N1C[C@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1=O ZINC001603343393 1169298014 /nfs/dbraw/zinc/29/80/14/1169298014.db2.gz LLNRFXPZQHLULB-MWLCHTKSSA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)[C@H]1C[C@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCO1 ZINC001603750754 1169377038 /nfs/dbraw/zinc/37/70/38/1169377038.db2.gz RNXWBZREDHLLJN-KGYLQXTDSA-N 0 2 309.366 0.973 20 0 DCADLN CC(C)[C@H]1C[C@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCO1 ZINC001603750754 1169377050 /nfs/dbraw/zinc/37/70/50/1169377050.db2.gz RNXWBZREDHLLJN-KGYLQXTDSA-N 0 2 309.366 0.973 20 0 DCADLN CC(C)N1CCC[C@H]([N@@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)C1=O ZINC001603893547 1169422147 /nfs/dbraw/zinc/42/21/47/1169422147.db2.gz LZJGOHYFMYHNDF-LBPRGKRZSA-N 0 2 306.366 0.865 20 0 DCADLN CC(C)N1CCC[C@H]([N@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)C1=O ZINC001603893547 1169422153 /nfs/dbraw/zinc/42/21/53/1169422153.db2.gz LZJGOHYFMYHNDF-LBPRGKRZSA-N 0 2 306.366 0.865 20 0 DCADLN C[C@H]1CCCC[C@H]1N(C)c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603891467 1169423461 /nfs/dbraw/zinc/42/34/61/1169423461.db2.gz RVLLJBPCMRAXSY-DTWKUNHWSA-N 0 2 319.369 0.269 20 0 DCADLN CC(C)[C@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])co2)CC[N@@H+]1C ZINC001603983252 1169463706 /nfs/dbraw/zinc/46/37/06/1169463706.db2.gz GZHKWKVMPHYCQT-LLVKDONJSA-N 0 2 316.379 0.939 20 0 DCADLN CC(C)[C@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])co2)CC[N@H+]1C ZINC001603983252 1169463708 /nfs/dbraw/zinc/46/37/08/1169463708.db2.gz GZHKWKVMPHYCQT-LLVKDONJSA-N 0 2 316.379 0.939 20 0 DCADLN C[C@](CC(=O)[O-])([NH2+]Cc1nnc2n1CCOC2)c1cccnc1 ZINC001604090356 1169489528 /nfs/dbraw/zinc/48/95/28/1169489528.db2.gz ONXMSBPRSQDTAY-OAHLLOKOSA-N 0 2 317.349 0.683 20 0 DCADLN CC[C@H]1CCC[C@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001604100312 1169491672 /nfs/dbraw/zinc/49/16/72/1169491672.db2.gz LHUIVNAJXJZPQE-JGVFFNPUSA-N 0 2 305.342 0.657 20 0 DCADLN CC[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@@H](C)CO1 ZINC001604112197 1169496403 /nfs/dbraw/zinc/49/64/03/1169496403.db2.gz SCEQEXITFASXES-WDEREUQCSA-N 0 2 304.354 0.485 20 0 DCADLN CCC[C@H](NC(=O)c1coc(-c2nn[nH]n2)c1)c1nn[nH]n1 ZINC001604145654 1169506412 /nfs/dbraw/zinc/50/64/12/1169506412.db2.gz VQRHNVNHJPIQBF-ZETCQYMHSA-N 0 2 303.286 0.244 20 0 DCADLN CCN(c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)C1CCCC1 ZINC001604327398 1169553980 /nfs/dbraw/zinc/55/39/80/1169553980.db2.gz NTLWCXFGWSNDJQ-UHFFFAOYSA-N 0 2 305.342 0.023 20 0 DCADLN C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2csnc2C(=O)[O-])C1 ZINC001604586238 1169623803 /nfs/dbraw/zinc/62/38/03/1169623803.db2.gz WGNVWFVLBLTKBS-SNVBAGLBSA-N 0 2 323.371 0.163 20 0 DCADLN C[C@H]1CCOCC[N@@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001604758400 1169671050 /nfs/dbraw/zinc/67/10/50/1169671050.db2.gz AJWCMMDUDNLSHC-IINYFYTJSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@H]1CCOCC[N@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001604758400 1169671051 /nfs/dbraw/zinc/67/10/51/1169671051.db2.gz AJWCMMDUDNLSHC-IINYFYTJSA-N 0 2 313.354 0.230 20 0 DCADLN CO[C@H](CC(C)C)Cn1c(=O)c(-c2nn[nH]n2)c(C)n(C)c1=O ZINC001605006663 1169775823 /nfs/dbraw/zinc/77/58/23/1169775823.db2.gz GTZUABQBLSCMRS-SNVBAGLBSA-N 0 2 322.369 0.097 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1=NCCS1 ZINC001605506799 1169941770 /nfs/dbraw/zinc/94/17/70/1169941770.db2.gz QTDYHYPLMHIUCZ-UHFFFAOYSA-N 0 2 305.323 0.108 20 0 DCADLN Cc1cc(N(C)S(=O)(=O)c2ccc(-c3nn[nH]n3)o2)ccn1 ZINC001605688013 1169986049 /nfs/dbraw/zinc/98/60/49/1169986049.db2.gz GOAYSQIRTYHRQU-UHFFFAOYSA-N 0 2 320.334 0.988 20 0 DCADLN CCc1noc(CCCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001605877640 1170020010 /nfs/dbraw/zinc/02/00/10/1170020010.db2.gz PKRIANOOSXOQOS-SNVBAGLBSA-N 0 2 321.337 0.490 20 0 DCADLN CCc1noc(CCCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001605877640 1170020012 /nfs/dbraw/zinc/02/00/12/1170020012.db2.gz PKRIANOOSXOQOS-SNVBAGLBSA-N 0 2 321.337 0.490 20 0 DCADLN CN(C(=O)[C@H]1CCn2cc[nH+]c2C1)[C@H](COC1CCC1)C(=O)[O-] ZINC001605956745 1170035982 /nfs/dbraw/zinc/03/59/82/1170035982.db2.gz XHCHVRSEJPIDFD-WCQYABFASA-N 0 2 321.377 0.926 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2ccc(C(N)=O)s2)C[C@@]1(C)C(=O)[O-] ZINC001606205550 1170113247 /nfs/dbraw/zinc/11/32/47/1170113247.db2.gz PVHBXGGQFXFBHS-UHLUBPPHSA-N 0 2 312.347 0.551 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2ccc(C(N)=O)s2)C[C@@]1(C)C(=O)[O-] ZINC001606205550 1170113252 /nfs/dbraw/zinc/11/32/52/1170113252.db2.gz PVHBXGGQFXFBHS-UHLUBPPHSA-N 0 2 312.347 0.551 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)NC(C)(C)C(=O)[O-])C1 ZINC001606251519 1170136745 /nfs/dbraw/zinc/13/67/45/1170136745.db2.gz UZYJWASNJUNVBB-UHFFFAOYSA-N 0 2 312.391 0.816 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)NC(C)(C)C(=O)[O-])C1 ZINC001606251519 1170136747 /nfs/dbraw/zinc/13/67/47/1170136747.db2.gz UZYJWASNJUNVBB-UHFFFAOYSA-N 0 2 312.391 0.816 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])n2C)C1 ZINC001606298850 1170154180 /nfs/dbraw/zinc/15/41/80/1170154180.db2.gz JLHJLXVUQFGVDN-LLVKDONJSA-N 0 2 315.395 0.237 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])n2C)C1 ZINC001606298850 1170154184 /nfs/dbraw/zinc/15/41/84/1170154184.db2.gz JLHJLXVUQFGVDN-LLVKDONJSA-N 0 2 315.395 0.237 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2nnc(C(C)C)s2)C[C@H]1C(=O)[O-] ZINC001606430942 1170198633 /nfs/dbraw/zinc/19/86/33/1170198633.db2.gz FTNIKAWJUODROM-BDAKNGLRSA-N 0 2 313.379 0.967 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2nnc(C(C)C)s2)C[C@H]1C(=O)[O-] ZINC001606430942 1170198632 /nfs/dbraw/zinc/19/86/32/1170198632.db2.gz FTNIKAWJUODROM-BDAKNGLRSA-N 0 2 313.379 0.967 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CC[C@H]2OCCC[C@@H]2C1 ZINC001606538423 1170230406 /nfs/dbraw/zinc/23/04/06/1170230406.db2.gz TXPORCVIZJNHMD-ZWNOBZJWSA-N 0 2 323.349 0.826 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CC[C@H]2OCCC[C@@H]2C1 ZINC001606538423 1170230416 /nfs/dbraw/zinc/23/04/16/1170230416.db2.gz TXPORCVIZJNHMD-ZWNOBZJWSA-N 0 2 323.349 0.826 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@@H+]2CC[C@H](CO)[C@@H](O)C2)c1 ZINC001606612506 1170241768 /nfs/dbraw/zinc/24/17/68/1170241768.db2.gz FTDDTQPAZDWIKX-HZSPNIEDSA-N 0 2 323.345 0.274 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](CO)[C@@H](O)C2)c1 ZINC001606612506 1170241775 /nfs/dbraw/zinc/24/17/75/1170241775.db2.gz FTDDTQPAZDWIKX-HZSPNIEDSA-N 0 2 323.345 0.274 20 0 DCADLN COC(=O)c1cccc([C@H]([NH2+][C@@H]2CCCN(C)C2=O)C(=O)[O-])c1 ZINC001606611451 1170242226 /nfs/dbraw/zinc/24/22/26/1170242226.db2.gz OYGUCKGZCGRPOC-OLZOCXBDSA-N 0 2 320.345 0.809 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCC[N@@H+]1Cc1[nH]nc2c1COCC2 ZINC001606830594 1170304598 /nfs/dbraw/zinc/30/45/98/1170304598.db2.gz POPGBCNIGDFVSQ-OAHLLOKOSA-N 0 2 309.366 0.938 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCC[N@H+]1Cc1[nH]nc2c1COCC2 ZINC001606830594 1170304606 /nfs/dbraw/zinc/30/46/06/1170304606.db2.gz POPGBCNIGDFVSQ-OAHLLOKOSA-N 0 2 309.366 0.938 20 0 DCADLN O=C1CCCc2c1cn(C[C@@H]1CCCO1)c(=O)c2-c1nn[nH]n1 ZINC001607042881 1170364350 /nfs/dbraw/zinc/36/43/50/1170364350.db2.gz ABPABHBOTQNDSF-VIFPVBQESA-N 0 2 315.333 0.726 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc2cnccc2c1 ZINC001607088593 1170386415 /nfs/dbraw/zinc/38/64/15/1170386415.db2.gz NUDMXQINXSYECI-UHFFFAOYSA-N 0 2 321.300 0.726 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccnc(Cl)c1 ZINC001607088876 1170386535 /nfs/dbraw/zinc/38/65/35/1170386535.db2.gz UCVURLBBERFNHO-UHFFFAOYSA-N 0 2 305.685 0.226 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cccc(Cl)c1 ZINC001607088846 1170387294 /nfs/dbraw/zinc/38/72/94/1170387294.db2.gz SDXBYYPZPOUQCK-UHFFFAOYSA-N 0 2 304.697 0.831 20 0 DCADLN COc1ncncc1C[N@@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001607361897 1170437089 /nfs/dbraw/zinc/43/70/89/1170437089.db2.gz VMFSDRNCUFLFTB-LLVKDONJSA-N 0 2 318.337 0.612 20 0 DCADLN COc1ncncc1C[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001607361897 1170437092 /nfs/dbraw/zinc/43/70/92/1170437092.db2.gz VMFSDRNCUFLFTB-LLVKDONJSA-N 0 2 318.337 0.612 20 0 DCADLN C[C@@H]1CN(c2nnc(Cc3[nH+]ccn3C)n2C)C[C@H]1C(=O)[O-] ZINC001607440477 1170453780 /nfs/dbraw/zinc/45/37/80/1170453780.db2.gz IOMDZERAWMKKRX-NXEZZACHSA-N 0 2 304.354 0.296 20 0 DCADLN C[C@H]1CN(C(=O)NCCCCn2cc[nH+]c2)C[C@@H](C(=O)[O-])O1 ZINC001607469471 1170461457 /nfs/dbraw/zinc/46/14/57/1170461457.db2.gz BEDFTYSJNMUBMM-RYUDHWBXSA-N 0 2 310.354 0.547 20 0 DCADLN Cc1cc(CNC(=O)NCCn2cc(C(=O)[O-])nn2)cc(C)[nH+]1 ZINC001607644001 1170488363 /nfs/dbraw/zinc/48/83/63/1170488363.db2.gz VJSPOCUEEKXNJC-UHFFFAOYSA-N 0 2 318.337 0.488 20 0 DCADLN Cc1ccc([C@H]2COCC[N@@H+]2CCc2cn(CC(=O)[O-])nn2)o1 ZINC001607768132 1170503990 /nfs/dbraw/zinc/50/39/90/1170503990.db2.gz JXWXQHIWVNSCHS-CYBMUJFWSA-N 0 2 320.349 0.880 20 0 DCADLN Cc1ccc([C@H]2COCC[N@H+]2CCc2cn(CC(=O)[O-])nn2)o1 ZINC001607768132 1170503998 /nfs/dbraw/zinc/50/39/98/1170503998.db2.gz JXWXQHIWVNSCHS-CYBMUJFWSA-N 0 2 320.349 0.880 20 0 DCADLN Cc1cccc(C)c1-n1nnnc1C[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001607844222 1170512299 /nfs/dbraw/zinc/51/22/99/1170512299.db2.gz PQJYUAUMWRHBBY-HNNXBMFYSA-N 0 2 317.349 0.301 20 0 DCADLN Cc1cccc(C)c1-n1nnnc1C[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001607844222 1170512302 /nfs/dbraw/zinc/51/23/02/1170512302.db2.gz PQJYUAUMWRHBBY-HNNXBMFYSA-N 0 2 317.349 0.301 20 0 DCADLN Cc1noc(C2(C)CC[NH+](CN3C[C@@H](C(=O)[O-])OC3=O)CC2)n1 ZINC001608023545 1170551210 /nfs/dbraw/zinc/55/12/10/1170551210.db2.gz LSZWJXNFMGPGIB-JTQLQIEISA-N 0 2 324.337 0.594 20 0 DCADLN Cn1cc(C(=O)[O-])c(NC(=O)NCCNc2cccc[nH+]2)n1 ZINC001608103884 1170573631 /nfs/dbraw/zinc/57/36/31/1170573631.db2.gz WIUAUEXCRWNYQL-UHFFFAOYSA-N 0 2 304.310 0.747 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)CC(C)(C)C(=O)[O-])C1 ZINC001608134365 1170587892 /nfs/dbraw/zinc/58/78/92/1170587892.db2.gz QZVFTJYFAVZJDX-SNVBAGLBSA-N 0 2 315.395 0.650 20 0 DCADLN Cn1c[nH+]cc1C1CCN(C(=O)NCCOCC(=O)[O-])CC1 ZINC001608136463 1170590438 /nfs/dbraw/zinc/59/04/38/1170590438.db2.gz MWCMGKHZDCJDKI-UHFFFAOYSA-N 0 2 310.354 0.410 20 0 DCADLN O=C(CCCn1cc[nH+]c1)N[C@@H](Cc1cncs1)C(=O)[O-] ZINC001608308872 1170647122 /nfs/dbraw/zinc/64/71/22/1170647122.db2.gz CUMSRXAETKFZND-NSHDSACASA-N 0 2 308.363 0.932 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC001608399084 1170669308 /nfs/dbraw/zinc/66/93/08/1170669308.db2.gz RHHSFGKJWKSCAX-CYBMUJFWSA-N 0 2 310.325 0.671 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1csc(Cl)n1 ZINC001608486097 1170689067 /nfs/dbraw/zinc/68/90/67/1170689067.db2.gz RRGHCXOTVQTSCM-LURJTMIESA-N 0 2 300.727 0.945 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1csc(Cl)n1 ZINC001608486097 1170689070 /nfs/dbraw/zinc/68/90/70/1170689070.db2.gz RRGHCXOTVQTSCM-LURJTMIESA-N 0 2 300.727 0.945 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1c[nH]cc(Cl)c1=O ZINC001608486046 1170689197 /nfs/dbraw/zinc/68/91/97/1170689197.db2.gz OZYNKINPYJTNNU-VIFPVBQESA-N 0 2 310.697 0.177 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1c[nH]cc(Cl)c1=O ZINC001608486046 1170689200 /nfs/dbraw/zinc/68/92/00/1170689200.db2.gz OZYNKINPYJTNNU-VIFPVBQESA-N 0 2 310.697 0.177 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001608612625 1170722259 /nfs/dbraw/zinc/72/22/59/1170722259.db2.gz XYZADIZTENPICL-UONOGXRCSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001608612625 1170722261 /nfs/dbraw/zinc/72/22/61/1170722261.db2.gz XYZADIZTENPICL-UONOGXRCSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@H]1CCCC[C@H]1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC001608627321 1170724675 /nfs/dbraw/zinc/72/46/75/1170724675.db2.gz RMZQPPKVHULQQM-NWDGAFQWSA-N 0 2 313.379 0.672 20 0 DCADLN O=C([O-])CC[N@@H+](Cc1ncnn1CCF)C[C@H]1CCCO1 ZINC001608722985 1170743511 /nfs/dbraw/zinc/74/35/11/1170743511.db2.gz FQLUNFCHFHOSEW-LLVKDONJSA-N 0 2 300.334 0.703 20 0 DCADLN O=C([O-])CC[N@H+](Cc1ncnn1CCF)C[C@H]1CCCO1 ZINC001608722985 1170743518 /nfs/dbraw/zinc/74/35/18/1170743518.db2.gz FQLUNFCHFHOSEW-LLVKDONJSA-N 0 2 300.334 0.703 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)cn1 ZINC001608965620 1170777487 /nfs/dbraw/zinc/77/74/87/1170777487.db2.gz DKWRALUZFZQUCH-JTQLQIEISA-N 0 2 301.306 0.849 20 0 DCADLN CC(C)OC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001609100952 1170810835 /nfs/dbraw/zinc/81/08/35/1170810835.db2.gz NNVKHVJBFRCVGY-UHFFFAOYSA-N 0 2 317.349 0.859 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCC2(OC)CCC2)c1=O ZINC001609257512 1170900687 /nfs/dbraw/zinc/90/06/87/1170900687.db2.gz AMAMHQNJBKRCLY-UHFFFAOYSA-N 0 2 320.353 0.169 20 0 DCADLN CNC(=O)c1cccc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)c1 ZINC001609289459 1170915283 /nfs/dbraw/zinc/91/52/83/1170915283.db2.gz GLRYWQIGJQDQHM-UHFFFAOYSA-N 0 2 324.344 0.745 20 0 DCADLN CO[C@H](C)CS(=O)(=O)Nc1ccc(-c2nn[nH]n2)c(C)n1 ZINC001609309209 1170926335 /nfs/dbraw/zinc/92/63/35/1170926335.db2.gz XDZJQHHYIOVQDR-SSDOTTSWSA-N 0 2 312.355 0.347 20 0 DCADLN Cc1nn(Cc2cn(C(C)C)nn2)c(=O)c(-c2nn[nH]n2)c1C ZINC001609459829 1170985414 /nfs/dbraw/zinc/98/54/14/1170985414.db2.gz XUOOIPXWHOLHKD-UHFFFAOYSA-N 0 2 315.341 0.261 20 0 DCADLN O=C(NN1Cc2ccccc2C1=O)c1cc(-c2nn[nH]n2)ccn1 ZINC001609557532 1171022253 /nfs/dbraw/zinc/02/22/53/1171022253.db2.gz UMHXWYSOZDQCSP-UHFFFAOYSA-N 0 2 321.300 0.563 20 0 DCADLN C[C@H](CS(=O)(=O)N[C@H]1C[N@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001609749231 1171077935 /nfs/dbraw/zinc/07/79/35/1171077935.db2.gz FQFYIKCJPSBUTE-MFKMUULPSA-N 0 2 312.391 0.813 20 0 DCADLN C[C@H](CS(=O)(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001609749231 1171077939 /nfs/dbraw/zinc/07/79/39/1171077939.db2.gz FQFYIKCJPSBUTE-MFKMUULPSA-N 0 2 312.391 0.813 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C[C@@H](C(=O)[O-])C1 ZINC001610132032 1171166683 /nfs/dbraw/zinc/16/66/83/1171166683.db2.gz AJQFAHKWHIQQDE-QWRGUYRKSA-N 0 2 306.366 0.304 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C[C@@H](C(=O)[O-])C1 ZINC001610132032 1171166686 /nfs/dbraw/zinc/16/66/86/1171166686.db2.gz AJQFAHKWHIQQDE-QWRGUYRKSA-N 0 2 306.366 0.304 20 0 DCADLN COC[C@@H]1CCC[N@H+](Cn2nc(C(=O)[O-])cc2C(=O)OC)C1 ZINC001610252471 1171208923 /nfs/dbraw/zinc/20/89/23/1171208923.db2.gz CZMSUKCVTWDWIS-SNVBAGLBSA-N 0 2 311.338 0.684 20 0 DCADLN COC[C@@H]1CCC[N@@H+](Cn2nc(C(=O)[O-])cc2C(=O)OC)C1 ZINC001610252471 1171208929 /nfs/dbraw/zinc/20/89/29/1171208929.db2.gz CZMSUKCVTWDWIS-SNVBAGLBSA-N 0 2 311.338 0.684 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+](CCO)[C@H]2CCO[C@H]2C)c(C)c1C(=O)[O-] ZINC001610396687 1171230517 /nfs/dbraw/zinc/23/05/17/1171230517.db2.gz CFKBQLCUJIDZOK-RYUDHWBXSA-N 0 2 324.377 0.984 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+](CCO)[C@H]2CCO[C@H]2C)c(C)c1C(=O)[O-] ZINC001610396687 1171230524 /nfs/dbraw/zinc/23/05/24/1171230524.db2.gz CFKBQLCUJIDZOK-RYUDHWBXSA-N 0 2 324.377 0.984 20 0 DCADLN Cc1noc(C2CC[NH+](CN3C[C@@H](C(=O)[O-])CC3=O)CC2)n1 ZINC001610576503 1171259100 /nfs/dbraw/zinc/25/91/00/1171259100.db2.gz RFYWMMQPZWDXHN-NSHDSACASA-N 0 2 308.338 0.448 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001610611725 1171267037 /nfs/dbraw/zinc/26/70/37/1171267037.db2.gz UXTDQMBSPBZJOQ-NSHDSACASA-N 0 2 318.337 0.116 20 0 DCADLN O=C([O-])[C@@]1(C(F)(F)F)CC[N@H+](CC(=O)Nc2ccncc2)C1 ZINC001610662763 1171283524 /nfs/dbraw/zinc/28/35/24/1171283524.db2.gz POXMFZXZQFOWMW-GFCCVEGCSA-N 0 2 317.267 0.781 20 0 DCADLN O=C([O-])[C@@]1(C(F)(F)F)CC[N@@H+](CC(=O)Nc2ccncc2)C1 ZINC001610662763 1171283532 /nfs/dbraw/zinc/28/35/32/1171283532.db2.gz POXMFZXZQFOWMW-GFCCVEGCSA-N 0 2 317.267 0.781 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCC[N@@H+]1CC(=O)NCCC1=CCCCC1 ZINC001610663738 1171285029 /nfs/dbraw/zinc/28/50/29/1171285029.db2.gz KMYGNKZXHZHTRH-ZFWWWQNUSA-N 0 2 310.394 0.903 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCC[N@H+]1CC(=O)NCCC1=CCCCC1 ZINC001610663738 1171285036 /nfs/dbraw/zinc/28/50/36/1171285036.db2.gz KMYGNKZXHZHTRH-ZFWWWQNUSA-N 0 2 310.394 0.903 20 0 DCADLN O=C([O-])c1cc(Cl)cc(NC(=O)CN2CC[NH2+]CC2=O)c1 ZINC001610663507 1171285368 /nfs/dbraw/zinc/28/53/68/1171285368.db2.gz VVBPWYKZYJPBCI-UHFFFAOYSA-N 0 2 311.725 0.409 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCC[N@@H+]1CC(=O)NCCc1ccc(F)cc1 ZINC001610663688 1171285756 /nfs/dbraw/zinc/28/57/56/1171285756.db2.gz JBTPHXBUGSENBN-HIFRSBDPSA-N 0 2 324.352 0.394 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCC[N@H+]1CC(=O)NCCc1ccc(F)cc1 ZINC001610663688 1171285764 /nfs/dbraw/zinc/28/57/64/1171285764.db2.gz JBTPHXBUGSENBN-HIFRSBDPSA-N 0 2 324.352 0.394 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)no1 ZINC001610808996 1171330460 /nfs/dbraw/zinc/33/04/60/1171330460.db2.gz ZRTNRJOSIJNJKZ-LLVKDONJSA-N 0 2 323.349 0.700 20 0 DCADLN O=C([O-])c1ccc(=O)n(CN2CC[C@@H](Oc3cc[nH+]cc3)C2)n1 ZINC001610820878 1171333635 /nfs/dbraw/zinc/33/36/35/1171333635.db2.gz CIGPCEWIPJQBBY-GFCCVEGCSA-N 0 2 316.317 0.447 20 0 DCADLN O=C([O-])c1cn(C[NH+]2CCC(CC(=O)N3CCCC3)CC2)nn1 ZINC001610859974 1171342550 /nfs/dbraw/zinc/34/25/50/1171342550.db2.gz BLPSFVXFJWYQHL-UHFFFAOYSA-N 0 2 321.381 0.658 20 0 DCADLN O=C([O-])c1cn(C[N@H+]2CC[C@](O)(c3ccc(F)cc3)C2)nn1 ZINC001610860154 1171343088 /nfs/dbraw/zinc/34/30/88/1171343088.db2.gz MURBGKBGXXKGOY-CQSZACIVSA-N 0 2 306.297 0.666 20 0 DCADLN O=C([O-])c1cn(C[N@@H+]2CC[C@](O)(c3ccc(F)cc3)C2)nn1 ZINC001610860154 1171343103 /nfs/dbraw/zinc/34/31/03/1171343103.db2.gz MURBGKBGXXKGOY-CQSZACIVSA-N 0 2 306.297 0.666 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc2n(n1)CCC[C@H]2O ZINC001634596767 1171677835 /nfs/dbraw/zinc/67/78/35/1171677835.db2.gz ZKTGNZPXTDCBNP-SECBINFHSA-N 0 2 309.351 0.355 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)NCc1ccn2cc[nH+]c2c1 ZINC001636008944 1171721772 /nfs/dbraw/zinc/72/17/72/1171721772.db2.gz IEPUPUYDSJPPBC-UHFFFAOYSA-N 0 2 304.335 0.567 20 0 DCADLN CN1C(=O)CN(NC(=O)c2csc(-c3ncc[nH]3)n2)C1=O ZINC001636526055 1171740745 /nfs/dbraw/zinc/74/07/45/1171740745.db2.gz RIPQLCWSGQJVAS-UHFFFAOYSA-N 0 2 306.307 0.072 20 0 DCADLN O=C(CCn1cc[nH]c(=O)c1=O)Nc1n[nH]c2cc(O)ccc21 ZINC001641246715 1171911278 /nfs/dbraw/zinc/91/12/78/1171911278.db2.gz RRVYCXJMNPIJMZ-UHFFFAOYSA-N 0 2 315.289 0.147 20 0 DCADLN COc1nc(C(=O)Nn2ccc(=O)[nH]c2=O)cc2ccccc21 ZINC001642617396 1171952669 /nfs/dbraw/zinc/95/26/69/1171952669.db2.gz YYBVNDBZSSJTCF-UHFFFAOYSA-N 0 2 312.285 0.890 20 0 DCADLN O=S(=O)(Nc1nncs1)c1cnn([C@@H]2CCOC2)c1 ZINC001645633701 1172081806 /nfs/dbraw/zinc/08/18/06/1172081806.db2.gz ZJAIBVXMJIASTH-SSDOTTSWSA-N 0 2 301.353 0.497 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(NC(=O)C3CC3)CC2)S1 ZINC001647148396 1172702785 /nfs/dbraw/zinc/70/27/85/1172702785.db2.gz JJXOQUBGNUBUAE-JTQLQIEISA-N 0 2 324.406 0.060 20 0 DCADLN COCc1ccc(C[NH+]2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)o1 ZINC001647408609 1172868752 /nfs/dbraw/zinc/86/87/52/1172868752.db2.gz FHHKREWVZULNCM-INIZCTEOSA-N 0 2 324.377 0.787 20 0 DCADLN O=C(Cn1cc(C(F)(F)F)ccc1=O)NCc1n[nH]c(=O)[nH]1 ZINC001649713250 1173179127 /nfs/dbraw/zinc/17/91/27/1173179127.db2.gz JGJOETOKGWJMKY-UHFFFAOYSA-N 0 2 317.227 0.007 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)[nH]1 ZINC001652700293 1173774835 /nfs/dbraw/zinc/77/48/35/1173774835.db2.gz FSHIFXKLTNADGJ-OUAUKWLOSA-N 0 2 324.406 0.568 20 0 DCADLN CSCCCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001652700076 1173775069 /nfs/dbraw/zinc/77/50/69/1173775069.db2.gz ARNDCIJQQNVJAV-UHFFFAOYSA-N 0 2 306.413 0.109 20 0 DCADLN C[C@H](NC(=O)c1ccnc2c1ncn2C)c1nn(C)cc1O ZINC001653246026 1173783899 /nfs/dbraw/zinc/78/38/99/1173783899.db2.gz XLNPKBFMSAUORC-QMMMGPOBSA-N 0 2 300.322 0.898 20 0 DCADLN CCOCC[N@H+]1CC[C@H](NC(=O)CCc2[nH+]ccn2C)[C@H]1C ZINC001656979975 1173900385 /nfs/dbraw/zinc/90/03/85/1173900385.db2.gz XRAPUFUKPWXMGX-KGLIPLIRSA-N 0 2 308.426 0.968 20 0 DCADLN Nc1nc2nc(CN3CCC[C@H]3c3ncc[nH]3)cc(=O)n2[nH]1 ZINC001657990455 1173919025 /nfs/dbraw/zinc/91/90/25/1173919025.db2.gz SVBFEWVKAMPPSF-VIFPVBQESA-N 0 2 300.326 0.060 20 0 DCADLN CCOCCCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001664978266 1174470874 /nfs/dbraw/zinc/47/08/74/1174470874.db2.gz HSBDZWZSBTWEOU-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2occc(=O)c2c1 ZINC001673822827 1175233453 /nfs/dbraw/zinc/23/34/53/1175233453.db2.gz VAVLBVPTXQFLIB-UHFFFAOYSA-N 0 2 301.262 0.938 20 0 DCADLN O=C(NCCCOCCNC(=O)C(F)C(F)(F)F)C(F)F ZINC001679753969 1175752679 /nfs/dbraw/zinc/75/26/79/1175752679.db2.gz NKTOMTHIPZIYHR-ZCFIWIBFSA-N 0 2 324.221 0.791 20 0 DCADLN O=C(NCCCOCCNC(=O)[C@@H](F)C(F)(F)F)C(F)F ZINC001679753969 1175752684 /nfs/dbraw/zinc/75/26/84/1175752684.db2.gz NKTOMTHIPZIYHR-ZCFIWIBFSA-N 0 2 324.221 0.791 20 0 DCADLN O=C(NCCCc1nnc[nH]1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001683850290 1175974540 /nfs/dbraw/zinc/97/45/40/1175974540.db2.gz KHHIHYTVWNYSIQ-VIFPVBQESA-N 0 2 320.357 0.150 20 0 DCADLN CC1=CCCN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001684363224 1175997967 /nfs/dbraw/zinc/99/79/67/1175997967.db2.gz FTVODEFAWGTMRQ-UHFFFAOYSA-N 0 2 313.383 0.211 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001686937052 1176251706 /nfs/dbraw/zinc/25/17/06/1176251706.db2.gz UVWSYHNBLAPCRM-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001686937052 1176251711 /nfs/dbraw/zinc/25/17/11/1176251711.db2.gz UVWSYHNBLAPCRM-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(F)nc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001700450977 1178618310 /nfs/dbraw/zinc/61/83/10/1178618310.db2.gz GGDNDKADPMSKJK-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(F)nc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001700450977 1178618314 /nfs/dbraw/zinc/61/83/14/1178618314.db2.gz GGDNDKADPMSKJK-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ccnc(F)c1 ZINC001703122881 1179314843 /nfs/dbraw/zinc/31/48/43/1179314843.db2.gz PZOOJYGVUPHBSF-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1ccnc(F)c1 ZINC001703122881 1179314849 /nfs/dbraw/zinc/31/48/49/1179314849.db2.gz PZOOJYGVUPHBSF-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN COCCCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001705094833 1180257863 /nfs/dbraw/zinc/25/78/63/1180257863.db2.gz NPHKCZNMFAQVMZ-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN COCCCC(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001705094833 1180257864 /nfs/dbraw/zinc/25/78/64/1180257864.db2.gz NPHKCZNMFAQVMZ-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)C=CCC1 ZINC001715290945 1181761985 /nfs/dbraw/zinc/76/19/85/1181761985.db2.gz HZKXSCNUQIJYIT-XHDPSFHLSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)C=CCC1 ZINC001715290938 1181761999 /nfs/dbraw/zinc/76/19/99/1181761999.db2.gz HZKXSCNUQIJYIT-ABAIWWIYSA-N 0 2 321.381 0.184 20 0 DCADLN CCOCCN1CCOC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001717134722 1182689689 /nfs/dbraw/zinc/68/96/89/1182689689.db2.gz GFJVPZJKWXNAPT-VHSXEESVSA-N 0 2 316.295 0.740 20 0 DCADLN CCOCCN1CCOC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001717134722 1182689692 /nfs/dbraw/zinc/68/96/92/1182689692.db2.gz GFJVPZJKWXNAPT-VHSXEESVSA-N 0 2 316.295 0.740 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)[C@H](C)[C@H]1CCCO1 ZINC001720321089 1183562522 /nfs/dbraw/zinc/56/25/22/1183562522.db2.gz OXLXLNFZYKSTRP-BXUZGUMPSA-N 0 2 322.409 0.698 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721754876 1184021745 /nfs/dbraw/zinc/02/17/45/1184021745.db2.gz YDAYFOFPPIDWTA-GODNPXJHSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001721754876 1184021752 /nfs/dbraw/zinc/02/17/52/1184021752.db2.gz YDAYFOFPPIDWTA-GODNPXJHSA-N 0 2 300.252 0.446 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1ccncn1 ZINC001721870632 1184086949 /nfs/dbraw/zinc/08/69/49/1184086949.db2.gz FLXZCQDAZTVXOI-IYABHPQVSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1ccncn1 ZINC001721870632 1184086955 /nfs/dbraw/zinc/08/69/55/1184086955.db2.gz FLXZCQDAZTVXOI-IYABHPQVSA-N 0 2 320.246 0.779 20 0 DCADLN CN(CCCNC(=O)CCc1cn[nH]c1)Cc1ncnn1C ZINC001731271126 1185232350 /nfs/dbraw/zinc/23/23/50/1185232350.db2.gz IRUOFXQCUDRHPX-UHFFFAOYSA-N 0 2 305.386 0.109 20 0 DCADLN C[C@@H](CC(=O)NCCCN(C)Cc1cnn(C)n1)n1ccnc1 ZINC001731269266 1185232429 /nfs/dbraw/zinc/23/24/29/1185232429.db2.gz ZKTIJFCSOPRSEH-ZDUSSCGKSA-N 0 2 319.413 0.601 20 0 DCADLN O=C(Cc1ccco1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734632770 1186713657 /nfs/dbraw/zinc/71/36/57/1186713657.db2.gz OGTPILOGSGZWOZ-UHFFFAOYSA-N 0 2 317.349 0.594 20 0 DCADLN O=C(Cc1ccco1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734632770 1186713659 /nfs/dbraw/zinc/71/36/59/1186713659.db2.gz OGTPILOGSGZWOZ-UHFFFAOYSA-N 0 2 317.349 0.594 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001736784946 1187103923 /nfs/dbraw/zinc/10/39/23/1187103923.db2.gz DXEZRCXFPQIQJB-VGMNWLOBSA-N 0 2 314.279 0.934 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001736784946 1187103930 /nfs/dbraw/zinc/10/39/30/1187103930.db2.gz DXEZRCXFPQIQJB-VGMNWLOBSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)Cc1ccco1 ZINC001736976557 1187200855 /nfs/dbraw/zinc/20/08/55/1187200855.db2.gz PMEMCNSCVSLIGH-UHFFFAOYSA-N 0 2 304.350 0.719 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cnccn1 ZINC001737149782 1187264463 /nfs/dbraw/zinc/26/44/63/1187264463.db2.gz SOVOVQMTLBRPNJ-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnccn1 ZINC001737149782 1187264466 /nfs/dbraw/zinc/26/44/66/1187264466.db2.gz SOVOVQMTLBRPNJ-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN O=C(Cc1nc[nH]n1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001738526640 1187583407 /nfs/dbraw/zinc/58/34/07/1187583407.db2.gz UOVBRGHMYQVBEK-JKERVTFKSA-N 0 2 323.250 0.036 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001743500994 1188139532 /nfs/dbraw/zinc/13/95/32/1188139532.db2.gz WTJOAZPAMCDEAQ-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001743500994 1188139536 /nfs/dbraw/zinc/13/95/36/1188139536.db2.gz WTJOAZPAMCDEAQ-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001743500995 1188139835 /nfs/dbraw/zinc/13/98/35/1188139835.db2.gz WTJOAZPAMCDEAQ-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001743500995 1188139837 /nfs/dbraw/zinc/13/98/37/1188139837.db2.gz WTJOAZPAMCDEAQ-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001753096403 1188496672 /nfs/dbraw/zinc/49/66/72/1188496672.db2.gz XJXGDLGTZZNLFN-MVIFTORASA-N 0 2 317.349 0.897 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001753096403 1188496676 /nfs/dbraw/zinc/49/66/76/1188496676.db2.gz XJXGDLGTZZNLFN-MVIFTORASA-N 0 2 317.349 0.897 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCOC1)C(F)C(F)(F)F ZINC001757936470 1189616101 /nfs/dbraw/zinc/61/61/01/1189616101.db2.gz JYFLLZAIHYIMRC-HTQZYQBOSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCOC1)[C@@H](F)C(F)(F)F ZINC001757936470 1189616108 /nfs/dbraw/zinc/61/61/08/1189616108.db2.gz JYFLLZAIHYIMRC-HTQZYQBOSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001771660678 1190421186 /nfs/dbraw/zinc/42/11/86/1190421186.db2.gz SJLKVZSUKPLFAR-UHFFFAOYSA-N 0 2 308.367 0.732 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2nnc([C@H]3CCCO3)o2)cn1 ZINC001771674295 1190463602 /nfs/dbraw/zinc/46/36/02/1190463602.db2.gz ICJLTIDZDLMYQP-SECBINFHSA-N 0 2 313.339 0.938 20 0 DCADLN O=C([C@H]1CCn2ccnc2C1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001771753182 1190489606 /nfs/dbraw/zinc/48/96/06/1190489606.db2.gz POFMNRMTYXBMGS-QWRGUYRKSA-N 0 2 316.365 0.675 20 0 DCADLN CC[C@@H](C)C[C@H]([NH3+])C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001772224230 1190634541 /nfs/dbraw/zinc/63/45/41/1190634541.db2.gz KOPXRRQEBMYBNS-HIFRSBDPSA-N 0 2 323.441 0.917 20 0 DCADLN CN(C(=O)c1cccc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042430334 751639137 /nfs/dbraw/zinc/63/91/37/751639137.db2.gz MVAIXKMDQJNONE-UHFFFAOYSA-N 0 2 305.313 0.606 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CCNc2cc[nH+]c(C)n2)c1[O-] ZINC001107125496 751875152 /nfs/dbraw/zinc/87/51/52/751875152.db2.gz AVKDJPQCGMAFBW-ONEGZZNKSA-N 0 2 302.338 0.920 20 0 DCADLN CC(C)c1nocc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042902845 751970492 /nfs/dbraw/zinc/97/04/92/751970492.db2.gz QMTDWIRZYSCLLZ-UHFFFAOYSA-N 0 2 320.353 0.578 20 0 DCADLN CN(C(=O)[C@H]1CCCC12CC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043076350 752065799 /nfs/dbraw/zinc/06/57/99/752065799.db2.gz OISBQBMYMRDIQY-LLVKDONJSA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)c1cc(Cl)cn1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043279479 752158768 /nfs/dbraw/zinc/15/87/68/752158768.db2.gz KZKMQJJGFDBYSX-UHFFFAOYSA-N 0 2 324.772 0.459 20 0 DCADLN CN(C(=O)[C@]1(C)C[C@H]2C[C@H]2C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043453100 752238178 /nfs/dbraw/zinc/23/81/78/752238178.db2.gz KGBCVNKVARGBGF-KEODLESXSA-N 0 2 305.382 0.589 20 0 DCADLN Cc1cncc(CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001043473657 752246666 /nfs/dbraw/zinc/24/66/66/752246666.db2.gz CBEFOPUDZOACDL-UHFFFAOYSA-N 0 2 316.365 0.099 20 0 DCADLN CN(C(=O)c1cc(C2CC2)on1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043496395 752256407 /nfs/dbraw/zinc/25/64/07/752256407.db2.gz YKNSTPSSSQGOKY-UHFFFAOYSA-N 0 2 318.337 0.332 20 0 DCADLN COc1cccc2c1C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)CC2 ZINC001143548168 748508222 /nfs/dbraw/zinc/50/82/22/748508222.db2.gz IWKPXMWGNTYHFG-JTQLQIEISA-N 0 2 302.334 0.940 20 0 DCADLN CC(C)=CCN1C[C@@H](O)[C@H](NC(=O)C[N@@H+]2CCC(C)(C)C2)C1 ZINC001077678317 752282938 /nfs/dbraw/zinc/28/29/38/752282938.db2.gz WDLLXUNEFWSZKB-HUUCEWRRSA-N 0 2 309.454 0.846 20 0 DCADLN O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O)C1CCCCCC1 ZINC001083367674 748646635 /nfs/dbraw/zinc/64/66/35/748646635.db2.gz ONHZYFDGJKFETD-NEPJUHHUSA-N 0 2 323.397 0.142 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CC[N@@H+]1Cc1cncn1C ZINC001087767044 749043153 /nfs/dbraw/zinc/04/31/53/749043153.db2.gz GZMSRYJROYXQRX-NWDGAFQWSA-N 0 2 303.370 0.321 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CC[N@H+]1Cc1cncn1C ZINC001087767044 749043154 /nfs/dbraw/zinc/04/31/54/749043154.db2.gz GZMSRYJROYXQRX-NWDGAFQWSA-N 0 2 303.370 0.321 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)n1cccc1 ZINC001088527669 749550854 /nfs/dbraw/zinc/55/08/54/749550854.db2.gz XIFZROCDZDGBSN-WOPDTQHZSA-N 0 2 318.381 0.652 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)n1cccc1 ZINC001088527669 749550859 /nfs/dbraw/zinc/55/08/59/749550859.db2.gz XIFZROCDZDGBSN-WOPDTQHZSA-N 0 2 318.381 0.652 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)ns1 ZINC001088544097 749570379 /nfs/dbraw/zinc/57/03/79/749570379.db2.gz MKUIYLIZHCIENO-BDAKNGLRSA-N 0 2 322.394 0.668 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112955398 762040868 /nfs/dbraw/zinc/04/08/68/762040868.db2.gz VDAQQXVJJIMUJB-VIFPVBQESA-N 0 2 317.340 0.836 20 0 DCADLN CCn1cnc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)c1 ZINC001088985462 750755500 /nfs/dbraw/zinc/75/55/00/750755500.db2.gz ZFYBSFAWURUNKG-ZJUUUORDSA-N 0 2 319.369 0.120 20 0 DCADLN Cc1ccnc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106141865 750978023 /nfs/dbraw/zinc/97/80/23/750978023.db2.gz IQVGEAFVVWHWHI-HTQZYQBOSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccnc(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001106141865 750978024 /nfs/dbraw/zinc/97/80/24/750978024.db2.gz IQVGEAFVVWHWHI-HTQZYQBOSA-N 0 2 310.251 0.574 20 0 DCADLN O=C(NC[C@@H](O)CNc1cnc(F)cn1)C(F)C(F)(F)F ZINC001106141607 750978037 /nfs/dbraw/zinc/97/80/37/750978037.db2.gz FHACCZHUQPLHMF-YLWLKBPMSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](O)CNc1cnc(F)cn1)[C@@H](F)C(F)(F)F ZINC001106141607 750978039 /nfs/dbraw/zinc/97/80/39/750978039.db2.gz FHACCZHUQPLHMF-YLWLKBPMSA-N 0 2 314.214 0.405 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071724149 762090186 /nfs/dbraw/zinc/09/01/86/762090186.db2.gz BRNCXLIEJLYREP-WCBMZHEXSA-N 0 2 319.369 0.325 20 0 DCADLN CN(C(=O)[C@@]12C[C@@H]1CCCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043815214 752398373 /nfs/dbraw/zinc/39/83/73/752398373.db2.gz TTZRCBMVAFSELB-BONVTDFDSA-N 0 2 305.382 0.733 20 0 DCADLN C/C=C(\C)C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107852137 752419727 /nfs/dbraw/zinc/41/97/27/752419727.db2.gz FLZQPYMSCWMGDT-DEYRLNKFSA-N 0 2 309.370 0.184 20 0 DCADLN C/C=C(/C)C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107852138 752419858 /nfs/dbraw/zinc/41/98/58/752419858.db2.gz FLZQPYMSCWMGDT-IUZNHRGFSA-N 0 2 309.370 0.184 20 0 DCADLN CC(C)[NH+]1CCC[C@@H]1C(=O)NC[C@@]1(C)C[N@@H+](CCO)CCO1 ZINC001107943040 752572770 /nfs/dbraw/zinc/57/27/70/752572770.db2.gz YOTSUGIYDGHVPV-ZBFHGGJFSA-N 0 2 313.442 0.059 20 0 DCADLN CC(C)[NH+]1CCC[C@H]1C(=O)NC[C@@]1(C)C[N@@H+](CCO)CCO1 ZINC001107943039 752572817 /nfs/dbraw/zinc/57/28/17/752572817.db2.gz YOTSUGIYDGHVPV-HOCLYGCPSA-N 0 2 313.442 0.059 20 0 DCADLN CN(C(=O)c1c[nH]cc1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044115465 752576508 /nfs/dbraw/zinc/57/65/08/752576508.db2.gz QYASVIGXISCLTR-UHFFFAOYSA-N 0 2 316.365 0.672 20 0 DCADLN C[C@H](CC(F)F)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113125543 762151433 /nfs/dbraw/zinc/15/14/33/762151433.db2.gz NQCKRIKDCXUHHG-MRVPVSSYSA-N 0 2 303.313 0.446 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2C[C@@H](NC(=O)c3cn[nH]n3)C23CCC3)o1 ZINC001078667633 753335011 /nfs/dbraw/zinc/33/50/11/753335011.db2.gz HFNBHJYYXVWSHA-GHMZBOCLSA-N 0 2 317.353 0.327 20 0 DCADLN C[C@@]1(NC(=O)c2ccncc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046101913 753481925 /nfs/dbraw/zinc/48/19/25/753481925.db2.gz OFVXQOCVNIJBEB-CQSZACIVSA-N 0 2 302.338 0.300 20 0 DCADLN C[C@@]1(NC(=O)c2ccncc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046101913 753481932 /nfs/dbraw/zinc/48/19/32/753481932.db2.gz OFVXQOCVNIJBEB-CQSZACIVSA-N 0 2 302.338 0.300 20 0 DCADLN CCOCC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCC[NH+]2C)[C@H](OC)C1 ZINC001212465536 753511874 /nfs/dbraw/zinc/51/18/74/753511874.db2.gz LOBMBNWYLIQUDO-QLFBSQMISA-N 0 2 313.442 0.323 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1CC12CCC2 ZINC001096079558 753530485 /nfs/dbraw/zinc/53/04/85/753530485.db2.gz TWDOKBCXTAWZBH-RHYQMDGZSA-N 0 2 317.393 0.922 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CCCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046190538 753540469 /nfs/dbraw/zinc/54/04/69/753540469.db2.gz RSOHOHINGLEXHN-QMTHXVAHSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CCCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046190538 753540474 /nfs/dbraw/zinc/54/04/74/753540474.db2.gz RSOHOHINGLEXHN-QMTHXVAHSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046234077 753576748 /nfs/dbraw/zinc/57/67/48/753576748.db2.gz ULXJDPLKDMMCRU-CQSZACIVSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046234077 753576750 /nfs/dbraw/zinc/57/67/50/753576750.db2.gz ULXJDPLKDMMCRU-CQSZACIVSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001046247412 753585031 /nfs/dbraw/zinc/58/50/31/753585031.db2.gz YYWWMVNZTKUDNC-CQSZACIVSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CCCOCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046278704 753608530 /nfs/dbraw/zinc/60/85/30/753608530.db2.gz RTHBUOFLODNVED-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CCCOCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046278704 753608531 /nfs/dbraw/zinc/60/85/31/753608531.db2.gz RTHBUOFLODNVED-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN CCc1nnc([C@@H](C)N2CC[C@](C)(NC(=O)c3cnn[nH]3)C2)o1 ZINC001046441335 753719157 /nfs/dbraw/zinc/71/91/57/753719157.db2.gz IJUUBPCODLNPHC-OTYXRUKQSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@@H](C)[N@@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)o1 ZINC001046441335 753719159 /nfs/dbraw/zinc/71/91/59/753719159.db2.gz IJUUBPCODLNPHC-OTYXRUKQSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@@H](C)[N@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)o1 ZINC001046441335 753719162 /nfs/dbraw/zinc/71/91/62/753719162.db2.gz IJUUBPCODLNPHC-OTYXRUKQSA-N 0 2 319.369 0.706 20 0 DCADLN C[C@@]1(NC(=O)c2cnn[nH]2)CCN(Cc2nnc(C3CC3)[nH]2)C1 ZINC001046445819 753725413 /nfs/dbraw/zinc/72/54/13/753725413.db2.gz UBYUAMPWPIINCW-CQSZACIVSA-N 0 2 316.369 0.195 20 0 DCADLN C[C@]1(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046554523 753789175 /nfs/dbraw/zinc/78/91/75/753789175.db2.gz QVWUQXMEYFBFTF-SQYUCLKFSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@]1(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046554523 753789181 /nfs/dbraw/zinc/78/91/81/753789181.db2.gz QVWUQXMEYFBFTF-SQYUCLKFSA-N 0 2 305.382 0.637 20 0 DCADLN CC(C(=O)N(C)[C@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O)=C1CCC1 ZINC001047379276 754226168 /nfs/dbraw/zinc/22/61/68/754226168.db2.gz ZLPLAIDQDTVBHK-RYUDHWBXSA-N 0 2 321.381 0.014 20 0 DCADLN O=C(c1cocn1)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049369314 755238613 /nfs/dbraw/zinc/23/86/13/755238613.db2.gz MROOASMVGFTBKK-MNOVXSKESA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cocn1)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049369314 755238616 /nfs/dbraw/zinc/23/86/16/755238616.db2.gz MROOASMVGFTBKK-MNOVXSKESA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cocn1)N1CCC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049994935 755484906 /nfs/dbraw/zinc/48/49/06/755484906.db2.gz CDSMZZTXJVCCKX-GXSJLCMTSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cocn1)N1CCC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049994935 755484907 /nfs/dbraw/zinc/48/49/07/755484907.db2.gz CDSMZZTXJVCCKX-GXSJLCMTSA-N 0 2 318.337 0.235 20 0 DCADLN CC(C)C(=O)N1CCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001064869908 755549346 /nfs/dbraw/zinc/54/93/46/755549346.db2.gz FMHIJELSECOGSD-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)N1CCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001064869908 755549350 /nfs/dbraw/zinc/54/93/50/755549350.db2.gz FMHIJELSECOGSD-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)c(C)o1 ZINC001079410329 755814843 /nfs/dbraw/zinc/81/48/43/755814843.db2.gz JKVAFWHBAFEPAM-PRHODGIISA-N 0 2 319.365 0.970 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cccnc1 ZINC001079576834 755914463 /nfs/dbraw/zinc/91/44/63/755914463.db2.gz XCILWKVMKDQWMZ-ZYHUDNBSSA-N 0 2 316.365 0.085 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cccnc1 ZINC001079576834 755914467 /nfs/dbraw/zinc/91/44/67/755914467.db2.gz XCILWKVMKDQWMZ-ZYHUDNBSSA-N 0 2 316.365 0.085 20 0 DCADLN C[C@@H]1C[N@H+](CCO[C@H]2CC2(F)F)C[C@H]1NC(=O)c1cnn[nH]1 ZINC001080029567 756080284 /nfs/dbraw/zinc/08/02/84/756080284.db2.gz GJJRSCPQVIWJPX-IEBDPFPHSA-N 0 2 315.324 0.279 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C(C)(C)C1CC1 ZINC001080299237 756156503 /nfs/dbraw/zinc/15/65/03/756156503.db2.gz YFKVBTVFLAFVIH-MWLCHTKSSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C(C)(C)C1CC1 ZINC001080299237 756156504 /nfs/dbraw/zinc/15/65/04/756156504.db2.gz YFKVBTVFLAFVIH-MWLCHTKSSA-N 0 2 307.398 0.883 20 0 DCADLN CO[C@H](C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774551 756196343 /nfs/dbraw/zinc/19/63/43/756196343.db2.gz FILJYLUIVIALED-KOLCDFICSA-N 0 2 324.381 0.032 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC2(CC2)C1 ZINC001080416634 756206570 /nfs/dbraw/zinc/20/65/70/756206570.db2.gz SYGYRWHYYXWXJR-MWLCHTKSSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC2(CC2)C1 ZINC001080416634 756206572 /nfs/dbraw/zinc/20/65/72/756206572.db2.gz SYGYRWHYYXWXJR-MWLCHTKSSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1cc(C(=O)N2CC3(C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C3)no1 ZINC001072449473 762492824 /nfs/dbraw/zinc/49/28/24/762492824.db2.gz VFQBOXDHNXUJQF-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN Cc1cc(C(=O)N2CC3(C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C3)no1 ZINC001072449473 762492825 /nfs/dbraw/zinc/49/28/25/762492825.db2.gz VFQBOXDHNXUJQF-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@H]1CCC1(C)C ZINC001082043634 756904810 /nfs/dbraw/zinc/90/48/10/756904810.db2.gz JXDCCPRCYRWVFT-GMTAPVOTSA-N 0 2 323.397 0.262 20 0 DCADLN CC[C@@H](C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001057023206 757037180 /nfs/dbraw/zinc/03/71/80/757037180.db2.gz UUSXZLMMBPJQCB-BDAKNGLRSA-N 0 2 316.295 0.870 20 0 DCADLN CC[C@@H](C)C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001057023206 757037186 /nfs/dbraw/zinc/03/71/86/757037186.db2.gz UUSXZLMMBPJQCB-BDAKNGLRSA-N 0 2 316.295 0.870 20 0 DCADLN O=C([C@H]1CC=CCC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084182883 757714208 /nfs/dbraw/zinc/71/42/08/757714208.db2.gz MRUKVWUHFJZAPD-YNEHKIRRSA-N 0 2 317.393 0.899 20 0 DCADLN CC1(C)CC(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001050901547 758226326 /nfs/dbraw/zinc/22/63/26/758226326.db2.gz BKAGSVQYKFGFAY-LLVKDONJSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cccc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001085490001 758937999 /nfs/dbraw/zinc/93/79/99/758937999.db2.gz MQIQPWRYESWYJL-LLVKDONJSA-N 0 2 316.365 0.560 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001085529960 759007378 /nfs/dbraw/zinc/00/73/78/759007378.db2.gz MEKMGVQSWPXBAR-JTQLQIEISA-N 0 2 320.353 0.462 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@H](CO)c1cccnc1 ZINC000828677767 759058726 /nfs/dbraw/zinc/05/87/26/759058726.db2.gz LXPAARNYCBZJJQ-SECBINFHSA-N 0 2 319.283 0.688 20 0 DCADLN CC(=O)N1CC2(C1)CC[C@H](CNC(=O)C(F)C(F)(F)F)O2 ZINC001068583673 760424381 /nfs/dbraw/zinc/42/43/81/760424381.db2.gz SYVUVSPMOVAIBF-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN CC(=O)N1CC2(C1)CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)O2 ZINC001068583673 760424389 /nfs/dbraw/zinc/42/43/89/760424389.db2.gz SYVUVSPMOVAIBF-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NCCn1cc[nH+]c1)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148915645 768049740 /nfs/dbraw/zinc/04/97/40/768049740.db2.gz WKGFSYYPVVESMA-UHFFFAOYSA-N 0 2 311.319 0.265 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)Nc1ccc2nnnn2n1 ZINC001098327720 761649861 /nfs/dbraw/zinc/64/98/61/761649861.db2.gz NKEHXSZGPOTBFX-YLWLKBPMSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)Nc1ccc2nnnn2n1 ZINC001098327720 761649866 /nfs/dbraw/zinc/64/98/66/761649866.db2.gz NKEHXSZGPOTBFX-YLWLKBPMSA-N 0 2 321.238 0.336 20 0 DCADLN Cc1nc(N[C@H](C)CCNC(=O)c2n[nH]c(=O)[n-]c2=O)cc[nH+]1 ZINC001099789128 763039778 /nfs/dbraw/zinc/03/97/78/763039778.db2.gz UALAMBVHQFZZMO-SSDOTTSWSA-N 0 2 319.325 0.002 20 0 DCADLN CC(C)(C)C(=O)NCC(=O)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000021602169 763328008 /nfs/dbraw/zinc/32/80/08/763328008.db2.gz HKGSZSNUHJYKIO-UHFFFAOYSA-N 0 2 321.381 0.212 20 0 DCADLN COC(CN(C(=O)C[C@@H]1SC(=N)NC1=O)C1CC1)OC ZINC001154904293 770333311 /nfs/dbraw/zinc/33/33/11/770333311.db2.gz BJCONJPKRCEUHV-QMMMGPOBSA-N 0 2 301.368 0.153 20 0 DCADLN CCn1ccc(C[NH2+]CCNC(=O)[C@@H]2C[N@@H+](C(C)C)CCO2)n1 ZINC001132848802 764690867 /nfs/dbraw/zinc/69/08/67/764690867.db2.gz RUVUWPFLVYVCCG-HNNXBMFYSA-N 0 2 323.441 0.218 20 0 DCADLN CN(C[C@@H](O)CNc1ncccn1)C(=O)C(F)C(F)(F)F ZINC001124809610 768308157 /nfs/dbraw/zinc/30/81/57/768308157.db2.gz YBNKNERMOGGARJ-JGVFFNPUSA-N 0 2 310.251 0.608 20 0 DCADLN CN(C[C@@H](O)CNc1ncccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001124809610 768308165 /nfs/dbraw/zinc/30/81/65/768308165.db2.gz YBNKNERMOGGARJ-JGVFFNPUSA-N 0 2 310.251 0.608 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C[C@H](C)Nc1nccn2nnnc12 ZINC001115612871 765768913 /nfs/dbraw/zinc/76/89/13/765768913.db2.gz MVPYIFIHJFSPTF-VHSXEESVSA-N 0 2 307.358 0.203 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1CC1 ZINC001149472228 768761888 /nfs/dbraw/zinc/76/18/88/768761888.db2.gz DXQQLTYGSWCJQJ-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)NC[C@H]2C[N@H+](CCF)CCCO2)C1 ZINC001150333869 769065833 /nfs/dbraw/zinc/06/58/33/769065833.db2.gz QGVWHBVDRQMIGG-KGLIPLIRSA-N 0 2 301.406 0.505 20 0 DCADLN O=C(c1ccc2oc(=O)nc-2[n-]1)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001150609622 769139236 /nfs/dbraw/zinc/13/92/36/769139236.db2.gz ZTZACONENNDDHR-SNVBAGLBSA-N 0 2 314.305 0.438 20 0 DCADLN Cn1ncc(C(=O)N[C@@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)n1 ZINC001151369957 769326204 /nfs/dbraw/zinc/32/62/04/769326204.db2.gz KYJFLWOGTYTQER-JTQLQIEISA-N 0 2 313.321 0.353 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCc1ccoc1 ZINC001233590676 769404612 /nfs/dbraw/zinc/40/46/12/769404612.db2.gz QGEOJGHMHXKDOE-GFCCVEGCSA-N 0 2 319.365 0.769 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001151976766 769454305 /nfs/dbraw/zinc/45/43/05/769454305.db2.gz SMUFYLIUVFSLOA-JTQLQIEISA-N 0 2 305.290 0.699 20 0 DCADLN CC[C@@H]1OC(=O)C(Oc2c(C(=O)OC)n[nH]c2C(=O)OC)=C1C ZINC001234189802 769577779 /nfs/dbraw/zinc/57/77/79/769577779.db2.gz MZMHVKQHCPYDHF-ZETCQYMHSA-N 0 2 324.289 0.971 20 0 DCADLN CC[C@H](C(N)=O)[NH+]1CC[C@@H]1CN(C)C(=O)C[N@H+](C)C1CCC1 ZINC001235392237 769829525 /nfs/dbraw/zinc/82/95/25/769829525.db2.gz IMQMFTOMTPCKFT-ZIAGYGMSSA-N 0 2 310.442 0.267 20 0 DCADLN Cc1[nH][nH]c(=O)c1CCNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153861644 769970207 /nfs/dbraw/zinc/97/02/07/769970207.db2.gz HMIYPEZXVHRDDF-UHFFFAOYSA-N 0 2 313.317 0.633 20 0 DCADLN O=C([O-])CCC(=O)CNC(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC001176973352 770264895 /nfs/dbraw/zinc/26/48/95/770264895.db2.gz HZBFLONRACINCR-LBPRGKRZSA-N 0 2 319.361 0.848 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001154907267 770335275 /nfs/dbraw/zinc/33/52/75/770335275.db2.gz NGBWMGJCAINBNT-UHFFFAOYSA-N 0 2 306.307 0.308 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnc2cc(C3CC3)nn2c1 ZINC001155863905 770642090 /nfs/dbraw/zinc/64/20/90/770642090.db2.gz MGFOJOKNTLPJCC-LBPRGKRZSA-N 0 2 317.330 0.646 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[NH2+]Cc2noc(C3CC3)n2)c1[O-] ZINC001156635294 770883506 /nfs/dbraw/zinc/88/35/06/770883506.db2.gz XQXZRWVIQHPFPM-UHFFFAOYSA-N 0 2 320.353 0.594 20 0 DCADLN CCOCCn1cc(C(=O)N[C@H](C)c2nn(C)cc2O)cn1 ZINC001179925709 771034443 /nfs/dbraw/zinc/03/44/43/771034443.db2.gz AJMFCDCIRZZYIK-SNVBAGLBSA-N 0 2 307.354 0.850 20 0 DCADLN C[C@H](Cc1ccc2c(c1)OCO2)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001182411623 771473971 /nfs/dbraw/zinc/47/39/71/771473971.db2.gz MIEQMRWJAGLJAZ-MRVPVSSYSA-N 0 2 304.306 0.734 20 0 DCADLN CS[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110316461 771503450 /nfs/dbraw/zinc/50/34/50/771503450.db2.gz KAWCJEGVBLSESG-JLIMGVALSA-N 0 2 311.411 0.483 20 0 DCADLN CS[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110316462 771503597 /nfs/dbraw/zinc/50/35/97/771503597.db2.gz KAWCJEGVBLSESG-KYXWUPHJSA-N 0 2 311.411 0.483 20 0 DCADLN CON(CCC(=O)NCc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC001182628152 771516338 /nfs/dbraw/zinc/51/63/38/771516338.db2.gz NYQITBZEMCHWLP-UHFFFAOYSA-N 0 2 315.330 0.315 20 0 DCADLN CCOC(=O)c1ncc(NC(=O)C(CO)C(F)(F)F)cn1 ZINC001183281849 771626837 /nfs/dbraw/zinc/62/68/37/771626837.db2.gz YOJNAGQYSOATII-SSDOTTSWSA-N 0 2 307.228 0.763 20 0 DCADLN CCOC(=O)c1ncc(NC(=O)[C@@H](CO)C(F)(F)F)cn1 ZINC001183281849 771626840 /nfs/dbraw/zinc/62/68/40/771626840.db2.gz YOJNAGQYSOATII-SSDOTTSWSA-N 0 2 307.228 0.763 20 0 DCADLN Cc1cccc(N2CC[C@@H](C(=O)NCc3n[nH]c(=O)[nH]3)C2=O)c1 ZINC001184633849 771804195 /nfs/dbraw/zinc/80/41/95/771804195.db2.gz NMPFEFAUZOFKID-NSHDSACASA-N 0 2 315.333 0.488 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CC3(C2)C[NH+](C)C3)cc1C(=O)[O-] ZINC001184692542 771813330 /nfs/dbraw/zinc/81/33/30/771813330.db2.gz YAEKPMUXYXVQGW-UHFFFAOYSA-N 0 2 310.375 0.629 20 0 DCADLN CC[C@H](OC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110369058 771953770 /nfs/dbraw/zinc/95/37/70/771953770.db2.gz WMVSFEQJQJLIOW-ZNSHCXBVSA-N 0 2 309.370 0.157 20 0 DCADLN Cc1oncc1S(=O)(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC001187327846 772166959 /nfs/dbraw/zinc/16/69/59/772166959.db2.gz ICLGJCQWJGJBQE-UHFFFAOYSA-N 0 2 317.348 0.582 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnc(C(F)(F)F)nc1 ZINC001190384681 772622515 /nfs/dbraw/zinc/62/25/15/772622515.db2.gz VENMVNVDIWCUDH-LURJTMIESA-N 0 2 306.225 0.534 20 0 DCADLN C[C@]1(CO)CCN(C(=O)c2c(F)ccc(F)c2O)C[C@H]1O ZINC001192694415 772948739 /nfs/dbraw/zinc/94/87/39/772948739.db2.gz XBKYCMGMXAYEIS-QMTHXVAHSA-N 0 2 301.289 0.876 20 0 DCADLN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2ccc(F)c(F)c2O)C1 ZINC001192811385 772963529 /nfs/dbraw/zinc/96/35/29/772963529.db2.gz QOGWZKZAMDRDNQ-LJGSYFOKSA-N 0 2 305.302 0.976 20 0 DCADLN O=C(c1cc(F)c(O)cc1F)N1CCN2C(=O)COC[C@@H]2C1 ZINC001192868156 772966768 /nfs/dbraw/zinc/96/67/68/772966768.db2.gz VTKLLZXPFHIUIY-QMMMGPOBSA-N 0 2 312.272 0.354 20 0 DCADLN O=C(c1cc(F)c(O)cc1F)N1CCC2(CNC(=O)N2)CC1 ZINC001192871140 772967547 /nfs/dbraw/zinc/96/75/47/772967547.db2.gz CNHCIHGZBRJAAB-UHFFFAOYSA-N 0 2 311.288 0.958 20 0 DCADLN O=C(N[C@H]1COCCC12OCCO2)c1ccc(O)c(F)c1F ZINC001192843510 772968568 /nfs/dbraw/zinc/96/85/68/772968568.db2.gz XFFXBHSCWYQXQE-JTQLQIEISA-N 0 2 315.272 0.932 20 0 DCADLN O=S(=O)(CC1CCC1)Nc1ncnc2c1ncn2CCO ZINC001193471150 773067886 /nfs/dbraw/zinc/06/78/86/773067886.db2.gz JWDBDGJPTJYWIM-UHFFFAOYSA-N 0 2 311.367 0.360 20 0 DCADLN COc1ccnc(C(=O)N=c2ncnc3[nH][nH]c(C)c2-3)c1O ZINC001193520020 773076966 /nfs/dbraw/zinc/07/69/66/773076966.db2.gz HXGPCHILLOSHPX-UHFFFAOYSA-N 0 2 300.278 0.396 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1ccc(F)c(O)c1F ZINC001193792980 773110346 /nfs/dbraw/zinc/11/03/46/773110346.db2.gz HZOGAAVPUFMAPA-UHFFFAOYSA-N 0 2 323.215 0.952 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206882661 773119809 /nfs/dbraw/zinc/11/98/09/773119809.db2.gz XNGVGJWQRGYATK-GMTAPVOTSA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206882661 773119810 /nfs/dbraw/zinc/11/98/10/773119810.db2.gz XNGVGJWQRGYATK-GMTAPVOTSA-N 0 2 311.386 0.262 20 0 DCADLN CCOC(=O)c1ncc(NC(=O)c2cc(C(=O)OC)n[nH]2)cn1 ZINC001194281954 773175357 /nfs/dbraw/zinc/17/53/57/773175357.db2.gz BLDAJUZXXWCEDV-UHFFFAOYSA-N 0 2 319.277 0.415 20 0 DCADLN CCOC(=O)c1ncc(NC(=O)c2cc(C(=O)OC)[nH]n2)cn1 ZINC001194281954 773175359 /nfs/dbraw/zinc/17/53/59/773175359.db2.gz BLDAJUZXXWCEDV-UHFFFAOYSA-N 0 2 319.277 0.415 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccn(CC2CC2)c1=O ZINC001194594783 773212756 /nfs/dbraw/zinc/21/27/56/773212756.db2.gz DMXNPVHGOUUWPT-LLVKDONJSA-N 0 2 307.331 0.297 20 0 DCADLN Cn1cnc2c1ncnc2NS(=O)(=O)C1CCC(=O)CC1 ZINC001194759835 773257568 /nfs/dbraw/zinc/25/75/68/773257568.db2.gz QSLDFPRZAWZOGR-UHFFFAOYSA-N 0 2 309.351 0.617 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCc1ccon1 ZINC001207999562 773368207 /nfs/dbraw/zinc/36/82/07/773368207.db2.gz NIVITHLUWQOCEW-MWLCHTKSSA-N 0 2 320.353 0.068 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCc1ccon1 ZINC001207999562 773368214 /nfs/dbraw/zinc/36/82/14/773368214.db2.gz NIVITHLUWQOCEW-MWLCHTKSSA-N 0 2 320.353 0.068 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)nn1Cc1ccccc1 ZINC001195886058 773498312 /nfs/dbraw/zinc/49/83/12/773498312.db2.gz FXYHNCPXVWDZOO-UHFFFAOYSA-N 0 2 312.333 0.994 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(OC(F)(F)F)nc1 ZINC001196043684 773532646 /nfs/dbraw/zinc/53/26/46/773532646.db2.gz WHQBGRFZBUNAQS-UHFFFAOYSA-N 0 2 303.200 0.734 20 0 DCADLN CCOc1nccnc1NS(=O)(=O)CCCCC(=O)OC ZINC001196914906 773668701 /nfs/dbraw/zinc/66/87/01/773668701.db2.gz NJXOMVRYWJPDFV-UHFFFAOYSA-N 0 2 317.367 0.960 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnn(C2CCOCC2)c1 ZINC001197119849 773699363 /nfs/dbraw/zinc/69/93/63/773699363.db2.gz YXIWAYKBQIHAIY-LLVKDONJSA-N 0 2 310.335 0.274 20 0 DCADLN COCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001110812202 774786306 /nfs/dbraw/zinc/78/63/06/774786306.db2.gz LQCQXAPFINIMEE-MXWKQRLJSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(CC1CC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149047669 774804460 /nfs/dbraw/zinc/80/44/60/774804460.db2.gz MNXQQNBVSLOGAN-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095325439 774951414 /nfs/dbraw/zinc/95/14/14/774951414.db2.gz BPJZBVIRVSIZTO-ZNSHCXBVSA-N 0 2 305.382 0.778 20 0 DCADLN COCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCc3c[nH+]cn3C1)C2 ZINC001095645718 775282773 /nfs/dbraw/zinc/28/27/73/775282773.db2.gz DPDCSUXCIYLQPV-VDERGJSUSA-N 0 2 318.421 0.813 20 0 DCADLN COC[C@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001111209214 775598338 /nfs/dbraw/zinc/59/83/38/775598338.db2.gz YEJHMUJIZBWINA-KKOKHZNYSA-N 0 2 323.397 0.404 20 0 DCADLN COC[C@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001111209214 775598343 /nfs/dbraw/zinc/59/83/43/775598343.db2.gz YEJHMUJIZBWINA-KKOKHZNYSA-N 0 2 323.397 0.404 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)Oc1cccc(F)c1 ZINC001225226968 775657129 /nfs/dbraw/zinc/65/71/29/775657129.db2.gz KTOJFITXMSZGHD-CYBMUJFWSA-N 0 2 319.354 0.793 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)Oc1ccc(F)cc1 ZINC001225248165 775658624 /nfs/dbraw/zinc/65/86/24/775658624.db2.gz KZNCQGHQGUEMRZ-ZDUSSCGKSA-N 0 2 319.354 0.793 20 0 DCADLN O=S(=O)([O-])C[C@@H](CN1CCOCC1)Oc1cc[nH+]cc1 ZINC001225291384 775663427 /nfs/dbraw/zinc/66/34/27/775663427.db2.gz UDRXPDNAWZHSLP-GFCCVEGCSA-N 0 2 302.352 0.049 20 0 DCADLN CCOC(=O)C[C@@H](Oc1nc(C)[nH]c(=O)c1F)C(=O)OCC ZINC001226420522 775815746 /nfs/dbraw/zinc/81/57/46/775815746.db2.gz KFPROVQLYTZZIK-MRVPVSSYSA-N 0 2 316.285 0.893 20 0 DCADLN CO[C@H](C)CCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226977611 775905391 /nfs/dbraw/zinc/90/53/91/775905391.db2.gz JZDVKHZJRFSLCZ-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)C(=O)N1CC(Oc2[nH]c(=O)nnc2Br)C1 ZINC001227067447 775922826 /nfs/dbraw/zinc/92/28/26/775922826.db2.gz YSELITQKGGFTEO-UHFFFAOYSA-N 0 2 317.143 0.585 20 0 DCADLN CC(F)(F)CC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001229085722 776155398 /nfs/dbraw/zinc/15/53/98/776155398.db2.gz XUPOWIWOLXDINC-UHFFFAOYSA-N 0 2 303.313 0.636 20 0 DCADLN Cc1cc(C(=O)[O-])cc(C(=O)N[C@@H]2CCC[N@H+](CC(N)=O)C2)c1 ZINC001600109148 970764315 /nfs/dbraw/zinc/76/43/15/970764315.db2.gz LVMJDMDYKHYOEG-CYBMUJFWSA-N 0 2 319.361 0.373 20 0 DCADLN Cc1cc(C(=O)[O-])cc(C(=O)N[C@@H]2CCC[N@@H+](CC(N)=O)C2)c1 ZINC001600109148 970764325 /nfs/dbraw/zinc/76/43/25/970764325.db2.gz LVMJDMDYKHYOEG-CYBMUJFWSA-N 0 2 319.361 0.373 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001480972391 939507117 /nfs/dbraw/zinc/50/71/17/939507117.db2.gz MBTBTDICMZDAHM-LLVKDONJSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001480972391 939507118 /nfs/dbraw/zinc/50/71/18/939507118.db2.gz MBTBTDICMZDAHM-LLVKDONJSA-N 0 2 311.386 0.265 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267919747 939705247 /nfs/dbraw/zinc/70/52/47/939705247.db2.gz SOUFGLXXKHKXHE-SECBINFHSA-N 0 2 309.370 0.206 20 0 DCADLN Cc1ccc(CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001481570387 939943075 /nfs/dbraw/zinc/94/30/75/939943075.db2.gz OKQLJCBSUYLUFT-UHFFFAOYSA-N 0 2 315.377 0.752 20 0 DCADLN O=C(CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1)NC1CC1 ZINC001481689157 940042825 /nfs/dbraw/zinc/04/28/25/940042825.db2.gz AGNCMTLRYLLUQZ-WCBMZHEXSA-N 0 2 311.279 0.356 20 0 DCADLN CC[N@H+]1CCO[C@H](C(=O)NC/C=C/C[NH2+]Cc2cnoc2C)C1 ZINC001268626532 940305369 /nfs/dbraw/zinc/30/53/69/940305369.db2.gz BGRCMDGMQZVGEU-RGDDUWESSA-N 0 2 322.409 0.466 20 0 DCADLN C=C(Cl)C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001268894580 940399688 /nfs/dbraw/zinc/39/96/88/940399688.db2.gz DBPKYLLXINTNBH-UHFFFAOYSA-N 0 2 323.696 0.947 20 0 DCADLN CC(C)=CC(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001269752048 940770099 /nfs/dbraw/zinc/77/00/99/940770099.db2.gz VWSYHATVSVOZTA-UHFFFAOYSA-N 0 2 315.377 0.631 20 0 DCADLN NC(=O)c1cn2c(n1)CN(C(=O)c1cccc(Cl)c1O)CC2 ZINC001269757239 940770684 /nfs/dbraw/zinc/77/06/84/940770684.db2.gz MPQKKBPJKPCDBA-UHFFFAOYSA-N 0 2 320.736 0.997 20 0 DCADLN CCC1(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001364344583 941245221 /nfs/dbraw/zinc/24/52/21/941245221.db2.gz FEINIMXOPPJELB-UHFFFAOYSA-N 0 2 301.372 0.091 20 0 DCADLN Cc1cc[nH+]c(N2CCN(CN3C[C@H](C(=O)[O-])CC3=O)CC2)c1 ZINC001600601131 970834884 /nfs/dbraw/zinc/83/48/84/970834884.db2.gz CGAVVQLXSJLAMD-CYBMUJFWSA-N 0 2 318.377 0.403 20 0 DCADLN COCC[N@H+](CC(=O)[O-])Cc1cc(-c2cnn(C)c2C)no1 ZINC001611009430 970846076 /nfs/dbraw/zinc/84/60/76/970846076.db2.gz CQTOOFWDEUXRTB-UHFFFAOYSA-N 0 2 308.338 0.917 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])Cc1cc(-c2cnn(C)c2C)no1 ZINC001611009430 970846084 /nfs/dbraw/zinc/84/60/84/970846084.db2.gz CQTOOFWDEUXRTB-UHFFFAOYSA-N 0 2 308.338 0.917 20 0 DCADLN COCC(=O)N[C@@](C)(CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001409485437 942014732 /nfs/dbraw/zinc/01/47/32/942014732.db2.gz UAJOPGOMGWZDQG-KOLCDFICSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)N[C@@](C)(CNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001409485437 942014738 /nfs/dbraw/zinc/01/47/38/942014738.db2.gz UAJOPGOMGWZDQG-KOLCDFICSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)N[C@](C)(CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001409485439 942015450 /nfs/dbraw/zinc/01/54/50/942015450.db2.gz UAJOPGOMGWZDQG-MWLCHTKSSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)N[C@](C)(CNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001409485439 942015451 /nfs/dbraw/zinc/01/54/51/942015451.db2.gz UAJOPGOMGWZDQG-MWLCHTKSSA-N 0 2 314.279 0.934 20 0 DCADLN COCC[NH+](C)[C@H](C)CNC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC001409571566 942062825 /nfs/dbraw/zinc/06/28/25/942062825.db2.gz LYXRALYSXJYDRW-DOMZBBRYSA-N 0 2 323.441 0.873 20 0 DCADLN CC(C)CCC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001412875611 942120470 /nfs/dbraw/zinc/12/04/70/942120470.db2.gz MWFKMMSKTKPSEE-PSASIEDQSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001412875611 942120472 /nfs/dbraw/zinc/12/04/72/942120472.db2.gz MWFKMMSKTKPSEE-PSASIEDQSA-N 0 2 316.295 0.916 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483327739 942270129 /nfs/dbraw/zinc/27/01/29/942270129.db2.gz LLJXJTMRPXIILQ-IUCAKERBSA-N 0 2 301.416 0.588 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483327739 942270132 /nfs/dbraw/zinc/27/01/32/942270132.db2.gz LLJXJTMRPXIILQ-IUCAKERBSA-N 0 2 301.416 0.588 20 0 DCADLN CCc1ncncc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483422432 942351582 /nfs/dbraw/zinc/35/15/82/942351582.db2.gz UXRKBRKOXCZDAB-SECBINFHSA-N 0 2 319.369 0.113 20 0 DCADLN CCc1ncncc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483422432 942351587 /nfs/dbraw/zinc/35/15/87/942351587.db2.gz UXRKBRKOXCZDAB-SECBINFHSA-N 0 2 319.369 0.113 20 0 DCADLN CC(C)[N@@H+]1CCC[C@H]1C(=O)NCC[NH2+]Cc1nnc(C2CC2)[nH]1 ZINC001127067111 942427984 /nfs/dbraw/zinc/42/79/84/942427984.db2.gz WRKJLJASZQBSAV-ZDUSSCGKSA-N 0 2 320.441 0.761 20 0 DCADLN C[C@H](NC(=O)Cc1cscn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409889680 942866760 /nfs/dbraw/zinc/86/67/60/942866760.db2.gz BANXZAXJGNTUTB-QMMMGPOBSA-N 0 2 322.394 0.146 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc2c(c1)OCC(=O)N2 ZINC001413326401 942891489 /nfs/dbraw/zinc/89/14/89/942891489.db2.gz KQCHWKKSGAEXGD-UHFFFAOYSA-N 0 2 316.273 0.149 20 0 DCADLN CO[C@@](C)(CO)CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC001413388210 942945032 /nfs/dbraw/zinc/94/50/32/942945032.db2.gz QQTVWJIZCTXPSJ-MLCYQJTMSA-N 0 2 316.445 0.115 20 0 DCADLN C[C@@H]1Cc2n[nH]cc2CN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC001413549098 943064980 /nfs/dbraw/zinc/06/49/80/943064980.db2.gz CRIQUEPFOGXLAX-XCBNKYQSSA-N 0 2 307.379 0.487 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1C[C@H]1C1CC1 ZINC001098010540 943121166 /nfs/dbraw/zinc/12/11/66/943121166.db2.gz GMZSZHQWFCMWSV-MLGHIDQZSA-N 0 2 317.393 0.778 20 0 DCADLN C[C@H](O)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001484195899 943186145 /nfs/dbraw/zinc/18/61/45/943186145.db2.gz MWBHQRLOITWIDQ-QWRGUYRKSA-N 0 2 316.352 0.406 20 0 DCADLN CC(C)CC(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056828477 943438820 /nfs/dbraw/zinc/43/88/20/943438820.db2.gz NBOZPDCWQJWWPL-SNVBAGLBSA-N 0 2 316.295 0.870 20 0 DCADLN CC(C)CC(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001056828477 943438822 /nfs/dbraw/zinc/43/88/22/943438822.db2.gz NBOZPDCWQJWWPL-SNVBAGLBSA-N 0 2 316.295 0.870 20 0 DCADLN C[C@@H]1CC=C(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001413668815 943560375 /nfs/dbraw/zinc/56/03/75/943560375.db2.gz AMOBPDCSEYHGRR-SECBINFHSA-N 0 2 312.395 0.712 20 0 DCADLN C[C@H](CNC(=O)CCC(C)(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485319767 943824409 /nfs/dbraw/zinc/82/44/09/943824409.db2.gz LAYUQCJABVDPIQ-MRVPVSSYSA-N 0 2 305.329 0.882 20 0 DCADLN C[C@H](CNC(=O)CCC(C)(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485319767 943824418 /nfs/dbraw/zinc/82/44/18/943824418.db2.gz LAYUQCJABVDPIQ-MRVPVSSYSA-N 0 2 305.329 0.882 20 0 DCADLN Cc1nc(CNC(=O)c2nc[nH]c(=O)c2Br)n[nH]1 ZINC001413775420 943867839 /nfs/dbraw/zinc/86/78/39/943867839.db2.gz WZIFHPAYPKCWMN-UHFFFAOYSA-N 0 2 313.115 0.301 20 0 DCADLN CCc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001485394572 943909424 /nfs/dbraw/zinc/90/94/24/943909424.db2.gz HFVLRHHJJLGYKN-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CCc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001485394572 943909428 /nfs/dbraw/zinc/90/94/28/943909428.db2.gz HFVLRHHJJLGYKN-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CCc1cnc(C[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001491432195 943990132 /nfs/dbraw/zinc/99/01/32/943990132.db2.gz PEMXNXDEKRPHIC-QMMMGPOBSA-N 0 2 307.354 0.882 20 0 DCADLN CCc1cnc(C[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001491432194 943990603 /nfs/dbraw/zinc/99/06/03/943990603.db2.gz PEMXNXDEKRPHIC-MRVPVSSYSA-N 0 2 307.354 0.882 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CCc2[nH+]ccn2C1)[NH2+]Cc1ccnn1C ZINC001491508520 944052459 /nfs/dbraw/zinc/05/24/59/944052459.db2.gz UPBQLFVWZUOIRL-OLZOCXBDSA-N 0 2 316.409 0.474 20 0 DCADLN C[C@H](CC[NH2+]Cc1n[nH]c(=O)[n-]1)NC(=O)C(F)C(F)(F)F ZINC001272791088 944229515 /nfs/dbraw/zinc/22/95/15/944229515.db2.gz NEFCPPOFJNCOOG-VDTYLAMSSA-N 0 2 313.255 0.395 20 0 DCADLN C[C@H](CC[NH2+]Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](F)C(F)(F)F ZINC001272791088 944229520 /nfs/dbraw/zinc/22/95/20/944229520.db2.gz NEFCPPOFJNCOOG-VDTYLAMSSA-N 0 2 313.255 0.395 20 0 DCADLN NC(=O)c1[nH]nc2c1CN(C(=O)c1cc(F)c(O)cc1F)CC2 ZINC001276858674 944331544 /nfs/dbraw/zinc/33/15/44/944331544.db2.gz KUHBECIWHLVAET-UHFFFAOYSA-N 0 2 322.271 0.691 20 0 DCADLN CC(C)c1nnc([C@H](C)NC(=O)CC[C@@H]2NC(=O)NC2=O)[nH]1 ZINC001319817252 945672804 /nfs/dbraw/zinc/67/28/04/945672804.db2.gz PDLLSDWVLKIDDF-YUMQZZPRSA-N 0 2 308.342 0.094 20 0 DCADLN CO[N-]C(=O)CNC(=O)N[C@@H](C)c1ccc(-n2cc[nH+]c2)cc1 ZINC001251349387 945751128 /nfs/dbraw/zinc/75/11/28/945751128.db2.gz GAIVOFDGMXLXHE-NSHDSACASA-N 0 2 317.349 0.910 20 0 DCADLN CO[N-]C(=O)CNC(=O)N[C@H](C)c1ccc(-n2cc[nH+]c2)cc1 ZINC001251349385 945751617 /nfs/dbraw/zinc/75/16/17/945751617.db2.gz GAIVOFDGMXLXHE-LLVKDONJSA-N 0 2 317.349 0.910 20 0 DCADLN O=S(=O)(CC(F)(F)F)NC1CC2(C1)CS(=O)(=O)C2 ZINC001253218075 946099219 /nfs/dbraw/zinc/09/92/19/946099219.db2.gz LBXMEYAELCORKF-UHFFFAOYSA-N 0 2 307.315 0.045 20 0 DCADLN CC[C@H](C)c1nnc([C@@H](C)NC(=O)CC[C@@H]2NC(=O)NC2=O)[nH]1 ZINC001321895831 946504192 /nfs/dbraw/zinc/50/41/92/946504192.db2.gz RCWNHSXLQACJQR-YIZRAAEISA-N 0 2 322.369 0.484 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cccnc1N1CCCCC1 ZINC001259018216 946892907 /nfs/dbraw/zinc/89/29/07/946892907.db2.gz ZQMGYPPKLPLQGV-UHFFFAOYSA-N 0 2 313.379 0.987 20 0 DCADLN COCCOc1cc(NS(=O)(=O)CC(=O)OC)ccn1 ZINC001259020352 946895410 /nfs/dbraw/zinc/89/54/10/946895410.db2.gz PWHOAZPQILFGAD-UHFFFAOYSA-N 0 2 304.324 0.022 20 0 DCADLN COc1cccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)c1 ZINC001259183829 946924494 /nfs/dbraw/zinc/92/44/94/946924494.db2.gz HIXDBPBONWYSDL-UHFFFAOYSA-N 0 2 308.319 0.385 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)C2CC2)c(C(=O)OC)c1 ZINC001259271804 946934704 /nfs/dbraw/zinc/93/47/04/946934704.db2.gz SMLJXONGGAPOKQ-UHFFFAOYSA-N 0 2 314.319 0.559 20 0 DCADLN COCCS(=O)(=O)Nc1cnc(Br)c(C)n1 ZINC001259965510 947040444 /nfs/dbraw/zinc/04/04/44/947040444.db2.gz UKPNOIUMVCMTIW-UHFFFAOYSA-N 0 2 310.173 0.936 20 0 DCADLN COCCS(=O)(=O)Nc1cc2c(cc1OC)nc[nH]c2=O ZINC001259972377 947045489 /nfs/dbraw/zinc/04/54/89/947045489.db2.gz APAXOFYAJSXWFX-UHFFFAOYSA-N 0 2 313.335 0.732 20 0 DCADLN O=c1[nH]c2ccc(S(=O)(=O)Nc3cncnc3)cc2c(=O)[nH]1 ZINC001260610109 947130429 /nfs/dbraw/zinc/13/04/29/947130429.db2.gz LIURQGPYHNTSNG-UHFFFAOYSA-N 0 2 319.302 0.632 20 0 DCADLN Cc1c(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)ncn1C ZINC001260668551 947135103 /nfs/dbraw/zinc/13/51/03/947135103.db2.gz FOXLBFKEMILPLO-UHFFFAOYSA-N 0 2 322.346 0.860 20 0 DCADLN Cc1cnn(C)c1NS(=O)(=O)c1cnc2c(cnn2C)c1 ZINC001261578702 947355691 /nfs/dbraw/zinc/35/56/91/947355691.db2.gz MFSQSJRQWBNGDN-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN Nc1cccc(S(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)c1 ZINC001325832400 947941599 /nfs/dbraw/zinc/94/15/99/947941599.db2.gz CWCFILKZUOVBCS-UHFFFAOYSA-N 0 2 313.379 0.050 20 0 DCADLN C/C(=C/C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001326617607 948218216 /nfs/dbraw/zinc/21/82/16/948218216.db2.gz QVQNEKCAIQFZIA-XTOZHPGHSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)C=CCC1 ZINC001326646416 948230755 /nfs/dbraw/zinc/23/07/55/948230755.db2.gz DHQVZSRYRVYVIG-ABAIWWIYSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2)N1CCCC1 ZINC001570919414 948587320 /nfs/dbraw/zinc/58/73/20/948587320.db2.gz SVWIYIXGNWDGDN-UHFFFAOYSA-N 0 2 314.349 0.140 20 0 DCADLN Nc1cn[nH]c1[C@@H]1CCN(C(=O)c2ccc(-c3nn[nH]n3)o2)C1 ZINC001570919779 948592881 /nfs/dbraw/zinc/59/28/81/948592881.db2.gz APEVEWOUQLYYGT-SSDOTTSWSA-N 0 2 314.309 0.395 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N1CC[NH+](CCOC(C)C)CC1 ZINC001327944282 948670720 /nfs/dbraw/zinc/67/07/20/948670720.db2.gz FOIZTNDXUMIKFU-AWEZNQCLSA-N 0 2 302.371 0.045 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[NH+]1CCC(O)(COCCO)CC1 ZINC001604478930 1169600051 /nfs/dbraw/zinc/60/00/51/1169600051.db2.gz ZRSAKBBVQDDPTO-SNVBAGLBSA-N 0 2 314.338 0.270 20 0 DCADLN C[C@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)C1=NN(C)CC1=O ZINC001364395598 949182361 /nfs/dbraw/zinc/18/23/61/949182361.db2.gz FTHGNMQUILVQEL-LURJTMIESA-N 0 2 322.287 0.760 20 0 DCADLN C[C@H](CNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001364511191 949455274 /nfs/dbraw/zinc/45/52/74/949455274.db2.gz PJNIFZMTNFYTJG-MRVPVSSYSA-N 0 2 315.399 0.195 20 0 DCADLN Cc1cc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001364570494 949568752 /nfs/dbraw/zinc/56/87/52/949568752.db2.gz TZNXLKOGZDDEDN-JTQLQIEISA-N 0 2 317.353 0.698 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)cn1 ZINC001595268090 949783300 /nfs/dbraw/zinc/78/33/00/949783300.db2.gz PTBXISGQECSPAB-LLVKDONJSA-N 0 2 320.349 0.112 20 0 DCADLN Cc1cc(=O)[nH]c(N2CC[C@@H](NC(=O)c3[nH]ncc3F)C2)n1 ZINC001364824119 950019747 /nfs/dbraw/zinc/01/97/47/950019747.db2.gz FAHOLLMGRGDLMW-MRVPVSSYSA-N 0 2 306.301 0.362 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)Cc1cccc(OC)c1 ZINC001364991778 950312843 /nfs/dbraw/zinc/31/28/43/950312843.db2.gz QRCDMAMITPFVFA-UHFFFAOYSA-N 0 2 323.317 0.923 20 0 DCADLN CC(C)OC(=O)CNC(=O)[C@H](C)SCc1n[nH]c(=O)[nH]1 ZINC001365259237 950830008 /nfs/dbraw/zinc/83/00/08/950830008.db2.gz IMHNVEATVRXVHN-ZETCQYMHSA-N 0 2 302.356 0.200 20 0 DCADLN CC(C)(C)[C@@H]1CSCC[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001602854344 971703317 /nfs/dbraw/zinc/70/33/17/971703317.db2.gz DWDSDXUJNICMTR-JTQLQIEISA-N 0 2 309.453 0.949 20 0 DCADLN CC(C)(C)[C@@H]1CSCC[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001602854344 971703322 /nfs/dbraw/zinc/70/33/22/971703322.db2.gz DWDSDXUJNICMTR-JTQLQIEISA-N 0 2 309.453 0.949 20 0 DCADLN C[C@]1(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCOC1 ZINC001365340580 950994302 /nfs/dbraw/zinc/99/43/02/950994302.db2.gz KSURMIJNVHLEEG-QMTHXVAHSA-N 0 2 309.370 0.826 20 0 DCADLN O=C([O-])CCC(=O)N1C[C@H]2OCC[N@H+](Cc3ccccc3)[C@H]2C1 ZINC001594996567 951086026 /nfs/dbraw/zinc/08/60/26/951086026.db2.gz XSNUQVRDCKLXPF-LSDHHAIUSA-N 0 2 318.373 0.963 20 0 DCADLN O=C([O-])CCC(=O)N1C[C@H]2OCC[N@@H+](Cc3ccccc3)[C@H]2C1 ZINC001594996567 951086035 /nfs/dbraw/zinc/08/60/35/951086035.db2.gz XSNUQVRDCKLXPF-LSDHHAIUSA-N 0 2 318.373 0.963 20 0 DCADLN CCNC(=O)CNS(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC001365436022 951127934 /nfs/dbraw/zinc/12/79/34/951127934.db2.gz SKECFGYDBFGBNI-LJQANCHMSA-N 0 2 319.408 0.114 20 0 DCADLN CCNC(=O)CNS(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC001365436020 951128401 /nfs/dbraw/zinc/12/84/01/951128401.db2.gz SKECFGYDBFGBNI-IBGZPJMESA-N 0 2 319.408 0.114 20 0 DCADLN Cc1ncoc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365444365 951135994 /nfs/dbraw/zinc/13/59/94/951135994.db2.gz JIURWYNZGFWACZ-VIFPVBQESA-N 0 2 306.326 0.896 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001281092103 951272563 /nfs/dbraw/zinc/27/25/63/951272563.db2.gz WHHSZNIVGYOVPH-DTWKUNHWSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CC=CC1)[C@@H](F)C(F)(F)F ZINC001281092103 951272574 /nfs/dbraw/zinc/27/25/74/951272574.db2.gz WHHSZNIVGYOVPH-DTWKUNHWSA-N 0 2 312.263 0.446 20 0 DCADLN COc1ncncc1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365769161 951517555 /nfs/dbraw/zinc/51/75/55/951517555.db2.gz UEBRCYSOAFUNJE-MRVPVSSYSA-N 0 2 319.325 0.720 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001274428226 951627614 /nfs/dbraw/zinc/62/76/14/951627614.db2.gz AWEZRUPOBRDNSZ-PHIMTYICSA-N 0 2 302.338 0.327 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001274428226 951627622 /nfs/dbraw/zinc/62/76/22/951627622.db2.gz AWEZRUPOBRDNSZ-PHIMTYICSA-N 0 2 302.338 0.327 20 0 DCADLN O=C([O-])COCCNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC001595112784 951917484 /nfs/dbraw/zinc/91/74/84/951917484.db2.gz JGTXJGNTAMCVKH-LLVKDONJSA-N 0 2 310.354 0.341 20 0 DCADLN O=C([O-])COCCNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC001595112784 951917490 /nfs/dbraw/zinc/91/74/90/951917490.db2.gz JGTXJGNTAMCVKH-LLVKDONJSA-N 0 2 310.354 0.341 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@@H]2[N@@H+]1CC(=O)NCC(F)(F)F ZINC001604711929 1169661493 /nfs/dbraw/zinc/66/14/93/1169661493.db2.gz QFUXPSLFOOCUGW-XFWSIPNHSA-N 0 2 324.299 0.760 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@@H]2[N@H+]1CC(=O)NCC(F)(F)F ZINC001604711929 1169661496 /nfs/dbraw/zinc/66/14/96/1169661496.db2.gz QFUXPSLFOOCUGW-XFWSIPNHSA-N 0 2 324.299 0.760 20 0 DCADLN CO[C@@H](C)C(=O)N(C)CCN(C)C(=O)C(F)C(F)(F)F ZINC001416643910 951990885 /nfs/dbraw/zinc/99/08/85/951990885.db2.gz CUAXZYYQLUINBM-YUMQZZPRSA-N 0 2 302.268 0.839 20 0 DCADLN CO[C@@H](C)C(=O)N(C)CCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001416643910 951990891 /nfs/dbraw/zinc/99/08/91/951990891.db2.gz CUAXZYYQLUINBM-YUMQZZPRSA-N 0 2 302.268 0.839 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001333659099 952125818 /nfs/dbraw/zinc/12/58/18/952125818.db2.gz VVOKFAISIIXEHB-IAQYHMDHSA-N 0 2 309.366 0.691 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)N(C)C(=O)C(F)C(F)(F)F ZINC001411563379 952276051 /nfs/dbraw/zinc/27/60/51/952276051.db2.gz QBXKKRAZHOCBMQ-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001411563379 952276055 /nfs/dbraw/zinc/27/60/55/952276055.db2.gz QBXKKRAZHOCBMQ-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN Cn1nc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c2ccccc21 ZINC001411582873 952293821 /nfs/dbraw/zinc/29/38/21/952293821.db2.gz CJBCGDGLUVDEDW-UHFFFAOYSA-N 0 2 323.316 0.597 20 0 DCADLN COC(=O)N1CCC(C(=O)NCCCc2n[nH]c(=O)[nH]2)CC1 ZINC001334722163 952383859 /nfs/dbraw/zinc/38/38/59/952383859.db2.gz VSXPHCFNCDROOY-UHFFFAOYSA-N 0 2 311.342 0.038 20 0 DCADLN Cc1nc([C@@H]2CCOC2)sc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001411880831 952501305 /nfs/dbraw/zinc/50/13/05/952501305.db2.gz GGUIQYWBPSHTHQ-SSDOTTSWSA-N 0 2 309.351 0.709 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cc[nH]n2)C1 ZINC001411961557 952571356 /nfs/dbraw/zinc/57/13/56/952571356.db2.gz SIIXDRAEJSEYDU-HZGVNTEJSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001411961557 952571360 /nfs/dbraw/zinc/57/13/60/952571360.db2.gz SIIXDRAEJSEYDU-HZGVNTEJSA-N 0 2 322.262 0.887 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCCNC(=O)[C@@H]1CCOC1 ZINC001336251713 952666757 /nfs/dbraw/zinc/66/67/57/952666757.db2.gz YHKJPXIYIOXFSK-WCQYABFASA-N 0 2 322.409 0.698 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCCNC(=O)[C@H]1CCOC1 ZINC001336251711 952666933 /nfs/dbraw/zinc/66/69/33/952666933.db2.gz YHKJPXIYIOXFSK-AAEUAGOBSA-N 0 2 322.409 0.698 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001412194899 952688658 /nfs/dbraw/zinc/68/86/58/952688658.db2.gz QMALZNBWGSAAQP-ZKWXMUAHSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001412194899 952688661 /nfs/dbraw/zinc/68/86/61/952688661.db2.gz QMALZNBWGSAAQP-ZKWXMUAHSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1cccnc1N1CCCCC1 ZINC001412363668 952764789 /nfs/dbraw/zinc/76/47/89/952764789.db2.gz MKWVTESRPUKBTA-UHFFFAOYSA-N 0 2 316.365 0.612 20 0 DCADLN CC(C)(O)[C@H](O)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001412466238 952822118 /nfs/dbraw/zinc/82/21/18/952822118.db2.gz UOKHZKDVZDSPIO-WDEREUQCSA-N 0 2 320.349 0.042 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001412562984 952900695 /nfs/dbraw/zinc/90/06/95/952900695.db2.gz PLCUUULXZVIVLX-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001412562984 952900698 /nfs/dbraw/zinc/90/06/98/952900698.db2.gz PLCUUULXZVIVLX-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN Cc1cc(C)n(CC(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)n1 ZINC001412708871 953009506 /nfs/dbraw/zinc/00/95/06/953009506.db2.gz YHCFGZUKBAURQB-UHFFFAOYSA-N 0 2 319.365 0.711 20 0 DCADLN CC(C)N(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)C(N)=O ZINC001338788577 953052052 /nfs/dbraw/zinc/05/20/52/953052052.db2.gz YWMSQURHKKBBQM-ZETCQYMHSA-N 0 2 315.267 0.068 20 0 DCADLN CC(C)N(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)C(N)=O ZINC001338788577 953052049 /nfs/dbraw/zinc/05/20/49/953052049.db2.gz YWMSQURHKKBBQM-ZETCQYMHSA-N 0 2 315.267 0.068 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)[C@H](C)CNC(=O)CC(N)=O ZINC001340059728 953141824 /nfs/dbraw/zinc/14/18/24/953141824.db2.gz YONHNUFPAYUDFU-MUWHJKNJSA-N 0 2 315.267 0.115 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)[C@H](C)CNC(=O)CC(N)=O ZINC001340059728 953141829 /nfs/dbraw/zinc/14/18/29/953141829.db2.gz YONHNUFPAYUDFU-MUWHJKNJSA-N 0 2 315.267 0.115 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1Cn1c2cnccc2n(C)c1=O ZINC001589307746 953755547 /nfs/dbraw/zinc/75/55/47/953755547.db2.gz CMSAXVRJPJWBGU-GHMZBOCLSA-N 0 2 304.350 0.878 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cn1c2cnccc2n(C)c1=O ZINC001589307746 953755554 /nfs/dbraw/zinc/75/55/54/953755554.db2.gz CMSAXVRJPJWBGU-GHMZBOCLSA-N 0 2 304.350 0.878 20 0 DCADLN COC(=O)c1ccccc1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC001347202046 954044065 /nfs/dbraw/zinc/04/40/65/954044065.db2.gz CSWZAHMOZQLOFH-QMMMGPOBSA-N 0 2 305.290 0.401 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CCC(C)(C(=O)[O-])CC2)CCO1 ZINC001589399775 954408237 /nfs/dbraw/zinc/40/82/37/954408237.db2.gz IPVCMLZSOBEZKC-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CCC(C)(C(=O)[O-])CC2)CCO1 ZINC001589399775 954408243 /nfs/dbraw/zinc/40/82/43/954408243.db2.gz IPVCMLZSOBEZKC-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NC[C@H]2CCC[NH+]2Cc2cncn2C)C1 ZINC001366675986 954628808 /nfs/dbraw/zinc/62/88/08/954628808.db2.gz WWIYHSVIQRUXMG-LSDHHAIUSA-N 0 2 319.453 0.843 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC001589432879 954660348 /nfs/dbraw/zinc/66/03/48/954660348.db2.gz RCZJCWMGZZSPOD-CYBMUJFWSA-N 0 2 322.409 0.954 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)O1 ZINC001366738099 954746838 /nfs/dbraw/zinc/74/68/38/954746838.db2.gz BSNMLALUJUETDL-RYUDHWBXSA-N 0 2 323.397 0.549 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)O1 ZINC001366738099 954746849 /nfs/dbraw/zinc/74/68/49/954746849.db2.gz BSNMLALUJUETDL-RYUDHWBXSA-N 0 2 323.397 0.549 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC[C@@H](F)C1 ZINC001366754123 954776781 /nfs/dbraw/zinc/77/67/81/954776781.db2.gz RBSWPFRDEAPHEK-NXEZZACHSA-N 0 2 311.361 0.729 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC[C@@H](F)C1 ZINC001366754123 954776796 /nfs/dbraw/zinc/77/67/96/954776796.db2.gz RBSWPFRDEAPHEK-NXEZZACHSA-N 0 2 311.361 0.729 20 0 DCADLN O=C(NC1CN(CCNC(=O)C2CC2)C1)[C@@H](F)C(F)(F)F ZINC001366918047 955054174 /nfs/dbraw/zinc/05/41/74/955054174.db2.gz BYDPPJWATSJACA-SECBINFHSA-N 0 2 311.279 0.213 20 0 DCADLN O=C(NC1CN(CCNC(=O)C2CC2)C1)C(F)C(F)(F)F ZINC001366918047 955054161 /nfs/dbraw/zinc/05/41/61/955054161.db2.gz BYDPPJWATSJACA-SECBINFHSA-N 0 2 311.279 0.213 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CCCN(CC(F)F)C1 ZINC001351838570 955429639 /nfs/dbraw/zinc/42/96/39/955429639.db2.gz QOOMOBFCXKVZEX-QMMMGPOBSA-N 0 2 320.365 0.994 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001416764830 955747046 /nfs/dbraw/zinc/74/70/46/955747046.db2.gz JTEDANFNVROJEL-JGVFFNPUSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001416764830 955747058 /nfs/dbraw/zinc/74/70/58/955747058.db2.gz JTEDANFNVROJEL-JGVFFNPUSA-N 0 2 311.235 0.122 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[NH+]1CCN(c2cccnn2)CC1 ZINC001594581628 955979518 /nfs/dbraw/zinc/97/95/18/955979518.db2.gz YKQXQOKDQNCUDB-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)Nc1cc(C[NH+]2CCOCC2)ccc1C ZINC001593913280 955993524 /nfs/dbraw/zinc/99/35/24/955993524.db2.gz VJOBUAQWGBMUSD-CQSZACIVSA-N 0 2 322.361 0.865 20 0 DCADLN C[C@@H](O)C[N@H+](CCS(=O)(=O)CC(=O)[O-])Cc1ccccc1 ZINC001589153220 956346354 /nfs/dbraw/zinc/34/63/54/956346354.db2.gz YBACOJDKQOCREY-GFCCVEGCSA-N 0 2 315.391 0.369 20 0 DCADLN C[C@@H](O)C[N@@H+](CCS(=O)(=O)CC(=O)[O-])Cc1ccccc1 ZINC001589153220 956346365 /nfs/dbraw/zinc/34/63/65/956346365.db2.gz YBACOJDKQOCREY-GFCCVEGCSA-N 0 2 315.391 0.369 20 0 DCADLN COCC(=O)N(C)CCOCCNC(=O)C(F)C(F)(F)F ZINC001287408681 956362911 /nfs/dbraw/zinc/36/29/11/956362911.db2.gz AFJPGRXOSXEGLO-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN COCC(=O)N(C)CCOCCNC(=O)[C@@H](F)C(F)(F)F ZINC001287408681 956362922 /nfs/dbraw/zinc/36/29/22/956362922.db2.gz AFJPGRXOSXEGLO-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN O=C(NCC1CN(C(=O)[C@]23C[C@H]2COC3)C1)C(F)C(F)(F)F ZINC001416093209 956478596 /nfs/dbraw/zinc/47/85/96/956478596.db2.gz LFOTYUPSKPPWGG-AUTRQRHGSA-N 0 2 324.274 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@]23C[C@H]2COC3)C1)[C@H](F)C(F)(F)F ZINC001416093209 956478606 /nfs/dbraw/zinc/47/86/06/956478606.db2.gz LFOTYUPSKPPWGG-AUTRQRHGSA-N 0 2 324.274 0.498 20 0 DCADLN O=C([O-])c1ccc(C=CC(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)o1 ZINC001594673314 956634904 /nfs/dbraw/zinc/63/49/04/956634904.db2.gz XDBZMHRGNOFARJ-GQPNGRKGSA-N 0 2 305.290 0.434 20 0 DCADLN O=C([O-])c1ccc(C=CC(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)o1 ZINC001594673314 956634914 /nfs/dbraw/zinc/63/49/14/956634914.db2.gz XDBZMHRGNOFARJ-GQPNGRKGSA-N 0 2 305.290 0.434 20 0 DCADLN O=C([O-])C1CC2(C1)CC[C@@H](CNC(=O)CCn1cc[nH+]c1)O2 ZINC001594690071 956742435 /nfs/dbraw/zinc/74/24/35/956742435.db2.gz OBBQGGZBIZFCSI-AVERBVTBSA-N 0 2 307.350 0.802 20 0 DCADLN O=C(Cc1cscn1)NCCNC(=O)C(F)C(F)(F)F ZINC001292755472 957138459 /nfs/dbraw/zinc/13/84/59/957138459.db2.gz NNMHZWMKMPSOAO-QMMMGPOBSA-N 0 2 313.276 0.818 20 0 DCADLN O=C(Cc1cscn1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001292755472 957138466 /nfs/dbraw/zinc/13/84/66/957138466.db2.gz NNMHZWMKMPSOAO-QMMMGPOBSA-N 0 2 313.276 0.818 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000035275778 957203401 /nfs/dbraw/zinc/20/34/01/957203401.db2.gz PHRUHUHUJWIAJM-SNVBAGLBSA-N 0 2 309.347 0.948 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@]1(C(=O)[O-])CC=CCC1 ZINC001349674543 958095917 /nfs/dbraw/zinc/09/59/17/958095917.db2.gz PGKPRVHBAKBFAL-XJKSGUPXSA-N 0 2 309.410 0.550 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001446988873 1013168550 /nfs/dbraw/zinc/16/85/50/1013168550.db2.gz RBMUTBUPWCGPIM-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001446988873 1013168555 /nfs/dbraw/zinc/16/85/55/1013168555.db2.gz RBMUTBUPWCGPIM-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]CC(=O)N2CCC(C(=O)[O-])CC2)s1 ZINC000391788648 972373817 /nfs/dbraw/zinc/37/38/17/972373817.db2.gz FILASVFFKJJKPR-MRVPVSSYSA-N 0 2 312.395 0.820 20 0 DCADLN C[N@@H+]1CCC[C@@H](NS(=O)(=O)c2scnc2C(=O)[O-])C1 ZINC000134693166 958852090 /nfs/dbraw/zinc/85/20/90/958852090.db2.gz WOFLGRCZLXXUSZ-SSDOTTSWSA-N 0 2 305.381 0.214 20 0 DCADLN C[N@H+]1CCC[C@@H](NS(=O)(=O)c2scnc2C(=O)[O-])C1 ZINC000134693166 958852105 /nfs/dbraw/zinc/85/21/05/958852105.db2.gz WOFLGRCZLXXUSZ-SSDOTTSWSA-N 0 2 305.381 0.214 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CS(=O)(=O)c2ccccc21 ZINC001362185989 958893642 /nfs/dbraw/zinc/89/36/42/958893642.db2.gz BZXMIDQNQWHEGL-LBPRGKRZSA-N 0 2 305.359 0.905 20 0 DCADLN C[NH+](C)C1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CC1 ZINC001362294144 959087475 /nfs/dbraw/zinc/08/74/75/959087475.db2.gz CFLZCNAZHYXHEL-UHFFFAOYSA-N 0 2 302.338 0.811 20 0 DCADLN Cc1cnc(C[NH+]2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)o1 ZINC001594429006 959156360 /nfs/dbraw/zinc/15/63/60/959156360.db2.gz PYEMQZCLFWPBFD-NSHDSACASA-N 0 2 307.350 0.881 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC001588571074 959185235 /nfs/dbraw/zinc/18/52/35/959185235.db2.gz WGTTZXSSNQJCCE-SNVBAGLBSA-N 0 2 314.382 0.629 20 0 DCADLN CN(C(=O)CCc1nccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001368088864 959212042 /nfs/dbraw/zinc/21/20/42/959212042.db2.gz DOGMYCDKFQPPNE-UHFFFAOYSA-N 0 2 322.394 0.242 20 0 DCADLN Cc1cc(=O)c(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c[nH]1 ZINC001362386181 959255429 /nfs/dbraw/zinc/25/54/29/959255429.db2.gz OWACDYILZIRLMY-VIFPVBQESA-N 0 2 303.322 0.527 20 0 DCADLN C[C@@](O)(C[NH+]1CCN(C(=O)C(=O)[O-])CC1)c1ccc(F)cc1 ZINC001603257002 972444011 /nfs/dbraw/zinc/44/40/11/972444011.db2.gz YHPPYSDETMVXFV-OAHLLOKOSA-N 0 2 310.325 0.262 20 0 DCADLN Cc1noc([C@H](C)[NH+]2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)n1 ZINC001594495962 959756985 /nfs/dbraw/zinc/75/69/85/959756985.db2.gz OADMLSSWHVKVGJ-ONGXEEELSA-N 0 2 322.365 0.837 20 0 DCADLN C[C@H](CNC(=O)C[N@@H+]1CCC[C@@H]1C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001377251815 959793712 /nfs/dbraw/zinc/79/37/12/959793712.db2.gz ASTAMHPADHSLIF-NEPJUHHUSA-N 0 2 307.398 0.057 20 0 DCADLN CC[N@H+](CCNC(=O)c1cncc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377296280 959883579 /nfs/dbraw/zinc/88/35/79/959883579.db2.gz XLLFGDUKLDWDIA-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cncc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377296280 959883587 /nfs/dbraw/zinc/88/35/87/959883587.db2.gz XLLFGDUKLDWDIA-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cccnc1N1CCCC1 ZINC001362723603 959894725 /nfs/dbraw/zinc/89/47/25/959894725.db2.gz ZVYUYIXZWRWSSN-UHFFFAOYSA-N 0 2 315.333 0.813 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc(F)c1O ZINC001302486607 960024873 /nfs/dbraw/zinc/02/48/73/960024873.db2.gz RANOSEQTDFBCMR-UHFFFAOYSA-N 0 2 303.253 0.555 20 0 DCADLN C[C@H]1CN=C(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)S1 ZINC001362918021 960176380 /nfs/dbraw/zinc/17/63/80/960176380.db2.gz WKNLYWGWFYYMSO-ZETCQYMHSA-N 0 2 319.346 0.487 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC2(C1)CCOC2 ZINC001362955607 960221315 /nfs/dbraw/zinc/22/13/15/960221315.db2.gz UYYYCBBRPKDARE-UHFFFAOYSA-N 0 2 316.317 0.129 20 0 DCADLN C[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)n1cccc1 ZINC001362987100 960259766 /nfs/dbraw/zinc/25/97/66/960259766.db2.gz ONWIGUOWHMMQIA-SECBINFHSA-N 0 2 311.367 0.028 20 0 DCADLN CC(C)[C@H]1C[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362988289 960263378 /nfs/dbraw/zinc/26/33/78/960263378.db2.gz RRPPFRKEGDEDLB-BDAKNGLRSA-N 0 2 300.384 0.258 20 0 DCADLN C[C@@H](Sc1nncn1C)C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001363041416 960334595 /nfs/dbraw/zinc/33/45/95/960334595.db2.gz OHDHKQGBIRICTM-JGVFFNPUSA-N 0 2 310.383 0.612 20 0 DCADLN COc1c[nH]c(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1=O ZINC001363055637 960352372 /nfs/dbraw/zinc/35/23/72/960352372.db2.gz NPLNPYKXMRUGOD-MRVPVSSYSA-N 0 2 319.321 0.227 20 0 DCADLN Cn1cc([C@H]2CCCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)nn1 ZINC001363072839 960369979 /nfs/dbraw/zinc/36/99/79/960369979.db2.gz NMNLZZJEEIDXNH-WPRPVWTQSA-N 0 2 322.394 0.078 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001378247887 960423611 /nfs/dbraw/zinc/42/36/11/960423611.db2.gz CIDXZSCRXHVPHZ-FSPLSTOPSA-N 0 2 313.255 0.395 20 0 DCADLN O=C(N[C@@H]1CCSC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363136525 960458121 /nfs/dbraw/zinc/45/81/21/960458121.db2.gz DVRWRPXXWWZMEO-SECBINFHSA-N 0 2 306.347 0.502 20 0 DCADLN C[C@H]1CSC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363175078 960539025 /nfs/dbraw/zinc/53/90/25/960539025.db2.gz BUBUMNOOYSBQQB-GZMMTYOYSA-N 0 2 320.374 0.748 20 0 DCADLN O=C1N=NC([C@H]2CCCN(Cc3nnc4n3CCOC4)C2)O1 ZINC001363234089 960662616 /nfs/dbraw/zinc/66/26/16/960662616.db2.gz NYOAKVSYIFCKMH-VIFPVBQESA-N 0 2 306.326 0.277 20 0 DCADLN O=C([O-])c1ccnc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)c1 ZINC001571074345 960932804 /nfs/dbraw/zinc/93/28/04/960932804.db2.gz HIZPYUMVVSCKPE-GFCCVEGCSA-N 0 2 301.306 0.290 20 0 DCADLN CC(=O)c1cncc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001571076394 960955482 /nfs/dbraw/zinc/95/54/82/960955482.db2.gz BMLXSGZWABMCQT-GFCCVEGCSA-N 0 2 302.290 0.433 20 0 DCADLN CC(=O)c1cncc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001571076394 960955499 /nfs/dbraw/zinc/95/54/99/960955499.db2.gz BMLXSGZWABMCQT-GFCCVEGCSA-N 0 2 302.290 0.433 20 0 DCADLN CC[C@H](F)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001377912462 960964557 /nfs/dbraw/zinc/96/45/57/960964557.db2.gz RNXWTEPUAQHXEA-NSHDSACASA-N 0 2 307.329 0.071 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001378644693 961186308 /nfs/dbraw/zinc/18/63/08/961186308.db2.gz QCLQHWMNNIATAE-ZJUUUORDSA-N 0 2 311.386 0.119 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001378644693 961186320 /nfs/dbraw/zinc/18/63/20/961186320.db2.gz QCLQHWMNNIATAE-ZJUUUORDSA-N 0 2 311.386 0.119 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC[C@H]2C[C@H]2C1 ZINC001363486342 961254695 /nfs/dbraw/zinc/25/46/95/961254695.db2.gz WMIUEDIRXXETMN-QWRGUYRKSA-N 0 2 300.318 0.748 20 0 DCADLN CC(C)(NC(=O)C[C@@H]1SC(=N)NC1=O)[C@H](O)C(F)(F)F ZINC001363515900 961329036 /nfs/dbraw/zinc/32/90/36/961329036.db2.gz CBOKYMDZAJOZAG-FFWSUHOLSA-N 0 2 313.301 0.361 20 0 DCADLN C[C@H](NC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC001571117258 961409899 /nfs/dbraw/zinc/40/98/99/961409899.db2.gz YORWHBJPSYZQAE-RVMXOQNASA-N 0 2 313.398 0.648 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001430218823 1013259317 /nfs/dbraw/zinc/25/93/17/1013259317.db2.gz BZMHOOREYUNVNM-XVMARJQXSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001430218823 1013259326 /nfs/dbraw/zinc/25/93/26/1013259326.db2.gz BZMHOOREYUNVNM-XVMARJQXSA-N 0 2 308.235 0.687 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2CC(F)(F)C2)[nH]1 ZINC001363636959 961594487 /nfs/dbraw/zinc/59/44/87/961594487.db2.gz WRALTUNXBNLZAR-UHFFFAOYSA-N 0 2 308.310 0.011 20 0 DCADLN CCO[C@H]1[C@H](C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)[C@@H]1C ZINC001363658908 961644139 /nfs/dbraw/zinc/64/41/39/961644139.db2.gz YJEHWEHEHAWYMZ-XXILOJSOSA-N 0 2 309.366 0.868 20 0 DCADLN O=C([O-])CSCCC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC001333369738 961706191 /nfs/dbraw/zinc/70/61/91/961706191.db2.gz KSJHJTVZQNKJCG-LBPRGKRZSA-N 0 2 316.423 0.518 20 0 DCADLN Cc1nonc1C[NH+]1CCC(N(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001571155963 961863909 /nfs/dbraw/zinc/86/39/09/961863909.db2.gz IXSUPLLLSLQRCL-NEPJUHHUSA-N 0 2 322.365 0.522 20 0 DCADLN CCCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)no1 ZINC001571156223 961871422 /nfs/dbraw/zinc/87/14/22/961871422.db2.gz NZGOQVDXFBPHLF-GWOFURMSSA-N 0 2 322.365 0.480 20 0 DCADLN O=C(CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)Nc1ccccn1 ZINC001363795451 961899007 /nfs/dbraw/zinc/89/90/07/961899007.db2.gz JANPDRFDHNISEI-JTQLQIEISA-N 0 2 302.338 0.723 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H](O)c1ccccc1Cl ZINC001571160691 961925587 /nfs/dbraw/zinc/92/55/87/961925587.db2.gz DVTNUUFILATXOE-VXGBXAGGSA-N 0 2 323.736 0.909 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H](O)c1ccccc1Cl ZINC001571160691 961925599 /nfs/dbraw/zinc/92/55/99/961925599.db2.gz DVTNUUFILATXOE-VXGBXAGGSA-N 0 2 323.736 0.909 20 0 DCADLN Cc1nn(C)c(=O)c(C(=O)N[C@H](C)c2nn(C)cc2O)c1C ZINC001363834254 961987703 /nfs/dbraw/zinc/98/77/03/961987703.db2.gz SUSVPRMZWBDLDU-SECBINFHSA-N 0 2 305.338 0.327 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)c2nn(C)cc2O)ncc1C(N)=O ZINC001363841985 962001799 /nfs/dbraw/zinc/00/17/99/962001799.db2.gz SMIDJRLTXPGKSJ-QMMMGPOBSA-N 0 2 303.322 0.419 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nc3cnccc3s2)S1 ZINC001363957409 962189149 /nfs/dbraw/zinc/18/91/49/962189149.db2.gz CDHHBJDAWVRDIR-MRVPVSSYSA-N 0 2 321.387 0.864 20 0 DCADLN Cc1nocc1S(=O)(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001364049437 962369111 /nfs/dbraw/zinc/36/91/11/962369111.db2.gz CIJIQNUUDPUSDF-MRVPVSSYSA-N 0 2 313.339 0.084 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)C1Cc2ccccc2C1 ZINC001364050893 962369462 /nfs/dbraw/zinc/36/94/62/962369462.db2.gz BRUDRLIQTQNUKG-UHFFFAOYSA-N 0 2 319.329 0.882 20 0 DCADLN CC[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@H]1O ZINC001447286047 1013344300 /nfs/dbraw/zinc/34/43/00/1013344300.db2.gz LDFYGRJROSPULY-JOYOIKCWSA-N 0 2 318.333 0.109 20 0 DCADLN CC[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@@H]1O ZINC001447286041 1013345175 /nfs/dbraw/zinc/34/51/75/1013345175.db2.gz LDFYGRJROSPULY-CABZTGNLSA-N 0 2 318.333 0.109 20 0 DCADLN COC[C@@H](O)CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001380227177 962893006 /nfs/dbraw/zinc/89/30/06/962893006.db2.gz KZHJQORIGAUQPW-DTWKUNHWSA-N 0 2 302.268 0.037 20 0 DCADLN C[C@@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2cn[nH]n2)C1 ZINC001379700629 963402487 /nfs/dbraw/zinc/40/24/87/963402487.db2.gz YODLXQSOMIFBNA-GMSGAONNSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@@]1(NC(=O)[C@@H](F)C(F)(F)F)CCN(C(=O)c2cn[nH]n2)C1 ZINC001379700629 963402489 /nfs/dbraw/zinc/40/24/89/963402489.db2.gz YODLXQSOMIFBNA-GMSGAONNSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001430388991 1013423061 /nfs/dbraw/zinc/42/30/61/1013423061.db2.gz IMOWKXLLFUECLT-SNVBAGLBSA-N 0 2 322.262 0.427 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430388991 1013423066 /nfs/dbraw/zinc/42/30/66/1013423066.db2.gz IMOWKXLLFUECLT-SNVBAGLBSA-N 0 2 322.262 0.427 20 0 DCADLN CN(C)c1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000314207355 963725442 /nfs/dbraw/zinc/72/54/42/963725442.db2.gz RBRNGKGLSVAFOY-CYBMUJFWSA-N 0 2 302.334 0.901 20 0 DCADLN CN(C)c1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000314207355 963725444 /nfs/dbraw/zinc/72/54/44/963725444.db2.gz RBRNGKGLSVAFOY-CYBMUJFWSA-N 0 2 302.334 0.901 20 0 DCADLN CC[C@H](C)CC(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001380989426 963747817 /nfs/dbraw/zinc/74/78/17/963747817.db2.gz QPPSRWLZQPBVJQ-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN COCC(=O)N1CC([C@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001381191411 963883232 /nfs/dbraw/zinc/88/32/32/963883232.db2.gz PDXPHUZVIRIPDH-RCOVLWMOSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N1CC([C@H](C)NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001381191411 963883238 /nfs/dbraw/zinc/88/32/38/963883238.db2.gz PDXPHUZVIRIPDH-RCOVLWMOSA-N 0 2 300.252 0.496 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@]1(C)CCCOC1 ZINC001375134788 964036354 /nfs/dbraw/zinc/03/63/54/964036354.db2.gz QBKFSVZOECUVNW-YGRLFVJLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@]1(C)CCCOC1 ZINC001375134788 964036367 /nfs/dbraw/zinc/03/63/67/964036367.db2.gz QBKFSVZOECUVNW-YGRLFVJLSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1nnc(C[NH2+][C@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])s1 ZINC001369249194 964595529 /nfs/dbraw/zinc/59/55/29/964595529.db2.gz SABWNDZIFGCHSN-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN C[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@@H]1CO ZINC001447740576 1013518417 /nfs/dbraw/zinc/51/84/17/1013518417.db2.gz AQPJDBZDVAYKAE-JOYOIKCWSA-N 0 2 318.333 0.109 20 0 DCADLN COCCN(CCNC(=O)[C@H]1CC12CCC2)Cc1n[nH]c(=O)[nH]1 ZINC001369557021 965169403 /nfs/dbraw/zinc/16/94/03/965169403.db2.gz SAKNHPFJWNNKIF-LLVKDONJSA-N 0 2 323.397 0.265 20 0 DCADLN O=C(COC1CCCCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376132431 965255112 /nfs/dbraw/zinc/25/51/12/965255112.db2.gz PEQGPJCBXLXTBV-NSHDSACASA-N 0 2 323.397 0.550 20 0 DCADLN CCC[NH+](C)CC(=O)N[C@H]1CC[N@@H+](Cc2c(C)nnn2CC)C1 ZINC001376153352 965296396 /nfs/dbraw/zinc/29/63/96/965296396.db2.gz MKFOHJBQGZXZDW-AWEZNQCLSA-N 0 2 322.457 0.639 20 0 DCADLN Cn1nnc(CN[C@@H](CNC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001376213597 965389821 /nfs/dbraw/zinc/38/98/21/965389821.db2.gz DWUCVRXJYVLPLB-IONNQARKSA-N 0 2 324.282 0.095 20 0 DCADLN COCCC(=O)N(C)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001369865546 965494681 /nfs/dbraw/zinc/49/46/81/965494681.db2.gz VJQWBWQGTUDAKS-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N(C)[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001369865546 965494690 /nfs/dbraw/zinc/49/46/90/965494690.db2.gz VJQWBWQGTUDAKS-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CCCC(=O)NC1(CCO)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001369971649 965633922 /nfs/dbraw/zinc/63/39/22/965633922.db2.gz ATHRFASJCHBBPA-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)NC1(CCO)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001369971649 965633928 /nfs/dbraw/zinc/63/39/28/965633928.db2.gz ATHRFASJCHBBPA-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CC(C)NC(=O)C[NH2+]C[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001376603075 965878891 /nfs/dbraw/zinc/87/88/91/965878891.db2.gz FLEYNDAMTICUIR-CYBMUJFWSA-N 0 2 321.425 0.448 20 0 DCADLN C[C@H](C[NH2+]Cc1cn(C(C)(C)C)nn1)NC(=O)c1ncn[nH]1 ZINC001374823385 965985483 /nfs/dbraw/zinc/98/54/83/965985483.db2.gz SGNGYJGTVUUFQK-SECBINFHSA-N 0 2 306.374 0.059 20 0 DCADLN C[C@H](C[NH2+]Cc1cn(C(C)(C)C)nn1)NC(=O)c1nc[nH]n1 ZINC001374823385 965985493 /nfs/dbraw/zinc/98/54/93/965985493.db2.gz SGNGYJGTVUUFQK-SECBINFHSA-N 0 2 306.374 0.059 20 0 DCADLN Cc1noc([C@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001376719327 966057521 /nfs/dbraw/zinc/05/75/21/966057521.db2.gz ZXMVUAWWSHDFFV-XPUUQOCRSA-N 0 2 308.342 0.584 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)c1ccco1 ZINC001375104326 966355867 /nfs/dbraw/zinc/35/58/67/966355867.db2.gz KZCUMGTYMRUREF-ZJUUUORDSA-N 0 2 307.354 0.844 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)c1ccco1 ZINC001375104326 966355878 /nfs/dbraw/zinc/35/58/78/966355878.db2.gz KZCUMGTYMRUREF-ZJUUUORDSA-N 0 2 307.354 0.844 20 0 DCADLN C[C@H](CN(C)C(=O)c1cccnn1)NC(=O)C(F)C(F)(F)F ZINC001382173600 967007535 /nfs/dbraw/zinc/00/75/35/967007535.db2.gz IHUMPHCVOIQGIM-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1cccnn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001382173600 967007539 /nfs/dbraw/zinc/00/75/39/967007539.db2.gz IHUMPHCVOIQGIM-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CCC1)C(F)C(F)(F)F ZINC001382268898 967143820 /nfs/dbraw/zinc/14/38/20/967143820.db2.gz CZXOZMWAOPEKOW-SFYZADRCSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CCC1)[C@H](F)C(F)(F)F ZINC001382268898 967143827 /nfs/dbraw/zinc/14/38/27/967143827.db2.gz CZXOZMWAOPEKOW-SFYZADRCSA-N 0 2 300.252 0.280 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001371677219 967232999 /nfs/dbraw/zinc/23/29/99/967232999.db2.gz JEAUHKGFQUWXDW-HXFLIBJXSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001371677219 967233008 /nfs/dbraw/zinc/23/30/08/967233008.db2.gz JEAUHKGFQUWXDW-HXFLIBJXSA-N 0 2 314.279 0.933 20 0 DCADLN C[N@H+](CCNC(=O)C[C@H]1CC[C@H](C2CC2)O1)Cc1n[nH]c(=O)[n-]1 ZINC001372052100 967604875 /nfs/dbraw/zinc/60/48/75/967604875.db2.gz RNKGTLXMIHZOBX-VXGBXAGGSA-N 0 2 323.397 0.406 20 0 DCADLN C[N@@H+](CCNC(=O)C[C@H]1CC[C@H](C2CC2)O1)Cc1n[nH]c(=O)[n-]1 ZINC001372052100 967604878 /nfs/dbraw/zinc/60/48/78/967604878.db2.gz RNKGTLXMIHZOBX-VXGBXAGGSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1nc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001372156579 967691695 /nfs/dbraw/zinc/69/16/95/967691695.db2.gz UAXKXELYXGZIFE-UHFFFAOYSA-N 0 2 308.342 0.231 20 0 DCADLN CCc1nc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001372156579 967691698 /nfs/dbraw/zinc/69/16/98/967691698.db2.gz UAXKXELYXGZIFE-UHFFFAOYSA-N 0 2 308.342 0.231 20 0 DCADLN C[N@H+](CCNC(=O)c1coc(CC2CC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001372171245 967711556 /nfs/dbraw/zinc/71/15/56/967711556.db2.gz LTTXKIKLRZLCDW-UHFFFAOYSA-N 0 2 320.353 0.313 20 0 DCADLN C[N@@H+](CCNC(=O)c1coc(CC2CC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001372171245 967711561 /nfs/dbraw/zinc/71/15/61/967711561.db2.gz LTTXKIKLRZLCDW-UHFFFAOYSA-N 0 2 320.353 0.313 20 0 DCADLN C[C@@H](CNC(=O)Cn1cc[nH+]c1)[N@H+](C)CCO[C@H]1CC1(F)F ZINC001448137779 1013776360 /nfs/dbraw/zinc/77/63/60/1013776360.db2.gz DVUBHIBJOOTBAA-RYUDHWBXSA-N 0 2 316.352 0.744 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)NC(=O)C(F)C(F)(F)F ZINC001372749193 968319428 /nfs/dbraw/zinc/31/94/28/968319428.db2.gz AXLDLLWDUGAJTA-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001372749193 968319432 /nfs/dbraw/zinc/31/94/32/968319432.db2.gz AXLDLLWDUGAJTA-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN Cc1c[nH]c(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001373490110 969150001 /nfs/dbraw/zinc/15/00/01/969150001.db2.gz WDUUELRASMMLIG-SSDOTTSWSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1c[nH]c(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001373490110 969150007 /nfs/dbraw/zinc/15/00/07/969150007.db2.gz WDUUELRASMMLIG-SSDOTTSWSA-N 0 2 310.251 0.807 20 0 DCADLN CCOCC(=O)NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001448309730 1013868769 /nfs/dbraw/zinc/86/87/69/1013868769.db2.gz IIAIWFTYRAZOQD-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN CCOCC(=O)NC[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001448309730 1013868772 /nfs/dbraw/zinc/86/87/72/1013868772.db2.gz IIAIWFTYRAZOQD-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@H](C(=O)NC1CC1)[NH+]1CCN(c2cccc(C(=O)[O-])n2)CC1 ZINC001609696314 970457536 /nfs/dbraw/zinc/45/75/36/970457536.db2.gz LTEACNUFMBFCLB-NSHDSACASA-N 0 2 318.377 0.569 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC001604161651 972575640 /nfs/dbraw/zinc/57/56/40/972575640.db2.gz LOROSNGLELQGDP-UHFFFAOYSA-N 0 2 323.393 0.757 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@]3(C(=O)[O-])CC3(C)C)CC2)CC1 ZINC001604221425 972714860 /nfs/dbraw/zinc/71/48/60/972714860.db2.gz QSYAJRZIRKSLMK-KRWDZBQOSA-N 0 2 323.437 0.726 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C1(CC(=O)[O-])CCC1 ZINC001603375250 972919872 /nfs/dbraw/zinc/91/98/72/972919872.db2.gz NNMQHJGBPDEZGY-STQMWFEESA-N 0 2 310.394 0.953 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@H+](CC(=O)[O-])C(C)C)n1 ZINC001604400047 973134082 /nfs/dbraw/zinc/13/40/82/973134082.db2.gz PWKGCADOKCJUQX-UHFFFAOYSA-N 0 2 309.322 0.602 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@@H+](CC(=O)[O-])C(C)C)n1 ZINC001604400047 973134087 /nfs/dbraw/zinc/13/40/87/973134087.db2.gz PWKGCADOKCJUQX-UHFFFAOYSA-N 0 2 309.322 0.602 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)Nc1ccc(C(N)=O)cc1-n1cc[nH+]c1 ZINC001604435074 973233812 /nfs/dbraw/zinc/23/38/12/973233812.db2.gz QSLXHTYOCNTQIQ-NSHDSACASA-N 0 2 318.289 0.009 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N1CC[NH2+][C@@H](c2ccc(F)cc2)C1 ZINC001604454670 973285333 /nfs/dbraw/zinc/28/53/33/973285333.db2.gz AHEJJYMGFIJWQG-DOMZBBRYSA-N 0 2 310.325 0.788 20 0 DCADLN C[C@@H]1CN(c2nnc(Cc3[nH+]ccn3C)n2C)CC[C@@H]1C(=O)[O-] ZINC001603470219 973334616 /nfs/dbraw/zinc/33/46/16/973334616.db2.gz FNPDGGAKQPJIEH-MNOVXSKESA-N 0 2 318.381 0.686 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000401807099 973402745 /nfs/dbraw/zinc/40/27/45/973402745.db2.gz ARJWNFFYRJANGE-VHSXEESVSA-N 0 2 323.305 0.301 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000401807099 973402750 /nfs/dbraw/zinc/40/27/50/973402750.db2.gz ARJWNFFYRJANGE-VHSXEESVSA-N 0 2 323.305 0.301 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC001605448844 973524542 /nfs/dbraw/zinc/52/45/42/973524542.db2.gz LDMBNJSKQQIQEG-VXGBXAGGSA-N 0 2 322.365 0.523 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC001605448844 973524548 /nfs/dbraw/zinc/52/45/48/973524548.db2.gz LDMBNJSKQQIQEG-VXGBXAGGSA-N 0 2 322.365 0.523 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2c3c(nn2C)CCC3)CCO1 ZINC001604565013 973628687 /nfs/dbraw/zinc/62/86/87/973628687.db2.gz PNWIBSPSVJINRT-OAHLLOKOSA-N 0 2 309.366 0.211 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2c3c(nn2C)CCC3)CCO1 ZINC001604565013 973628690 /nfs/dbraw/zinc/62/86/90/973628690.db2.gz PNWIBSPSVJINRT-OAHLLOKOSA-N 0 2 309.366 0.211 20 0 DCADLN CC1(C)CN(Cc2cc(=O)n3[nH]ccc3n2)[C@H]2COC[C@H]2O1 ZINC001549082187 1014134083 /nfs/dbraw/zinc/13/40/83/1014134083.db2.gz MGUJDXLVGXTLFH-NWDGAFQWSA-N 0 2 304.350 0.401 20 0 DCADLN COc1cccc(O)c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000404671559 973741860 /nfs/dbraw/zinc/74/18/60/973741860.db2.gz YFVGGUOEFPQKPV-VIFPVBQESA-N 0 2 305.290 0.550 20 0 DCADLN CCn1c(C[N@@H+]2CCC[C@H](O)C2)nnc1N1CC[C@H](C(=O)[O-])C1 ZINC001604008111 974516136 /nfs/dbraw/zinc/51/61/36/974516136.db2.gz KRVWGLDHTWILTL-RYUDHWBXSA-N 0 2 323.397 0.166 20 0 DCADLN CCn1c(C[N@H+]2CCC[C@H](O)C2)nnc1N1CC[C@H](C(=O)[O-])C1 ZINC001604008111 974516139 /nfs/dbraw/zinc/51/61/39/974516139.db2.gz KRVWGLDHTWILTL-RYUDHWBXSA-N 0 2 323.397 0.166 20 0 DCADLN CC(C)OC(=O)C1CC[NH+](CN2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001591679159 975470199 /nfs/dbraw/zinc/47/01/99/975470199.db2.gz UWFFSZDWXVRGNM-GFCCVEGCSA-N 0 2 312.366 0.541 20 0 DCADLN C[C@@H](CC(=O)N1CC[C@]2(CC(C(=O)[O-])=NO2)C1)n1cc[nH+]c1 ZINC001592233232 977430717 /nfs/dbraw/zinc/43/07/17/977430717.db2.gz DQXONDVXAQSSNG-HZMBPMFUSA-N 0 2 306.322 0.666 20 0 DCADLN C[C@H](CC(=O)[O-])CC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001592394973 977949127 /nfs/dbraw/zinc/94/91/27/977949127.db2.gz FXLZICHDOSREDT-LBPRGKRZSA-N 0 2 324.381 0.655 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H](C)OC ZINC001528892830 1014448379 /nfs/dbraw/zinc/44/83/79/1014448379.db2.gz UVJAGXRADKSVBL-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@@H](C)OC ZINC001528892830 1014448389 /nfs/dbraw/zinc/44/83/89/1014448389.db2.gz UVJAGXRADKSVBL-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(CCNC(=O)c1ncccn1)C(=O)C(F)C(F)(F)F ZINC001529044243 1014484125 /nfs/dbraw/zinc/48/41/25/1014484125.db2.gz BHILAJHYUSOGKG-QMMMGPOBSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1ncccn1)C(=O)[C@H](F)C(F)(F)F ZINC001529044243 1014484129 /nfs/dbraw/zinc/48/41/29/1014484129.db2.gz BHILAJHYUSOGKG-QMMMGPOBSA-N 0 2 322.262 0.955 20 0 DCADLN CC[C@H](C)n1nccc1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001595028150 979149316 /nfs/dbraw/zinc/14/93/16/979149316.db2.gz GGIJDKDTHWBSBW-QWRGUYRKSA-N 0 2 305.338 0.934 20 0 DCADLN C[C@H](CNc1ncc(C(=O)[O-])cn1)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001592729828 979245802 /nfs/dbraw/zinc/24/58/02/979245802.db2.gz SEBKBFINPHISJC-SECBINFHSA-N 0 2 318.337 0.399 20 0 DCADLN C[C@@H](CS(=O)(=O)NCc1[nH]c2c([nH+]1)CCCC2)C(=O)[O-] ZINC001592814726 979503943 /nfs/dbraw/zinc/50/39/43/979503943.db2.gz ARLUWDGSVHTEIZ-QMMMGPOBSA-N 0 2 301.368 0.429 20 0 DCADLN C[C@H](C[S@@](C)=O)[N@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001592822567 979529833 /nfs/dbraw/zinc/52/98/33/979529833.db2.gz QIJUCNQCZLBAFY-WSVYEEACSA-N 0 2 318.439 0.589 20 0 DCADLN C[C@H](C[S@@](C)=O)[N@@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001592822567 979529838 /nfs/dbraw/zinc/52/98/38/979529838.db2.gz QIJUCNQCZLBAFY-WSVYEEACSA-N 0 2 318.439 0.589 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001592907191 979853917 /nfs/dbraw/zinc/85/39/17/979853917.db2.gz NACFASXJKFYSIQ-MWLCHTKSSA-N 0 2 318.337 0.352 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001592907191 979853922 /nfs/dbraw/zinc/85/39/22/979853922.db2.gz NACFASXJKFYSIQ-MWLCHTKSSA-N 0 2 318.337 0.352 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001592931580 979983740 /nfs/dbraw/zinc/98/37/40/979983740.db2.gz XOLWEKRBJYLZKS-AXFHLTTASA-N 0 2 301.368 0.444 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@@H]1C(=O)N1C[C@H]2COC[C@]2(C(=O)[O-])C1 ZINC001550347038 1014675099 /nfs/dbraw/zinc/67/50/99/1014675099.db2.gz VQWCZVMGNCAHJJ-BQVMBELUSA-N 0 2 305.334 0.083 20 0 DCADLN CC[C@@]1(C)CC(=O)N(C[N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001595369187 980836241 /nfs/dbraw/zinc/83/62/41/980836241.db2.gz SDOILXUGEJDWBJ-LSDHHAIUSA-N 0 2 309.366 0.898 20 0 DCADLN CC[C@@]1(C)CC(=O)N(C[N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001595369187 980836244 /nfs/dbraw/zinc/83/62/44/980836244.db2.gz SDOILXUGEJDWBJ-LSDHHAIUSA-N 0 2 309.366 0.898 20 0 DCADLN CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC001595484482 981221963 /nfs/dbraw/zinc/22/19/63/981221963.db2.gz ZTEIWOLROVTONB-VIFPVBQESA-N 0 2 302.352 0.693 20 0 DCADLN CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC001595484482 981221965 /nfs/dbraw/zinc/22/19/65/981221965.db2.gz ZTEIWOLROVTONB-VIFPVBQESA-N 0 2 302.352 0.693 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-])C(=O)OC ZINC001594893373 982303774 /nfs/dbraw/zinc/30/37/74/982303774.db2.gz HVBHUSCVIGGYHZ-HCKVZZMMSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-])C(=O)OC ZINC001594893373 982303786 /nfs/dbraw/zinc/30/37/86/982303786.db2.gz HVBHUSCVIGGYHZ-HCKVZZMMSA-N 0 2 314.382 0.629 20 0 DCADLN CCC[N@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1nccc(C)n1 ZINC001595883176 982901215 /nfs/dbraw/zinc/90/12/15/982901215.db2.gz TXLLYKWNNAKDOF-CHWSQXEVSA-N 0 2 320.393 0.834 20 0 DCADLN CCC[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1nccc(C)n1 ZINC001595883176 982901219 /nfs/dbraw/zinc/90/12/19/982901219.db2.gz TXLLYKWNNAKDOF-CHWSQXEVSA-N 0 2 320.393 0.834 20 0 DCADLN O=C(N[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001524428550 1014974091 /nfs/dbraw/zinc/97/40/91/1014974091.db2.gz GAULQRMDWSOZTF-SFYZADRCSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001524428550 1014974081 /nfs/dbraw/zinc/97/40/81/1014974081.db2.gz GAULQRMDWSOZTF-SFYZADRCSA-N 0 2 322.262 0.935 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)[C@H]2CCCC[C@@H]2C(=O)[O-])CC1 ZINC001596436502 984121046 /nfs/dbraw/zinc/12/10/46/984121046.db2.gz SVYWUALLZIRYNY-RYUDHWBXSA-N 0 2 304.412 0.597 20 0 DCADLN CC[N@@H+]1CCO[C@@H](C(=O)O[C@@H](Cc2ccccc2)C(=O)[O-])C1 ZINC001596442960 984142261 /nfs/dbraw/zinc/14/22/61/984142261.db2.gz XQCOOIDOMHMQQA-UONOGXRCSA-N 0 2 307.346 0.946 20 0 DCADLN CC[N@H+]1CCO[C@@H](C(=O)O[C@@H](Cc2ccccc2)C(=O)[O-])C1 ZINC001596442960 984142265 /nfs/dbraw/zinc/14/22/65/984142265.db2.gz XQCOOIDOMHMQQA-UONOGXRCSA-N 0 2 307.346 0.946 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCCC[C@@]1(COC)C(=O)[O-] ZINC001596485995 984225429 /nfs/dbraw/zinc/22/54/29/984225429.db2.gz WYBBCMQWPSUXFD-QMTHXVAHSA-N 0 2 315.370 0.176 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCCC[C@@]1(COC)C(=O)[O-] ZINC001596485995 984225436 /nfs/dbraw/zinc/22/54/36/984225436.db2.gz WYBBCMQWPSUXFD-QMTHXVAHSA-N 0 2 315.370 0.176 20 0 DCADLN CCOC(=O)[C@H]([NH2+]C[C@H]1[C@@H](C(=O)[O-])C1(F)F)C1CCOCC1 ZINC001596543073 984391684 /nfs/dbraw/zinc/39/16/84/984391684.db2.gz LLFJSVQVAYMRGM-GARJFASQSA-N 0 2 321.320 0.900 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ccon1 ZINC001524900012 1015066596 /nfs/dbraw/zinc/06/65/96/1015066596.db2.gz KPUUVPRYABPMNX-ATRFCDNQSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccon1 ZINC001524900012 1015066608 /nfs/dbraw/zinc/06/66/08/1015066608.db2.gz KPUUVPRYABPMNX-ATRFCDNQSA-N 0 2 309.219 0.952 20 0 DCADLN C/C(=C\CNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC001588969525 984780049 /nfs/dbraw/zinc/78/00/49/984780049.db2.gz TYYFBKYMXQKZHY-YKAQBRKTSA-N 0 2 311.382 0.524 20 0 DCADLN C[C@@H]1CN(C)C(=O)C[N@@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594499985 985671738 /nfs/dbraw/zinc/67/17/38/985671738.db2.gz QGWSXWWCCOKVKJ-YPMHNXCESA-N 0 2 322.361 0.287 20 0 DCADLN C[C@@H]1CN(C)C(=O)C[N@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594499985 985671740 /nfs/dbraw/zinc/67/17/40/985671740.db2.gz QGWSXWWCCOKVKJ-YPMHNXCESA-N 0 2 322.361 0.287 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)Cc2ccn(C)n2)n[nH]1 ZINC001551663392 1015168340 /nfs/dbraw/zinc/16/83/40/1015168340.db2.gz YIDYJTCGUFABDN-OLZOCXBDSA-N 0 2 318.381 0.420 20 0 DCADLN CC(=O)c1ccc(NC(=O)C[N@@H+]2CC[C@](O)(C(=O)[O-])C2)cc1 ZINC001589299585 986053621 /nfs/dbraw/zinc/05/36/21/986053621.db2.gz LCQPWMHABVSTAN-OAHLLOKOSA-N 0 2 306.318 0.349 20 0 DCADLN CC(=O)c1ccc(NC(=O)C[N@H+]2CC[C@](O)(C(=O)[O-])C2)cc1 ZINC001589299585 986053636 /nfs/dbraw/zinc/05/36/36/986053636.db2.gz LCQPWMHABVSTAN-OAHLLOKOSA-N 0 2 306.318 0.349 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N[C@H]2C[C@@H]3C[C@]3(C(=O)[O-])C2)CCO1 ZINC001594528410 986101008 /nfs/dbraw/zinc/10/10/08/986101008.db2.gz UPAQULZJUCCTOX-OXIQGZBJSA-N 0 2 311.382 0.260 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N[C@H]2C[C@@H]3C[C@]3(C(=O)[O-])C2)CCO1 ZINC001594528410 986101010 /nfs/dbraw/zinc/10/10/10/986101010.db2.gz UPAQULZJUCCTOX-OXIQGZBJSA-N 0 2 311.382 0.260 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccncn2)C1)C(F)C(F)(F)F ZINC001385334680 986263689 /nfs/dbraw/zinc/26/36/89/986263689.db2.gz BEQJTHADIWEQLJ-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccncn2)C1)[C@@H](F)C(F)(F)F ZINC001385334680 986263700 /nfs/dbraw/zinc/26/37/00/986263700.db2.gz BEQJTHADIWEQLJ-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN C[C@@H](C(=O)N1CCC2(CC(C(=O)[O-])=NO2)CC1)n1cc[nH+]c1 ZINC001589357012 986344184 /nfs/dbraw/zinc/34/41/84/986344184.db2.gz UPQINPAKRYGXGF-JTQLQIEISA-N 0 2 306.322 0.666 20 0 DCADLN C[C@@H](C(=O)N[C@@H](C(=O)[O-])c1ccn(C)n1)[NH+]1CCSCC1 ZINC001589378373 986443154 /nfs/dbraw/zinc/44/31/54/986443154.db2.gz IPQUFWKZKYKEKF-GXSJLCMTSA-N 0 2 312.395 0.099 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCOC3)C[C@H]21)C(F)C(F)(F)F ZINC001526199467 1015230236 /nfs/dbraw/zinc/23/02/36/1015230236.db2.gz WVFZVQRUJBZWHW-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCOC3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001526199467 1015230250 /nfs/dbraw/zinc/23/02/50/1015230250.db2.gz WVFZVQRUJBZWHW-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001385981774 986728863 /nfs/dbraw/zinc/72/88/63/986728863.db2.gz NZGKUTDAKGBXGE-KZVJFYERSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC001385981774 986728871 /nfs/dbraw/zinc/72/88/71/986728871.db2.gz NZGKUTDAKGBXGE-KZVJFYERSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cnco1 ZINC001386319672 987069565 /nfs/dbraw/zinc/06/95/65/987069565.db2.gz DXAGPVJCEPQEGT-GKROBHDKSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cnco1 ZINC001386319672 987069574 /nfs/dbraw/zinc/06/95/74/987069574.db2.gz DXAGPVJCEPQEGT-GKROBHDKSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001386324832 987075941 /nfs/dbraw/zinc/07/59/41/987075941.db2.gz RTYLJEFVQSMPQU-VJILJNLWSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001386324832 987075944 /nfs/dbraw/zinc/07/59/44/987075944.db2.gz RTYLJEFVQSMPQU-VJILJNLWSA-N 0 2 324.274 0.543 20 0 DCADLN CN(CCNC(=O)Cc1ccon1)C(=O)C(F)C(F)(F)F ZINC001386835639 987440258 /nfs/dbraw/zinc/44/02/58/987440258.db2.gz KJUWIGKIFUISRU-VIFPVBQESA-N 0 2 311.235 0.692 20 0 DCADLN CN(CCNC(=O)Cc1ccon1)C(=O)[C@H](F)C(F)(F)F ZINC001386835639 987440262 /nfs/dbraw/zinc/44/02/62/987440262.db2.gz KJUWIGKIFUISRU-VIFPVBQESA-N 0 2 311.235 0.692 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)NC(=O)C[N@@H+]1CCC[C@H]1C ZINC001387005564 987560781 /nfs/dbraw/zinc/56/07/81/987560781.db2.gz FUCIJTKPHWVGHK-NWDGAFQWSA-N 0 2 321.425 0.366 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)CCc2cnn[nH]2)c1C ZINC001387152399 987663894 /nfs/dbraw/zinc/66/38/94/987663894.db2.gz CCEZPQFOJQLRSK-QMMMGPOBSA-N 0 2 319.369 0.012 20 0 DCADLN CCc1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc(=O)[nH]1 ZINC001597431682 987773445 /nfs/dbraw/zinc/77/34/45/987773445.db2.gz SXKQWQODPUSZJL-NSHDSACASA-N 0 2 304.306 0.498 20 0 DCADLN CCc1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc(=O)[nH]1 ZINC001597431682 987773448 /nfs/dbraw/zinc/77/34/48/987773448.db2.gz SXKQWQODPUSZJL-NSHDSACASA-N 0 2 304.306 0.498 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](C)NC(=O)C[C@@H]1CCCO1 ZINC001387552342 987898197 /nfs/dbraw/zinc/89/81/97/987898197.db2.gz BKPUYVSIQABVSD-YPMHNXCESA-N 0 2 322.409 0.841 20 0 DCADLN CN(CCNC(=O)c1cnns1)C(=O)C(F)C(F)(F)F ZINC001387653724 987976150 /nfs/dbraw/zinc/97/61/50/987976150.db2.gz FPRDEXKNUXXXQY-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)c1cnns1)C(=O)[C@H](F)C(F)(F)F ZINC001387653724 987976156 /nfs/dbraw/zinc/97/61/56/987976156.db2.gz FPRDEXKNUXXXQY-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN Cc1c[nH]nc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001387716697 988074956 /nfs/dbraw/zinc/07/49/56/988074956.db2.gz DFBQVMJQGCQSHK-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1c[nH]nc1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001387716697 988074965 /nfs/dbraw/zinc/07/49/65/988074965.db2.gz DFBQVMJQGCQSHK-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1nonc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387752100 988117984 /nfs/dbraw/zinc/11/79/84/988117984.db2.gz WJWYUUMNEZVNGG-ZETCQYMHSA-N 0 2 310.207 0.219 20 0 DCADLN Cc1nonc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387752100 988117991 /nfs/dbraw/zinc/11/79/91/988117991.db2.gz WJWYUUMNEZVNGG-ZETCQYMHSA-N 0 2 310.207 0.219 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CC[N@H+](CN2C[C@@H](C(=O)[O-])OC2=O)C1 ZINC001589860534 988431296 /nfs/dbraw/zinc/43/12/96/988431296.db2.gz UTLJSYZNXKJMIH-UWVGGRQHSA-N 0 2 314.338 0.513 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CC[N@@H+](CN2C[C@@H](C(=O)[O-])OC2=O)C1 ZINC001589860534 988431305 /nfs/dbraw/zinc/43/13/05/988431305.db2.gz UTLJSYZNXKJMIH-UWVGGRQHSA-N 0 2 314.338 0.513 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001389165419 988934993 /nfs/dbraw/zinc/93/49/93/988934993.db2.gz JSYRCIIDKFAMKN-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001389165419 988935005 /nfs/dbraw/zinc/93/50/05/988935005.db2.gz JSYRCIIDKFAMKN-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN O=C([O-])[C@]12COC[C@@H]1CN(C(=O)Nc1ccc3[nH+]ccn3c1)C2 ZINC001552445055 1015440714 /nfs/dbraw/zinc/44/07/14/1015440714.db2.gz AETWIYMRTAWEBH-ZUZCIYMTSA-N 0 2 316.317 0.899 20 0 DCADLN Cn1ccc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001389231073 989007855 /nfs/dbraw/zinc/00/78/55/989007855.db2.gz FHBGMNJFVAPBCE-SECBINFHSA-N 0 2 307.247 0.866 20 0 DCADLN Cn1ccc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC001389231073 989007869 /nfs/dbraw/zinc/00/78/69/989007869.db2.gz FHBGMNJFVAPBCE-SECBINFHSA-N 0 2 307.247 0.866 20 0 DCADLN O=C(NC1CN(C(=O)C2=CCOCC2)C1)C(F)C(F)(F)F ZINC001389231016 989008373 /nfs/dbraw/zinc/00/83/73/989008373.db2.gz CXDNGZAADUXLNG-SECBINFHSA-N 0 2 310.247 0.561 20 0 DCADLN O=C(NC1CN(C(=O)C2=CCOCC2)C1)[C@@H](F)C(F)(F)F ZINC001389231016 989008383 /nfs/dbraw/zinc/00/83/83/989008383.db2.gz CXDNGZAADUXLNG-SECBINFHSA-N 0 2 310.247 0.561 20 0 DCADLN CCc1nnc(C[NH2+]C/C=C\CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])s1 ZINC001597729702 989039219 /nfs/dbraw/zinc/03/92/19/989039219.db2.gz WSUCJADEGZNAOC-QKMQQOOLSA-N 0 2 324.406 0.583 20 0 DCADLN CC(C)OCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001389379245 989180242 /nfs/dbraw/zinc/18/02/42/989180242.db2.gz FGBGGPNDGCVODB-IONNQARKSA-N 0 2 302.268 0.933 20 0 DCADLN CC(C)OCC(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001389379245 989180248 /nfs/dbraw/zinc/18/02/48/989180248.db2.gz FGBGGPNDGCVODB-IONNQARKSA-N 0 2 302.268 0.933 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cc(=O)n3ccccc3n2)C[C@@H](C(=O)[O-])O1 ZINC001599866114 989260348 /nfs/dbraw/zinc/26/03/48/989260348.db2.gz QLXSQFSKAVJELT-PWSUYJOCSA-N 0 2 303.318 0.368 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cc(=O)n3ccccc3n2)C[C@@H](C(=O)[O-])O1 ZINC001599866114 989260354 /nfs/dbraw/zinc/26/03/54/989260354.db2.gz QLXSQFSKAVJELT-PWSUYJOCSA-N 0 2 303.318 0.368 20 0 DCADLN COC[C@H](C)C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001389806949 989598724 /nfs/dbraw/zinc/59/87/24/989598724.db2.gz FWMANZAYXFMCKX-YUMQZZPRSA-N 0 2 314.279 0.934 20 0 DCADLN COC[C@H](C)C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001389806949 989598727 /nfs/dbraw/zinc/59/87/27/989598727.db2.gz FWMANZAYXFMCKX-YUMQZZPRSA-N 0 2 314.279 0.934 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnn(C)c2)C1 ZINC001390077147 989798545 /nfs/dbraw/zinc/79/85/45/989798545.db2.gz PGXXUGDJQFXIPV-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cnn(C)c2)C1 ZINC001390077147 989798548 /nfs/dbraw/zinc/79/85/48/989798548.db2.gz PGXXUGDJQFXIPV-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CCn1ncc(Cl)c1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001597957737 989973891 /nfs/dbraw/zinc/97/38/91/989973891.db2.gz FJLNWEPHGFMGNT-VIFPVBQESA-N 0 2 311.729 0.636 20 0 DCADLN CS[C@H](C)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391050628 990543305 /nfs/dbraw/zinc/54/33/05/990543305.db2.gz QSUGCOMKWJFAEH-ZJUUUORDSA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@H](C)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391050628 990543316 /nfs/dbraw/zinc/54/33/16/990543316.db2.gz QSUGCOMKWJFAEH-ZJUUUORDSA-N 0 2 313.427 0.733 20 0 DCADLN Cn1nnc(CN2CCC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001391060233 990552115 /nfs/dbraw/zinc/55/21/15/990552115.db2.gz XHJNGKSICGOZJT-CBAPKCEASA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CCC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001391060233 990552118 /nfs/dbraw/zinc/55/21/18/990552118.db2.gz XHJNGKSICGOZJT-CBAPKCEASA-N 0 2 324.282 0.191 20 0 DCADLN CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001391258147 990704935 /nfs/dbraw/zinc/70/49/35/990704935.db2.gz KTFRAOSDEGOFAJ-GARJFASQSA-N 0 2 309.370 0.111 20 0 DCADLN Cn1ncc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001391478110 990878371 /nfs/dbraw/zinc/87/83/71/990878371.db2.gz GITXVHNLRNGILV-SECBINFHSA-N 0 2 309.267 0.264 20 0 DCADLN Cn1ncc(CN2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001391478110 990878375 /nfs/dbraw/zinc/87/83/75/990878375.db2.gz GITXVHNLRNGILV-SECBINFHSA-N 0 2 309.267 0.264 20 0 DCADLN CC[C@@H](C)OCC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391612443 991013320 /nfs/dbraw/zinc/01/33/20/991013320.db2.gz FLUUJNFBWTVUPL-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@@H](C)OCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391612443 991013325 /nfs/dbraw/zinc/01/33/25/991013325.db2.gz FLUUJNFBWTVUPL-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN CN(C)c1cc(-c2ccc(=O)n(C[C@@](C)(O)C(=O)[O-])c2)cc[nH+]1 ZINC001598288432 991196077 /nfs/dbraw/zinc/19/60/77/991196077.db2.gz UEEKOLFCQRHBPY-MRXNPFEDSA-N 0 2 317.345 0.812 20 0 DCADLN CCn1ncnc1C[NH2+]C[C@@H](C)N(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001392070766 991463803 /nfs/dbraw/zinc/46/38/03/991463803.db2.gz XZTYUGZXPDZCPO-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCC(C)(C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001392114561 991508970 /nfs/dbraw/zinc/50/89/70/991508970.db2.gz LZVLDRKEAUATRR-SFYZADRCSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001392114561 991508975 /nfs/dbraw/zinc/50/89/75/991508975.db2.gz LZVLDRKEAUATRR-SFYZADRCSA-N 0 2 316.295 0.916 20 0 DCADLN COc1cnc(F)c(C[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)c1 ZINC001599724676 991597995 /nfs/dbraw/zinc/59/79/95/991597995.db2.gz MNKSBSTZLZSTRD-SNVBAGLBSA-N 0 2 321.312 0.966 20 0 DCADLN COc1cnc(F)c(C[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)c1 ZINC001599724676 991598004 /nfs/dbraw/zinc/59/80/04/991598004.db2.gz MNKSBSTZLZSTRD-SNVBAGLBSA-N 0 2 321.312 0.966 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1Cc2ccccc21 ZINC001392299050 991716844 /nfs/dbraw/zinc/71/68/44/991716844.db2.gz COVIIPICQPYAJD-ZDUSSCGKSA-N 0 2 315.377 0.740 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1Cc2ccccc21 ZINC001392299050 991716851 /nfs/dbraw/zinc/71/68/51/991716851.db2.gz COVIIPICQPYAJD-ZDUSSCGKSA-N 0 2 315.377 0.740 20 0 DCADLN CN(CC[N@@H+](C)C[C@H](O)CC(F)(F)F)C(=O)Cc1[nH]cc[nH+]1 ZINC001392318360 991746896 /nfs/dbraw/zinc/74/68/96/991746896.db2.gz XJUNHYGKZQFGLG-SNVBAGLBSA-N 0 2 322.331 0.656 20 0 DCADLN Cc1ccnn1CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001392328660 991760458 /nfs/dbraw/zinc/76/04/58/991760458.db2.gz DORODASXSQQRPS-GMSGAONNSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccnn1CC(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001392328660 991760463 /nfs/dbraw/zinc/76/04/63/991760463.db2.gz DORODASXSQQRPS-GMSGAONNSA-N 0 2 324.278 0.713 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001392389869 991861294 /nfs/dbraw/zinc/86/12/94/991861294.db2.gz NVNRSLGHTJSXKA-PRJMDXOYSA-N 0 2 302.268 0.525 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001392389869 991861299 /nfs/dbraw/zinc/86/12/99/991861299.db2.gz NVNRSLGHTJSXKA-PRJMDXOYSA-N 0 2 302.268 0.525 20 0 DCADLN CS(=O)(=O)CCC[NH+]1CCC(c2cc(C(=O)[O-])on2)CC1 ZINC001599750727 991987500 /nfs/dbraw/zinc/98/75/00/991987500.db2.gz FSNKXGZLCAWNDY-UHFFFAOYSA-N 0 2 316.379 0.987 20 0 DCADLN CN(CCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])C(=O)CCc1[nH]cc[nH+]1 ZINC001598478972 992119245 /nfs/dbraw/zinc/11/92/45/992119245.db2.gz FQINQNHZJOEAES-GHMZBOCLSA-N 0 2 322.365 0.028 20 0 DCADLN C[N@H+](CCS(C)(=O)=O)Cc1ccc(OCC(=O)[O-])cc1 ZINC001598499065 992405261 /nfs/dbraw/zinc/40/52/61/992405261.db2.gz FOJJNPKAHBEQSF-UHFFFAOYSA-N 0 2 301.364 0.626 20 0 DCADLN C[N@@H+](CCS(C)(=O)=O)Cc1ccc(OCC(=O)[O-])cc1 ZINC001598499065 992405264 /nfs/dbraw/zinc/40/52/64/992405264.db2.gz FOJJNPKAHBEQSF-UHFFFAOYSA-N 0 2 301.364 0.626 20 0 DCADLN C[C@H](CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001392878651 992490382 /nfs/dbraw/zinc/49/03/82/992490382.db2.gz ZQWGSSWWVUYAIZ-MNOVXSKESA-N 0 2 319.365 0.988 20 0 DCADLN CC(C)C[C@@H](C(N)=O)[N@H+](C)CC(=O)N[C@H](C(=O)[O-])C(C)C ZINC001591431484 992524311 /nfs/dbraw/zinc/52/43/11/992524311.db2.gz DXMMWDDOTASEIA-JQWIXIFHSA-N 0 2 301.387 0.044 20 0 DCADLN CC(C)C[C@@H](C(N)=O)[N@@H+](C)CC(=O)N[C@H](C(=O)[O-])C(C)C ZINC001591431484 992524322 /nfs/dbraw/zinc/52/43/22/992524322.db2.gz DXMMWDDOTASEIA-JQWIXIFHSA-N 0 2 301.387 0.044 20 0 DCADLN CC(C)Cc1noc(C[NH2+]CCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001591497836 992635445 /nfs/dbraw/zinc/63/54/45/992635445.db2.gz KWUPOMVGTUQZDK-QWRGUYRKSA-N 0 2 324.381 0.537 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001393346762 992901888 /nfs/dbraw/zinc/90/18/88/992901888.db2.gz NNCGHEDKQLLMQF-CBAPKCEASA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001393346762 992901882 /nfs/dbraw/zinc/90/18/82/992901882.db2.gz NNCGHEDKQLLMQF-CBAPKCEASA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)[NH+](C)CC(=O)NC[C@H]1COCC[N@@H+]1C[C@H]1CCOC1 ZINC001393517455 993048661 /nfs/dbraw/zinc/04/86/61/993048661.db2.gz DDNZLJKZRDOVBT-CABCVRRESA-N 0 2 313.442 0.180 20 0 DCADLN C[N@@H+]1C2(CCC2)COC[C@]1(CO)CNc1nccc(C(=O)[O-])n1 ZINC001598556345 993062035 /nfs/dbraw/zinc/06/20/35/993062035.db2.gz NGYMCWXZTYBMGP-OAHLLOKOSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@H+]1C2(CCC2)COC[C@]1(CO)CNc1nccc(C(=O)[O-])n1 ZINC001598556345 993062040 /nfs/dbraw/zinc/06/20/40/993062040.db2.gz NGYMCWXZTYBMGP-OAHLLOKOSA-N 0 2 322.365 0.203 20 0 DCADLN O=C(NC[C@@H]1CCC2(CN(CCO)C2)O1)C(F)C(F)(F)F ZINC001393660502 993162765 /nfs/dbraw/zinc/16/27/65/993162765.db2.gz YRFIGOQMEMZIHE-DTWKUNHWSA-N 0 2 314.279 0.229 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2ccc(Cn3ccnn3)o2)C[C@@H]1C(=O)[O-] ZINC001598573461 993237550 /nfs/dbraw/zinc/23/75/50/993237550.db2.gz IGJSGRDQIIHDNW-WDEREUQCSA-N 0 2 320.305 0.234 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2ccc(Cn3ccnn3)o2)C[C@@H]1C(=O)[O-] ZINC001598573461 993237558 /nfs/dbraw/zinc/23/75/58/993237558.db2.gz IGJSGRDQIIHDNW-WDEREUQCSA-N 0 2 320.305 0.234 20 0 DCADLN CC[C@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1cnnn1C)[NH+](C)C ZINC001399856425 993849307 /nfs/dbraw/zinc/84/93/07/993849307.db2.gz AVJDMSQXPAKGTP-GXTWGEPZSA-N 0 2 308.430 0.236 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])o2)C(C)(C)C1 ZINC001598621025 994005574 /nfs/dbraw/zinc/00/55/74/994005574.db2.gz IDFCQBAVNHMJJB-UHFFFAOYSA-N 0 2 302.352 0.693 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])o2)C(C)(C)C1 ZINC001598621025 994005581 /nfs/dbraw/zinc/00/55/81/994005581.db2.gz IDFCQBAVNHMJJB-UHFFFAOYSA-N 0 2 302.352 0.693 20 0 DCADLN C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400051762 994105654 /nfs/dbraw/zinc/10/56/54/994105654.db2.gz JXYSWHSXQQDATB-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400051762 994105663 /nfs/dbraw/zinc/10/56/63/994105663.db2.gz JXYSWHSXQQDATB-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)N[C@H]2CC[C@H]2C(=O)[O-])C1 ZINC001598626754 994108504 /nfs/dbraw/zinc/10/85/04/994108504.db2.gz MNCLAZXPQVNLOV-OLZOCXBDSA-N 0 2 324.402 0.816 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)N[C@H]2CC[C@H]2C(=O)[O-])C1 ZINC001598626754 994108512 /nfs/dbraw/zinc/10/85/12/994108512.db2.gz MNCLAZXPQVNLOV-OLZOCXBDSA-N 0 2 324.402 0.816 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@H](O)C[N@@H+](C)CC[C@H](C)F)c1[O-] ZINC001394771426 994130756 /nfs/dbraw/zinc/13/07/56/994130756.db2.gz QFDHQXKHRCCHPQ-GXSJLCMTSA-N 0 2 316.377 0.537 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@H](O)C[N@H+](C)CC[C@H](C)F)c1[O-] ZINC001394771426 994130761 /nfs/dbraw/zinc/13/07/61/994130761.db2.gz QFDHQXKHRCCHPQ-GXSJLCMTSA-N 0 2 316.377 0.537 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001400432331 994508976 /nfs/dbraw/zinc/50/89/76/994508976.db2.gz RQEQRAWBTUDECF-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001400432331 994508988 /nfs/dbraw/zinc/50/89/88/994508988.db2.gz RQEQRAWBTUDECF-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN COCCC(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001400434978 994510284 /nfs/dbraw/zinc/51/02/84/994510284.db2.gz UIKFRXXKIRFEAR-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001400434978 994510297 /nfs/dbraw/zinc/51/02/97/994510297.db2.gz UIKFRXXKIRFEAR-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN COc1cccc(C[C@@H]([NH2+][C@H](C)c2nnnn2C)C(=O)[O-])c1 ZINC001599668969 994778004 /nfs/dbraw/zinc/77/80/04/994778004.db2.gz BTPKUBUCFCWRIU-BXKDBHETSA-N 0 2 305.338 0.565 20 0 DCADLN CCCNC(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)C[NH+]2CCCC2)C1 ZINC001400739432 994863377 /nfs/dbraw/zinc/86/33/77/994863377.db2.gz NQQQTQJXBXARFA-CQSZACIVSA-N 0 2 310.442 0.141 20 0 DCADLN COc1cccc(CN(C)C(=O)C[N@@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])c1 ZINC001599674001 994865313 /nfs/dbraw/zinc/86/53/13/994865313.db2.gz PGEIOVBCOBDTOX-TZMCWYRMSA-N 0 2 322.361 0.173 20 0 DCADLN COc1cccc(CN(C)C(=O)C[N@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])c1 ZINC001599674001 994865329 /nfs/dbraw/zinc/86/53/29/994865329.db2.gz PGEIOVBCOBDTOX-TZMCWYRMSA-N 0 2 322.361 0.173 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])N1CCN(c2cc(C)cc[nH+]2)CC1 ZINC001598700033 995207219 /nfs/dbraw/zinc/20/72/19/995207219.db2.gz RYKMMDTUEAHWOD-CYBMUJFWSA-N 0 2 321.377 0.918 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCO[C@H]1CCCCO1 ZINC001598751222 995655666 /nfs/dbraw/zinc/65/56/66/995655666.db2.gz ADMWIWNPMHHGHK-AVGNSLFASA-N 0 2 315.366 0.868 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCO[C@H]1CCCCO1 ZINC001598751222 995655667 /nfs/dbraw/zinc/65/56/67/995655667.db2.gz ADMWIWNPMHHGHK-AVGNSLFASA-N 0 2 315.366 0.868 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CCN1C(=O)C[NH+]1CC(C)(C)C1 ZINC001598752757 995675867 /nfs/dbraw/zinc/67/58/67/995675867.db2.gz YHFKPAFEMAPOPI-GHMZBOCLSA-N 0 2 312.366 0.193 20 0 DCADLN Cc1conc1C[NH2+][C@H]1C[C@H](CNC(=O)CCc2cn[nH]n2)C1 ZINC001397129548 995814626 /nfs/dbraw/zinc/81/46/26/995814626.db2.gz LPAPXVIQSZTZHQ-AULYBMBSSA-N 0 2 318.381 0.718 20 0 DCADLN Cc1conc1C[NH2+][C@H]1C[C@H](CNC(=O)CCc2c[nH]nn2)C1 ZINC001397129548 995814635 /nfs/dbraw/zinc/81/46/35/995814635.db2.gz LPAPXVIQSZTZHQ-AULYBMBSSA-N 0 2 318.381 0.718 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001397191661 995852967 /nfs/dbraw/zinc/85/29/67/995852967.db2.gz PNKDDAGALPSXKL-ZKWXMUAHSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)[nH]n1 ZINC001397191661 995852971 /nfs/dbraw/zinc/85/29/71/995852971.db2.gz PNKDDAGALPSXKL-ZKWXMUAHSA-N 0 2 322.262 0.996 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1CCn1ccccc1=O ZINC001598776795 995938376 /nfs/dbraw/zinc/93/83/76/995938376.db2.gz KNNNUONLPHDCRN-NEPJUHHUSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1CCn1ccccc1=O ZINC001598776795 995938379 /nfs/dbraw/zinc/93/83/79/995938379.db2.gz KNNNUONLPHDCRN-NEPJUHHUSA-N 0 2 308.334 0.187 20 0 DCADLN C[C@@H](Oc1ccccc1C[N@@H+]1CCO[C@H](CC(N)=O)C1)C(=O)[O-] ZINC001593646385 995984441 /nfs/dbraw/zinc/98/44/41/995984441.db2.gz QUCXVSXPKFAVDK-DGCLKSJQSA-N 0 2 322.361 0.615 20 0 DCADLN C[C@@H](Oc1ccccc1C[N@H+]1CCO[C@H](CC(N)=O)C1)C(=O)[O-] ZINC001593646385 995984444 /nfs/dbraw/zinc/98/44/44/995984444.db2.gz QUCXVSXPKFAVDK-DGCLKSJQSA-N 0 2 322.361 0.615 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001397647566 996115598 /nfs/dbraw/zinc/11/55/98/996115598.db2.gz MVEIUGZOASFURH-JSGCOSHPSA-N 0 2 319.409 0.011 20 0 DCADLN Cc1ncc(C(=O)N2CCCC[C@H]2C[N@H+](C)[C@H](C)C(N)=O)[nH]1 ZINC001397804871 996185308 /nfs/dbraw/zinc/18/53/08/996185308.db2.gz SEXJEEMBQJAHEQ-PWSUYJOCSA-N 0 2 307.398 0.518 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001510859733 1016017262 /nfs/dbraw/zinc/01/72/62/1016017262.db2.gz BHGAYLDQYCOHAD-QNVIJMGISA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001510859733 1016017274 /nfs/dbraw/zinc/01/72/74/1016017274.db2.gz BHGAYLDQYCOHAD-QNVIJMGISA-N 0 2 314.279 0.836 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001397936272 996266095 /nfs/dbraw/zinc/26/60/95/996266095.db2.gz FOBWJCQXLCUVLY-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001397936272 996266101 /nfs/dbraw/zinc/26/61/01/996266101.db2.gz FOBWJCQXLCUVLY-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CSCCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001398602782 996599044 /nfs/dbraw/zinc/59/90/44/996599044.db2.gz GMYTVMPNQWGCRI-MRVPVSSYSA-N 0 2 302.293 0.967 20 0 DCADLN CSCCC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398602782 996599045 /nfs/dbraw/zinc/59/90/45/996599045.db2.gz GMYTVMPNQWGCRI-MRVPVSSYSA-N 0 2 302.293 0.967 20 0 DCADLN COCCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398709294 996650163 /nfs/dbraw/zinc/65/01/63/996650163.db2.gz ASEBVIBZSZEUMD-VIFPVBQESA-N 0 2 300.252 0.498 20 0 DCADLN COCCC(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001398709294 996650166 /nfs/dbraw/zinc/65/01/66/996650166.db2.gz ASEBVIBZSZEUMD-VIFPVBQESA-N 0 2 300.252 0.498 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](Cc2ccnn2C)C2CC2)c1[O-] ZINC001404228703 996833932 /nfs/dbraw/zinc/83/39/32/996833932.db2.gz WPOOMMQBCWSDEI-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](Cc2ccnn2C)C2CC2)c1[O-] ZINC001404228703 996833942 /nfs/dbraw/zinc/83/39/42/996833942.db2.gz WPOOMMQBCWSDEI-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN CCn1[n-]nnc1=NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC001466547106 1016087755 /nfs/dbraw/zinc/08/77/55/1016087755.db2.gz GNLFMLOQIKTAGS-UHFFFAOYSA-N 0 2 304.358 0.012 20 0 DCADLN COCc1ncsc1C(=O)O[C@H]1C[N@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001599305435 997304792 /nfs/dbraw/zinc/30/47/92/997304792.db2.gz BJKQDLFLWDDLGN-AMIZOPFISA-N 0 2 314.363 0.994 20 0 DCADLN COCc1ncsc1C(=O)O[C@H]1C[N@@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001599305435 997304803 /nfs/dbraw/zinc/30/48/03/997304803.db2.gz BJKQDLFLWDDLGN-AMIZOPFISA-N 0 2 314.363 0.994 20 0 DCADLN Cc1cnc(CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F)o1 ZINC001507197619 1016113101 /nfs/dbraw/zinc/11/31/01/1016113101.db2.gz CILOZOKIEHDVGI-APPZFPTMSA-N 0 2 313.251 0.450 20 0 DCADLN Cc1cnc(CN[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)o1 ZINC001507197619 1016113107 /nfs/dbraw/zinc/11/31/07/1016113107.db2.gz CILOZOKIEHDVGI-APPZFPTMSA-N 0 2 313.251 0.450 20 0 DCADLN O=C(NCC[C@H]1CCCCN1C(=O)c1ccn[nH]1)c1cn[nH]n1 ZINC001399512868 997463428 /nfs/dbraw/zinc/46/34/28/997463428.db2.gz VNJNVVWKPBOBNG-SNVBAGLBSA-N 0 2 317.353 0.343 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)Cc1n[nH]c(=O)[n-]1 ZINC001399540077 997486464 /nfs/dbraw/zinc/48/64/64/997486464.db2.gz LNYLSYNCPJPQQS-CNDDSTCGSA-N 0 2 307.398 0.885 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)Cc1n[nH]c(=O)[n-]1 ZINC001399540077 997486481 /nfs/dbraw/zinc/48/64/81/997486481.db2.gz LNYLSYNCPJPQQS-CNDDSTCGSA-N 0 2 307.398 0.885 20 0 DCADLN O=C(Cc1cccs1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404857920 997535948 /nfs/dbraw/zinc/53/59/48/997535948.db2.gz WIJWERASOURDDQ-UHFFFAOYSA-N 0 2 307.379 0.363 20 0 DCADLN NC(=O)Nc1ccc(C(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC001467309783 1016157092 /nfs/dbraw/zinc/15/70/92/1016157092.db2.gz FUFHGGUBQBSTJT-UHFFFAOYSA-N 0 2 307.335 0.821 20 0 DCADLN C[C@@H]1OC(=O)N[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001467900039 1016207951 /nfs/dbraw/zinc/20/79/51/1016207951.db2.gz WQTFGYNDXGJAFD-RCOVLWMOSA-N 0 2 303.278 0.613 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@H+](C)[C@@H]2COC[C@H]2O)c1 ZINC001598996028 998780804 /nfs/dbraw/zinc/78/08/04/998780804.db2.gz LGAMJDTUGYJVHE-UPJWGTAASA-N 0 2 309.318 0.290 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@@H+](C)[C@@H]2COC[C@H]2O)c1 ZINC001598996028 998780806 /nfs/dbraw/zinc/78/08/06/998780806.db2.gz LGAMJDTUGYJVHE-UPJWGTAASA-N 0 2 309.318 0.290 20 0 DCADLN COC(=O)c1cccc([C@@H]([NH2+][C@@H](C(N)=O)C(C)C)C(=O)[O-])c1 ZINC001598997379 998792476 /nfs/dbraw/zinc/79/24/76/998792476.db2.gz ZQMCXNNRDMKULF-VXGBXAGGSA-N 0 2 308.334 0.698 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@@H+]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001598997707 998795595 /nfs/dbraw/zinc/79/55/95/998795595.db2.gz OAMOIRIVMAIJKU-FRRDWIJNSA-N 0 2 309.318 0.026 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001598997707 998795598 /nfs/dbraw/zinc/79/55/98/998795598.db2.gz OAMOIRIVMAIJKU-FRRDWIJNSA-N 0 2 309.318 0.026 20 0 DCADLN COC(=O)c1cnc(C[N@H+]2C[C@@H](OC)C[C@]2(C)C(=O)[O-])cn1 ZINC001599007690 998869733 /nfs/dbraw/zinc/86/97/33/998869733.db2.gz WCQGKLKMODBQCZ-IINYFYTJSA-N 0 2 309.322 0.327 20 0 DCADLN COC(=O)c1cnc(C[N@@H+]2C[C@@H](OC)C[C@]2(C)C(=O)[O-])cn1 ZINC001599007690 998869736 /nfs/dbraw/zinc/86/97/36/998869736.db2.gz WCQGKLKMODBQCZ-IINYFYTJSA-N 0 2 309.322 0.327 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccoc1CC(=O)[O-] ZINC001594251164 998966162 /nfs/dbraw/zinc/96/61/62/998966162.db2.gz SVBBEGPLPABCNP-RYUDHWBXSA-N 0 2 322.361 0.842 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1csc(C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001418571800 1000356505 /nfs/dbraw/zinc/35/65/05/1000356505.db2.gz AJIWTNMDTDGKHO-UHFFFAOYSA-N 0 2 324.410 0.456 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1csc(C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001418571800 1000356510 /nfs/dbraw/zinc/35/65/10/1000356510.db2.gz AJIWTNMDTDGKHO-UHFFFAOYSA-N 0 2 324.410 0.456 20 0 DCADLN CCC[C@@H](OC)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001418582696 1000365064 /nfs/dbraw/zinc/36/50/64/1000365064.db2.gz QFLQNUWHSRKZMF-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001401760312 1000696885 /nfs/dbraw/zinc/69/68/85/1000696885.db2.gz OXAZXBUSEJEZQL-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001401760312 1000696888 /nfs/dbraw/zinc/69/68/88/1000696888.db2.gz OXAZXBUSEJEZQL-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN O=C(CCc1cnn[nH]1)NC[C@H]([NH2+]Cc1nccs1)C1CC1 ZINC001402328450 1001220297 /nfs/dbraw/zinc/22/02/97/1001220297.db2.gz UNLNKQGABRESQG-LBPRGKRZSA-N 0 2 320.422 0.878 20 0 DCADLN CC1(CC(=O)N[C@H]2COC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)CC1 ZINC001402678157 1001467639 /nfs/dbraw/zinc/46/76/39/1001467639.db2.gz CKSWIPUTISWPCO-SNVBAGLBSA-N 0 2 321.381 0.160 20 0 DCADLN CO[C@@H](C)CC(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402742228 1001487166 /nfs/dbraw/zinc/48/71/66/1001487166.db2.gz XKNOLYCNDVVUCG-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C)CC(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402742228 1001487169 /nfs/dbraw/zinc/48/71/69/1001487169.db2.gz XKNOLYCNDVVUCG-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ncc[nH]1)C(F)C(F)(F)F ZINC001505750942 1016517539 /nfs/dbraw/zinc/51/75/39/1016517539.db2.gz VJFBFGATYMNSIO-NKWVEPMBSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ncc[nH]1)[C@@H](F)C(F)(F)F ZINC001505750942 1016517552 /nfs/dbraw/zinc/51/75/52/1016517552.db2.gz VJFBFGATYMNSIO-NKWVEPMBSA-N 0 2 308.235 0.641 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001403185197 1001713557 /nfs/dbraw/zinc/71/35/57/1001713557.db2.gz ICKRQCDBDHKBRK-JTQLQIEISA-N 0 2 320.397 0.716 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001403185197 1001713560 /nfs/dbraw/zinc/71/35/60/1001713560.db2.gz ICKRQCDBDHKBRK-JTQLQIEISA-N 0 2 320.397 0.716 20 0 DCADLN CCc1ncc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001403194661 1001720192 /nfs/dbraw/zinc/72/01/92/1001720192.db2.gz AMJBBORIDZMGIK-MRVPVSSYSA-N 0 2 324.410 0.780 20 0 DCADLN CCc1ncc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001403194661 1001720197 /nfs/dbraw/zinc/72/01/97/1001720197.db2.gz AMJBBORIDZMGIK-MRVPVSSYSA-N 0 2 324.410 0.780 20 0 DCADLN Cc1noc(C(C)C)c1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403585297 1002171449 /nfs/dbraw/zinc/17/14/49/1002171449.db2.gz BIUPVJFSZVZTFC-UHFFFAOYSA-N 0 2 322.369 0.792 20 0 DCADLN Cc1noc(C(C)C)c1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403585297 1002171452 /nfs/dbraw/zinc/17/14/52/1002171452.db2.gz BIUPVJFSZVZTFC-UHFFFAOYSA-N 0 2 322.369 0.792 20 0 DCADLN CCc1ccccc1CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403588778 1002177098 /nfs/dbraw/zinc/17/70/98/1002177098.db2.gz MHYHVQYXVLEITJ-UHFFFAOYSA-N 0 2 317.393 0.863 20 0 DCADLN CCc1ccccc1CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403588778 1002177099 /nfs/dbraw/zinc/17/70/99/1002177099.db2.gz MHYHVQYXVLEITJ-UHFFFAOYSA-N 0 2 317.393 0.863 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1C[C@H]1C1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420323961 1002224204 /nfs/dbraw/zinc/22/42/04/1002224204.db2.gz HQGBARZVQFCKJH-RYUDHWBXSA-N 0 2 307.398 0.885 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1C[C@H]1C1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420323961 1002224208 /nfs/dbraw/zinc/22/42/08/1002224208.db2.gz HQGBARZVQFCKJH-RYUDHWBXSA-N 0 2 307.398 0.885 20 0 DCADLN Cc1ccc(C)c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420338783 1002249608 /nfs/dbraw/zinc/24/96/08/1002249608.db2.gz XMSLTJODMVXPOI-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN Cc1ccc(C)c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420338783 1002249613 /nfs/dbraw/zinc/24/96/13/1002249613.db2.gz XMSLTJODMVXPOI-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN Cc1cccc(CC(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001425014179 1002249877 /nfs/dbraw/zinc/24/98/77/1002249877.db2.gz PEJLCGLQWLSIMB-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1cccc(CC(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001425014179 1002249884 /nfs/dbraw/zinc/24/98/84/1002249884.db2.gz PEJLCGLQWLSIMB-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN CCc1cc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001420367890 1002289565 /nfs/dbraw/zinc/28/95/65/1002289565.db2.gz BIBJNPUIYNSTON-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN CCc1cc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001420367890 1002289572 /nfs/dbraw/zinc/28/95/72/1002289572.db2.gz BIBJNPUIYNSTON-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN COCCC1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001420465852 1002456768 /nfs/dbraw/zinc/45/67/68/1002456768.db2.gz CTIVIDHCBRDZOS-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN COCCC1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001420465852 1002456773 /nfs/dbraw/zinc/45/67/73/1002456773.db2.gz CTIVIDHCBRDZOS-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420492675 1002496320 /nfs/dbraw/zinc/49/63/20/1002496320.db2.gz ZMDQBKKORUUNFP-CMPLNLGQSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420492675 1002496328 /nfs/dbraw/zinc/49/63/28/1002496328.db2.gz ZMDQBKKORUUNFP-CMPLNLGQSA-N 0 2 309.370 0.016 20 0 DCADLN CC[N@H+](CCNC(=O)c1nnc[nH]1)CC(=O)N1CCCC[C@@H]1C ZINC001403909989 1002723194 /nfs/dbraw/zinc/72/31/94/1002723194.db2.gz CAXWMQYCDBJCLX-LBPRGKRZSA-N 0 2 322.413 0.257 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCn1cccc1 ZINC001420640914 1002729168 /nfs/dbraw/zinc/72/91/68/1002729168.db2.gz NECITSBCGFARTO-NSHDSACASA-N 0 2 306.370 0.339 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCn1cccc1 ZINC001420640914 1002729175 /nfs/dbraw/zinc/72/91/75/1002729175.db2.gz NECITSBCGFARTO-NSHDSACASA-N 0 2 306.370 0.339 20 0 DCADLN C[C@@H]([NH2+][C@@H](CO)CNC(=O)C[N@H+](C)C1CCC1)c1ncccn1 ZINC001425751218 1003052488 /nfs/dbraw/zinc/05/24/88/1003052488.db2.gz IWAAHCWNQQJNTE-CHWSQXEVSA-N 0 2 321.425 0.089 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1ncnn1C ZINC001505922292 1016716220 /nfs/dbraw/zinc/71/62/20/1016716220.db2.gz LHYSZCKXOBWQNL-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1ncnn1C ZINC001505922292 1016716237 /nfs/dbraw/zinc/71/62/37/1016716237.db2.gz LHYSZCKXOBWQNL-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN O=C(CCCF)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001421023637 1003242300 /nfs/dbraw/zinc/24/23/00/1003242300.db2.gz QIXRUKAAWNTRQE-PHIMTYICSA-N 0 2 311.361 0.825 20 0 DCADLN Cc1cncc(CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001421054298 1003272877 /nfs/dbraw/zinc/27/28/77/1003272877.db2.gz ZJUJRXCVORNYHO-UHFFFAOYSA-N 0 2 316.365 0.147 20 0 DCADLN C[C@H]1CCCC[C@@H]1OCC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421073954 1003292668 /nfs/dbraw/zinc/29/26/68/1003292668.db2.gz LSBGPLXSBDREJX-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(Cc1ccc(F)cc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421178634 1003409747 /nfs/dbraw/zinc/40/97/47/1003409747.db2.gz KCRCHXLICXQLNB-UHFFFAOYSA-N 0 2 319.340 0.440 20 0 DCADLN CCc1nnc(C[NH2+][C@H](CC)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001421389652 1003616287 /nfs/dbraw/zinc/61/62/87/1003616287.db2.gz IDRFTPCNYNRPFX-SECBINFHSA-N 0 2 322.369 0.667 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001428222396 1003772016 /nfs/dbraw/zinc/77/20/16/1003772016.db2.gz RTYLJEFVQSMPQU-SWXZISNSSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)[C@H](F)C(F)(F)F ZINC001428222396 1003772023 /nfs/dbraw/zinc/77/20/23/1003772023.db2.gz RTYLJEFVQSMPQU-SWXZISNSSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428281573 1003820917 /nfs/dbraw/zinc/82/09/17/1003820917.db2.gz WSJIGYBFFPSSQR-XKSSXDPKSA-N 0 2 322.262 0.616 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001428281573 1003820929 /nfs/dbraw/zinc/82/09/29/1003820929.db2.gz WSJIGYBFFPSSQR-XKSSXDPKSA-N 0 2 322.262 0.616 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406585385 1003884078 /nfs/dbraw/zinc/88/40/78/1003884078.db2.gz UIPMGIFEUIJFMA-WDEREUQCSA-N 0 2 313.402 0.508 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406585385 1003884092 /nfs/dbraw/zinc/88/40/92/1003884092.db2.gz UIPMGIFEUIJFMA-WDEREUQCSA-N 0 2 313.402 0.508 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCCC(N)=O ZINC001428655031 1004092146 /nfs/dbraw/zinc/09/21/46/1004092146.db2.gz PGPAFSXBWLAJKM-IMTBSYHQSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CCCC(N)=O ZINC001428655031 1004092153 /nfs/dbraw/zinc/09/21/53/1004092153.db2.gz PGPAFSXBWLAJKM-IMTBSYHQSA-N 0 2 315.267 0.163 20 0 DCADLN CC1(C)C[C@@H]1CNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001426906298 1004120783 /nfs/dbraw/zinc/12/07/83/1004120783.db2.gz HNLMYNAFUFESKU-MRVPVSSYSA-N 0 2 315.399 0.195 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)COCC(F)F ZINC001428742809 1004142416 /nfs/dbraw/zinc/14/24/16/1004142416.db2.gz SQORCQAYPSSHEJ-MRVPVSSYSA-N 0 2 318.324 0.163 20 0 DCADLN CCn1ncc(CN2CC(N(C)C(=O)C(F)C(F)(F)F)C2)n1 ZINC001407055891 1004339417 /nfs/dbraw/zinc/33/94/17/1004339417.db2.gz QXQCWJFSMRQHDA-JTQLQIEISA-N 0 2 323.294 0.841 20 0 DCADLN CCn1ncc(CN2CC(N(C)C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001407055891 1004339423 /nfs/dbraw/zinc/33/94/23/1004339423.db2.gz QXQCWJFSMRQHDA-JTQLQIEISA-N 0 2 323.294 0.841 20 0 DCADLN O=C(NC[C@H]1CCCOC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427427397 1004378138 /nfs/dbraw/zinc/37/81/38/1004378138.db2.gz XCBIEAYIOIXLOV-GHMZBOCLSA-N 0 2 309.370 0.826 20 0 DCADLN CN(CCNC(=O)CCc1cn[nH]c1)C(=O)C(F)C(F)(F)F ZINC001429284272 1004505256 /nfs/dbraw/zinc/50/52/56/1004505256.db2.gz WQUIPCWEYPXASA-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCNC(=O)CCc1cn[nH]c1)C(=O)[C@H](F)C(F)(F)F ZINC001429284272 1004505262 /nfs/dbraw/zinc/50/52/62/1004505262.db2.gz WQUIPCWEYPXASA-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCN(C)C(=O)c1cc(C2CC2)[nH]n1 ZINC001429309218 1004521262 /nfs/dbraw/zinc/52/12/62/1004521262.db2.gz WHAJHJPCEKDLOT-UHFFFAOYSA-N 0 2 321.425 0.816 20 0 DCADLN CO[C@H](C)CN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001407513609 1004703085 /nfs/dbraw/zinc/70/30/85/1004703085.db2.gz IULVWBBIZIQVLD-SFYZADRCSA-N 0 2 302.268 0.085 20 0 DCADLN CC[N@H+]1CCC[C@](CO)(NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001407597925 1004751218 /nfs/dbraw/zinc/75/12/18/1004751218.db2.gz FEQSGFXAXDWEFD-WBMJQRKESA-N 0 2 306.410 0.793 20 0 DCADLN Cc1nnccc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001408250382 1005126434 /nfs/dbraw/zinc/12/64/34/1005126434.db2.gz DZZUCRAGVBHZHD-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nnccc1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001408250382 1005126436 /nfs/dbraw/zinc/12/64/36/1005126436.db2.gz DZZUCRAGVBHZHD-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001415166705 1005474156 /nfs/dbraw/zinc/47/41/56/1005474156.db2.gz SWDSBJBUBQWGBC-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001415166705 1005474155 /nfs/dbraw/zinc/47/41/55/1005474155.db2.gz SWDSBJBUBQWGBC-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cc[nH]c(=O)c1 ZINC001416885432 1005753242 /nfs/dbraw/zinc/75/32/42/1005753242.db2.gz PPUBLNTXLDDELS-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cc[nH]c(=O)c1 ZINC001416885432 1005753246 /nfs/dbraw/zinc/75/32/46/1005753246.db2.gz PPUBLNTXLDDELS-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1)N(C)C(=O)C(F)C(F)(F)F ZINC001508753766 1016998441 /nfs/dbraw/zinc/99/84/41/1016998441.db2.gz RYEKASIGPJMBDT-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001508753766 1016998449 /nfs/dbraw/zinc/99/84/49/1016998449.db2.gz RYEKASIGPJMBDT-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN CCC[NH+](C)CC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001417948017 1005937567 /nfs/dbraw/zinc/93/75/67/1005937567.db2.gz ZODNNFWNOVDFID-OAHLLOKOSA-N 0 2 324.469 0.435 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2COCc3ccccc32)S1 ZINC001418071657 1006003187 /nfs/dbraw/zinc/00/31/87/1006003187.db2.gz ICSCKLDJCNGSKM-WDEREUQCSA-N 0 2 305.359 0.931 20 0 DCADLN CCC(CC)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451352343 1006113333 /nfs/dbraw/zinc/11/33/33/1006113333.db2.gz SFZAIVSMMRGPLJ-BDAKNGLRSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001451352343 1006113337 /nfs/dbraw/zinc/11/33/37/1006113337.db2.gz SFZAIVSMMRGPLJ-BDAKNGLRSA-N 0 2 316.295 0.916 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001436480876 1006211599 /nfs/dbraw/zinc/21/15/99/1006211599.db2.gz VFZDTRWGLADAHH-AXFHLTTASA-N 0 2 311.386 0.404 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001436480876 1006211615 /nfs/dbraw/zinc/21/16/15/1006211615.db2.gz VFZDTRWGLADAHH-AXFHLTTASA-N 0 2 311.386 0.404 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1CC1 ZINC001451773118 1006402811 /nfs/dbraw/zinc/40/28/11/1006402811.db2.gz NGVSQCKFMZIXLR-SFYZADRCSA-N 0 2 300.252 0.232 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C1CC1 ZINC001451773118 1006402816 /nfs/dbraw/zinc/40/28/16/1006402816.db2.gz NGVSQCKFMZIXLR-SFYZADRCSA-N 0 2 300.252 0.232 20 0 DCADLN CCOC(=O)c1n[nH]c([C@H](C)NC(=O)Cc2[nH]cnc2C)n1 ZINC001418404409 1006477055 /nfs/dbraw/zinc/47/70/55/1006477055.db2.gz FAZITJUZCFRHFH-QMMMGPOBSA-N 0 2 306.326 0.433 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001452272233 1006702364 /nfs/dbraw/zinc/70/23/64/1006702364.db2.gz RBTAMLVKZVIKTO-SFYZADRCSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccoc1 ZINC001452272233 1006702377 /nfs/dbraw/zinc/70/23/77/1006702377.db2.gz RBTAMLVKZVIKTO-SFYZADRCSA-N 0 2 312.219 0.387 20 0 DCADLN CCN(C(C)=O)[C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001452512009 1006889358 /nfs/dbraw/zinc/88/93/58/1006889358.db2.gz AVGHBOGBCJLLKN-SNVBAGLBSA-N 0 2 318.381 0.797 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2c(o1)CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001437475011 1007011189 /nfs/dbraw/zinc/01/11/89/1007011189.db2.gz DPHONVIIXKLDRJ-UHFFFAOYSA-N 0 2 319.365 0.844 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2c(o1)CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001437475011 1007011202 /nfs/dbraw/zinc/01/12/02/1007011202.db2.gz DPHONVIIXKLDRJ-UHFFFAOYSA-N 0 2 319.365 0.844 20 0 DCADLN CO[C@@H]1CN(C(=O)C2CC2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001452724360 1007014588 /nfs/dbraw/zinc/01/45/88/1007014588.db2.gz YUPJACOPRDIOTI-HLTSFMKQSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@@H]1CN(C(=O)C2CC2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001452724360 1007014606 /nfs/dbraw/zinc/01/46/06/1007014606.db2.gz YUPJACOPRDIOTI-HLTSFMKQSA-N 0 2 312.263 0.639 20 0 DCADLN O=C(NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCCCC1 ZINC001439976404 1007350931 /nfs/dbraw/zinc/35/09/31/1007350931.db2.gz NIXADHCRRNHWHZ-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCCCC1 ZINC001439976404 1007350942 /nfs/dbraw/zinc/35/09/42/1007350942.db2.gz NIXADHCRRNHWHZ-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN CO[C@@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CCC1 ZINC001437799436 1007416281 /nfs/dbraw/zinc/41/62/81/1007416281.db2.gz LJWQIIFBXDEBIJ-CYBMUJFWSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CCC1 ZINC001437799436 1007416287 /nfs/dbraw/zinc/41/62/87/1007416287.db2.gz LJWQIIFBXDEBIJ-CYBMUJFWSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CCC1 ZINC001437799437 1007417173 /nfs/dbraw/zinc/41/71/73/1007417173.db2.gz LJWQIIFBXDEBIJ-ZDUSSCGKSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CCC1 ZINC001437799437 1007417181 /nfs/dbraw/zinc/41/71/81/1007417181.db2.gz LJWQIIFBXDEBIJ-ZDUSSCGKSA-N 0 2 323.397 0.406 20 0 DCADLN COCCC(=O)NC[C@@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001437865605 1007470982 /nfs/dbraw/zinc/47/09/82/1007470982.db2.gz MEHZHTDBYLYWTN-GFCCVEGCSA-N 0 2 319.365 0.494 20 0 DCADLN Cc1ncsc1CCC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438078850 1007622492 /nfs/dbraw/zinc/62/24/92/1007622492.db2.gz CWGXJXHGGQKSFO-UHFFFAOYSA-N 0 2 322.394 0.208 20 0 DCADLN CC(=O)CCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001438157636 1007672297 /nfs/dbraw/zinc/67/22/97/1007672297.db2.gz QXQCKZSLUDISJS-QWRGUYRKSA-N 0 2 307.354 0.055 20 0 DCADLN Cc1ncc([C@H](C)NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC001438306717 1007802089 /nfs/dbraw/zinc/80/20/89/1007802089.db2.gz RHPSQMNUQMOWAX-ZETCQYMHSA-N 0 2 318.362 0.714 20 0 DCADLN C[C@@H](CNC(=O)c1cnn[nH]1)N(C)Cc1ncc(C2CC2)o1 ZINC001440949458 1008134908 /nfs/dbraw/zinc/13/49/08/1008134908.db2.gz WSXZDZKWFQKEPJ-VIFPVBQESA-N 0 2 304.354 0.920 20 0 DCADLN CCc1nc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001440986537 1008160006 /nfs/dbraw/zinc/16/00/06/1008160006.db2.gz ZNWSPYYCQHRYRW-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1nc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001440986537 1008160007 /nfs/dbraw/zinc/16/00/07/1008160007.db2.gz ZNWSPYYCQHRYRW-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN CC1(C(=O)NC2(CCO)CN(Cc3n[nH]c(=O)[nH]3)C2)CCCC1 ZINC001441051143 1008196394 /nfs/dbraw/zinc/19/63/94/1008196394.db2.gz CJTGQKDXNJMNCX-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnon2)C1 ZINC001441164680 1008250526 /nfs/dbraw/zinc/25/05/26/1008250526.db2.gz DYJWTRDJYYFSSM-IMTBSYHQSA-N 0 2 310.251 0.907 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2cnon2)C1 ZINC001441164680 1008250530 /nfs/dbraw/zinc/25/05/30/1008250530.db2.gz DYJWTRDJYYFSSM-IMTBSYHQSA-N 0 2 310.251 0.907 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]([NH2+]Cc2cnsn2)C2CC2)c1[O-] ZINC001441182247 1008259206 /nfs/dbraw/zinc/25/92/06/1008259206.db2.gz WDGXQVWPBHQACD-JTQLQIEISA-N 0 2 322.394 0.573 20 0 DCADLN C[C@H](CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001421801157 1009112583 /nfs/dbraw/zinc/11/25/83/1009112583.db2.gz SSOCCJFSODIQDY-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN Nc1[nH+]ccc2c1CN(C(=O)CSc1n[nH]c(=O)[n-]1)CC2 ZINC001454533876 1009175522 /nfs/dbraw/zinc/17/55/22/1009175522.db2.gz LBJPMABFDAGAFH-UHFFFAOYSA-N 0 2 306.351 0.165 20 0 DCADLN C[C@H](CC(N)=O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001433927476 1009361822 /nfs/dbraw/zinc/36/18/22/1009361822.db2.gz YKSZTQGZSVRZJE-SNVBAGLBSA-N 0 2 317.349 0.511 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@H]2CCCOC2)CC1 ZINC001433954308 1009394279 /nfs/dbraw/zinc/39/42/79/1009394279.db2.gz PXJBJRRWDZTGMA-LBPRGKRZSA-N 0 2 320.393 0.452 20 0 DCADLN C[C@@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)[C@H]1CCCO1 ZINC001442585949 1009493564 /nfs/dbraw/zinc/49/35/64/1009493564.db2.gz ZWIOODAEXMTXBE-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)[C@H]1CCCO1 ZINC001442585949 1009493570 /nfs/dbraw/zinc/49/35/70/1009493570.db2.gz ZWIOODAEXMTXBE-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC[C@H](O)[C@@H](CO)C1 ZINC001434099817 1009561090 /nfs/dbraw/zinc/56/10/90/1009561090.db2.gz MWUXQEQDLPBXDO-YPMHNXCESA-N 0 2 317.345 0.393 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cncnc1 ZINC001455281563 1009630413 /nfs/dbraw/zinc/63/04/13/1009630413.db2.gz MLMPTVLFOMQLBN-SNVBAGLBSA-N 0 2 322.262 0.494 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cc1cncnc1 ZINC001455281563 1009630419 /nfs/dbraw/zinc/63/04/19/1009630419.db2.gz MLMPTVLFOMQLBN-SNVBAGLBSA-N 0 2 322.262 0.494 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)[C@H](C)N1CCSCC1 ZINC001455319170 1009655027 /nfs/dbraw/zinc/65/50/27/1009655027.db2.gz BZNQQAWIFKRYEK-NSHDSACASA-N 0 2 312.443 0.622 20 0 DCADLN COc1cccc(C(F)(F)C(=O)NCc2nnc(CO)[nH]2)c1 ZINC001434203796 1009659470 /nfs/dbraw/zinc/65/94/70/1009659470.db2.gz QFZBETURHDPIKW-UHFFFAOYSA-N 0 2 312.276 0.714 20 0 DCADLN CCn1cc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001442716721 1009663755 /nfs/dbraw/zinc/66/37/55/1009663755.db2.gz DTPZCSTVMVBDAA-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CCn1cc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001442716721 1009663759 /nfs/dbraw/zinc/66/37/59/1009663759.db2.gz DTPZCSTVMVBDAA-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN COC[C@@H](NC(=O)C(F)(F)c1ccccc1F)c1nn[nH]n1 ZINC001434364495 1009840046 /nfs/dbraw/zinc/84/00/46/1009840046.db2.gz RWGQZGNXKIGHSQ-SECBINFHSA-N 0 2 315.255 0.935 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCc2nnn(C)c2C1)c1nn(C)cc1O ZINC001434405619 1009913497 /nfs/dbraw/zinc/91/34/97/1009913497.db2.gz BAOKLAGEQBLACE-BDAKNGLRSA-N 0 2 304.354 0.237 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC2(c3ncon3)CCCC2)S1 ZINC001456159186 1010108876 /nfs/dbraw/zinc/10/88/76/1010108876.db2.gz SSXLXNRCUAWUDF-SSDOTTSWSA-N 0 2 309.351 0.512 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cscc2Br)n1 ZINC001434613362 1010134955 /nfs/dbraw/zinc/13/49/55/1010134955.db2.gz QJFPEVDGZLGIQT-UHFFFAOYSA-N 0 2 324.185 0.835 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C[NH2+]Cc2nnc(C(C)C)[nH]2)c1[O-] ZINC001422484558 1010158442 /nfs/dbraw/zinc/15/84/42/1010158442.db2.gz OIIFULRVWHHAIM-MRVPVSSYSA-N 0 2 321.385 0.573 20 0 DCADLN CC(C)SCC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422484937 1010158502 /nfs/dbraw/zinc/15/85/02/1010158502.db2.gz FTTCYCXATPWJIF-VIFPVBQESA-N 0 2 301.416 0.588 20 0 DCADLN CC(C)SCC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422484937 1010158512 /nfs/dbraw/zinc/15/85/12/1010158512.db2.gz FTTCYCXATPWJIF-VIFPVBQESA-N 0 2 301.416 0.588 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2cc(Cl)c[nH]2)[nH]1 ZINC001456310933 1010187458 /nfs/dbraw/zinc/18/74/58/1010187458.db2.gz MKPRGTQSCLVYJP-UHFFFAOYSA-N 0 2 317.758 0.261 20 0 DCADLN CCCN(C(=O)c1cnon1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001443205392 1010219896 /nfs/dbraw/zinc/21/98/96/1010219896.db2.gz RWXGAXIZUBPJFP-SECBINFHSA-N 0 2 321.341 0.020 20 0 DCADLN CCc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001422588555 1010361254 /nfs/dbraw/zinc/36/12/54/1010361254.db2.gz RDLWDXFRAHBDEL-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001422588555 1010361263 /nfs/dbraw/zinc/36/12/63/1010361263.db2.gz RDLWDXFRAHBDEL-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001422739288 1010552773 /nfs/dbraw/zinc/55/27/73/1010552773.db2.gz VZQJJTCVAFXKAQ-GMSGAONNSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001422739288 1010552780 /nfs/dbraw/zinc/55/27/80/1010552780.db2.gz VZQJJTCVAFXKAQ-GMSGAONNSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001422739319 1010553075 /nfs/dbraw/zinc/55/30/75/1010553075.db2.gz VZQJJTCVAFXKAQ-XVKPBYJWSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001422739319 1010553083 /nfs/dbraw/zinc/55/30/83/1010553083.db2.gz VZQJJTCVAFXKAQ-XVKPBYJWSA-N 0 2 323.294 0.652 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422917674 1010738712 /nfs/dbraw/zinc/73/87/12/1010738712.db2.gz DIMOMMDHQQYCPG-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422917674 1010738716 /nfs/dbraw/zinc/73/87/16/1010738716.db2.gz DIMOMMDHQQYCPG-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN CCC1(C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001444214970 1010908479 /nfs/dbraw/zinc/90/84/79/1010908479.db2.gz QUUZRMUICYCJER-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001444214970 1010908482 /nfs/dbraw/zinc/90/84/82/1010908482.db2.gz QUUZRMUICYCJER-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@H](C[NH2+]Cc1cnn(C)c1)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001444611937 1011095354 /nfs/dbraw/zinc/09/53/54/1011095354.db2.gz JYBBFHXEHSKOQD-GFCCVEGCSA-N 0 2 304.398 0.713 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@H]1C ZINC001444689077 1011131179 /nfs/dbraw/zinc/13/11/79/1011131179.db2.gz RWHNSLKBIRFLPA-NXEZZACHSA-N 0 2 302.334 0.994 20 0 DCADLN O=C(Cc1ncc[nH]1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001506186924 1017105064 /nfs/dbraw/zinc/10/50/64/1017105064.db2.gz XAQJEKDJIUYTHD-SECBINFHSA-N 0 2 322.262 0.618 20 0 DCADLN COCCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001536258762 1012040472 /nfs/dbraw/zinc/04/04/72/1012040472.db2.gz VJGUUANKVSRHMW-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN COCCC(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001536258762 1012040489 /nfs/dbraw/zinc/04/04/89/1012040489.db2.gz VJGUUANKVSRHMW-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C[C@H]1CCOC1 ZINC001458292266 1012130179 /nfs/dbraw/zinc/13/01/79/1012130179.db2.gz QIBWCWNMBSZLPR-MRTMQBJTSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C[C@H]1CCOC1 ZINC001458292266 1012130194 /nfs/dbraw/zinc/13/01/94/1012130194.db2.gz QIBWCWNMBSZLPR-MRTMQBJTSA-N 0 2 314.279 0.934 20 0 DCADLN CC(C)OCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001432259621 1012215302 /nfs/dbraw/zinc/21/53/02/1012215302.db2.gz ILJMKRBDNCTYHQ-VIFPVBQESA-N 0 2 300.252 0.639 20 0 DCADLN CC(C)OCC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001432259621 1012215318 /nfs/dbraw/zinc/21/53/18/1012215318.db2.gz ILJMKRBDNCTYHQ-VIFPVBQESA-N 0 2 300.252 0.639 20 0 DCADLN COC[C@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432392515 1012423406 /nfs/dbraw/zinc/42/34/06/1012423406.db2.gz TTYXNSMOJAUSRC-CBAPKCEASA-N 0 2 314.279 0.839 20 0 DCADLN COC[C@H](C)C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432392515 1012423415 /nfs/dbraw/zinc/42/34/15/1012423415.db2.gz TTYXNSMOJAUSRC-CBAPKCEASA-N 0 2 314.279 0.839 20 0 DCADLN C[C@H](C(=O)N(C)C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001446362264 1012779702 /nfs/dbraw/zinc/77/97/02/1012779702.db2.gz NGFIEVYVDLMTNJ-VXNVDRBHSA-N 0 2 313.295 0.506 20 0 DCADLN C[C@H](C(=O)N(C)C)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001446362264 1012779719 /nfs/dbraw/zinc/77/97/19/1012779719.db2.gz NGFIEVYVDLMTNJ-VXNVDRBHSA-N 0 2 313.295 0.506 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001461020012 1013003542 /nfs/dbraw/zinc/00/35/42/1013003542.db2.gz FTEJNPWNUCXQJD-SVRRBLITSA-N 0 2 314.235 0.167 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)[C@H](F)C(F)(F)F ZINC001461020012 1013003553 /nfs/dbraw/zinc/00/35/53/1013003553.db2.gz FTEJNPWNUCXQJD-SVRRBLITSA-N 0 2 314.235 0.167 20 0 DCADLN COCC(=O)N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001429987737 1013022783 /nfs/dbraw/zinc/02/27/83/1013022783.db2.gz QIQOJUWSEDHNKW-APPZFPTMSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)N[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001429987737 1013022799 /nfs/dbraw/zinc/02/27/99/1013022799.db2.gz QIQOJUWSEDHNKW-APPZFPTMSA-N 0 2 300.252 0.544 20 0 DCADLN O=C(NCc1cccnn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478531581 1017352844 /nfs/dbraw/zinc/35/28/44/1017352844.db2.gz AXBJUXPGOBOUOH-SECBINFHSA-N 0 2 303.326 0.390 20 0 DCADLN C[C@H](NC(=O)CC[C@H]1CCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506585284 1017378901 /nfs/dbraw/zinc/37/89/01/1017378901.db2.gz IFMJGQUMZJTBSU-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN CCOCCC(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001506724540 1017479819 /nfs/dbraw/zinc/47/98/19/1017479819.db2.gz AIXHKMYKFZYJAK-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CCOCCC(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001506724540 1017479828 /nfs/dbraw/zinc/47/98/28/1017479828.db2.gz AIXHKMYKFZYJAK-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CCc1noc(C[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001479766751 1017720722 /nfs/dbraw/zinc/72/07/22/1017720722.db2.gz VLXDNXRYQWWHOF-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN CCc1noc(C[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001479766751 1017720732 /nfs/dbraw/zinc/72/07/32/1017720732.db2.gz VLXDNXRYQWWHOF-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN O=C(CC[C@H]1CCCO1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001479899096 1017945883 /nfs/dbraw/zinc/94/58/83/1017945883.db2.gz SNPMVLGTJWTTCH-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN CCN(C(=O)Cc1ccc[nH]1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494924818 1018155169 /nfs/dbraw/zinc/15/51/69/1018155169.db2.gz NDFMVPLJJGBVNU-GFCCVEGCSA-N 0 2 318.381 0.504 20 0 DCADLN CCN(C(=O)Cc1ccc[nH]1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494924818 1018155175 /nfs/dbraw/zinc/15/51/75/1018155175.db2.gz NDFMVPLJJGBVNU-GFCCVEGCSA-N 0 2 318.381 0.504 20 0 DCADLN C[C@H](CCNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001495543851 1018569711 /nfs/dbraw/zinc/56/97/11/1018569711.db2.gz YGANDHPVXVTVTD-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1ccn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001495543851 1018569718 /nfs/dbraw/zinc/56/97/18/1018569718.db2.gz YGANDHPVXVTVTD-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CCOC1CC(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001498267961 1018869186 /nfs/dbraw/zinc/86/91/86/1018869186.db2.gz BFBKNFNWITUFIO-KPPDAEKUSA-N 0 2 311.386 0.262 20 0 DCADLN CCOC1CC(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001498267961 1018869205 /nfs/dbraw/zinc/86/92/05/1018869205.db2.gz BFBKNFNWITUFIO-KPPDAEKUSA-N 0 2 311.386 0.262 20 0 DCADLN CC[N@H+](CCNC(=O)c1nc(C)oc1C)Cc1n[nH]c(=O)[n-]1 ZINC001493035435 1019029727 /nfs/dbraw/zinc/02/97/27/1019029727.db2.gz UFYQELRHYIOVBF-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN CC[N@@H+](CCNC(=O)c1nc(C)oc1C)Cc1n[nH]c(=O)[n-]1 ZINC001493035435 1019029740 /nfs/dbraw/zinc/02/97/40/1019029740.db2.gz UFYQELRHYIOVBF-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN CC(C)CCC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001501413039 1019146823 /nfs/dbraw/zinc/14/68/23/1019146823.db2.gz VCAPCWOGHQHPHK-WCBMZHEXSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001501413039 1019146832 /nfs/dbraw/zinc/14/68/32/1019146832.db2.gz VCAPCWOGHQHPHK-WCBMZHEXSA-N 0 2 316.295 0.916 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493159081 1019154159 /nfs/dbraw/zinc/15/41/59/1019154159.db2.gz AMRRERRALWTCHQ-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493159081 1019154168 /nfs/dbraw/zinc/15/41/68/1019154168.db2.gz AMRRERRALWTCHQ-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN C[NH+](C)[C@@H](C(=O)NC[C@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001493267274 1019271016 /nfs/dbraw/zinc/27/10/16/1019271016.db2.gz OQCLDRUQHBFFKY-CZUORRHYSA-N 0 2 318.421 0.213 20 0 DCADLN CC[C@H](C)CC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001501772836 1019463687 /nfs/dbraw/zinc/46/36/87/1019463687.db2.gz IHCSWKGQFLVART-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CCOCC(=O)NC[C@H](CC)NC(=O)C(F)C(F)(F)F ZINC001498891313 1019503722 /nfs/dbraw/zinc/50/37/22/1019503722.db2.gz DCPVVTUHROVFLM-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)NC[C@H](CC)NC(=O)[C@@H](F)C(F)(F)F ZINC001498891313 1019503736 /nfs/dbraw/zinc/50/37/36/1019503736.db2.gz DCPVVTUHROVFLM-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)NC1C[NH+](CCCOCC(F)(F)F)C1 ZINC001494433846 1020210516 /nfs/dbraw/zinc/21/05/16/1020210516.db2.gz CYEZXLGFCDLXDX-GFCCVEGCSA-N 0 2 323.359 0.850 20 0 DCADLN C[C@@H](c1ccc(S(C)(=O)=O)cc1)N(C)Cc1n[nH]c(=O)[nH]1 ZINC000092616690 185329128 /nfs/dbraw/zinc/32/91/28/185329128.db2.gz LPZWZMIBDQYSGA-VIFPVBQESA-N 0 2 310.379 0.695 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@H](C)c1ncon1 ZINC000279642096 215027478 /nfs/dbraw/zinc/02/74/78/215027478.db2.gz CQYIRDFGLPHJJP-RQJHMYQMSA-N 0 2 308.260 0.950 20 0 DCADLN C[C@@]1(C(N)=O)CCN(C(=O)CSc2n[nH]c(=S)s2)C1 ZINC000279894642 215215223 /nfs/dbraw/zinc/21/52/23/215215223.db2.gz CBICRAQDMZSBPQ-SNVBAGLBSA-N 0 2 318.449 0.643 20 0 DCADLN O=C(N[C@@H](CO)CC1CCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000279908422 215228006 /nfs/dbraw/zinc/22/80/06/215228006.db2.gz FIWRFRJMWJBBMX-SNVBAGLBSA-N 0 2 318.333 0.717 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ncn(CC(=O)N(C)C)n2)cc1 ZINC000174008096 198280666 /nfs/dbraw/zinc/28/06/66/198280666.db2.gz NXXFQODUTMYFIU-UHFFFAOYSA-N 0 2 323.378 0.476 20 0 DCADLN Cn1cnc(S(=O)(=O)NC2(c3nnc[nH]3)CCC2)c1Cl ZINC000281071058 216059851 /nfs/dbraw/zinc/05/98/51/216059851.db2.gz AMYXIMPORCVEOL-UHFFFAOYSA-N 0 2 316.774 0.549 20 0 DCADLN C[C@@H](O)CNc1cc(N2CCC3(C[C@@H]3C(=O)[O-])CC2)nc[nH+]1 ZINC000566071994 291267481 /nfs/dbraw/zinc/26/74/81/291267481.db2.gz SOXXAWZPRBVDFM-GHMZBOCLSA-N 0 2 306.366 0.960 20 0 DCADLN C[C@@H](O)CNc1cc(N2CCC3(C[C@@H]3C(=O)[O-])CC2)[nH+]cn1 ZINC000566071994 291267482 /nfs/dbraw/zinc/26/74/82/291267482.db2.gz SOXXAWZPRBVDFM-GHMZBOCLSA-N 0 2 306.366 0.960 20 0 DCADLN O=C(CNC(=O)OCC(F)(F)F)NOC1CCOCC1 ZINC000495561152 241218827 /nfs/dbraw/zinc/21/88/27/241218827.db2.gz UIIQHYYNARGOIS-UHFFFAOYSA-N 0 2 300.233 0.502 20 0 DCADLN O=C(OC[C@H]1CNC(=O)O1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000496344918 241246406 /nfs/dbraw/zinc/24/64/06/241246406.db2.gz MBHBBPNISHXHGW-SNVBAGLBSA-N 0 2 321.264 0.982 20 0 DCADLN CCNC(=O)[C@H](C)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000264975589 204317385 /nfs/dbraw/zinc/31/73/85/204317385.db2.gz JFZLCTXZMYVHMY-JTQLQIEISA-N 0 2 302.334 0.832 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N(Cc1ccncc1)C1CC1 ZINC000274890428 212014082 /nfs/dbraw/zinc/01/40/82/212014082.db2.gz LREBNGMUZWXRNT-UHFFFAOYSA-N 0 2 305.363 0.776 20 0 DCADLN COC(=O)c1ncsc1S(=O)(=O)NCC(C)(F)F ZINC000572101170 291548180 /nfs/dbraw/zinc/54/81/80/291548180.db2.gz DSNJUVSBXWFXQD-UHFFFAOYSA-N 0 2 300.308 0.863 20 0 DCADLN CCOC(=O)CN(CC(N)=O)C(=O)c1cc(F)c(O)c(F)c1 ZINC000273953742 211209880 /nfs/dbraw/zinc/20/98/80/211209880.db2.gz NDAVTBCEXZXPEF-UHFFFAOYSA-N 0 2 316.260 0.161 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(C(=O)NC(N)=O)c2)cn1 ZINC000339095705 253030495 /nfs/dbraw/zinc/03/04/95/253030495.db2.gz QSDCVPDZTOWHSI-UHFFFAOYSA-N 0 2 323.334 0.029 20 0 DCADLN CCOC(=O)c1csc(NS(=O)(=O)c2ccn(C)n2)n1 ZINC000340037876 253189662 /nfs/dbraw/zinc/18/96/62/253189662.db2.gz MSSPLYYNRAJBAE-UHFFFAOYSA-N 0 2 316.364 0.854 20 0 DCADLN COC(=O)c1ccccc1CCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000340204632 253215819 /nfs/dbraw/zinc/21/58/19/253215819.db2.gz VPVBWSWQYIFIHG-UHFFFAOYSA-N 0 2 304.306 0.546 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)c2cn(C)nc2C)c1N ZINC000340975849 253331151 /nfs/dbraw/zinc/33/11/51/253331151.db2.gz KZHFAFBHCPUXPF-UHFFFAOYSA-N 0 2 324.362 0.898 20 0 DCADLN CCn1c(SCc2n[nH]c(=O)[nH]2)nnc1-c1cnccn1 ZINC000340857559 253314330 /nfs/dbraw/zinc/31/43/30/253314330.db2.gz UNZKXYMIIVKJIM-UHFFFAOYSA-N 0 2 304.339 0.871 20 0 DCADLN COC(=O)C(C)(C)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000340878253 253316752 /nfs/dbraw/zinc/31/67/52/253316752.db2.gz MGSYROPBVZSOON-UHFFFAOYSA-N 0 2 319.317 0.959 20 0 DCADLN CC1=CCCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)C1 ZINC000288649171 220320687 /nfs/dbraw/zinc/32/06/87/220320687.db2.gz OBKVQMACONSUBW-UHFFFAOYSA-N 0 2 306.366 0.869 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2C[C@@H](C)OC2=O)cc1S(C)(=O)=O ZINC000288648834 220321764 /nfs/dbraw/zinc/32/17/64/220321764.db2.gz QSSFPZSQTHBSKW-KOLCDFICSA-N 0 2 311.359 0.832 20 0 DCADLN CCOC(=O)C(C)(C)N(C)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000341474199 253384677 /nfs/dbraw/zinc/38/46/77/253384677.db2.gz BPWKXNIDGAEGNO-UHFFFAOYSA-N 0 2 302.356 0.403 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)c1ccc2nnnn2n1 ZINC000341566529 253396068 /nfs/dbraw/zinc/39/60/68/253396068.db2.gz OGVDFSYLFIIXRF-UHFFFAOYSA-N 0 2 314.334 0.866 20 0 DCADLN C[C@H](SCC(=O)NOCC(N)=O)c1nc2ccccc2[nH]1 ZINC000272256121 299575110 /nfs/dbraw/zinc/57/51/10/299575110.db2.gz PQMJKNDJGGQEIS-QMMMGPOBSA-N 0 2 308.363 0.890 20 0 DCADLN C[C@@H](C[S@](C)=O)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000346057307 254003797 /nfs/dbraw/zinc/00/37/97/254003797.db2.gz DMIFBTPARCKFTQ-PPRQPISWSA-N 0 2 309.347 0.527 20 0 DCADLN O=c1nc2[nH]cc(-c3nc(-c4ncccn4)no3)cc-2c(=O)[nH]1 ZINC000346079071 254006854 /nfs/dbraw/zinc/00/68/54/254006854.db2.gz UEQUJMHNWQXLQR-UHFFFAOYSA-N 0 2 309.245 0.943 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2cccc(N)c2)s1 ZINC000348073821 254217908 /nfs/dbraw/zinc/21/79/08/254217908.db2.gz ZVHSAGJBZXNNMC-UHFFFAOYSA-N 0 2 313.364 0.744 20 0 DCADLN CN(C)C(=O)c1cc(NS(=O)(=O)c2cncc(F)c2)ccn1 ZINC000348026164 254213972 /nfs/dbraw/zinc/21/39/72/254213972.db2.gz SBLOXWIKSKPIDB-UHFFFAOYSA-N 0 2 324.337 0.540 20 0 DCADLN CN(CCn1cccn1)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348927418 254265137 /nfs/dbraw/zinc/26/51/37/254265137.db2.gz LMIARLMYVUAKQN-UHFFFAOYSA-N 0 2 313.317 0.999 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ncc(C(N)=O)s1 ZINC000350127463 254311805 /nfs/dbraw/zinc/31/18/05/254311805.db2.gz YZBCGMUKMVTCHZ-UHFFFAOYSA-N 0 2 301.353 0.085 20 0 DCADLN COC(=O)[C@@H]([C@H](C)O)N(Cc1n[nH]c(=O)[nH]1)Cc1ccccc1 ZINC000351980235 254387157 /nfs/dbraw/zinc/38/71/57/254387157.db2.gz BAAQXVAYBWPGSG-GXFFZTMASA-N 0 2 320.349 0.435 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cn(CC)nc2C(N)=O)cn1 ZINC000294160150 131805015 /nfs/dbraw/zinc/80/50/15/131805015.db2.gz IGKIPJORTFEXLD-UHFFFAOYSA-N 0 2 312.355 0.019 20 0 DCADLN O=C(c1ccccc1F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000091518333 395726104 /nfs/dbraw/zinc/72/61/04/395726104.db2.gz KXHZQXTYHCYJEV-UHFFFAOYSA-N 0 2 319.340 0.585 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000037730919 395728471 /nfs/dbraw/zinc/72/84/71/395728471.db2.gz JOQRZAKSDDLUET-UHFFFAOYSA-N 0 2 316.364 0.166 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)c1cccc(F)c1 ZINC000040162615 395732978 /nfs/dbraw/zinc/73/29/78/395732978.db2.gz MIBCSEJVHHOFAF-NSHDSACASA-N 0 2 313.310 0.523 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)c1cccc(F)c1 ZINC000040162615 395732979 /nfs/dbraw/zinc/73/29/79/395732979.db2.gz MIBCSEJVHHOFAF-NSHDSACASA-N 0 2 313.310 0.523 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)c1cccc(F)c1 ZINC000040162616 395733044 /nfs/dbraw/zinc/73/30/44/395733044.db2.gz MIBCSEJVHHOFAF-LLVKDONJSA-N 0 2 313.310 0.523 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)c1cccc(F)c1 ZINC000040162616 395733045 /nfs/dbraw/zinc/73/30/45/395733045.db2.gz MIBCSEJVHHOFAF-LLVKDONJSA-N 0 2 313.310 0.523 20 0 DCADLN O=C(CS(=O)(=O)c1nc2ccccc2[nH]1)NC[C@H]1CCCO1 ZINC000047940299 395804020 /nfs/dbraw/zinc/80/40/20/395804020.db2.gz VSXBTVSQTOXQNG-SNVBAGLBSA-N 0 2 323.374 0.632 20 0 DCADLN CC1(C)C[C@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C(=O)O1 ZINC000270960509 395827188 /nfs/dbraw/zinc/82/71/88/395827188.db2.gz YUHGWSMHNPSINZ-QMMMGPOBSA-N 0 2 318.289 0.260 20 0 DCADLN COC(=O)CCCN(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000051377650 395849673 /nfs/dbraw/zinc/84/96/73/395849673.db2.gz BXHRKUTUTSWAMC-UHFFFAOYSA-N 0 2 320.305 0.049 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(N3CCNC3=O)c2)cn1 ZINC000055597550 395920149 /nfs/dbraw/zinc/92/01/49/395920149.db2.gz FSLGFKRTHIEWPS-UHFFFAOYSA-N 0 2 321.362 0.751 20 0 DCADLN O=C(NCc1cccc(OCC(F)(F)F)c1)c1nc(=O)[nH][nH]1 ZINC000129592967 395920416 /nfs/dbraw/zinc/92/04/16/395920416.db2.gz JBJAHCPTPUWSKH-UHFFFAOYSA-N 0 2 316.239 0.969 20 0 DCADLN CC[NH+]1CCN([C@H]2CC[N@H+](CC(=O)N[C@H](C)C(C)C)C2)CC1 ZINC000245943229 395939016 /nfs/dbraw/zinc/93/90/16/395939016.db2.gz VVWJJBBIASHNAF-CVEARBPZSA-N 0 2 310.486 0.859 20 0 DCADLN CC[NH+]1CCN([C@@H]2CC[N@H+](CC(=O)N[C@H](C)C(C)C)C2)CC1 ZINC000245943236 395939058 /nfs/dbraw/zinc/93/90/58/395939058.db2.gz VVWJJBBIASHNAF-HZPDHXFCSA-N 0 2 310.486 0.859 20 0 DCADLN CN(Cc1cscn1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000140645821 395956716 /nfs/dbraw/zinc/95/67/16/395956716.db2.gz DEDALQDPTHBIRB-UHFFFAOYSA-N 0 2 317.330 0.340 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2ccc(S(C)(=O)=O)cc2)n1 ZINC000247534878 395975843 /nfs/dbraw/zinc/97/58/43/395975843.db2.gz QDKKDHNHTQJOER-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN CCOc1cc(O)ccc1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000276759140 396025909 /nfs/dbraw/zinc/02/59/09/396025909.db2.gz GRAWGOCJFQPQEX-UHFFFAOYSA-N 0 2 310.335 0.933 20 0 DCADLN COCCS(=O)(=O)Nc1ccn(CCc2ccncc2)n1 ZINC000154393186 396037557 /nfs/dbraw/zinc/03/75/57/396037557.db2.gz PEVDXQRPEHKZHU-UHFFFAOYSA-N 0 2 310.379 0.909 20 0 DCADLN Cc1noc(C)c1NS(=O)(=O)c1ccc2c(c1)C(=O)NC2=O ZINC000068216330 396049971 /nfs/dbraw/zinc/04/99/71/396049971.db2.gz UOQYPDMQSDZRCA-UHFFFAOYSA-N 0 2 321.314 0.976 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc(OC)cc(OC)c2)c1O ZINC000277136225 396051700 /nfs/dbraw/zinc/05/17/00/396051700.db2.gz AEWYHCLCSSVAEH-LLVKDONJSA-N 0 2 308.290 0.692 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCC2(CCC2)C1 ZINC000158637007 396064714 /nfs/dbraw/zinc/06/47/14/396064714.db2.gz IJNROVXIMWXYIY-UHFFFAOYSA-N 0 2 300.318 0.628 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cc(C)nn2C)cc1 ZINC000066247824 396004277 /nfs/dbraw/zinc/00/42/77/396004277.db2.gz ARIGPYOQLBLMBQ-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN NC(=O)C1=NO[C@@H](CNC(=O)c2cc(F)cc(Cl)c2O)C1 ZINC000276665773 396019676 /nfs/dbraw/zinc/01/96/76/396019676.db2.gz UEUMPRMFFBDPKU-ZCFIWIBFSA-N 0 2 315.688 0.545 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000262227930 396113119 /nfs/dbraw/zinc/11/31/19/396113119.db2.gz MQZCPNORZUNUHT-LBPRGKRZSA-N 0 2 322.336 0.921 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000262227930 396113120 /nfs/dbraw/zinc/11/31/20/396113120.db2.gz MQZCPNORZUNUHT-LBPRGKRZSA-N 0 2 322.336 0.921 20 0 DCADLN O=C(CCn1cc[nH+]c1)Nc1ccc(N2CC[NH2+]CC2)cn1 ZINC000262429806 396139204 /nfs/dbraw/zinc/13/92/04/396139204.db2.gz FUMJAXONDOBKGE-UHFFFAOYSA-N 0 2 300.366 0.717 20 0 DCADLN NC(=O)C1=NO[C@@H](CNC(=O)c2cc(F)c(F)c(O)c2F)C1 ZINC000277669021 396080817 /nfs/dbraw/zinc/08/08/17/396080817.db2.gz PNTIBVQVYFNTJG-SCSAIBSYSA-N 0 2 317.223 0.170 20 0 DCADLN Cc1cc(C(=O)NCc2cccn2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000074223092 396160894 /nfs/dbraw/zinc/16/08/94/396160894.db2.gz HXMBWUWCKKBGOL-UHFFFAOYSA-N 0 2 313.317 0.601 20 0 DCADLN COc1ccc(NC(=O)NC(=O)C[N@H+](C)[C@H](C)C(=O)[O-])cc1 ZINC000262678608 396172019 /nfs/dbraw/zinc/17/20/19/396172019.db2.gz VDOOIUAFUVEJJD-SECBINFHSA-N 0 2 309.322 0.748 20 0 DCADLN COc1ccc(NC(=O)NC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])cc1 ZINC000262678608 396172021 /nfs/dbraw/zinc/17/20/21/396172021.db2.gz VDOOIUAFUVEJJD-SECBINFHSA-N 0 2 309.322 0.748 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)NCCN1CCc2ccccc21 ZINC000262680415 396172621 /nfs/dbraw/zinc/17/26/21/396172621.db2.gz VSTXOGHORISPLD-GFCCVEGCSA-N 0 2 305.378 0.570 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NCCN1CCc2ccccc21 ZINC000262680415 396172624 /nfs/dbraw/zinc/17/26/24/396172624.db2.gz VSTXOGHORISPLD-GFCCVEGCSA-N 0 2 305.378 0.570 20 0 DCADLN NC(=O)CN1CCN(C(=O)c2cc(F)cc(Cl)c2O)CC1 ZINC000178158864 396190388 /nfs/dbraw/zinc/19/03/88/396190388.db2.gz SULJCAMISQAJAI-UHFFFAOYSA-N 0 2 315.732 0.428 20 0 DCADLN C[C@@H](CO)N(CC1CCC1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000280763488 396219871 /nfs/dbraw/zinc/21/98/71/396219871.db2.gz IIIYZEZNYHJKFJ-QMMMGPOBSA-N 0 2 300.384 0.200 20 0 DCADLN Cc1cc(C)cc([C@@H](O)CNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000283043915 396300807 /nfs/dbraw/zinc/30/08/07/396300807.db2.gz IMXJKQPTDJKYPI-NSHDSACASA-N 0 2 322.390 0.657 20 0 DCADLN O=C(Cn1ncc2ccccc21)NCCCc1n[nH]c(=O)[nH]1 ZINC000081815301 396308412 /nfs/dbraw/zinc/30/84/12/396308412.db2.gz LYGGYJMUAHYXGN-UHFFFAOYSA-N 0 2 300.322 0.197 20 0 DCADLN Cc1[nH+]c[nH]c1CNC(=O)[C@H]1COCCN1CC(F)(F)F ZINC000283461585 396338614 /nfs/dbraw/zinc/33/86/14/396338614.db2.gz ONZDZXDTVGBOJF-SNVBAGLBSA-N 0 2 306.288 0.597 20 0 DCADLN CC(C)(CC(N)=O)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000186286872 396346592 /nfs/dbraw/zinc/34/65/92/396346592.db2.gz ROVIDIMUCIXZIX-UHFFFAOYSA-N 0 2 302.334 0.962 20 0 DCADLN CC(=O)NCC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000186654845 396354692 /nfs/dbraw/zinc/35/46/92/396354692.db2.gz MPQDUKBATAWBCC-UHFFFAOYSA-N 0 2 303.322 0.136 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCN(c2ccccc2)CC1 ZINC000186742213 396356530 /nfs/dbraw/zinc/35/65/30/396356530.db2.gz BZSBDIMBIIKGPP-UHFFFAOYSA-N 0 2 319.390 0.539 20 0 DCADLN O=c1cc(C[NH+]2C[C@@H](O)[C@H](O)C2)c2cc(Cl)c([O-])cc2o1 ZINC000286004083 396369235 /nfs/dbraw/zinc/36/92/35/396369235.db2.gz GLVKGDLXWYUGMA-VXGBXAGGSA-N 0 2 311.721 0.689 20 0 DCADLN O=c1cc(C[NH+]2C[C@H](O)[C@@H](O)C2)c2cc(Cl)c([O-])cc2o1 ZINC000286004059 396369277 /nfs/dbraw/zinc/36/92/77/396369277.db2.gz GLVKGDLXWYUGMA-RYUDHWBXSA-N 0 2 311.721 0.689 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)C[C@H]1CCCO1 ZINC000268779666 396372043 /nfs/dbraw/zinc/37/20/43/396372043.db2.gz CPLSMAXRLBZIRM-SSDOTTSWSA-N 0 2 306.365 0.850 20 0 DCADLN CC[NH+]1CCN([C@@H]2CC[N@@H+]([C@@H](C)c3nnnn3C3CC3)C2)CC1 ZINC000192013358 396428031 /nfs/dbraw/zinc/42/80/31/396428031.db2.gz GHNYKAVAIQBUJW-DZGCQCFKSA-N 0 2 319.457 0.781 20 0 DCADLN CCn1ccnc1C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000291541866 396497622 /nfs/dbraw/zinc/49/76/22/396497622.db2.gz VKNVPZIAJQTMMB-UHFFFAOYSA-N 0 2 305.338 0.803 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NOC/C=C/Cl ZINC000291825991 396514582 /nfs/dbraw/zinc/51/45/82/396514582.db2.gz MNLYDMFRSALITL-SNAWJCMRSA-N 0 2 321.761 0.428 20 0 DCADLN Cn1nccc1[C@H]1[C@H](C[N@@H+](C)[C@@H]2COC[C@H]2O)CCC[NH+]1C ZINC000581641467 396571380 /nfs/dbraw/zinc/57/13/80/396571380.db2.gz WQUWAXLDVXTGQD-LCGIIJARSA-N 0 2 308.426 0.495 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)NCc2cn[nH]c2C)c1 ZINC000561495571 396642996 /nfs/dbraw/zinc/64/29/96/396642996.db2.gz GACQMPLPMRMUJD-UHFFFAOYSA-N 0 2 310.335 0.378 20 0 DCADLN CSCC[C@@H](O)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000561682448 396646219 /nfs/dbraw/zinc/64/62/19/396646219.db2.gz LERIOCPMPPXOFY-DTWKUNHWSA-N 0 2 300.384 0.330 20 0 DCADLN CC(C)CNC(=O)C[N@H+]1CC[C@H](N2CC[NH+](C)CC2)[C@H](C)C1 ZINC000374322108 396655643 /nfs/dbraw/zinc/65/56/43/396655643.db2.gz BQJLYWZLLPMIOC-CVEARBPZSA-N 0 2 310.486 0.716 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1cnn([C@@H]2CCOC2)c1 ZINC000533549900 396605206 /nfs/dbraw/zinc/60/52/06/396605206.db2.gz CNOZKAAPXWAOKN-SNVBAGLBSA-N 0 2 311.367 0.862 20 0 DCADLN Cn1ncc(CCCNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000293865184 396638362 /nfs/dbraw/zinc/63/83/62/396638362.db2.gz JEJYOFRMVMBMDT-UHFFFAOYSA-N 0 2 321.303 0.321 20 0 DCADLN CNC(=O)c1ccccc1NS(=O)(=O)N(C)CCOC ZINC000562477409 396666198 /nfs/dbraw/zinc/66/61/98/396666198.db2.gz LKTCNRLLPASKAG-UHFFFAOYSA-N 0 2 301.368 0.281 20 0 DCADLN Cc1noc2ncc(S(=O)(=O)Nc3cnn(CCO)c3)cc12 ZINC000588626932 396685834 /nfs/dbraw/zinc/68/58/34/396685834.db2.gz SXCFDEIZTCUXER-UHFFFAOYSA-N 0 2 323.334 0.521 20 0 DCADLN O=C([O-])[C@@H]1CCCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000563129799 396706330 /nfs/dbraw/zinc/70/63/30/396706330.db2.gz BFSQWSPBPNZXKX-TZMCWYRMSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])[C@@H]1CCCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000563129799 396706335 /nfs/dbraw/zinc/70/63/35/396706335.db2.gz BFSQWSPBPNZXKX-TZMCWYRMSA-N 0 2 310.394 0.953 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000375652626 396789013 /nfs/dbraw/zinc/78/90/13/396789013.db2.gz LYZOSJJCUFIVED-RKDXNWHRSA-N 0 2 318.317 0.263 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@H]2COCCN2C)s[nH]1 ZINC000634149655 396796023 /nfs/dbraw/zinc/79/60/23/396796023.db2.gz NNPDNMUCOLXDRL-QMMMGPOBSA-N 0 2 300.384 0.111 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CCS(C)(=O)=O)s[nH]1 ZINC000634154058 396797356 /nfs/dbraw/zinc/79/73/56/396797356.db2.gz XJIGLOJOPNXLFM-UHFFFAOYSA-N 0 2 307.397 0.215 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@H](C)CS(C)(=O)=O)s[nH]1 ZINC000634151159 396797807 /nfs/dbraw/zinc/79/78/07/396797807.db2.gz LINDGFBIQYSKAD-ZETCQYMHSA-N 0 2 321.424 0.461 20 0 DCADLN CCN1C[C@H](C(=O)N=c2nc(C(C)(C)OC)[nH]s2)CC1=O ZINC000634153276 396797988 /nfs/dbraw/zinc/79/79/88/396797988.db2.gz PAOGDSFGSZJTRI-MRVPVSSYSA-N 0 2 312.395 0.648 20 0 DCADLN COC(=O)COCCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000600397726 396820645 /nfs/dbraw/zinc/82/06/45/396820645.db2.gz MZLPGXNDHSOSSA-UHFFFAOYSA-N 0 2 307.224 0.729 20 0 DCADLN CN1C(=O)CN(CC(=O)N=c2nc(C(C)(C)C)[nH]s2)C1=O ZINC000625215412 396803521 /nfs/dbraw/zinc/80/35/21/396803521.db2.gz GAFBSWFXKLRHEF-UHFFFAOYSA-N 0 2 311.367 0.090 20 0 DCADLN CC(=O)NC(C)(C)C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000591188174 396884583 /nfs/dbraw/zinc/88/45/83/396884583.db2.gz MXPXOGLLBCISGR-UHFFFAOYSA-N 0 2 304.306 0.883 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCOC[C@H]2O)c1 ZINC000600585343 396894940 /nfs/dbraw/zinc/89/49/40/396894940.db2.gz DDNVIURGYUVOPM-SQHYZVFZSA-N 0 2 313.375 0.069 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1(CO)CC1 ZINC000617907457 396871070 /nfs/dbraw/zinc/87/10/70/396871070.db2.gz OJWYYZYJGVAXQP-SSDOTTSWSA-N 0 2 304.306 0.327 20 0 DCADLN COC(=O)[C@@H](C)c1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000600654544 396906844 /nfs/dbraw/zinc/90/68/44/396906844.db2.gz ZKLRURNZRUTBIP-VIFPVBQESA-N 0 2 324.362 0.892 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)NC[C@H](C(=O)[O-])c1ccccc1 ZINC000630140417 396947232 /nfs/dbraw/zinc/94/72/32/396947232.db2.gz IWDSMVNHSBYEDF-KBPBESRZSA-N 0 2 306.362 0.692 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)NC[C@H](C(=O)[O-])c1ccccc1 ZINC000630140417 396947239 /nfs/dbraw/zinc/94/72/39/396947239.db2.gz IWDSMVNHSBYEDF-KBPBESRZSA-N 0 2 306.362 0.692 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)NC[C@H](CC(=O)[O-])CC(C)C ZINC000630201049 396958924 /nfs/dbraw/zinc/95/89/24/396958924.db2.gz NBLDEEFJXYQGNG-STQMWFEESA-N 0 2 300.399 0.960 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)NC[C@H](CC(=O)[O-])CC(C)C ZINC000630201049 396958926 /nfs/dbraw/zinc/95/89/26/396958926.db2.gz NBLDEEFJXYQGNG-STQMWFEESA-N 0 2 300.399 0.960 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)NC[C@H](CC(=O)[O-])CC(C)C ZINC000630201048 396959621 /nfs/dbraw/zinc/95/96/21/396959621.db2.gz NBLDEEFJXYQGNG-QWHCGFSZSA-N 0 2 300.399 0.960 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)NC[C@H](CC(=O)[O-])CC(C)C ZINC000630201048 396959626 /nfs/dbraw/zinc/95/96/26/396959626.db2.gz NBLDEEFJXYQGNG-QWHCGFSZSA-N 0 2 300.399 0.960 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@H]2CCCNC2=O)c1 ZINC000591762956 396999005 /nfs/dbraw/zinc/99/90/05/396999005.db2.gz NWFZLADUSLYXDR-XFNZEKPQSA-N 0 2 324.402 0.445 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCNC(=O)C[C@@H]2C)c1 ZINC000591767321 397000065 /nfs/dbraw/zinc/00/00/65/397000065.db2.gz KLFNLEBPVFAVQU-SAHAZLINSA-N 0 2 324.402 0.540 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@H]2CCCC(=O)N2)c1 ZINC000591779501 397001805 /nfs/dbraw/zinc/00/18/05/397001805.db2.gz JCRAPDKJEXHDJI-XFNZEKPQSA-N 0 2 324.402 0.588 20 0 DCADLN COC(=O)[C@@H](C)N(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597599070 397027585 /nfs/dbraw/zinc/02/75/85/397027585.db2.gz MRXVGMXSJWLCEP-MRVPVSSYSA-N 0 2 305.290 0.663 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)CC(=O)N(C)C)n[nH]2)cc1 ZINC000572233383 397035169 /nfs/dbraw/zinc/03/51/69/397035169.db2.gz IVPJQWIIGKHULG-UHFFFAOYSA-N 0 2 308.363 0.642 20 0 DCADLN CC(C)(C)N1CC[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C1=O ZINC000572486252 397064459 /nfs/dbraw/zinc/06/44/59/397064459.db2.gz SCLSMBJBCQPPSN-ZETCQYMHSA-N 0 2 313.383 0.118 20 0 DCADLN [NH3+]Cc1cn([C@H]2CCN(c3cc(NC4CC4)[nH+]cn3)C2)nn1 ZINC000630552321 397044766 /nfs/dbraw/zinc/04/47/66/397044766.db2.gz CTSCKCHBCYWRNU-LBPRGKRZSA-N 0 2 300.370 0.553 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N(CCO)CC1CC1 ZINC000612710339 397123929 /nfs/dbraw/zinc/12/39/29/397123929.db2.gz BXHMXFHFXHNWFO-UHFFFAOYSA-N 0 2 303.318 0.874 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)CNc1ccc(C(=O)[O-])c[nH+]1 ZINC000592425943 397172607 /nfs/dbraw/zinc/17/26/07/397172607.db2.gz CLNMYPGLVRIGKY-NSHDSACASA-N 0 2 323.349 0.896 20 0 DCADLN C[C@@H]1CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC[C@H]1C(=O)[O-] ZINC000626761218 397233923 /nfs/dbraw/zinc/23/39/23/397233923.db2.gz UCWRUYYRZNFJKJ-IJLUTSLNSA-N 0 2 306.366 0.950 20 0 DCADLN COC(=O)c1cccc(N2N=C(C)[C@@H](NC(C)=O)C2=O)c1F ZINC000601951518 397272708 /nfs/dbraw/zinc/27/27/08/397272708.db2.gz ZREJOYAYNAPROB-GFCCVEGCSA-N 0 2 307.281 0.840 20 0 DCADLN COC(=O)c1cccc(-n2[nH]c(C)c(NC(C)=O)c2=O)c1F ZINC000601951518 397272713 /nfs/dbraw/zinc/27/27/13/397272713.db2.gz ZREJOYAYNAPROB-GFCCVEGCSA-N 0 2 307.281 0.840 20 0 DCADLN CCCNC(=O)NC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000390644714 397301507 /nfs/dbraw/zinc/30/15/07/397301507.db2.gz IBHFPSWVYZYFJR-CQSZACIVSA-N 0 2 319.361 0.906 20 0 DCADLN CCCNC(=O)NC(=O)C[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000390644714 397301515 /nfs/dbraw/zinc/30/15/15/397301515.db2.gz IBHFPSWVYZYFJR-CQSZACIVSA-N 0 2 319.361 0.906 20 0 DCADLN O=C([O-])[C@H]1COCC[N@@H+]1CCCOc1ccc2c(c1)OCO2 ZINC000574838151 397303968 /nfs/dbraw/zinc/30/39/68/397303968.db2.gz PVERGAUJWCQCNA-GFCCVEGCSA-N 0 2 309.318 0.970 20 0 DCADLN O=C([O-])[C@H]1COCC[N@H+]1CCCOc1ccc2c(c1)OCO2 ZINC000574838151 397303972 /nfs/dbraw/zinc/30/39/72/397303972.db2.gz PVERGAUJWCQCNA-GFCCVEGCSA-N 0 2 309.318 0.970 20 0 DCADLN O=C(NC[C@@H]1CC[C@@H](O)C1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613891282 397343075 /nfs/dbraw/zinc/34/30/75/397343075.db2.gz ZZPZOJXTQKUKAE-BXKDBHETSA-N 0 2 303.318 0.921 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC[C@H]([NH+]3CCOCC3)CC2)c1[O-] ZINC000614437600 397437577 /nfs/dbraw/zinc/43/75/77/397437577.db2.gz ILXMZDALJZRBMY-LBPRGKRZSA-N 0 2 308.382 0.751 20 0 DCADLN C[N@@H+](C[C@H](O)C[NH2+][C@H]1CCN(c2ccc(F)cc2)C1=O)C1CC1 ZINC000578316198 397417553 /nfs/dbraw/zinc/41/75/53/397417553.db2.gz QWOAYDSWTVHJGK-CVEARBPZSA-N 0 2 321.396 0.976 20 0 DCADLN Cc1ncc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)s1 ZINC000097727118 158125762 /nfs/dbraw/zinc/12/57/62/158125762.db2.gz TZHAWCDUYHNJIY-UHFFFAOYSA-N 0 2 317.330 0.719 20 0 DCADLN CC[NH+]1CCN(C(=O)CC2CCN(C(=O)C[NH+](C)C)CC2)CC1 ZINC000108902163 158137171 /nfs/dbraw/zinc/13/71/71/158137171.db2.gz RSPXZLIIALXKCI-UHFFFAOYSA-N 0 2 324.469 0.341 20 0 DCADLN O=C(C[N@H+]1CCC[C@H]1C(=O)[O-])NCc1ccc2c(c1)OCO2 ZINC000262169877 158781612 /nfs/dbraw/zinc/78/16/12/158781612.db2.gz UWLAXQNRQNQGNQ-NSHDSACASA-N 0 2 306.318 0.581 20 0 DCADLN O=C(C[N@@H+]1CCC[C@H]1C(=O)[O-])NCc1ccc2c(c1)OCO2 ZINC000262169877 158781614 /nfs/dbraw/zinc/78/16/14/158781614.db2.gz UWLAXQNRQNQGNQ-NSHDSACASA-N 0 2 306.318 0.581 20 0 DCADLN O=C(c1nccn2ccnc12)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328768589 159027886 /nfs/dbraw/zinc/02/78/86/159027886.db2.gz IBSRZTJFPYJADK-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)C[C@@H]3CCOC3)C2)[nH]1 ZINC000328840695 159033999 /nfs/dbraw/zinc/03/39/99/159033999.db2.gz OJBCGUFFVPMCLQ-ZJUUUORDSA-N 0 2 316.383 0.056 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)CC3CCC3)C2)[nH]1 ZINC000328872064 159035763 /nfs/dbraw/zinc/03/57/63/159035763.db2.gz YMUGHBRPSVVBBG-SNVBAGLBSA-N 0 2 300.384 0.820 20 0 DCADLN Cn1c(C[NH+]2CCC(c3n[nH]c(=O)[n-]3)CC2)nnc1C1CC1 ZINC000329610226 159106548 /nfs/dbraw/zinc/10/65/48/159106548.db2.gz BZZHAHAJOPXELT-UHFFFAOYSA-N 0 2 303.370 0.896 20 0 DCADLN CC(C)Cc1cc(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)n[nH]1 ZINC000330500454 159181719 /nfs/dbraw/zinc/18/17/19/159181719.db2.gz OXRJVULBAHUSLK-VIFPVBQESA-N 0 2 302.400 0.827 20 0 DCADLN Cc1n[nH]c(NC(=O)Cn2c3ccccc3c(=O)[nH]c2=O)n1 ZINC000355848802 159251597 /nfs/dbraw/zinc/25/15/97/159251597.db2.gz VYBPNXTXVOOACR-UHFFFAOYSA-N 0 2 300.278 0.167 20 0 DCADLN O=C(CN1c2ccccc2CCCC1=O)NCc1n[nH]c(=O)[nH]1 ZINC000359282710 159261858 /nfs/dbraw/zinc/26/18/58/159261858.db2.gz WYQRLFJSANNLQQ-UHFFFAOYSA-N 0 2 315.333 0.496 20 0 DCADLN CCCN(C)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000408191597 160056798 /nfs/dbraw/zinc/05/67/98/160056798.db2.gz HBQBZLKMHFSKSS-SECBINFHSA-N 0 2 303.388 0.276 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)CC[C@H]1CCOC1 ZINC000408488365 160118906 /nfs/dbraw/zinc/11/89/06/160118906.db2.gz NKUIWCFTACWMMN-NSHDSACASA-N 0 2 315.395 0.659 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NC2(C(N)=O)CC2)=N1 ZINC000119618237 286948634 /nfs/dbraw/zinc/94/86/34/286948634.db2.gz SNECHSZEANVSJI-UHFFFAOYSA-N 0 2 318.308 0.773 20 0 DCADLN COC(=O)[C@H](C)[C@@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccccc1 ZINC000152479494 287041790 /nfs/dbraw/zinc/04/17/90/287041790.db2.gz CRKHRXNXUAYWGW-PSASIEDQSA-N 0 2 304.306 0.378 20 0 DCADLN CCOc1cc(F)ccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000355994945 287191175 /nfs/dbraw/zinc/19/11/75/287191175.db2.gz JCPXXORIWMNRIY-UHFFFAOYSA-N 0 2 323.284 0.303 20 0 DCADLN Cn1cc(NS(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)cn1 ZINC000042008486 415207440 /nfs/dbraw/zinc/20/74/40/415207440.db2.gz AZAWHAPICZWRBE-UHFFFAOYSA-N 0 2 323.378 0.785 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@@]3(O)CCOC3)c[nH]c2n1 ZINC000332105515 415248046 /nfs/dbraw/zinc/24/80/46/415248046.db2.gz HUBWMNHNXYYFGZ-HNNXBMFYSA-N 0 2 303.318 0.525 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1cc(F)cc(Cl)c1O)[C@H](C)O ZINC000274564510 415355687 /nfs/dbraw/zinc/35/56/87/415355687.db2.gz KVKWZVIOHSKBHK-CDUCUWFYSA-N 0 2 305.689 0.837 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@@H]1CC(=O)N(C)C1)c2=O ZINC000332201417 415294362 /nfs/dbraw/zinc/29/43/62/415294362.db2.gz XITGOZVXBVDTNX-SECBINFHSA-N 0 2 300.318 0.604 20 0 DCADLN CC(C)[C@H](Sc1n[nH]c(=O)[nH]1)C(=O)NC[C@H]1CCCO1 ZINC000332367053 415367071 /nfs/dbraw/zinc/36/70/71/415367071.db2.gz ZKQHYCLIGBNWES-BDAKNGLRSA-N 0 2 300.384 0.922 20 0 DCADLN COc1ccc(NC(=O)C(N)C(F)(F)F)cc1-n1cnnn1 ZINC000352925173 415372160 /nfs/dbraw/zinc/37/21/60/415372160.db2.gz XDJDNFJKERYGRL-SECBINFHSA-N 0 2 316.243 0.499 20 0 DCADLN COc1ccc(NC(=O)[C@@H](N)C(F)(F)F)cc1-n1cnnn1 ZINC000352925173 415372173 /nfs/dbraw/zinc/37/21/73/415372173.db2.gz XDJDNFJKERYGRL-SECBINFHSA-N 0 2 316.243 0.499 20 0 DCADLN CN(CCC(N)=O)C(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000353264304 415489551 /nfs/dbraw/zinc/48/95/51/415489551.db2.gz WQLLKIJAFCEFBV-UHFFFAOYSA-N 0 2 305.363 0.966 20 0 DCADLN C[C@H](NC(=O)C1=NN(c2ccc(F)cc2)CC1=O)C(=O)N(C)C ZINC000084385230 415495380 /nfs/dbraw/zinc/49/53/80/415495380.db2.gz BOLXVGXAYLXLRS-VIFPVBQESA-N 0 2 320.324 0.924 20 0 DCADLN CO[N-]C(=O)C(=O)Nc1cccc([C@@H](C)[NH+]2CCOCC2)c1 ZINC000276115971 415434084 /nfs/dbraw/zinc/43/40/84/415434084.db2.gz KZAMSIGONCBYNN-LLVKDONJSA-N 0 2 307.350 0.696 20 0 DCADLN Cc1ccc(NC(=O)C(N)C(F)(F)F)cc1S(N)(=O)=O ZINC000353157188 415454177 /nfs/dbraw/zinc/45/41/77/415454177.db2.gz BMWJONYUSXRAAS-MRVPVSSYSA-N 0 2 311.285 0.471 20 0 DCADLN Cc1ccc(NC(=O)[C@@H](N)C(F)(F)F)cc1S(N)(=O)=O ZINC000353157188 415454181 /nfs/dbraw/zinc/45/41/81/415454181.db2.gz BMWJONYUSXRAAS-MRVPVSSYSA-N 0 2 311.285 0.471 20 0 DCADLN NC(C(=O)Nc1ccc(CS(N)(=O)=O)cc1)C(F)(F)F ZINC000353174793 415462552 /nfs/dbraw/zinc/46/25/52/415462552.db2.gz FOOGHVNRDMXFBR-MRVPVSSYSA-N 0 2 311.285 0.303 20 0 DCADLN N[C@H](C(=O)Nc1ccc(CS(N)(=O)=O)cc1)C(F)(F)F ZINC000353174793 415462556 /nfs/dbraw/zinc/46/25/56/415462556.db2.gz FOOGHVNRDMXFBR-MRVPVSSYSA-N 0 2 311.285 0.303 20 0 DCADLN COc1ccc(CCC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1F ZINC000080974393 415479124 /nfs/dbraw/zinc/47/91/24/415479124.db2.gz YXFMMDDJBMFUHU-UHFFFAOYSA-N 0 2 322.340 0.927 20 0 DCADLN Cn1cc[nH+]c1CNC(=O)c1ccccc1[N-]S(C)(=O)=O ZINC000343313782 415553361 /nfs/dbraw/zinc/55/33/61/415553361.db2.gz SSZDTPQKZMFIJY-UHFFFAOYSA-N 0 2 308.363 0.722 20 0 DCADLN Cc1ccc(S(O)=CC(=O)NCC(=O)N2CCCC2)cc1 ZINC000107944002 415674580 /nfs/dbraw/zinc/67/45/80/415674580.db2.gz XJZLWEGISIGRKU-OAQYLSRUSA-N 0 2 308.403 0.841 20 0 DCADLN Cc1ccc(S(O)=CC(=O)NCC(=O)N2CCCC2)cc1 ZINC000107944003 415676003 /nfs/dbraw/zinc/67/60/03/415676003.db2.gz XJZLWEGISIGRKU-NRFANRHFSA-N 0 2 308.403 0.841 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cc(C)on2)cc1C(N)=O ZINC000353869089 415683154 /nfs/dbraw/zinc/68/31/54/415683154.db2.gz SJGBGTYEXCWSCU-UHFFFAOYSA-N 0 2 311.319 0.891 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCCO1)[N@@H+]1CCCc2c(cnn2C)C1 ZINC000334025298 415789602 /nfs/dbraw/zinc/78/96/02/415789602.db2.gz GPJYVWNJFKCQHN-GXTWGEPZSA-N 0 2 306.410 0.852 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCCO1)N1CCCc2c(cnn2C)C1 ZINC000334025298 415789612 /nfs/dbraw/zinc/78/96/12/415789612.db2.gz GPJYVWNJFKCQHN-GXTWGEPZSA-N 0 2 306.410 0.852 20 0 DCADLN C[C@@H](C(=O)NC(=O)NC(C)(C)C)[N@@H+]1CCC[C@H](OCCO)C1 ZINC000334034379 415789857 /nfs/dbraw/zinc/78/98/57/415789857.db2.gz XQUWFUDAEKFGBJ-RYUDHWBXSA-N 0 2 315.414 0.473 20 0 DCADLN COC(=O)COc1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000354334537 415792520 /nfs/dbraw/zinc/79/25/20/415792520.db2.gz NOFJCTJHPKRIOY-UHFFFAOYSA-N 0 2 321.293 0.384 20 0 DCADLN CCCC1N=NC(=S)N1CC(=O)NOCCCC(=O)OC ZINC000290558929 415820023 /nfs/dbraw/zinc/82/00/23/415820023.db2.gz IBGYNRBULKMTPQ-UHFFFAOYSA-N 0 2 316.383 0.520 20 0 DCADLN CCn1nccc1/C=C/C(=O)NS(=O)(=O)c1cccnc1 ZINC000125887072 415871198 /nfs/dbraw/zinc/87/11/98/415871198.db2.gz HBDBHMOSWDHXHY-AATRIKPKSA-N 0 2 306.347 0.816 20 0 DCADLN CC(C)n1cnc(S(=O)(=O)Nc2ncc(C(N)=O)s2)c1 ZINC000129140411 415907205 /nfs/dbraw/zinc/90/72/05/415907205.db2.gz RXCAXFJNTDUYOA-UHFFFAOYSA-N 0 2 315.380 0.820 20 0 DCADLN Cn1cc(OCC(=O)N=c2nc(-c3cccnc3)[nH]s2)cn1 ZINC000337093050 415909041 /nfs/dbraw/zinc/90/90/41/415909041.db2.gz LZFIXKBKKBJZCL-UHFFFAOYSA-N 0 2 316.346 0.773 20 0 DCADLN O=C(NC[C@H]1CC[C@@H](O)C1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000356634910 415966618 /nfs/dbraw/zinc/96/66/18/415966618.db2.gz MYNCRHZHPWTFSR-IONNQARKSA-N 0 2 304.306 0.327 20 0 DCADLN CC(C)[C@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(N)=O ZINC000173172535 416054047 /nfs/dbraw/zinc/05/40/47/416054047.db2.gz ONFQWEVBYZCZOL-LBPRGKRZSA-N 0 2 302.334 0.818 20 0 DCADLN COCC(=O)N[C@@H](C(=O)Nc1ccc2nn[nH]c2c1)C(C)C ZINC000337758685 416006029 /nfs/dbraw/zinc/00/60/29/416006029.db2.gz VDFCAYLPEPLUKW-CYBMUJFWSA-N 0 2 305.338 0.684 20 0 DCADLN COCc1nsc(NCCc2nnnn2-c2ccccc2)n1 ZINC000337792065 416010992 /nfs/dbraw/zinc/01/09/92/416010992.db2.gz DKYUMRVMTGPLIZ-UHFFFAOYSA-N 0 2 317.378 0.737 20 0 DCADLN CCN1CC[NH+](CCC(=O)NCCCn2cc[nH+]c2C)CC1 ZINC000338051973 416039692 /nfs/dbraw/zinc/03/96/92/416039692.db2.gz CGBNFOYNBQMRPT-UHFFFAOYSA-N 0 2 307.442 0.725 20 0 DCADLN COCCc1nsc(NC2CCC(S(C)(=O)=O)CC2)n1 ZINC000426903242 287324761 /nfs/dbraw/zinc/32/47/61/287324761.db2.gz LCCVDXCUAHTTAM-UHFFFAOYSA-N 0 2 319.452 0.917 20 0 DCADLN CCOCCCNC(=O)[C@@H](C)[N@H+](C)C1CC[NH+](CCO)CC1 ZINC000357649253 416112124 /nfs/dbraw/zinc/11/21/24/416112124.db2.gz OTFWXALQJALGSF-CQSZACIVSA-N 0 2 315.458 0.306 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2C[C@@H]2C2CC2)s1 ZINC000175846577 416113727 /nfs/dbraw/zinc/11/37/27/416113727.db2.gz RYDQDPRGOSGFGK-RQJHMYQMSA-N 0 2 302.381 0.894 20 0 DCADLN Cc1cc(=O)n2nc(NS(=O)(=O)c3cccc(F)c3)[nH]c2n1 ZINC000176416225 416126184 /nfs/dbraw/zinc/12/61/84/416126184.db2.gz DKZMRVDLCLFRPQ-UHFFFAOYSA-N 0 2 323.309 0.666 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)CCc2ccccc2)cn1 ZINC000345691273 416134474 /nfs/dbraw/zinc/13/44/74/416134474.db2.gz KRFQOPLNNZWYTJ-UHFFFAOYSA-N 0 2 321.358 1.248 20 0 DCADLN Cn1nnnc1-c1cccc(S(=O)(=O)Nc2cccnn2)c1 ZINC000345691346 416135515 /nfs/dbraw/zinc/13/55/15/416135515.db2.gz KULWFWLRWINHTG-UHFFFAOYSA-N 0 2 317.334 0.468 20 0 DCADLN COCc1nc(=NC(=O)CCCC[NH+]2CCOCC2)s[n-]1 ZINC000345764816 416146789 /nfs/dbraw/zinc/14/67/89/416146789.db2.gz FDTNDPWEWLBLJH-UHFFFAOYSA-N 0 2 314.411 0.547 20 0 DCADLN CN(C)C(=O)Cn1ccc(NC(=O)CCC2CCOCC2)n1 ZINC000329614479 416180615 /nfs/dbraw/zinc/18/06/15/416180615.db2.gz KRPPQOOKHWGZQY-UHFFFAOYSA-N 0 2 308.382 1.117 20 0 DCADLN CCOC(=O)Cc1nnc(NC(=O)c2cc(OC)no2)s1 ZINC000345999684 416180938 /nfs/dbraw/zinc/18/09/38/416180938.db2.gz FUKJNVQUZNJABO-UHFFFAOYSA-N 0 2 312.307 0.893 20 0 DCADLN CN(C)c1cccc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)n1 ZINC000181101190 416204082 /nfs/dbraw/zinc/20/40/82/416204082.db2.gz VDERRPMWFZOJQQ-UHFFFAOYSA-N 0 2 323.378 0.386 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC000341603721 416213756 /nfs/dbraw/zinc/21/37/56/416213756.db2.gz KEGNZCRTJNSPMU-CHWSQXEVSA-N 0 2 324.381 0.161 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC000341603721 416213759 /nfs/dbraw/zinc/21/37/59/416213759.db2.gz KEGNZCRTJNSPMU-CHWSQXEVSA-N 0 2 324.381 0.161 20 0 DCADLN CNC(=O)[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(C)C ZINC000182276176 416217116 /nfs/dbraw/zinc/21/71/16/416217116.db2.gz LMMLWALOSLUVEV-JTQLQIEISA-N 0 2 305.338 0.174 20 0 DCADLN CN(C[C@H]1CCC[C@H]1O)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000182305452 416217661 /nfs/dbraw/zinc/21/76/61/416217661.db2.gz WHLYZBNYPFUTNI-BXKDBHETSA-N 0 2 304.350 0.907 20 0 DCADLN C[C@@H]1Sc2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2NC1=O ZINC000358354345 416228170 /nfs/dbraw/zinc/22/81/70/416228170.db2.gz JYXGQZUOWNXYEI-LURJTMIESA-N 0 2 319.346 0.873 20 0 DCADLN COCCCOCCN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358362074 416229625 /nfs/dbraw/zinc/22/96/25/416229625.db2.gz WVZBFDXZMKMCTP-UHFFFAOYSA-N 0 2 322.365 0.799 20 0 DCADLN CCOC(=O)[C@H](C)[C@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000345850651 416158530 /nfs/dbraw/zinc/15/85/30/416158530.db2.gz RQJLGIONUJANLY-BDAKNGLRSA-N 0 2 320.349 0.991 20 0 DCADLN C[NH+](C)[C@H](CNS(=O)(=O)[N-]CC(F)(F)F)c1ccco1 ZINC000192003298 416294599 /nfs/dbraw/zinc/29/45/99/416294599.db2.gz XCPAOGXWWVCVTB-MRVPVSSYSA-N 0 2 315.317 0.869 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)c1ccnn1C ZINC000359504993 416348507 /nfs/dbraw/zinc/34/85/07/416348507.db2.gz UDMMNQIADYFZLV-UHFFFAOYSA-N 0 2 302.337 0.464 20 0 DCADLN CN(C)C(=O)c1ccc(S(=O)(=O)Nc2ccn(C)n2)cc1 ZINC000194600179 416323705 /nfs/dbraw/zinc/32/37/05/416323705.db2.gz RZFIWFBFSMHQEN-UHFFFAOYSA-N 0 2 308.363 0.923 20 0 DCADLN Cn1cc(CCCNS(=O)(=O)NCC(F)(F)F)cn1 ZINC000195209338 416325393 /nfs/dbraw/zinc/32/53/93/416325393.db2.gz ASYPBSFVPGNGEP-UHFFFAOYSA-N 0 2 300.306 0.339 20 0 DCADLN C[C@@H](CO[C@H]1CCOC1)NS(=O)(=O)NCC(F)(F)F ZINC000195345577 416326134 /nfs/dbraw/zinc/32/61/34/416326134.db2.gz VBFSJOQZAQWEQR-YUMQZZPRSA-N 0 2 306.306 0.167 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000416404719 416368508 /nfs/dbraw/zinc/36/85/08/416368508.db2.gz XOWFQZLYFYCEPU-RYUDHWBXSA-N 0 2 308.382 0.985 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000416404719 416368509 /nfs/dbraw/zinc/36/85/09/416368509.db2.gz XOWFQZLYFYCEPU-RYUDHWBXSA-N 0 2 308.382 0.985 20 0 DCADLN COC(=O)CCN1CC[C@@H](Nc2cccc(C(=O)[O-])[nH+]2)C1=O ZINC000416415218 416368916 /nfs/dbraw/zinc/36/89/16/416368916.db2.gz JOJSKNFVLZRJFV-SECBINFHSA-N 0 2 307.306 0.356 20 0 DCADLN CCC[C@@H](O)[C@H](CO)NC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000416819300 416377693 /nfs/dbraw/zinc/37/76/93/416377693.db2.gz REKYCCZQQAVZJV-DTWKUNHWSA-N 0 2 316.427 0.901 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H]1CCC[C@H](CO)C1 ZINC000360652490 416401638 /nfs/dbraw/zinc/40/16/38/416401638.db2.gz SNUOWXJFEKMYAX-IUCAKERBSA-N 0 2 300.384 0.517 20 0 DCADLN CCOC(=O)c1cnc(N2CC[NH+]([C@H](C)C(=O)[O-])CC2)s1 ZINC000583544109 416472834 /nfs/dbraw/zinc/47/28/34/416472834.db2.gz UZNWGFVCAHQAEQ-SECBINFHSA-N 0 2 313.379 0.915 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC1([S@](C)=O)CCC1)c2=O ZINC000438124858 416594665 /nfs/dbraw/zinc/59/46/65/416594665.db2.gz VDPBTLQZQAMGKQ-QFIPXVFZSA-N 0 2 322.390 0.705 20 0 DCADLN CC(=O)NCC(=O)Nc1nc(-c2ccc(O)c(Cl)c2)n[nH]1 ZINC000436901716 416574858 /nfs/dbraw/zinc/57/48/58/416574858.db2.gz NCTDDKQPWUAIOS-UHFFFAOYSA-N 0 2 309.713 0.905 20 0 DCADLN COCC[C@H](CO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000439558028 416617274 /nfs/dbraw/zinc/61/72/74/416617274.db2.gz QONCNVHIVQXPHO-LLVKDONJSA-N 0 2 307.306 0.157 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CC(=O)N1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC000424254751 416634024 /nfs/dbraw/zinc/63/40/24/416634024.db2.gz LKZBTHPZAVIHKT-FZMZJTMJSA-N 0 2 313.398 0.155 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)CC(=O)N1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC000424254751 416634029 /nfs/dbraw/zinc/63/40/29/416634029.db2.gz LKZBTHPZAVIHKT-FZMZJTMJSA-N 0 2 313.398 0.155 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C(=O)NCCC(C)(C)C(=O)[O-] ZINC000424485084 416656686 /nfs/dbraw/zinc/65/66/86/416656686.db2.gz WRJNCXYTRYXHQL-UHFFFAOYSA-N 0 2 324.381 0.349 20 0 DCADLN O=C(NC[C@H](O)[C@@H]1CCOC1)c1cc(F)c(F)c(O)c1F ZINC000544557834 416656848 /nfs/dbraw/zinc/65/68/48/416656848.db2.gz GEBFJROBEHMFNA-MUWHJKNJSA-N 0 2 305.252 0.937 20 0 DCADLN CC(=O)N1CCC(CNS(=O)(=O)NCC(F)(F)F)CC1 ZINC000442950432 416723558 /nfs/dbraw/zinc/72/35/58/416723558.db2.gz KSXSBTRTVAZHOO-UHFFFAOYSA-N 0 2 317.333 0.231 20 0 DCADLN CCc1nnc([C@@H](C)NS(=O)(=O)NCC(F)(F)F)[nH]1 ZINC000443052608 416727666 /nfs/dbraw/zinc/72/76/66/416727666.db2.gz SWVFJAHAXURFLC-RXMQYKEDSA-N 0 2 301.294 0.414 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000370022898 416868207 /nfs/dbraw/zinc/86/82/07/416868207.db2.gz VVXXYFCEILEFCQ-RKDXNWHRSA-N 0 2 302.318 0.742 20 0 DCADLN COCCc1nsc(Nc2ccn(CC(=O)N(C)C)n2)n1 ZINC000444826155 416873479 /nfs/dbraw/zinc/87/34/79/416873479.db2.gz NDJZDZOTTRUDCC-UHFFFAOYSA-N 0 2 310.383 0.755 20 0 DCADLN CCc1nn(C)c(CC)c1C[NH2+]CCC[N@@H+]1CCC[C@H]1C(N)=O ZINC000623618723 416852517 /nfs/dbraw/zinc/85/25/17/416852517.db2.gz BJKHLOCILMJZFA-INIZCTEOSA-N 0 2 321.469 0.974 20 0 DCADLN CC(C)C[C@@H](CCO)CNC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000519359804 416901215 /nfs/dbraw/zinc/90/12/15/416901215.db2.gz NIQBPBPZLDQJBD-KGLIPLIRSA-N 0 2 300.447 0.315 20 0 DCADLN Cn1nnc(CNC(=O)C2=NN(c3ccc(F)cc3)CC2=O)n1 ZINC000428823647 416925995 /nfs/dbraw/zinc/92/59/95/416925995.db2.gz OGLYUUDYCVIPST-UHFFFAOYSA-N 0 2 317.284 0.171 20 0 DCADLN COc1cnc(C(=O)N=c2ncn(Cc3ccccc3)[nH]2)nc1 ZINC000521915950 417035386 /nfs/dbraw/zinc/03/53/86/417035386.db2.gz IQWTYGKFSVWCHE-UHFFFAOYSA-N 0 2 310.317 0.799 20 0 DCADLN Cc1nc(N)nc(C)c1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000567958036 417070124 /nfs/dbraw/zinc/07/01/24/417070124.db2.gz WOVXTMSKDLYFPK-VIFPVBQESA-N 0 2 317.353 0.519 20 0 DCADLN CC(C)NS(=O)(=O)c1cccnc1NCc1n[nH]c(=O)[nH]1 ZINC000432877926 417209873 /nfs/dbraw/zinc/20/98/73/417209873.db2.gz OKQORLWQMCVWTK-UHFFFAOYSA-N 0 2 312.355 0.204 20 0 DCADLN CC[C@](C)(CO)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000569223993 417228260 /nfs/dbraw/zinc/22/82/60/417228260.db2.gz CJXVXXRRVLNJMF-CYBMUJFWSA-N 0 2 314.411 0.473 20 0 DCADLN CCO[C@H]1C[C@@]([NH3+])(C(=O)N=c2nc(COC)[n-]s2)C1(C)C ZINC000570159916 417316709 /nfs/dbraw/zinc/31/67/09/417316709.db2.gz RIUFLVOIUIGMMC-ISVAXAHUSA-N 0 2 314.411 0.577 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)[N-]Cc1cn2cc(C)ccc2[nH+]1 ZINC000575095879 417508512 /nfs/dbraw/zinc/50/85/12/417508512.db2.gz ZSIFZFPZMHBRQH-UHFFFAOYSA-N 0 2 321.362 1.000 20 0 DCADLN CCN(C)S(=O)(=O)Nc1ccccc1C(=O)NCCOC ZINC000531044322 417601893 /nfs/dbraw/zinc/60/18/93/417601893.db2.gz APSDBZIEKGFEIX-UHFFFAOYSA-N 0 2 315.395 0.671 20 0 DCADLN O=C(c1cnn2ncccc12)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000580434915 417701104 /nfs/dbraw/zinc/70/11/04/417701104.db2.gz WJQQBPPBOZTCQM-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN Cc1cc(C(=O)NC[C@H](O)C2CCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000456874283 417668449 /nfs/dbraw/zinc/66/84/49/417668449.db2.gz XRBUFKRHSLMLEI-JTQLQIEISA-N 0 2 318.333 0.635 20 0 DCADLN CSc1nc(=NC(=O)NCC[N@@H+]2CCOC[C@H]2C)s[n-]1 ZINC000532410944 417677583 /nfs/dbraw/zinc/67/75/83/417677583.db2.gz XDGFXKOQHWORMO-MRVPVSSYSA-N 0 2 317.440 0.524 20 0 DCADLN CSc1nc(=NC(=O)NCC[N@H+]2CCOC[C@H]2C)s[n-]1 ZINC000532410944 417677585 /nfs/dbraw/zinc/67/75/85/417677585.db2.gz XDGFXKOQHWORMO-MRVPVSSYSA-N 0 2 317.440 0.524 20 0 DCADLN C[C@@H](CNC(=O)NCc1cccc(C(=O)[O-])c1)[NH+]1CCOCC1 ZINC000635505066 417680819 /nfs/dbraw/zinc/68/08/19/417680819.db2.gz HQPYDYOCLZNLGG-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN O=S(=O)(NCC(F)(F)F)NCC1(CO)CC2(CCC2)C1 ZINC000443197116 287414065 /nfs/dbraw/zinc/41/40/65/287414065.db2.gz KCTMYZTTWANOIZ-UHFFFAOYSA-N 0 2 316.345 0.916 20 0 DCADLN COc1ccnc(CNS(=O)(=O)c2c(N)noc2C)c1F ZINC000657158556 417825203 /nfs/dbraw/zinc/82/52/03/417825203.db2.gz BMLNVPRLORAQAK-UHFFFAOYSA-N 0 2 316.314 0.586 20 0 DCADLN CC(C)O[C@@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651967715 417826814 /nfs/dbraw/zinc/82/68/14/417826814.db2.gz RKOMWTGJRZWGPZ-GFCCVEGCSA-N 0 2 323.397 0.635 20 0 DCADLN CC(=O)N(C)c1ccc(NS(=O)(=O)c2cnc(C)n2C)cn1 ZINC000629351751 417785611 /nfs/dbraw/zinc/78/56/11/417785611.db2.gz GSMLPAHOXADGPV-UHFFFAOYSA-N 0 2 323.378 0.907 20 0 DCADLN COCC1(CCNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCC1 ZINC000651823077 417790528 /nfs/dbraw/zinc/79/05/28/417790528.db2.gz UYQRZDUCUOCUDE-UHFFFAOYSA-N 0 2 323.397 0.542 20 0 DCADLN CO[C@H](C)c1nc(=NC(=O)N[C@@H]2Cc3c[nH+]cn3C2)s[n-]1 ZINC000640138189 417790791 /nfs/dbraw/zinc/79/07/91/417790791.db2.gz CDTURHMCKVXKMM-HTQZYQBOSA-N 0 2 308.367 0.610 20 0 DCADLN CC[C@@H]1C[C@@H]([NH2+][C@@H]2CC(=O)N(CC[NH+]3CCOCC3)C2)CO1 ZINC000657368106 417849810 /nfs/dbraw/zinc/84/98/10/417849810.db2.gz VFQKOYGRYGBNHQ-RBSFLKMASA-N 0 2 311.426 0.077 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCCC[C@@H]2CCS(C)(=O)=O)c1O ZINC000646559155 417868177 /nfs/dbraw/zinc/86/81/77/417868177.db2.gz XWFLRTKHHJWZHX-SNVBAGLBSA-N 0 2 315.395 0.853 20 0 DCADLN Cc1cn2cc(CC(=O)NCc3nnc(O)n3C)nc2s1 ZINC000640652455 417895240 /nfs/dbraw/zinc/89/52/40/417895240.db2.gz IVMJGIQOUOSKRP-UHFFFAOYSA-N 0 2 306.351 0.397 20 0 DCADLN CC(C)(C)n1ncc2c1nc(N1CCO[C@@H](C(=O)[O-])C1)[nH+]c2N ZINC000662885476 417939405 /nfs/dbraw/zinc/93/94/05/417939405.db2.gz RPHUHHWLMSQKDM-SECBINFHSA-N 0 2 320.353 0.453 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)[C@@H](Nc2cc(N3CCSCC3)[nH+]cn2)C1 ZINC000662897809 417940732 /nfs/dbraw/zinc/94/07/32/417940732.db2.gz XCBUQEKJPRRLEL-GARJFASQSA-N 0 2 324.406 0.666 20 0 DCADLN COC[C@@H]1C[C@@H](O)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000652781598 417967700 /nfs/dbraw/zinc/96/77/00/417967700.db2.gz HWZGWDKPZSZUIQ-QWHCGFSZSA-N 0 2 317.345 0.800 20 0 DCADLN Cc1cc(C)n2nc(NC(=O)c3c(=O)[nH][nH]c3C(C)C)nc2n1 ZINC000663219756 418000988 /nfs/dbraw/zinc/00/09/88/418000988.db2.gz RSYXDGIILBUBKM-VIFPVBQESA-N 0 2 315.337 0.438 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1nn(C)cc1C)C1CCOCC1 ZINC000663264289 418005438 /nfs/dbraw/zinc/00/54/38/418005438.db2.gz JDWDAUGLFYLZRL-GFCCVEGCSA-N 0 2 317.411 0.912 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ccnc(OCCO)c1 ZINC000663299212 418008950 /nfs/dbraw/zinc/00/89/50/418008950.db2.gz JSXFTHIKMVEWHB-UHFFFAOYSA-N 0 2 312.351 0.295 20 0 DCADLN COCc1nc2n(n1)C[C@@H](Nc1[nH+]cccc1C(=O)[O-])CC2 ZINC000647452410 418011562 /nfs/dbraw/zinc/01/15/62/418011562.db2.gz YPVOYURCWWNMSY-VIFPVBQESA-N 0 2 303.322 0.945 20 0 DCADLN C[C@@H]1CCCc2ncc(C(=O)NCCCc3n[nH]c(=O)[nH]3)n21 ZINC000653020817 418013827 /nfs/dbraw/zinc/01/38/27/418013827.db2.gz KUKFPHHGHJIGAB-SECBINFHSA-N 0 2 304.354 0.967 20 0 DCADLN CC(C)[N@@H+]1CCCN(C(=O)C(=O)N2CCn3cc[nH+]c3C2)CC1 ZINC000659027290 418048188 /nfs/dbraw/zinc/04/81/88/418048188.db2.gz HWCKNTNDABWBNI-UHFFFAOYSA-N 0 2 319.409 0.168 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cncnc2)coc1C(=O)N(C)C ZINC000647130631 417992464 /nfs/dbraw/zinc/99/24/64/417992464.db2.gz SKLJWXBAOYGHJA-UHFFFAOYSA-N 0 2 310.335 0.881 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000663125334 417993645 /nfs/dbraw/zinc/99/36/45/417993645.db2.gz JWVPFMNLRJNUPY-OAHLLOKOSA-N 0 2 307.350 0.788 20 0 DCADLN C[C@@H](Cn1cncn1)N=c1ccc(OCC(F)(F)F)n[nH]1 ZINC000647860871 418065807 /nfs/dbraw/zinc/06/58/07/418065807.db2.gz CNILHZDSKPOAJY-QMMMGPOBSA-N 0 2 302.260 0.932 20 0 DCADLN C[N@H+](CCNC(=O)N(CC[NH+]1CCOCC1)CC1CC1)C1CC1 ZINC000664094035 418085021 /nfs/dbraw/zinc/08/50/21/418085021.db2.gz JPLAONUZWAMDIS-UHFFFAOYSA-N 0 2 324.469 0.834 20 0 DCADLN Cn1ncc(C2CCC2)c1CNC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000659607677 418124538 /nfs/dbraw/zinc/12/45/38/418124538.db2.gz XIGKFBDYHRNLQM-CYBMUJFWSA-N 0 2 320.441 0.472 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)N[C@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000654266491 418132391 /nfs/dbraw/zinc/13/23/91/418132391.db2.gz QMWQXHIYSYTBET-NKWVEPMBSA-N 0 2 323.275 0.810 20 0 DCADLN Cn1nccc1-c1ccc(=NC(=O)c2cn3c(n2)CCC3)[nH]n1 ZINC000648420503 418136357 /nfs/dbraw/zinc/13/63/57/418136357.db2.gz ZDLOEYKVPSYTCG-UHFFFAOYSA-N 0 2 309.333 0.694 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000649456469 418254546 /nfs/dbraw/zinc/25/45/46/418254546.db2.gz FXTYKZBQXZBSDU-HUUCEWRRSA-N 0 2 318.373 0.930 20 0 DCADLN O=C([O-])c1cncc(NC[C@]2([NH+]3CCOCC3)CCSC2)n1 ZINC000649386891 418249713 /nfs/dbraw/zinc/24/97/13/418249713.db2.gz LSQHOUQARUIWIK-CQSZACIVSA-N 0 2 324.406 0.795 20 0 DCADLN CCCNC(=O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665516113 418214719 /nfs/dbraw/zinc/21/47/19/418214719.db2.gz CORODAOJQXSJBJ-UHFFFAOYSA-N 0 2 304.306 0.286 20 0 DCADLN Cc1nc(N2CCN(C(=O)NC[C@H](C)C(=O)[O-])CC2)cc[nH+]1 ZINC000655888917 418296488 /nfs/dbraw/zinc/29/64/88/418296488.db2.gz MYPXQOABVHQBJF-JTQLQIEISA-N 0 2 307.354 0.337 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)c2cccc(CC(=O)[O-])c2)c1 ZINC000650685375 418320764 /nfs/dbraw/zinc/32/07/64/418320764.db2.gz HDGVICMQSOXNAG-UHFFFAOYSA-N 0 2 323.374 0.568 20 0 DCADLN O=C(Nc1cccc(-c2nnc[nH]2)c1)[C@@H]1CCS(=O)(=O)N1 ZINC000651339018 418368414 /nfs/dbraw/zinc/36/84/14/418368414.db2.gz YSNKYNRBSSWTAM-JTQLQIEISA-N 0 2 307.335 0.102 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000651431581 418376683 /nfs/dbraw/zinc/37/66/83/418376683.db2.gz LVOPHHAJAHRNNV-NKWVEPMBSA-N 0 2 308.260 0.874 20 0 DCADLN NC(=O)c1cc(=NC(=O)[C@@H](C[C@H]2CCCO2)C(F)(F)F)[nH][nH]1 ZINC000651534543 418388138 /nfs/dbraw/zinc/38/81/38/418388138.db2.gz OHWMADLXHMPRFH-RNFRBKRXSA-N 0 2 320.271 0.617 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)C(C2CC2)C2CC2)[nH]1 ZINC000651547866 418390063 /nfs/dbraw/zinc/39/00/63/418390063.db2.gz BJOLSCKRYUPQIZ-UHFFFAOYSA-N 0 2 305.382 0.866 20 0 DCADLN CCC1(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCOCC1 ZINC000651595777 418395532 /nfs/dbraw/zinc/39/55/32/418395532.db2.gz RKCYTQCAXQFAMJ-UHFFFAOYSA-N 0 2 323.397 0.542 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCSCC[C@H]2C)[nH]1 ZINC000651749360 418408566 /nfs/dbraw/zinc/40/85/66/418408566.db2.gz SSZJZMSOWOQZAT-SNVBAGLBSA-N 0 2 311.411 0.573 20 0 DCADLN Cc1cscc1CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651758821 418408761 /nfs/dbraw/zinc/40/87/61/418408761.db2.gz PEKSMVFMYWOPJQ-UHFFFAOYSA-N 0 2 307.379 0.906 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1CCC[C@H]2CCC[C@H]21 ZINC000651641769 418399790 /nfs/dbraw/zinc/39/97/90/418399790.db2.gz XKWUYGWGBHODOZ-VXGBXAGGSA-N 0 2 317.393 0.766 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1CCC[C@@H]2CCC[C@H]21 ZINC000651641767 418400023 /nfs/dbraw/zinc/40/00/23/418400023.db2.gz XKWUYGWGBHODOZ-NWDGAFQWSA-N 0 2 317.393 0.766 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1CCC[C@@H]2CCC[C@@H]21 ZINC000651641768 418400390 /nfs/dbraw/zinc/40/03/90/418400390.db2.gz XKWUYGWGBHODOZ-RYUDHWBXSA-N 0 2 317.393 0.766 20 0 DCADLN COC(=O)CN(C)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000180124571 261114175 /nfs/dbraw/zinc/11/41/75/261114175.db2.gz BLAIQKDLQTXYHJ-UHFFFAOYSA-N 0 2 307.281 0.962 20 0 DCADLN CCO[C@H]1COCC[C@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000354339955 261205543 /nfs/dbraw/zinc/20/55/43/261205543.db2.gz HYONXBDJRWBUOA-NEPJUHHUSA-N 0 2 320.349 0.598 20 0 DCADLN CCOC[C@H](O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354614756 261245909 /nfs/dbraw/zinc/24/59/09/261245909.db2.gz ZUFVOZWLQIWSAS-GFCCVEGCSA-N 0 2 323.324 0.844 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@@H]1CCNC(=O)C1 ZINC000356019052 261383110 /nfs/dbraw/zinc/38/31/10/261383110.db2.gz BZVGISZQMSCDNO-SECBINFHSA-N 0 2 301.306 0.642 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(NC(=O)C2CC2)c1 ZINC000358360734 261662383 /nfs/dbraw/zinc/66/23/83/261662383.db2.gz GRWQYTRHXOFSBR-UHFFFAOYSA-N 0 2 301.306 0.789 20 0 DCADLN NC(=O)COc1ccc(NC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000361920268 261977040 /nfs/dbraw/zinc/97/70/40/261977040.db2.gz YGSZXTVCLJVDKK-UHFFFAOYSA-N 0 2 323.334 0.105 20 0 DCADLN CCOC(=O)[C@@H](CC)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000412324517 262185748 /nfs/dbraw/zinc/18/57/48/262185748.db2.gz NXGMMSSRXHGCHN-ZJUUUORDSA-N 0 2 310.354 0.806 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N3C[C@@H](O)[C@H](CO)C3)c[nH]c12 ZINC000412423173 262188507 /nfs/dbraw/zinc/18/85/07/262188507.db2.gz SQDSAYCRQDHVQL-GXFFZTMASA-N 0 2 302.330 0.262 20 0 DCADLN COC(=O)[C@H]1C[C@@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000412756780 262194002 /nfs/dbraw/zinc/19/40/02/262194002.db2.gz LBUYJYPLZFBPLW-PHIMTYICSA-N 0 2 317.301 0.711 20 0 DCADLN NC(C(=O)Nc1ccc(Cc2nn[nH]n2)cc1)C(F)(F)F ZINC000423738216 262458305 /nfs/dbraw/zinc/45/83/05/262458305.db2.gz FXIVBRNAPGIAJJ-SECBINFHSA-N 0 2 300.244 0.619 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nc(C)n(C)n2)cn1C ZINC000427659021 262567405 /nfs/dbraw/zinc/56/74/05/262567405.db2.gz DWFHHILKCREWHN-UHFFFAOYSA-N 0 2 313.339 0.049 20 0 DCADLN CCC[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(=O)OC ZINC000359011986 271089573 /nfs/dbraw/zinc/08/95/73/271089573.db2.gz JYSYPNLRXGBSEC-VIFPVBQESA-N 0 2 320.305 0.508 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1C[C@@H]2CC[C@@H](O)C[C@H]2C1 ZINC000398763590 271219169 /nfs/dbraw/zinc/21/91/69/271219169.db2.gz DBEZNRYPGKNJFG-XHNCKOQMSA-N 0 2 302.318 0.476 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N2CCC[C@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC000422705969 271378946 /nfs/dbraw/zinc/37/89/46/271378946.db2.gz SKKSQYLSADLYGJ-ZDUSSCGKSA-N 0 2 319.361 0.638 20 0 DCADLN C[S@@](=O)C1(CNS(=O)(=O)NCC(F)(F)F)CCC1 ZINC000443229698 271701776 /nfs/dbraw/zinc/70/17/76/271701776.db2.gz YKTAGSZORIXSIL-QGZVFWFLSA-N 0 2 308.347 0.274 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)c1nc[nH]n1 ZINC000479505529 272035706 /nfs/dbraw/zinc/03/57/06/272035706.db2.gz NOPSZWKFSHEPPG-YFKPBYRVSA-N 0 2 301.266 0.045 20 0 DCADLN CCOCCS(=O)(=O)[N-]C(=O)/C=C\c1[nH+]ccn1CC ZINC000492446246 272130739 /nfs/dbraw/zinc/13/07/39/272130739.db2.gz UXPCHJQSXHDHHZ-WAYWQWQTSA-N 0 2 301.368 0.399 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C\c2ccncn2)cn1 ZINC000492767074 272148981 /nfs/dbraw/zinc/14/89/81/272148981.db2.gz SKJPAYBUZUXNQR-ARJAWSKDSA-N 0 2 307.335 0.211 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)/C=C/c2ccc[nH]2)cn1 ZINC000492862948 272155077 /nfs/dbraw/zinc/15/50/77/272155077.db2.gz LSAITJQKWDTYCR-GQCTYLIASA-N 0 2 307.331 0.937 20 0 DCADLN CC(C)n1cc(S(=O)(=O)NC(=O)/C=C/c2ccnn2C)cn1 ZINC000493191231 272174781 /nfs/dbraw/zinc/17/47/81/272174781.db2.gz PTCHNUYDSBOELW-SNAWJCMRSA-N 0 2 323.378 0.716 20 0 DCADLN Cc1ncc(S(=O)(=O)NC(=O)/C=C\c2ccncn2)s1 ZINC000493256167 272178430 /nfs/dbraw/zinc/17/84/30/272178430.db2.gz NZEGYPKDUOBNAC-IHWYPQMZSA-N 0 2 310.360 0.760 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/C2CCOCC2)cnn1C ZINC000493435593 272188966 /nfs/dbraw/zinc/18/89/66/272188966.db2.gz UGSCRGAKXRAHMJ-ONEGZZNKSA-N 0 2 313.379 0.516 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C\c1ccn(C)c1 ZINC000493616085 272200008 /nfs/dbraw/zinc/20/00/08/272200008.db2.gz KLWXIBUDWMEMHH-PLNGDYQASA-N 0 2 308.363 0.585 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)C[C@@H](C)OC ZINC000493714020 272205359 /nfs/dbraw/zinc/20/53/59/272205359.db2.gz HYAWMEYPTVZHKB-BRAIEQGRSA-N 0 2 301.368 0.397 20 0 DCADLN CCOC(=O)N1CCN(S(=O)(=O)NCC(F)(F)F)CC1 ZINC000496648490 272331929 /nfs/dbraw/zinc/33/19/29/272331929.db2.gz TZLIKPPZIMSZJI-UHFFFAOYSA-N 0 2 319.305 0.157 20 0 DCADLN CC(C)[C@H](CO)ONC(=O)CNC(=O)OCc1ccccc1 ZINC000496983930 272359588 /nfs/dbraw/zinc/35/95/88/272359588.db2.gz GIGUKAFYKNUJQA-ZDUSSCGKSA-N 0 2 310.350 0.978 20 0 DCADLN CC(C)CN1C[C@@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)CC1=O ZINC000531358384 287775975 /nfs/dbraw/zinc/77/59/75/287775975.db2.gz KYCFKCPDJQCAHR-JTQLQIEISA-N 0 2 309.370 0.064 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2CCN3C(=O)N=NC3C2)s[nH]1 ZINC000333496459 277274076 /nfs/dbraw/zinc/27/40/76/277274076.db2.gz CABUSKQBCJXJIU-UHFFFAOYSA-N 0 2 323.382 0.602 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCCOC1 ZINC000542816183 287948676 /nfs/dbraw/zinc/94/86/76/287948676.db2.gz NLXLOWPIRLNFFC-DTWKUNHWSA-N 0 2 318.333 0.981 20 0 DCADLN CC(C)(C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)N1CCOCC1 ZINC000329678066 278262306 /nfs/dbraw/zinc/26/23/06/278262306.db2.gz QEFLWQFYAYJZAM-LLVKDONJSA-N 0 2 323.397 0.327 20 0 DCADLN CC(C)(C)c1nsc(NCCNC(=O)c2cnccn2)n1 ZINC000547632812 288063518 /nfs/dbraw/zinc/06/35/18/288063518.db2.gz UFLHMWJHZVJWDL-UHFFFAOYSA-N 0 2 306.395 0.889 20 0 DCADLN CC(C)(C)c1nc(=NCCNC(=O)c2cnccn2)s[nH]1 ZINC000547632812 288063519 /nfs/dbraw/zinc/06/35/19/288063519.db2.gz UFLHMWJHZVJWDL-UHFFFAOYSA-N 0 2 306.395 0.889 20 0 DCADLN CC[C@H]1CCCN1S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000408417611 288222647 /nfs/dbraw/zinc/22/26/47/288222647.db2.gz ZEIIPIWOTRFBNT-QMMMGPOBSA-N 0 2 324.362 0.999 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2nc(C(C)(C)C)ns2)n1 ZINC000564774203 288766883 /nfs/dbraw/zinc/76/68/83/288766883.db2.gz YNOHSVBQTAVDIM-UHFFFAOYSA-N 0 2 301.397 0.792 20 0 DCADLN Cn1ncc(N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)c(Cl)c1=O ZINC000565548309 288861684 /nfs/dbraw/zinc/86/16/84/288861684.db2.gz KDABZYQHBSLAOW-SSDOTTSWSA-N 0 2 310.745 0.989 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2C[C@H](C(=O)[O-])CC[C@H]2C)CCO1 ZINC001650320189 1173565277 /nfs/dbraw/zinc/56/52/77/1173565277.db2.gz BWRSWXXLWTYHGV-JHJVBQTASA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2C[C@H](C(=O)[O-])CC[C@H]2C)CCO1 ZINC001650320189 1173565284 /nfs/dbraw/zinc/56/52/84/1173565284.db2.gz BWRSWXXLWTYHGV-JHJVBQTASA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)C[C@@]1(C)C(=O)[O-] ZINC000584354049 336077704 /nfs/dbraw/zinc/07/77/04/336077704.db2.gz ZLWNRWOERSVVII-XHBSWPGZSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)C[C@@]1(C)C(=O)[O-] ZINC000584354049 336077706 /nfs/dbraw/zinc/07/77/06/336077706.db2.gz ZLWNRWOERSVVII-XHBSWPGZSA-N 0 2 320.393 0.985 20 0 DCADLN O=C(N[C@H]1CNC(=O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000582454033 337055581 /nfs/dbraw/zinc/05/55/81/337055581.db2.gz KNUWUHCLXQXJEH-SECBINFHSA-N 0 2 304.281 0.335 20 0 DCADLN CC[C@H](C)[C@H](NC(C)=O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000582966214 337223423 /nfs/dbraw/zinc/22/34/23/337223423.db2.gz GUXZVFTUNLRCRP-WCQGTBRESA-N 0 2 323.397 0.767 20 0 DCADLN CN(C)C(=O)c1cccc(C[N@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@H]3C2)c1 ZINC000583214272 337274161 /nfs/dbraw/zinc/27/41/61/337274161.db2.gz DEGGCDSDPRLCKE-GJZGRUSLSA-N 0 2 316.405 0.132 20 0 DCADLN Cc1cc(C)nc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)c1 ZINC000162380445 534141545 /nfs/dbraw/zinc/14/15/45/534141545.db2.gz FLAHGIYFNFERBN-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN CNS(=O)(=O)CCNC(=O)c1cc(F)cc(Cl)c1O ZINC000189846283 522203547 /nfs/dbraw/zinc/20/35/47/522203547.db2.gz DBGCOKNPBMOLKR-UHFFFAOYSA-N 0 2 310.734 0.464 20 0 DCADLN COC(=O)c1cn([C@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC000365686036 522330213 /nfs/dbraw/zinc/33/02/13/522330213.db2.gz IQHKBIHAQZCDRP-XPUUQOCRSA-N 0 2 324.234 0.739 20 0 DCADLN COC(=O)c1cn([C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000365686036 522330221 /nfs/dbraw/zinc/33/02/21/522330221.db2.gz IQHKBIHAQZCDRP-XPUUQOCRSA-N 0 2 324.234 0.739 20 0 DCADLN CC[C@@H](C)[C@H](O)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268550204 522391766 /nfs/dbraw/zinc/39/17/66/522391766.db2.gz DHFQRYWVTCRTAS-GMSGAONNSA-N 0 2 320.349 0.881 20 0 DCADLN CS(=O)(=O)N1CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000186437251 525173991 /nfs/dbraw/zinc/17/39/91/525173991.db2.gz XDCLYRUTMBKVMA-UHFFFAOYSA-N 0 2 320.317 0.388 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H]1CCOC1 ZINC000266254040 525372452 /nfs/dbraw/zinc/37/24/52/525372452.db2.gz VIRABEKAMRPYSL-SFYZADRCSA-N 0 2 304.306 0.591 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H](C(=O)N=c2nc(-c3ccsc3)[nH]s2)O1 ZINC000366376544 526972192 /nfs/dbraw/zinc/97/21/92/526972192.db2.gz QHDHGZKUEOQAME-YUMQZZPRSA-N 0 2 324.387 0.660 20 0 DCADLN CC(C)C(=O)N1CCCC[C@@H]1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000330952216 527469074 /nfs/dbraw/zinc/46/90/74/527469074.db2.gz QKIRBXHAEVUSFC-LLVKDONJSA-N 0 2 323.397 0.596 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1C[C@H]2CCCC[C@@H]2C1 ZINC000178363302 545896147 /nfs/dbraw/zinc/89/61/47/545896147.db2.gz OBUZFWGPHGZDKC-NXEZZACHSA-N 0 2 314.345 0.874 20 0 DCADLN C[C@H]1CCC[N@@H+](CCNC(=O)NC[C@@H]2CN(C)CC[NH+]2C)C1 ZINC000332827285 546062920 /nfs/dbraw/zinc/06/29/20/546062920.db2.gz YFVBUNOZMJAARD-LSDHHAIUSA-N 0 2 311.474 0.263 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1C[C@H]1c1ccc2c(c1)OCCO2 ZINC000332962634 546067268 /nfs/dbraw/zinc/06/72/68/546067268.db2.gz WSZFJUFMYFGMJU-UWVGGRQHSA-N 0 2 316.317 0.701 20 0 DCADLN CCCN1C[C@H](C(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)CC1=O ZINC000333470414 546082184 /nfs/dbraw/zinc/08/21/84/546082184.db2.gz DFLFVQABINFSRB-GHMZBOCLSA-N 0 2 321.381 0.822 20 0 DCADLN Cn1[n-]c(C(=O)N2CC[NH+](Cc3cccnc3)CC2)cc1=O ZINC000665930720 546414625 /nfs/dbraw/zinc/41/46/25/546414625.db2.gz VXMBGZJDTKJEBO-UHFFFAOYSA-N 0 2 301.350 0.479 20 0 DCADLN O=C(CS(=O)(=O)C1CC1)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000667992857 546678719 /nfs/dbraw/zinc/67/87/19/546678719.db2.gz GRQYEZAHIAUJNX-UHFFFAOYSA-N 0 2 323.330 0.546 20 0 DCADLN CN1C[C@@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCC1=O ZINC000668988170 546897160 /nfs/dbraw/zinc/89/71/60/546897160.db2.gz RDVFIMBFAPZHQK-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cccc3c2OCO3)[nH]n1 ZINC000669840739 547021582 /nfs/dbraw/zinc/02/15/82/547021582.db2.gz CVYVMLDPNCVPPB-UHFFFAOYSA-N 0 2 310.291 0.038 20 0 DCADLN CNC(=O)Cc1ccc(NS(=O)(=O)c2cn(C)nc2C)cc1 ZINC000673461836 547481424 /nfs/dbraw/zinc/48/14/24/547481424.db2.gz ZWIKOJNIWJUHIG-UHFFFAOYSA-N 0 2 322.390 0.818 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C(C)(C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000673690090 547516399 /nfs/dbraw/zinc/51/63/99/547516399.db2.gz MWFZHZJPOUTLQF-ZETCQYMHSA-N 0 2 306.322 0.634 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000673949711 547545458 /nfs/dbraw/zinc/54/54/58/547545458.db2.gz UEQVBIQLFCSVQB-VIFPVBQESA-N 0 2 307.354 0.308 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC(=O)NCc2ccccc2)c1O ZINC000676698481 547804768 /nfs/dbraw/zinc/80/47/68/547804768.db2.gz YSQYVLPTNLZEDO-UHFFFAOYSA-N 0 2 302.334 0.812 20 0 DCADLN Cn1ncc(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)c1N ZINC000679173579 548031172 /nfs/dbraw/zinc/03/11/72/548031172.db2.gz PTCOORPRJHJWSD-UHFFFAOYSA-N 0 2 300.278 0.598 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC(C)(C)S(=O)(=O)CC2)c1O ZINC000683662329 548507646 /nfs/dbraw/zinc/50/76/46/548507646.db2.gz JRBGIQZTCKPEOY-UHFFFAOYSA-N 0 2 301.368 0.463 20 0 DCADLN O=C([O-])CSCC(=O)N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000157618786 597095077 /nfs/dbraw/zinc/09/50/77/597095077.db2.gz XRLQFZDSDOAEBV-LLVKDONJSA-N 0 2 302.396 0.127 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC000821431820 598172820 /nfs/dbraw/zinc/17/28/20/598172820.db2.gz BJZWSYYBOSIPTQ-UHFFFAOYSA-N 0 2 302.290 0.336 20 0 DCADLN Cc1[nH]c(C(=O)NCC[N@@H+]2CCO[C@H](C)C2)c(C)c1C(=O)[O-] ZINC000738153365 599701253 /nfs/dbraw/zinc/70/12/53/599701253.db2.gz BMBYAMKSWASZIP-SECBINFHSA-N 0 2 309.366 0.780 20 0 DCADLN Cc1[nH]c(C(=O)NCC[N@H+]2CCO[C@H](C)C2)c(C)c1C(=O)[O-] ZINC000738153365 599701255 /nfs/dbraw/zinc/70/12/55/599701255.db2.gz BMBYAMKSWASZIP-SECBINFHSA-N 0 2 309.366 0.780 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CCC(CC(=O)[O-])CC2)CCO1 ZINC000736808212 599793482 /nfs/dbraw/zinc/79/34/82/599793482.db2.gz JBRPHNBMDAAMCX-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CCC(CC(=O)[O-])CC2)CCO1 ZINC000736808212 599793483 /nfs/dbraw/zinc/79/34/83/599793483.db2.gz JBRPHNBMDAAMCX-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H](NC(=S)NCCCC(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000736660870 599839131 /nfs/dbraw/zinc/83/91/31/599839131.db2.gz PHMLJNTWZMKIGC-GHMZBOCLSA-N 0 2 303.428 0.425 20 0 DCADLN CCOC[C@@H](O)CN1CC[NH+](Cc2cccc(C(=O)[O-])c2)CC1 ZINC000737161666 599920002 /nfs/dbraw/zinc/92/00/02/599920002.db2.gz IOFGXNLQPKREJP-INIZCTEOSA-N 0 2 322.405 0.900 20 0 DCADLN Cn1c[nH+]c2c1CCN(CC(=O)N1CCC(C(=O)[O-])CC1)C2 ZINC000738409637 599925433 /nfs/dbraw/zinc/92/54/33/599925433.db2.gz BUUFUKRUUDFSOT-UHFFFAOYSA-N 0 2 306.366 0.101 20 0 DCADLN Cc1cccc(C)c1OCC[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000738688928 599983198 /nfs/dbraw/zinc/98/31/98/599983198.db2.gz MKXURLDVIZTYIR-CYBMUJFWSA-N 0 2 306.362 0.957 20 0 DCADLN Cc1cccc(C)c1OCC[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000738688928 599983200 /nfs/dbraw/zinc/98/32/00/599983200.db2.gz MKXURLDVIZTYIR-CYBMUJFWSA-N 0 2 306.362 0.957 20 0 DCADLN CC(C)c1[nH+]c2c(n1C)CCN([C@H](C)C(=O)NCC(=O)[O-])C2 ZINC000736525587 600001063 /nfs/dbraw/zinc/00/10/63/600001063.db2.gz YPUWIRRPTAKBOU-SNVBAGLBSA-N 0 2 308.382 0.491 20 0 DCADLN CN(C)C(=O)CN1CC[NH+](Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC000737349915 600082956 /nfs/dbraw/zinc/08/29/56/600082956.db2.gz JIKGCWPKYNCFBH-UHFFFAOYSA-N 0 2 323.368 0.730 20 0 DCADLN COCC[N@H+](CCO)CC(=O)Nc1cc(C(=O)[O-])ccc1C ZINC000737799078 600437216 /nfs/dbraw/zinc/43/72/16/600437216.db2.gz IYEGANPKLCEQLL-UHFFFAOYSA-N 0 2 310.350 0.572 20 0 DCADLN COCC[N@@H+](CCO)CC(=O)Nc1cc(C(=O)[O-])ccc1C ZINC000737799078 600437217 /nfs/dbraw/zinc/43/72/17/600437217.db2.gz IYEGANPKLCEQLL-UHFFFAOYSA-N 0 2 310.350 0.572 20 0 DCADLN COC(=O)[C@H]1CCC[N@@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737584072 600440133 /nfs/dbraw/zinc/44/01/33/600440133.db2.gz ITMVKKNANVWPJI-GXTWGEPZSA-N 0 2 323.345 0.762 20 0 DCADLN COC(=O)[C@H]1CCC[N@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737584072 600440136 /nfs/dbraw/zinc/44/01/36/600440136.db2.gz ITMVKKNANVWPJI-GXTWGEPZSA-N 0 2 323.345 0.762 20 0 DCADLN O=C([O-])CCCCNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000821519008 600744506 /nfs/dbraw/zinc/74/45/06/600744506.db2.gz FJAGZVOLARDOJF-CYBMUJFWSA-N 0 2 313.398 0.748 20 0 DCADLN O=C([O-])c1ccsc1NC(=O)C(=O)N1CC[NH+](C2CC2)CC1 ZINC000833161910 600883224 /nfs/dbraw/zinc/88/32/24/600883224.db2.gz GJKRLPQKICBBRQ-UHFFFAOYSA-N 0 2 323.374 0.691 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000826126767 601044315 /nfs/dbraw/zinc/04/43/15/601044315.db2.gz IENRNFJFOYUXKN-ZDUSSCGKSA-N 0 2 323.368 0.833 20 0 DCADLN Cc1[nH]c(C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)c(C)c1C(=O)[O-] ZINC000738158897 601085149 /nfs/dbraw/zinc/08/51/49/601085149.db2.gz IZKRPLCPVRGYHR-LBPRGKRZSA-N 0 2 321.377 0.876 20 0 DCADLN CCc1nnsc1C(=O)N1CC[NH+]([C@@H](C)CC(=O)[O-])CC1 ZINC000830339051 601104592 /nfs/dbraw/zinc/10/45/92/601104592.db2.gz KDFKAPNQPXKSQX-VIFPVBQESA-N 0 2 312.395 0.722 20 0 DCADLN C[C@H]1CN(C(=O)c2cccc(C(=O)[O-])n2)C[C@H]1[NH+]1CCOCC1 ZINC000736807234 601109343 /nfs/dbraw/zinc/10/93/43/601109343.db2.gz LWSIQMFUAFDHIW-SMDDNHRTSA-N 0 2 319.361 0.573 20 0 DCADLN Cc1cc(F)ccc1[C@@H](O)C[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000832890700 601112683 /nfs/dbraw/zinc/11/26/83/601112683.db2.gz YRSHVHYIGOZELO-STQMWFEESA-N 0 2 310.325 0.443 20 0 DCADLN Cc1cc(F)ccc1[C@@H](O)C[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000832890700 601112684 /nfs/dbraw/zinc/11/26/84/601112684.db2.gz YRSHVHYIGOZELO-STQMWFEESA-N 0 2 310.325 0.443 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@H+]2[C@@H]3CC[C@H]2CNC(=O)C3)c1 ZINC000833354767 601180809 /nfs/dbraw/zinc/18/08/09/601180809.db2.gz LATZYQVNMNIPJP-OLZOCXBDSA-N 0 2 317.345 0.676 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@@H+]2[C@@H]3CC[C@H]2CNC(=O)C3)c1 ZINC000833354767 601180811 /nfs/dbraw/zinc/18/08/11/601180811.db2.gz LATZYQVNMNIPJP-OLZOCXBDSA-N 0 2 317.345 0.676 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000827385955 601260208 /nfs/dbraw/zinc/26/02/08/601260208.db2.gz PNYACFMZYIREKB-LLVKDONJSA-N 0 2 313.379 0.251 20 0 DCADLN Cc1[nH]c2ccc(NC(=O)Cn3cc(C(=O)[O-])nn3)cc2[nH+]1 ZINC000833004434 601273690 /nfs/dbraw/zinc/27/36/90/601273690.db2.gz RKUNFYCWXMBCRP-UHFFFAOYSA-N 0 2 300.278 0.800 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+](C)Cc1ccc([S@](C)=O)cc1 ZINC000826000494 601385083 /nfs/dbraw/zinc/38/50/83/601385083.db2.gz PNYQWXWBOCDXCR-UZJPJQLHSA-N 0 2 312.391 0.445 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+](C)Cc1ccc([S@](C)=O)cc1 ZINC000826000494 601385084 /nfs/dbraw/zinc/38/50/84/601385084.db2.gz PNYQWXWBOCDXCR-UZJPJQLHSA-N 0 2 312.391 0.445 20 0 DCADLN COc1ccccc1CCNC(=O)C[N@H+](CC(=O)[O-])C1CC1 ZINC000832613215 601462430 /nfs/dbraw/zinc/46/24/30/601462430.db2.gz JPNBCCWSPHRCAN-UHFFFAOYSA-N 0 2 306.362 0.903 20 0 DCADLN COc1ccccc1CCNC(=O)C[N@@H+](CC(=O)[O-])C1CC1 ZINC000832613215 601462432 /nfs/dbraw/zinc/46/24/32/601462432.db2.gz JPNBCCWSPHRCAN-UHFFFAOYSA-N 0 2 306.362 0.903 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2C[C@H](C3CCCCC3)C[C@H]2C(=O)[O-])n1 ZINC000833090830 601541571 /nfs/dbraw/zinc/54/15/71/601541571.db2.gz FMYJDFXHTKHIRG-MNOVXSKESA-N 0 2 320.397 0.891 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2C[C@H](C3CCCCC3)C[C@H]2C(=O)[O-])n1 ZINC000833090830 601541574 /nfs/dbraw/zinc/54/15/74/601541574.db2.gz FMYJDFXHTKHIRG-MNOVXSKESA-N 0 2 320.397 0.891 20 0 DCADLN C/C(=C\CN1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1)C(=O)[O-] ZINC000825741753 601547490 /nfs/dbraw/zinc/54/74/90/601547490.db2.gz REBDJJMTZCTCME-GUVYXZIWSA-N 0 2 309.410 0.646 20 0 DCADLN C[C@H](CS(=O)(=O)NCCc1cn2c([nH+]1)CCCC2)C(=O)[O-] ZINC000827562586 601774527 /nfs/dbraw/zinc/77/45/27/601774527.db2.gz BWSMSFIUNRIMDZ-SNVBAGLBSA-N 0 2 315.395 0.402 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1CCCC(=O)c1ccccc1 ZINC000833206889 601844883 /nfs/dbraw/zinc/84/48/83/601844883.db2.gz CFFDSLHIPDYZDM-CYBMUJFWSA-N 0 2 304.346 0.925 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1CCCC(=O)c1ccccc1 ZINC000833206889 601844884 /nfs/dbraw/zinc/84/48/84/601844884.db2.gz CFFDSLHIPDYZDM-CYBMUJFWSA-N 0 2 304.346 0.925 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CCO[C@@H](Cn3cncn3)C2)s1 ZINC000833313753 601954685 /nfs/dbraw/zinc/95/46/85/601954685.db2.gz KFPHTLBADCAUAK-SNVBAGLBSA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CCO[C@@H](Cn3cncn3)C2)s1 ZINC000833313753 601954688 /nfs/dbraw/zinc/95/46/88/601954688.db2.gz KFPHTLBADCAUAK-SNVBAGLBSA-N 0 2 308.363 0.939 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CCSC[C@@H]1CCO)C(=O)[O-] ZINC000826785700 601959143 /nfs/dbraw/zinc/95/91/43/601959143.db2.gz BIBCSQZYZOVGPF-RYUDHWBXSA-N 0 2 318.439 0.402 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CCSC[C@@H]1CCO)C(=O)[O-] ZINC000826785700 601959146 /nfs/dbraw/zinc/95/91/46/601959146.db2.gz BIBCSQZYZOVGPF-RYUDHWBXSA-N 0 2 318.439 0.402 20 0 DCADLN C[C@@H](CC(=O)[O-])C(=O)N1CC[NH+](C[C@@H](O)c2ccccc2)CC1 ZINC000827262089 602150710 /nfs/dbraw/zinc/15/07/10/602150710.db2.gz FFEXSIVTSAJDFE-DZGCQCFKSA-N 0 2 320.389 0.975 20 0 DCADLN C[C@@H]1CCN(C(=O)Cn2cc(C(=O)[O-])nn2)C[C@H]1n1cc[nH+]c1 ZINC000828278942 602349464 /nfs/dbraw/zinc/34/94/64/602349464.db2.gz UASKQJZYCAFQCE-ZYHUDNBSSA-N 0 2 318.337 0.283 20 0 DCADLN O=C([O-])NCCC(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000739940549 602888734 /nfs/dbraw/zinc/88/87/34/602888734.db2.gz BMZWFPUHHOUYBQ-UHFFFAOYSA-N 0 2 307.350 0.694 20 0 DCADLN C[C@@H](CNC(=O)CN(CC1CC1)C(=O)[O-])[NH+]1CCN(C)CC1 ZINC000824921060 602927400 /nfs/dbraw/zinc/92/74/00/602927400.db2.gz BUQZBRIJRRYBTG-LBPRGKRZSA-N 0 2 312.414 0.129 20 0 DCADLN Cc1ccc(CC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000830290055 603512208 /nfs/dbraw/zinc/51/22/08/603512208.db2.gz YBMUUNWYAMCKQY-AWEZNQCLSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1ccc(CC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000830290055 603512212 /nfs/dbraw/zinc/51/22/12/603512212.db2.gz YBMUUNWYAMCKQY-AWEZNQCLSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1cc(C)n([C@H](C)C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000830016128 603519685 /nfs/dbraw/zinc/51/96/85/603519685.db2.gz BWDDXNCGHIMTAP-CHWSQXEVSA-N 0 2 323.397 0.471 20 0 DCADLN Cc1cc(C)n([C@H](C)C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000830016128 603519687 /nfs/dbraw/zinc/51/96/87/603519687.db2.gz BWDDXNCGHIMTAP-CHWSQXEVSA-N 0 2 323.397 0.471 20 0 DCADLN C[C@@H]1CSCC[N@@H+]1CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O ZINC000826093429 603604195 /nfs/dbraw/zinc/60/41/95/603604195.db2.gz DQSMZQDJVPANKQ-MNOVXSKESA-N 0 2 314.411 0.481 20 0 DCADLN C[C@@H]1CSCC[N@H+]1CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O ZINC000826093429 603604198 /nfs/dbraw/zinc/60/41/98/603604198.db2.gz DQSMZQDJVPANKQ-MNOVXSKESA-N 0 2 314.411 0.481 20 0 DCADLN C[C@H]1CSCC[N@@H+]1CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O ZINC000826093431 603604670 /nfs/dbraw/zinc/60/46/70/603604670.db2.gz DQSMZQDJVPANKQ-WDEREUQCSA-N 0 2 314.411 0.481 20 0 DCADLN C[C@H]1CSCC[N@H+]1CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O ZINC000826093431 603604674 /nfs/dbraw/zinc/60/46/74/603604674.db2.gz DQSMZQDJVPANKQ-WDEREUQCSA-N 0 2 314.411 0.481 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)NCCCNc1cccc[nH+]1 ZINC000831675990 603817815 /nfs/dbraw/zinc/81/78/15/603817815.db2.gz KIJGYCZDKLGWGG-QWRGUYRKSA-N 0 2 308.338 0.113 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@H](C)C(F)(F)F)c1=O ZINC000825796576 607656588 /nfs/dbraw/zinc/65/65/88/607656588.db2.gz IFNRPQZEFRKVQU-LURJTMIESA-N 0 2 318.259 0.408 20 0 DCADLN O=C([O-])N[C@@H]1CC[C@H](C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)C1 ZINC000832247329 603928727 /nfs/dbraw/zinc/92/87/27/603928727.db2.gz OWHMUXPIIMICAS-YNEHKIRRSA-N 0 2 311.382 0.356 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)CC1(NC(=O)[O-])CCC1 ZINC000825735036 604148204 /nfs/dbraw/zinc/14/82/04/604148204.db2.gz UALOUYGGKFYDIW-UHFFFAOYSA-N 0 2 313.398 0.794 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)CC1(NC(=O)[O-])CCC1 ZINC000825735036 604148208 /nfs/dbraw/zinc/14/82/08/604148208.db2.gz UALOUYGGKFYDIW-UHFFFAOYSA-N 0 2 313.398 0.794 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000317348060 604282240 /nfs/dbraw/zinc/28/22/40/604282240.db2.gz YPOXZFJQAKEDAW-SECBINFHSA-N 0 2 309.366 0.780 20 0 DCADLN O=C([O-])N1CCC[C@@H](C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000831974745 604301477 /nfs/dbraw/zinc/30/14/77/604301477.db2.gz YQNBSLVXBXYIGW-OLZOCXBDSA-N 0 2 311.382 0.310 20 0 DCADLN C[C@H]1CCN(C(=O)COCCNC(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000825937255 604324176 /nfs/dbraw/zinc/32/41/76/604324176.db2.gz HYVNUEWIHAKMBI-NWDGAFQWSA-N 0 2 310.354 0.577 20 0 DCADLN COCCN(CC(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000828971390 604327056 /nfs/dbraw/zinc/32/70/56/604327056.db2.gz XNSGKUMQVKNJFY-OLZOCXBDSA-N 0 2 324.381 0.919 20 0 DCADLN C[C@@]1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CCN(C(=O)[O-])C1 ZINC000825656938 604345005 /nfs/dbraw/zinc/34/50/05/604345005.db2.gz NKIDYTSXLSXDQQ-IUODEOHRSA-N 0 2 311.382 0.310 20 0 DCADLN COc1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000829277049 604398291 /nfs/dbraw/zinc/39/82/91/604398291.db2.gz MVEOXLJSVGIRPS-NSHDSACASA-N 0 2 308.338 0.114 20 0 DCADLN COc1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000829277049 604398294 /nfs/dbraw/zinc/39/82/94/604398294.db2.gz MVEOXLJSVGIRPS-NSHDSACASA-N 0 2 308.338 0.114 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])nn1C ZINC000824453006 604400660 /nfs/dbraw/zinc/40/06/60/604400660.db2.gz DNMCVVLASLRACP-NSHDSACASA-N 0 2 323.397 0.567 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])nn1C ZINC000824453006 604400662 /nfs/dbraw/zinc/40/06/62/604400662.db2.gz DNMCVVLASLRACP-NSHDSACASA-N 0 2 323.397 0.567 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2CC23CCOCC3)[C@H](CNC(=O)[O-])C1 ZINC000828496410 604407553 /nfs/dbraw/zinc/40/75/53/604407553.db2.gz VNPRIOIDSFHSAX-NEPJUHHUSA-N 0 2 311.382 0.213 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2CC23CCOCC3)[C@H](CNC(=O)[O-])C1 ZINC000828496410 604407554 /nfs/dbraw/zinc/40/75/54/604407554.db2.gz VNPRIOIDSFHSAX-NEPJUHHUSA-N 0 2 311.382 0.213 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccnc2Cl)[C@H](CNC(=O)[O-])C1 ZINC000828513044 604408096 /nfs/dbraw/zinc/40/80/96/604408096.db2.gz FYAJZQPTKMNCCB-SECBINFHSA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccnc2Cl)[C@H](CNC(=O)[O-])C1 ZINC000828513044 604408097 /nfs/dbraw/zinc/40/80/97/604408097.db2.gz FYAJZQPTKMNCCB-SECBINFHSA-N 0 2 312.757 0.759 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cc2ccc(O)cc2)[C@H](CNC(=O)[O-])C1 ZINC000828501505 604409061 /nfs/dbraw/zinc/40/90/61/604409061.db2.gz WOQBKASPAHMZGA-GFCCVEGCSA-N 0 2 307.350 0.345 20 0 DCADLN C[N@H+]1CCN(C(=O)Cc2ccc(O)cc2)[C@H](CNC(=O)[O-])C1 ZINC000828501505 604409064 /nfs/dbraw/zinc/40/90/64/604409064.db2.gz WOQBKASPAHMZGA-GFCCVEGCSA-N 0 2 307.350 0.345 20 0 DCADLN O=C([O-])CCc1csc(NC(=O)CC[NH+]2CCOCC2)n1 ZINC000833237697 604721478 /nfs/dbraw/zinc/72/14/78/604721478.db2.gz IJVFDMGYDOLWDO-UHFFFAOYSA-N 0 2 313.379 0.821 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[NH+]2CCN(C(=O)[C@@H]3CCCO3)CC2)O1 ZINC000833579786 604823385 /nfs/dbraw/zinc/82/33/85/604823385.db2.gz MUTDPHYDEFGLOO-JSGCOSHPSA-N 0 2 324.377 0.457 20 0 DCADLN C[C@@H](OC[C@H]1CCCO1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833534942 604900653 /nfs/dbraw/zinc/90/06/53/604900653.db2.gz ASHXVUXKQNSYKR-JLLWLGSASA-N 0 2 311.338 0.106 20 0 DCADLN C[C@@H](OC[C@H]1CCCO1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833534942 604900656 /nfs/dbraw/zinc/90/06/56/604900656.db2.gz ASHXVUXKQNSYKR-JLLWLGSASA-N 0 2 311.338 0.106 20 0 DCADLN C[N@@H+]1CCCN(S(=O)(=O)c2csnc2C(=O)[O-])CC1 ZINC000833698062 605007488 /nfs/dbraw/zinc/00/74/88/605007488.db2.gz XRINPHRLYBSGCG-UHFFFAOYSA-N 0 2 305.381 0.168 20 0 DCADLN C[N@H+]1CCCN(S(=O)(=O)c2csnc2C(=O)[O-])CC1 ZINC000833698062 605007492 /nfs/dbraw/zinc/00/74/92/605007492.db2.gz XRINPHRLYBSGCG-UHFFFAOYSA-N 0 2 305.381 0.168 20 0 DCADLN CC(C)C[C@@H]1NC(=O)N(CC[NH+]2CCN(C(=O)[O-])CC2)C1=O ZINC000833795178 605342929 /nfs/dbraw/zinc/34/29/29/605342929.db2.gz IKRMLKIIKNAGPD-NSHDSACASA-N 0 2 312.370 0.249 20 0 DCADLN O=C([O-])N1CC[C@@H](N(C(=O)NCCn2cc[nH+]c2)C2CC2)C1 ZINC000831928139 605600734 /nfs/dbraw/zinc/60/07/34/605600734.db2.gz JQWPHIXZQNFYJU-GFCCVEGCSA-N 0 2 307.354 0.809 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C[C@H]1CSCCN1C(=O)[O-] ZINC000825054919 605603794 /nfs/dbraw/zinc/60/37/94/605603794.db2.gz ICUFSKVUHKNXRJ-MNOVXSKESA-N 0 2 312.395 0.873 20 0 DCADLN O=C([O-])NCC1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC000834225461 605670133 /nfs/dbraw/zinc/67/01/33/605670133.db2.gz LDVZWGMWXRCEPG-LBPRGKRZSA-N 0 2 306.366 0.952 20 0 DCADLN CC(C)(C)[C@H](NC(=O)[O-])C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000823839142 605751233 /nfs/dbraw/zinc/75/12/33/605751233.db2.gz NESAMOKYLWJELG-VXGBXAGGSA-N 0 2 313.398 0.602 20 0 DCADLN O=C([O-])N1CC[C@H](CCNC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000834039717 605844196 /nfs/dbraw/zinc/84/41/96/605844196.db2.gz WMCWDWCXCCXFHD-RYUDHWBXSA-N 0 2 306.366 0.952 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@@H+]3CC[C@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831019129 605921874 /nfs/dbraw/zinc/92/18/74/605921874.db2.gz WNPYKTCDWZLYDB-WDEREUQCSA-N 0 2 307.354 0.257 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@H+]3CC[C@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831019129 605921879 /nfs/dbraw/zinc/92/18/79/605921879.db2.gz WNPYKTCDWZLYDB-WDEREUQCSA-N 0 2 307.354 0.257 20 0 DCADLN O=C([O-])NCCOCC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000834242818 605975410 /nfs/dbraw/zinc/97/54/10/605975410.db2.gz QFUSVJGJSXBVCR-LLVKDONJSA-N 0 2 308.338 0.061 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)[C@H]2CN(C(=O)[O-])CCO2)C1 ZINC000833955849 606082582 /nfs/dbraw/zinc/08/25/82/606082582.db2.gz USNLLTIQMBZAHV-WDEREUQCSA-N 0 2 308.338 0.115 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)[C@]2(F)CCN(C(=O)[O-])C2)C1 ZINC000833956225 606083960 /nfs/dbraw/zinc/08/39/60/606083960.db2.gz YEPFKOLEHPRHSV-YGRLFVJLSA-N 0 2 310.329 0.828 20 0 DCADLN Cc1c(NS(=O)(=O)c2cccc(-c3nn[nH]n3)c2)cnn1C ZINC000822128969 606765573 /nfs/dbraw/zinc/76/55/73/606765573.db2.gz GUHUQBSIASWXFW-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN CCc1nn(CC2(O)CCC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000821517048 606860149 /nfs/dbraw/zinc/86/01/49/606860149.db2.gz FYJUUNFYWNEJGB-UHFFFAOYSA-N 0 2 304.354 0.463 20 0 DCADLN O=S(=O)(Oc1cccc(F)c1-c1nn[nH]n1)c1c[nH]cn1 ZINC000826493450 607868137 /nfs/dbraw/zinc/86/81/37/607868137.db2.gz LDOLLUMMVCEKJA-UHFFFAOYSA-N 0 2 310.270 0.497 20 0 DCADLN CC(C)CCCSc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000824245409 609171429 /nfs/dbraw/zinc/17/14/29/609171429.db2.gz ZHNKTZZEYSELDI-UHFFFAOYSA-N 0 2 324.410 0.792 20 0 DCADLN Cc1nn(Cc2ccc(CO)cc2)c(=O)c(-c2nn[nH]n2)c1C ZINC000826334578 609419137 /nfs/dbraw/zinc/41/91/37/609419137.db2.gz ANEJCSYBZUJIOP-UHFFFAOYSA-N 0 2 312.333 0.581 20 0 DCADLN CC[C@@H](C(=O)N1CCC[C@H]([N@H+](C)Cc2cn(C)nn2)C1)[NH+](C)C ZINC001027578808 660468476 /nfs/dbraw/zinc/46/84/76/660468476.db2.gz UZKRSYSQIXJMTP-GJZGRUSLSA-N 0 2 322.457 0.578 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cnon1 ZINC000978248091 660506104 /nfs/dbraw/zinc/50/61/04/660506104.db2.gz IQXMZJZCCAZHTC-POYBYMJQSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnon1 ZINC000978248091 660506106 /nfs/dbraw/zinc/50/61/06/660506106.db2.gz IQXMZJZCCAZHTC-POYBYMJQSA-N 0 2 324.234 0.643 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccsc1 ZINC001027743526 660688892 /nfs/dbraw/zinc/68/88/92/660688892.db2.gz KNMCUBZOMPFVFF-SNVBAGLBSA-N 0 2 307.379 0.966 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccsc1 ZINC001027743526 660688894 /nfs/dbraw/zinc/68/88/94/660688894.db2.gz KNMCUBZOMPFVFF-SNVBAGLBSA-N 0 2 307.379 0.966 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cccc(=O)[nH]1 ZINC001027810669 660766658 /nfs/dbraw/zinc/76/66/58/660766658.db2.gz QXLNZWJTNTVZHC-VIFPVBQESA-N 0 2 318.337 0.005 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cccc(=O)[nH]1 ZINC001027810669 660766660 /nfs/dbraw/zinc/76/66/60/660766660.db2.gz QXLNZWJTNTVZHC-VIFPVBQESA-N 0 2 318.337 0.005 20 0 DCADLN Cc1noc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001027957445 660971272 /nfs/dbraw/zinc/97/12/72/660971272.db2.gz YFSCKGDCJZXGCF-JTQLQIEISA-N 0 2 320.353 0.510 20 0 DCADLN O=C(CC[C@H]1CCCO1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980734416 660992368 /nfs/dbraw/zinc/99/23/68/660992368.db2.gz JAMNRHPJTFILLR-GFCCVEGCSA-N 0 2 323.397 0.504 20 0 DCADLN O=C(C1=COCCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980818782 661017569 /nfs/dbraw/zinc/01/75/69/661017569.db2.gz LGZVPISJKPMPGI-UHFFFAOYSA-N 0 2 307.354 0.239 20 0 DCADLN CN1C[C@@H](C(=O)Nc2nccc(Br)c2O)NC1=O ZINC000913025673 664255898 /nfs/dbraw/zinc/25/58/98/664255898.db2.gz XDKNMSPTSPMDRA-LURJTMIESA-N 0 2 315.127 0.512 20 0 DCADLN Cn1cc(CN2CCCN(C(=O)C(F)C(F)(F)F)CC2)nn1 ZINC000981209094 661101474 /nfs/dbraw/zinc/10/14/74/661101474.db2.gz FEJASDBZSAERRG-SNVBAGLBSA-N 0 2 323.294 0.750 20 0 DCADLN Cn1cc(CN2CCCN(C(=O)[C@@H](F)C(F)(F)F)CC2)nn1 ZINC000981209094 661101475 /nfs/dbraw/zinc/10/14/75/661101475.db2.gz FEJASDBZSAERRG-SNVBAGLBSA-N 0 2 323.294 0.750 20 0 DCADLN C[C@H]1CCC[N@H+]1CC(=O)N1CCC[NH+]([C@@H]2CCN(C)C2=O)CC1 ZINC000981953467 661254559 /nfs/dbraw/zinc/25/45/59/661254559.db2.gz OBGYMBLVZWVMOY-LSDHHAIUSA-N 0 2 322.453 0.236 20 0 DCADLN Cc1nccnc1C[N@H+]1CCC[C@@H]1CNC(=O)c1nnc[nH]1 ZINC001028211326 661279447 /nfs/dbraw/zinc/27/94/47/661279447.db2.gz NCULOWXFLYVHLO-LLVKDONJSA-N 0 2 301.354 0.298 20 0 DCADLN CCc1oncc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982056262 661284598 /nfs/dbraw/zinc/28/45/98/661284598.db2.gz GBTMNASRJMSUQK-UHFFFAOYSA-N 0 2 320.353 0.409 20 0 DCADLN C[C@@]1(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)CCOC1 ZINC000998430145 665453998 /nfs/dbraw/zinc/45/39/98/665453998.db2.gz UJOHRNBBPWBBNR-GZMMTYOYSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@]1(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)CCOC1 ZINC000998430145 665453999 /nfs/dbraw/zinc/45/39/99/665453999.db2.gz UJOHRNBBPWBBNR-GZMMTYOYSA-N 0 2 312.263 0.640 20 0 DCADLN COC1(C(F)(F)F)CN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000889075780 657603495 /nfs/dbraw/zinc/60/34/95/657603495.db2.gz ZQPRCWZYIWEJHI-YFKPBYRVSA-N 0 2 311.285 0.333 20 0 DCADLN CN(C(=O)c1cnco1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954873355 657613877 /nfs/dbraw/zinc/61/38/77/657613877.db2.gz ZZQDDGITVJOZRT-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)c1cnco1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954873355 657613891 /nfs/dbraw/zinc/61/38/91/657613891.db2.gz ZZQDDGITVJOZRT-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN CC1(C)C(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C1(C)C ZINC001010471036 657683373 /nfs/dbraw/zinc/68/33/73/657683373.db2.gz MOLQCNKPYYGEAG-VIFPVBQESA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](NC(=O)c1cscn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969398701 657802217 /nfs/dbraw/zinc/80/22/17/657802217.db2.gz JLMNPNJINRSFOR-ZETCQYMHSA-N 0 2 308.367 0.217 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969583487 658005456 /nfs/dbraw/zinc/00/54/56/658005456.db2.gz JYJUVVIMCQHPTB-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969606167 658017579 /nfs/dbraw/zinc/01/75/79/658017579.db2.gz QCWSQHAUTILNRR-SECBINFHSA-N 0 2 319.365 0.970 20 0 DCADLN CC(C)n1nccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038291432 658181176 /nfs/dbraw/zinc/18/11/76/658181176.db2.gz VHTQSPOFFYWAJE-SNVBAGLBSA-N 0 2 319.369 0.292 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccccn1 ZINC000972753225 658383826 /nfs/dbraw/zinc/38/38/26/658383826.db2.gz MEAMPOVOKFMPRF-LLVKDONJSA-N 0 2 316.365 0.546 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N(C)C1CC([NH2+]Cc2cn(C)nn2)C1 ZINC000999126642 665579854 /nfs/dbraw/zinc/57/98/54/665579854.db2.gz PCFVOFPCBIOTMS-UHFFFAOYSA-N 0 2 317.397 0.099 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cocn1 ZINC000972863421 658486439 /nfs/dbraw/zinc/48/64/39/658486439.db2.gz GYIWSFLDQAVQGA-VIFPVBQESA-N 0 2 306.326 0.139 20 0 DCADLN Cc1cc(NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])n(-c2ccc(C)nn2)n1 ZINC000085769929 658496644 /nfs/dbraw/zinc/49/66/44/658496644.db2.gz HEEDMKDTPKYPLO-NXEZZACHSA-N 0 2 302.294 0.883 20 0 DCADLN O=C(CC1=CCOCC1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000999137524 665587982 /nfs/dbraw/zinc/58/79/82/665587982.db2.gz VJDKFFLBBSSNFG-LLVKDONJSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(CC1=CCOCC1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000999137524 665587984 /nfs/dbraw/zinc/58/79/84/665587984.db2.gz VJDKFFLBBSSNFG-LLVKDONJSA-N 0 2 324.274 0.951 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)on1 ZINC001024320754 658559946 /nfs/dbraw/zinc/55/99/46/658559946.db2.gz WSUOXTATDPFEOB-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024435583 658619927 /nfs/dbraw/zinc/61/99/27/658619927.db2.gz YKZHVMQXFZNMHZ-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1CCCO)c1c[nH]cc2ncnc1-2 ZINC001024451347 658628521 /nfs/dbraw/zinc/62/85/21/658628521.db2.gz CILVFHQIIZXQHN-LBPRGKRZSA-N 0 2 317.393 0.925 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cnco1 ZINC001024582803 658735068 /nfs/dbraw/zinc/73/50/68/658735068.db2.gz FNNZCSSVQFDOAJ-SECBINFHSA-N 0 2 306.326 0.283 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC12CC2 ZINC001024682308 658769329 /nfs/dbraw/zinc/76/93/29/658769329.db2.gz YUVNNAPJAMVBTA-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC12CC2 ZINC001024682308 658769336 /nfs/dbraw/zinc/76/93/36/658769336.db2.gz YUVNNAPJAMVBTA-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN CC(C)[N@H+]1CCCC[C@@H]1C(=O)NC[C@H]1CCCC[NH+]1CC(N)=O ZINC001024692422 658773433 /nfs/dbraw/zinc/77/34/33/658773433.db2.gz KMYLKVKCLYNPMX-HUUCEWRRSA-N 0 2 324.469 0.705 20 0 DCADLN CC(F)(F)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001022905912 658788786 /nfs/dbraw/zinc/78/87/86/658788786.db2.gz IOJYMMHJLWEERI-HSUXUTPPSA-N 0 2 322.205 0.230 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240389 658894310 /nfs/dbraw/zinc/89/43/10/658894310.db2.gz SIXBNNWNWWFZIC-SECBINFHSA-N 0 2 322.262 0.903 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011240389 658894316 /nfs/dbraw/zinc/89/43/16/658894316.db2.gz SIXBNNWNWWFZIC-SECBINFHSA-N 0 2 322.262 0.903 20 0 DCADLN Cc1cccc(S(=O)(=O)N2CC[NH+](CC(=O)[O-])CC2)c1F ZINC000313203059 659080793 /nfs/dbraw/zinc/08/07/93/659080793.db2.gz CNRIPIJYUAMBOX-UHFFFAOYSA-N 0 2 316.354 0.525 20 0 DCADLN CCN(C)C(=O)CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872477907 667901390 /nfs/dbraw/zinc/90/13/90/667901390.db2.gz NZWRTBYVODGREA-SFHVURJKSA-N 0 2 313.445 0.195 20 0 DCADLN C[C@@H]1CCCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949930940 659244026 /nfs/dbraw/zinc/24/40/26/659244026.db2.gz UCZJQZJODJQJSK-VXGBXAGGSA-N 0 2 307.398 0.981 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N(C)[C@H]1CCC[N@@H+](C)C1)[NH+]1CCOCC1 ZINC000892838362 659480214 /nfs/dbraw/zinc/48/02/14/659480214.db2.gz MZJCALFAZWAECK-ZNMIVQPWSA-N 0 2 312.458 0.831 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)C=C3CCC3)C[C@H]21 ZINC000976927742 659498048 /nfs/dbraw/zinc/49/80/48/659498048.db2.gz QNFSDEIXWOCLKT-LAQFHYBYSA-N 0 2 314.389 0.944 20 0 DCADLN Cc1nc(C(=O)NC(C)(C)C[NH+]2CCOCC2)ccc1C(=O)[O-] ZINC000308600446 659563409 /nfs/dbraw/zinc/56/34/09/659563409.db2.gz FMZJBJAWZCRCBD-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000974581584 659585167 /nfs/dbraw/zinc/58/51/67/659585167.db2.gz ZZIMYNWHGDIBQH-SECBINFHSA-N 0 2 319.369 0.182 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)N1CC[C@H]([NH2+]Cc2nonc2C)C1 ZINC001019820756 659619567 /nfs/dbraw/zinc/61/95/67/659619567.db2.gz XLQCIOXZLNWWKR-DZGCQCFKSA-N 0 2 321.425 0.943 20 0 DCADLN C[C@H](c1ncccn1)[N@@H+]1C[C@H](NC(=O)c2cnn[n-]2)C(C)(C)C1 ZINC000974782592 659665194 /nfs/dbraw/zinc/66/51/94/659665194.db2.gz GEHFHBBYERPLMD-PWSUYJOCSA-N 0 2 315.381 0.796 20 0 DCADLN C[C@H](c1ncccn1)[N@H+]1C[C@H](NC(=O)c2cnn[n-]2)C(C)(C)C1 ZINC000974782592 659665200 /nfs/dbraw/zinc/66/52/00/659665200.db2.gz GEHFHBBYERPLMD-PWSUYJOCSA-N 0 2 315.381 0.796 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@]1(C)CCOC1 ZINC000977398244 659677541 /nfs/dbraw/zinc/67/75/41/659677541.db2.gz FGTWYEFLJDGZMA-BMIGLBTASA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000999996889 665727696 /nfs/dbraw/zinc/72/76/96/665727696.db2.gz VCMCNFRUYRSLKR-YEPSODPASA-N 0 2 312.263 0.639 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000999996889 665727697 /nfs/dbraw/zinc/72/76/97/665727697.db2.gz VCMCNFRUYRSLKR-YEPSODPASA-N 0 2 312.263 0.639 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2CCCN(C(=O)C[N@@H+]3CC[C@H](C)C3)C2)o1 ZINC001000191888 665770212 /nfs/dbraw/zinc/77/02/12/665770212.db2.gz KVZYHDJYDFYSEN-GXTWGEPZSA-N 0 2 321.425 0.800 20 0 DCADLN CO[C@@]1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCSC1 ZINC000928496690 662046907 /nfs/dbraw/zinc/04/69/07/662046907.db2.gz BRVFXIXGSCDYMK-WRWORJQWSA-N 0 2 303.409 0.181 20 0 DCADLN Cn1cccc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029215452 662052863 /nfs/dbraw/zinc/05/28/63/662052863.db2.gz NXVSHQLIXLNAGD-PHIMTYICSA-N 0 2 316.365 0.338 20 0 DCADLN C[C@H]1CO[C@@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)C1 ZINC001029399507 662140089 /nfs/dbraw/zinc/14/00/89/662140089.db2.gz QOSVFDJSRWXMHR-WISYIIOYSA-N 0 2 321.381 0.111 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)N[C@H]1CCN(C2CCC2)C1=O ZINC000867569900 662142612 /nfs/dbraw/zinc/14/26/12/662142612.db2.gz ZRYIZFFPSDPDSZ-APBUJDDRSA-N 0 2 323.440 0.092 20 0 DCADLN O=C([O-])C1(CNC(=O)c2cccc3[nH+]ccn32)CCOCC1 ZINC000909522017 662186667 /nfs/dbraw/zinc/18/66/67/662186667.db2.gz QWXRVEZBYOJPLX-UHFFFAOYSA-N 0 2 303.318 0.946 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccc[nH]1 ZINC001000448417 665845010 /nfs/dbraw/zinc/84/50/10/665845010.db2.gz QBELVMPEMHVYQO-UHFFFAOYSA-N 0 2 302.338 0.401 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccc[nH]1 ZINC001000448417 665845012 /nfs/dbraw/zinc/84/50/12/665845012.db2.gz QBELVMPEMHVYQO-UHFFFAOYSA-N 0 2 302.338 0.401 20 0 DCADLN O=C(NOCc1ccccn1)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC000909547213 662348643 /nfs/dbraw/zinc/34/86/43/662348643.db2.gz XCYMTBCTYHASOK-MCYUEQNJSA-N 0 2 304.302 0.350 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)[C@@H]3CCc4[nH+]ccn4C3)C[C@H]1COCC2 ZINC000909562691 662367279 /nfs/dbraw/zinc/36/72/79/662367279.db2.gz OJLHGRFKLXMMOL-BFQNTYOBSA-N 0 2 319.361 0.395 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H](C[N@@H+](C)Cc3cnon3)C2)c1[O-] ZINC001029707763 662455637 /nfs/dbraw/zinc/45/56/37/662455637.db2.gz GJCBGSWMNQTZLW-SNVBAGLBSA-N 0 2 320.353 0.401 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H](C[N@H+](C)Cc3cnon3)C2)c1[O-] ZINC001029707763 662455638 /nfs/dbraw/zinc/45/56/38/662455638.db2.gz GJCBGSWMNQTZLW-SNVBAGLBSA-N 0 2 320.353 0.401 20 0 DCADLN CC[C@@H](C(=O)NCCOCC(=O)[O-])[N@@H+]1CCO[C@@H](CC)C1 ZINC000909636633 662489069 /nfs/dbraw/zinc/48/90/69/662489069.db2.gz JATAAIIAULUZFI-RYUDHWBXSA-N 0 2 302.371 0.093 20 0 DCADLN CC[C@@H](C(=O)NCCOCC(=O)[O-])[N@H+]1CCO[C@@H](CC)C1 ZINC000909636633 662489070 /nfs/dbraw/zinc/48/90/70/662489070.db2.gz JATAAIIAULUZFI-RYUDHWBXSA-N 0 2 302.371 0.093 20 0 DCADLN COC[C@H](NC(=O)c1ccc(Cn2cc[nH+]c2)cc1)C(=O)[O-] ZINC000909656892 662518461 /nfs/dbraw/zinc/51/84/61/662518461.db2.gz FAXBTJUFRRKXTG-ZDUSSCGKSA-N 0 2 303.318 0.761 20 0 DCADLN COC[C@@H](NC(=O)c1cccc(Cn2cc[nH+]c2)c1)C(=O)[O-] ZINC000909661662 662544330 /nfs/dbraw/zinc/54/43/30/662544330.db2.gz KKKBDPFPQMDPEL-CYBMUJFWSA-N 0 2 303.318 0.761 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CCN(C(=O)CCc3nc[nH]n3)[C@@H]2C)on1 ZINC000986119172 662557698 /nfs/dbraw/zinc/55/76/98/662557698.db2.gz WROAIVGMVRHTHB-YPMHNXCESA-N 0 2 318.381 0.813 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)CC[C@H]1NC(=O)c1cnco1 ZINC000940928686 665878188 /nfs/dbraw/zinc/87/81/88/665878188.db2.gz IRVVZNPYKNBPLZ-SNVBAGLBSA-N 0 2 320.353 0.529 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC[C@H]1NC(=O)c1cnco1 ZINC000940928686 665878190 /nfs/dbraw/zinc/87/81/90/665878190.db2.gz IRVVZNPYKNBPLZ-SNVBAGLBSA-N 0 2 320.353 0.529 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]n1)C1CCN(C(=O)c2ccn[nH]2)CC1 ZINC000986787885 662618086 /nfs/dbraw/zinc/61/80/86/662618086.db2.gz QZUUWJNIEOISEZ-VIFPVBQESA-N 0 2 317.353 0.199 20 0 DCADLN C[C@H](NC(=O)c1cnn[nH]1)C1CCN(C(=O)c2cc[nH]n2)CC1 ZINC000986787885 662618088 /nfs/dbraw/zinc/61/80/88/662618088.db2.gz QZUUWJNIEOISEZ-VIFPVBQESA-N 0 2 317.353 0.199 20 0 DCADLN C[C@@H]1[C@@H]([NH2+]Cc2cnn(C)c2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000987068193 662641061 /nfs/dbraw/zinc/64/10/61/662641061.db2.gz OACAJSKHHQEIDG-RISCZKNCSA-N 0 2 302.382 0.465 20 0 DCADLN C[C@@H](CN(C)c1cc(N2CCCC[C@@H]2CO)nc[nH+]1)C(=O)[O-] ZINC000263565560 662718207 /nfs/dbraw/zinc/71/82/07/662718207.db2.gz VUIPQCXCVUEGFO-NWDGAFQWSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@@H](CN(C)c1cc(N2CCCC[C@@H]2CO)[nH+]cn1)C(=O)[O-] ZINC000263565560 662718208 /nfs/dbraw/zinc/71/82/08/662718208.db2.gz VUIPQCXCVUEGFO-NWDGAFQWSA-N 0 2 308.382 0.985 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC(F)(F)C1 ZINC001007059445 662930443 /nfs/dbraw/zinc/93/04/43/662930443.db2.gz SQCWEOQVNVZHIL-SECBINFHSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1cc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c2nccn2c1 ZINC000899040304 663119041 /nfs/dbraw/zinc/11/90/41/663119041.db2.gz NVMGQCQSQFBJSC-SECBINFHSA-N 0 2 301.306 0.570 20 0 DCADLN Cc1[nH+]c(C(=O)[N-]N2C(=O)[C@H](C)N(C)C2=O)c2ccccn12 ZINC000899030884 663119538 /nfs/dbraw/zinc/11/95/38/663119538.db2.gz HRIFAWWNRIDPIL-QMMMGPOBSA-N 0 2 301.306 0.570 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000900941790 663296191 /nfs/dbraw/zinc/29/61/91/663296191.db2.gz VMXADHNHOKYFAC-TUAOUCFPSA-N 0 2 306.366 0.737 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000900941790 663296192 /nfs/dbraw/zinc/29/61/92/663296192.db2.gz VMXADHNHOKYFAC-TUAOUCFPSA-N 0 2 306.366 0.737 20 0 DCADLN C[C@H](NC(=O)[C@H](C)[NH2+]Cc1nccn1-c1ccccc1)C(=O)[O-] ZINC000901741645 663365801 /nfs/dbraw/zinc/36/58/01/663365801.db2.gz NUIPZAOURQLJBV-RYUDHWBXSA-N 0 2 316.361 0.940 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC000901968566 663376401 /nfs/dbraw/zinc/37/64/01/663376401.db2.gz WGUWKZDNCRMLCO-TZNOJPMFSA-N 0 2 308.334 0.828 20 0 DCADLN COc1ccc(NC(=O)CON=C(N)CN(C)C)c(OC)c1 ZINC000902614253 663422164 /nfs/dbraw/zinc/42/21/64/663422164.db2.gz VTNJTQSEVMYDNS-UHFFFAOYSA-N 0 2 310.354 0.702 20 0 DCADLN CCN1C(=O)CN(CN2CCOC[C@H]2C[C@@H]2CCCO2)C1=O ZINC000902612318 663422216 /nfs/dbraw/zinc/42/22/16/663422216.db2.gz YNYGZJSBNDIZOE-OLZOCXBDSA-N 0 2 311.382 0.498 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccnn2[C@H]2CCOC2)cn1 ZINC000903667925 663473458 /nfs/dbraw/zinc/47/34/58/663473458.db2.gz HIJDODVQFPSZQV-JTQLQIEISA-N 0 2 311.367 0.862 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccnn1[C@H]1CCOC1 ZINC000903667194 663473465 /nfs/dbraw/zinc/47/34/65/663473465.db2.gz ZZCTZBYQQCESMX-JTQLQIEISA-N 0 2 311.367 0.862 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cnn1 ZINC000991035392 663492316 /nfs/dbraw/zinc/49/23/16/663492316.db2.gz QBIRHKPFSYKJDH-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)cnn1 ZINC000991035392 663492317 /nfs/dbraw/zinc/49/23/17/663492317.db2.gz QBIRHKPFSYKJDH-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN CCOCc1nc(C)cc(N2N=C(C)[C@H](NC(C)=O)C2=O)n1 ZINC000905022941 663526655 /nfs/dbraw/zinc/52/66/55/663526655.db2.gz HVQLKKNTBQXQGE-ZDUSSCGKSA-N 0 2 305.338 0.549 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccon1 ZINC001001079824 665992691 /nfs/dbraw/zinc/99/26/91/665992691.db2.gz DWKQJIKGPNUWAX-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccon1 ZINC001001079824 665992693 /nfs/dbraw/zinc/99/26/93/665992693.db2.gz DWKQJIKGPNUWAX-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN CN(C)c1cccnc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000908037193 663730185 /nfs/dbraw/zinc/73/01/85/663730185.db2.gz SDIDVCCZFKPTQP-JTQLQIEISA-N 0 2 316.365 0.991 20 0 DCADLN CO[C@@H]1CN(C(=O)NCCCCn2cc[nH+]c2)[C@](C)(C(=O)[O-])C1 ZINC000908862127 663772599 /nfs/dbraw/zinc/77/25/99/663772599.db2.gz LKTAVZBVMAMPIJ-WFASDCNBSA-N 0 2 324.381 0.937 20 0 DCADLN O=C([O-])C[C@H]1CSCCN1C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000909009284 663777499 /nfs/dbraw/zinc/77/74/99/663777499.db2.gz HANYZEMBQPUWOB-PWSUYJOCSA-N 0 2 309.391 0.864 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000909083006 663780586 /nfs/dbraw/zinc/78/05/86/663780586.db2.gz SBILDIFJOSDYHQ-QWRGUYRKSA-N 0 2 310.329 0.772 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000910239837 663858859 /nfs/dbraw/zinc/85/88/59/663858859.db2.gz FIYBIQQFKLKHCS-SGMGOOAPSA-N 0 2 321.377 0.832 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@H]2CCn3cc[nH+]c3C2)[C@](C)(C(=O)[O-])C1 ZINC000910265374 663861461 /nfs/dbraw/zinc/86/14/61/663861461.db2.gz QEBNIWLTAFRGMC-PGUXBMHVSA-N 0 2 307.350 0.536 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC[C@@]1(C(=O)[O-])CCCOC1 ZINC000910309452 663867506 /nfs/dbraw/zinc/86/75/06/663867506.db2.gz MNNLNQJYRYUWPV-HFAKWTLXSA-N 0 2 307.350 0.521 20 0 DCADLN CN(c1ccc(C(=O)[O-])c[nH+]1)C1CCN(S(C)(=O)=O)CC1 ZINC000910374997 663876438 /nfs/dbraw/zinc/87/64/38/663876438.db2.gz MRNWZXVOBJRUBI-UHFFFAOYSA-N 0 2 313.379 0.640 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNc1cc(N2CCSCC2)nc[nH+]1 ZINC000910481770 663891019 /nfs/dbraw/zinc/89/10/19/663891019.db2.gz BYGDGLNLRHZNCS-ZWNOBZJWSA-N 0 2 324.406 0.931 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNc1cc(N2CCSCC2)[nH+]cn1 ZINC000910481770 663891021 /nfs/dbraw/zinc/89/10/21/663891021.db2.gz BYGDGLNLRHZNCS-ZWNOBZJWSA-N 0 2 324.406 0.931 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)s1 ZINC000910817137 663941569 /nfs/dbraw/zinc/94/15/69/663941569.db2.gz SQDONXIDQQYSGG-MRVPVSSYSA-N 0 2 306.347 0.917 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2CCc3ncsc3C2)S1 ZINC000910833440 663943088 /nfs/dbraw/zinc/94/30/88/663943088.db2.gz TVXARDMQWWVANS-IMTBSYHQSA-N 0 2 310.404 0.673 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)CC2)[nH+]c1 ZINC000911169442 664000541 /nfs/dbraw/zinc/00/05/41/664000541.db2.gz HQPQSVAKFPFUMY-IMRBUKKESA-N 0 2 317.345 0.321 20 0 DCADLN CCC[C@](C)(O)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911169471 664000628 /nfs/dbraw/zinc/00/06/28/664000628.db2.gz IBZKUDVJZBWMSS-INIZCTEOSA-N 0 2 321.377 0.980 20 0 DCADLN C[NH+]1CCCC[C@@H]1CNC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000912454941 664177737 /nfs/dbraw/zinc/17/77/37/664177737.db2.gz QCOIPROTLFCSEW-CQSZACIVSA-N 0 2 312.458 0.881 20 0 DCADLN Cc1sc(=O)n(CC(=O)NNC(=O)c2ccccn2)c1C ZINC000055093167 664363541 /nfs/dbraw/zinc/36/35/41/664363541.db2.gz ZYTJRAWUXYUZLS-UHFFFAOYSA-N 0 2 306.347 0.383 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)N[C@H]1CC[NH+](CCN2CCCC2=O)C1 ZINC001014950625 664624278 /nfs/dbraw/zinc/62/42/78/664624278.db2.gz JVOARSILDINVQT-GJZGRUSLSA-N 0 2 322.453 0.284 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000913560782 664642197 /nfs/dbraw/zinc/64/21/97/664642197.db2.gz GJKRMSAXSRCDDG-XQHKEYJVSA-N 0 2 314.345 0.803 20 0 DCADLN CC(C)c1[nH]ccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030821953 664678307 /nfs/dbraw/zinc/67/83/07/664678307.db2.gz UJQCXNIQYXZWMQ-UHFFFAOYSA-N 0 2 304.354 0.576 20 0 DCADLN Cn1cc(Cl)cc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015197583 664718296 /nfs/dbraw/zinc/71/82/96/664718296.db2.gz BEWLFHVUDIRWLS-VIFPVBQESA-N 0 2 324.772 0.507 20 0 DCADLN CO[N-]C(=O)CNC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000913972073 664739880 /nfs/dbraw/zinc/73/98/80/664739880.db2.gz UCAVUHJOPDGVET-UHFFFAOYSA-N 0 2 317.349 0.408 20 0 DCADLN Cc1cccc([C@H](C)C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031025746 664758719 /nfs/dbraw/zinc/75/87/19/664758719.db2.gz IHIQGQVEFLBTLH-NSHDSACASA-N 0 2 315.377 0.923 20 0 DCADLN COc1cc(C)sc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031047052 664773433 /nfs/dbraw/zinc/77/34/33/664773433.db2.gz KHNXRDSIRGWWOE-UHFFFAOYSA-N 0 2 323.378 0.503 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccns1 ZINC000992892404 664782045 /nfs/dbraw/zinc/78/20/45/664782045.db2.gz XJFNZWMJFXZGHT-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccns1 ZINC000992892404 664782046 /nfs/dbraw/zinc/78/20/46/664782046.db2.gz XJFNZWMJFXZGHT-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cn2ccccc2n1 ZINC000730517691 664784406 /nfs/dbraw/zinc/78/44/06/664784406.db2.gz QILIPRKRXASTSL-UHFFFAOYSA-N 0 2 323.316 0.035 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1nc2c(s1)CCC2 ZINC000730518600 664784633 /nfs/dbraw/zinc/78/46/33/664784633.db2.gz NVDMCJFNUQGNKH-UHFFFAOYSA-N 0 2 316.346 0.655 20 0 DCADLN C[C@@H](Cc1cccs1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730525179 664785214 /nfs/dbraw/zinc/78/52/14/664785214.db2.gz JMHLAWXLWDPFGC-VIFPVBQESA-N 0 2 317.374 0.880 20 0 DCADLN CCc1nnc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000730537203 664791006 /nfs/dbraw/zinc/79/10/06/664791006.db2.gz PXIZNGPPHYBOCX-UHFFFAOYSA-N 0 2 305.323 0.124 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cnn(C)c2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993010123 664791487 /nfs/dbraw/zinc/79/14/87/664791487.db2.gz DWDJABFXDDXYFX-ONGXEEELSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccoc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993057349 664798342 /nfs/dbraw/zinc/79/83/42/664798342.db2.gz QVTOEVKJSMVXLV-GXSJLCMTSA-N 0 2 305.338 0.886 20 0 DCADLN COc1cccc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000730657433 664799379 /nfs/dbraw/zinc/79/93/79/664799379.db2.gz QTXMZHSTHLSDAC-UHFFFAOYSA-N 0 2 314.301 0.823 20 0 DCADLN Nc1cccc(-c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)c1 ZINC000731803253 664884782 /nfs/dbraw/zinc/88/47/82/664884782.db2.gz YFSHNPXMUAZKRM-UHFFFAOYSA-N 0 2 309.289 0.752 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000731864588 664887033 /nfs/dbraw/zinc/88/70/33/664887033.db2.gz YNJGEJIKCRNMIZ-UHFFFAOYSA-N 0 2 316.361 0.086 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(C(F)F)o1 ZINC001031325419 665083012 /nfs/dbraw/zinc/08/30/12/665083012.db2.gz WNSFQAOZYVXJSL-UHFFFAOYSA-N 0 2 313.264 0.655 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccn(C)n2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994427162 665105859 /nfs/dbraw/zinc/10/58/59/665105859.db2.gz JJMNWXSJMURHRH-NXEZZACHSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1nc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)sc1C ZINC001031407142 665138095 /nfs/dbraw/zinc/13/80/95/665138095.db2.gz OWVBWCRZRARZKN-UHFFFAOYSA-N 0 2 308.367 0.198 20 0 DCADLN Cc1ccc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031435445 665149841 /nfs/dbraw/zinc/14/98/41/665149841.db2.gz AKXYOUFLPFPAEO-UHFFFAOYSA-N 0 2 307.379 0.423 20 0 DCADLN CN(C)c1ccccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031474262 665165710 /nfs/dbraw/zinc/16/57/10/665165710.db2.gz FGCIRMIRAGIQQM-UHFFFAOYSA-N 0 2 316.365 0.191 20 0 DCADLN Cc1cc(C)c(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001031605037 665225652 /nfs/dbraw/zinc/22/56/52/665225652.db2.gz YAJZLFKBDVIHPB-UHFFFAOYSA-N 0 2 305.338 0.582 20 0 DCADLN CNC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000920953657 665285156 /nfs/dbraw/zinc/28/51/56/665285156.db2.gz MNHQSBHABGWGCZ-VIFPVBQESA-N 0 2 306.347 0.541 20 0 DCADLN CCc1ccoc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031952332 665999445 /nfs/dbraw/zinc/99/94/45/665999445.db2.gz HVJGRWRBDFCHEE-UHFFFAOYSA-N 0 2 305.338 0.528 20 0 DCADLN O=C(Cc1cnoc1)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000942238763 666133023 /nfs/dbraw/zinc/13/30/23/666133023.db2.gz RMRYKQLRCGEYEB-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000942238763 666133024 /nfs/dbraw/zinc/13/30/24/666133024.db2.gz RMRYKQLRCGEYEB-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC000942264794 666141952 /nfs/dbraw/zinc/14/19/52/666141952.db2.gz INSBBLZBJHNKSU-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cccnn1 ZINC000942264794 666141954 /nfs/dbraw/zinc/14/19/54/666141954.db2.gz INSBBLZBJHNKSU-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1ccc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)cn1 ZINC001002337873 666157333 /nfs/dbraw/zinc/15/73/33/666157333.db2.gz CZQYPPLVFXAQCX-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccoc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002346340 666159684 /nfs/dbraw/zinc/15/96/84/666159684.db2.gz GHOHJLBWQRGHCW-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1ncsc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002396262 666167123 /nfs/dbraw/zinc/16/71/23/666167123.db2.gz WYEAWSYUYBQMFT-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC000942502599 666180459 /nfs/dbraw/zinc/18/04/59/666180459.db2.gz VVHMSLHAEKOGBN-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC000942502599 666180460 /nfs/dbraw/zinc/18/04/60/666180460.db2.gz VVHMSLHAEKOGBN-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1cccnc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002555150 666189086 /nfs/dbraw/zinc/18/90/86/666189086.db2.gz DFVSHDYNXKSTAG-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN C[C@@H]1C[N@H+](Cc2nncn2C)CC[C@@H]1NC(=O)c1n[nH]cc1F ZINC000942599931 666198199 /nfs/dbraw/zinc/19/81/99/666198199.db2.gz HXHFHKAVHVGQGK-KOLCDFICSA-N 0 2 321.360 0.318 20 0 DCADLN CCc1[nH]ccc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002739351 666215212 /nfs/dbraw/zinc/21/52/12/666215212.db2.gz KMAFTLKPCGXVEP-UHFFFAOYSA-N 0 2 318.381 0.795 20 0 DCADLN C[C@@H]1CC[C@@H](CC(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001003556374 666327335 /nfs/dbraw/zinc/32/73/35/666327335.db2.gz NFBBEMHCLIBGHQ-PWSUYJOCSA-N 0 2 323.397 0.549 20 0 DCADLN CN(C(=O)C1CC(F)(F)C1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032991184 666419079 /nfs/dbraw/zinc/41/90/79/666419079.db2.gz CDYXGKVSPKZUNU-SECBINFHSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)C1CC(F)(F)C1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032991184 666419081 /nfs/dbraw/zinc/41/90/81/666419081.db2.gz CDYXGKVSPKZUNU-SECBINFHSA-N 0 2 315.324 0.588 20 0 DCADLN Cc1ccc(CC(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001033017529 666429537 /nfs/dbraw/zinc/42/95/37/666429537.db2.gz IIYVYLPYBRQKGR-LLVKDONJSA-N 0 2 319.365 0.687 20 0 DCADLN Cc1ccc(CC(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001033017529 666429538 /nfs/dbraw/zinc/42/95/38/666429538.db2.gz IIYVYLPYBRQKGR-LLVKDONJSA-N 0 2 319.365 0.687 20 0 DCADLN CCOC1CC(C(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001033052204 666467114 /nfs/dbraw/zinc/46/71/14/666467114.db2.gz UJQAUYPXVVKVDX-MOENNCHZSA-N 0 2 323.397 0.358 20 0 DCADLN CCOC1CC(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001033052204 666467117 /nfs/dbraw/zinc/46/71/17/666467117.db2.gz UJQAUYPXVVKVDX-MOENNCHZSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(c1ccc(F)cc1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032249847 666592968 /nfs/dbraw/zinc/59/29/68/666592968.db2.gz HGWDPWHMVXCDAQ-RYUDHWBXSA-N 0 2 317.324 0.748 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC001033520072 666740137 /nfs/dbraw/zinc/74/01/37/666740137.db2.gz AJVXUXJMLIRBTH-GFCCVEGCSA-N 0 2 316.365 0.560 20 0 DCADLN CCc1cc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001033593762 666766141 /nfs/dbraw/zinc/76/61/41/666766141.db2.gz QLZSNQYDUDFWHL-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN CN(C(=O)[C@H]1CC1(C)C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005262581 666783255 /nfs/dbraw/zinc/78/32/55/666783255.db2.gz CRXHMCIZUXZIBC-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN O=S(=O)(Nc1nc[nH]n1)c1c(Cl)nc2sccn21 ZINC000701544330 666853604 /nfs/dbraw/zinc/85/36/04/666853604.db2.gz AQIFHVLZCPXRDX-UHFFFAOYSA-N 0 2 304.744 0.968 20 0 DCADLN CN(C(=O)c1ccns1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005459859 666870478 /nfs/dbraw/zinc/87/04/78/666870478.db2.gz SGTWUZPPJGTYTQ-UHFFFAOYSA-N 0 2 322.394 0.703 20 0 DCADLN CCN(C(=O)c1nccs1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033999049 666891230 /nfs/dbraw/zinc/89/12/30/666891230.db2.gz KUOJKNDCIKXQHJ-SECBINFHSA-N 0 2 322.394 0.703 20 0 DCADLN O=C(C[C@@H]1CCCO1)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034117412 666926986 /nfs/dbraw/zinc/92/69/86/666926986.db2.gz MGBVRRIZZGJUIQ-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@@H]1CCCO1)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034117412 666926987 /nfs/dbraw/zinc/92/69/87/666926987.db2.gz MGBVRRIZZGJUIQ-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCCCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001034181541 666949521 /nfs/dbraw/zinc/94/95/21/666949521.db2.gz JJNWMLWFTMLEMR-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN CS[C@H]1CCC[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000735264454 666971202 /nfs/dbraw/zinc/97/12/02/666971202.db2.gz MSTHQZSHXNPZAQ-ZJUUUORDSA-N 0 2 321.406 0.862 20 0 DCADLN CNC(=O)C[NH+]1CCCC[C@@H](NC(=O)C[N@H+]2CC[C@@H](C)C2)C1 ZINC001034393924 667012187 /nfs/dbraw/zinc/01/21/87/667012187.db2.gz HZOAKPRPRRUCCW-ZIAGYGMSSA-N 0 2 310.442 0.045 20 0 DCADLN C[C@@]1(C(=O)N[C@@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001034466784 667030404 /nfs/dbraw/zinc/03/04/04/667030404.db2.gz IBTWREMRTBMLIK-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(C(=O)N[C@@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001034466784 667030406 /nfs/dbraw/zinc/03/04/06/667030406.db2.gz IBTWREMRTBMLIK-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN CCOC1CC(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)C1 ZINC001032388786 667149289 /nfs/dbraw/zinc/14/92/89/667149289.db2.gz GLBHMRARKAYWIQ-YECOWLKZSA-N 0 2 321.381 0.111 20 0 DCADLN CCOC(=O)CCCCOC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000740960355 667157945 /nfs/dbraw/zinc/15/79/45/667157945.db2.gz XHRNSVAEBHOMMJ-UHFFFAOYSA-N 0 2 322.321 0.348 20 0 DCADLN Cc1nnc(CN2C[C@@H]3C[C@H]2CN3C(=O)C(F)C(F)(F)F)[nH]1 ZINC001032416415 667216940 /nfs/dbraw/zinc/21/69/40/667216940.db2.gz FFTMSBPAJOHRMX-NRPADANISA-N 0 2 321.278 0.799 20 0 DCADLN O=C([O-])[C@]1(F)CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000864125107 667217443 /nfs/dbraw/zinc/21/74/43/667217443.db2.gz XHAGNGOOGHYVJA-WFASDCNBSA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])[C@]1(F)CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000864125107 667217445 /nfs/dbraw/zinc/21/74/45/667217445.db2.gz XHAGNGOOGHYVJA-WFASDCNBSA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])[C@@H](CC1CC1)NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000864132995 667218160 /nfs/dbraw/zinc/21/81/60/667218160.db2.gz XRUSOCGGHFPBDQ-ZIAGYGMSSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])[C@@H](CC1CC1)NC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000864132995 667218161 /nfs/dbraw/zinc/21/81/61/667218161.db2.gz XRUSOCGGHFPBDQ-ZIAGYGMSSA-N 0 2 310.394 0.999 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]1C[NH2+]Cc1ccnn1C ZINC001035114220 667245144 /nfs/dbraw/zinc/24/51/44/667245144.db2.gz VFWVJORNMMQIBX-CQSZACIVSA-N 0 2 316.409 0.775 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](C(=O)NCCc2n[nH]c(=S)o2)C1 ZINC000867483595 667407043 /nfs/dbraw/zinc/40/70/43/667407043.db2.gz DYKHLOUIGUKYBL-DTWKUNHWSA-N 0 2 313.379 0.996 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCN(c3cccc(O)c3)CC2)C1=O ZINC000923252782 667484616 /nfs/dbraw/zinc/48/46/16/667484616.db2.gz YKDNIECIDNQEMU-AWEZNQCLSA-N 0 2 319.361 0.200 20 0 DCADLN O=C(Cc1ncn[nH]1)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000868014884 667527400 /nfs/dbraw/zinc/52/74/00/667527400.db2.gz GTSIWGDOKWIZQX-UHFFFAOYSA-N 0 2 319.287 0.264 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(CC3CCCC3)c2)c1=O ZINC000868546865 667580733 /nfs/dbraw/zinc/58/07/33/667580733.db2.gz ZBBCUBHSOJUDKW-UHFFFAOYSA-N 0 2 304.354 0.835 20 0 DCADLN COc1cccc([C@H]2CN(C(=O)c3cc(=O)n(C)[n-]3)CC[NH2+]2)c1 ZINC000870153031 667715574 /nfs/dbraw/zinc/71/55/74/667715574.db2.gz GGHUWAZKYXOMNM-CQSZACIVSA-N 0 2 316.361 0.921 20 0 DCADLN O=C(Cc1cn2cc(F)ccc2[nH+]1)[N-]S(=O)(=O)CC(F)F ZINC000870476044 667740926 /nfs/dbraw/zinc/74/09/26/667740926.db2.gz YEAOBPZDXRZWEP-UHFFFAOYSA-N 0 2 321.280 0.727 20 0 DCADLN CN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@H]1CCCCN(C)C1=O ZINC000870607422 667746834 /nfs/dbraw/zinc/74/68/34/667746834.db2.gz ZOKFFTXMSYZWTO-IUCAKERBSA-N 0 2 312.395 0.012 20 0 DCADLN Cn1c(CNC(=O)C[C@H]2SC(=N)NC2=O)nc2ccccc21 ZINC000742489179 667792497 /nfs/dbraw/zinc/79/24/97/667792497.db2.gz OLVICQZFEPVEMJ-SNVBAGLBSA-N 0 2 317.374 0.746 20 0 DCADLN COCCOc1ccc(NC(=O)CC2SC(=N)NC2=O)cn1 ZINC000742533942 667792999 /nfs/dbraw/zinc/79/29/99/667792999.db2.gz YZMYELUJBCUNAU-VIFPVBQESA-N 0 2 324.362 0.602 20 0 DCADLN Cc1noc([C@@H]2CCCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)n1 ZINC000742568231 667793823 /nfs/dbraw/zinc/79/38/23/667793823.db2.gz XZHWHLSIRPXGJN-RKDXNWHRSA-N 0 2 323.378 0.640 20 0 DCADLN CN(Cc1cccc(C(N)=O)c1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742568658 667794014 /nfs/dbraw/zinc/79/40/14/667794014.db2.gz CPBOTGCAUAZIOC-SNVBAGLBSA-N 0 2 320.374 0.300 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC2CCN(CC(F)F)CC2)S1 ZINC000742599507 667794474 /nfs/dbraw/zinc/79/44/74/667794474.db2.gz XDPKRPWENCSQFM-MRVPVSSYSA-N 0 2 320.365 0.389 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](N3CCCC3=O)C2)S1 ZINC000742663281 667796413 /nfs/dbraw/zinc/79/64/13/667796413.db2.gz FKTPFHFWWQBIGC-VHSXEESVSA-N 0 2 324.406 0.156 20 0 DCADLN CCC(CC)(NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000742833581 667799835 /nfs/dbraw/zinc/79/98/35/667799835.db2.gz NSITXLOALSUYPX-ZETCQYMHSA-N 0 2 301.368 0.391 20 0 DCADLN CCC(CC)(NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000742833580 667799855 /nfs/dbraw/zinc/79/98/55/667799855.db2.gz NSITXLOALSUYPX-SSDOTTSWSA-N 0 2 301.368 0.391 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)CC1(C)OCCO1 ZINC000744590615 667829107 /nfs/dbraw/zinc/82/91/07/667829107.db2.gz XLMOZPZMZBPEEI-IUCAKERBSA-N 0 2 315.395 0.448 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@H+](Cc1nnnn1C)CC2 ZINC000872166769 667870662 /nfs/dbraw/zinc/87/06/62/667870662.db2.gz QTQZFBBWKFHDOU-UHFFFAOYSA-N 0 2 303.322 0.475 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@@H+](Cc1nnnn1C)CC2 ZINC000872166769 667870666 /nfs/dbraw/zinc/87/06/66/667870666.db2.gz QTQZFBBWKFHDOU-UHFFFAOYSA-N 0 2 303.322 0.475 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCC[C@@H]1CCCC1=O ZINC000748189297 667921048 /nfs/dbraw/zinc/92/10/48/667921048.db2.gz TVPBQYONZCEVJL-VIFPVBQESA-N 0 2 304.306 0.374 20 0 DCADLN C[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccncc1 ZINC000749476694 667956069 /nfs/dbraw/zinc/95/60/69/667956069.db2.gz SITUXHBEOXQXHR-SNVBAGLBSA-N 0 2 312.333 0.386 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CC(=O)[N-]OCCOc1ccccc1 ZINC000889448176 667967460 /nfs/dbraw/zinc/96/74/60/667967460.db2.gz TVVNGVHSRGUTSU-UHFFFAOYSA-N 0 2 323.393 0.570 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)CC(=O)[N-]OCCOc1ccccc1 ZINC000889448176 667967463 /nfs/dbraw/zinc/96/74/63/667967463.db2.gz TVVNGVHSRGUTSU-UHFFFAOYSA-N 0 2 323.393 0.570 20 0 DCADLN Cc1cc(=O)[nH]cc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000752361256 668016173 /nfs/dbraw/zinc/01/61/73/668016173.db2.gz CNHADIBINNXJGU-UHFFFAOYSA-N 0 2 300.278 0.119 20 0 DCADLN COCCCONC(=O)CSc1nnnn1C1CCCC1 ZINC000805039586 668028885 /nfs/dbraw/zinc/02/88/85/668028885.db2.gz RVDWISAMMXWCAB-UHFFFAOYSA-N 0 2 315.399 0.965 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCO[C@@H](c3ccco3)C2)S1 ZINC000753647114 668033606 /nfs/dbraw/zinc/03/36/06/668033606.db2.gz DRFHQVNBXNCGHH-NXEZZACHSA-N 0 2 309.347 0.736 20 0 DCADLN CN(C(=O)CC1SC(=N)NC1=O)c1ccc2c(c1)OCO2 ZINC000756283888 668084984 /nfs/dbraw/zinc/08/49/84/668084984.db2.gz DVBFUDFFZIDJSI-JTQLQIEISA-N 0 2 307.331 0.935 20 0 DCADLN CN(CCC1CCOCC1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000757742949 668147209 /nfs/dbraw/zinc/14/72/09/668147209.db2.gz GSWQKWHMFUVPGR-UHFFFAOYSA-N 0 2 319.365 0.346 20 0 DCADLN CC(C)OC(=O)[C@H](C)N(C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000760107780 668257370 /nfs/dbraw/zinc/25/73/70/668257370.db2.gz RYPPASIVNZXHOP-JGVFFNPUSA-N 0 2 301.368 0.341 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2Cc3cccc(O)c3C2)S1 ZINC000760625437 668281326 /nfs/dbraw/zinc/28/13/26/668281326.db2.gz YVDNLGHGDGMQHF-KWQFWETISA-N 0 2 305.359 0.532 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc(CO)c(F)c2)S1 ZINC000762717473 668373470 /nfs/dbraw/zinc/37/34/70/668373470.db2.gz HRGYEAPGLVGKLY-JTQLQIEISA-N 0 2 311.338 0.491 20 0 DCADLN Cc1nc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)sc1C ZINC000763658507 668415002 /nfs/dbraw/zinc/41/50/02/668415002.db2.gz IHRMJMZUYBQJQQ-QMMMGPOBSA-N 0 2 312.420 0.975 20 0 DCADLN C[C@H]([NH2+][C@@H]1CCCN(CC[NH+]2CCOCC2)C1)c1cn(C)cn1 ZINC000926863230 668437100 /nfs/dbraw/zinc/43/71/00/668437100.db2.gz BAQGFJWRALONGY-JKSUJKDBSA-N 0 2 321.469 0.867 20 0 DCADLN CC(C)COC(=O)[C@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000765242469 668482630 /nfs/dbraw/zinc/48/26/30/668482630.db2.gz PQBXHYAEHHWGRL-JGVFFNPUSA-N 0 2 301.368 0.247 20 0 DCADLN O=C(CCCc1nn[n-]n1)N[C@H]1CCC[N@@H+]2CCSC[C@H]12 ZINC000928075455 668550381 /nfs/dbraw/zinc/55/03/81/668550381.db2.gz CUPAYYCVDNMNQJ-WDEREUQCSA-N 0 2 310.427 0.218 20 0 DCADLN O=C(CCCc1nn[n-]n1)N[C@H]1CCC[N@H+]2CCSC[C@H]12 ZINC000928075455 668550383 /nfs/dbraw/zinc/55/03/83/668550383.db2.gz CUPAYYCVDNMNQJ-WDEREUQCSA-N 0 2 310.427 0.218 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CC2CCC1CC2 ZINC000768374930 668606676 /nfs/dbraw/zinc/60/66/76/668606676.db2.gz CPNNSJNFHCJXRV-RTYFJBAXSA-N 0 2 301.350 0.766 20 0 DCADLN Cc1cccc([C@H](O)CNC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000769303325 668643051 /nfs/dbraw/zinc/64/30/51/668643051.db2.gz JRMIYZYZRTXCSX-GHMZBOCLSA-N 0 2 307.375 0.701 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1cc(=O)[nH][n-]1 ZINC000771066429 668729700 /nfs/dbraw/zinc/72/97/00/668729700.db2.gz WQRWCFAUJLPIGS-UHFFFAOYSA-N 0 2 303.322 0.041 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](CO)c2ccc(F)cc2)S1 ZINC000771123230 668731662 /nfs/dbraw/zinc/73/16/62/668731662.db2.gz ZTTVFQMGYJQTSB-NXEZZACHSA-N 0 2 311.338 0.532 20 0 DCADLN Cc1nn(C)c(C)c1OCC(=O)NCCc1n[nH]c(=S)o1 ZINC000773089517 668792819 /nfs/dbraw/zinc/79/28/19/668792819.db2.gz QUQSAMYFWWAFCP-UHFFFAOYSA-N 0 2 311.367 0.446 20 0 DCADLN CC(C)CCC(=O)NCC(=O)NCCc1n[nH]c(=S)o1 ZINC000773084933 668793075 /nfs/dbraw/zinc/79/30/75/668793075.db2.gz BOOCSQKVNODPET-UHFFFAOYSA-N 0 2 300.384 0.569 20 0 DCADLN O=C(CS[C@@H]1CCS(=O)(=O)C1)NOCCC(F)(F)F ZINC000779328588 668909700 /nfs/dbraw/zinc/90/97/00/668909700.db2.gz USBKYXSZHVYNED-SSDOTTSWSA-N 0 2 321.342 0.907 20 0 DCADLN Cc1cc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)ns1 ZINC000774774900 668847201 /nfs/dbraw/zinc/84/72/01/668847201.db2.gz CIIYFCQRVKUTEW-UHFFFAOYSA-N 0 2 305.319 0.580 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cc(Cl)ccc1-n1cnnn1 ZINC000774918977 668851616 /nfs/dbraw/zinc/85/16/16/668851616.db2.gz NYCJBBNJEYJRHZ-UHFFFAOYSA-N 0 2 321.684 0.496 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CCN(c2ccc(F)cc2)C1=O ZINC000774934656 668851883 /nfs/dbraw/zinc/85/18/83/668851883.db2.gz UHHFBXJYWKLGQU-SNVBAGLBSA-N 0 2 320.280 0.746 20 0 DCADLN COc1ccc2c(c1)OC[C@H](C(=O)OCc1n[nH]c(=O)[nH]1)C2 ZINC000774931804 668852166 /nfs/dbraw/zinc/85/21/66/668852166.db2.gz AQJXJJJRADBYSB-SECBINFHSA-N 0 2 305.290 0.813 20 0 DCADLN O=C(CCc1nc(-c2ccoc2)no1)OCc1n[nH]c(=O)[nH]1 ZINC000774963186 668852720 /nfs/dbraw/zinc/85/27/20/668852720.db2.gz VMGYABYJLKWOJL-UHFFFAOYSA-N 0 2 305.250 0.829 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CCC2(CC1)NC(=O)NC2=O ZINC000775651443 668866442 /nfs/dbraw/zinc/86/64/42/668866442.db2.gz NVZHFNALMMBKKW-UHFFFAOYSA-N 0 2 323.736 0.860 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cnc(-c3cccnc3)s2)C1=O ZINC000776242227 668873729 /nfs/dbraw/zinc/87/37/29/668873729.db2.gz HJUQTCKBLBTUOM-UHFFFAOYSA-N 0 2 317.330 0.744 20 0 DCADLN CCC(=O)N1CSC[C@@H]1C(=O)NCCc1n[nH]c(=S)o1 ZINC000776539269 668877409 /nfs/dbraw/zinc/87/74/09/668877409.db2.gz WQUPQDHQWBCTLD-SSDOTTSWSA-N 0 2 316.408 0.329 20 0 DCADLN C[C@](O)(CCNC(=O)C[C@H]1SC(=N)NC1=O)c1ccccc1 ZINC000779347348 668910123 /nfs/dbraw/zinc/91/01/23/668910123.db2.gz PUSCAWHMWWGOEO-ABAIWWIYSA-N 0 2 321.402 0.957 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC000127292996 668914839 /nfs/dbraw/zinc/91/48/39/668914839.db2.gz LMNQJFJEFUNLFC-NWDGAFQWSA-N 0 2 318.333 0.063 20 0 DCADLN C[N@H+](CC(=O)[N-]OCc1ccccc1)CC(=O)N1CCCC1 ZINC000782868737 669126795 /nfs/dbraw/zinc/12/67/95/669126795.db2.gz BGMPBGLUEQYLBX-UHFFFAOYSA-N 0 2 305.378 0.789 20 0 DCADLN C[N@@H+](CC(=O)[N-]OCc1ccccc1)CC(=O)N1CCCC1 ZINC000782868737 669126797 /nfs/dbraw/zinc/12/67/97/669126797.db2.gz BGMPBGLUEQYLBX-UHFFFAOYSA-N 0 2 305.378 0.789 20 0 DCADLN COc1ccc(Cn2cc(CNC(=O)C(F)(F)F)nn2)nc1 ZINC000881297476 669319234 /nfs/dbraw/zinc/31/92/34/669319234.db2.gz NJQHJIJEVPARLJ-UHFFFAOYSA-N 0 2 315.255 0.909 20 0 DCADLN CCOCCOCCN(C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000787508300 669376359 /nfs/dbraw/zinc/37/63/59/669376359.db2.gz NJYAFDNPBZPQGZ-VIFPVBQESA-N 0 2 303.384 0.054 20 0 DCADLN Cc1ccc(NC(=O)C(=O)[N-]OC[C@@H]2CCOC2)c(N(C)C)[nH+]1 ZINC000788348356 669433555 /nfs/dbraw/zinc/43/35/55/669433555.db2.gz HGTMVRCSAFQMEB-LLVKDONJSA-N 0 2 322.365 0.479 20 0 DCADLN COC(=O)[C@@]1(O)CCN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC000882846414 669602883 /nfs/dbraw/zinc/60/28/83/669602883.db2.gz MDQJADJYCAHJTL-CYBMUJFWSA-N 0 2 301.245 0.420 20 0 DCADLN CC1=C(C(=O)OCc2n[nH]c(=O)[nH]2)[C@H](C)n2ncnc2N1C ZINC000791463800 669611212 /nfs/dbraw/zinc/61/12/12/669611212.db2.gz RMGSTUIQUPBGPY-ZETCQYMHSA-N 0 2 305.298 0.130 20 0 DCADLN CO[C@]1(C)CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000791845069 669633571 /nfs/dbraw/zinc/63/35/71/669633571.db2.gz KOYBKAOGOATNKD-CQSZACIVSA-N 0 2 305.338 0.099 20 0 DCADLN C[C@@H]1CCSCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000791899106 669637991 /nfs/dbraw/zinc/63/79/91/669637991.db2.gz LCSLJKTYFNLOCX-SECBINFHSA-N 0 2 307.379 0.425 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H](C)C(=O)N1CCCCCC1 ZINC000883414279 669681548 /nfs/dbraw/zinc/68/15/48/669681548.db2.gz RBHYYJVFOBFRKG-GFCCVEGCSA-N 0 2 314.382 0.727 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)N1CCCCCC1 ZINC000883414279 669681550 /nfs/dbraw/zinc/68/15/50/669681550.db2.gz RBHYYJVFOBFRKG-GFCCVEGCSA-N 0 2 314.382 0.727 20 0 DCADLN O=C(N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C1(n2cccn2)CC1 ZINC000935844137 669782917 /nfs/dbraw/zinc/78/29/17/669782917.db2.gz DZNJADZZCNMEGG-SNVBAGLBSA-N 0 2 302.338 0.602 20 0 DCADLN COCC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC000800051014 669816144 /nfs/dbraw/zinc/81/61/44/669816144.db2.gz KTRPDDQZNBEOSS-UHFFFAOYSA-N 0 2 305.338 0.004 20 0 DCADLN NC(=O)C[N@H+]1CCCN(c2cc[nH+]c3c(O)cccc23)CC1 ZINC000795074093 669873639 /nfs/dbraw/zinc/87/36/39/669873639.db2.gz PVEOPYCHDHMFOV-UHFFFAOYSA-N 0 2 300.362 0.938 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1cncc(F)c1 ZINC000795504500 669894161 /nfs/dbraw/zinc/89/41/61/669894161.db2.gz PBDUWXSTBYBCNH-UHFFFAOYSA-N 0 2 303.253 0.349 20 0 DCADLN C[C@H]1COCC[C@H]1c1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000799675844 670068538 /nfs/dbraw/zinc/06/85/38/670068538.db2.gz UOSJENRMGUCVMU-DTWKUNHWSA-N 0 2 316.321 0.643 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@H]1CSC(=O)N1 ZINC000803405385 670246828 /nfs/dbraw/zinc/24/68/28/670246828.db2.gz HEJUCDKKAXMHDX-MRVPVSSYSA-N 0 2 305.319 0.941 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]1[C@H]1CCCO1 ZINC000803602603 670255298 /nfs/dbraw/zinc/25/52/98/670255298.db2.gz VKINABLHRFXSNC-VXGBXAGGSA-N 0 2 317.349 0.241 20 0 DCADLN Cc1cccc(CCCNC(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC000804144815 670286751 /nfs/dbraw/zinc/28/67/51/670286751.db2.gz PHOPNWMGAXDXDV-NSHDSACASA-N 0 2 306.391 0.995 20 0 DCADLN CCc1ccc([C@@H](O)CNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000804156895 670286816 /nfs/dbraw/zinc/28/68/16/670286816.db2.gz WCEVPLQKGRVYIJ-NWDGAFQWSA-N 0 2 321.402 0.955 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CCCSC1 ZINC000807849868 670377590 /nfs/dbraw/zinc/37/75/90/670377590.db2.gz DYKKFEFXSPWJQC-SECBINFHSA-N 0 2 307.379 0.331 20 0 DCADLN CCN(C(=O)c1cc(C)no1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949650149 670395257 /nfs/dbraw/zinc/39/52/57/670395257.db2.gz CHSNPJOESAPABC-UHFFFAOYSA-N 0 2 306.326 0.153 20 0 DCADLN CCN(C(=O)c1ccccc1O)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949696971 670406966 /nfs/dbraw/zinc/40/69/66/670406966.db2.gz ZQBSNKIOJJLPPJ-UHFFFAOYSA-N 0 2 317.349 0.562 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949860065 670449711 /nfs/dbraw/zinc/44/97/11/670449711.db2.gz SCHKXJHGNDLZAN-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000949860065 670449712 /nfs/dbraw/zinc/44/97/12/670449712.db2.gz SCHKXJHGNDLZAN-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccnnc1 ZINC000952242454 670465556 /nfs/dbraw/zinc/46/55/56/670465556.db2.gz WSVURNKFXQFFIF-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ccnnc1 ZINC000952242454 670465558 /nfs/dbraw/zinc/46/55/58/670465558.db2.gz WSVURNKFXQFFIF-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN CCN(C(=O)c1ccncc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950037458 670485957 /nfs/dbraw/zinc/48/59/57/670485957.db2.gz DMBMJOIFIFKUCR-UHFFFAOYSA-N 0 2 316.365 0.560 20 0 DCADLN COC(=O)c1ccc(Cl)c(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000810469051 670486628 /nfs/dbraw/zinc/48/66/28/670486628.db2.gz MQSFEACTBWCQHM-UHFFFAOYSA-N 0 2 310.697 0.880 20 0 DCADLN CCC1(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CCOCC1 ZINC000952445494 670494409 /nfs/dbraw/zinc/49/44/09/670494409.db2.gz WHYSURWLPDAGLW-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN CS(=O)(=O)N1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC000810718285 670495079 /nfs/dbraw/zinc/49/50/79/670495079.db2.gz PJZVFBDODOATCU-NKWVEPMBSA-N 0 2 306.281 0.285 20 0 DCADLN CS(=O)(=O)N1CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC000810718285 670495081 /nfs/dbraw/zinc/49/50/81/670495081.db2.gz PJZVFBDODOATCU-NKWVEPMBSA-N 0 2 306.281 0.285 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@]1(c2ccccc2)CNC(=O)C1 ZINC000811232630 670518511 /nfs/dbraw/zinc/51/85/11/670518511.db2.gz GOWSCOCBNOVZQQ-CQSZACIVSA-N 0 2 302.290 0.011 20 0 DCADLN COC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000006008029 670553577 /nfs/dbraw/zinc/55/35/77/670553577.db2.gz JIEVJHRIFOURTJ-VIFPVBQESA-N 0 2 307.331 0.968 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H]1C(F)(F)F ZINC000854521558 670581350 /nfs/dbraw/zinc/58/13/50/670581350.db2.gz VTLALPLRAXUDQU-SSDOTTSWSA-N 0 2 301.228 0.234 20 0 DCADLN CN1C(=O)CN(NC(=O)c2ccc(OCC(F)(F)F)o2)C1=O ZINC000847638867 670584207 /nfs/dbraw/zinc/58/42/07/670584207.db2.gz MPOHRWBQIADDTN-UHFFFAOYSA-N 0 2 321.211 0.760 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C1(O)CCOCC1 ZINC000848029297 670622498 /nfs/dbraw/zinc/62/24/98/670622498.db2.gz IFDPBQGMODYMPF-UHFFFAOYSA-N 0 2 318.333 0.966 20 0 DCADLN C[C@H](CO)[C@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855464321 670714885 /nfs/dbraw/zinc/71/48/85/670714885.db2.gz PCPLEOZJUZHPBV-ZYHUDNBSSA-N 0 2 319.365 0.081 20 0 DCADLN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)NCc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC000815745535 670759620 /nfs/dbraw/zinc/75/96/20/670759620.db2.gz FPPBCAOYRGSATE-MBTKJCJQSA-N 0 2 323.353 0.239 20 0 DCADLN CC1(C)[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(F)F ZINC000856071366 670783443 /nfs/dbraw/zinc/78/34/43/670783443.db2.gz NCTTXRLYRQWIFO-QMMMGPOBSA-N 0 2 311.292 0.479 20 0 DCADLN CCc1nnc([C@@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)[nH]1 ZINC000849726835 670843629 /nfs/dbraw/zinc/84/36/29/670843629.db2.gz PKGSLDJVQRVPKQ-SSDOTTSWSA-N 0 2 317.309 0.056 20 0 DCADLN CCc1nc([C@@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]1 ZINC000849726835 670843637 /nfs/dbraw/zinc/84/36/37/670843637.db2.gz PKGSLDJVQRVPKQ-SSDOTTSWSA-N 0 2 317.309 0.056 20 0 DCADLN CCn1ncn(NC(=O)C(F)(F)C2(O)CCCCC2)c1=O ZINC000816736954 670854752 /nfs/dbraw/zinc/85/47/52/670854752.db2.gz STEYVOMNOMYSHM-UHFFFAOYSA-N 0 2 304.297 0.465 20 0 DCADLN CC(C)[C@]1(CO)CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000819044548 671088409 /nfs/dbraw/zinc/08/84/09/671088409.db2.gz LEBFTMZZMYDRIW-QMTHXVAHSA-N 0 2 313.423 0.800 20 0 DCADLN NC(=O)CCNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000859550413 671125464 /nfs/dbraw/zinc/12/54/64/671125464.db2.gz JJQYBFHYRQSHQD-UHFFFAOYSA-N 0 2 307.228 0.063 20 0 DCADLN O=C(N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C(F)(F)C1(O)CCC1 ZINC000819715350 671134816 /nfs/dbraw/zinc/13/48/16/671134816.db2.gz AZLUFLIATUFWKY-QMMMGPOBSA-N 0 2 316.308 0.767 20 0 DCADLN CC(C)(O)CNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000859735417 671140727 /nfs/dbraw/zinc/14/07/27/671140727.db2.gz HUEAQISNYFUULO-UHFFFAOYSA-N 0 2 308.256 0.959 20 0 DCADLN CO[C@H]1c2ccccc2C[C@H]1NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000840495202 671457824 /nfs/dbraw/zinc/45/78/24/671457824.db2.gz FPRINLZKKKZJAR-MDZLAQPJSA-N 0 2 319.386 0.971 20 0 DCADLN O=C(NCc1ccn2cc[nH+]c2c1)N1CC([N@@H+]2CC[C@@H](O)C2)C1 ZINC000826711144 671497489 /nfs/dbraw/zinc/49/74/89/671497489.db2.gz ZFCOOKUDXOVNBG-CQSZACIVSA-N 0 2 315.377 0.295 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1CCOC1 ZINC000827989479 671541902 /nfs/dbraw/zinc/54/19/02/671541902.db2.gz ZNYGXKWAHJKVGZ-NWDGAFQWSA-N 0 2 302.334 0.947 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@](C)(NC(=O)C(F)(F)F)C1 ZINC000830823000 671642482 /nfs/dbraw/zinc/64/24/82/671642482.db2.gz SFCXAVHAPDLRFB-JTQLQIEISA-N 0 2 306.244 0.661 20 0 DCADLN O=C([O-])N1CCSC[C@@H]1CC(=O)N1CC(n2cc[nH+]c2)C1 ZINC000831400671 671667660 /nfs/dbraw/zinc/66/76/60/671667660.db2.gz CYJNBODOBMHYDK-JTQLQIEISA-N 0 2 310.379 0.752 20 0 DCADLN Nc1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c(O)c1 ZINC000832185492 671708253 /nfs/dbraw/zinc/70/82/53/671708253.db2.gz BWESTDLMCDRACV-MRVPVSSYSA-N 0 2 303.322 0.818 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC2CC3(C2)OCCO3)cn1 ZINC000832193312 671709257 /nfs/dbraw/zinc/70/92/57/671709257.db2.gz QTSOJCIAOAZKFT-UHFFFAOYSA-N 0 2 317.349 0.693 20 0 DCADLN CCC[C@@H](O)CCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000832644298 671729839 /nfs/dbraw/zinc/72/98/39/671729839.db2.gz XNHSPWXKWFINFT-LLVKDONJSA-N 0 2 306.366 0.541 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC(F)(C2CC2)CC1 ZINC000834581221 671772593 /nfs/dbraw/zinc/77/25/93/671772593.db2.gz ZZNMHFRLPREYLC-UHFFFAOYSA-N 0 2 319.340 0.812 20 0 DCADLN CN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@@H](CO)CC(C)(C)C ZINC000836970091 671840986 /nfs/dbraw/zinc/84/09/86/671840986.db2.gz MCZFWMPROZMZNQ-BDAKNGLRSA-N 0 2 301.412 0.798 20 0 DCADLN CC(C)(C)OC(=O)N1CC(C)(C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000841399063 671929298 /nfs/dbraw/zinc/92/92/98/671929298.db2.gz FBXKWXUICZLLLH-UHFFFAOYSA-N 0 2 311.342 0.384 20 0 DCADLN CCCC[C@](C)(CO)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000844017249 672137783 /nfs/dbraw/zinc/13/77/83/672137783.db2.gz FANKDSXSAFRAKZ-CQSZACIVSA-N 0 2 307.354 0.129 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@H]1C[C@H]2CCCCN2C1=O ZINC000867377777 672215484 /nfs/dbraw/zinc/21/54/84/672215484.db2.gz MMTRZKLMKOMDET-QGRDJGHBSA-N 0 2 323.440 0.092 20 0 DCADLN CC(=O)NCC(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001075426617 694950974 /nfs/dbraw/zinc/95/09/74/694950974.db2.gz JRIGZDFNUDUCRF-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NCC(=O)NCC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001075426617 694950975 /nfs/dbraw/zinc/95/09/75/694950975.db2.gz JRIGZDFNUDUCRF-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CN(C(=O)c1cnn(C)c1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953698109 685791618 /nfs/dbraw/zinc/79/16/18/685791618.db2.gz KRJSQKAKOUKJDZ-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1cnn(C)c1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953698109 685791627 /nfs/dbraw/zinc/79/16/27/685791627.db2.gz KRJSQKAKOUKJDZ-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1cocn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953886489 685886407 /nfs/dbraw/zinc/88/64/07/685886407.db2.gz JXUPDYYJGVQHFH-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)c1cocn1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953886489 685886413 /nfs/dbraw/zinc/88/64/13/685886413.db2.gz JXUPDYYJGVQHFH-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN Cc1nc(C(=O)N(C)C2CN(C(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC000953891931 685888155 /nfs/dbraw/zinc/88/81/55/685888155.db2.gz SDGMWBVBUKVYIU-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN CN(C(=O)Cc1cnoc1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955066402 686248682 /nfs/dbraw/zinc/24/86/82/686248682.db2.gz AKCLMPBMKFPBCB-JTQLQIEISA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)Cc1cnoc1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000955066402 686248687 /nfs/dbraw/zinc/24/86/87/686248687.db2.gz AKCLMPBMKFPBCB-JTQLQIEISA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)c1cccnn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955100033 686272320 /nfs/dbraw/zinc/27/23/20/686272320.db2.gz HDNKSSNVQJORKL-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1cccnn1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000955100033 686272321 /nfs/dbraw/zinc/27/23/21/686272321.db2.gz HDNKSSNVQJORKL-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN C[C@@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCCC1 ZINC000957281126 687016780 /nfs/dbraw/zinc/01/67/80/687016780.db2.gz KNIYOCPUGRHAOB-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN CCc1cc(C)c(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000957337328 687035786 /nfs/dbraw/zinc/03/57/86/687035786.db2.gz VJEPLBXDSJIAJD-UHFFFAOYSA-N 0 2 319.365 0.932 20 0 DCADLN Cc1ccc(CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000957665342 687159243 /nfs/dbraw/zinc/15/92/43/687159243.db2.gz USALGNISNUDATF-UHFFFAOYSA-N 0 2 316.365 0.101 20 0 DCADLN CC(=O)NC[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H]1O ZINC000957789582 687189399 /nfs/dbraw/zinc/18/93/99/687189399.db2.gz WDPFIQQOARMEEV-KOLCDFICSA-N 0 2 322.390 0.326 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(Cl)[nH]1 ZINC001038667463 694155719 /nfs/dbraw/zinc/15/57/19/694155719.db2.gz AHBQVYWOZSBZKY-SSDOTTSWSA-N 0 2 310.745 0.496 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@]12C[C@H]1CCCC2 ZINC001038678490 694158975 /nfs/dbraw/zinc/15/89/75/694158975.db2.gz YZLJFSDDJOYABP-JRPNMDOOSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(C(F)C(F)(F)F)N1C[C@H]2OCCN(C(=O)C3CC3)[C@H]2C1 ZINC000959879565 688247758 /nfs/dbraw/zinc/24/77/58/688247758.db2.gz YRWXTSUCTLRZLM-IVZWLZJFSA-N 0 2 324.274 0.735 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1C[C@H]2OCCN(C(=O)C3CC3)[C@H]2C1 ZINC000959879565 688247763 /nfs/dbraw/zinc/24/77/63/688247763.db2.gz YRWXTSUCTLRZLM-IVZWLZJFSA-N 0 2 324.274 0.735 20 0 DCADLN CCc1ccoc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000961690746 688700874 /nfs/dbraw/zinc/70/08/74/688700874.db2.gz NDLHYRONCPYKEM-IAZYJMLFSA-N 0 2 317.349 0.526 20 0 DCADLN CCc1ccoc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000961690746 688700875 /nfs/dbraw/zinc/70/08/75/688700875.db2.gz NDLHYRONCPYKEM-IAZYJMLFSA-N 0 2 317.349 0.526 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)C1CC=CC1 ZINC000962023530 688791679 /nfs/dbraw/zinc/79/16/79/688791679.db2.gz VHXQUAOYCRFLLG-KXUCPTDWSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O)C1CC=CC1 ZINC000962023530 688791682 /nfs/dbraw/zinc/79/16/82/688791682.db2.gz VHXQUAOYCRFLLG-KXUCPTDWSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCOC2(CN(C(=O)C3CC3)C2)C1 ZINC000965394810 689431890 /nfs/dbraw/zinc/43/18/90/689431890.db2.gz VVALTCIMDAXSCD-SECBINFHSA-N 0 2 324.274 0.737 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCOC2(CN(C(=O)C3CC3)C2)C1 ZINC000965394810 689431894 /nfs/dbraw/zinc/43/18/94/689431894.db2.gz VVALTCIMDAXSCD-SECBINFHSA-N 0 2 324.274 0.737 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccns1 ZINC000966523647 689788637 /nfs/dbraw/zinc/78/86/37/689788637.db2.gz KPYRVOOZYAXUNA-DTWKUNHWSA-N 0 2 322.394 0.607 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cnco1 ZINC000968971847 690439558 /nfs/dbraw/zinc/43/95/58/690439558.db2.gz WTKOGTMZRYILHG-SNVBAGLBSA-N 0 2 320.353 0.673 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cnco1 ZINC000968971847 690439562 /nfs/dbraw/zinc/43/95/62/690439562.db2.gz WTKOGTMZRYILHG-SNVBAGLBSA-N 0 2 320.353 0.673 20 0 DCADLN O=C(c1ccoc1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039513461 694375548 /nfs/dbraw/zinc/37/55/48/694375548.db2.gz VYJVREKMRXNJOY-TXEJJXNPSA-N 0 2 317.349 0.982 20 0 DCADLN O=C(Cc1ccoc1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007185228 690638360 /nfs/dbraw/zinc/63/83/60/690638360.db2.gz UJFSZVUKJCKUMW-LLVKDONJSA-N 0 2 305.338 0.427 20 0 DCADLN Cc1cnccc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007276255 690656644 /nfs/dbraw/zinc/65/66/44/690656644.db2.gz NAKPWSMJHOKXKI-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC1(F)F ZINC001007283186 690657478 /nfs/dbraw/zinc/65/74/78/690657478.db2.gz DAQLHGGJTUJFQT-JGVFFNPUSA-N 0 2 301.297 0.246 20 0 DCADLN CC(=O)N1CC[C@]2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC001007551697 690701717 /nfs/dbraw/zinc/70/17/17/690701717.db2.gz IVZXDGONGYNMRX-KOLCDFICSA-N 0 2 312.263 0.737 20 0 DCADLN CC(=O)N1CC[C@]2(C1)CN(C(=O)[C@@H](F)C(F)(F)F)CCO2 ZINC001007551697 690701721 /nfs/dbraw/zinc/70/17/21/690701721.db2.gz IVZXDGONGYNMRX-KOLCDFICSA-N 0 2 312.263 0.737 20 0 DCADLN O=C(Cc1ccccc1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007673507 690726001 /nfs/dbraw/zinc/72/60/01/690726001.db2.gz JZXSIAWTJVFCAY-ZDUSSCGKSA-N 0 2 315.377 0.834 20 0 DCADLN Cc1nnsc1CN1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001007761731 690743395 /nfs/dbraw/zinc/74/33/95/690743395.db2.gz UKJZVRQYRXXNPD-VIFPVBQESA-N 0 2 307.383 0.359 20 0 DCADLN CCc1nocc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008442185 690867308 /nfs/dbraw/zinc/86/73/08/690867308.db2.gz NFPBYABOYFZJTG-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN CCc1ncoc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008475499 690873743 /nfs/dbraw/zinc/87/37/43/690873743.db2.gz SCCKWOUZAHIMRS-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN O=C(C[C@H]1CCCOC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009555958 691059413 /nfs/dbraw/zinc/05/94/13/691059413.db2.gz YIBZZIIMGUESLJ-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1cncc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001010426947 691275044 /nfs/dbraw/zinc/27/50/44/691275044.db2.gz HHQDMUJDRHPBKR-NSHDSACASA-N 0 2 302.338 0.218 20 0 DCADLN CC(C)COCCC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001671099825 1158956508 /nfs/dbraw/zinc/95/65/08/1158956508.db2.gz NXYPPDUBDIPMMZ-UHFFFAOYSA-N 0 2 311.386 0.216 20 0 DCADLN Cc1cncc(C)c1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015268099 692244880 /nfs/dbraw/zinc/24/48/80/692244880.db2.gz FISCULNZEGTVRR-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN CCCc1[nH]ccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015591655 692354492 /nfs/dbraw/zinc/35/44/92/692354492.db2.gz ZTLUSVINXTXMNL-JTQLQIEISA-N 0 2 318.381 0.795 20 0 DCADLN CCc1c(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001015708047 692408889 /nfs/dbraw/zinc/40/88/89/692408889.db2.gz XBALFUJVOGSEDQ-SNVBAGLBSA-N 0 2 318.381 0.416 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001015790743 692444526 /nfs/dbraw/zinc/44/45/26/692444526.db2.gz SDSNVQZWYVOFJY-VIFPVBQESA-N 0 2 320.353 0.626 20 0 DCADLN Cc1sccc1CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015798142 692448380 /nfs/dbraw/zinc/44/83/80/692448380.db2.gz XUPOBDBNMVOPHO-NSHDSACASA-N 0 2 321.406 0.813 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)c1ccoc1 ZINC001073535637 694738097 /nfs/dbraw/zinc/73/80/97/694738097.db2.gz RWFKIZFDSYVCEE-NSHDSACASA-N 0 2 321.337 0.124 20 0 DCADLN CC1CC(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCCO2)C1 ZINC001073571873 694744832 /nfs/dbraw/zinc/74/48/32/694744832.db2.gz MGADBPDPLHUXEC-MCIGGMRASA-N 0 2 323.397 0.264 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001075586376 694960984 /nfs/dbraw/zinc/96/09/84/694960984.db2.gz PUDYPNLCFTUGTR-SRUFYXDBSA-N 0 2 317.393 0.899 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001075586376 694960985 /nfs/dbraw/zinc/96/09/85/694960985.db2.gz PUDYPNLCFTUGTR-SRUFYXDBSA-N 0 2 317.393 0.899 20 0 DCADLN Cc1ocnc1C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075599182 694962104 /nfs/dbraw/zinc/96/21/04/694962104.db2.gz UQCYTNSOZJWAQM-VHSXEESVSA-N 0 2 318.337 0.153 20 0 DCADLN C[C@@H](C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CCC1 ZINC001075934366 694987309 /nfs/dbraw/zinc/98/73/09/694987309.db2.gz IJMCMIWKCGRVGR-KGYLQXTDSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@@H](C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CCC1 ZINC001075934366 694987310 /nfs/dbraw/zinc/98/73/10/694987310.db2.gz IJMCMIWKCGRVGR-KGYLQXTDSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@@H](CCNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001076154282 695001956 /nfs/dbraw/zinc/00/19/56/695001956.db2.gz CXGLYRWWNGDRRI-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1cn(C)cn1)NC(=O)[C@H](F)C(F)(F)F ZINC001076154282 695001957 /nfs/dbraw/zinc/00/19/57/695001957.db2.gz CXGLYRWWNGDRRI-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001076154283 695001965 /nfs/dbraw/zinc/00/19/65/695001965.db2.gz CXGLYRWWNGDRRI-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1cn(C)cn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001076154283 695001966 /nfs/dbraw/zinc/00/19/66/695001966.db2.gz CXGLYRWWNGDRRI-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001076858749 695078520 /nfs/dbraw/zinc/07/85/20/695078520.db2.gz LFUIPHVKGFFWNW-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001076858749 695078523 /nfs/dbraw/zinc/07/85/23/695078523.db2.gz LFUIPHVKGFFWNW-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CCOCCC(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001752295926 1157904853 /nfs/dbraw/zinc/90/48/53/1157904853.db2.gz ZDHHZTOTSOZFDC-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN CCn1ncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001720083373 1158814319 /nfs/dbraw/zinc/81/43/19/1158814319.db2.gz MOWAHGNTYKKIGN-ZETCQYMHSA-N 0 2 311.239 0.044 20 0 DCADLN CCn1ncc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001720083373 1158814329 /nfs/dbraw/zinc/81/43/29/1158814329.db2.gz MOWAHGNTYKKIGN-ZETCQYMHSA-N 0 2 311.239 0.044 20 0 DCADLN Cc1ccc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)nn1 ZINC001350015381 1159175541 /nfs/dbraw/zinc/17/55/41/1159175541.db2.gz FYPJZBGSDLZQOF-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN Cc1ccc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001350015381 1159175544 /nfs/dbraw/zinc/17/55/44/1159175544.db2.gz FYPJZBGSDLZQOF-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN Cc1nnc(C[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)s1 ZINC001446150745 1159351950 /nfs/dbraw/zinc/35/19/50/1159351950.db2.gz DWZCELKWQSOVBM-UHFFFAOYSA-N 0 2 322.394 0.636 20 0 DCADLN CN(C(=O)CCc1ccncc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001446374359 1159397111 /nfs/dbraw/zinc/39/71/11/1159397111.db2.gz IXSIIMKYDXXHFV-UHFFFAOYSA-N 0 2 316.365 0.181 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446531419 1159458776 /nfs/dbraw/zinc/45/87/76/1159458776.db2.gz SGZUXGXZEUOKAA-QMTHXVAHSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446531419 1159458781 /nfs/dbraw/zinc/45/87/81/1159458781.db2.gz SGZUXGXZEUOKAA-QMTHXVAHSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ncccn2)C1)C(F)C(F)(F)F ZINC001446575677 1159478326 /nfs/dbraw/zinc/47/83/26/1159478326.db2.gz WDRWLPIJTFGLCQ-SFYZADRCSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ncccn2)C1)[C@H](F)C(F)(F)F ZINC001446575677 1159478329 /nfs/dbraw/zinc/47/83/29/1159478329.db2.gz WDRWLPIJTFGLCQ-SFYZADRCSA-N 0 2 320.246 0.708 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001448108275 1159719379 /nfs/dbraw/zinc/71/93/79/1159719379.db2.gz FCAWQQXWGZTIFM-LLVKDONJSA-N 0 2 309.414 0.223 20 0 DCADLN C[C@H](NC(=O)c1cnc2c(cnn2C)c1)c1nn(C)cc1O ZINC001456798052 1159763093 /nfs/dbraw/zinc/76/30/93/1159763093.db2.gz SZDKZECTFWXEGY-QMMMGPOBSA-N 0 2 300.322 0.898 20 0 DCADLN NC(=O)CONC(=O)c1cc(Br)cc(F)c1O ZINC001566980702 1160200669 /nfs/dbraw/zinc/20/06/69/1160200669.db2.gz MPKCWWBEMISVGF-UHFFFAOYSA-N 0 2 307.075 0.441 20 0 DCADLN O=C(NCCc1nnc(S)o1)c1cccn2c(=O)[nH]nc12 ZINC001567853234 1160502304 /nfs/dbraw/zinc/50/23/04/1160502304.db2.gz UQRXASXPVGFRHI-UHFFFAOYSA-N 0 2 306.307 0.079 20 0 DCADLN COc1ccccc1C[N@H+](CCO)CC(=O)N(C)CC(=O)[O-] ZINC001574048637 1163628392 /nfs/dbraw/zinc/62/83/92/1163628392.db2.gz LVURUAICLXRKNQ-UHFFFAOYSA-N 0 2 310.350 0.033 20 0 DCADLN COc1ccccc1C[N@@H+](CCO)CC(=O)N(C)CC(=O)[O-] ZINC001574048637 1163628396 /nfs/dbraw/zinc/62/83/96/1163628396.db2.gz LVURUAICLXRKNQ-UHFFFAOYSA-N 0 2 310.350 0.033 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@@H](C(=O)[O-])[C@@H](O)c1ccncc1 ZINC001574221680 1163668615 /nfs/dbraw/zinc/66/86/15/1163668615.db2.gz VQIYOOLOCNQNAS-KGLIPLIRSA-N 0 2 318.333 0.051 20 0 DCADLN O=C([O-])[C@@H](NC(=O)CCCn1cc[nH+]c1)[C@H](O)c1cccnc1 ZINC001574293261 1163685894 /nfs/dbraw/zinc/68/58/94/1163685894.db2.gz APWSDPFGPBCLAP-UONOGXRCSA-N 0 2 318.333 0.361 20 0 DCADLN C[C@@H](CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001575312301 1163971135 /nfs/dbraw/zinc/97/11/35/1163971135.db2.gz SUZDZEOAVYQEIN-WPRPVWTQSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@@H](CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1cccn1 ZINC001575312301 1163971142 /nfs/dbraw/zinc/97/11/42/1163971142.db2.gz SUZDZEOAVYQEIN-WPRPVWTQSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@H](CC(=O)N[C@H]1C[C@H]([NH2+]Cc2c[nH]nn2)C1)[C@H]1CCCO1 ZINC001575512387 1164041321 /nfs/dbraw/zinc/04/13/21/1164041321.db2.gz OJGSAVWMMFSUAM-HKUMRIAESA-N 0 2 307.398 0.747 20 0 DCADLN C[C@@H]([NH2+]Cc1c[nH]nn1)[C@H]1CCN(C(=O)c2ncccn2)C1 ZINC001575703897 1164113092 /nfs/dbraw/zinc/11/30/92/1164113092.db2.gz UUZVKCPCGYEWCI-MNOVXSKESA-N 0 2 301.354 0.235 20 0 DCADLN O=C(NCC[C@H]1CC[N@H+](Cc2c[nH]nn2)C1)C1=COCCO1 ZINC001578005497 1164886714 /nfs/dbraw/zinc/88/67/14/1164886714.db2.gz LHDVGMISECQQBJ-NSHDSACASA-N 0 2 307.354 0.021 20 0 DCADLN O=S(=O)(N[C@@H](CO)Cc1cnn[nH]1)c1cc2ccccc2o1 ZINC001578048842 1164908691 /nfs/dbraw/zinc/90/86/91/1164908691.db2.gz RBJUBWVTQJNROY-LLVKDONJSA-N 0 2 322.346 0.433 20 0 DCADLN COc1cccc([C@@H]([NH3+])C(=O)NCc2nc(CSC)n[nH]2)c1 ZINC001579264207 1165254106 /nfs/dbraw/zinc/25/41/06/1165254106.db2.gz JPXUTTUNMJAFJV-CYBMUJFWSA-N 0 2 321.406 0.993 20 0 DCADLN COc1cccc([C@@H]([NH3+])C(=O)NCc2nnc(CSC)[nH]2)c1 ZINC001579264207 1165254114 /nfs/dbraw/zinc/25/41/14/1165254114.db2.gz JPXUTTUNMJAFJV-CYBMUJFWSA-N 0 2 321.406 0.993 20 0 DCADLN COC(=O)c1csc(Cn2cnc(-c3nn[nH]n3)cc2=O)c1 ZINC001579491228 1165330983 /nfs/dbraw/zinc/33/09/83/1165330983.db2.gz BKBYUQKULSUZRA-UHFFFAOYSA-N 0 2 318.318 0.320 20 0 DCADLN CSCc1n[nH]c(CNc2nc(C)cc(-c3nn[nH]n3)n2)n1 ZINC001580225908 1165540897 /nfs/dbraw/zinc/54/08/97/1165540897.db2.gz OTFKQYZBMWQRLT-UHFFFAOYSA-N 0 2 318.370 0.558 20 0 DCADLN CSCc1nnc(CNc2nc(C)cc(-c3nn[nH]n3)n2)[nH]1 ZINC001580225908 1165540903 /nfs/dbraw/zinc/54/09/03/1165540903.db2.gz OTFKQYZBMWQRLT-UHFFFAOYSA-N 0 2 318.370 0.558 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@H](O)[C@H](C)C1 ZINC001580598546 1165649847 /nfs/dbraw/zinc/64/98/47/1165649847.db2.gz YYFLRJNYRFVFQI-SCZZXKLOSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](C)[C@@H]1CCOC1 ZINC001580601296 1165651668 /nfs/dbraw/zinc/65/16/68/1165651668.db2.gz UXSLJMPUOJOGFT-DTWKUNHWSA-N 0 2 318.337 0.431 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC(C)(C)CCO ZINC001580600934 1165651956 /nfs/dbraw/zinc/65/19/56/1165651956.db2.gz RSFSTXCTLIVBJR-UHFFFAOYSA-N 0 2 306.326 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](CO)C1CCC1 ZINC001580604231 1165652689 /nfs/dbraw/zinc/65/26/89/1165652689.db2.gz ZXXKMECZFFRGNI-SNVBAGLBSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H]1CCCCO1 ZINC001580605000 1165654745 /nfs/dbraw/zinc/65/47/45/1165654745.db2.gz VUGUPAQPWMZFBZ-VIFPVBQESA-N 0 2 318.337 0.575 20 0 DCADLN Cn1ccc(C(=O)Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)c1 ZINC001581776925 1165874776 /nfs/dbraw/zinc/87/47/76/1165874776.db2.gz CAOIQYWJJUUHTM-UHFFFAOYSA-N 0 2 324.344 0.739 20 0 DCADLN C[C@@](CNC(=O)C1(C)CC(F)(F)C1)(NC(=O)C(N)=O)C1CC1 ZINC001582655499 1166063425 /nfs/dbraw/zinc/06/34/25/1166063425.db2.gz CRXFDXPIJRLIMP-ZDUSSCGKSA-N 0 2 317.336 0.308 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCSc1ccccc1 ZINC001582806175 1166087075 /nfs/dbraw/zinc/08/70/75/1166087075.db2.gz FYYSNZQSZCIKKI-UHFFFAOYSA-N 0 2 316.346 0.921 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(c3ccnc(-c4nn[nH]n4)c3)C2)[nH]1 ZINC001582808984 1166089694 /nfs/dbraw/zinc/08/96/94/1166089694.db2.gz OKAAMYJFJTTXFH-QMMMGPOBSA-N 0 2 313.325 0.469 20 0 DCADLN CC(C)[C@@H](O)CCn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001589479256 1166661692 /nfs/dbraw/zinc/66/16/92/1166661692.db2.gz AOPBPYKXILMARO-LBPRGKRZSA-N 0 2 303.366 0.924 20 0 DCADLN CCC[C@@H](CC)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590553303 1166942402 /nfs/dbraw/zinc/94/24/02/1166942402.db2.gz CFOLTMVJYDWUKH-MRVPVSSYSA-N 0 2 307.358 0.255 20 0 DCADLN CCCC[C@H](C)N(C)c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590576805 1166946444 /nfs/dbraw/zinc/94/64/44/1166946444.db2.gz GBNLNXIFPLORLA-QMMMGPOBSA-N 0 2 307.358 0.269 20 0 DCADLN CCOC(=O)C(C)(C)Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001590749861 1167003663 /nfs/dbraw/zinc/00/36/63/1167003663.db2.gz PGRKLRQUFTWFMN-UHFFFAOYSA-N 0 2 320.353 0.630 20 0 DCADLN CCc1nn(CC(=O)C2(C)CC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929352 1167054569 /nfs/dbraw/zinc/05/45/69/1167054569.db2.gz KGTFOXUOKVODOL-UHFFFAOYSA-N 0 2 316.365 0.917 20 0 DCADLN CCn1c(-c2c[nH]c(=O)c(-c3nn[nH]n3)c2)nnc1N(C)OC ZINC001590941397 1167056557 /nfs/dbraw/zinc/05/65/57/1167056557.db2.gz XGXFOIONFGLQLZ-UHFFFAOYSA-N 0 2 317.313 0.243 20 0 DCADLN CO[C@H]1CCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001591474030 1167303804 /nfs/dbraw/zinc/30/38/04/1167303804.db2.gz AVDBLHSOWROGPM-QMMMGPOBSA-N 0 2 304.310 0.137 20 0 DCADLN CSCCn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2=O ZINC001591698639 1167359271 /nfs/dbraw/zinc/35/92/71/1167359271.db2.gz DEXXKRWTPBVHDP-UHFFFAOYSA-N 0 2 305.363 0.910 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCC[C@H](C)O ZINC001591836086 1167390906 /nfs/dbraw/zinc/39/09/06/1167390906.db2.gz WUFKVXOUDPNULD-QMMMGPOBSA-N 0 2 306.326 0.167 20 0 DCADLN Cc1cccc(Cn2c(=O)c(-c3nn[nH]n3)c(C)n(C)c2=O)c1 ZINC001592232546 1167477828 /nfs/dbraw/zinc/47/78/28/1167477828.db2.gz URGWOCDWKMGRGM-UHFFFAOYSA-N 0 2 312.333 0.392 20 0 DCADLN Cc1ncsc1CN(C)Cn1cccc(-c2nn[nH]n2)c1=O ZINC001592424920 1167517494 /nfs/dbraw/zinc/51/74/94/1167517494.db2.gz JFSFZAXORCREKE-UHFFFAOYSA-N 0 2 317.378 0.883 20 0 DCADLN Cc1nsc(N2CC[C@H](c3nc[nH]n3)C2)c1-c1nn[nH]n1 ZINC001592474865 1167534056 /nfs/dbraw/zinc/53/40/56/1167534056.db2.gz ODQJCRCTSXVAKA-ZETCQYMHSA-N 0 2 303.355 0.744 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CC2CC3(CCC3)C2)c1=O ZINC001592558167 1167557497 /nfs/dbraw/zinc/55/74/97/1167557497.db2.gz RJNOZGRWGQEXKE-UHFFFAOYSA-N 0 2 302.338 0.307 20 0 DCADLN Nc1c(NC(=O)CCc2cnn[nH]2)ccc(F)c1-c1nn[nH]n1 ZINC001592757221 1167633777 /nfs/dbraw/zinc/63/37/77/1167633777.db2.gz QHESLHHSYWYIEU-UHFFFAOYSA-N 0 2 317.288 0.277 20 0 DCADLN O=C(Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2)C(F)F ZINC001592937369 1167668055 /nfs/dbraw/zinc/66/80/55/1167668055.db2.gz WEWNNUDXCHDEQZ-UHFFFAOYSA-N 0 2 309.276 0.741 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1ccccc1F ZINC001592941753 1167669657 /nfs/dbraw/zinc/66/96/57/1167669657.db2.gz ULHVVPNWWMEVAJ-UHFFFAOYSA-N 0 2 300.253 0.445 20 0 DCADLN O=C(NC[C@H](O)c1cnc[nH]1)c1cscc1-c1nn[nH]n1 ZINC001593013839 1167688986 /nfs/dbraw/zinc/68/89/86/1167688986.db2.gz MUVJJWLCRWWJMV-VIFPVBQESA-N 0 2 305.323 0.115 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1nncn1-c1ccccc1 ZINC001593494014 1167876914 /nfs/dbraw/zinc/87/69/14/1167876914.db2.gz CWCCEENZNROCGO-UHFFFAOYSA-N 0 2 320.316 0.657 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1nnc(-c2ccco2)o1 ZINC001593495311 1167880063 /nfs/dbraw/zinc/88/00/63/1167880063.db2.gz ZFNAFNMOKMKJGT-UHFFFAOYSA-N 0 2 312.249 0.115 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1cccc2c1CCOC2 ZINC001593495096 1167881161 /nfs/dbraw/zinc/88/11/61/1167881161.db2.gz VBKLSPATPQUKSD-UHFFFAOYSA-N 0 2 310.317 0.544 20 0 DCADLN O=C(CC[C@H]1NC(=O)NC1=O)Nc1ccccc1-c1nc[nH]n1 ZINC001596580393 1167994006 /nfs/dbraw/zinc/99/40/06/1167994006.db2.gz JOWVGPLMFZUFEV-SNVBAGLBSA-N 0 2 314.305 0.398 20 0 DCADLN Cc1c(NC(=O)C(=O)N2CC[NH+](C)CC2)ccc(F)c1C(=O)[O-] ZINC001600024524 1168103769 /nfs/dbraw/zinc/10/37/69/1168103769.db2.gz HXNYKFPPTCZTPH-UHFFFAOYSA-N 0 2 323.324 0.545 20 0 DCADLN Cc1cc(C[N@H+]2CC=C(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)no1 ZINC001600193065 1168135910 /nfs/dbraw/zinc/13/59/10/1168135910.db2.gz WFNIQDLFGHKKOG-KBPBESRZSA-N 0 2 319.361 0.952 20 0 DCADLN Cc1cc(C[N@@H+]2CC=C(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)no1 ZINC001600193065 1168135916 /nfs/dbraw/zinc/13/59/16/1168135916.db2.gz WFNIQDLFGHKKOG-KBPBESRZSA-N 0 2 319.361 0.952 20 0 DCADLN Cc1cc(C[NH+]2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)sn1 ZINC001600194679 1168136024 /nfs/dbraw/zinc/13/60/24/1168136024.db2.gz BKRFILSITORZRK-AWEZNQCLSA-N 0 2 311.407 0.813 20 0 DCADLN Cc1ccc(S(=O)(=O)NCC[NH+]2CC=CC2)c(C(=O)[O-])c1 ZINC001600480266 1168191118 /nfs/dbraw/zinc/19/11/18/1168191118.db2.gz CMRLYNVWYBIFTJ-UHFFFAOYSA-N 0 2 310.375 0.843 20 0 DCADLN Cc1ncc(C=CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001600735851 1168268301 /nfs/dbraw/zinc/26/83/01/1168268301.db2.gz DASVOLVZSFKHEQ-VUDBWIFFSA-N 0 2 306.347 1.000 20 0 DCADLN Cc1ncc(C=CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001600735851 1168268311 /nfs/dbraw/zinc/26/83/11/1168268311.db2.gz DASVOLVZSFKHEQ-VUDBWIFFSA-N 0 2 306.347 1.000 20 0 DCADLN Cn1c(=O)sc2cc(C[N@@H+]3C[C@@H](O)C[C@H]3C(=O)[O-])ccc21 ZINC001600899537 1168499826 /nfs/dbraw/zinc/49/98/26/1168499826.db2.gz YLSUXVBWPVBJLZ-ONGXEEELSA-N 0 2 308.359 0.620 20 0 DCADLN Cn1c(=O)sc2cc(C[N@H+]3C[C@@H](O)C[C@H]3C(=O)[O-])ccc21 ZINC001600899537 1168499831 /nfs/dbraw/zinc/49/98/31/1168499831.db2.gz YLSUXVBWPVBJLZ-ONGXEEELSA-N 0 2 308.359 0.620 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccc(F)cc1C(=O)[O-] ZINC001600993348 1168550289 /nfs/dbraw/zinc/55/02/89/1168550289.db2.gz PGEZFSDCCQUPEC-UHFFFAOYSA-N 0 2 320.280 0.512 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001601023524 1168568895 /nfs/dbraw/zinc/56/88/95/1168568895.db2.gz UTGGHYOWKBMSDN-JTQLQIEISA-N 0 2 323.353 0.057 20 0 DCADLN Cn1nnc2cc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])ccc21 ZINC001601043894 1168576344 /nfs/dbraw/zinc/57/63/44/1168576344.db2.gz QMTVZEALWVTEMY-LLVKDONJSA-N 0 2 314.305 0.117 20 0 DCADLN Cn1nnc2cc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])ccc21 ZINC001601043894 1168576348 /nfs/dbraw/zinc/57/63/48/1168576348.db2.gz QMTVZEALWVTEMY-LLVKDONJSA-N 0 2 314.305 0.117 20 0 DCADLN Cn1nnc2cc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])ccc21 ZINC001601043955 1168576426 /nfs/dbraw/zinc/57/64/26/1168576426.db2.gz SXKONFYGSFWCGL-NSHDSACASA-N 0 2 314.305 0.048 20 0 DCADLN Cn1nnc2cc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])ccc21 ZINC001601043954 1168576776 /nfs/dbraw/zinc/57/67/76/1168576776.db2.gz SXKONFYGSFWCGL-LLVKDONJSA-N 0 2 314.305 0.048 20 0 DCADLN NS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001601192911 1168647699 /nfs/dbraw/zinc/64/76/99/1168647699.db2.gz XXOQJGIKKYTNOQ-JTQLQIEISA-N 0 2 302.327 0.387 20 0 DCADLN NS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001601192911 1168647710 /nfs/dbraw/zinc/64/77/10/1168647710.db2.gz XXOQJGIKKYTNOQ-JTQLQIEISA-N 0 2 302.327 0.387 20 0 DCADLN O=C(C[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1)NC(C1CC1)C1CC1 ZINC001601308350 1168699820 /nfs/dbraw/zinc/69/98/20/1168699820.db2.gz QFZYCVNFPUWMFW-MRXNPFEDSA-N 0 2 321.377 0.597 20 0 DCADLN O=C(C[N@@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1)NC(C1CC1)C1CC1 ZINC001601308350 1168699826 /nfs/dbraw/zinc/69/98/26/1168699826.db2.gz QFZYCVNFPUWMFW-MRXNPFEDSA-N 0 2 321.377 0.597 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cn1ccc(C(F)F)n1 ZINC001601386833 1168729711 /nfs/dbraw/zinc/72/97/11/1168729711.db2.gz LDIXHQOJWLBQKR-VIFPVBQESA-N 0 2 313.264 0.356 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cn1ccc(C(F)F)n1 ZINC001601386833 1168729716 /nfs/dbraw/zinc/72/97/16/1168729716.db2.gz LDIXHQOJWLBQKR-VIFPVBQESA-N 0 2 313.264 0.356 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2c(c1)OCO2 ZINC001601425490 1168741966 /nfs/dbraw/zinc/74/19/66/1168741966.db2.gz BPUMTARYMOXXTC-JTQLQIEISA-N 0 2 303.274 0.495 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccn(C2CC2)c1=O ZINC001601564658 1168783674 /nfs/dbraw/zinc/78/36/74/1168783674.db2.gz DFQQUDPZHQTZPF-LBPRGKRZSA-N 0 2 316.317 0.332 20 0 DCADLN O=C([O-])COCC(=O)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC001602076708 1168988983 /nfs/dbraw/zinc/98/89/83/1168988983.db2.gz KEPATJPIJPBEEV-QPJJXVBHSA-N 0 2 318.373 0.945 20 0 DCADLN O=C([O-])Cc1ccc(N2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)cc1 ZINC001602122421 1168998117 /nfs/dbraw/zinc/99/81/17/1168998117.db2.gz WDHIHNYDFXWPTM-LBPRGKRZSA-N 0 2 314.301 0.704 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)c1 ZINC001602149907 1169002911 /nfs/dbraw/zinc/00/29/11/1169002911.db2.gz CYYZTPNILSIUIL-NEPJUHHUSA-N 0 2 310.375 0.580 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](C(=O)Nc2cnn(CC[NH+]3CCOCC3)c2)C1 ZINC001602215650 1169033015 /nfs/dbraw/zinc/03/30/15/1169033015.db2.gz CKRUWCPAXLVEQW-TXEJJXNPSA-N 0 2 322.365 0.265 20 0 DCADLN O=C([O-])c1cnc(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001602529716 1169107577 /nfs/dbraw/zinc/10/75/77/1169107577.db2.gz DJPPQJFZAXFCLI-JTQLQIEISA-N 0 2 316.321 0.153 20 0 DCADLN C[C@H]1C[C@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C[C@@H](C)C1 ZINC001603847364 1169410683 /nfs/dbraw/zinc/41/06/83/1169410683.db2.gz YLTDVMPWCVMWBE-BRPSZJMVSA-N 0 2 319.369 0.903 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001603917056 1169435241 /nfs/dbraw/zinc/43/52/41/1169435241.db2.gz UPBNWEIONPVSQU-VXGBXAGGSA-N 0 2 309.366 0.782 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001604166976 1169511565 /nfs/dbraw/zinc/51/15/65/1169511565.db2.gz KMDSLKDDWPBSRC-OXIQGZBJSA-N 0 2 311.382 0.260 20 0 DCADLN CCc1nn(C[C@@H]2CCO[C@@H]2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604580013 1169622033 /nfs/dbraw/zinc/62/20/33/1169622033.db2.gz UAZIJSIZRMDGAP-ZJUUUORDSA-N 0 2 318.381 0.973 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H](CF)C(=O)[O-])[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001604784459 1169680841 /nfs/dbraw/zinc/68/08/41/1169680841.db2.gz XXDXEALUBFLHDA-KXNHARMFSA-N 0 2 304.362 0.659 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H](CF)C(=O)[O-])[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001604784459 1169680845 /nfs/dbraw/zinc/68/08/45/1169680845.db2.gz XXDXEALUBFLHDA-KXNHARMFSA-N 0 2 304.362 0.659 20 0 DCADLN CCC(CC)[C@@H](C(=O)N[C@H](C(=O)[O-])[C@@H](C)O)[NH+]1CCOCC1 ZINC001605011006 1169778033 /nfs/dbraw/zinc/77/80/33/1169778033.db2.gz AOPLXQGPVSRKSL-WXHSDQCUSA-N 0 2 316.398 0.074 20 0 DCADLN CCCn1nccc1NC(=O)C[NH+]1CCC([C@H](O)C(=O)[O-])CC1 ZINC001605390286 1169910061 /nfs/dbraw/zinc/91/00/61/1169910061.db2.gz CTKPLGIZYWLZBP-AWEZNQCLSA-N 0 2 324.381 0.389 20 0 DCADLN CCN(CCOC)c1cccc(C[N@@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])n1 ZINC001605449493 1169925298 /nfs/dbraw/zinc/92/52/98/1169925298.db2.gz HMBHKQUKKDBLHQ-ZIAGYGMSSA-N 0 2 323.393 0.574 20 0 DCADLN CCN(CCOC)c1cccc(C[N@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])n1 ZINC001605449493 1169925302 /nfs/dbraw/zinc/92/53/02/1169925302.db2.gz HMBHKQUKKDBLHQ-ZIAGYGMSSA-N 0 2 323.393 0.574 20 0 DCADLN CC[N@H+](Cc1cn(CC(=O)[O-])nn1)[C@H](CO)c1ccccc1 ZINC001605462072 1169929569 /nfs/dbraw/zinc/92/95/69/1169929569.db2.gz VONGNXXGOXQETJ-CQSZACIVSA-N 0 2 304.350 0.918 20 0 DCADLN CC[N@@H+](Cc1cn(CC(=O)[O-])nn1)[C@H](CO)c1ccccc1 ZINC001605462072 1169929573 /nfs/dbraw/zinc/92/95/73/1169929573.db2.gz VONGNXXGOXQETJ-CQSZACIVSA-N 0 2 304.350 0.918 20 0 DCADLN CCOC(=O)[C@H]1C[N@@H+]([C@@H](C)C(=O)NCC(=O)[O-])CCC1(F)F ZINC001605527014 1169948337 /nfs/dbraw/zinc/94/83/37/1169948337.db2.gz QNRBPXWWHUXWHG-DTWKUNHWSA-N 0 2 322.308 0.096 20 0 DCADLN CCOC(=O)[C@H]1C[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])CCC1(F)F ZINC001605527014 1169948343 /nfs/dbraw/zinc/94/83/43/1169948343.db2.gz QNRBPXWWHUXWHG-DTWKUNHWSA-N 0 2 322.308 0.096 20 0 DCADLN CCOCCNC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC001605637198 1169980179 /nfs/dbraw/zinc/98/01/79/1169980179.db2.gz OXNMNMZZZPLGIZ-OAHLLOKOSA-N 0 2 322.361 0.490 20 0 DCADLN CCn1nc(C)c(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1C ZINC001605937067 1170029781 /nfs/dbraw/zinc/02/97/81/1170029781.db2.gz NNLMVFBVNDXPDQ-ZDUSSCGKSA-N 0 2 319.365 0.598 20 0 DCADLN CCn1nc(C)c(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1C ZINC001605937067 1170029783 /nfs/dbraw/zinc/02/97/83/1170029783.db2.gz NNLMVFBVNDXPDQ-ZDUSSCGKSA-N 0 2 319.365 0.598 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)NCc1coc(C[NH+](C)C)n1 ZINC001606036838 1170061962 /nfs/dbraw/zinc/06/19/62/1170061962.db2.gz DLYMPRXJBRFKMD-UHFFFAOYSA-N 0 2 322.325 0.490 20 0 DCADLN Cc1nn(CC(=O)N[C@H](C)C(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC001606115377 1170080486 /nfs/dbraw/zinc/08/04/86/1170080486.db2.gz YLQPZNPXCVHATJ-SECBINFHSA-N 0 2 319.369 0.201 20 0 DCADLN CN1C(=O)CN(C[NH+]2CCC(c3cc(C(=O)[O-])on3)CC2)C1=O ZINC001606189366 1170107727 /nfs/dbraw/zinc/10/77/27/1170107727.db2.gz IFNFBZOEWPDUPZ-UHFFFAOYSA-N 0 2 322.321 0.404 20 0 DCADLN Cn1c(=O)[nH]c(N2CCC[C@H]2C2CCC2)c(-c2nn[nH]n2)c1=O ZINC001606201541 1170109595 /nfs/dbraw/zinc/10/95/95/1170109595.db2.gz CIRPMUAMPDBTMH-VIFPVBQESA-N 0 2 317.353 0.023 20 0 DCADLN Cn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@@H](CC(=O)[O-])C1 ZINC001606224468 1170122865 /nfs/dbraw/zinc/12/28/65/1170122865.db2.gz JFEYMZJVTSUREL-RYUDHWBXSA-N 0 2 323.397 0.652 20 0 DCADLN Cn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCO[C@@H](CC(=O)[O-])C1 ZINC001606224468 1170122867 /nfs/dbraw/zinc/12/28/67/1170122867.db2.gz JFEYMZJVTSUREL-RYUDHWBXSA-N 0 2 323.397 0.652 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1C[C@H](C)O[C@]2(CCCOC2)C1 ZINC001606329666 1170160555 /nfs/dbraw/zinc/16/05/55/1170160555.db2.gz DKBIICCHIZTLGU-SLEUVZQESA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1C[C@H](C)O[C@]2(CCCOC2)C1 ZINC001606329666 1170160558 /nfs/dbraw/zinc/16/05/58/1170160558.db2.gz DKBIICCHIZTLGU-SLEUVZQESA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CC[C@@H]2OCCC[C@@H]2C1 ZINC001606538422 1170230778 /nfs/dbraw/zinc/23/07/78/1170230778.db2.gz TXPORCVIZJNHMD-MFKMUULPSA-N 0 2 323.349 0.826 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CC[C@@H]2OCCC[C@@H]2C1 ZINC001606538422 1170230783 /nfs/dbraw/zinc/23/07/83/1170230783.db2.gz TXPORCVIZJNHMD-MFKMUULPSA-N 0 2 323.349 0.826 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccc(Cl)cc1 ZINC001606620829 1170245630 /nfs/dbraw/zinc/24/56/30/1170245630.db2.gz PFESTTMDBPZRQR-UHFFFAOYSA-N 0 2 316.708 0.960 20 0 DCADLN O=C(NCc1c[nH]nn1)c1cc(F)c(-c2nn[nH]n2)c(F)c1 ZINC001606814174 1170298885 /nfs/dbraw/zinc/29/88/85/1170298885.db2.gz AUHOYSWOUAPIDD-UHFFFAOYSA-N 0 2 306.236 0.193 20 0 DCADLN O=C1[C@H](NCc2ccc(-c3nn[nH]n3)o2)CCN1C1CCC1 ZINC001607037087 1170361634 /nfs/dbraw/zinc/36/16/34/1170361634.db2.gz QVUDUPNFNWMDKH-LLVKDONJSA-N 0 2 302.338 0.703 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@H+](Cc3ccc(-c4nn[nH]n4)o3)C2)n[nH]1 ZINC001607090825 1170390835 /nfs/dbraw/zinc/39/08/35/1170390835.db2.gz XKTJOOGVCUSREN-QMMMGPOBSA-N 0 2 316.325 0.663 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@@H+](Cc3ccc(-c4nn[nH]n4)o3)C2)n[nH]1 ZINC001607090825 1170390845 /nfs/dbraw/zinc/39/08/45/1170390845.db2.gz XKTJOOGVCUSREN-QMMMGPOBSA-N 0 2 316.325 0.663 20 0 DCADLN COc1cccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1OC ZINC001607304621 1170424616 /nfs/dbraw/zinc/42/46/16/1170424616.db2.gz HICZCAMZAWWGQP-LLVKDONJSA-N 0 2 319.317 0.784 20 0 DCADLN C[C@@H]1CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C[C@H]1CC(=O)[O-] ZINC001607431985 1170451707 /nfs/dbraw/zinc/45/17/07/1170451707.db2.gz BVQOKIJZUPEXAH-UTUOFQBUSA-N 0 2 306.366 0.950 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1O ZINC001607768713 1170503664 /nfs/dbraw/zinc/50/36/64/1170503664.db2.gz FSNQNZVXJMXHFI-LBPRGKRZSA-N 0 2 303.318 0.778 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1O ZINC001607768713 1170503671 /nfs/dbraw/zinc/50/36/71/1170503671.db2.gz FSNQNZVXJMXHFI-LBPRGKRZSA-N 0 2 303.318 0.778 20 0 DCADLN Cc1nc(N(C)CCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])c(C)c(C)[nH+]1 ZINC001607947321 1170531913 /nfs/dbraw/zinc/53/19/13/1170531913.db2.gz KIHWJCWSFKQXDK-NEPJUHHUSA-N 0 2 306.366 0.675 20 0 DCADLN Cc1nc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@H]2C(=O)[O-])cc[nH+]1 ZINC001607988179 1170540556 /nfs/dbraw/zinc/54/05/56/1170540556.db2.gz GIBNZDPCCXEKFC-YFVNTRNASA-N 0 2 302.334 0.057 20 0 DCADLN Cc1nnc(C[N@@H+]2CCC3(CN(C(=O)[C@H]4C[C@H]4C(=O)[O-])C3)C2)o1 ZINC001608016861 1170548857 /nfs/dbraw/zinc/54/88/57/1170548857.db2.gz YKJGFDDQQIUROF-WDEREUQCSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1nnc(C[N@H+]2CCC3(CN(C(=O)[C@H]4C[C@H]4C(=O)[O-])C3)C2)o1 ZINC001608016861 1170548862 /nfs/dbraw/zinc/54/88/62/1170548862.db2.gz YKJGFDDQQIUROF-WDEREUQCSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1nnc(C[N@@H+]2CCC3(CN(C(=O)[C@@H]4C[C@H]4C(=O)[O-])C3)C2)o1 ZINC001608016858 1170549135 /nfs/dbraw/zinc/54/91/35/1170549135.db2.gz YKJGFDDQQIUROF-GHMZBOCLSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1nnc(C[N@H+]2CCC3(CN(C(=O)[C@@H]4C[C@H]4C(=O)[O-])C3)C2)o1 ZINC001608016858 1170549142 /nfs/dbraw/zinc/54/91/42/1170549142.db2.gz YKJGFDDQQIUROF-GHMZBOCLSA-N 0 2 320.349 0.133 20 0 DCADLN Cn1nc(C(=O)[O-])cc1NC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001608144329 1170594395 /nfs/dbraw/zinc/59/43/95/1170594395.db2.gz TWRHRQUMAXKCQR-AOOOYVTPSA-N 0 2 323.353 0.103 20 0 DCADLN Cn1nc(C(=O)[O-])cc1NC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001608144329 1170594397 /nfs/dbraw/zinc/59/43/97/1170594397.db2.gz TWRHRQUMAXKCQR-AOOOYVTPSA-N 0 2 323.353 0.103 20 0 DCADLN NC(=O)[C@@H](F)C1CC[NH+](Cc2ncc(C(=O)[O-])s2)CC1 ZINC001608227857 1170620362 /nfs/dbraw/zinc/62/03/62/1170620362.db2.gz GVWGNJVRFKIPFM-JTQLQIEISA-N 0 2 301.343 0.877 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)NCc1ncc(C(=O)[O-])s1 ZINC001608281181 1170642110 /nfs/dbraw/zinc/64/21/10/1170642110.db2.gz FYRNAFVAQXITHF-OWOJBTEDSA-N 0 2 311.363 0.346 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2n[nH]nc2c1 ZINC001608403724 1170670526 /nfs/dbraw/zinc/67/05/26/1170670526.db2.gz UTCFLMOEEXMPHR-NSHDSACASA-N 0 2 300.278 0.038 20 0 DCADLN O=C([O-])c1cc(C(=O)NCCc2cn3c([nH+]2)CCCC3)no1 ZINC001608454293 1170682590 /nfs/dbraw/zinc/68/25/90/1170682590.db2.gz CFCZALJQPDHZOH-UHFFFAOYSA-N 0 2 304.306 0.878 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001608543314 1170699622 /nfs/dbraw/zinc/69/96/22/1170699622.db2.gz LHRDKHSBMXFPCA-XQQFMLRXSA-N 0 2 307.350 0.515 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC001608554408 1170700089 /nfs/dbraw/zinc/70/00/89/1170700089.db2.gz PXEZGNQRZIHKRH-DGCLKSJQSA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](Cc2nncn2-c2ccccc2)C1 ZINC001608601781 1170713586 /nfs/dbraw/zinc/71/35/86/1170713586.db2.gz NAOKQIGWTAOFFO-WCQYABFASA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](Cc2nncn2-c2ccccc2)C1 ZINC001608601781 1170713592 /nfs/dbraw/zinc/71/35/92/1170713592.db2.gz NAOKQIGWTAOFFO-WCQYABFASA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])CC1(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC001608677495 1170735356 /nfs/dbraw/zinc/73/53/56/1170735356.db2.gz AIMDAXYOMNBLBI-LBPRGKRZSA-N 0 2 321.377 0.833 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1[C@@H]1NC(=O)N(Cc2ccn3cc[nH+]c3c2)C1=O ZINC001608813717 1170759694 /nfs/dbraw/zinc/75/96/94/1170759694.db2.gz FSRCYFQZMHDAJM-FOGDFJRCSA-N 0 2 314.301 0.475 20 0 DCADLN O=C([O-])c1ccc2c(c1)C(=O)N(C[N@@H+]1C[C@@H]3COC(=O)[C@@H]3C1)C2 ZINC001608918596 1170771621 /nfs/dbraw/zinc/77/16/21/1170771621.db2.gz UQRHGUKAPZNSLL-DGCLKSJQSA-N 0 2 316.313 0.403 20 0 DCADLN O=C([O-])c1ccc2c(c1)C(=O)N(C[N@H+]1C[C@@H]3COC(=O)[C@@H]3C1)C2 ZINC001608918596 1170771622 /nfs/dbraw/zinc/77/16/22/1170771622.db2.gz UQRHGUKAPZNSLL-DGCLKSJQSA-N 0 2 316.313 0.403 20 0 DCADLN O=Cc1ccc(OCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC001609049979 1170792481 /nfs/dbraw/zinc/79/24/81/1170792481.db2.gz XWVAJPDNLNQKQS-CYBMUJFWSA-N 0 2 317.301 0.413 20 0 DCADLN O=Cc1ccc(OCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001609049979 1170792483 /nfs/dbraw/zinc/79/24/83/1170792483.db2.gz XWVAJPDNLNQKQS-CYBMUJFWSA-N 0 2 317.301 0.413 20 0 DCADLN Cc1cc(C[C@@H](C)NC(=O)c2cccc(-c3nn[nH]n3)n2)n[nH]1 ZINC001609399682 1170966884 /nfs/dbraw/zinc/96/68/84/1170966884.db2.gz KRDOVZCKSAGRQI-MRVPVSSYSA-N 0 2 312.337 0.654 20 0 DCADLN Cc1cc(C[C@H](C)NC(=O)c2cccc(-c3nn[nH]n3)n2)n[nH]1 ZINC001609399683 1170967470 /nfs/dbraw/zinc/96/74/70/1170967470.db2.gz KRDOVZCKSAGRQI-QMMMGPOBSA-N 0 2 312.337 0.654 20 0 DCADLN Cc1nn(C)c(Cl)c1Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001609458956 1170985048 /nfs/dbraw/zinc/98/50/48/1170985048.db2.gz SRNFQLGUFADRIU-UHFFFAOYSA-N 0 2 306.717 0.167 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1ccc(F)c(F)c1 ZINC001609538044 1171013414 /nfs/dbraw/zinc/01/34/14/1171013414.db2.gz NIQMJIXIMQTQLB-UHFFFAOYSA-N 0 2 318.243 0.585 20 0 DCADLN O=C(Nc1n[nH]c(-c2ccccn2)n1)c1ccc(-c2nn[nH]n2)o1 ZINC001609570847 1171025807 /nfs/dbraw/zinc/02/58/07/1171025807.db2.gz BQBJVEARNSSZPZ-UHFFFAOYSA-N 0 2 323.276 0.892 20 0 DCADLN CC(C)(CC[N@@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1)C(=O)[O-] ZINC001609674581 1171063336 /nfs/dbraw/zinc/06/33/36/1171063336.db2.gz QADYTQWAUGZEKS-ZDUSSCGKSA-N 0 2 305.396 0.377 20 0 DCADLN CC(C)(CC[N@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1)C(=O)[O-] ZINC001609674581 1171063344 /nfs/dbraw/zinc/06/33/44/1171063344.db2.gz QADYTQWAUGZEKS-ZDUSSCGKSA-N 0 2 305.396 0.377 20 0 DCADLN CC(C)[C@H](NC(=O)C[NH+]1CCC(O)(C(F)F)CC1)C(=O)[O-] ZINC001609716517 1171072772 /nfs/dbraw/zinc/07/27/72/1171072772.db2.gz LGNFNZJSQIAJBX-JTQLQIEISA-N 0 2 308.325 0.304 20 0 DCADLN C[C@H](O)C(=O)N1CC[NH+](CCOc2cccc(C(=O)[O-])c2)CC1 ZINC001609789896 1171086760 /nfs/dbraw/zinc/08/67/60/1171086760.db2.gz VNIHXQKZKQYMFK-LBPRGKRZSA-N 0 2 322.361 0.289 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[NH+]2CCC(c3n[nH]c(=O)[nH]3)CC2)O1 ZINC001609828555 1171095979 /nfs/dbraw/zinc/09/59/79/1171095979.db2.gz KVPQJQJZFARQHG-SNVBAGLBSA-N 0 2 308.338 0.837 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)c1ccc(C(=O)[O-])s1 ZINC001610014658 1171139557 /nfs/dbraw/zinc/13/95/57/1171139557.db2.gz PNBYEKHMVGVXFF-QMMMGPOBSA-N 0 2 312.347 0.423 20 0 DCADLN CCOc1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001610039395 1171144344 /nfs/dbraw/zinc/14/43/44/1171144344.db2.gz MYTUBJQHCHIJPG-NSHDSACASA-N 0 2 304.306 0.629 20 0 DCADLN CCOc1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001610039395 1171144345 /nfs/dbraw/zinc/14/43/45/1171144345.db2.gz MYTUBJQHCHIJPG-NSHDSACASA-N 0 2 304.306 0.629 20 0 DCADLN CNc1nc(N2C[C@@H](O)C[C@H](C(=O)[O-])C2)[nH+]c2ccccc21 ZINC001610148946 1171177025 /nfs/dbraw/zinc/17/70/25/1171177025.db2.gz ZACXOWWGJPAJCO-UWVGGRQHSA-N 0 2 302.334 0.943 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCCn2cc[nH+]c2)[C@@H](C(=O)[O-])C1 ZINC001610164619 1171184156 /nfs/dbraw/zinc/18/41/56/1171184156.db2.gz CWCUMVZHAHBMJZ-NWDGAFQWSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@@H+]1CC[C@@H](C)C[C@H]1C(=O)[O-])C(C)C ZINC001610192457 1171194169 /nfs/dbraw/zinc/19/41/69/1171194169.db2.gz LHQGLMXVANLMHE-MDZLAQPJSA-N 0 2 314.382 0.485 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@H+]1CC[C@@H](C)C[C@H]1C(=O)[O-])C(C)C ZINC001610192457 1171194171 /nfs/dbraw/zinc/19/41/71/1171194171.db2.gz LHQGLMXVANLMHE-MDZLAQPJSA-N 0 2 314.382 0.485 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@@H]1C[C@@H](C)O ZINC001610197832 1171195765 /nfs/dbraw/zinc/19/57/65/1171195765.db2.gz UIXWCVKZXLIKAC-NXEZZACHSA-N 0 2 311.338 0.561 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@@H]1C[C@@H](C)O ZINC001610197832 1171195768 /nfs/dbraw/zinc/19/57/68/1171195768.db2.gz UIXWCVKZXLIKAC-NXEZZACHSA-N 0 2 311.338 0.561 20 0 DCADLN COCc1nc(C)c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001610273303 1171214636 /nfs/dbraw/zinc/21/46/36/1171214636.db2.gz BFWKZSMKSBZKOO-VIFPVBQESA-N 0 2 324.362 0.747 20 0 DCADLN COCc1nc(C)c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001610273303 1171214640 /nfs/dbraw/zinc/21/46/40/1171214640.db2.gz BFWKZSMKSBZKOO-VIFPVBQESA-N 0 2 324.362 0.747 20 0 DCADLN COCc1ncc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001610274719 1171215126 /nfs/dbraw/zinc/21/51/26/1171215126.db2.gz FSYRJFYSFYJIJM-QMMMGPOBSA-N 0 2 310.335 0.438 20 0 DCADLN COCc1ncc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001610274719 1171215129 /nfs/dbraw/zinc/21/51/29/1171215129.db2.gz FSYRJFYSFYJIJM-QMMMGPOBSA-N 0 2 310.335 0.438 20 0 DCADLN COc1cc2c(cc1OC)[C@@H](CC(=O)[O-])[N@H+](CC(N)=O)CC2 ZINC001610310967 1171218497 /nfs/dbraw/zinc/21/84/97/1171218497.db2.gz KCYRIFMKRYDLKR-LLVKDONJSA-N 0 2 308.334 0.563 20 0 DCADLN COc1cc2c(cc1OC)[C@@H](CC(=O)[O-])[N@@H+](CC(N)=O)CC2 ZINC001610310967 1171218503 /nfs/dbraw/zinc/21/85/03/1171218503.db2.gz KCYRIFMKRYDLKR-LLVKDONJSA-N 0 2 308.334 0.563 20 0 DCADLN COc1ccc(C[N@H+](C)[C@H](C)C(=O)NCC(=O)[O-])c(OC)c1 ZINC001610330755 1171220752 /nfs/dbraw/zinc/22/07/52/1171220752.db2.gz VHKBWZVYGFJEBV-SNVBAGLBSA-N 0 2 310.350 0.725 20 0 DCADLN COc1ccc(C[N@@H+](C)[C@H](C)C(=O)NCC(=O)[O-])c(OC)c1 ZINC001610330755 1171220755 /nfs/dbraw/zinc/22/07/55/1171220755.db2.gz VHKBWZVYGFJEBV-SNVBAGLBSA-N 0 2 310.350 0.725 20 0 DCADLN COc1nc(Cl)c(C[NH+]2CCC([C@@H](O)C(=O)[O-])CC2)n1C ZINC001610369440 1171225036 /nfs/dbraw/zinc/22/50/36/1171225036.db2.gz SWJCHDUKWPOBMH-SNVBAGLBSA-N 0 2 317.773 0.740 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cc(C(=O)[O-])no2)C[C@H](C)O1 ZINC001610395774 1171229476 /nfs/dbraw/zinc/22/94/76/1171229476.db2.gz ITIIXHZFBHHITI-AOOOYVTPSA-N 0 2 311.338 0.554 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)c2cc(C(=O)[O-])no2)C[C@H](C)O1 ZINC001610395774 1171229481 /nfs/dbraw/zinc/22/94/81/1171229481.db2.gz ITIIXHZFBHHITI-AOOOYVTPSA-N 0 2 311.338 0.554 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCC[N@@H+]1CC(=O)NCCC1CCCCC1 ZINC001610664018 1171285352 /nfs/dbraw/zinc/28/53/52/1171285352.db2.gz MPVKUEXYDBMWSW-ZFWWWQNUSA-N 0 2 312.410 0.983 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCC[N@H+]1CC(=O)NCCC1CCCCC1 ZINC001610664018 1171285358 /nfs/dbraw/zinc/28/53/58/1171285358.db2.gz MPVKUEXYDBMWSW-ZFWWWQNUSA-N 0 2 312.410 0.983 20 0 DCADLN O=C([O-])[C@@H](CC(F)F)NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC001610715351 1171297781 /nfs/dbraw/zinc/29/77/81/1171297781.db2.gz JYDZGIKHMPWXEP-GHMZBOCLSA-N 0 2 320.336 0.854 20 0 DCADLN O=C([O-])[C@@H](CC(F)F)NC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC001610715351 1171297785 /nfs/dbraw/zinc/29/77/85/1171297785.db2.gz JYDZGIKHMPWXEP-GHMZBOCLSA-N 0 2 320.336 0.854 20 0 DCADLN O=C([O-])CCCCCS(=O)(=O)N1CC[NH2+]C[C@H]1C(F)F ZINC001610768012 1171318119 /nfs/dbraw/zinc/31/81/19/1171318119.db2.gz FXWBISHEAGPCKN-VIFPVBQESA-N 0 2 314.354 0.500 20 0 DCADLN O=C([O-])c1ccc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cn1 ZINC001610879188 1171347843 /nfs/dbraw/zinc/34/78/43/1171347843.db2.gz UCRMGTGANLVWDU-TXEJJXNPSA-N 0 2 306.318 0.800 20 0 DCADLN O=C([O-])c1ccc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cn1 ZINC001610879188 1171347851 /nfs/dbraw/zinc/34/78/51/1171347851.db2.gz UCRMGTGANLVWDU-TXEJJXNPSA-N 0 2 306.318 0.800 20 0 DCADLN Cc1cn2ccnc(C(=O)NCCc3n[nH]c(=S)o3)c2n1 ZINC001617080141 1171384993 /nfs/dbraw/zinc/38/49/93/1171384993.db2.gz NOCNULFAWLJSTF-UHFFFAOYSA-N 0 2 304.335 0.682 20 0 DCADLN COCc1nnc(CNC(=O)c2ccc3c(c2)nc[nH]c3=O)[nH]1 ZINC001632233640 1171613238 /nfs/dbraw/zinc/61/32/38/1171613238.db2.gz KWMSFPYFPRAVEW-UHFFFAOYSA-N 0 2 314.305 0.530 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cnc3ccccc3c2O)C(=O)N1C ZINC001633409988 1171641509 /nfs/dbraw/zinc/64/15/09/1171641509.db2.gz SJDXNENVWGHPPZ-MRVPVSSYSA-N 0 2 314.301 0.455 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=S)c1C(=O)NCCc1n[nH]c(=S)o1 ZINC001634590917 1171678358 /nfs/dbraw/zinc/67/83/58/1171678358.db2.gz KOZBSNPLYMQNCT-UHFFFAOYSA-N 0 2 313.364 0.424 20 0 DCADLN C/C=C/[C@H](O)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635457293 1171703297 /nfs/dbraw/zinc/70/32/97/1171703297.db2.gz WMCYWIFBTACKKS-AQZGPHHMSA-N 0 2 302.334 0.847 20 0 DCADLN CC[C@H](C)CONC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001635635282 1171710592 /nfs/dbraw/zinc/71/05/92/1171710592.db2.gz GFJNHJOOBUISJP-QMMMGPOBSA-N 0 2 319.387 0.126 20 0 DCADLN Cc1cnc(CCNC(=O)c2cn[nH]c2-c2cnn(C)c2)cn1 ZINC001637477184 1171769314 /nfs/dbraw/zinc/76/93/14/1171769314.db2.gz ITFUPGNCSMQJOM-UHFFFAOYSA-N 0 2 311.349 0.881 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cc(-c2cccc(O)c2)on1 ZINC001638138010 1171786887 /nfs/dbraw/zinc/78/68/87/1171786887.db2.gz WWSWZMKSSAZYRU-UHFFFAOYSA-N 0 2 302.246 0.244 20 0 DCADLN O=C(NOC/C=C/Cl)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001638369476 1171796043 /nfs/dbraw/zinc/79/60/43/1171796043.db2.gz KUBALYDSJJGQCE-LZCJLJQNSA-N 0 2 310.697 0.680 20 0 DCADLN O=c1[nH]nc(CNc2cnc(I)nc2)[nH]1 ZINC001639590522 1171842860 /nfs/dbraw/zinc/84/28/60/1171842860.db2.gz PFUFJMXLZBJIAT-UHFFFAOYSA-N 0 2 318.078 0.517 20 0 DCADLN CN(C(=O)c1cn[nH]c1-c1ccc2c(c1)OCO2)c1nn[nH]n1 ZINC001641462529 1171916309 /nfs/dbraw/zinc/91/63/09/1171916309.db2.gz XYEYWUGUBZZFEW-UHFFFAOYSA-N 0 2 313.277 0.595 20 0 DCADLN COC[C@@H](NC(=O)Cc1n[nH]c2ccccc12)c1nn[nH]n1 ZINC001645320499 1172035422 /nfs/dbraw/zinc/03/54/22/1172035422.db2.gz UKNLLJLETAFBCV-LLVKDONJSA-N 0 2 301.310 0.122 20 0 DCADLN C[C@H](CC(=O)OC(C)(C)C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001647093375 1172669682 /nfs/dbraw/zinc/66/96/82/1172669682.db2.gz UPENRPPDMXUVIK-HTQZYQBOSA-N 0 2 315.395 0.779 20 0 DCADLN CC[C@H](CCO)CNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001647105013 1172677098 /nfs/dbraw/zinc/67/70/98/1172677098.db2.gz XLDRCGDMBMHKIL-LLVKDONJSA-N 0 2 306.366 0.398 20 0 DCADLN CCn1cc([C@@H]2C[S@@](=O)CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001649769848 1173211725 /nfs/dbraw/zinc/21/17/25/1173211725.db2.gz DBSOVNCVMGJSPX-CWKPULSASA-N 0 2 310.383 0.032 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001650014341 1173376616 /nfs/dbraw/zinc/37/66/16/1173376616.db2.gz WWBWDSIQAGRSJM-UHFFFAOYSA-N 0 2 303.322 0.792 20 0 DCADLN CC(C)NS(=O)(=O)NCC[NH2+]Cc1ccc([O-])c(F)c1F ZINC001657765043 1173916272 /nfs/dbraw/zinc/91/62/72/1173916272.db2.gz CVNDAFSSSRDPCI-UHFFFAOYSA-N 0 2 323.365 0.592 20 0 DCADLN O=C(C=Cc1ccco1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001660801771 1174051944 /nfs/dbraw/zinc/05/19/44/1174051944.db2.gz GSFMKIBZBJZJFX-MVIFTORASA-N 0 2 317.349 0.897 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccc(F)nc1 ZINC001663710613 1174344444 /nfs/dbraw/zinc/34/44/44/1174344444.db2.gz QOYAOQAMJSSTSU-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccc(F)nc1 ZINC001663710613 1174344448 /nfs/dbraw/zinc/34/44/48/1174344448.db2.gz QOYAOQAMJSSTSU-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN COc1cncc(C[NH2+]CCN(C)C(=O)Cn2cc[nH+]c2C)n1 ZINC001664369633 1174426090 /nfs/dbraw/zinc/42/60/90/1174426090.db2.gz FRIQLYREOZNOAC-UHFFFAOYSA-N 0 2 318.381 0.238 20 0 DCADLN O=C(C[C@H]1CCCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001686638181 1176180527 /nfs/dbraw/zinc/18/05/27/1176180527.db2.gz MQTUXSNYZFYWHD-PSASIEDQSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(C[C@H]1CCCOC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686638181 1176180531 /nfs/dbraw/zinc/18/05/31/1176180531.db2.gz MQTUXSNYZFYWHD-PSASIEDQSA-N 0 2 314.279 0.936 20 0 DCADLN Cc1cnn(CCC(=O)NCCNC(=O)C(F)C(F)(F)F)c1 ZINC001686689886 1176193234 /nfs/dbraw/zinc/19/32/34/1176193234.db2.gz YEESSYFMUUAMBG-JTQLQIEISA-N 0 2 324.278 0.714 20 0 DCADLN Cc1cnn(CCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001686689886 1176193236 /nfs/dbraw/zinc/19/32/36/1176193236.db2.gz YEESSYFMUUAMBG-JTQLQIEISA-N 0 2 324.278 0.714 20 0 DCADLN CO[C@@H](C(=O)NCCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001686983119 1176259783 /nfs/dbraw/zinc/25/97/83/1176259783.db2.gz DDMSETZXLZJOJM-BDAKNGLRSA-N 0 2 314.279 0.934 20 0 DCADLN CO[C@@H](C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001686983119 1176259786 /nfs/dbraw/zinc/25/97/86/1176259786.db2.gz DDMSETZXLZJOJM-BDAKNGLRSA-N 0 2 314.279 0.934 20 0 DCADLN O=S1(=O)CC[C@@H](CNc2nc(Cl)nc3[nH]cnc32)C1 ZINC000228599540 1177518981 /nfs/dbraw/zinc/51/89/81/1177518981.db2.gz BPWHUBOBXSEHOQ-LURJTMIESA-N 0 2 301.759 0.853 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)ccn1 ZINC001720130346 1178681397 /nfs/dbraw/zinc/68/13/97/1178681397.db2.gz QMZFXVLFRSBKBD-SECBINFHSA-N 0 2 323.246 0.837 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)ccn1 ZINC001720130346 1178681400 /nfs/dbraw/zinc/68/14/00/1178681400.db2.gz QMZFXVLFRSBKBD-SECBINFHSA-N 0 2 323.246 0.837 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001703591958 1179607094 /nfs/dbraw/zinc/60/70/94/1179607094.db2.gz AUBAJQMDCQQEKT-LURJTMIESA-N 0 2 311.239 0.283 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001703591958 1179607097 /nfs/dbraw/zinc/60/70/97/1179607097.db2.gz AUBAJQMDCQQEKT-LURJTMIESA-N 0 2 311.239 0.283 20 0 DCADLN CC(C)=CC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721742981 1180558738 /nfs/dbraw/zinc/55/87/38/1180558738.db2.gz HFUNBEINQADNNG-CBAPKCEASA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001721742981 1180558745 /nfs/dbraw/zinc/55/87/45/1180558745.db2.gz HFUNBEINQADNNG-CBAPKCEASA-N 0 2 300.252 0.446 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc2cccnn21)Cc1n[nH]c(=O)[n-]1 ZINC001714566279 1181420214 /nfs/dbraw/zinc/42/02/14/1181420214.db2.gz XBAFFZCELDOPTA-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc2cccnn21)Cc1n[nH]c(=O)[n-]1 ZINC001714566279 1181420216 /nfs/dbraw/zinc/42/02/16/1181420216.db2.gz XBAFFZCELDOPTA-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C=Cc1ccco1 ZINC001715510194 1181834411 /nfs/dbraw/zinc/83/44/11/1181834411.db2.gz RRBCOCXXZXIOMC-AATRIKPKSA-N 0 2 305.338 0.707 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C=Cc1ccco1 ZINC001715510194 1181834416 /nfs/dbraw/zinc/83/44/16/1181834416.db2.gz RRBCOCXXZXIOMC-AATRIKPKSA-N 0 2 305.338 0.707 20 0 DCADLN C[C@@]1(NC(=O)C=Cc2ccc[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716837963 1182541765 /nfs/dbraw/zinc/54/17/65/1182541765.db2.gz FDUGIGCZPPBRSF-FOSCPCJNSA-N 0 2 316.365 0.632 20 0 DCADLN C[C@@]1(NC(=O)C=Cc2ccc[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716837963 1182541767 /nfs/dbraw/zinc/54/17/67/1182541767.db2.gz FDUGIGCZPPBRSF-FOSCPCJNSA-N 0 2 316.365 0.632 20 0 DCADLN O=C(C=C1CCC1)N[C@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001717021791 1182627923 /nfs/dbraw/zinc/62/79/23/1182627923.db2.gz SDDLZZOBDBOHEE-OAHLLOKOSA-N 0 2 321.381 0.064 20 0 DCADLN CC(=O)CCCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001718014807 1183108643 /nfs/dbraw/zinc/10/86/43/1183108643.db2.gz GSYXRDIYNIVJLR-UHFFFAOYSA-N 0 2 321.381 0.518 20 0 DCADLN CC(=O)CCCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001718014807 1183108647 /nfs/dbraw/zinc/10/86/47/1183108647.db2.gz GSYXRDIYNIVJLR-UHFFFAOYSA-N 0 2 321.381 0.518 20 0 DCADLN CC(C)=C(F)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001718622880 1183292701 /nfs/dbraw/zinc/29/27/01/1183292701.db2.gz YRYKGIJGFMSUJD-POYBYMJQSA-N 0 2 318.242 0.744 20 0 DCADLN CC(C)=C(F)C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001718622880 1183292703 /nfs/dbraw/zinc/29/27/03/1183292703.db2.gz YRYKGIJGFMSUJD-POYBYMJQSA-N 0 2 318.242 0.744 20 0 DCADLN CCc1nc(C[NH2+]CCCN(C)C(=O)C[N@@H+]2CC[C@@H](C)C2)no1 ZINC001723122205 1184265114 /nfs/dbraw/zinc/26/51/14/1184265114.db2.gz GAKVGWZVWHWCSU-CYBMUJFWSA-N 0 2 323.441 0.912 20 0 DCADLN COCCC[C@@H](C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001723813100 1184350956 /nfs/dbraw/zinc/35/09/56/1184350956.db2.gz LPPNKIZTLPYUIZ-SNVBAGLBSA-N 0 2 311.386 0.216 20 0 DCADLN CN(CC[N@H+](C)CCOCC(F)(F)F)C(=O)Cn1cc[nH+]c1 ZINC001732600126 1185868192 /nfs/dbraw/zinc/86/81/92/1185868192.db2.gz KYUINXDXTLYCSO-UHFFFAOYSA-N 0 2 322.331 0.852 20 0 DCADLN CCOCCC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001737987517 1187437239 /nfs/dbraw/zinc/43/72/39/1187437239.db2.gz VFMMLDWIPWEDMT-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN CCOCCC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001737987517 1187437241 /nfs/dbraw/zinc/43/72/41/1187437241.db2.gz VFMMLDWIPWEDMT-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN Cc1ncc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001742782138 1188051415 /nfs/dbraw/zinc/05/14/15/1188051415.db2.gz CMKCIAIWWXIDEV-QMMMGPOBSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1ncc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)[nH]1 ZINC001742782138 1188051417 /nfs/dbraw/zinc/05/14/17/1188051417.db2.gz CMKCIAIWWXIDEV-QMMMGPOBSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1cc(C[NH2+]CCCN(C)C(=O)Cc2[nH]c[nH+]c2C)nn1C ZINC001754411804 1188848810 /nfs/dbraw/zinc/84/88/10/1188848810.db2.gz SOJLEDHGQZYUTM-UHFFFAOYSA-N 0 2 318.425 0.941 20 0 DCADLN C/C(=C/C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001754667813 1188900405 /nfs/dbraw/zinc/90/04/05/1188900405.db2.gz YRNHGVCRUMAYRI-YYRKOSNBSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C/C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001754667813 1188900407 /nfs/dbraw/zinc/90/04/07/1188900407.db2.gz YRNHGVCRUMAYRI-YYRKOSNBSA-N 0 2 305.382 0.947 20 0 DCADLN CC(C)=CC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001756976240 1189482581 /nfs/dbraw/zinc/48/25/81/1189482581.db2.gz COACEDGBMHLRJP-MRTMQBJTSA-N 0 2 312.263 0.541 20 0 DCADLN CC(C)=CC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001756976240 1189482585 /nfs/dbraw/zinc/48/25/85/1189482585.db2.gz COACEDGBMHLRJP-MRTMQBJTSA-N 0 2 312.263 0.541 20 0 DCADLN CCn1nccc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001757969433 1189647085 /nfs/dbraw/zinc/64/70/85/1189647085.db2.gz FDDHYAAITSVZHT-QMMMGPOBSA-N 0 2 310.251 0.649 20 0 DCADLN CCn1nccc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001757969433 1189647087 /nfs/dbraw/zinc/64/70/87/1189647087.db2.gz FDDHYAAITSVZHT-QMMMGPOBSA-N 0 2 310.251 0.649 20 0 DCADLN CC(=O)N[C@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758118286 1189733080 /nfs/dbraw/zinc/73/30/80/1189733080.db2.gz FUTKOCVNQXSQQM-SVRRBLITSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)N[C@H](C)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001758118286 1189733085 /nfs/dbraw/zinc/73/30/85/1189733085.db2.gz FUTKOCVNQXSQQM-SVRRBLITSA-N 0 2 315.267 0.034 20 0 DCADLN Cn1nccc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758138174 1189746945 /nfs/dbraw/zinc/74/69/45/1189746945.db2.gz WQFKNFSDTWBGAO-QMMMGPOBSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1nccc1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001758138174 1189746948 /nfs/dbraw/zinc/74/69/48/1189746948.db2.gz WQFKNFSDTWBGAO-QMMMGPOBSA-N 0 2 310.251 0.557 20 0 DCADLN CNC(=O)CC(=O)N[C@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001758866150 1190020780 /nfs/dbraw/zinc/02/07/80/1190020780.db2.gz LOCRYANIDXVLRN-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN CNC(=O)CC(=O)N[C@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001758866150 1190020786 /nfs/dbraw/zinc/02/07/86/1190020786.db2.gz LOCRYANIDXVLRN-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN Cn1cc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)nn1 ZINC001759692855 1190270737 /nfs/dbraw/zinc/27/07/37/1190270737.db2.gz KQKZYRXSIWHUNT-UFUPEUMYSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1cc(C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001759692855 1190270741 /nfs/dbraw/zinc/27/07/41/1190270741.db2.gz KQKZYRXSIWHUNT-UFUPEUMYSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1cc(CCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001771693528 1190470568 /nfs/dbraw/zinc/47/05/68/1190470568.db2.gz ZAJAZYUQGLXDNI-LLVKDONJSA-N 0 2 304.354 0.583 20 0 DCADLN O=C([C@@H]1CCn2ccnc2C1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001771753181 1190489758 /nfs/dbraw/zinc/48/97/58/1190489758.db2.gz POFMNRMTYXBMGS-MNOVXSKESA-N 0 2 316.365 0.675 20 0 DCADLN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)CCc2nc[nH]n2)C1 ZINC001771867947 1190535434 /nfs/dbraw/zinc/53/54/34/1190535434.db2.gz QSTKRAKZKNVMLG-LLVKDONJSA-N 0 2 319.287 0.407 20 0 DCADLN CC/C(C)=C\C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001212237164 751398752 /nfs/dbraw/zinc/39/87/52/751398752.db2.gz HXLHISDNEZFSDU-XFDQCWFDSA-N 0 2 309.370 0.182 20 0 DCADLN CCCc1n[nH]cc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043195135 752125484 /nfs/dbraw/zinc/12/54/84/752125484.db2.gz YARSPSYNWYQOSK-UHFFFAOYSA-N 0 2 319.369 0.142 20 0 DCADLN COC(=O)C[C@H]1C[N@H+](Cc2ccccc2)CCN1C(=O)C(=O)[O-] ZINC001143415578 747318354 /nfs/dbraw/zinc/31/83/54/747318354.db2.gz MZTQSTQBSNEDHD-ZDUSSCGKSA-N 0 2 320.345 0.347 20 0 DCADLN COC(=O)C[C@H]1C[N@@H+](Cc2ccccc2)CCN1C(=O)C(=O)[O-] ZINC001143415578 747318361 /nfs/dbraw/zinc/31/83/61/747318361.db2.gz MZTQSTQBSNEDHD-ZDUSSCGKSA-N 0 2 320.345 0.347 20 0 DCADLN CC1(C(=O)N2CC(O)(CNC(=O)C(F)C(F)(F)F)C2)CC1 ZINC001043377247 752202534 /nfs/dbraw/zinc/20/25/34/752202534.db2.gz SQDGPARTGFGJPT-SSDOTTSWSA-N 0 2 312.263 0.376 20 0 DCADLN CC1(C(=O)N2CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C2)CC1 ZINC001043377247 752202537 /nfs/dbraw/zinc/20/25/37/752202537.db2.gz SQDGPARTGFGJPT-SSDOTTSWSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(/C=C\C1CC1)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377831 752202676 /nfs/dbraw/zinc/20/26/76/752202676.db2.gz VMMJZCNZKYMRQD-XOULXFPDSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(/C=C\C1CC1)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043377831 752202680 /nfs/dbraw/zinc/20/26/80/752202680.db2.gz VMMJZCNZKYMRQD-XOULXFPDSA-N 0 2 324.274 0.543 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CC[N@@H+]1Cc1ccnn1C ZINC001087767202 749042678 /nfs/dbraw/zinc/04/26/78/749042678.db2.gz OKIJWVGVKPNMTQ-NWDGAFQWSA-N 0 2 303.370 0.321 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CC[N@H+]1Cc1ccnn1C ZINC001087767202 749042681 /nfs/dbraw/zinc/04/26/81/749042681.db2.gz OKIJWVGVKPNMTQ-NWDGAFQWSA-N 0 2 303.370 0.321 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ncccn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087770837 749045035 /nfs/dbraw/zinc/04/50/35/749045035.db2.gz YPZQWSOVXVRMDS-VHSXEESVSA-N 0 2 317.353 0.083 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ncccn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087770837 749045038 /nfs/dbraw/zinc/04/50/38/749045038.db2.gz YPZQWSOVXVRMDS-VHSXEESVSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@@H]([NH2+][C@H]1CCCCCN(C(=O)Cc2c[nH+]cn2C)C1)C(N)=O ZINC001088413404 749427235 /nfs/dbraw/zinc/42/72/35/749427235.db2.gz FLHGCQCLJWPMKZ-OLZOCXBDSA-N 0 2 321.425 0.197 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088491537 749505972 /nfs/dbraw/zinc/50/59/72/749505972.db2.gz GGEMPBAWWSLFHB-VWYCJHECSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088491537 749505975 /nfs/dbraw/zinc/50/59/75/749505975.db2.gz GGEMPBAWWSLFHB-VWYCJHECSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088535397 749558810 /nfs/dbraw/zinc/55/88/10/749558810.db2.gz RZPBUDBWAXXOJT-MNOVXSKESA-N 0 2 318.381 0.550 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088535397 749558812 /nfs/dbraw/zinc/55/88/12/749558812.db2.gz RZPBUDBWAXXOJT-MNOVXSKESA-N 0 2 318.381 0.550 20 0 DCADLN O=c1cc(I)nc(OC[C@@H]2COCO2)[nH]1 ZINC001228946854 749574023 /nfs/dbraw/zinc/57/40/23/749574023.db2.gz PFYGNZGSTRBQFS-YFKPBYRVSA-N 0 2 324.074 0.539 20 0 DCADLN COC(=O)c1nc2ccc(N[C@H]3C[C@@H](S(C)(=O)=O)C3)nc2[nH]1 ZINC001168255748 749669525 /nfs/dbraw/zinc/66/95/25/749669525.db2.gz VEPNOOTVUZCUTI-OCAPTIKFSA-N 0 2 324.362 0.732 20 0 DCADLN CCN(C)C(=O)CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001112868850 761984835 /nfs/dbraw/zinc/98/48/35/761984835.db2.gz ZULMPAWTOPGWFW-SNVBAGLBSA-N 0 2 313.295 0.509 20 0 DCADLN CCN(C)C(=O)CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001112868850 761984838 /nfs/dbraw/zinc/98/48/38/761984838.db2.gz ZULMPAWTOPGWFW-SNVBAGLBSA-N 0 2 313.295 0.509 20 0 DCADLN CC[C@H](C(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1)[NH+](C)C ZINC001077152605 750232383 /nfs/dbraw/zinc/23/23/83/750232383.db2.gz ZJGCWECCEIMORS-UONOGXRCSA-N 0 2 323.441 0.624 20 0 DCADLN CC[C@@H](C)CC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001212184906 750639124 /nfs/dbraw/zinc/63/91/24/750639124.db2.gz IMWNZTVNUUWWLD-GMTAPVOTSA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H](C)[C@@H](OC)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113044332 762109926 /nfs/dbraw/zinc/10/99/26/762109926.db2.gz OZZSTCTUFFYHBO-ZYHUDNBSSA-N 0 2 311.386 0.216 20 0 DCADLN CN(C(=O)[C@H](F)c1ccccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043983593 752504874 /nfs/dbraw/zinc/50/48/74/752504874.db2.gz ZKWSRDQBWYAENE-CYBMUJFWSA-N 0 2 319.340 0.864 20 0 DCADLN CCCc1nc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001044181311 752613051 /nfs/dbraw/zinc/61/30/51/752613051.db2.gz ZYNXYIFVHXWVGO-UHFFFAOYSA-N 0 2 320.353 0.407 20 0 DCADLN C[C@]1(NC(=O)C2CCOCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046099916 753480670 /nfs/dbraw/zinc/48/06/70/753480670.db2.gz USVRTZWCXLEONX-AWEZNQCLSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(NC(=O)C2CCOCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046099916 753480674 /nfs/dbraw/zinc/48/06/74/753480674.db2.gz USVRTZWCXLEONX-AWEZNQCLSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(NC(=O)c2ccnc(F)c2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046188521 753539701 /nfs/dbraw/zinc/53/97/01/753539701.db2.gz GGMIJIQKPSKOMZ-CQSZACIVSA-N 0 2 320.328 0.439 20 0 DCADLN C[C@@]1(NC(=O)c2cccc(=O)[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046221519 753569202 /nfs/dbraw/zinc/56/92/02/753569202.db2.gz NFHKZKOVSPZABS-CQSZACIVSA-N 0 2 318.337 0.005 20 0 DCADLN C[C@@]1(NC(=O)c2cccc(=O)[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046221519 753569206 /nfs/dbraw/zinc/56/92/06/753569206.db2.gz NFHKZKOVSPZABS-CQSZACIVSA-N 0 2 318.337 0.005 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O)C1CCCCC1 ZINC001090035108 753752535 /nfs/dbraw/zinc/75/25/35/753752535.db2.gz UKAVYMQUGPQTTI-NEPJUHHUSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001046628457 753840197 /nfs/dbraw/zinc/84/01/97/753840197.db2.gz WAFLGJLGJCPTCU-AWEZNQCLSA-N 0 2 319.369 0.245 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001046628457 753840202 /nfs/dbraw/zinc/84/02/02/753840202.db2.gz WAFLGJLGJCPTCU-AWEZNQCLSA-N 0 2 319.369 0.245 20 0 DCADLN CCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001048957983 755040018 /nfs/dbraw/zinc/04/00/18/755040018.db2.gz PXJYTJOGDPPEBP-ACLDMZEESA-N 0 2 300.252 0.327 20 0 DCADLN CCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001048957983 755040023 /nfs/dbraw/zinc/04/00/23/755040023.db2.gz PXJYTJOGDPPEBP-ACLDMZEESA-N 0 2 300.252 0.327 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCOC1 ZINC001096870440 755537984 /nfs/dbraw/zinc/53/79/84/755537984.db2.gz MFSVUQSHKXVIRV-KKOKHZNYSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1CCCOC1 ZINC001096870441 755538021 /nfs/dbraw/zinc/53/80/21/755538021.db2.gz MFSVUQSHKXVIRV-QCNOEVLYSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCCO1 ZINC001096964662 755557290 /nfs/dbraw/zinc/55/72/90/755557290.db2.gz WYALGSUXCNFPLN-WYUUTHIRSA-N 0 2 321.381 0.301 20 0 DCADLN O=C(C1=CCCC1)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053218306 755960651 /nfs/dbraw/zinc/96/06/51/755960651.db2.gz GZPSXKQOKXFHMZ-UHFFFAOYSA-N 0 2 319.365 0.034 20 0 DCADLN CC[C@@H](C)C[NH+]1CC2(C1)CN(C(=O)c1n[nH]c(C)c1[O-])CCO2 ZINC001053225653 755963481 /nfs/dbraw/zinc/96/34/81/755963481.db2.gz KJKQXYGUQGCMOG-LLVKDONJSA-N 0 2 322.409 0.997 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccc(Cl)[nH]1 ZINC001080402391 756200048 /nfs/dbraw/zinc/20/00/48/756200048.db2.gz TWAYZVKHLBGPJA-VXNVDRBHSA-N 0 2 324.772 0.742 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccc(Cl)[nH]1 ZINC001080402391 756200051 /nfs/dbraw/zinc/20/00/51/756200051.db2.gz TWAYZVKHLBGPJA-VXNVDRBHSA-N 0 2 324.772 0.742 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001082112965 756932320 /nfs/dbraw/zinc/93/23/20/756932320.db2.gz PKGYHVBJMNUJDB-DDHJBXDOSA-N 0 2 323.397 0.262 20 0 DCADLN CC(C)=C(F)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084275613 757768701 /nfs/dbraw/zinc/76/87/01/757768701.db2.gz BWBDQIAFLXLBMB-NXEZZACHSA-N 0 2 309.345 0.806 20 0 DCADLN O=C(Cc1ccoc1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084300879 757774619 /nfs/dbraw/zinc/77/46/19/757774619.db2.gz VEZVHSAUAMDRRV-VXGBXAGGSA-N 0 2 317.349 0.379 20 0 DCADLN C[C@]1(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)C=CCC1 ZINC001084585944 757919077 /nfs/dbraw/zinc/91/90/77/757919077.db2.gz DUPOBJGGNUNWKR-HSMVNMDESA-N 0 2 317.393 0.899 20 0 DCADLN CC1(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)CCC1 ZINC001084582364 757919160 /nfs/dbraw/zinc/91/91/60/757919160.db2.gz NWYRBGZMODCEIC-GHMZBOCLSA-N 0 2 305.382 0.733 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)c1cccs1 ZINC001050803107 758085843 /nfs/dbraw/zinc/08/58/43/758085843.db2.gz SEWOQLVPBRJKAZ-VIFPVBQESA-N 0 2 323.378 0.203 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccccc1F ZINC001085461689 758904923 /nfs/dbraw/zinc/90/49/23/758904923.db2.gz MBXATTFHNJXCMN-JTQLQIEISA-N 0 2 319.340 0.996 20 0 DCADLN Cc1noc(C)c1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085481807 758928731 /nfs/dbraw/zinc/92/87/31/758928731.db2.gz PYVNHRMHFZQNQA-JTQLQIEISA-N 0 2 320.353 0.462 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001085532460 759010637 /nfs/dbraw/zinc/01/06/37/759010637.db2.gz NVIGLFMIRCGJRT-CIQGVGRVSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cc(F)c[nH]1 ZINC001085728930 759239370 /nfs/dbraw/zinc/23/93/70/759239370.db2.gz KKIQWVQRDYMKJX-VIFPVBQESA-N 0 2 308.317 0.324 20 0 DCADLN Cc1nocc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085796994 759327988 /nfs/dbraw/zinc/32/79/88/759327988.db2.gz FDCVRHCQIDQPBH-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1C[C@H]1C1CC1 ZINC001085866603 759404477 /nfs/dbraw/zinc/40/44/77/759404477.db2.gz MQUSRTBRLREVOW-SDDRHHMPSA-N 0 2 305.382 0.589 20 0 DCADLN Cc1oc2nc[nH]c(=O)c2c1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC001148179948 767931380 /nfs/dbraw/zinc/93/13/80/767931380.db2.gz LXITZOUNAMEQCN-RXMQYKEDSA-N 0 2 319.277 0.164 20 0 DCADLN O=C(N[C@@H]1COC2(CN(C(=O)C3CC3)C2)C1)C(F)C(F)(F)F ZINC001068894886 760592631 /nfs/dbraw/zinc/59/26/31/760592631.db2.gz GDHZPFVZMZDBGP-IUCAKERBSA-N 0 2 324.274 0.783 20 0 DCADLN O=C(N[C@@H]1COC2(CN(C(=O)C3CC3)C2)C1)[C@H](F)C(F)(F)F ZINC001068894886 760592636 /nfs/dbraw/zinc/59/26/36/760592636.db2.gz GDHZPFVZMZDBGP-IUCAKERBSA-N 0 2 324.274 0.783 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccco2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071311850 761772729 /nfs/dbraw/zinc/77/27/29/761772729.db2.gz BMEPSLKNIAKGGT-ZJUUUORDSA-N 0 2 305.338 0.886 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccn(C)c2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071428282 761841915 /nfs/dbraw/zinc/84/19/15/761841915.db2.gz YKWLORUTWMDSOX-ZYHUDNBSSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccn(C)c2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071428282 761841924 /nfs/dbraw/zinc/84/19/24/761841924.db2.gz YKWLORUTWMDSOX-ZYHUDNBSSA-N 0 2 318.381 0.632 20 0 DCADLN Cc1ccc(C(=O)NCC(=O)NNC(=O)c2ccccn2)s1 ZINC000021001268 763121421 /nfs/dbraw/zinc/12/14/21/763121421.db2.gz IUMSAYJKGSRARC-UHFFFAOYSA-N 0 2 318.358 0.643 20 0 DCADLN CC(C)=C(C)CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099949321 763155667 /nfs/dbraw/zinc/15/56/67/763155667.db2.gz PHUVAHRFMNBRFA-NWDGAFQWSA-N 0 2 323.397 0.308 20 0 DCADLN Cc1cc(N(C)CCNC(=O)C(F)C(F)(F)F)nc(CO)n1 ZINC001100366661 763522637 /nfs/dbraw/zinc/52/26/37/763522637.db2.gz DMXGKFYGZOIDDS-JTQLQIEISA-N 0 2 324.278 0.730 20 0 DCADLN Cc1cc(N(C)CCNC(=O)[C@H](F)C(F)(F)F)nc(CO)n1 ZINC001100366661 763522643 /nfs/dbraw/zinc/52/26/43/763522643.db2.gz DMXGKFYGZOIDDS-JTQLQIEISA-N 0 2 324.278 0.730 20 0 DCADLN CCCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131875452 764089282 /nfs/dbraw/zinc/08/92/82/764089282.db2.gz NYUVPOBUMBKEJV-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131875452 764089289 /nfs/dbraw/zinc/08/92/89/764089289.db2.gz NYUVPOBUMBKEJV-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(CC[C@H]1NC(=O)NC1=O)NCc1ccc2[nH]cnc2c1 ZINC001118709375 766411662 /nfs/dbraw/zinc/41/16/62/766411662.db2.gz JCMUQBVJHUJTHR-SNVBAGLBSA-N 0 2 301.306 0.167 20 0 DCADLN O=C(CC[C@H]1NC(=O)NC1=O)NCc1ccc2nc[nH]c2c1 ZINC001118709375 766411669 /nfs/dbraw/zinc/41/16/69/766411669.db2.gz JCMUQBVJHUJTHR-SNVBAGLBSA-N 0 2 301.306 0.167 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)N(C)C[C@@H](O)C[NH+]1CCOCC1 ZINC001119537894 766717942 /nfs/dbraw/zinc/71/79/42/766717942.db2.gz TVMNYXZWRGXNRI-GFCCVEGCSA-N 0 2 316.398 0.029 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H](O)c1cccc(Cl)c1 ZINC001144833213 767056986 /nfs/dbraw/zinc/05/69/86/767056986.db2.gz KKQSMOITCXUCPD-XVKPBYJWSA-N 0 2 300.723 0.799 20 0 DCADLN Cc1nnc(C[N@H+]2C[C@@H](CNC(=O)c3n[nH]cc3F)[C@H](C)C2)[nH]1 ZINC001092941094 768420473 /nfs/dbraw/zinc/42/04/73/768420473.db2.gz BHTAFAOENVXBBD-PSASIEDQSA-N 0 2 321.360 0.473 20 0 DCADLN O=C([O-])COc1ccc(C[N@@H+]2CCN3C(=O)OC[C@H]3C2)cc1 ZINC001138927491 768446330 /nfs/dbraw/zinc/44/63/30/768446330.db2.gz MWVMIIYOLZERGN-GFCCVEGCSA-N 0 2 306.318 0.786 20 0 DCADLN O=C([O-])COc1ccc(C[N@H+]2CCN3C(=O)OC[C@H]3C2)cc1 ZINC001138927491 768446333 /nfs/dbraw/zinc/44/63/33/768446333.db2.gz MWVMIIYOLZERGN-GFCCVEGCSA-N 0 2 306.318 0.786 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc2cccnc2c1O ZINC001149327132 768733578 /nfs/dbraw/zinc/73/35/78/768733578.db2.gz SIXUIDZRPQWFII-GFCCVEGCSA-N 0 2 303.299 0.979 20 0 DCADLN O=C(N[C@H](c1nn[nH]n1)c1ccccc1)[C@H]1CCCc2nn[nH]c21 ZINC001149501480 768767669 /nfs/dbraw/zinc/76/76/69/768767669.db2.gz UUPOQYMQXLFQJD-JQWIXIFHSA-N 0 2 324.348 0.644 20 0 DCADLN CC(C)(C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001150634712 769145792 /nfs/dbraw/zinc/14/57/92/769145792.db2.gz SXFIJWRZAPWMIP-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc2ccccc2oc1=O ZINC001150956740 769225937 /nfs/dbraw/zinc/22/59/37/769225937.db2.gz NVMLNYJQAVFQMN-NSHDSACASA-N 0 2 304.283 0.832 20 0 DCADLN CS(=O)(=O)[C@H]1CC[N@H+](Cc2ccccc2OCC(=O)[O-])C1 ZINC001231792901 769285126 /nfs/dbraw/zinc/28/51/26/769285126.db2.gz NAWVZNCTHJOSIJ-LBPRGKRZSA-N 0 2 313.375 0.769 20 0 DCADLN CS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccccc2OCC(=O)[O-])C1 ZINC001231792901 769285132 /nfs/dbraw/zinc/28/51/32/769285132.db2.gz NAWVZNCTHJOSIJ-LBPRGKRZSA-N 0 2 313.375 0.769 20 0 DCADLN C[C@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001233739840 769435671 /nfs/dbraw/zinc/43/56/71/769435671.db2.gz ANOHVGBITPZTMB-VXGBXAGGSA-N 0 2 318.381 0.606 20 0 DCADLN COc1ncc(NS(=O)(=O)c2cncc(N)c2)c(OC)n1 ZINC001174870657 769816014 /nfs/dbraw/zinc/81/60/14/769816014.db2.gz VKUBSBPBFZZAAJ-UHFFFAOYSA-N 0 2 311.323 0.272 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cc(C)cc(C=O)c2O)C1=O ZINC001156423843 770813167 /nfs/dbraw/zinc/81/31/67/770813167.db2.gz HXAZKEUYWBMAMN-HNNXBMFYSA-N 0 2 319.317 0.878 20 0 DCADLN C[C@@H]1CCC(=CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001179020667 770920640 /nfs/dbraw/zinc/92/06/40/770920640.db2.gz DMOBUWFOEQALAY-WPVMNKCKSA-N 0 2 312.395 0.712 20 0 DCADLN C[C@H](NC(=O)CC(=O)NCC(F)(F)F)c1nn(C)cc1O ZINC001179926602 771034461 /nfs/dbraw/zinc/03/44/61/771034461.db2.gz HSTMCNLHDSRRQI-LURJTMIESA-N 0 2 308.260 0.372 20 0 DCADLN CC(C)OCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110309843 771493625 /nfs/dbraw/zinc/49/36/25/771493625.db2.gz RKZFWWAPULDKHL-UTUOFQBUSA-N 0 2 323.397 0.547 20 0 DCADLN CC(C)OCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110309843 771493627 /nfs/dbraw/zinc/49/36/27/771493627.db2.gz RKZFWWAPULDKHL-UTUOFQBUSA-N 0 2 323.397 0.547 20 0 DCADLN CCOC(=O)c1nc[nH]c1CNC(=O)[C@H]1C=CN=C2N=CC=C21 ZINC001183590232 771672114 /nfs/dbraw/zinc/67/21/14/771672114.db2.gz WPCMLLDGTUVTEN-JTQLQIEISA-N 0 2 313.317 0.755 20 0 DCADLN CCOC(=O)c1nc[nH]c1CNC(=O)C1C=CN=C2N=CC=C21 ZINC001183590232 771672116 /nfs/dbraw/zinc/67/21/16/771672116.db2.gz WPCMLLDGTUVTEN-JTQLQIEISA-N 0 2 313.317 0.755 20 0 DCADLN Cc1cccc(N2CC[C@H](C(=O)NCc3n[nH]c(=O)[nH]3)C2=O)c1 ZINC001184633848 771803871 /nfs/dbraw/zinc/80/38/71/771803871.db2.gz NMPFEFAUZOFKID-LLVKDONJSA-N 0 2 315.333 0.488 20 0 DCADLN O=C(CC(F)(F)F)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110352754 771818912 /nfs/dbraw/zinc/81/89/12/771818912.db2.gz AMYQHUZOXSGQGQ-PRJMDXOYSA-N 0 2 319.287 0.684 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1ccc(C(=O)OC)s1 ZINC001188349610 772297882 /nfs/dbraw/zinc/29/78/82/772297882.db2.gz DZZIBXXZBKGXQM-UHFFFAOYSA-N 0 2 307.349 0.840 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(NC[C@@H]2OC[C@H]3C[NH2+]CC[C@H]32)cc1 ZINC001163177555 772478031 /nfs/dbraw/zinc/47/80/31/772478031.db2.gz IUIVEJHOXNBDOE-HONMWMINSA-N 0 2 312.306 0.526 20 0 DCADLN O=[P@]([O-])(O)c1ccc(NC[C@@H]2OC[C@H]3C[NH2+]CC[C@H]32)cc1 ZINC001163177555 772478035 /nfs/dbraw/zinc/47/80/35/772478035.db2.gz IUIVEJHOXNBDOE-HONMWMINSA-N 0 2 312.306 0.526 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)c1ncc(F)cc1F)=C(C)O ZINC001190207761 772607419 /nfs/dbraw/zinc/60/74/19/772607419.db2.gz VVIOOBZKVDFFOI-SECBINFHSA-N 0 2 322.289 0.159 20 0 DCADLN O=Nc1c(=O)[nH]c(=S)[nH]c1NS(=O)(=O)c1ncc[nH]1 ZINC001190690902 772665578 /nfs/dbraw/zinc/66/55/78/772665578.db2.gz QGJWUUAUEAULHA-UHFFFAOYSA-N 0 2 302.297 0.393 20 0 DCADLN CCNC(=O)C[NH+](C)[C@@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190946925 772696388 /nfs/dbraw/zinc/69/63/88/772696388.db2.gz PQQQGCKVHBTBJX-KGLIPLIRSA-N 0 2 310.442 0.140 20 0 DCADLN CN(C(=O)c1cc(S(N)(=O)=O)c(Cl)cc1O)C1COC1 ZINC001191024238 772709692 /nfs/dbraw/zinc/70/96/92/772709692.db2.gz BQLCKESYTRYPFU-UHFFFAOYSA-N 0 2 320.754 0.164 20 0 DCADLN Cn1c(Br)ccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001191613606 772799397 /nfs/dbraw/zinc/79/93/97/772799397.db2.gz WIXGGZUJWUGPFE-UHFFFAOYSA-N 0 2 300.116 0.541 20 0 DCADLN O=C(c1ccc(O)c(F)c1F)N1CCN2C(=O)COC[C@H]2C1 ZINC001192833805 772966008 /nfs/dbraw/zinc/96/60/08/772966008.db2.gz VUUHITDMZANWCA-MRVPVSSYSA-N 0 2 312.272 0.354 20 0 DCADLN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2cc(F)c(O)cc2F)C1 ZINC001192875605 772967438 /nfs/dbraw/zinc/96/74/38/772967438.db2.gz DRPBVVMHNVONBH-LJGSYFOKSA-N 0 2 305.302 0.976 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ncccn2)C[C@H]1O)C(F)C(F)(F)F ZINC001193130806 773010831 /nfs/dbraw/zinc/01/08/31/773010831.db2.gz OFWRLGMWVXXACT-NQMVMOMDSA-N 0 2 322.262 0.038 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ncccn2)C[C@H]1O)[C@@H](F)C(F)(F)F ZINC001193130806 773010833 /nfs/dbraw/zinc/01/08/33/773010833.db2.gz OFWRLGMWVXXACT-NQMVMOMDSA-N 0 2 322.262 0.038 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC[C@H]1CCCO1 ZINC001206148553 773051361 /nfs/dbraw/zinc/05/13/61/773051361.db2.gz JCYMKRIUWJGMRQ-IJLUTSLNSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC[C@H]1CCCO1 ZINC001206148553 773051364 /nfs/dbraw/zinc/05/13/64/773051364.db2.gz JCYMKRIUWJGMRQ-IJLUTSLNSA-N 0 2 323.397 0.406 20 0 DCADLN C[NH+](C)C1(C(=O)N[C@@H]2C[N@H+](CCC(F)(F)F)C[C@H]2O)CCC1 ZINC001193766786 773113052 /nfs/dbraw/zinc/11/30/52/773113052.db2.gz WDCQHIJLKJBZMZ-GHMZBOCLSA-N 0 2 323.359 0.584 20 0 DCADLN COC(=O)Cn1cc(NS(=O)(=O)c2ccccc2N)cn1 ZINC001193895762 773126346 /nfs/dbraw/zinc/12/63/46/773126346.db2.gz JQBDEEGMIXAEOV-UHFFFAOYSA-N 0 2 310.335 0.439 20 0 DCADLN C[C@@H]1CN(Cc2nncn2C)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207023322 773163610 /nfs/dbraw/zinc/16/36/10/773163610.db2.gz UIAYJKLIBJYZMN-MRTMQBJTSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H]1CN(Cc2nncn2C)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001207023322 773163612 /nfs/dbraw/zinc/16/36/12/773163612.db2.gz UIAYJKLIBJYZMN-MRTMQBJTSA-N 0 2 323.294 0.652 20 0 DCADLN CCOC(=O)c1cc(NC(=O)c2cc(C(=O)OC)n[nH]2)n(C)n1 ZINC001194281846 773175349 /nfs/dbraw/zinc/17/53/49/773175349.db2.gz APQUCCYNQQFOEP-UHFFFAOYSA-N 0 2 321.293 0.359 20 0 DCADLN CCOC(=O)c1cc(NC(=O)c2cc(C(=O)OC)[nH]n2)n(C)n1 ZINC001194281846 773175350 /nfs/dbraw/zinc/17/53/50/773175350.db2.gz APQUCCYNQQFOEP-UHFFFAOYSA-N 0 2 321.293 0.359 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2nc(OC)nc(OC)c2C)[nH]n1 ZINC001194284246 773176484 /nfs/dbraw/zinc/17/64/84/773176484.db2.gz VZCPPLBGWCFAFO-UHFFFAOYSA-N 0 2 321.293 0.564 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2nc(OC)nc(OC)c2C)n[nH]1 ZINC001194284246 773176485 /nfs/dbraw/zinc/17/64/85/773176485.db2.gz VZCPPLBGWCFAFO-UHFFFAOYSA-N 0 2 321.293 0.564 20 0 DCADLN COC(=O)c1cc(C(=O)n2c(N)nc(=O)c3ccccc32)[nH]n1 ZINC001194295586 773179558 /nfs/dbraw/zinc/17/95/58/773179558.db2.gz YYBCMYRNFGBNEX-UHFFFAOYSA-N 0 2 313.273 0.420 20 0 DCADLN CN(CCO)c1cc(NS(=O)(=O)c2ccc(O)cc2)ncn1 ZINC001195766927 773471354 /nfs/dbraw/zinc/47/13/54/773471354.db2.gz VNGRTKAUSAGQIR-UHFFFAOYSA-N 0 2 324.362 0.412 20 0 DCADLN O=C(CCOCC1CC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195833096 773490988 /nfs/dbraw/zinc/49/09/88/773490988.db2.gz ZKYHRZNAZOWUOZ-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3ncccn3)C[C@H]21)C(F)C(F)(F)F ZINC001114309447 773493089 /nfs/dbraw/zinc/49/30/89/773493089.db2.gz WGLYMUNCKUYYTH-URPMGSGRSA-N 0 2 318.274 0.923 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1ccc(C)cc1F)C(=O)OC ZINC001196394587 773590600 /nfs/dbraw/zinc/59/06/00/773590600.db2.gz JOQPGTWCTGPPOS-UHFFFAOYSA-N 0 2 319.310 0.127 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccc(N2CCCC2)n1 ZINC001196672607 773629671 /nfs/dbraw/zinc/62/96/71/773629671.db2.gz FENQVFWCTZCSCS-GFCCVEGCSA-N 0 2 306.347 0.721 20 0 DCADLN CCOC(=O)c1cnc(NS(=O)(=O)Cc2cccnc2)cn1 ZINC001197422163 773745716 /nfs/dbraw/zinc/74/57/16/773745716.db2.gz PTHPCYHNDBPCJJ-UHFFFAOYSA-N 0 2 322.346 0.990 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)Cc2c(F)cccc2F)S1 ZINC001197613328 773769356 /nfs/dbraw/zinc/76/93/56/773769356.db2.gz PLNZRZDIJYHVKM-SECBINFHSA-N 0 2 322.314 0.693 20 0 DCADLN COCc1cccc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c1 ZINC001213928234 773902966 /nfs/dbraw/zinc/90/29/66/773902966.db2.gz QMJLHRJJXAXSBN-UHFFFAOYSA-N 0 2 300.274 0.927 20 0 DCADLN COc1cc(NS(=O)(=O)c2cnc(C)n2C)cc(C(N)=O)c1 ZINC001198406451 773918291 /nfs/dbraw/zinc/91/82/91/773918291.db2.gz CFPLBMPTNYDCEF-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cn(-c2ccccn2)cn1 ZINC001199117494 774042959 /nfs/dbraw/zinc/04/29/59/774042959.db2.gz NPPPSTRYDYGCJN-NSHDSACASA-N 0 2 303.303 0.306 20 0 DCADLN CSCCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221256319 775045820 /nfs/dbraw/zinc/04/58/20/775045820.db2.gz SZBLJGZRUJRCHA-NXEZZACHSA-N 0 2 311.411 0.296 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cc(F)c[nH]1 ZINC001095778434 775664672 /nfs/dbraw/zinc/66/46/72/775664672.db2.gz ZQZKGPLBQBFPIV-YWVKMMECSA-N 0 2 320.328 0.513 20 0 DCADLN COCCCCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226483537 775825572 /nfs/dbraw/zinc/82/55/72/775825572.db2.gz XTWFIPDPYZXDLX-UHFFFAOYSA-N 0 2 311.386 0.408 20 0 DCADLN COC1CCC(Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c32)CC1 ZINC001226887173 775891225 /nfs/dbraw/zinc/89/12/25/775891225.db2.gz CNKMXFXCXFFBNM-UHFFFAOYSA-N 0 2 308.294 0.873 20 0 DCADLN CCC[C@H](OC)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001228579612 776098496 /nfs/dbraw/zinc/09/84/96/776098496.db2.gz MLEHMSMUPUXHKX-NSHDSACASA-N 0 2 311.386 0.406 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C=Cc1ccco1 ZINC001230639411 776364581 /nfs/dbraw/zinc/36/45/81/776364581.db2.gz VQBULNLXIIOYCV-SGUJLRQBSA-N 0 2 317.349 0.849 20 0 DCADLN O=C(c1ccco1)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041902672 777575537 /nfs/dbraw/zinc/57/55/37/777575537.db2.gz FQRPAJDCJKPOHP-MNOVXSKESA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccco1)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041902672 777575543 /nfs/dbraw/zinc/57/55/43/777575543.db2.gz FQRPAJDCJKPOHP-MNOVXSKESA-N 0 2 317.349 0.840 20 0 DCADLN Cn1c(=O)[nH]c(NCCC(F)(F)F)c(-c2nn[nH]n2)c1=O ZINC001592545595 1167549890 /nfs/dbraw/zinc/54/98/90/1167549890.db2.gz GBCZLLJWEQDOCL-UHFFFAOYSA-N 0 2 305.220 0.030 20 0 DCADLN Cc1noc(C[N@H+](C)CCCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600812502 1168341460 /nfs/dbraw/zinc/34/14/60/1168341460.db2.gz HVVHXBIREQSMJK-QWRGUYRKSA-N 0 2 310.354 0.379 20 0 DCADLN CC(C)CC[N@@H+]1CCc2c([nH]nc2C(=O)N=c2nn[n-]n2C)C1 ZINC001278172655 945168248 /nfs/dbraw/zinc/16/82/48/945168248.db2.gz BEIYRBTYQOTBLM-UHFFFAOYSA-N 0 2 318.385 0.012 20 0 DCADLN CC(C)CC[N@H+]1CCc2c([nH]nc2C(=O)N=c2nn[n-]n2C)C1 ZINC001278172655 945168256 /nfs/dbraw/zinc/16/82/56/945168256.db2.gz BEIYRBTYQOTBLM-UHFFFAOYSA-N 0 2 318.385 0.012 20 0 DCADLN CC[N@H+](CCNC(=O)c1conc1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001480978986 939509940 /nfs/dbraw/zinc/50/99/40/939509940.db2.gz RYTNLPWISLHIDZ-UHFFFAOYSA-N 0 2 322.369 0.874 20 0 DCADLN CC[N@@H+](CCNC(=O)c1conc1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001480978986 939509941 /nfs/dbraw/zinc/50/99/41/939509941.db2.gz RYTNLPWISLHIDZ-UHFFFAOYSA-N 0 2 322.369 0.874 20 0 DCADLN CCCN(C(=O)c1cc[nH]c1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481399890 939770508 /nfs/dbraw/zinc/77/05/08/939770508.db2.gz NSBFIYIVPRBVHI-LBPRGKRZSA-N 0 2 318.381 0.965 20 0 DCADLN CCCN(C(=O)c1cc[nH]c1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481399890 939770511 /nfs/dbraw/zinc/77/05/11/939770511.db2.gz NSBFIYIVPRBVHI-LBPRGKRZSA-N 0 2 318.381 0.965 20 0 DCADLN O=C(C[C@H]1C=CCCC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001318130799 945272012 /nfs/dbraw/zinc/27/20/12/945272012.db2.gz WUXRYPGWFBCTIZ-NSHDSACASA-N 0 2 305.382 0.805 20 0 DCADLN O=C(CCc1ccccc1F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481601404 939965068 /nfs/dbraw/zinc/96/50/68/939965068.db2.gz UTDRERQELWZWJA-UHFFFAOYSA-N 0 2 319.340 0.583 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001482184314 940312406 /nfs/dbraw/zinc/31/24/06/940312406.db2.gz XQFRTVTUIQSFDO-INJWIWPUSA-N 0 2 309.370 0.184 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001482184316 940312693 /nfs/dbraw/zinc/31/26/93/940312693.db2.gz XQFRTVTUIQSFDO-NEOSZVFXSA-N 0 2 309.370 0.184 20 0 DCADLN Cn1cccc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060256 941300394 /nfs/dbraw/zinc/30/03/94/941300394.db2.gz GRNDKXSMIUQVBI-VIFPVBQESA-N 0 2 307.247 0.866 20 0 DCADLN Cn1cccc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409060256 941300397 /nfs/dbraw/zinc/30/03/97/941300397.db2.gz GRNDKXSMIUQVBI-VIFPVBQESA-N 0 2 307.247 0.866 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C12CCC(CC1)C2 ZINC001496729041 941435101 /nfs/dbraw/zinc/43/51/01/941435101.db2.gz DLKNWFFYMKLQHJ-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C12CCC(CC1)C2 ZINC001496729041 941435103 /nfs/dbraw/zinc/43/51/03/941435103.db2.gz DLKNWFFYMKLQHJ-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CNC(C)=O ZINC001409335191 941943980 /nfs/dbraw/zinc/94/39/80/941943980.db2.gz TZJDAQQXAIPRDH-APPZFPTMSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CNC(C)=O ZINC001409335191 941943985 /nfs/dbraw/zinc/94/39/85/941943985.db2.gz TZJDAQQXAIPRDH-APPZFPTMSA-N 0 2 315.267 0.034 20 0 DCADLN COCC(=O)N[C@@](C)(CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001409485442 942014945 /nfs/dbraw/zinc/01/49/45/942014945.db2.gz UAJOPGOMGWZDQG-ONGXEEELSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)N[C@@](C)(CNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001409485442 942014947 /nfs/dbraw/zinc/01/49/47/942014947.db2.gz UAJOPGOMGWZDQG-ONGXEEELSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(CC1CC1)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056775445 943408436 /nfs/dbraw/zinc/40/84/36/943408436.db2.gz ODJXDXQNHJQOCF-JTQLQIEISA-N 0 2 314.279 0.624 20 0 DCADLN O=C(CC1CC1)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001056775445 943408439 /nfs/dbraw/zinc/40/84/39/943408439.db2.gz ODJXDXQNHJQOCF-JTQLQIEISA-N 0 2 314.279 0.624 20 0 DCADLN CC(C)CC(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056828476 943438808 /nfs/dbraw/zinc/43/88/08/943438808.db2.gz NBOZPDCWQJWWPL-JTQLQIEISA-N 0 2 316.295 0.870 20 0 DCADLN CC(C)CC(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001056828476 943438810 /nfs/dbraw/zinc/43/88/10/943438810.db2.gz NBOZPDCWQJWWPL-JTQLQIEISA-N 0 2 316.295 0.870 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C(=O)N1CCn2c[nH+]cc2C1 ZINC001611349549 971003361 /nfs/dbraw/zinc/00/33/61/971003361.db2.gz SZNARZBSGGIVSV-UHFFFAOYSA-N 0 2 314.301 0.562 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001485285586 943790006 /nfs/dbraw/zinc/79/00/06/943790006.db2.gz DCKNTNYYZSKXLK-ZETCQYMHSA-N 0 2 307.358 0.101 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001485285586 943790008 /nfs/dbraw/zinc/79/00/08/943790008.db2.gz DCKNTNYYZSKXLK-ZETCQYMHSA-N 0 2 307.358 0.101 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491352193 943933501 /nfs/dbraw/zinc/93/35/01/943933501.db2.gz BPIPQMDAOCWCQB-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491352193 943933507 /nfs/dbraw/zinc/93/35/07/943933507.db2.gz BPIPQMDAOCWCQB-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1([C@@H]2CCCO2)CCC1 ZINC001413814077 944118459 /nfs/dbraw/zinc/11/84/59/944118459.db2.gz VYTNPJFRYVLDPA-NSHDSACASA-N 0 2 317.349 0.289 20 0 DCADLN NC(=O)[C@@H]1CCC2(CN(C(=O)c3ccc(F)c(F)c3O)C2)O1 ZINC001276859200 944330619 /nfs/dbraw/zinc/33/06/19/944330619.db2.gz ZIWCXTXUYOIEPA-VIFPVBQESA-N 0 2 312.272 0.529 20 0 DCADLN NC(=O)c1[nH]nc2c1CN(C(=O)c1cc(F)c(O)cc1F)C2 ZINC001276858206 944332792 /nfs/dbraw/zinc/33/27/92/944332792.db2.gz CZZVNYQUNWQNRE-UHFFFAOYSA-N 0 2 308.244 0.648 20 0 DCADLN Cc1oncc1C[N@H+]1CC=C(CNC(=O)Cc2nnc[nH]2)CC1 ZINC001486518736 944951390 /nfs/dbraw/zinc/95/13/90/944951390.db2.gz GTPZDSFVTBELCA-UHFFFAOYSA-N 0 2 316.365 0.592 20 0 DCADLN Cc1nocc1C[N@H+]1CC=C(CNC(=O)Cc2nnc[nH]2)CC1 ZINC001486518675 944953048 /nfs/dbraw/zinc/95/30/48/944953048.db2.gz FFKLZWWOAZYUDO-UHFFFAOYSA-N 0 2 316.365 0.592 20 0 DCADLN COCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)CC1(C)C ZINC001487246617 945624429 /nfs/dbraw/zinc/62/44/29/945624429.db2.gz QTJKCOFQLNWBEW-VXNVDRBHSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CC1(C)C ZINC001487246617 945624431 /nfs/dbraw/zinc/62/44/31/945624431.db2.gz QTJKCOFQLNWBEW-VXNVDRBHSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc2cc[nH]c21 ZINC001320678832 945976004 /nfs/dbraw/zinc/97/60/04/945976004.db2.gz HASHHGKLBITTOR-UHFFFAOYSA-N 0 2 300.278 0.247 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ncc(Cl)nc1Cl ZINC001259018224 946891076 /nfs/dbraw/zinc/89/10/76/946891076.db2.gz ZVXBCPIWILQKJO-UHFFFAOYSA-N 0 2 300.123 0.698 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc(C)c(C(=O)OC)c1F ZINC001259028354 946901776 /nfs/dbraw/zinc/90/17/76/946901776.db2.gz IQCUOEKAYDQSFS-UHFFFAOYSA-N 0 2 319.310 0.835 20 0 DCADLN NC(=O)S(=O)(=O)c1ccc(O)c(NS(=O)(=O)C2CC2)c1 ZINC001259277006 946936203 /nfs/dbraw/zinc/93/62/03/946936203.db2.gz RSEXAHLJWADCFV-UHFFFAOYSA-N 0 2 320.348 0.563 20 0 DCADLN COc1ncnc(OC)c1NS(=O)(=O)C1CCOCC1 ZINC001259908014 947015103 /nfs/dbraw/zinc/01/51/03/947015103.db2.gz QLJLKPFMKRSXKB-UHFFFAOYSA-N 0 2 303.340 0.415 20 0 DCADLN CCOC(=O)C(F)(F)CNS(=O)(=O)C1CCOCC1 ZINC001259917979 947017841 /nfs/dbraw/zinc/01/78/41/947017841.db2.gz SGNPJAQZXPUJKP-UHFFFAOYSA-N 0 2 301.311 0.283 20 0 DCADLN CCOc1cc(NS(=O)(=O)C(F)F)cc(C(=O)OC)n1 ZINC001259950846 947034656 /nfs/dbraw/zinc/03/46/56/947034656.db2.gz IHSWPMMTNJZRHX-UHFFFAOYSA-N 0 2 310.278 0.653 20 0 DCADLN COCCS(=O)(=O)Nc1ncc(Br)cc1O ZINC001259965400 947040819 /nfs/dbraw/zinc/04/08/19/947040819.db2.gz HISHYFGYEZVZJS-UHFFFAOYSA-N 0 2 311.157 0.938 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ncccn2)C1 ZINC001410892586 947093889 /nfs/dbraw/zinc/09/38/89/947093889.db2.gz MDVIGIFLHDODAP-MRVPVSSYSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2ncccn2)C1 ZINC001410892586 947093904 /nfs/dbraw/zinc/09/39/04/947093904.db2.gz MDVIGIFLHDODAP-MRVPVSSYSA-N 0 2 320.246 0.660 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)o1 ZINC001323690554 947170455 /nfs/dbraw/zinc/17/04/55/947170455.db2.gz WJNQIWUIGRBFIK-UHFFFAOYSA-N 0 2 322.302 0.314 20 0 DCADLN CN(CC1=CCSC1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001261780510 947437124 /nfs/dbraw/zinc/43/71/24/947437124.db2.gz POCJCYNNGKDRMS-UHFFFAOYSA-N 0 2 305.363 0.203 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)[nH]1 ZINC001415134999 947478056 /nfs/dbraw/zinc/47/80/56/947478056.db2.gz WTRSLIQFGVRCET-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)[nH]1 ZINC001415134999 947478060 /nfs/dbraw/zinc/47/80/60/947478060.db2.gz WTRSLIQFGVRCET-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC001326174342 948082833 /nfs/dbraw/zinc/08/28/33/948082833.db2.gz XXFHAAXMUIYQPS-ZWNOBZJWSA-N 0 2 302.396 0.031 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001487972608 948393353 /nfs/dbraw/zinc/39/33/53/948393353.db2.gz XAJNXWOMHNUIPR-DZPDEKMQSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001487972608 948393356 /nfs/dbraw/zinc/39/33/56/948393356.db2.gz XAJNXWOMHNUIPR-DZPDEKMQSA-N 0 2 300.252 0.446 20 0 DCADLN C[C@@H](Oc1ccccc1C[N@H+](C)CCS(N)(=O)=O)C(=O)[O-] ZINC001604443458 1169593467 /nfs/dbraw/zinc/59/34/67/1169593467.db2.gz NSNYNLKCCZIITD-SNVBAGLBSA-N 0 2 316.379 0.259 20 0 DCADLN C[C@@H](Oc1ccccc1C[N@@H+](C)CCS(N)(=O)=O)C(=O)[O-] ZINC001604443458 1169593473 /nfs/dbraw/zinc/59/34/73/1169593473.db2.gz NSNYNLKCCZIITD-SNVBAGLBSA-N 0 2 316.379 0.259 20 0 DCADLN O=C([O-])c1cccc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001595240763 949451606 /nfs/dbraw/zinc/45/16/06/949451606.db2.gz WZHIMRSCKYYYSQ-OKILXGFUSA-N 0 2 304.346 0.978 20 0 DCADLN O=C([O-])c1cccc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001595240763 949451620 /nfs/dbraw/zinc/45/16/20/949451620.db2.gz WZHIMRSCKYYYSQ-OKILXGFUSA-N 0 2 304.346 0.978 20 0 DCADLN CCOC(=O)c1n[nH]c(CNC(=O)[C@@H]2CCc3nc[nH]c3C2)n1 ZINC001364508818 949456179 /nfs/dbraw/zinc/45/61/79/949456179.db2.gz SDHDQQUXTSJFKP-MRVPVSSYSA-N 0 2 318.337 0.126 20 0 DCADLN CCOC(=O)c1n[nH]c(CNC(=O)[C@@H]2CCc3[nH]cnc3C2)n1 ZINC001364508818 949456192 /nfs/dbraw/zinc/45/61/92/949456192.db2.gz SDHDQQUXTSJFKP-MRVPVSSYSA-N 0 2 318.337 0.126 20 0 DCADLN O=C([O-])Cc1cccc(S(=O)(=O)NCC[NH+]2CC=CC2)c1 ZINC001329688492 949783578 /nfs/dbraw/zinc/78/35/78/949783578.db2.gz ARMVKGOGQDXVJV-UHFFFAOYSA-N 0 2 310.375 0.464 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001495276785 950081704 /nfs/dbraw/zinc/08/17/04/950081704.db2.gz QTWFJQIIYCIHRH-SVRRBLITSA-N 0 2 324.234 0.548 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cnon2)C1)[C@H](F)C(F)(F)F ZINC001495276785 950081726 /nfs/dbraw/zinc/08/17/26/950081726.db2.gz QTWFJQIIYCIHRH-SVRRBLITSA-N 0 2 324.234 0.548 20 0 DCADLN Cc1ccc(O)cc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364996715 950319521 /nfs/dbraw/zinc/31/95/21/950319521.db2.gz BBYRDEXKGABIBD-UHFFFAOYSA-N 0 2 324.362 0.293 20 0 DCADLN CC1(C)CC[C@@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001364998532 950326646 /nfs/dbraw/zinc/32/66/46/950326646.db2.gz VHGSUYNPNWIMMS-SECBINFHSA-N 0 2 314.411 0.792 20 0 DCADLN C[C@@H](NC(=O)C1(n2cnnn2)CCCCC1)c1nn(C)cc1O ZINC001365118795 950551995 /nfs/dbraw/zinc/55/19/95/950551995.db2.gz CMOGGMDNAKDHMK-SNVBAGLBSA-N 0 2 319.369 0.649 20 0 DCADLN CC[C@H](C(N)=O)[N@H+](C)C[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001490776013 951097456 /nfs/dbraw/zinc/09/74/56/951097456.db2.gz XMTGSPNRYAUXFU-QWHCGFSZSA-N 0 2 321.425 0.387 20 0 DCADLN CC(C)OC(=O)C[N@@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CC[NH+](C)C ZINC001274063326 951378838 /nfs/dbraw/zinc/37/88/38/951378838.db2.gz QPRWVZANLMJHLA-ZIAGYGMSSA-N 0 2 311.426 0.565 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001595041051 951423035 /nfs/dbraw/zinc/42/30/35/951423035.db2.gz LZHWIQJVXRSUIC-LLVKDONJSA-N 0 2 322.365 0.487 20 0 DCADLN C[C@H]1CCC[C@H]1CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365693874 951443393 /nfs/dbraw/zinc/44/33/93/951443393.db2.gz VMBKVNWIRSTWLF-RYUDHWBXSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](C[C@@H]3CCCOC3)CC2)c1[O-] ZINC001365695402 951444493 /nfs/dbraw/zinc/44/44/93/951444493.db2.gz YETHCPKEBUPIJZ-LBPRGKRZSA-N 0 2 308.382 0.608 20 0 DCADLN C[C@H](NS(=O)(=O)N=S1(=O)CCCC1)c1csnn1 ZINC001365715568 951470531 /nfs/dbraw/zinc/47/05/31/951470531.db2.gz YZOKIJXOJYPOFZ-ZETCQYMHSA-N 0 2 310.426 0.695 20 0 DCADLN C/C(=C/C(=O)N1C[C@@H](C)[C@H](CC(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001332191004 951515407 /nfs/dbraw/zinc/51/54/07/951515407.db2.gz XROVKBWPPDDZET-PISUUVGWSA-N 0 2 310.394 0.834 20 0 DCADLN O=C([O-])CN1CCCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1=O ZINC001595094630 951805302 /nfs/dbraw/zinc/80/53/02/951805302.db2.gz VHWPUGYOEVLKPL-GMTAPVOTSA-N 0 2 320.349 0.095 20 0 DCADLN Cn1[n-]c(C(=O)N2C[C@@H]3COC[C@H](C2)[N@@H+]3C2CCCC2)cc1=O ZINC001274804325 951842729 /nfs/dbraw/zinc/84/27/29/951842729.db2.gz CXANQQXFMBPNKT-BETUJISGSA-N 0 2 320.393 0.594 20 0 DCADLN Cn1[n-]c(C(=O)N2C[C@@H]3COC[C@H](C2)[N@H+]3C2CCCC2)cc1=O ZINC001274804325 951842735 /nfs/dbraw/zinc/84/27/35/951842735.db2.gz CXANQQXFMBPNKT-BETUJISGSA-N 0 2 320.393 0.594 20 0 DCADLN C/C(=C\C(=O)NC[C@@H](CC1CC1)C(=O)[O-])C[NH+]1CCOCC1 ZINC001333335975 952010297 /nfs/dbraw/zinc/01/02/97/952010297.db2.gz ZPCBBKUXCWMZLD-CEFACKQISA-N 0 2 310.394 0.882 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)[nH]1 ZINC000382669504 971829921 /nfs/dbraw/zinc/82/99/21/971829921.db2.gz WBVONPLBENPPOO-NSHDSACASA-N 0 2 307.350 0.650 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H](C)N(C)C(=O)Cc2c[nH+]c[nH]2)[nH]1 ZINC001411709097 952401614 /nfs/dbraw/zinc/40/16/14/952401614.db2.gz UXKBVTPYHPRFEY-SECBINFHSA-N 0 2 318.381 0.569 20 0 DCADLN CS(C)(=O)=Nc1ccccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001411890897 952522452 /nfs/dbraw/zinc/52/24/52/952522452.db2.gz VBNXZCYGXOZRTE-UHFFFAOYSA-N 0 2 309.351 0.799 20 0 DCADLN Cc1cnc(C(=O)N2CCSC[C@H]2c2nn[nH]n2)c(O)c1 ZINC001412047608 952617792 /nfs/dbraw/zinc/61/77/92/952617792.db2.gz UCOSBJDKWPNLLQ-QMMMGPOBSA-N 0 2 306.351 0.539 20 0 DCADLN Cc1cnc(C(=O)N2CCSC[C@@H]2c2nn[nH]n2)c(O)c1 ZINC001412047603 952618419 /nfs/dbraw/zinc/61/84/19/952618419.db2.gz UCOSBJDKWPNLLQ-MRVPVSSYSA-N 0 2 306.351 0.539 20 0 DCADLN COCCn1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001412065526 952628247 /nfs/dbraw/zinc/62/82/47/952628247.db2.gz LOWLTJJMSZMSPF-JTQLQIEISA-N 0 2 320.353 0.373 20 0 DCADLN C[C@H](CC(C)(C)O)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412139662 952661771 /nfs/dbraw/zinc/66/17/71/952661771.db2.gz DXBCMFNEXGNADZ-SECBINFHSA-N 0 2 320.349 0.546 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCCNC(=O)c1cnon1 ZINC001336250748 952666871 /nfs/dbraw/zinc/66/68/71/952666871.db2.gz RHQIPAZLCSLWHE-VIFPVBQESA-N 0 2 320.353 0.359 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2CCNC3=O)S1 ZINC001412242664 952711067 /nfs/dbraw/zinc/71/10/67/952711067.db2.gz BLHWEUGQQSOBIY-JTQLQIEISA-N 0 2 318.358 0.467 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@@H]1CCCOC1 ZINC001412277044 952730105 /nfs/dbraw/zinc/73/01/05/952730105.db2.gz YSODHQVYOMMCKW-PWSUYJOCSA-N 0 2 319.365 0.393 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001412493830 952843465 /nfs/dbraw/zinc/84/34/65/952843465.db2.gz PDNRWTYHSCRDFT-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cncnc1)NC(=O)[C@H](F)C(F)(F)F ZINC001412493830 952843469 /nfs/dbraw/zinc/84/34/69/952843469.db2.gz PDNRWTYHSCRDFT-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001412562970 952901015 /nfs/dbraw/zinc/90/10/15/952901015.db2.gz PLCUUULXZVIVLX-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001412562970 952901019 /nfs/dbraw/zinc/90/10/19/952901019.db2.gz PLCUUULXZVIVLX-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C=C1OCCS(=O)(=O)C1C(=O)Nc1ccnc2ccncc21 ZINC001412626243 952948572 /nfs/dbraw/zinc/94/85/72/952948572.db2.gz DXCZZWSVWWOPPT-UHFFFAOYSA-N 0 2 319.342 0.667 20 0 DCADLN CC1=C(C(=O)Nc2ccnc3ccncc32)S(=O)(=O)CCO1 ZINC001412626243 952948582 /nfs/dbraw/zinc/94/85/82/952948582.db2.gz DXCZZWSVWWOPPT-UHFFFAOYSA-N 0 2 319.342 0.667 20 0 DCADLN Cc1ccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)cc1 ZINC001412688357 952996756 /nfs/dbraw/zinc/99/67/56/952996756.db2.gz PGMAYPGLGPTJGQ-UHFFFAOYSA-N 0 2 308.363 0.588 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOc3ncccc3C2)S1 ZINC001412804189 953104308 /nfs/dbraw/zinc/10/43/08/953104308.db2.gz ZKOQVSSSWBCNCV-SECBINFHSA-N 0 2 306.347 0.359 20 0 DCADLN CC(C)OCCC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001365888146 953363649 /nfs/dbraw/zinc/36/36/49/953363649.db2.gz UWKWTCPZVWGXCZ-MNOVXSKESA-N 0 2 311.386 0.404 20 0 DCADLN CC(C)OCCC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001365888146 953363655 /nfs/dbraw/zinc/36/36/55/953363655.db2.gz UWKWTCPZVWGXCZ-MNOVXSKESA-N 0 2 311.386 0.404 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001365937197 953433327 /nfs/dbraw/zinc/43/33/27/953433327.db2.gz CABJLDQMKJSUAM-CMPLNLGQSA-N 0 2 311.386 0.262 20 0 DCADLN CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@@](C)(C(=O)[O-])C1 ZINC001593661385 953807736 /nfs/dbraw/zinc/80/77/36/953807736.db2.gz YUSWVLQIHJNIIC-JRPNMDOOSA-N 0 2 323.397 0.337 20 0 DCADLN CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@@](C)(C(=O)[O-])C1 ZINC001593661385 953807743 /nfs/dbraw/zinc/80/77/43/953807743.db2.gz YUSWVLQIHJNIIC-JRPNMDOOSA-N 0 2 323.397 0.337 20 0 DCADLN O=C(NCCNC(=O)c1cccc(Cl)c1O)c1cnccn1 ZINC001347064939 954015394 /nfs/dbraw/zinc/01/53/94/954015394.db2.gz NLKZLLBYRPHKLV-UHFFFAOYSA-N 0 2 320.736 0.995 20 0 DCADLN C[C@@H]1OCC[C@]12C[N@H+](Cc1cc(C(=O)[O-])nn1C)C[C@@H](C)O2 ZINC001589399089 954400055 /nfs/dbraw/zinc/40/00/55/954400055.db2.gz MJIAZQNOVZQVAB-ZETOZRRWSA-N 0 2 309.366 0.887 20 0 DCADLN C[C@@H]1OCC[C@]12C[N@@H+](Cc1cc(C(=O)[O-])nn1C)C[C@@H](C)O2 ZINC001589399089 954400060 /nfs/dbraw/zinc/40/00/60/954400060.db2.gz MJIAZQNOVZQVAB-ZETOZRRWSA-N 0 2 309.366 0.887 20 0 DCADLN C[C@H]1CN(c2cccc(F)c2)CC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001589403024 954457635 /nfs/dbraw/zinc/45/76/35/954457635.db2.gz WHLJLXGVOSLMIY-NSHDSACASA-N 0 2 309.341 0.537 20 0 DCADLN C[C@H]1CN(c2cccc(F)c2)CC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001589403024 954457640 /nfs/dbraw/zinc/45/76/40/954457640.db2.gz WHLJLXGVOSLMIY-NSHDSACASA-N 0 2 309.341 0.537 20 0 DCADLN C[C@@]1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCOC1 ZINC001349163962 954623157 /nfs/dbraw/zinc/62/31/57/954623157.db2.gz VLBWEBRRFBQEAO-OIBJUYFYSA-N 0 2 300.252 0.546 20 0 DCADLN C[C@@]1(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)CCOC1 ZINC001349163962 954623171 /nfs/dbraw/zinc/62/31/71/954623171.db2.gz VLBWEBRRFBQEAO-OIBJUYFYSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(C[C@H]1CCCCO1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366734869 954739678 /nfs/dbraw/zinc/73/96/78/954739678.db2.gz RTDPWJXWTUGOLG-GFCCVEGCSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@H]1CCCCO1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366734869 954739687 /nfs/dbraw/zinc/73/96/87/954739687.db2.gz RTDPWJXWTUGOLG-GFCCVEGCSA-N 0 2 323.397 0.550 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001593807395 954915513 /nfs/dbraw/zinc/91/55/13/954915513.db2.gz PKLOQYAVKKXSBP-IAQYHMDHSA-N 0 2 314.382 0.629 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001593807395 954915519 /nfs/dbraw/zinc/91/55/19/954915519.db2.gz PKLOQYAVKKXSBP-IAQYHMDHSA-N 0 2 314.382 0.629 20 0 DCADLN O=C(NCCCNC(=O)[C@@]1(F)CCOC1)C(F)C(F)(F)F ZINC001350152766 955000548 /nfs/dbraw/zinc/00/05/48/955000548.db2.gz YIDLRDXMIKSPBS-OIBJUYFYSA-N 0 2 318.242 0.638 20 0 DCADLN O=C(NCCCNC(=O)[C@@]1(F)CCOC1)[C@H](F)C(F)(F)F ZINC001350152766 955000558 /nfs/dbraw/zinc/00/05/58/955000558.db2.gz YIDLRDXMIKSPBS-OIBJUYFYSA-N 0 2 318.242 0.638 20 0 DCADLN CS[C@@H](C)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367050672 955263568 /nfs/dbraw/zinc/26/35/68/955263568.db2.gz GWZUHFSBRHHYTC-VHSXEESVSA-N 0 2 313.427 0.685 20 0 DCADLN CS[C@@H](C)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367050672 955263573 /nfs/dbraw/zinc/26/35/73/955263573.db2.gz GWZUHFSBRHHYTC-VHSXEESVSA-N 0 2 313.427 0.685 20 0 DCADLN Cn1cc([C@@H]2C[C@H](C(=O)[O-])C[N@H+](Cc3cnsn3)C2)cn1 ZINC001594538581 955605877 /nfs/dbraw/zinc/60/58/77/955605877.db2.gz RYDQHPMJZPSDKQ-ZJUUUORDSA-N 0 2 307.379 0.962 20 0 DCADLN Cn1cc([C@@H]2C[C@H](C(=O)[O-])C[N@@H+](Cc3cnsn3)C2)cn1 ZINC001594538581 955605881 /nfs/dbraw/zinc/60/58/81/955605881.db2.gz RYDQHPMJZPSDKQ-ZJUUUORDSA-N 0 2 307.379 0.962 20 0 DCADLN Cn1cc(CN(CCn2cc[nH+]c2)C(=O)CCC(=O)[O-])cn1 ZINC001594543093 955670946 /nfs/dbraw/zinc/67/09/46/955670946.db2.gz QZPWKQXYIAPCMV-UHFFFAOYSA-N 0 2 305.338 0.510 20 0 DCADLN Cn1cc(N2CC[NH+](Cc3c(C(=O)[O-])cnn3C)CC2)cn1 ZINC001594548295 955697930 /nfs/dbraw/zinc/69/79/30/955697930.db2.gz MGTPVKFLWUOQTO-UHFFFAOYSA-N 0 2 304.354 0.174 20 0 DCADLN C[C@](O)(CNC(=O)c1ccccc1C[NH+]1CCOCC1)C(=O)[O-] ZINC001589144390 956276398 /nfs/dbraw/zinc/27/63/98/956276398.db2.gz KAEVMSHUEGRMKB-INIZCTEOSA-N 0 2 322.361 0.084 20 0 DCADLN C[C@@](O)(CNC(=O)c1c[nH+]ccc1Nc1ccccc1)C(=O)[O-] ZINC001589146986 956300984 /nfs/dbraw/zinc/30/09/84/956300984.db2.gz MQIPNAMSLOIYTL-MRXNPFEDSA-N 0 2 315.329 0.813 20 0 DCADLN O=C(C=C1CCC1)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001287793710 956473941 /nfs/dbraw/zinc/47/39/41/956473941.db2.gz UOBZICDLOUSXKU-PSASIEDQSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001287793710 956473946 /nfs/dbraw/zinc/47/39/46/956473946.db2.gz UOBZICDLOUSXKU-PSASIEDQSA-N 0 2 312.263 0.590 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001593960304 956482114 /nfs/dbraw/zinc/48/21/14/956482114.db2.gz UMDCBQIRSPBUSN-XHDPSFHLSA-N 0 2 307.350 0.395 20 0 DCADLN CNC(=O)CCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292663680 957097966 /nfs/dbraw/zinc/09/79/66/957097966.db2.gz YWHHMUZNMOZZJF-VIFPVBQESA-N 0 2 315.267 0.036 20 0 DCADLN CNC(=O)CCCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001292663680 957097979 /nfs/dbraw/zinc/09/79/79/957097979.db2.gz YWHHMUZNMOZZJF-VIFPVBQESA-N 0 2 315.267 0.036 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000055311337 957543096 /nfs/dbraw/zinc/54/30/96/957543096.db2.gz RQXSIGFIUVOBNJ-UHFFFAOYSA-N 0 2 313.335 0.851 20 0 DCADLN COCCOc1cccc(C[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC000066115177 957641075 /nfs/dbraw/zinc/64/10/75/957641075.db2.gz UHWHMHZJXNWJOI-AWEZNQCLSA-N 0 2 322.361 0.487 20 0 DCADLN COCCOc1cccc(C[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC000066115177 957641083 /nfs/dbraw/zinc/64/10/83/957641083.db2.gz UHWHMHZJXNWJOI-AWEZNQCLSA-N 0 2 322.361 0.487 20 0 DCADLN C[C@H](CNC(=O)NCCC(C)(C)C(=O)[O-])[NH+]1CCN(C)CC1 ZINC001603176863 972281003 /nfs/dbraw/zinc/28/10/03/972281003.db2.gz YNUONFHZIRZKSL-GFCCVEGCSA-N 0 2 314.430 0.422 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)C1(C(=O)[O-])C(C)(C)C1(C)C ZINC001339150350 957854224 /nfs/dbraw/zinc/85/42/24/957854224.db2.gz BVPVKYASFKMCHU-LLVKDONJSA-N 0 2 312.410 0.960 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)C1(C(=O)[O-])C(C)(C)C1(C)C ZINC001339150350 957854238 /nfs/dbraw/zinc/85/42/38/957854238.db2.gz BVPVKYASFKMCHU-LLVKDONJSA-N 0 2 312.410 0.960 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1cn(C)nn1 ZINC001367514644 957938383 /nfs/dbraw/zinc/93/83/83/957938383.db2.gz FBMMKCDJQOSBSU-VIFPVBQESA-N 0 2 311.283 0.606 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)Cc1cn(C)nn1 ZINC001367514644 957938399 /nfs/dbraw/zinc/93/83/99/957938399.db2.gz FBMMKCDJQOSBSU-VIFPVBQESA-N 0 2 311.283 0.606 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001367546020 958007453 /nfs/dbraw/zinc/00/74/53/958007453.db2.gz NPNSBGZUYOLFDW-LLVKDONJSA-N 0 2 318.381 0.599 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001367546020 958007469 /nfs/dbraw/zinc/00/74/69/958007469.db2.gz NPNSBGZUYOLFDW-LLVKDONJSA-N 0 2 318.381 0.599 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccn(CC(F)F)n1 ZINC001296734548 958043652 /nfs/dbraw/zinc/04/36/52/958043652.db2.gz QHQIRBAHPZKGIQ-UHFFFAOYSA-N 0 2 303.294 0.792 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC001603207355 972316148 /nfs/dbraw/zinc/31/61/48/972316148.db2.gz OJOVWHMFHXFZSQ-ZYHUDNBSSA-N 0 2 322.365 0.170 20 0 DCADLN C[C@@H](Cc1cccnc1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361824562 958374921 /nfs/dbraw/zinc/37/49/21/958374921.db2.gz TUJSQWNEHVCLII-JTQLQIEISA-N 0 2 312.333 0.214 20 0 DCADLN CCc1cccnc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367814796 958641054 /nfs/dbraw/zinc/64/10/54/958641054.db2.gz OPNKBDQZADVIAG-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cccnc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367814796 958641070 /nfs/dbraw/zinc/64/10/70/958641070.db2.gz OPNKBDQZADVIAG-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN O=C(Cc1ccccc1N1CCCC1=O)NCc1n[nH]c(=O)[nH]1 ZINC001362063379 958677592 /nfs/dbraw/zinc/67/75/92/958677592.db2.gz MAHTYGCAPOUOBQ-UHFFFAOYSA-N 0 2 315.333 0.496 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+]([C@@H](C)C(=O)[O-])C2CC2)C1 ZINC001588501607 958705243 /nfs/dbraw/zinc/70/52/43/958705243.db2.gz DHEOOVOYOWYPEC-CMPLNLGQSA-N 0 2 311.382 0.051 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+]([C@@H](C)C(=O)[O-])C2CC2)C1 ZINC001588501607 958705260 /nfs/dbraw/zinc/70/52/60/958705260.db2.gz DHEOOVOYOWYPEC-CMPLNLGQSA-N 0 2 311.382 0.051 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]CC(=O)N2CCC(C(=O)[O-])CC2)s1 ZINC000391788649 972374111 /nfs/dbraw/zinc/37/41/11/972374111.db2.gz FILASVFFKJJKPR-QMMMGPOBSA-N 0 2 312.395 0.820 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001367938888 958908675 /nfs/dbraw/zinc/90/86/75/958908675.db2.gz JLKSSUCACCFVFN-JTQLQIEISA-N 0 2 305.338 0.345 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1)n1cc[nH+]c1 ZINC001588554024 959043194 /nfs/dbraw/zinc/04/31/94/959043194.db2.gz KMPCGCJTHXWEKO-NGWKBDRKSA-N 0 2 307.350 0.973 20 0 DCADLN CC[C@](C)(CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362306514 959110466 /nfs/dbraw/zinc/11/04/66/959110466.db2.gz KLHJECDTGDFZIE-CQSZACIVSA-N 0 2 306.322 0.157 20 0 DCADLN Cc1nonc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001377025482 959343178 /nfs/dbraw/zinc/34/31/78/959343178.db2.gz HZAVHQSVOFPUTN-FBCQKBJTSA-N 0 2 312.223 0.513 20 0 DCADLN Cc1nonc1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001377025482 959343195 /nfs/dbraw/zinc/34/31/95/959343195.db2.gz HZAVHQSVOFPUTN-FBCQKBJTSA-N 0 2 312.223 0.513 20 0 DCADLN O=C([O-])C1(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC001605761111 972424823 /nfs/dbraw/zinc/42/48/23/972424823.db2.gz KGHNNDIUMMQIRI-NSHDSACASA-N 0 2 307.350 0.443 20 0 DCADLN CC1(C)[C@@H](O)CCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362643499 959754238 /nfs/dbraw/zinc/75/42/38/959754238.db2.gz XZWDWOUUBOSIHW-NSHDSACASA-N 0 2 318.333 0.252 20 0 DCADLN COc1cccnc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362720769 959887996 /nfs/dbraw/zinc/88/79/96/959887996.db2.gz BOBUZGWOYUVSIW-SECBINFHSA-N 0 2 303.322 0.934 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1c2nc[nH]c2ccc1F ZINC001301577797 960004201 /nfs/dbraw/zinc/00/42/01/960004201.db2.gz XYVLSEZOROEXAI-UHFFFAOYSA-N 0 2 303.253 0.833 20 0 DCADLN CCOC(=O)CC(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001302343677 960019584 /nfs/dbraw/zinc/01/95/84/960019584.db2.gz PRBVFECQMRQCRR-LLVKDONJSA-N 0 2 318.333 0.864 20 0 DCADLN CN(C[C@@H](O)CNC(=O)OC(C)(C)C)C(=O)Cc1c[nH+]c[nH]1 ZINC001362869776 960113459 /nfs/dbraw/zinc/11/34/59/960113459.db2.gz PEUSBVUMUJJXCA-NSHDSACASA-N 0 2 312.370 0.296 20 0 DCADLN O=C(NC[C@@H]1CCC=CO1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362919835 960178786 /nfs/dbraw/zinc/17/87/86/960178786.db2.gz AYJGVYYKEURNCZ-LBPRGKRZSA-N 0 2 316.317 0.689 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1cnn(C)n1 ZINC001368763758 960239783 /nfs/dbraw/zinc/23/97/83/960239783.db2.gz KJVGUSHISNSXAV-FKTZTGRPSA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CCN1Cc1cnn(C)n1 ZINC001368763758 960239789 /nfs/dbraw/zinc/23/97/89/960239789.db2.gz KJVGUSHISNSXAV-FKTZTGRPSA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1cn(C)nn1 ZINC001368768538 960246039 /nfs/dbraw/zinc/24/60/39/960246039.db2.gz YYOMJZZBFMKNSS-JEZHCXPESA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CCN1Cc1cn(C)nn1 ZINC001368768538 960246044 /nfs/dbraw/zinc/24/60/44/960246044.db2.gz YYOMJZZBFMKNSS-JEZHCXPESA-N 0 2 323.294 0.795 20 0 DCADLN CC(C)[C@H]1C[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362988293 960263866 /nfs/dbraw/zinc/26/38/66/960263866.db2.gz RRPPFRKEGDEDLB-RKDXNWHRSA-N 0 2 300.384 0.258 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NC[C@H](C)c1ncon1 ZINC001362990280 960265914 /nfs/dbraw/zinc/26/59/14/960265914.db2.gz PHWMTKMEPDPQKY-YUMQZZPRSA-N 0 2 322.287 0.992 20 0 DCADLN O=C(CNC(=O)N1CCCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363050510 960347873 /nfs/dbraw/zinc/34/78/73/960347873.db2.gz BBPFNFDFDOJQGM-JTQLQIEISA-N 0 2 322.369 0.022 20 0 DCADLN CCCN(C(=O)c1cocn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377644296 960386759 /nfs/dbraw/zinc/38/67/59/960386759.db2.gz AIBZBHZAOFOZHJ-JTQLQIEISA-N 0 2 320.353 0.625 20 0 DCADLN C[C@@H](CNC(=O)C1=CCOCC1)NC(=O)C(F)C(F)(F)F ZINC001377690954 960441821 /nfs/dbraw/zinc/44/18/21/960441821.db2.gz ZBRQSHVWFGOEMQ-IONNQARKSA-N 0 2 312.263 0.854 20 0 DCADLN C[C@@H](CNC(=O)C1=CCOCC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001377690954 960441830 /nfs/dbraw/zinc/44/18/30/960441830.db2.gz ZBRQSHVWFGOEMQ-IONNQARKSA-N 0 2 312.263 0.854 20 0 DCADLN C[C@@H](c1ccccc1)[N@H+](CCO)CCS(=O)(=O)CC(=O)[O-] ZINC001574266309 960583714 /nfs/dbraw/zinc/58/37/14/960583714.db2.gz KMMCLSFNXKDUAH-LBPRGKRZSA-N 0 2 315.391 0.541 20 0 DCADLN C[C@@H](c1ccccc1)[N@@H+](CCO)CCS(=O)(=O)CC(=O)[O-] ZINC001574266309 960583731 /nfs/dbraw/zinc/58/37/31/960583731.db2.gz KMMCLSFNXKDUAH-LBPRGKRZSA-N 0 2 315.391 0.541 20 0 DCADLN Cn1cc(CCN2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001377893201 960917834 /nfs/dbraw/zinc/91/78/34/960917834.db2.gz YWUHFSMQXKWWQH-SNVBAGLBSA-N 0 2 308.279 0.663 20 0 DCADLN Cn1cc(CCN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001377893201 960917839 /nfs/dbraw/zinc/91/78/39/960917839.db2.gz YWUHFSMQXKWWQH-SNVBAGLBSA-N 0 2 308.279 0.663 20 0 DCADLN O=C(N[C@@H]1CCCSC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363346072 960949305 /nfs/dbraw/zinc/94/93/05/960949305.db2.gz VKZASWCKUJUYCL-SNVBAGLBSA-N 0 2 320.374 0.892 20 0 DCADLN CCCn1ncc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1C ZINC001571087576 961082849 /nfs/dbraw/zinc/08/28/49/961082849.db2.gz GCJBGIOIIOTDHN-GFCCVEGCSA-N 0 2 305.338 0.750 20 0 DCADLN CCCn1ncc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1C ZINC001571087576 961082868 /nfs/dbraw/zinc/08/28/68/961082868.db2.gz GCJBGIOIIOTDHN-GFCCVEGCSA-N 0 2 305.338 0.750 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)C1 ZINC001574393002 961197152 /nfs/dbraw/zinc/19/71/52/961197152.db2.gz QVKYJQCRIQZPGI-MJBXVCDLSA-N 0 2 310.394 0.811 20 0 DCADLN Cn1cc([C@@H]2CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@H]2C(N)=O)cn1 ZINC001549038474 1013241523 /nfs/dbraw/zinc/24/15/23/1013241523.db2.gz XQBFMYTUODVELA-NWDGAFQWSA-N 0 2 315.333 0.219 20 0 DCADLN CC1(C)OCC(C)(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CO1 ZINC001571111042 961325349 /nfs/dbraw/zinc/32/53/49/961325349.db2.gz DBEZKTFUGLBOKP-JTQLQIEISA-N 0 2 311.338 0.311 20 0 DCADLN CC1(C)OCC(C)(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CO1 ZINC001571111042 961325368 /nfs/dbraw/zinc/32/53/68/961325368.db2.gz DBEZKTFUGLBOKP-JTQLQIEISA-N 0 2 311.338 0.311 20 0 DCADLN O=C(c1cnc(C2CC2)[nH]c1=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001363525920 961361060 /nfs/dbraw/zinc/36/10/60/961361060.db2.gz PTTBSVAGCYZCOP-QMMMGPOBSA-N 0 2 301.310 0.202 20 0 DCADLN COc1nsc(C[N@@H+]2CC[C@H](C)C[C@H]2C(N)=O)c1C(=O)[O-] ZINC001571116464 961396189 /nfs/dbraw/zinc/39/61/89/961396189.db2.gz DQGPSYCKJPQWAK-YUMQZZPRSA-N 0 2 313.379 0.936 20 0 DCADLN COc1nsc(C[N@H+]2CC[C@H](C)C[C@H]2C(N)=O)c1C(=O)[O-] ZINC001571116464 961396191 /nfs/dbraw/zinc/39/61/91/961396191.db2.gz DQGPSYCKJPQWAK-YUMQZZPRSA-N 0 2 313.379 0.936 20 0 DCADLN CC[C@@H](F)C(=O)N[C@@H]1CC[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001378113904 961459524 /nfs/dbraw/zinc/45/95/24/961459524.db2.gz IPZNNFJTXGYAMW-ZYHUDNBSSA-N 0 2 321.356 0.461 20 0 DCADLN CC[C@@H](F)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001378113904 961459536 /nfs/dbraw/zinc/45/95/36/961459536.db2.gz IPZNNFJTXGYAMW-ZYHUDNBSSA-N 0 2 321.356 0.461 20 0 DCADLN O=C(NC1CN(C(=O)c2ccc(=O)[nH]n2)C1)C(F)C(F)(F)F ZINC001430224892 1013266023 /nfs/dbraw/zinc/26/60/23/1013266023.db2.gz YLWPBKSKUGJAFC-QMMMGPOBSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)c2ccc(=O)[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001430224892 1013266026 /nfs/dbraw/zinc/26/60/26/1013266026.db2.gz YLWPBKSKUGJAFC-QMMMGPOBSA-N 0 2 322.218 0.023 20 0 DCADLN CC[C@@H](NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001573931590 961580419 /nfs/dbraw/zinc/58/04/19/961580419.db2.gz CYJUCSZRPTWHTQ-VXGBXAGGSA-N 0 2 324.381 0.463 20 0 DCADLN CC[C@@H](NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001573931590 961580426 /nfs/dbraw/zinc/58/04/26/961580426.db2.gz CYJUCSZRPTWHTQ-VXGBXAGGSA-N 0 2 324.381 0.463 20 0 DCADLN Cc1nocc1C[N@H+]1CC[C@H](N(C)C(=O)CCc2nc[nH]n2)C1 ZINC001378165733 961580493 /nfs/dbraw/zinc/58/04/93/961580493.db2.gz UUCPUSSUQOUCHB-ZDUSSCGKSA-N 0 2 318.381 0.767 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cnco1 ZINC001378858694 961616049 /nfs/dbraw/zinc/61/60/49/961616049.db2.gz URUCELYFENAXGE-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1cnco1 ZINC001378858694 961616067 /nfs/dbraw/zinc/61/60/67/961616067.db2.gz URUCELYFENAXGE-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN CCN(C(=O)Cc1ccon1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378201692 961656153 /nfs/dbraw/zinc/65/61/53/961656153.db2.gz STEXUWALPSOQJC-LLVKDONJSA-N 0 2 320.353 0.164 20 0 DCADLN CCN(C(=O)Cc1ccon1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378201692 961656165 /nfs/dbraw/zinc/65/61/65/961656165.db2.gz STEXUWALPSOQJC-LLVKDONJSA-N 0 2 320.353 0.164 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CCC2CCC2)[nH]1 ZINC001363673024 961672857 /nfs/dbraw/zinc/67/28/57/961672857.db2.gz PFUWLBXVTBGJEH-UHFFFAOYSA-N 0 2 300.384 0.546 20 0 DCADLN COc1ccc([C@H](NC(=O)Cc2[nH]c[nH+]c2C)C(=O)[O-])cc1O ZINC001571142200 961678064 /nfs/dbraw/zinc/67/80/64/961678064.db2.gz XZADPOVMRKBFOL-AWEZNQCLSA-N 0 2 319.317 0.917 20 0 DCADLN CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+]Cc1nc(C)no1 ZINC001378244918 961746104 /nfs/dbraw/zinc/74/61/04/961746104.db2.gz KJPJMBIGPXZMEE-VIFPVBQESA-N 0 2 308.342 0.413 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001571154499 961844623 /nfs/dbraw/zinc/84/46/23/961844623.db2.gz NGHYCMJFQLSTBK-BGMSHATGSA-N 0 2 321.377 0.881 20 0 DCADLN C[C@H](c1nc(N)nc(Cl)n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363800018 961914726 /nfs/dbraw/zinc/91/47/26/961914726.db2.gz XJTGXZOKVFYCFS-RNFRBKRXSA-N 0 2 324.776 0.872 20 0 DCADLN C[C@H](NC(=O)CCCn1ccccc1=O)c1nn(C)cc1O ZINC001363837301 961994553 /nfs/dbraw/zinc/99/45/53/961994553.db2.gz YJQBIEBDAWMBTF-NSHDSACASA-N 0 2 304.350 0.945 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@@H]1CC(C(=O)[O-])=NO1 ZINC001574031909 962036563 /nfs/dbraw/zinc/03/65/63/962036563.db2.gz MROYGFJWQDXDBB-OLZOCXBDSA-N 0 2 303.318 0.519 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@@H]1CC(C(=O)[O-])=NO1 ZINC001574031909 962036584 /nfs/dbraw/zinc/03/65/84/962036584.db2.gz MROYGFJWQDXDBB-OLZOCXBDSA-N 0 2 303.318 0.519 20 0 DCADLN CC(C)C[N@@H+](Cc1cnn(C)c1)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001588870299 962052222 /nfs/dbraw/zinc/05/22/22/962052222.db2.gz GDUWELMOJHHQSH-ZDUSSCGKSA-N 0 2 308.382 0.769 20 0 DCADLN CC(C)C[N@H+](Cc1cnn(C)c1)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001588870299 962052239 /nfs/dbraw/zinc/05/22/39/962052239.db2.gz GDUWELMOJHHQSH-ZDUSSCGKSA-N 0 2 308.382 0.769 20 0 DCADLN COc1cnc([C@@H]2CCCN2C(=O)Cc2ncn[nH]2)[nH]c1=O ZINC001363889631 962091978 /nfs/dbraw/zinc/09/19/78/962091978.db2.gz WWSMMSOOIVPIJF-QMMMGPOBSA-N 0 2 304.310 0.215 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)N(C)c2ccnc(C)c2)n[nH]1 ZINC001363958629 962195526 /nfs/dbraw/zinc/19/55/26/962195526.db2.gz BQPADOXVTUOQSX-UHFFFAOYSA-N 0 2 310.335 0.725 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2noc(-c3ccco3)n2)S1 ZINC001364034135 962339248 /nfs/dbraw/zinc/33/92/48/962339248.db2.gz WTJSQIHLIPDYEF-SSDOTTSWSA-N 0 2 321.318 0.502 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc3c(c2)OCCCO3)n1 ZINC001364036318 962339492 /nfs/dbraw/zinc/33/94/92/962339492.db2.gz AOPUPJAJRBXZTB-UHFFFAOYSA-N 0 2 311.323 0.172 20 0 DCADLN Cc1nnc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001379971902 962425732 /nfs/dbraw/zinc/42/57/32/962425732.db2.gz QFVRFFQETYPLOZ-VXNVDRBHSA-N 0 2 309.267 0.704 20 0 DCADLN Cc1nnc(CN2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)[nH]1 ZINC001379971902 962425743 /nfs/dbraw/zinc/42/57/43/962425743.db2.gz QFVRFFQETYPLOZ-VXNVDRBHSA-N 0 2 309.267 0.704 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccncc1 ZINC001379985823 962459110 /nfs/dbraw/zinc/45/91/10/962459110.db2.gz SMOZITTUCCTWSE-UHFFFAOYSA-N 0 2 318.381 0.888 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccncc1 ZINC001379985823 962459117 /nfs/dbraw/zinc/45/91/17/962459117.db2.gz SMOZITTUCCTWSE-UHFFFAOYSA-N 0 2 318.381 0.888 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001379369550 962751216 /nfs/dbraw/zinc/75/12/16/962751216.db2.gz QIJGSAMDJXQYNJ-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001379369550 962751222 /nfs/dbraw/zinc/75/12/22/962751222.db2.gz QIJGSAMDJXQYNJ-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN C[C@@H](CC(=O)[O-])NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001588992745 962803040 /nfs/dbraw/zinc/80/30/40/962803040.db2.gz AHWNGQSTJJRJCV-JTQLQIEISA-N 0 2 316.317 0.790 20 0 DCADLN CC[C@H](C)[C@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364285166 962820638 /nfs/dbraw/zinc/82/06/38/962820638.db2.gz MRWFBEYMSONEMY-IUCAKERBSA-N 0 2 302.400 0.648 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2CCC[C@H]3C[C@H]32)[nH]1 ZINC001364285639 962821454 /nfs/dbraw/zinc/82/14/54/962821454.db2.gz OIIMGOIADJGASZ-IVZWLZJFSA-N 0 2 312.395 0.402 20 0 DCADLN C[C@@H](CN(C)Cc1nncn1C)NC(=O)C(F)C(F)(F)F ZINC001379690106 963390553 /nfs/dbraw/zinc/39/05/53/963390553.db2.gz ZWGYBZHZXBUZGD-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CN(C)Cc1nncn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001379690106 963390564 /nfs/dbraw/zinc/39/05/64/963390564.db2.gz ZWGYBZHZXBUZGD-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN CSCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001430387287 1013423792 /nfs/dbraw/zinc/42/37/92/1013423792.db2.gz BIFRDPYYIZOYQB-MRVPVSSYSA-N 0 2 302.293 0.824 20 0 DCADLN CSCC(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430387287 1013423801 /nfs/dbraw/zinc/42/38/01/1013423801.db2.gz BIFRDPYYIZOYQB-MRVPVSSYSA-N 0 2 302.293 0.824 20 0 DCADLN Cc1ncc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)s1 ZINC001381083618 963802619 /nfs/dbraw/zinc/80/26/19/963802619.db2.gz ZDPAOFYOQLLIQZ-UHFFFAOYSA-N 0 2 308.367 0.233 20 0 DCADLN Cn1cccc1[C@H]1COCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC001447521979 1013448514 /nfs/dbraw/zinc/44/85/14/1013448514.db2.gz IYLPWPKROSXLLM-MNOVXSKESA-N 0 2 322.390 0.481 20 0 DCADLN COCC(=O)N1CC([C@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001381191405 963882327 /nfs/dbraw/zinc/88/23/27/963882327.db2.gz PDXPHUZVIRIPDH-IMTBSYHQSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N1CC([C@H](C)NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001381191405 963882331 /nfs/dbraw/zinc/88/23/31/963882331.db2.gz PDXPHUZVIRIPDH-IMTBSYHQSA-N 0 2 300.252 0.496 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369068566 964359630 /nfs/dbraw/zinc/35/96/30/964359630.db2.gz CFYWJGRUFXQITF-PSASIEDQSA-N 0 2 313.427 0.588 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1CCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369077871 964375565 /nfs/dbraw/zinc/37/55/65/964375565.db2.gz KRGKTPMMJLAPBT-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1cc(C[NH2+]C2(CNC(=O)CCc3cnn[nH]3)CC2)sn1 ZINC001375492587 964473247 /nfs/dbraw/zinc/47/32/47/964473247.db2.gz GHOANGACEIAKFO-UHFFFAOYSA-N 0 2 320.422 0.941 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)CNC(=O)C(F)C(F)(F)F ZINC001375681945 964708465 /nfs/dbraw/zinc/70/84/65/964708465.db2.gz VUUCBOQYELCRLV-APPZFPTMSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001375681945 964708473 /nfs/dbraw/zinc/70/84/73/964708473.db2.gz VUUCBOQYELCRLV-APPZFPTMSA-N 0 2 324.278 0.803 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](CO)[NH2+]C/C(Cl)=C\Cl)c1[O-] ZINC001369319530 964729891 /nfs/dbraw/zinc/72/98/91/964729891.db2.gz FOBUEBLRIGTNCK-RUIVOMMDSA-N 0 2 323.180 0.423 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001414116554 964754071 /nfs/dbraw/zinc/75/40/71/964754071.db2.gz BHYGETJQNQDAID-JGVFFNPUSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1ncc[nH]1)C(=O)[C@@H](F)C(F)(F)F ZINC001414116554 964754081 /nfs/dbraw/zinc/75/40/81/964754081.db2.gz BHYGETJQNQDAID-JGVFFNPUSA-N 0 2 322.262 0.983 20 0 DCADLN CN(CCN(C)C(=O)C1(C(F)F)CC1)Cc1n[nH]c(=O)[nH]1 ZINC001374492751 965447641 /nfs/dbraw/zinc/44/76/41/965447641.db2.gz LQWXFGDHVXXSAR-UHFFFAOYSA-N 0 2 303.313 0.446 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C(F)F)CC1 ZINC001374492751 965447647 /nfs/dbraw/zinc/44/76/47/965447647.db2.gz LQWXFGDHVXXSAR-UHFFFAOYSA-N 0 2 303.313 0.446 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C(F)F)CC1 ZINC001374492751 965447654 /nfs/dbraw/zinc/44/76/54/965447654.db2.gz LQWXFGDHVXXSAR-UHFFFAOYSA-N 0 2 303.313 0.446 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)N[C@H](C)C[NH2+]Cc1cn(C)nn1 ZINC001374823080 965983966 /nfs/dbraw/zinc/98/39/66/965983966.db2.gz XSTBXJGGSJSQRQ-GFCCVEGCSA-N 0 2 319.413 0.395 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001370651881 966335716 /nfs/dbraw/zinc/33/57/16/966335716.db2.gz OJHAJJCWGATQEU-HTQZYQBOSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccoc1 ZINC001370651881 966335725 /nfs/dbraw/zinc/33/57/25/966335725.db2.gz OJHAJJCWGATQEU-HTQZYQBOSA-N 0 2 312.219 0.387 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@H]1CCC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001370785018 966473317 /nfs/dbraw/zinc/47/33/17/966473317.db2.gz OPGSEKUZJYDNRF-CQSZACIVSA-N 0 2 322.457 0.783 20 0 DCADLN CCOCC1(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001381797645 966691073 /nfs/dbraw/zinc/69/10/73/966691073.db2.gz TVJNKTYHMJJARN-JTQLQIEISA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cnccc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448016432 1013711660 /nfs/dbraw/zinc/71/16/60/1013711660.db2.gz UGNVHTPXSBGHNP-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cnccc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448016432 1013711665 /nfs/dbraw/zinc/71/16/65/1013711665.db2.gz UGNVHTPXSBGHNP-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN CC1(C)[C@@H](O)C[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001448022071 1013716439 /nfs/dbraw/zinc/71/64/39/1013716439.db2.gz DERAVQAICVUEGE-QWRGUYRKSA-N 0 2 318.333 0.155 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001383002456 967843670 /nfs/dbraw/zinc/84/36/70/967843670.db2.gz FEWUKMMWBSGRLZ-HTQZYQBOSA-N 0 2 300.252 0.232 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001383002456 967843685 /nfs/dbraw/zinc/84/36/85/967843685.db2.gz FEWUKMMWBSGRLZ-HTQZYQBOSA-N 0 2 300.252 0.232 20 0 DCADLN CC[N@H+](CCNC(=O)CCC(C)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001372356981 967924161 /nfs/dbraw/zinc/92/41/61/967924161.db2.gz NXGNDDGABRWKQL-UHFFFAOYSA-N 0 2 305.329 0.884 20 0 DCADLN CC[N@@H+](CCNC(=O)CCC(C)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001372356981 967924169 /nfs/dbraw/zinc/92/41/69/967924169.db2.gz NXGNDDGABRWKQL-UHFFFAOYSA-N 0 2 305.329 0.884 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001372513411 968067857 /nfs/dbraw/zinc/06/78/57/968067857.db2.gz GDESWRZRGZQDBZ-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)[C@@H](F)C(F)(F)F ZINC001372513411 968067868 /nfs/dbraw/zinc/06/78/68/968067868.db2.gz GDESWRZRGZQDBZ-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372549280 968110208 /nfs/dbraw/zinc/11/02/08/968110208.db2.gz KGYSSKPASWAFKH-HAFWLYHUSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001372549280 968110212 /nfs/dbraw/zinc/11/02/12/968110212.db2.gz KGYSSKPASWAFKH-HAFWLYHUSA-N 0 2 323.250 0.093 20 0 DCADLN CC(C)[C@H](C(=O)N[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1)[NH+](C)C ZINC001372571964 968133557 /nfs/dbraw/zinc/13/35/57/968133557.db2.gz ZQZFXCDVJICUMV-UMVBOHGHSA-N 0 2 321.425 0.233 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnn1C ZINC001373488099 969147009 /nfs/dbraw/zinc/14/70/09/969147009.db2.gz IWUMUUADNZUMPE-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccnn1C ZINC001373488099 969147014 /nfs/dbraw/zinc/14/70/14/969147014.db2.gz IWUMUUADNZUMPE-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CC[C@H](C)[C@H](OC)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373520110 969183516 /nfs/dbraw/zinc/18/35/16/969183516.db2.gz UPUXETXDXKCUAA-CABZTGNLSA-N 0 2 311.386 0.119 20 0 DCADLN CN(CCNC(=O)c1cncn1C)C(=O)C(F)C(F)(F)F ZINC001373535873 969204819 /nfs/dbraw/zinc/20/48/19/969204819.db2.gz CTFWZEXPSSEYLE-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)c1cncn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001373535873 969204825 /nfs/dbraw/zinc/20/48/25/969204825.db2.gz CTFWZEXPSSEYLE-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CCOC(=O)[C@H]([NH2+]C[C@@H]1[C@H](C(=O)[O-])C1(F)F)[C@@H]1CCCOC1 ZINC001605515529 1169944987 /nfs/dbraw/zinc/94/49/87/1169944987.db2.gz GOJINORFBQQAMC-GWOFURMSSA-N 0 2 321.320 0.900 20 0 DCADLN Cc1ccc2nc(C[N@@H+]3C[C@@H](O)C[C@@H]3C(=O)[O-])cc(=O)n2c1 ZINC000318375511 970429569 /nfs/dbraw/zinc/42/95/69/970429569.db2.gz BGDHGJGUZLCNJG-NWDGAFQWSA-N 0 2 303.318 0.023 20 0 DCADLN Cc1ccc2nc(C[N@H+]3C[C@@H](O)C[C@@H]3C(=O)[O-])cc(=O)n2c1 ZINC000318375511 970429577 /nfs/dbraw/zinc/42/95/77/970429577.db2.gz BGDHGJGUZLCNJG-NWDGAFQWSA-N 0 2 303.318 0.023 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1COc2ccccc2C1 ZINC000320656640 970693305 /nfs/dbraw/zinc/69/33/05/970693305.db2.gz KXQYHMVCLYNFTN-WCQYABFASA-N 0 2 315.329 0.773 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1COc2ccccc2C1 ZINC000320656640 970693310 /nfs/dbraw/zinc/69/33/10/970693310.db2.gz KXQYHMVCLYNFTN-WCQYABFASA-N 0 2 315.329 0.773 20 0 DCADLN Cn1c(N2CCC[C@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001604201082 972651752 /nfs/dbraw/zinc/65/17/52/972651752.db2.gz MGEXLYASXPTPRP-RWSFTLGLSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC[C@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001604201082 972651758 /nfs/dbraw/zinc/65/17/58/972651758.db2.gz MGEXLYASXPTPRP-RWSFTLGLSA-N 0 2 323.397 0.028 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2CC[C@@H](C(=O)[O-])c3ccccc32)C1 ZINC001604227791 972727821 /nfs/dbraw/zinc/72/78/21/972727821.db2.gz NKLSYMZXEKYLAQ-OCCSQVGLSA-N 0 2 304.346 0.922 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2CC[C@@H](C(=O)[O-])c3ccccc32)C1 ZINC001604227791 972727828 /nfs/dbraw/zinc/72/78/28/972727828.db2.gz NKLSYMZXEKYLAQ-OCCSQVGLSA-N 0 2 304.346 0.922 20 0 DCADLN COC(=O)C1CC2(C1)C[N@H+](Cc1noc(C)n1)C[C@@H]2C(=O)[O-] ZINC001604289498 972884956 /nfs/dbraw/zinc/88/49/56/972884956.db2.gz IDGUDOSUMOPCDP-OPASDULOSA-N 0 2 309.322 0.464 20 0 DCADLN COC(=O)C1CC2(C1)C[N@@H+](Cc1noc(C)n1)C[C@@H]2C(=O)[O-] ZINC001604289498 972884971 /nfs/dbraw/zinc/88/49/71/972884971.db2.gz IDGUDOSUMOPCDP-OPASDULOSA-N 0 2 309.322 0.464 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@@H+]2CCC[C@]2(C)C(=O)[O-])n1 ZINC001604400204 973133712 /nfs/dbraw/zinc/13/37/12/973133712.db2.gz UESNTARQEYHAMZ-OAHLLOKOSA-N 0 2 321.333 0.746 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@H+]2CCC[C@]2(C)C(=O)[O-])n1 ZINC001604400204 973133717 /nfs/dbraw/zinc/13/37/17/973133717.db2.gz UESNTARQEYHAMZ-OAHLLOKOSA-N 0 2 321.333 0.746 20 0 DCADLN COC(=O)c1cn(C2C[NH+](Cc3ccc(C(=O)[O-])cc3)C2)nn1 ZINC001604403057 973145225 /nfs/dbraw/zinc/14/52/25/973145225.db2.gz BVTOXKDMPMYSMN-UHFFFAOYSA-N 0 2 316.317 0.820 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCCc2sccc2C1 ZINC001606297472 973705794 /nfs/dbraw/zinc/70/57/94/973705794.db2.gz SQOWBQCEBGMIEK-UHFFFAOYSA-N 0 2 303.405 0.996 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCCc2sccc2C1 ZINC001606297472 973705799 /nfs/dbraw/zinc/70/57/99/973705799.db2.gz SQOWBQCEBGMIEK-UHFFFAOYSA-N 0 2 303.405 0.996 20 0 DCADLN COc1ccc(Cn2cc(C[NH+]3CC(C(=O)[O-])C3)nn2)cc1 ZINC001604799584 974038020 /nfs/dbraw/zinc/03/80/20/974038020.db2.gz VZNWBFXPVHBVGZ-UHFFFAOYSA-N 0 2 302.334 0.851 20 0 DCADLN CCN(C)c1ccc(C[N@@H+]2CCO[C@](COC)(C(=O)[O-])C2)cn1 ZINC001603723617 974154877 /nfs/dbraw/zinc/15/48/77/974154877.db2.gz CVBZFYBAYLCKBT-MRXNPFEDSA-N 0 2 323.393 0.840 20 0 DCADLN CCN(C)c1ccc(C[N@H+]2CCO[C@](COC)(C(=O)[O-])C2)cn1 ZINC001603723617 974154884 /nfs/dbraw/zinc/15/48/84/974154884.db2.gz CVBZFYBAYLCKBT-MRXNPFEDSA-N 0 2 323.393 0.840 20 0 DCADLN CCn1c(C[N@@H+]2CCC[C@H](O)C2)nnc1N1CC[C@@H](C(=O)[O-])C1 ZINC001604008105 974516517 /nfs/dbraw/zinc/51/65/17/974516517.db2.gz KRVWGLDHTWILTL-NEPJUHHUSA-N 0 2 323.397 0.166 20 0 DCADLN CCn1c(C[N@H+]2CCC[C@H](O)C2)nnc1N1CC[C@@H](C(=O)[O-])C1 ZINC001604008105 974516520 /nfs/dbraw/zinc/51/65/20/974516520.db2.gz KRVWGLDHTWILTL-NEPJUHHUSA-N 0 2 323.397 0.166 20 0 DCADLN CCn1nc(C)c([C@@H](C)[NH2+][C@H]2CCCCN(CC(=O)[O-])C2=O)n1 ZINC001604021958 974533445 /nfs/dbraw/zinc/53/34/45/974533445.db2.gz DGEGYNUUNPSQAM-PWSUYJOCSA-N 0 2 323.397 0.723 20 0 DCADLN O=C([O-])[C@]12COC[C@@H]1CN(C(=O)[C@@H]1CCc3[nH+]ccn3C1)C2 ZINC001549306941 1014214793 /nfs/dbraw/zinc/21/47/93/1014214793.db2.gz XCJZSBPZJXQKOY-JRPNMDOOSA-N 0 2 305.334 0.005 20 0 DCADLN O=C([O-])[C@@]12COC[C@H]1CN(C(=O)Cc1cn3c([nH+]1)CCCC3)C2 ZINC001549305384 1014214891 /nfs/dbraw/zinc/21/48/91/1014214891.db2.gz IMFPUDGNDINLFK-BZNIZROVSA-N 0 2 319.361 0.322 20 0 DCADLN Cc1ncc(C(=O)N(C)C[C@@H](O)C[N@H+](C)C/C=C\Cl)[nH]1 ZINC001449189215 1014284814 /nfs/dbraw/zinc/28/48/14/1014284814.db2.gz BYWXONFZLUYKNE-WYGGZMRJSA-N 0 2 300.790 0.835 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nc[nH]n2)C[C@@H]1O)c1ccc(O)c(F)c1 ZINC001549520468 1014288151 /nfs/dbraw/zinc/28/81/51/1014288151.db2.gz WNSIRUPBBBOZMC-KWBADKCTSA-N 0 2 320.324 0.934 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(C(=O)[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC001549539393 1014304645 /nfs/dbraw/zinc/30/46/45/1014304645.db2.gz ATCOMGJCDAPIAU-ZETOZRRWSA-N 0 2 305.334 0.005 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCC[C@@H]1c1ccnn1C)C(=O)[O-] ZINC001591865597 976163864 /nfs/dbraw/zinc/16/38/64/976163864.db2.gz CSWSFYIHRBSJBP-OCCSQVGLSA-N 0 2 308.382 0.782 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCC[C@@H]1c1ccnn1C)C(=O)[O-] ZINC001591865597 976163871 /nfs/dbraw/zinc/16/38/71/976163871.db2.gz CSWSFYIHRBSJBP-OCCSQVGLSA-N 0 2 308.382 0.782 20 0 DCADLN O=C(C[C@H]1CC(=O)NC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001449244897 1014343105 /nfs/dbraw/zinc/34/31/05/1014343105.db2.gz WHBVEULGFUZQIU-QMMMGPOBSA-N 0 2 315.289 0.169 20 0 DCADLN CC(C)c1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)no1 ZINC001592019993 976709285 /nfs/dbraw/zinc/70/92/85/976709285.db2.gz NHFOZYFOABIJFQ-NAKRPEOUSA-N 0 2 322.365 0.651 20 0 DCADLN CCc1nc(NC(=O)[C@]2(C)C[C@H](O)C[N@H+]2C)sc1C(=O)[O-] ZINC001605854071 1170013841 /nfs/dbraw/zinc/01/38/41/1170013841.db2.gz OLUBEPJNWNGULT-CPFSXVBKSA-N 0 2 313.379 0.797 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)CC1 ZINC000712864945 977614725 /nfs/dbraw/zinc/61/47/25/977614725.db2.gz UUWUSSUQDPDGNN-NEPJUHHUSA-N 0 2 304.412 0.596 20 0 DCADLN C[C@H](Cc1cnn(C)c1)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001592909048 979869644 /nfs/dbraw/zinc/86/96/44/979869644.db2.gz NXYSVRJFNNIYMT-PWSUYJOCSA-N 0 2 305.338 0.065 20 0 DCADLN CC[C@](C)(C(=O)[O-])[N@@H+](Cc1nc(N)nc(N(C)C)n1)CC1CC1 ZINC001594791562 981852711 /nfs/dbraw/zinc/85/27/11/981852711.db2.gz MMUXOWOJZCTKDF-OAHLLOKOSA-N 0 2 322.413 0.985 20 0 DCADLN CC[C@](C)(C(=O)[O-])[N@H+](Cc1nc(N)nc(N(C)C)n1)CC1CC1 ZINC001594791562 981852716 /nfs/dbraw/zinc/85/27/16/981852716.db2.gz MMUXOWOJZCTKDF-OAHLLOKOSA-N 0 2 322.413 0.985 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@H](CO)c1c[nH+]cn1C ZINC001574110933 983169787 /nfs/dbraw/zinc/16/97/87/983169787.db2.gz OIWAGLMBRCZWFO-SECBINFHSA-N 0 2 306.322 0.527 20 0 DCADLN CCN(C(=O)COC)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001530965377 1015002880 /nfs/dbraw/zinc/00/28/80/1015002880.db2.gz IBYOZJUIGYAQHP-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)COC)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001530965377 1015002890 /nfs/dbraw/zinc/00/28/90/1015002890.db2.gz IBYOZJUIGYAQHP-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN Cn1cc([C@H]2CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@H]2CO)cn1 ZINC001551352671 1015022721 /nfs/dbraw/zinc/02/27/21/1015022721.db2.gz DXFARBHMAJMTIR-QWHCGFSZSA-N 0 2 302.334 0.726 20 0 DCADLN C[C@H](NC(=O)[C@H](C)[NH2+]Cc1ccc(O)c(F)c1F)C(=O)[O-] ZINC001599900407 984811015 /nfs/dbraw/zinc/81/10/15/984811015.db2.gz PDQMCMIHOQQCSZ-BQBZGAKWSA-N 0 2 302.277 0.738 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001383725780 985046318 /nfs/dbraw/zinc/04/63/18/985046318.db2.gz DSMPKCDFOPHETO-VDTYLAMSSA-N 0 2 311.239 0.282 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2ccnnc2C)n[nH]1 ZINC001551459995 1015096803 /nfs/dbraw/zinc/09/68/03/1015096803.db2.gz NEYYXFQTFORWMC-QWHCGFSZSA-N 0 2 316.365 0.856 20 0 DCADLN CC(C)(F)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001383992131 985258887 /nfs/dbraw/zinc/25/88/87/985258887.db2.gz FNBRFOKQVMVVEE-RITPCOANSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001383992131 985258897 /nfs/dbraw/zinc/25/88/97/985258897.db2.gz FNBRFOKQVMVVEE-RITPCOANSA-N 0 2 306.231 0.228 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+](C)CCOCCO)c(C)c1C(=O)[O-] ZINC001599943053 985480039 /nfs/dbraw/zinc/48/00/39/985480039.db2.gz ZBRFOFVWTXHUSQ-NSHDSACASA-N 0 2 312.366 0.842 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+](C)CCOCCO)c(C)c1C(=O)[O-] ZINC001599943053 985480046 /nfs/dbraw/zinc/48/00/46/985480046.db2.gz ZBRFOFVWTXHUSQ-NSHDSACASA-N 0 2 312.366 0.842 20 0 DCADLN CC(=O)[C@@H]1C[C@H](C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])C1(C)C ZINC001589231222 985785884 /nfs/dbraw/zinc/78/58/84/985785884.db2.gz ZBXRGKHNNYMOKV-TUAOUCFPSA-N 0 2 307.350 0.704 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)CC2(O)CCC2)n[nH]1 ZINC001551658477 1015165485 /nfs/dbraw/zinc/16/54/85/1015165485.db2.gz JSONNZGJHGDBRK-VXGBXAGGSA-N 0 2 308.382 0.749 20 0 DCADLN COC1CC(C(=O)N(C)C[C@H]2OCC[C@@H]2c2n[nH]c(C)n2)C1 ZINC001551656057 1015165538 /nfs/dbraw/zinc/16/55/38/1015165538.db2.gz BGCIVNFXFCHXLD-IFWUJCSASA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)CN2CCCC2=O)n[nH]1 ZINC001551661700 1015166356 /nfs/dbraw/zinc/16/63/56/1015166356.db2.gz CZPIXYPKFHIASO-NEPJUHHUSA-N 0 2 321.381 0.066 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)Cc2cnoc2)n[nH]1 ZINC001551657339 1015166798 /nfs/dbraw/zinc/16/67/98/1015166798.db2.gz GFBQCKHMPNTBRW-NEPJUHHUSA-N 0 2 305.338 0.675 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2ccnc(C)n2)n[nH]1 ZINC001551666678 1015170744 /nfs/dbraw/zinc/17/07/44/1015170744.db2.gz NNUSGRRWDTTYLY-WCQYABFASA-N 0 2 316.365 0.856 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2cnnc(C)c2)n[nH]1 ZINC001551668831 1015171735 /nfs/dbraw/zinc/17/17/35/1015171735.db2.gz HWZCFLWWQCVAIL-CHWSQXEVSA-N 0 2 316.365 0.856 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2csc(C(=O)[O-])n2)[C@@H](C)CO1 ZINC001594527506 986079801 /nfs/dbraw/zinc/07/98/01/986079801.db2.gz LFFNWBQSXDVTHR-DTWKUNHWSA-N 0 2 313.379 0.680 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2csc(C(=O)[O-])n2)[C@@H](C)CO1 ZINC001594527506 986079817 /nfs/dbraw/zinc/07/98/17/986079817.db2.gz LFFNWBQSXDVTHR-DTWKUNHWSA-N 0 2 313.379 0.680 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NC2CC(CC(=O)[O-])C2)[C@@H](C)CO1 ZINC001594527556 986080039 /nfs/dbraw/zinc/08/00/39/986080039.db2.gz MDGHZAHLYMOCEY-ZSVAQUKISA-N 0 2 313.398 0.648 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NC2CC(CC(=O)[O-])C2)[C@@H](C)CO1 ZINC001594527556 986080059 /nfs/dbraw/zinc/08/00/59/986080059.db2.gz MDGHZAHLYMOCEY-ZSVAQUKISA-N 0 2 313.398 0.648 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cc[nH]n2)C1 ZINC001385233957 986174581 /nfs/dbraw/zinc/17/45/81/986174581.db2.gz JMGJVIRRSVPRFW-VXNVDRBHSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001385233957 986174586 /nfs/dbraw/zinc/17/45/86/986174586.db2.gz JMGJVIRRSVPRFW-VXNVDRBHSA-N 0 2 322.262 0.983 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1occc1C(=O)[O-] ZINC001594560782 986307363 /nfs/dbraw/zinc/30/73/63/986307363.db2.gz CHXJYIHGYXQJNA-RKDXNWHRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1occc1C(=O)[O-] ZINC001594560782 986307367 /nfs/dbraw/zinc/30/73/67/986307367.db2.gz CHXJYIHGYXQJNA-RKDXNWHRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCn2c[nH+]cc2C1 ZINC001589352104 986321533 /nfs/dbraw/zinc/32/15/33/986321533.db2.gz GDTUNKDUGNBJCW-LLVKDONJSA-N 0 2 306.366 0.410 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCCO3)C[C@H]21)C(F)C(F)(F)F ZINC001526199412 1015231105 /nfs/dbraw/zinc/23/11/05/1015231105.db2.gz WCJHFDQOOWYVOH-MQIGXGKASA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCCO3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001526199412 1015231113 /nfs/dbraw/zinc/23/11/13/1015231113.db2.gz WCJHFDQOOWYVOH-MQIGXGKASA-N 0 2 324.274 0.639 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC001594607820 986587655 /nfs/dbraw/zinc/58/76/55/986587655.db2.gz OERCTEWTXSAMDA-DGCLKSJQSA-N 0 2 313.398 0.507 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC001594607820 986587663 /nfs/dbraw/zinc/58/76/63/986587663.db2.gz OERCTEWTXSAMDA-DGCLKSJQSA-N 0 2 313.398 0.507 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001386298663 987052511 /nfs/dbraw/zinc/05/25/11/987052511.db2.gz RSSRLADHCHXFMA-XGQMLPDNSA-N 0 2 312.263 0.400 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001386298663 987052518 /nfs/dbraw/zinc/05/25/18/987052518.db2.gz RSSRLADHCHXFMA-XGQMLPDNSA-N 0 2 312.263 0.400 20 0 DCADLN C[C@H](C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1cccn1 ZINC001386809908 987417210 /nfs/dbraw/zinc/41/72/10/987417210.db2.gz ARXLWZMCMACYQU-RKDXNWHRSA-N 0 2 324.278 0.919 20 0 DCADLN C[C@H](C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)n1cccn1 ZINC001386809908 987417212 /nfs/dbraw/zinc/41/72/12/987417212.db2.gz ARXLWZMCMACYQU-RKDXNWHRSA-N 0 2 324.278 0.919 20 0 DCADLN CN(CCNC(=O)COCC1CC1)C(=O)C(F)C(F)(F)F ZINC001386811666 987419524 /nfs/dbraw/zinc/41/95/24/987419524.db2.gz SSANVKMAJLGWKS-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)COCC1CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001386811666 987419526 /nfs/dbraw/zinc/41/95/26/987419526.db2.gz SSANVKMAJLGWKS-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN Cc1ncc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1C ZINC001387057619 987601539 /nfs/dbraw/zinc/60/15/39/987601539.db2.gz UQXALMZHTMWNLF-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1ncc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)n1C ZINC001387057619 987601541 /nfs/dbraw/zinc/60/15/41/987601541.db2.gz UQXALMZHTMWNLF-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cc[nH]n2)C1)C(F)C(F)(F)F ZINC001387206162 987706431 /nfs/dbraw/zinc/70/64/31/987706431.db2.gz NQBCTQRKTCGDLU-IONNQARKSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1)C(F)C(F)(F)F ZINC001387206162 987706433 /nfs/dbraw/zinc/70/64/33/987706433.db2.gz NQBCTQRKTCGDLU-IONNQARKSA-N 0 2 322.262 0.888 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001387486471 987865214 /nfs/dbraw/zinc/86/52/14/987865214.db2.gz DBYAZEWISPKYHH-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001387486471 987865221 /nfs/dbraw/zinc/86/52/21/987865221.db2.gz DBYAZEWISPKYHH-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001387489846 987866768 /nfs/dbraw/zinc/86/67/68/987866768.db2.gz RZRXDNPRQCTTDX-IYSWYEEDSA-N 0 2 311.239 0.330 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001388092403 988032099 /nfs/dbraw/zinc/03/20/99/988032099.db2.gz VEZDSXNPOKJFTP-FSPLSTOPSA-N 0 2 311.239 0.330 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387747142 988103575 /nfs/dbraw/zinc/10/35/75/988103575.db2.gz IBVNEROPFAAVFE-SFYZADRCSA-N 0 2 300.252 0.639 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387747142 988103579 /nfs/dbraw/zinc/10/35/79/988103579.db2.gz IBVNEROPFAAVFE-SFYZADRCSA-N 0 2 300.252 0.639 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@@H+]2C[C@@H](O)C[C@@H]2C(=O)[O-])cc1 ZINC001597524635 988162002 /nfs/dbraw/zinc/16/20/02/988162002.db2.gz DBJFGPOVBNHPIL-LSDHHAIUSA-N 0 2 320.389 0.727 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@H+]2C[C@@H](O)C[C@@H]2C(=O)[O-])cc1 ZINC001597524635 988162006 /nfs/dbraw/zinc/16/20/06/988162006.db2.gz DBJFGPOVBNHPIL-LSDHHAIUSA-N 0 2 320.389 0.727 20 0 DCADLN CO[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001388644125 988468055 /nfs/dbraw/zinc/46/80/55/988468055.db2.gz FBLJGYJEIWGKIU-ZYHUDNBSSA-N 0 2 311.386 0.214 20 0 DCADLN C[C@H](CNC(=O)COCC(F)F)NC(=O)C(F)C(F)(F)F ZINC001389199531 988976640 /nfs/dbraw/zinc/97/66/40/988976640.db2.gz VNCYYLLNFGNUAN-SVGQVSJJSA-N 0 2 324.221 0.789 20 0 DCADLN C[C@H](CNC(=O)COCC(F)F)NC(=O)[C@@H](F)C(F)(F)F ZINC001389199531 988976644 /nfs/dbraw/zinc/97/66/44/988976644.db2.gz VNCYYLLNFGNUAN-SVGQVSJJSA-N 0 2 324.221 0.789 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001389246128 989023963 /nfs/dbraw/zinc/02/39/63/989023963.db2.gz WPEAVHVESDKCDK-UHFFFAOYSA-N 0 2 307.398 0.010 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001389327070 989122673 /nfs/dbraw/zinc/12/26/73/989122673.db2.gz GCNJAUFMCVRJOC-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001389327070 989122675 /nfs/dbraw/zinc/12/26/75/989122675.db2.gz GCNJAUFMCVRJOC-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN CCCNC(=O)C[NH+]1CCC[C@H](NC(=O)C[N@@H+]2CC[C@@H](C)C2)C1 ZINC001389385667 989186704 /nfs/dbraw/zinc/18/67/04/989186704.db2.gz GNOATFDNNXAVGV-CABCVRRESA-N 0 2 324.469 0.435 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n1C ZINC001389465511 989291775 /nfs/dbraw/zinc/29/17/75/989291775.db2.gz GTQBYARJFAFNGU-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)n1C ZINC001389465511 989291780 /nfs/dbraw/zinc/29/17/80/989291780.db2.gz GTQBYARJFAFNGU-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001390766656 990368305 /nfs/dbraw/zinc/36/83/05/990368305.db2.gz OMQHOGDGOSRRGB-MRVPVSSYSA-N 0 2 303.313 0.494 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001390766656 990368311 /nfs/dbraw/zinc/36/83/11/990368311.db2.gz OMQHOGDGOSRRGB-MRVPVSSYSA-N 0 2 303.313 0.494 20 0 DCADLN CCCN(C(=O)[C@@H]1C[C@H]1C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391276700 990713392 /nfs/dbraw/zinc/71/33/92/990713392.db2.gz QRLJRXDBJPACGS-IJLUTSLNSA-N 0 2 307.398 0.979 20 0 DCADLN CCCN(C(=O)[C@@H]1C[C@H]1C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391276700 990713398 /nfs/dbraw/zinc/71/33/98/990713398.db2.gz QRLJRXDBJPACGS-IJLUTSLNSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H]1CCC[C@@H]1CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391479112 990879253 /nfs/dbraw/zinc/87/92/53/990879253.db2.gz WUURPOJHKAWWLS-ZYHUDNBSSA-N 0 2 307.398 0.885 20 0 DCADLN CC[C@@H](C)OCC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391612449 991012579 /nfs/dbraw/zinc/01/25/79/991012579.db2.gz FLUUJNFBWTVUPL-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@@H](C)OCC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391612449 991012593 /nfs/dbraw/zinc/01/25/93/991012593.db2.gz FLUUJNFBWTVUPL-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)c2ccc(C(=O)[O-])nc2)co1 ZINC001598255273 991058298 /nfs/dbraw/zinc/05/82/98/991058298.db2.gz BOCNHCXYPZLQJV-UHFFFAOYSA-N 0 2 304.306 0.759 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccsc1 ZINC001392256179 991660940 /nfs/dbraw/zinc/66/09/40/991660940.db2.gz XQBSPRVCQDHMHM-UHFFFAOYSA-N 0 2 309.395 0.705 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccsc1 ZINC001392256179 991660945 /nfs/dbraw/zinc/66/09/45/991660945.db2.gz XQBSPRVCQDHMHM-UHFFFAOYSA-N 0 2 309.395 0.705 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001392389861 991861461 /nfs/dbraw/zinc/86/14/61/991861461.db2.gz NVNRSLGHTJSXKA-BWZBUEFSSA-N 0 2 302.268 0.525 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001392389861 991861471 /nfs/dbraw/zinc/86/14/71/991861471.db2.gz NVNRSLGHTJSXKA-BWZBUEFSSA-N 0 2 302.268 0.525 20 0 DCADLN CS(=O)(=O)CCCC[N@@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001599750085 991979598 /nfs/dbraw/zinc/97/95/98/991979598.db2.gz GKSPFRQYTGBANX-GFCCVEGCSA-N 0 2 304.368 0.117 20 0 DCADLN CS(=O)(=O)CCCC[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001599750085 991979605 /nfs/dbraw/zinc/97/96/05/991979605.db2.gz GKSPFRQYTGBANX-GFCCVEGCSA-N 0 2 304.368 0.117 20 0 DCADLN CS(=O)(=O)CCC[N@@H+]1Cc2cc(O)ccc2C[C@H]1C(=O)[O-] ZINC001599750762 991988200 /nfs/dbraw/zinc/98/82/00/991988200.db2.gz HZQKXLCPTLTQPK-ZDUSSCGKSA-N 0 2 313.375 0.638 20 0 DCADLN CS(=O)(=O)CCC[N@H+]1Cc2cc(O)ccc2C[C@H]1C(=O)[O-] ZINC001599750762 991988210 /nfs/dbraw/zinc/98/82/10/991988210.db2.gz HZQKXLCPTLTQPK-ZDUSSCGKSA-N 0 2 313.375 0.638 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001599757111 992068814 /nfs/dbraw/zinc/06/88/14/992068814.db2.gz CAPBRXQOHODTGP-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001599757111 992068822 /nfs/dbraw/zinc/06/88/22/992068822.db2.gz CAPBRXQOHODTGP-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC001598485097 992194999 /nfs/dbraw/zinc/19/49/99/992194999.db2.gz KPOCHDZEYAQLCT-HNNXBMFYSA-N 0 2 322.365 0.055 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001393019553 992630208 /nfs/dbraw/zinc/63/02/08/992630208.db2.gz RWWUTQYFRHCMRT-BQBZGAKWSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001393019553 992630210 /nfs/dbraw/zinc/63/02/10/992630210.db2.gz RWWUTQYFRHCMRT-BQBZGAKWSA-N 0 2 308.235 0.612 20 0 DCADLN CC(F)(F)CC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393109134 992688840 /nfs/dbraw/zinc/68/88/40/992688840.db2.gz TUNZZKFPROPXJY-LLVKDONJSA-N 0 2 303.313 0.636 20 0 DCADLN CC(F)(F)CC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393109134 992688844 /nfs/dbraw/zinc/68/88/44/992688844.db2.gz TUNZZKFPROPXJY-LLVKDONJSA-N 0 2 303.313 0.636 20 0 DCADLN CC(F)(F)CC(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001393109134 992688845 /nfs/dbraw/zinc/68/88/45/992688845.db2.gz TUNZZKFPROPXJY-LLVKDONJSA-N 0 2 303.313 0.636 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001394016581 993411947 /nfs/dbraw/zinc/41/19/47/993411947.db2.gz DELTXXZSZOQDHW-MRVPVSSYSA-N 0 2 323.250 0.296 20 0 DCADLN C[N@@H+]1CC[C@H](NS(=O)(=O)c2cc(C(=O)[O-])c(Cl)o2)C1 ZINC001598588394 993416767 /nfs/dbraw/zinc/41/67/67/993416767.db2.gz WWDONGWFIWZIMK-LURJTMIESA-N 0 2 308.743 0.614 20 0 DCADLN C[N@H+]1CC[C@H](NS(=O)(=O)c2cc(C(=O)[O-])c(Cl)o2)C1 ZINC001598588394 993416771 /nfs/dbraw/zinc/41/67/71/993416771.db2.gz WWDONGWFIWZIMK-LURJTMIESA-N 0 2 308.743 0.614 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394241114 993562978 /nfs/dbraw/zinc/56/29/78/993562978.db2.gz XSASWZGLMVFDNP-IUCAKERBSA-N 0 2 313.427 0.588 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001394257694 993574752 /nfs/dbraw/zinc/57/47/52/993574752.db2.gz WQTHZDISQPLHHJ-RQJHMYQMSA-N 0 2 321.303 0.645 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001399907877 993909583 /nfs/dbraw/zinc/90/95/83/993909583.db2.gz FHCXTENGOGJTLY-ZWNOBZJWSA-N 0 2 310.398 0.697 20 0 DCADLN CCC[N@H+](CCNC(=O)Cc1n[nH]c(C)n1)Cc1ccnn1C ZINC001399957300 993986610 /nfs/dbraw/zinc/98/66/10/993986610.db2.gz JWKLLYJSURCZQK-UHFFFAOYSA-N 0 2 319.413 0.418 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)CC2(C(=O)[O-])CCCC2)CC1(C)C ZINC001598620873 994004692 /nfs/dbraw/zinc/00/46/92/994004692.db2.gz KQWBXRHLNRGMAR-UHFFFAOYSA-N 0 2 318.439 0.987 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)CC2(C(=O)[O-])CCCC2)CC1(C)C ZINC001598620873 994004696 /nfs/dbraw/zinc/00/46/96/994004696.db2.gz KQWBXRHLNRGMAR-UHFFFAOYSA-N 0 2 318.439 0.987 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)Nc2nc3c(cccc3C(=O)[O-])[nH]2)C1 ZINC001598623602 994050332 /nfs/dbraw/zinc/05/03/32/994050332.db2.gz LAHPHCLBDVOGMR-JTQLQIEISA-N 0 2 304.306 0.530 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)Nc2nc3c(cccc3C(=O)[O-])[nH]2)C1 ZINC001598623602 994050339 /nfs/dbraw/zinc/05/03/39/994050339.db2.gz LAHPHCLBDVOGMR-JTQLQIEISA-N 0 2 304.306 0.530 20 0 DCADLN CC(F)(F)CC(=O)NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001400026745 994069847 /nfs/dbraw/zinc/06/98/47/994069847.db2.gz UCHOJUWBTJVVPK-UHFFFAOYSA-N 0 2 303.313 0.636 20 0 DCADLN CC(F)(F)CC(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400026745 994069853 /nfs/dbraw/zinc/06/98/53/994069853.db2.gz UCHOJUWBTJVVPK-UHFFFAOYSA-N 0 2 303.313 0.636 20 0 DCADLN CC(F)(F)CC(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400026745 994069860 /nfs/dbraw/zinc/06/98/60/994069860.db2.gz UCHOJUWBTJVVPK-UHFFFAOYSA-N 0 2 303.313 0.636 20 0 DCADLN CNC(=O)C[NH+](C)CCN(C(=O)C[N@@H+](C)C(C)C)C(C)C ZINC001394811135 994177866 /nfs/dbraw/zinc/17/78/66/994177866.db2.gz CXXPEWZLXUQTRR-UHFFFAOYSA-N 0 2 300.447 0.241 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001400432327 994507812 /nfs/dbraw/zinc/50/78/12/994507812.db2.gz RQEQRAWBTUDECF-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001400432327 994507824 /nfs/dbraw/zinc/50/78/24/994507824.db2.gz RQEQRAWBTUDECF-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccsc1C(=O)[O-] ZINC001598655477 994514782 /nfs/dbraw/zinc/51/47/82/994514782.db2.gz HNYNNTNCNDOFSA-VIFPVBQESA-N 0 2 322.346 0.257 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccsc1C(=O)[O-] ZINC001598655477 994514791 /nfs/dbraw/zinc/51/47/91/994514791.db2.gz HNYNNTNCNDOFSA-VIFPVBQESA-N 0 2 322.346 0.257 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F)C(=O)[O-] ZINC001593400758 995160797 /nfs/dbraw/zinc/16/07/97/995160797.db2.gz YNNLGLPEENGHOH-GMSGAONNSA-N 0 2 309.244 0.328 20 0 DCADLN CC(C)CC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001396419667 995380660 /nfs/dbraw/zinc/38/06/60/995380660.db2.gz QXQBCVRJRKZXAF-KHQFGBGNSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)CC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC001396419667 995380661 /nfs/dbraw/zinc/38/06/61/995380661.db2.gz QXQBCVRJRKZXAF-KHQFGBGNSA-N 0 2 314.279 0.621 20 0 DCADLN CCC(=CC(=O)N(C)C[C@H](C)[NH2+]Cc1nc(=O)n(C)[nH]1)CC ZINC001507062403 1015939835 /nfs/dbraw/zinc/93/98/35/1015939835.db2.gz QGOHBIJKSFJGSZ-NSHDSACASA-N 0 2 309.414 0.791 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1Cc1cccc(C(N)=O)c1 ZINC001598776799 995938162 /nfs/dbraw/zinc/93/81/62/995938162.db2.gz KNQOLWKPJHDIQD-CHWSQXEVSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cc1cccc(C(N)=O)c1 ZINC001598776799 995938168 /nfs/dbraw/zinc/93/81/68/995938168.db2.gz KNQOLWKPJHDIQD-CHWSQXEVSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)C(C)(C)n2c[nH+]c(C)c2)C[C@H]1C(=O)[O-] ZINC001598804362 996169873 /nfs/dbraw/zinc/16/98/73/996169873.db2.gz URXQPATYHOQIMB-GHMZBOCLSA-N 0 2 323.349 0.259 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C)C(C)(F)F ZINC001397798291 996181810 /nfs/dbraw/zinc/18/18/10/996181810.db2.gz QEBCWLFLKSCMNB-HLTSFMKQSA-N 0 2 317.340 0.881 20 0 DCADLN C[C@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)(F)F ZINC001397798291 996181814 /nfs/dbraw/zinc/18/18/14/996181814.db2.gz QEBCWLFLKSCMNB-HLTSFMKQSA-N 0 2 317.340 0.881 20 0 DCADLN C[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)(F)F ZINC001397798291 996181816 /nfs/dbraw/zinc/18/18/16/996181816.db2.gz QEBCWLFLKSCMNB-HLTSFMKQSA-N 0 2 317.340 0.881 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[N@@H+]1CC[C@H](CNS(C)(=O)=O)C1 ZINC001593724980 996271857 /nfs/dbraw/zinc/27/18/57/996271857.db2.gz KNIHPRNWMDWPDJ-RKDXNWHRSA-N 0 2 317.367 0.305 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[N@H+]1CC[C@H](CNS(C)(=O)=O)C1 ZINC001593724980 996271863 /nfs/dbraw/zinc/27/18/63/996271863.db2.gz KNIHPRNWMDWPDJ-RKDXNWHRSA-N 0 2 317.367 0.305 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2c(F)ccc(O)c2F)C[C@H]1C(=O)[O-] ZINC001598826041 996383028 /nfs/dbraw/zinc/38/30/28/996383028.db2.gz FPJOIYQSNXSRDL-HTQZYQBOSA-N 0 2 315.272 0.976 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2c(F)ccc(O)c2F)C[C@H]1C(=O)[O-] ZINC001598826041 996383030 /nfs/dbraw/zinc/38/30/30/996383030.db2.gz FPJOIYQSNXSRDL-HTQZYQBOSA-N 0 2 315.272 0.976 20 0 DCADLN CCC[N@@H+](C)CC(=O)N1CCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001398218814 996415937 /nfs/dbraw/zinc/41/59/37/996415937.db2.gz QMVAMSOSUDKHPB-AWEZNQCLSA-N 0 2 321.425 0.401 20 0 DCADLN COCC1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001398237493 996425429 /nfs/dbraw/zinc/42/54/29/996425429.db2.gz SGNAKCCMCCRROB-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN CC1(C)C(=O)N[C@H]1C1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001593822692 996562924 /nfs/dbraw/zinc/56/29/24/996562924.db2.gz NZFLLHIMWJCYEP-ZDUSSCGKSA-N 0 2 321.381 0.099 20 0 DCADLN CCCN(C(=O)COCC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001404417167 997087213 /nfs/dbraw/zinc/08/72/13/997087213.db2.gz OKQWMEHZRDFPKO-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCCN(C(=O)COCC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001404417167 997087225 /nfs/dbraw/zinc/08/72/25/997087225.db2.gz OKQWMEHZRDFPKO-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN COCC1(C(=O)N(C)[C@@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001404438151 997110048 /nfs/dbraw/zinc/11/00/48/997110048.db2.gz LBSDUWLPXILPHB-NSHDSACASA-N 0 2 322.409 0.650 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCC[N@@H+]1Cc1cnc(C(=O)OC)cn1 ZINC001599190796 997118991 /nfs/dbraw/zinc/11/89/91/997118991.db2.gz OBMSXVOYYFMWOW-OAHLLOKOSA-N 0 2 323.349 0.719 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCC[N@H+]1Cc1cnc(C(=O)OC)cn1 ZINC001599190796 997118994 /nfs/dbraw/zinc/11/89/94/997118994.db2.gz OBMSXVOYYFMWOW-OAHLLOKOSA-N 0 2 323.349 0.719 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001510974658 1016097601 /nfs/dbraw/zinc/09/76/01/1016097601.db2.gz YBUHXTLRIVGGAS-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1cccnc1 ZINC001510974658 1016097612 /nfs/dbraw/zinc/09/76/12/1016097612.db2.gz YBUHXTLRIVGGAS-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN COCc1nc(C[N@@H+]2C[C@@H](C(=O)[O-])[C@H](C(=O)OC)C2)cs1 ZINC001599301104 997235439 /nfs/dbraw/zinc/23/54/39/997235439.db2.gz YRQDKBUCAPRMGH-NXEZZACHSA-N 0 2 314.363 0.595 20 0 DCADLN COCc1nc(C[N@H+]2C[C@@H](C(=O)[O-])[C@H](C(=O)OC)C2)cs1 ZINC001599301104 997235448 /nfs/dbraw/zinc/23/54/48/997235448.db2.gz YRQDKBUCAPRMGH-NXEZZACHSA-N 0 2 314.363 0.595 20 0 DCADLN Cc1cnc(CN[C@H](CO)CNC(=O)C(F)C(F)(F)F)o1 ZINC001507197621 1016112930 /nfs/dbraw/zinc/11/29/30/1016112930.db2.gz CILOZOKIEHDVGI-IONNQARKSA-N 0 2 313.251 0.450 20 0 DCADLN Cc1cnc(CN[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)o1 ZINC001507197621 1016112935 /nfs/dbraw/zinc/11/29/35/1016112935.db2.gz CILOZOKIEHDVGI-IONNQARKSA-N 0 2 313.251 0.450 20 0 DCADLN CON(C(=O)/C=C(/C)C[NH+]1CCOCC1)[C@H](C)CC(=O)[O-] ZINC001599310750 997410824 /nfs/dbraw/zinc/41/08/24/997410824.db2.gz MPYJYVPDTDSZGH-NXIHDVOMSA-N 0 2 300.355 0.518 20 0 DCADLN C[C@@H](c1nncn1C)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001399487735 997431995 /nfs/dbraw/zinc/43/19/95/997431995.db2.gz YCXPQUSUQOKTPY-YUMQZZPRSA-N 0 2 311.283 0.825 20 0 DCADLN C[C@@H](c1nncn1C)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001399487735 997432001 /nfs/dbraw/zinc/43/20/01/997432001.db2.gz YCXPQUSUQOKTPY-YUMQZZPRSA-N 0 2 311.283 0.825 20 0 DCADLN C[C@H](F)CC[NH+]1CC(NC(=O)CCCn2c(=O)[n-][nH]c2=O)C1 ZINC001404786211 997460781 /nfs/dbraw/zinc/46/07/81/997460781.db2.gz QFDRUFACLZQSIV-VIFPVBQESA-N 0 2 315.349 0.018 20 0 DCADLN COC(=O)c1cc(C[N@H+](C)CC(=O)NC2CC2)cc(C(=O)[O-])c1 ZINC001598928168 997690127 /nfs/dbraw/zinc/69/01/27/997690127.db2.gz LEGYSTFKUXODFR-UHFFFAOYSA-N 0 2 320.345 0.882 20 0 DCADLN COC(=O)c1cc(C[N@@H+](C)CC(=O)NC2CC2)cc(C(=O)[O-])c1 ZINC001598928168 997690133 /nfs/dbraw/zinc/69/01/33/997690133.db2.gz LEGYSTFKUXODFR-UHFFFAOYSA-N 0 2 320.345 0.882 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)[C@@H](C(=O)[O-])C1 ZINC001599118651 997699045 /nfs/dbraw/zinc/69/90/45/997699045.db2.gz CWKQDMSGPBQRJH-IJLUTSLNSA-N 0 2 307.350 0.536 20 0 DCADLN COC[C@](C)(CC(=O)[O-])NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC001599147397 998235907 /nfs/dbraw/zinc/23/59/07/998235907.db2.gz KCEPJQXVZSKWCC-DOMZBBRYSA-N 0 2 324.381 0.786 20 0 DCADLN COC[C@](C)(CC(=O)[O-])NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC001599147397 998235919 /nfs/dbraw/zinc/23/59/19/998235919.db2.gz KCEPJQXVZSKWCC-DOMZBBRYSA-N 0 2 324.381 0.786 20 0 DCADLN O=C(C[NH+]1CCCC1)NC1(CO)CC[NH+](C/C=C\Cl)CC1 ZINC001505392854 1016208086 /nfs/dbraw/zinc/20/80/86/1016208086.db2.gz KCNLKAWNGKAIDB-UTCJRWHESA-N 0 2 315.845 0.778 20 0 DCADLN COCCn1c([C@H]2CCC[N@@H+]2C)nnc1N1CC[C@@H](C(=O)[O-])C1 ZINC001599269457 998524400 /nfs/dbraw/zinc/52/44/00/998524400.db2.gz SOFFPMIBICLDDC-VXGBXAGGSA-N 0 2 323.397 0.602 20 0 DCADLN COCCn1c([C@H]2CCC[N@H+]2C)nnc1N1CC[C@@H](C(=O)[O-])C1 ZINC001599269457 998524412 /nfs/dbraw/zinc/52/44/12/998524412.db2.gz SOFFPMIBICLDDC-VXGBXAGGSA-N 0 2 323.397 0.602 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)C[N@@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001594365244 999379538 /nfs/dbraw/zinc/37/95/38/999379538.db2.gz DTYLBHFDFOREBH-HSMVNMDESA-N 0 2 323.393 0.987 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)C[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001594365244 999379540 /nfs/dbraw/zinc/37/95/40/999379540.db2.gz DTYLBHFDFOREBH-HSMVNMDESA-N 0 2 323.393 0.987 20 0 DCADLN CC[N@H+](CCNC(=O)c1[nH]c(C)cc1C)Cc1n[nH]c(=O)[n-]1 ZINC001418550391 1000338967 /nfs/dbraw/zinc/33/89/67/1000338967.db2.gz BUPKSCKCMHEQOS-UHFFFAOYSA-N 0 2 306.370 0.707 20 0 DCADLN CC[N@@H+](CCNC(=O)c1[nH]c(C)cc1C)Cc1n[nH]c(=O)[n-]1 ZINC001418550391 1000338972 /nfs/dbraw/zinc/33/89/72/1000338972.db2.gz BUPKSCKCMHEQOS-UHFFFAOYSA-N 0 2 306.370 0.707 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C(C)C)on1)Cc1n[nH]c(=O)[n-]1 ZINC001418553250 1000340385 /nfs/dbraw/zinc/34/03/85/1000340385.db2.gz FBWUALFMQYUFAM-UHFFFAOYSA-N 0 2 322.369 0.874 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C(C)C)on1)Cc1n[nH]c(=O)[n-]1 ZINC001418553250 1000340388 /nfs/dbraw/zinc/34/03/88/1000340388.db2.gz FBWUALFMQYUFAM-UHFFFAOYSA-N 0 2 322.369 0.874 20 0 DCADLN CCc1nnc(C[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001401678506 1000618098 /nfs/dbraw/zinc/61/80/98/1000618098.db2.gz HCUSYEYZFWJRSL-ZETCQYMHSA-N 0 2 308.342 0.277 20 0 DCADLN CN(C(=O)CCc1cn[nH]n1)[C@H]1CC[N@H+](Cc2ccnn2C)C1 ZINC001419129417 1000800208 /nfs/dbraw/zinc/80/02/08/1000800208.db2.gz RHQGOBVWIWEKBS-ZDUSSCGKSA-N 0 2 317.397 0.204 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402080889 1000999880 /nfs/dbraw/zinc/99/98/80/1000999880.db2.gz LZSNLGHBUULFPO-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001419971697 1001734779 /nfs/dbraw/zinc/73/47/79/1001734779.db2.gz FQTFUGRPMMJLOU-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001419971697 1001734785 /nfs/dbraw/zinc/73/47/85/1001734785.db2.gz FQTFUGRPMMJLOU-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1CC2(CCC2)C1 ZINC001419993036 1001750256 /nfs/dbraw/zinc/75/02/56/1001750256.db2.gz FROZLBUHIRCYME-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1CC2(CCC2)C1 ZINC001419993036 1001750262 /nfs/dbraw/zinc/75/02/62/1001750262.db2.gz FROZLBUHIRCYME-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN C[C@H]1COCC[C@@H]1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420012876 1001763827 /nfs/dbraw/zinc/76/38/27/1001763827.db2.gz PCLHZWZCILTEGN-QWRGUYRKSA-N 0 2 311.386 0.073 20 0 DCADLN C[C@H]1COCC[C@@H]1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420012876 1001763832 /nfs/dbraw/zinc/76/38/32/1001763832.db2.gz PCLHZWZCILTEGN-QWRGUYRKSA-N 0 2 311.386 0.073 20 0 DCADLN CCc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001420065281 1001797630 /nfs/dbraw/zinc/79/76/30/1001797630.db2.gz SWXAKIMSHQQKSF-UHFFFAOYSA-N 0 2 321.385 0.010 20 0 DCADLN CCc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001420065281 1001797639 /nfs/dbraw/zinc/79/76/39/1001797639.db2.gz SWXAKIMSHQQKSF-UHFFFAOYSA-N 0 2 321.385 0.010 20 0 DCADLN Cc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1F ZINC001420259647 1002127319 /nfs/dbraw/zinc/12/73/19/1002127319.db2.gz FOYFCBJBECGNEP-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1F ZINC001420259647 1002127325 /nfs/dbraw/zinc/12/73/25/1002127325.db2.gz FOYFCBJBECGNEP-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1ccc([C@H](C)C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001420303936 1002195051 /nfs/dbraw/zinc/19/50/51/1002195051.db2.gz TWBRWTDFZZPLTR-JTQLQIEISA-N 0 2 307.354 0.763 20 0 DCADLN Cc1ccc([C@H](C)C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001420303936 1002195054 /nfs/dbraw/zinc/19/50/54/1002195054.db2.gz TWBRWTDFZZPLTR-JTQLQIEISA-N 0 2 307.354 0.763 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@H]1C1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420323960 1002223074 /nfs/dbraw/zinc/22/30/74/1002223074.db2.gz HQGBARZVQFCKJH-NWDGAFQWSA-N 0 2 307.398 0.885 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420323960 1002223083 /nfs/dbraw/zinc/22/30/83/1002223083.db2.gz HQGBARZVQFCKJH-NWDGAFQWSA-N 0 2 307.398 0.885 20 0 DCADLN CCC[C@@H](O)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001420356818 1002276053 /nfs/dbraw/zinc/27/60/53/1002276053.db2.gz ZYYMPKLQHYXQSI-GFCCVEGCSA-N 0 2 320.349 0.547 20 0 DCADLN CCc1nn(C)cc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001420392298 1002340716 /nfs/dbraw/zinc/34/07/16/1002340716.db2.gz ABNCHOPHMBKRPM-UHFFFAOYSA-N 0 2 321.385 0.058 20 0 DCADLN CCc1nn(C)cc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001420392298 1002340732 /nfs/dbraw/zinc/34/07/32/1002340732.db2.gz ABNCHOPHMBKRPM-UHFFFAOYSA-N 0 2 321.385 0.058 20 0 DCADLN C[C@H](NC(=O)Cc1ccc(C(N)=O)cc1)c1nn(C)cc1O ZINC001420440041 1002422256 /nfs/dbraw/zinc/42/22/56/1002422256.db2.gz NEWGAKQSHREDQO-VIFPVBQESA-N 0 2 302.334 0.645 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CCNC(=O)C(C)(C)c1c[nH]cn1 ZINC001403727542 1002429387 /nfs/dbraw/zinc/42/93/87/1002429387.db2.gz AZQAFOVLAVAOLE-UHFFFAOYSA-N 0 2 309.414 0.260 20 0 DCADLN COCCN(CCNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)Cc1n[nH]c(=O)[nH]1 ZINC001508043480 1016620572 /nfs/dbraw/zinc/62/05/72/1016620572.db2.gz ONCKUXHEHVQQBB-PJXYFTJBSA-N 0 2 323.397 0.121 20 0 DCADLN C[C@@H](NC(=O)C[C@H](C)C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425258791 1002488155 /nfs/dbraw/zinc/48/81/55/1002488155.db2.gz AOJDVUJFXYQEEG-VHSXEESVSA-N 0 2 307.398 0.883 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc(CCO)cc2)S1 ZINC001420489101 1002493571 /nfs/dbraw/zinc/49/35/71/1002493571.db2.gz RCUOAQOVDSCZAU-LLVKDONJSA-N 0 2 307.375 0.394 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)C(C)(F)F ZINC001420636256 1002722265 /nfs/dbraw/zinc/72/22/65/1002722265.db2.gz WFUXPDAWTGGLHS-HTQZYQBOSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)C(C)(F)F ZINC001420636256 1002722275 /nfs/dbraw/zinc/72/22/75/1002722275.db2.gz WFUXPDAWTGGLHS-HTQZYQBOSA-N 0 2 305.329 0.738 20 0 DCADLN CC[N@H+](CCNC(=O)c1nnc[nH]1)CC(=O)N1CCCC[C@H]1C ZINC001403909985 1002723017 /nfs/dbraw/zinc/72/30/17/1002723017.db2.gz CAXWMQYCDBJCLX-GFCCVEGCSA-N 0 2 322.413 0.257 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC12CCOCC2 ZINC001420698598 1002814747 /nfs/dbraw/zinc/81/47/47/1002814747.db2.gz YLTLGUGXTTZDJV-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC12CCOCC2 ZINC001420698598 1002814751 /nfs/dbraw/zinc/81/47/51/1002814751.db2.gz YLTLGUGXTTZDJV-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN CCN1C[C@@H](C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)CC1=O ZINC001472060518 1016718016 /nfs/dbraw/zinc/71/80/16/1016718016.db2.gz IWVLVBPBSWRRIZ-JTQLQIEISA-N 0 2 322.365 0.066 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1CCC(F)(F)C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505931376 1016726605 /nfs/dbraw/zinc/72/66/05/1016726605.db2.gz ZDYOYDMVWISGMK-IUCAKERBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1CCC(F)(F)C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505931376 1016726618 /nfs/dbraw/zinc/72/66/18/1016726618.db2.gz ZDYOYDMVWISGMK-IUCAKERBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CCC(F)(F)C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505931377 1016729108 /nfs/dbraw/zinc/72/91/08/1016729108.db2.gz ZDYOYDMVWISGMK-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CCC(F)(F)C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505931377 1016729123 /nfs/dbraw/zinc/72/91/23/1016729123.db2.gz ZDYOYDMVWISGMK-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN CCc1nnc([C@H](C)[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001406350839 1003628650 /nfs/dbraw/zinc/62/86/50/1003628650.db2.gz UANJFSOUOHRWIF-APPZFPTMSA-N 0 2 321.385 0.573 20 0 DCADLN COC(=O)[C@@H]1[C@H](C[NH2+][C@H](C)c2nc(C(=O)[O-])n[nH]2)C1(F)F ZINC001573915850 1163584585 /nfs/dbraw/zinc/58/45/85/1163584585.db2.gz KSYNOZROZJOSNC-SRQIZXRXSA-N 0 2 304.253 0.208 20 0 DCADLN CCOCC(=O)N(C)[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001426691395 1003969703 /nfs/dbraw/zinc/96/97/03/1003969703.db2.gz YVIDHEXNGARRQS-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N(C)[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001426691395 1003969708 /nfs/dbraw/zinc/96/97/08/1003969708.db2.gz YVIDHEXNGARRQS-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN COc1ncccc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406655794 1003976328 /nfs/dbraw/zinc/97/63/28/1003976328.db2.gz QMLCRGZOCPEKAN-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1ncccc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406655794 1003976335 /nfs/dbraw/zinc/97/63/35/1003976335.db2.gz QMLCRGZOCPEKAN-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN CO[C@H](CC(C)C)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505996364 1016846772 /nfs/dbraw/zinc/84/67/72/1016846772.db2.gz FEGYRYSZXPMWMY-WDEREUQCSA-N 0 2 313.402 0.508 20 0 DCADLN CO[C@H](CC(C)C)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505996364 1016846784 /nfs/dbraw/zinc/84/67/84/1016846784.db2.gz FEGYRYSZXPMWMY-WDEREUQCSA-N 0 2 313.402 0.508 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]n1 ZINC001428654044 1004092257 /nfs/dbraw/zinc/09/22/57/1004092257.db2.gz LERUBPWDCDMMNZ-XNCJUZBTSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]n1 ZINC001428654044 1004092267 /nfs/dbraw/zinc/09/22/67/1004092267.db2.gz LERUBPWDCDMMNZ-XNCJUZBTSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)c1ccc(=O)[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001428810754 1004189349 /nfs/dbraw/zinc/18/93/49/1004189349.db2.gz BMDGFUUBQUNNLN-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)c1ccc(=O)[nH]c1)NC(=O)[C@H](F)C(F)(F)F ZINC001428810754 1004189354 /nfs/dbraw/zinc/18/93/54/1004189354.db2.gz BMDGFUUBQUNNLN-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1cn[nH]c1 ZINC001427298321 1004309010 /nfs/dbraw/zinc/30/90/10/1004309010.db2.gz QNYIMZGIDJJFRJ-RKDXNWHRSA-N 0 2 305.342 0.884 20 0 DCADLN CCn1cc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001427470455 1004407726 /nfs/dbraw/zinc/40/77/26/1004407726.db2.gz KORHDFBGMPOHGW-LLVKDONJSA-N 0 2 319.369 0.816 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001429304399 1004518628 /nfs/dbraw/zinc/51/86/28/1004518628.db2.gz PWEKDBMASRHBFU-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001429304399 1004518632 /nfs/dbraw/zinc/51/86/32/1004518632.db2.gz PWEKDBMASRHBFU-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN C[C@H](CNC(=O)c1c[nH]cc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506029392 1016916725 /nfs/dbraw/zinc/91/67/25/1016916725.db2.gz GTHMIPVEQZIQJD-SECBINFHSA-N 0 2 318.381 0.966 20 0 DCADLN C[C@H](CNC(=O)c1c[nH]cc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506029392 1016916738 /nfs/dbraw/zinc/91/67/38/1016916738.db2.gz GTHMIPVEQZIQJD-SECBINFHSA-N 0 2 318.381 0.966 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c(=O)c1)NC(=O)C(F)C(F)(F)F ZINC001408203638 1005106162 /nfs/dbraw/zinc/10/61/62/1005106162.db2.gz VBMHPOHVGZVNEC-SVGQVSJJSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c(=O)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001408203638 1005106164 /nfs/dbraw/zinc/10/61/64/1005106164.db2.gz VBMHPOHVGZVNEC-SVGQVSJJSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CCNC(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001408446507 1005203281 /nfs/dbraw/zinc/20/32/81/1005203281.db2.gz VJPPFHQCMFIMSM-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)c1cn[nH]c1)NC(=O)[C@H](F)C(F)(F)F ZINC001408446507 1005203287 /nfs/dbraw/zinc/20/32/87/1005203287.db2.gz VJPPFHQCMFIMSM-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN COCC(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)[C@@H]1C ZINC001414311889 1005284119 /nfs/dbraw/zinc/28/41/19/1005284119.db2.gz QKZMOWVQRXLMIF-BKPPORCPSA-N 0 2 300.252 0.639 20 0 DCADLN COCC(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1C ZINC001414311889 1005284123 /nfs/dbraw/zinc/28/41/23/1005284123.db2.gz QKZMOWVQRXLMIF-BKPPORCPSA-N 0 2 300.252 0.639 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414784772 1005402019 /nfs/dbraw/zinc/40/20/19/1005402019.db2.gz XSIQLWNWGJYMEJ-XPUUQOCRSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001414784772 1005402021 /nfs/dbraw/zinc/40/20/21/1005402021.db2.gz XSIQLWNWGJYMEJ-XPUUQOCRSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)no1 ZINC001415233246 1005486151 /nfs/dbraw/zinc/48/61/51/1005486151.db2.gz NYHLUIVPMMLYDT-MRVPVSSYSA-N 0 2 305.338 0.492 20 0 DCADLN CO[C@@H](C)CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001415514385 1005551099 /nfs/dbraw/zinc/55/10/99/1005551099.db2.gz KJALFJZZSUTZQY-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@@H](C)CC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001415514385 1005551100 /nfs/dbraw/zinc/55/11/00/1005551100.db2.gz KJALFJZZSUTZQY-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001416094786 1005660213 /nfs/dbraw/zinc/66/02/13/1005660213.db2.gz UWUVWTRLADKLNL-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416094786 1005660215 /nfs/dbraw/zinc/66/02/15/1005660215.db2.gz UWUVWTRLADKLNL-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN CC(F)(F)CC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001416768166 1005733751 /nfs/dbraw/zinc/73/37/51/1005733751.db2.gz WTHWMUMPMURJLS-VDTYLAMSSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001416768166 1005733754 /nfs/dbraw/zinc/73/37/54/1005733754.db2.gz WTHWMUMPMURJLS-VDTYLAMSSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001416768165 1005733975 /nfs/dbraw/zinc/73/39/75/1005733975.db2.gz WTHWMUMPMURJLS-IYSWYEEDSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001416768165 1005733976 /nfs/dbraw/zinc/73/39/76/1005733976.db2.gz WTHWMUMPMURJLS-IYSWYEEDSA-N 0 2 324.221 0.525 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cc[nH]c(=O)c1 ZINC001416800301 1005737905 /nfs/dbraw/zinc/73/79/05/1005737905.db2.gz PPUBLNTXLDDELS-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cc[nH]c(=O)c1 ZINC001416800301 1005737907 /nfs/dbraw/zinc/73/79/07/1005737907.db2.gz PPUBLNTXLDDELS-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN Cc1ncc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)[nH]1 ZINC001417118592 1005786961 /nfs/dbraw/zinc/78/69/61/1005786961.db2.gz UFRHTACAZHRKAC-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1ncc(C(=O)NCC2(NC(=O)[C@@H](F)C(F)(F)F)CC2)[nH]1 ZINC001417118592 1005786964 /nfs/dbraw/zinc/78/69/64/1005786964.db2.gz UFRHTACAZHRKAC-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN CO[C@@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001417257480 1005811762 /nfs/dbraw/zinc/81/17/62/1005811762.db2.gz WQAOAVJOMTXOSB-POYBYMJQSA-N 0 2 300.252 0.591 20 0 DCADLN CO[C@@H](C)C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001417257480 1005811765 /nfs/dbraw/zinc/81/17/65/1005811765.db2.gz WQAOAVJOMTXOSB-POYBYMJQSA-N 0 2 300.252 0.591 20 0 DCADLN COc1cccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC001417565259 1005844875 /nfs/dbraw/zinc/84/48/75/1005844875.db2.gz FDNOWEVPVMVRFE-UHFFFAOYSA-N 0 2 300.278 0.114 20 0 DCADLN CN(CC(N)=O)C(=O)c1cc(Br)cc(F)c1O ZINC001417611026 1005851433 /nfs/dbraw/zinc/85/14/33/1005851433.db2.gz LAZGLEFSGACQRT-UHFFFAOYSA-N 0 2 305.103 0.851 20 0 DCADLN CN(C[C@H]1CCOC1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417654878 1005861468 /nfs/dbraw/zinc/86/14/68/1005861468.db2.gz CIYHUJRSCLVTDE-SNVBAGLBSA-N 0 2 318.333 0.375 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001417912503 1005923166 /nfs/dbraw/zinc/92/31/66/1005923166.db2.gz SFJQWUZFDDGYPO-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2CCCC(F)(F)[C@H]2O)S1 ZINC001417979292 1005946453 /nfs/dbraw/zinc/94/64/53/1005946453.db2.gz WDQIXARYMIGBAL-JKMUOGBPSA-N 0 2 307.322 0.208 20 0 DCADLN O=C([C@H]1CCCC12CC2)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001434863706 1006061872 /nfs/dbraw/zinc/06/18/72/1006061872.db2.gz GVDSZJSTLWPDCS-LLVKDONJSA-N 0 2 305.382 0.735 20 0 DCADLN CS[C@H](C)C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001418150465 1006107427 /nfs/dbraw/zinc/10/74/27/1006107427.db2.gz JBWYPRFOQZVDBH-NXEZZACHSA-N 0 2 313.427 0.733 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1CC1 ZINC001451773115 1006402858 /nfs/dbraw/zinc/40/28/58/1006402858.db2.gz NGVSQCKFMZIXLR-JGVFFNPUSA-N 0 2 300.252 0.232 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1CC1 ZINC001451773115 1006402864 /nfs/dbraw/zinc/40/28/64/1006402864.db2.gz NGVSQCKFMZIXLR-JGVFFNPUSA-N 0 2 300.252 0.232 20 0 DCADLN CCC(CC)C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452289418 1006716260 /nfs/dbraw/zinc/71/62/60/1006716260.db2.gz OZKIPRZMPPJBKH-RKDXNWHRSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001452289418 1006716272 /nfs/dbraw/zinc/71/62/72/1006716272.db2.gz OZKIPRZMPPJBKH-RKDXNWHRSA-N 0 2 316.295 0.916 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001452318549 1006739213 /nfs/dbraw/zinc/73/92/13/1006739213.db2.gz XUYOQFHXMRVSDM-BDAKNGLRSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)C1=CCCC1 ZINC001452318549 1006739224 /nfs/dbraw/zinc/73/92/24/1006739224.db2.gz XUYOQFHXMRVSDM-BDAKNGLRSA-N 0 2 312.263 0.590 20 0 DCADLN CSC[C@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001439831436 1007225145 /nfs/dbraw/zinc/22/51/45/1007225145.db2.gz KCUUEJDBABPBPH-ZANVPECISA-N 0 2 313.427 0.590 20 0 DCADLN CSC[C@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001439831436 1007225154 /nfs/dbraw/zinc/22/51/54/1007225154.db2.gz KCUUEJDBABPBPH-ZANVPECISA-N 0 2 313.427 0.590 20 0 DCADLN O=C(CC(F)(F)F)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001437773452 1007384645 /nfs/dbraw/zinc/38/46/45/1007384645.db2.gz ANORRYDTXZGZAK-UHFFFAOYSA-N 0 2 307.276 0.543 20 0 DCADLN O=C(CC(F)(F)F)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001437773452 1007384662 /nfs/dbraw/zinc/38/46/62/1007384662.db2.gz ANORRYDTXZGZAK-UHFFFAOYSA-N 0 2 307.276 0.543 20 0 DCADLN O=C(CC(F)(F)F)NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001437773452 1007384672 /nfs/dbraw/zinc/38/46/72/1007384672.db2.gz ANORRYDTXZGZAK-UHFFFAOYSA-N 0 2 307.276 0.543 20 0 DCADLN C[C@@H](c1nnnn1C)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001438124353 1007652090 /nfs/dbraw/zinc/65/20/90/1007652090.db2.gz UVWNNEJIUKLDRK-POYBYMJQSA-N 0 2 324.282 0.220 20 0 DCADLN C[C@@H](c1nnnn1C)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001438124353 1007652101 /nfs/dbraw/zinc/65/21/01/1007652101.db2.gz UVWNNEJIUKLDRK-POYBYMJQSA-N 0 2 324.282 0.220 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2nnn(C)n2)C1 ZINC001438242678 1007739355 /nfs/dbraw/zinc/73/93/55/1007739355.db2.gz GZFPYJWUAKFRBO-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(Cc2nnn(C)n2)C1 ZINC001438242678 1007739364 /nfs/dbraw/zinc/73/93/64/1007739364.db2.gz GZFPYJWUAKFRBO-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN CO[C@@H](C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[N@@H+](C)C(C)C)CO2 ZINC001440515590 1007858314 /nfs/dbraw/zinc/85/83/14/1007858314.db2.gz JDMQNGMRMOBNDX-UONOGXRCSA-N 0 2 313.442 0.321 20 0 DCADLN CCc1nc(C)c(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001440979682 1008155503 /nfs/dbraw/zinc/15/55/03/1008155503.db2.gz CGWVWDRQPMFAKA-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1nc(C)c(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001440979682 1008155505 /nfs/dbraw/zinc/15/55/05/1008155505.db2.gz CGWVWDRQPMFAKA-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN CN(C)C(=O)CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001441026879 1008183254 /nfs/dbraw/zinc/18/32/54/1008183254.db2.gz ZSQRRMXTSXGBHY-SCZZXKLOSA-N 0 2 313.295 0.556 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)Cc1ncc[nH]1 ZINC001433174839 1008581598 /nfs/dbraw/zinc/58/15/98/1008581598.db2.gz APLZMLCRBJPBBL-JTQLQIEISA-N 0 2 324.278 0.769 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccnnc2)C1 ZINC001453673027 1008657608 /nfs/dbraw/zinc/65/76/08/1008657608.db2.gz HBZKVEIZGLTYMM-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2ccnnc2)C1 ZINC001453673027 1008657614 /nfs/dbraw/zinc/65/76/14/1008657614.db2.gz HBZKVEIZGLTYMM-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(F)(F)F ZINC001433581328 1008962082 /nfs/dbraw/zinc/96/20/82/1008962082.db2.gz LHELKIDVTQSZIF-SSDOTTSWSA-N 0 2 303.244 0.528 20 0 DCADLN Cc1ccc(F)cc1C(=O)C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001454188314 1008985856 /nfs/dbraw/zinc/98/58/56/1008985856.db2.gz HMLUOSIMWLTUAF-UHFFFAOYSA-N 0 2 305.265 0.834 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)o1 ZINC001454193513 1008991213 /nfs/dbraw/zinc/99/12/13/1008991213.db2.gz ZAIFBOKVRQVZTH-HWKANZROSA-N 0 2 319.273 0.597 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)NCC2=NC(=O)CC(=O)N2)o1 ZINC001454193513 1008991222 /nfs/dbraw/zinc/99/12/22/1008991222.db2.gz ZAIFBOKVRQVZTH-HWKANZROSA-N 0 2 319.273 0.597 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)NCC1([NH2+]Cc2cnon2)CCCC1 ZINC001421811015 1009124138 /nfs/dbraw/zinc/12/41/38/1009124138.db2.gz APUGXPOHPYXCMC-ZDUSSCGKSA-N 0 2 307.398 0.682 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(Cc3nnc4n3CCOC4)C2)[nH]1 ZINC001421849266 1009163760 /nfs/dbraw/zinc/16/37/60/1009163760.db2.gz HLNBKMAQXZMCSV-SECBINFHSA-N 0 2 305.342 0.012 20 0 DCADLN O=C(C[C@H](n1cc[nH+]c1)C(F)(F)F)NCc1nc(=O)o[n-]1 ZINC001433830687 1009227841 /nfs/dbraw/zinc/22/78/41/1009227841.db2.gz ABIBOZXNTLSDMS-LURJTMIESA-N 0 2 305.216 0.369 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)nn1C ZINC001454639877 1009240780 /nfs/dbraw/zinc/24/07/80/1009240780.db2.gz VAEQCRWSJGINTQ-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)nn1C ZINC001454639877 1009240789 /nfs/dbraw/zinc/24/07/89/1009240789.db2.gz VAEQCRWSJGINTQ-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN O=C(Cc1cc[nH]n1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001433906072 1009332677 /nfs/dbraw/zinc/33/26/77/1009332677.db2.gz VCBZSFHMDIGJKR-SECBINFHSA-N 0 2 322.262 0.618 20 0 DCADLN O=C(Cc1cc[nH]n1)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001433906072 1009332689 /nfs/dbraw/zinc/33/26/89/1009332689.db2.gz VCBZSFHMDIGJKR-SECBINFHSA-N 0 2 322.262 0.618 20 0 DCADLN Cc1ncc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)[nH]1 ZINC001455183284 1009560981 /nfs/dbraw/zinc/56/09/81/1009560981.db2.gz KRDBGNLHJJZFGD-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1ncc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)[nH]1 ZINC001455183284 1009560993 /nfs/dbraw/zinc/56/09/93/1009560993.db2.gz KRDBGNLHJJZFGD-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001422171505 1009605829 /nfs/dbraw/zinc/60/58/29/1009605829.db2.gz UXHXIIUEBCFOJU-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001422171505 1009605838 /nfs/dbraw/zinc/60/58/38/1009605838.db2.gz UXHXIIUEBCFOJU-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN CCc1nn(C)cc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422173701 1009609344 /nfs/dbraw/zinc/60/93/44/1009609344.db2.gz IAPBMDYKCRRTTF-UHFFFAOYSA-N 0 2 321.385 0.010 20 0 DCADLN CCc1nn(C)cc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422173701 1009609356 /nfs/dbraw/zinc/60/93/56/1009609356.db2.gz IAPBMDYKCRRTTF-UHFFFAOYSA-N 0 2 321.385 0.010 20 0 DCADLN CC[N@H+](CCNC(=O)c1occ2c1CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001442702066 1009645769 /nfs/dbraw/zinc/64/57/69/1009645769.db2.gz CRKLNLMTBJEUOU-UHFFFAOYSA-N 0 2 319.365 0.844 20 0 DCADLN CC[N@@H+](CCNC(=O)c1occ2c1CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001442702066 1009645775 /nfs/dbraw/zinc/64/57/75/1009645775.db2.gz CRKLNLMTBJEUOU-UHFFFAOYSA-N 0 2 319.365 0.844 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC[S@](C)(=O)=NC)cn1 ZINC001434222964 1009673910 /nfs/dbraw/zinc/67/39/10/1009673910.db2.gz SAGXFUVKAFZBGC-QFIPXVFZSA-N 0 2 324.410 0.751 20 0 DCADLN C[C@@H]1CCc2nc(C(=O)NCc3nc(O)cc(=O)[nH]3)cn2C1 ZINC001434233379 1009684338 /nfs/dbraw/zinc/68/43/38/1009684338.db2.gz ZWUOSKQPUBOCLP-MRVPVSSYSA-N 0 2 303.322 0.597 20 0 DCADLN CCc1ncncc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422240090 1009694905 /nfs/dbraw/zinc/69/49/05/1009694905.db2.gz WAAPATUFOGTURQ-UHFFFAOYSA-N 0 2 319.369 0.067 20 0 DCADLN CCc1ncncc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422240090 1009694915 /nfs/dbraw/zinc/69/49/15/1009694915.db2.gz WAAPATUFOGTURQ-UHFFFAOYSA-N 0 2 319.369 0.067 20 0 DCADLN CCOc1cccnc1N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001422256149 1009718182 /nfs/dbraw/zinc/71/81/82/1009718182.db2.gz YMFIUTJWEZPSFT-UHFFFAOYSA-N 0 2 304.354 0.626 20 0 DCADLN CC[C@H](C[C@@H](C)O)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434297683 1009732563 /nfs/dbraw/zinc/73/25/63/1009732563.db2.gz QPDXZOYGMDXFRG-MWLCHTKSSA-N 0 2 320.349 0.546 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@H]1CCC[N@H+]1Cc1nccn1C ZINC001442815521 1009772165 /nfs/dbraw/zinc/77/21/65/1009772165.db2.gz WAIXRLCSHDTZBJ-CQSZACIVSA-N 0 2 307.442 0.843 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001434369775 1009850805 /nfs/dbraw/zinc/85/08/05/1009850805.db2.gz CTEGDKVJYKCWRY-JTQLQIEISA-N 0 2 320.349 0.769 20 0 DCADLN C[C@H](NC(=O)c1cn(C[C@@H]2CCOC2)nn1)c1nn(C)cc1O ZINC001434405667 1009912057 /nfs/dbraw/zinc/91/20/57/1009912057.db2.gz BDRILPSWTCBKLT-UWVGGRQHSA-N 0 2 320.353 0.245 20 0 DCADLN O=C(NC1CC1)c1[nH]nnc1NC(=O)N1CCc2c[nH]nc2C1 ZINC001434750994 1010236181 /nfs/dbraw/zinc/23/61/81/1010236181.db2.gz ADTSDTAOBBGKDN-UHFFFAOYSA-N 0 2 316.325 0.010 20 0 DCADLN Cn1ncnc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001443575428 1010506856 /nfs/dbraw/zinc/50/68/56/1010506856.db2.gz BMXGYIJGDFGQAP-VIFPVBQESA-N 0 2 309.267 0.264 20 0 DCADLN Cn1ncnc1CN1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001443575428 1010506862 /nfs/dbraw/zinc/50/68/62/1010506862.db2.gz BMXGYIJGDFGQAP-VIFPVBQESA-N 0 2 309.267 0.264 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001422739299 1010551828 /nfs/dbraw/zinc/55/18/28/1010551828.db2.gz VZQJJTCVAFXKAQ-OIBJUYFYSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001422739299 1010551839 /nfs/dbraw/zinc/55/18/39/1010551839.db2.gz VZQJJTCVAFXKAQ-OIBJUYFYSA-N 0 2 323.294 0.652 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@@H]1CCCC2(CCC2)O1 ZINC001475349334 1017094316 /nfs/dbraw/zinc/09/43/16/1017094316.db2.gz KPFUHBMCZSDWFC-QWRGUYRKSA-N 0 2 323.386 0.909 20 0 DCADLN CNC(=O)[C@@H](C)[N@H+](C)C[C@H](C)NC(=O)CCCn1cc[nH+]c1C ZINC001422903791 1010724625 /nfs/dbraw/zinc/72/46/25/1010724625.db2.gz LQZYPENZHFUQNN-QWHCGFSZSA-N 0 2 323.441 0.543 20 0 DCADLN COC(=O)Cc1nc(NC(=O)C(C)(C)[NH+]2CCCCC2)n[n-]1 ZINC001444602875 1011088792 /nfs/dbraw/zinc/08/87/92/1011088792.db2.gz HPVZFUKNZYXEMJ-UHFFFAOYSA-N 0 2 309.370 0.723 20 0 DCADLN C[C@]1(NC(=O)COC2CCCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423558974 1011262555 /nfs/dbraw/zinc/26/25/55/1011262555.db2.gz NPCREYUUFPIZGT-HNNXBMFYSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@]1(NC(=O)COC2CCCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423558974 1011262570 /nfs/dbraw/zinc/26/25/70/1011262570.db2.gz NPCREYUUFPIZGT-HNNXBMFYSA-N 0 2 323.397 0.550 20 0 DCADLN CCc1cccnc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445003261 1011305274 /nfs/dbraw/zinc/30/52/74/1011305274.db2.gz QFDJFRDZALUZEF-UHFFFAOYSA-N 0 2 318.381 0.672 20 0 DCADLN CCc1cccnc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445003261 1011305281 /nfs/dbraw/zinc/30/52/81/1011305281.db2.gz QFDJFRDZALUZEF-UHFFFAOYSA-N 0 2 318.381 0.672 20 0 DCADLN C[C@H](CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001445069023 1011376356 /nfs/dbraw/zinc/37/63/56/1011376356.db2.gz BJEASSWEXSPZHH-GFCCVEGCSA-N 0 2 320.397 0.853 20 0 DCADLN C[C@H](CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001445069023 1011376365 /nfs/dbraw/zinc/37/63/65/1011376365.db2.gz BJEASSWEXSPZHH-GFCCVEGCSA-N 0 2 320.397 0.853 20 0 DCADLN O=C(CCCF)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001431540830 1011381629 /nfs/dbraw/zinc/38/16/29/1011381629.db2.gz FPNGBTWJGIISLO-XPUUQOCRSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001431540830 1011381636 /nfs/dbraw/zinc/38/16/36/1011381636.db2.gz FPNGBTWJGIISLO-XPUUQOCRSA-N 0 2 306.231 0.230 20 0 DCADLN C[C@@H](NC(=O)c1ccnc(-n2cncn2)c1)c1nn(C)cc1O ZINC001456784610 1011459185 /nfs/dbraw/zinc/45/91/85/1011459185.db2.gz DTYQUXBOSJRZMN-SECBINFHSA-N 0 2 313.321 0.592 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnco1)C(F)C(F)(F)F ZINC001534848757 1011698085 /nfs/dbraw/zinc/69/80/85/1011698085.db2.gz BTBFMFOCINYRKE-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnco1)[C@H](F)C(F)(F)F ZINC001534848757 1011698092 /nfs/dbraw/zinc/69/80/92/1011698092.db2.gz BTBFMFOCINYRKE-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@H]1C[C@@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001445410383 1011740223 /nfs/dbraw/zinc/74/02/23/1011740223.db2.gz CIGLGTXLTYAMFL-YUMQZZPRSA-N 0 2 311.342 0.287 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)CC(C)(C)O ZINC001457765825 1011865212 /nfs/dbraw/zinc/86/52/12/1011865212.db2.gz OXGAXGDKPSMUEB-VIFPVBQESA-N 0 2 316.295 0.965 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)CC(C)(C)O ZINC001457765825 1011865220 /nfs/dbraw/zinc/86/52/20/1011865220.db2.gz OXGAXGDKPSMUEB-VIFPVBQESA-N 0 2 316.295 0.965 20 0 DCADLN Cc1cc(C(=O)NC2(CNC(=O)Cc3[nH]c[nH+]c3C)CC2)on1 ZINC001535698170 1011961019 /nfs/dbraw/zinc/96/10/19/1011961019.db2.gz VSHXTWCKUZDTBQ-UHFFFAOYSA-N 0 2 317.349 0.636 20 0 DCADLN CO[C@@H]1CN(C(=O)C(F)F)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001445615402 1012003247 /nfs/dbraw/zinc/00/32/47/1012003247.db2.gz NUGGOEZGFZLDRN-PBXRRBTRSA-N 0 2 322.205 0.494 20 0 DCADLN CO[C@@H]1CN(C(=O)C(F)F)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001445615402 1012003262 /nfs/dbraw/zinc/00/32/62/1012003262.db2.gz NUGGOEZGFZLDRN-PBXRRBTRSA-N 0 2 322.205 0.494 20 0 DCADLN CCc1ocnc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445683164 1012104430 /nfs/dbraw/zinc/10/44/30/1012104430.db2.gz DEMVXJRCZNEBQM-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1ocnc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445683164 1012104440 /nfs/dbraw/zinc/10/44/40/1012104440.db2.gz DEMVXJRCZNEBQM-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN Cn1cnc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001432193202 1012116338 /nfs/dbraw/zinc/11/63/38/1012116338.db2.gz LLPYKTXYJPBKIV-LURJTMIESA-N 0 2 323.250 0.094 20 0 DCADLN Cn1cnc(C(=O)NC2(CNC(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001432193202 1012116348 /nfs/dbraw/zinc/11/63/48/1012116348.db2.gz LLPYKTXYJPBKIV-LURJTMIESA-N 0 2 323.250 0.094 20 0 DCADLN O=C(CCCn1ccccc1=O)NCCc1n[nH]c(=S)o1 ZINC001556283800 1012193336 /nfs/dbraw/zinc/19/33/36/1012193336.db2.gz FJYXLUIJCPKHBV-UHFFFAOYSA-N 0 2 308.363 0.659 20 0 DCADLN CCn1ncn(NC(=O)c2ccc(-c3cnn(C)c3)o2)c1=O ZINC001556825491 1012234343 /nfs/dbraw/zinc/23/43/43/1012234343.db2.gz BNJRHWLLCXKSJI-UHFFFAOYSA-N 0 2 302.294 0.442 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2cc(Cl)n[nH]c2=O)[nH]1 ZINC001458655629 1012270235 /nfs/dbraw/zinc/27/02/35/1012270235.db2.gz OKZWKOAWAHCGAE-UHFFFAOYSA-N 0 2 318.746 0.110 20 0 DCADLN COC(=O)CC(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001557910363 1012305528 /nfs/dbraw/zinc/30/55/28/1012305528.db2.gz BVWNGAPDHMUYSL-SNVBAGLBSA-N 0 2 304.306 0.473 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cn[nH]c1)C(F)C(F)(F)F ZINC001458728250 1012326817 /nfs/dbraw/zinc/32/68/17/1012326817.db2.gz PPIVBNJMJDZAHK-JGVFFNPUSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cn[nH]c1)[C@@H](F)C(F)(F)F ZINC001458728250 1012326831 /nfs/dbraw/zinc/32/68/31/1012326831.db2.gz PPIVBNJMJDZAHK-JGVFFNPUSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cn[nH]c1)C(F)C(F)(F)F ZINC001458728256 1012329103 /nfs/dbraw/zinc/32/91/03/1012329103.db2.gz PPIVBNJMJDZAHK-YUMQZZPRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cn[nH]c1)[C@H](F)C(F)(F)F ZINC001458728256 1012329109 /nfs/dbraw/zinc/32/91/09/1012329109.db2.gz PPIVBNJMJDZAHK-YUMQZZPRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(Cc1ncc[nH]1)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458799383 1012377811 /nfs/dbraw/zinc/37/78/11/1012377811.db2.gz KTOIXINQBYVKPJ-OIBJUYFYSA-N 0 2 322.262 0.570 20 0 DCADLN CCC(CC)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001424358555 1012424463 /nfs/dbraw/zinc/42/44/63/1012424463.db2.gz BKDGUIDYOMSFML-NSHDSACASA-N 0 2 323.397 0.406 20 0 DCADLN Cn1cncc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001458973109 1012500693 /nfs/dbraw/zinc/50/06/93/1012500693.db2.gz PQAHEQALEKCDGM-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cncc1C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001458973109 1012500707 /nfs/dbraw/zinc/50/07/07/1012500707.db2.gz PQAHEQALEKCDGM-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN CN(C)C(=O)CCCNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001563763843 1012510230 /nfs/dbraw/zinc/51/02/30/1012510230.db2.gz HEBPVMHQLTXSHJ-JTQLQIEISA-N 0 2 324.385 0.268 20 0 DCADLN CC[C@H](CNC(=O)CCOC)NC(=O)C(F)C(F)(F)F ZINC001432569489 1012633029 /nfs/dbraw/zinc/63/30/29/1012633029.db2.gz OMIVSDGIUDEPDZ-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](CNC(=O)CCOC)NC(=O)[C@H](F)C(F)(F)F ZINC001432569489 1012633038 /nfs/dbraw/zinc/63/30/38/1012633038.db2.gz OMIVSDGIUDEPDZ-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)CNC(=O)C(F)C(F)(F)F ZINC001432934831 1013024731 /nfs/dbraw/zinc/02/47/31/1013024731.db2.gz PWFQCDRXFYRASC-APPZFPTMSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001432934831 1013024747 /nfs/dbraw/zinc/02/47/47/1013024747.db2.gz PWFQCDRXFYRASC-APPZFPTMSA-N 0 2 322.262 0.859 20 0 DCADLN CO[C@@H](C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001494649537 1017912674 /nfs/dbraw/zinc/91/26/74/1017912674.db2.gz ATRDHMAXZBTESL-DCAQKATOSA-N 0 2 309.370 0.111 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001494758241 1018007167 /nfs/dbraw/zinc/00/71/67/1018007167.db2.gz QGAHKAKZPCZYDH-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001494758241 1018007180 /nfs/dbraw/zinc/00/71/80/1018007180.db2.gz QGAHKAKZPCZYDH-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN CC(C)(C)CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495825487 1018727296 /nfs/dbraw/zinc/72/72/96/1018727296.db2.gz JQMDXHNDFGTUGO-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CS[C@@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498222815 1018772013 /nfs/dbraw/zinc/77/20/13/1018772013.db2.gz JIBZGYVCLCFGAS-FSPLSTOPSA-N 0 2 302.293 0.965 20 0 DCADLN CS[C@@H](C)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001498222815 1018772029 /nfs/dbraw/zinc/77/20/29/1018772029.db2.gz JIBZGYVCLCFGAS-FSPLSTOPSA-N 0 2 302.293 0.965 20 0 DCADLN Cc1cnc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001498224539 1018776603 /nfs/dbraw/zinc/77/66/03/1018776603.db2.gz XQZVMUGKNLEQQY-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cnc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001498224539 1018776611 /nfs/dbraw/zinc/77/66/11/1018776611.db2.gz XQZVMUGKNLEQQY-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN CC(C)[C@H](C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495871018 1018793343 /nfs/dbraw/zinc/79/33/43/1018793343.db2.gz QUKXYFWZVHPYKS-QWRGUYRKSA-N 0 2 311.386 0.119 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001493128544 1019124505 /nfs/dbraw/zinc/12/45/05/1019124505.db2.gz HYCNYOVSLNKROG-ABAIWWIYSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001493128544 1019124516 /nfs/dbraw/zinc/12/45/16/1019124516.db2.gz HYCNYOVSLNKROG-ABAIWWIYSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1(C2CC2)CC1 ZINC001501785684 1019475788 /nfs/dbraw/zinc/47/57/88/1019475788.db2.gz FKJAEUKMZPSESM-LLVKDONJSA-N 0 2 321.381 0.018 20 0 DCADLN Cc1nc(CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001494458060 1020227147 /nfs/dbraw/zinc/22/71/47/1020227147.db2.gz REMWEAVDNMFZST-UHFFFAOYSA-N 0 2 322.394 0.208 20 0 DCADLN Cc1sc(C(=O)[O-])cc1NC(=O)[C@@H](C)N1CC[NH2+]CC1=O ZINC001608061886 1170562595 /nfs/dbraw/zinc/56/25/95/1170562595.db2.gz SZVPQOJLWKJCTB-SSDOTTSWSA-N 0 2 311.363 0.514 20 0 DCADLN CC(C)(C)C[C@@H](O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000172308904 198077327 /nfs/dbraw/zinc/07/73/27/198077327.db2.gz KVRUXGRPWHNQGY-SECBINFHSA-N 0 2 320.349 0.138 20 0 DCADLN CN1CC[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1=O ZINC000174212140 198306138 /nfs/dbraw/zinc/30/61/38/198306138.db2.gz KPENYUHYAFFLKB-NSHDSACASA-N 0 2 300.318 0.538 20 0 DCADLN CN1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)CC1=O ZINC000174408868 198331760 /nfs/dbraw/zinc/33/17/60/198331760.db2.gz IZLQBWQIXLAPQU-UHFFFAOYSA-N 0 2 300.318 0.492 20 0 DCADLN O=C(NCCOCCO)C1=NN(c2ccc(F)cc2)CC1=O ZINC000180918330 199227332 /nfs/dbraw/zinc/22/73/32/199227332.db2.gz GLCVFQQMMUYDTR-UHFFFAOYSA-N 0 2 309.297 0.456 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@@H]1CCCC[C@H]1O)c2=O ZINC000181250453 237263585 /nfs/dbraw/zinc/26/35/85/237263585.db2.gz WBNJOBSIKZOGJZ-JOYOIKCWSA-N 0 2 304.350 0.955 20 0 DCADLN C[C@]1(CNS(=O)(=O)NCC(F)(F)F)CCCC[C@@H]1O ZINC000195334260 237512338 /nfs/dbraw/zinc/51/23/38/237512338.db2.gz MRVANTUNQQYMSJ-DTWKUNHWSA-N 0 2 304.334 0.914 20 0 DCADLN CC[NH+]1CCC(NC(=O)C[N@H+](C)CCNC(=O)C(C)C)CC1 ZINC000157352879 291228306 /nfs/dbraw/zinc/22/83/06/291228306.db2.gz DOEUQNIYVAXSNM-UHFFFAOYSA-N 0 2 312.458 0.291 20 0 DCADLN CO[C@@]1(C)C[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C1(C)C ZINC000185777989 294160900 /nfs/dbraw/zinc/16/09/00/294160900.db2.gz UNGRKORGEATBEA-MADCSZMMSA-N 0 2 300.384 0.510 20 0 DCADLN CC(CC[NH+]1CC(=O)N[C@H](C)C1)CC[N@@H+]1CC(=O)N[C@H](C)C1 ZINC000566960576 291330438 /nfs/dbraw/zinc/33/04/38/291330438.db2.gz TXMPZVFYCPMUNM-ZIAGYGMSSA-N 0 2 310.442 0.043 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1cccc(OC)c1 ZINC000421817068 240105217 /nfs/dbraw/zinc/10/52/17/240105217.db2.gz ZOTBYYVMVGVTRB-GFCCVEGCSA-N 0 2 305.290 0.299 20 0 DCADLN COc1cncc(S(=O)(=O)N[C@@H](C)CN2CCCC2=O)c1 ZINC000281885226 216610079 /nfs/dbraw/zinc/61/00/79/216610079.db2.gz NDTARWAHPIRLCY-JTQLQIEISA-N 0 2 313.379 0.379 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)c2ncon2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000479597106 241073868 /nfs/dbraw/zinc/07/38/68/241073868.db2.gz XXAKRZFDFWFBQX-LURJTMIESA-N 0 2 316.277 0.618 20 0 DCADLN COC(=O)c1ccc(CNC(=O)[C@@H](C)[N@H+](C)CC(=O)[O-])cc1 ZINC000567988640 291395988 /nfs/dbraw/zinc/39/59/88/291395988.db2.gz KUEMBCYAUAVIQT-SNVBAGLBSA-N 0 2 308.334 0.494 20 0 DCADLN COC(=O)c1ccc(CNC(=O)[C@@H](C)[N@@H+](C)CC(=O)[O-])cc1 ZINC000567988640 291395989 /nfs/dbraw/zinc/39/59/89/291395989.db2.gz KUEMBCYAUAVIQT-SNVBAGLBSA-N 0 2 308.334 0.494 20 0 DCADLN COc1ccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)cc1O ZINC000275125134 212135789 /nfs/dbraw/zinc/13/57/89/212135789.db2.gz MVARLQFCUSGMFP-UHFFFAOYSA-N 0 2 310.335 0.221 20 0 DCADLN Cc1cc(NC(=O)COc2cccc(C(=O)NCCO)c2)no1 ZINC000265440144 204613790 /nfs/dbraw/zinc/61/37/90/204613790.db2.gz PZCHSRDZJSDBRZ-UHFFFAOYSA-N 0 2 319.317 0.723 20 0 DCADLN COc1cc(NS(=O)(=O)N(C)C)c(C(N)=O)cc1OC ZINC000266002353 205016220 /nfs/dbraw/zinc/01/62/20/205016220.db2.gz FBTLIQIXQGOZEP-UHFFFAOYSA-N 0 2 303.340 0.021 20 0 DCADLN CCOC(=O)c1nn(C)cc1CN[C@H]1CC(=O)N(C(C)C)C1 ZINC000274513708 211680922 /nfs/dbraw/zinc/68/09/22/211680922.db2.gz WFTIBSVCJYGMQT-LBPRGKRZSA-N 0 2 308.382 0.696 20 0 DCADLN C/C=C/C[C@H](CO)NC(=O)C(=O)Nc1cc(C(N)=O)ccc1F ZINC000274513728 211680661 /nfs/dbraw/zinc/68/06/61/211680661.db2.gz LVSGGMSJBUXWGT-VMZHVLLKSA-N 0 2 323.324 0.306 20 0 DCADLN O=C([O-])[C@H]1CCCN1c1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000262969409 304188359 /nfs/dbraw/zinc/18/83/59/304188359.db2.gz AYRHONRCRFORPB-NWDGAFQWSA-N 0 2 306.366 0.881 20 0 DCADLN O=C([O-])[C@H]1CCCN1c1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000262969409 304188360 /nfs/dbraw/zinc/18/83/60/304188360.db2.gz AYRHONRCRFORPB-NWDGAFQWSA-N 0 2 306.366 0.881 20 0 DCADLN Cc1cc(S(=O)(=O)NCCn2cc[nH+]c2)sc1C(=O)[O-] ZINC000041119892 183166812 /nfs/dbraw/zinc/16/68/12/183166812.db2.gz MOLQQMSFNNELDT-UHFFFAOYSA-N 0 2 315.376 0.930 20 0 DCADLN C[C@H]1C[C@@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCO1 ZINC000271882721 209294062 /nfs/dbraw/zinc/29/40/62/209294062.db2.gz RDUNBEMLSBEFTJ-BQBZGAKWSA-N 0 2 320.396 0.663 20 0 DCADLN Cn1cc(C(=O)NCc2cn3ccsc3n2)c(O)nc1=O ZINC000338989813 253019008 /nfs/dbraw/zinc/01/90/08/253019008.db2.gz NORYDQYXPQZQBU-UHFFFAOYSA-N 0 2 305.319 0.125 20 0 DCADLN O=C(CCn1cncn1)N=c1nc(-c2cccnc2)[nH]s1 ZINC000339725480 253133518 /nfs/dbraw/zinc/13/35/18/253133518.db2.gz KYSBJCWYRMQTFD-UHFFFAOYSA-N 0 2 301.335 0.642 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@@H](C)[NH+]2CCC(OCCO)CC2)CC1 ZINC000339778841 253141893 /nfs/dbraw/zinc/14/18/93/253141893.db2.gz QIUJHIJSLLQOSF-CQSZACIVSA-N 0 2 313.442 0.012 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1cccc(-n2cnnn2)c1 ZINC000340033742 253188769 /nfs/dbraw/zinc/18/87/69/253188769.db2.gz NHDLDWWNYMXBGT-UHFFFAOYSA-N 0 2 319.350 0.680 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cc([C@H]2CCCO2)[nH]n1 ZINC000340636583 253286213 /nfs/dbraw/zinc/28/62/13/253286213.db2.gz QLFZBBNCNDJBKH-WCBMZHEXSA-N 0 2 316.383 0.480 20 0 DCADLN O=C(NCc1csc(=O)[nH]1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000340543404 253273237 /nfs/dbraw/zinc/27/32/37/253273237.db2.gz RTJWUIDEAZIQFX-UHFFFAOYSA-N 0 2 319.302 0.528 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn([C@@H](C)C3CC3)c2)c1O ZINC000340793640 253305218 /nfs/dbraw/zinc/30/52/18/253305218.db2.gz JSGXUAQBCVMWGT-GZMMTYOYSA-N 0 2 306.322 0.842 20 0 DCADLN Cc1cc(NC(=O)[C@H](C)SCC(=O)N=c2cccn[nH]2)no1 ZINC000346053403 254003438 /nfs/dbraw/zinc/00/34/38/254003438.db2.gz HPZHTDHUCLCSNY-VIFPVBQESA-N 0 2 321.362 0.316 20 0 DCADLN C[C@@H](C[S@@](C)=O)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000346057304 254004019 /nfs/dbraw/zinc/00/40/19/254004019.db2.gz DMIFBTPARCKFTQ-HXNGOWOSSA-N 0 2 309.347 0.527 20 0 DCADLN Cc1cc(C(=O)NC[C@@]2(C)CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000346133436 254014816 /nfs/dbraw/zinc/01/48/16/254014816.db2.gz RASUDSPCNIQHLE-OAHLLOKOSA-N 0 2 318.333 0.901 20 0 DCADLN CCS(=O)(=O)NCCCNc1nc(C(F)(F)F)ns1 ZINC000347049243 254125160 /nfs/dbraw/zinc/12/51/60/254125160.db2.gz FPVDTRSKOGTHQR-UHFFFAOYSA-N 0 2 318.346 0.720 20 0 DCADLN CCN(CC(=O)OC)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000348084248 254219580 /nfs/dbraw/zinc/21/95/80/254219580.db2.gz WBOPCSOKVSJHBB-UHFFFAOYSA-N 0 2 306.278 0.071 20 0 DCADLN C[C@@H](C(=O)NC1(c2nn[nH]n2)CC1)N1CCc2ccccc2C1 ZINC000348297254 254230957 /nfs/dbraw/zinc/23/09/57/254230957.db2.gz KHPCBLPOYPPAST-NSHDSACASA-N 0 2 312.377 0.752 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn(-c3ccccc3)c2)c1O ZINC000348729856 254250410 /nfs/dbraw/zinc/25/04/10/254250410.db2.gz PDSVZRSFRLCWGR-GFCCVEGCSA-N 0 2 314.301 0.860 20 0 DCADLN CC(=O)Nc1cccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000350953915 254330582 /nfs/dbraw/zinc/33/05/82/254330582.db2.gz XMXFACLJYOPCBJ-UHFFFAOYSA-N 0 2 321.362 0.877 20 0 DCADLN NC(C(=O)Nc1ccc(N2CCOCC2)cn1)C(F)(F)F ZINC000353466491 254457630 /nfs/dbraw/zinc/45/76/30/254457630.db2.gz HGNOLDMDMZKNIL-SNVBAGLBSA-N 0 2 304.272 0.746 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2c(C)n[nH]c2C)cn1C ZINC000036736336 395724463 /nfs/dbraw/zinc/72/44/63/395724463.db2.gz IVQGOSFMHMZFAS-UHFFFAOYSA-N 0 2 311.367 0.525 20 0 DCADLN O=c1[nH]nc(CN2CCOC[C@H]2C[C@@H](O)c2ccccc2)[nH]1 ZINC000091592682 395726713 /nfs/dbraw/zinc/72/67/13/395726713.db2.gz AFBIKKXNVAWCIC-CHWSQXEVSA-N 0 2 304.350 0.423 20 0 DCADLN O=C(CCn1cncn1)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000103522216 395774782 /nfs/dbraw/zinc/77/47/82/395774782.db2.gz IBTZHBRJKSFVLJ-UHFFFAOYSA-N 0 2 300.278 0.650 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCS[C@@H]2COCC[C@H]21 ZINC000195425395 395826035 /nfs/dbraw/zinc/82/60/35/395826035.db2.gz WZSKDSNUGWALPK-HTQZYQBOSA-N 0 2 320.358 0.589 20 0 DCADLN Cc1nnc(NNC(=O)CCNC(=O)OC(C)(C)C)[nH]c1=O ZINC000055231007 395914316 /nfs/dbraw/zinc/91/43/16/395914316.db2.gz YWEHVJLSSGCNMR-UHFFFAOYSA-N 0 2 312.330 0.244 20 0 DCADLN O=C(Cn1cc(NS(=O)(=O)c2ccccc2)cn1)NC1CC1 ZINC000055640730 395920725 /nfs/dbraw/zinc/92/07/25/395920725.db2.gz LDVOYAGEXOPRIH-UHFFFAOYSA-N 0 2 320.374 0.963 20 0 DCADLN COC(=O)C1(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000131038472 395924217 /nfs/dbraw/zinc/92/42/17/395924217.db2.gz XIJZFLHIVUUIRW-UHFFFAOYSA-N 0 2 303.274 0.922 20 0 DCADLN CN(Cc1ccccn1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000057229465 395941800 /nfs/dbraw/zinc/94/18/00/395941800.db2.gz QWEHNOLCYMLGPB-UHFFFAOYSA-N 0 2 311.301 0.691 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(OCC(N)=O)cc2)cn1 ZINC000066579348 396010060 /nfs/dbraw/zinc/01/00/60/396010060.db2.gz PAXOBSHTMZHTCQ-UHFFFAOYSA-N 0 2 324.362 0.568 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)C[N@@H+]2CCC[C@H]2C(=O)[O-])cc1 ZINC000262067626 396095305 /nfs/dbraw/zinc/09/53/05/396095305.db2.gz BKPANYWVLMKHSB-ZDUSSCGKSA-N 0 2 319.361 0.876 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)C[N@H+]2CCC[C@H]2C(=O)[O-])cc1 ZINC000262067626 396095307 /nfs/dbraw/zinc/09/53/07/396095307.db2.gz BKPANYWVLMKHSB-ZDUSSCGKSA-N 0 2 319.361 0.876 20 0 DCADLN O=S(=O)(Nc1cnn(Cc2ccccn2)c1)c1cn[nH]c1 ZINC000171476660 396117884 /nfs/dbraw/zinc/11/78/84/396117884.db2.gz QZYLNTPKKGRFNP-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN CCOC(=O)CCC[NH+]1CCN(C(=O)[C@H]2CCC[N@@H+]2C)CC1 ZINC000162375213 396080054 /nfs/dbraw/zinc/08/00/54/396080054.db2.gz AEPZESLBCACAPI-CQSZACIVSA-N 0 2 311.426 0.568 20 0 DCADLN CC1(CCNS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000280540250 396207224 /nfs/dbraw/zinc/20/72/24/396207224.db2.gz SHHUEHDUCUDSJZ-UHFFFAOYSA-N 0 2 324.362 0.905 20 0 DCADLN Cc1ccc([C@H]([NH3+])C(=O)NCCCN2CC[NH+](C)CC2)cc1 ZINC000262553450 396154320 /nfs/dbraw/zinc/15/43/20/396154320.db2.gz SUPXIZVIHXXAIL-INIZCTEOSA-N 0 2 304.438 0.749 20 0 DCADLN O=C(CNC(=O)c1cc(F)c(F)c(O)c1F)NCC(F)F ZINC000281991232 396254561 /nfs/dbraw/zinc/25/45/61/396254561.db2.gz ZRGFZXCXYMYJKE-UHFFFAOYSA-N 0 2 312.194 0.921 20 0 DCADLN CN1CCN(c2cc(N3CCC[C@@H](C(=O)[O-])C3)[nH+]cn2)CC1=O ZINC000263409527 396216474 /nfs/dbraw/zinc/21/64/74/396216474.db2.gz LTPVVANTRDZVLZ-LLVKDONJSA-N 0 2 319.365 0.056 20 0 DCADLN CN1CCN(c2cc(N3CCC[C@@H](C(=O)[O-])C3)nc[nH+]2)CC1=O ZINC000263409527 396216478 /nfs/dbraw/zinc/21/64/78/396216478.db2.gz LTPVVANTRDZVLZ-LLVKDONJSA-N 0 2 319.365 0.056 20 0 DCADLN C[C@H](CCO)NC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185425450 396327552 /nfs/dbraw/zinc/32/75/52/396327552.db2.gz WYTBRXGDUNWOMT-LLVKDONJSA-N 0 2 319.365 0.954 20 0 DCADLN CCS[C@@H]1CCC[C@@H]1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000187165759 396364533 /nfs/dbraw/zinc/36/45/33/396364533.db2.gz RKHXPFPKAKETNN-JGVFFNPUSA-N 0 2 302.425 0.981 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc(C3CC3)n(CC)n2)c1O ZINC000285958678 396365492 /nfs/dbraw/zinc/36/54/92/396365492.db2.gz LOBCQGYPKMXSJE-LLVKDONJSA-N 0 2 306.322 0.768 20 0 DCADLN NS(=O)(=O)CCCNC(=O)c1cc(F)cc(Cl)c1O ZINC000269122912 396387432 /nfs/dbraw/zinc/38/74/32/396387432.db2.gz DOWILMDXWKBBJW-UHFFFAOYSA-N 0 2 310.734 0.593 20 0 DCADLN O=C(NCCN1C(=O)CNC1=O)c1cc(F)cc(Cl)c1O ZINC000269187638 396392798 /nfs/dbraw/zinc/39/27/98/396392798.db2.gz DJFCMGZGGVFPAY-UHFFFAOYSA-N 0 2 315.688 0.466 20 0 DCADLN COC(=O)[C@H](C)N(Cc1cc(=O)n2[nH]ccc2n1)C1CCC1 ZINC000190094140 396402306 /nfs/dbraw/zinc/40/23/06/396402306.db2.gz IRLLJRPMXQIMEB-JTQLQIEISA-N 0 2 304.350 0.939 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2c(C)cnn2C)c1 ZINC000594729688 396502357 /nfs/dbraw/zinc/50/23/57/396502357.db2.gz SHFGMIKYLYRXNB-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN CN(C[C@H]1CCC[C@@H]1O)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000270167296 396462246 /nfs/dbraw/zinc/46/22/46/396462246.db2.gz ZJMNJOHGGUKYFZ-KCJUWKMLSA-N 0 2 318.333 0.669 20 0 DCADLN CS[C@H](C)CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000270267320 396463755 /nfs/dbraw/zinc/46/37/55/396463755.db2.gz VMTOLEZETITKLV-RXMQYKEDSA-N 0 2 310.426 0.990 20 0 DCADLN COC(=O)Cc1cccc(S(=O)(=O)Nc2nc(C)n(C)n2)c1 ZINC000291958989 396521322 /nfs/dbraw/zinc/52/13/22/396521322.db2.gz FONQNEUIGYZADZ-UHFFFAOYSA-N 0 2 324.362 0.640 20 0 DCADLN CCCCNC(=O)C[N@H+]1CC[C@@H](N2CC[NH+](C)CC2)[C@@H](C)C1 ZINC000374326985 396655160 /nfs/dbraw/zinc/65/51/60/396655160.db2.gz UQMRJAFWKOVZMW-JKSUJKDBSA-N 0 2 310.486 0.861 20 0 DCADLN C[NH+](C)[C@@]1(CNC(=O)NCC[NH+]2CCOCC2)CCSC1 ZINC000531124636 396590229 /nfs/dbraw/zinc/59/02/29/396590229.db2.gz YPWCOSDQORITBS-CQSZACIVSA-N 0 2 316.471 0.055 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC2([S@@](C)=O)CC2)cn1 ZINC000562527343 396668657 /nfs/dbraw/zinc/66/86/57/396668657.db2.gz SOXUNARHIMTDRU-OAQYLSRUSA-N 0 2 307.379 0.451 20 0 DCADLN COC(=O)COCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000588732577 396690508 /nfs/dbraw/zinc/69/05/08/396690508.db2.gz GUHAICAJKWLCSJ-UHFFFAOYSA-N 0 2 306.278 0.305 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)Cc1cccc(F)c1F)[C@H](C)O ZINC000563062645 396698385 /nfs/dbraw/zinc/69/83/85/396698385.db2.gz FIWQYPISYSNHTI-CPCISQLKSA-N 0 2 323.317 0.307 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)O1 ZINC000625130250 396778646 /nfs/dbraw/zinc/77/86/46/396778646.db2.gz QODKYWXUCIZLHO-VHSXEESVSA-N 0 2 318.289 0.001 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2c(C)n[nH]c2C)cc1C(N)=O ZINC000314230764 396779545 /nfs/dbraw/zinc/77/95/45/396779545.db2.gz GNZCQZUIZPQRSE-UHFFFAOYSA-N 0 2 324.362 0.935 20 0 DCADLN C[C@H](C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@H]1CCC(=O)N1 ZINC000625131047 396780084 /nfs/dbraw/zinc/78/00/84/396780084.db2.gz ZNKNZTXSEGXWBN-GZMMTYOYSA-N 0 2 316.317 0.883 20 0 DCADLN O=C([O-])CN1CCCC[C@H]([N@@H+]2CCO[C@@H](CCF)C2)C1=O ZINC000629500606 396772452 /nfs/dbraw/zinc/77/24/52/396772452.db2.gz FDXRHGWGOPNENN-RYUDHWBXSA-N 0 2 302.346 0.513 20 0 DCADLN O=C([O-])CN1CCCC[C@H]([N@H+]2CCO[C@@H](CCF)C2)C1=O ZINC000629500606 396772455 /nfs/dbraw/zinc/77/24/55/396772455.db2.gz FDXRHGWGOPNENN-RYUDHWBXSA-N 0 2 302.346 0.513 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000564334033 396825093 /nfs/dbraw/zinc/82/50/93/396825093.db2.gz LNBBSPZVFHSYLC-SNVBAGLBSA-N 0 2 304.354 0.799 20 0 DCADLN O=C(NC[C@@H](CO)C1CC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000564633728 396849539 /nfs/dbraw/zinc/84/95/39/396849539.db2.gz NFWYKEUTZRTTOZ-LBPRGKRZSA-N 0 2 303.318 0.778 20 0 DCADLN C[C@H](CC(C)(C)O)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000629996847 396909904 /nfs/dbraw/zinc/90/99/04/396909904.db2.gz KXRGBOXQROSADU-SSDOTTSWSA-N 0 2 306.322 0.715 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1C[C@@H]2COC[C@@H]2C1 ZINC000634775274 396915006 /nfs/dbraw/zinc/91/50/06/396915006.db2.gz AOLOKQCTNGWHDA-TXEJJXNPSA-N 0 2 301.302 0.748 20 0 DCADLN COC(=O)[C@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C1CC1 ZINC000597324383 396941693 /nfs/dbraw/zinc/94/16/93/396941693.db2.gz IGYILXXXJNMAFP-LLVKDONJSA-N 0 2 317.301 0.711 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)NC[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177505 396954575 /nfs/dbraw/zinc/95/45/75/396954575.db2.gz KXXLYIYMVWXWOH-KBPBESRZSA-N 0 2 324.352 0.831 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)NC[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177505 396954581 /nfs/dbraw/zinc/95/45/81/396954581.db2.gz KXXLYIYMVWXWOH-KBPBESRZSA-N 0 2 324.352 0.831 20 0 DCADLN C[C@H](C[S@@](C)=O)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597499033 396992500 /nfs/dbraw/zinc/99/25/00/396992500.db2.gz LGWTWKHASPYHCQ-IJSAXESFSA-N 0 2 309.347 0.527 20 0 DCADLN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000377159646 397039671 /nfs/dbraw/zinc/03/96/71/397039671.db2.gz YIOAARIMKNLZCQ-BOYPTNNESA-N 0 2 310.379 0.484 20 0 DCADLN Cc1cc(S(N)(=O)=O)oc1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000612531265 397081772 /nfs/dbraw/zinc/08/17/72/397081772.db2.gz BLBMMQVMLMNNQK-SECBINFHSA-N 0 2 324.362 0.766 20 0 DCADLN Cc1nnnn1-c1cccc(NS(=O)(=O)c2cnn(C)c2)c1 ZINC000377440992 397083580 /nfs/dbraw/zinc/08/35/80/397083580.db2.gz ZCACETRMHPHEOZ-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000625957445 397041072 /nfs/dbraw/zinc/04/10/72/397041072.db2.gz JNCDVCVEDJGUID-VHSXEESVSA-N 0 2 321.333 0.598 20 0 DCADLN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000377896445 397160790 /nfs/dbraw/zinc/16/07/90/397160790.db2.gz CBFSDDXFGOOHHT-NKWVEPMBSA-N 0 2 306.281 0.427 20 0 DCADLN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000377896445 397160793 /nfs/dbraw/zinc/16/07/93/397160793.db2.gz CBFSDDXFGOOHHT-NKWVEPMBSA-N 0 2 306.281 0.427 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@@H](O)C1CC1 ZINC000613596776 397285073 /nfs/dbraw/zinc/28/50/73/397285073.db2.gz KRYROPCFJJZFGO-AWEZNQCLSA-N 0 2 302.334 0.771 20 0 DCADLN COCCCOCCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614520005 397463168 /nfs/dbraw/zinc/46/31/68/397463168.db2.gz XYRGPLKXMQQMQM-NSHDSACASA-N 0 2 312.370 0.660 20 0 DCADLN CCOC(=O)Cn1ccc(NS(=O)(=O)C[C@H]2CCCO2)n1 ZINC000594463429 397557791 /nfs/dbraw/zinc/55/77/91/397557791.db2.gz YERZMYMIFRKVDN-SNVBAGLBSA-N 0 2 317.367 0.367 20 0 DCADLN CC(C)(C)OC(=O)N(CC(=O)OCc1n[nH]c(=O)[nH]1)C1CC1 ZINC000494693395 397532704 /nfs/dbraw/zinc/53/27/04/397532704.db2.gz JUGKYRDGKVYTMH-UHFFFAOYSA-N 0 2 312.326 0.953 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)Nc1nnc([N-]S(C)(=O)=O)s1 ZINC000606356191 397679454 /nfs/dbraw/zinc/67/94/54/397679454.db2.gz VGVLTYYRKKBQEI-SSDOTTSWSA-N 0 2 319.412 0.332 20 0 DCADLN C[N@H+]1CCCC[C@@H]1C(=O)Nc1nnc([N-]S(C)(=O)=O)s1 ZINC000606356191 397679456 /nfs/dbraw/zinc/67/94/56/397679456.db2.gz VGVLTYYRKKBQEI-SSDOTTSWSA-N 0 2 319.412 0.332 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000035126833 157985671 /nfs/dbraw/zinc/98/56/71/157985671.db2.gz UGHBYNWJSDSKEK-UHFFFAOYSA-N 0 2 319.427 0.200 20 0 DCADLN Cc1cc(CNC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)on1 ZINC000073083880 158053318 /nfs/dbraw/zinc/05/33/18/158053318.db2.gz XMSSIINOTXNHEY-UHFFFAOYSA-N 0 2 315.289 0.559 20 0 DCADLN COCC[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000132131820 158308403 /nfs/dbraw/zinc/30/84/03/158308403.db2.gz BRMXIZJWAKRHOO-ZIAGYGMSSA-N 0 2 306.410 0.673 20 0 DCADLN COc1ccc(S(O)=CC(=O)N[C@H](C)C(=O)N(C)C)cc1 ZINC000133071803 158316225 /nfs/dbraw/zinc/31/62/25/158316225.db2.gz ZHQQFYZVQGPLEU-UZJPJQLHSA-N 0 2 312.391 0.396 20 0 DCADLN COCC(=O)N1CCC([NH2+]Cc2c[nH+]c(N(C)C)n2C)CC1 ZINC000315609311 159002066 /nfs/dbraw/zinc/00/20/66/159002066.db2.gz NXBCAJROCSTKEQ-UHFFFAOYSA-N 0 2 309.414 0.213 20 0 DCADLN O=C(COC[C@@H]1CCOC1)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000329203831 159073277 /nfs/dbraw/zinc/07/32/77/159073277.db2.gz XKVFYCZGQFJBRI-GHMZBOCLSA-N 0 2 310.354 0.617 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCS[C@@H]2COCC[C@H]21 ZINC000329541487 159100626 /nfs/dbraw/zinc/10/06/26/159100626.db2.gz NJAUKCNYBURAIE-HTQZYQBOSA-N 0 2 316.408 0.335 20 0 DCADLN Cc1nc(C(=O)NCc2n[nH]c(=O)[nH]2)nn1-c1ccc(F)cc1 ZINC000359267513 159258653 /nfs/dbraw/zinc/25/86/53/159258653.db2.gz GKUONSWHNWVLDL-UHFFFAOYSA-N 0 2 317.284 0.469 20 0 DCADLN CCCS(=O)(=O)CCN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000367299751 159329290 /nfs/dbraw/zinc/32/92/90/159329290.db2.gz JWMCVOXDFIHXLG-SNVBAGLBSA-N 0 2 302.400 0.515 20 0 DCADLN CCCS(=O)(=O)CCN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000367299750 159329307 /nfs/dbraw/zinc/32/93/07/159329307.db2.gz JWMCVOXDFIHXLG-JTQLQIEISA-N 0 2 302.400 0.515 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc2c1OCC(=O)N2 ZINC000378018672 159957371 /nfs/dbraw/zinc/95/73/71/159957371.db2.gz OJWRVJZCQPGGFR-UHFFFAOYSA-N 0 2 308.319 0.850 20 0 DCADLN C[C@H]1OCC[C@@H]1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000408084483 160032286 /nfs/dbraw/zinc/03/22/86/160032286.db2.gz QIMMJPIYFGIZBZ-RITPCOANSA-N 0 2 306.369 0.273 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(-n2ccnn2)cc1 ZINC000089845539 286932840 /nfs/dbraw/zinc/93/28/40/286932840.db2.gz NQQBUESDIHPIKX-UHFFFAOYSA-N 0 2 313.321 0.041 20 0 DCADLN C[C@@H]1[C@H](C)N(Cc2cc(=O)n3[nH]ccc3n2)CCS1(=O)=O ZINC000127413462 286977774 /nfs/dbraw/zinc/97/77/74/286977774.db2.gz IBKGHKIDJQODJQ-VHSXEESVSA-N 0 2 310.379 0.030 20 0 DCADLN COc1ccc(C(C)(C)C(=O)NCc2n[nH]c(=O)[nH]2)cc1OC ZINC000134491309 287016879 /nfs/dbraw/zinc/01/68/79/287016879.db2.gz NZNPFTZWHALISO-UHFFFAOYSA-N 0 2 320.349 0.709 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@@H](C)[N@H+](C)C[C@H]2CCN(C(C)=O)C2)CC1 ZINC000246807152 287088141 /nfs/dbraw/zinc/08/81/41/287088141.db2.gz PTKPAAXRCJVMEJ-GDBMZVCRSA-N 0 2 324.469 0.339 20 0 DCADLN COc1cc(C[NH2+]C[C@@H]2C[N@@H+](C)CCN2C)cc(OC)c1O ZINC000290227531 287138106 /nfs/dbraw/zinc/13/81/06/287138106.db2.gz FOFHJWHVPCQYIP-CYBMUJFWSA-N 0 2 309.410 0.745 20 0 DCADLN CSc1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC000355996196 287191482 /nfs/dbraw/zinc/19/14/82/287191482.db2.gz MEKZPNCUDQUQHX-UHFFFAOYSA-N 0 2 321.362 0.796 20 0 DCADLN Cc1nc2cc(F)c(F)cc2n1CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000356292663 287201699 /nfs/dbraw/zinc/20/16/99/287201699.db2.gz ZJEVKWUDFUEQLE-UHFFFAOYSA-N 0 2 322.275 0.763 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@@H](O)COCC1CC1)c2=O ZINC000358396150 287208497 /nfs/dbraw/zinc/20/84/97/287208497.db2.gz ITLRQMDJEXIOCW-SNVBAGLBSA-N 0 2 320.349 0.191 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cccc(-c2nn[nH]n2)c1 ZINC000342439717 415174512 /nfs/dbraw/zinc/17/45/12/415174512.db2.gz ARDAZMDIWALWNG-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccnc(C(=O)N(C)C)c1 ZINC000342490974 415190757 /nfs/dbraw/zinc/19/07/57/415190757.db2.gz MTIIGKHFPXMAML-UHFFFAOYSA-N 0 2 323.378 0.048 20 0 DCADLN O=C(NCc1ncccc1O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000352510254 415202255 /nfs/dbraw/zinc/20/22/55/415202255.db2.gz RTZJIFJQKUNXFS-UHFFFAOYSA-N 0 2 313.273 0.467 20 0 DCADLN CCC1(CC)CCN(c2nc(NCCO)[nH+]c(NC(C)C)n2)C1 ZINC000342540554 415205730 /nfs/dbraw/zinc/20/57/30/415205730.db2.gz LWXLMYACIIVQPJ-UHFFFAOYSA-N 0 2 322.457 0.956 20 0 DCADLN O=S(=O)([N-]CC(F)(F)F)NC[C@@H]1CC[NH2+]CC1(F)F ZINC000420445391 287298718 /nfs/dbraw/zinc/29/87/18/287298718.db2.gz NAOPIPQYKBKJTR-LURJTMIESA-N 0 2 311.276 0.218 20 0 DCADLN O=S(=O)([N-]CC(F)(F)F)NC[C@H]1CC[NH2+]CC1(F)F ZINC000420445394 287298723 /nfs/dbraw/zinc/29/87/23/287298723.db2.gz NAOPIPQYKBKJTR-ZCFIWIBFSA-N 0 2 311.276 0.218 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000049914617 415309311 /nfs/dbraw/zinc/30/93/11/415309311.db2.gz MOHNJLXHUPJMBW-GFCCVEGCSA-N 0 2 305.334 0.607 20 0 DCADLN CC[C@@]1(CO)CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000275948004 415397578 /nfs/dbraw/zinc/39/75/78/415397578.db2.gz YXUHDBDJWKCYHB-HNNXBMFYSA-N 0 2 318.333 0.813 20 0 DCADLN COC(=O)[C@H](NC(=O)C1=NN(c2ccccc2)CC1=O)[C@@H](C)O ZINC000275969249 415405989 /nfs/dbraw/zinc/40/59/89/415405989.db2.gz JSFFDWSJZQZELU-BXKDBHETSA-N 0 2 319.317 0.230 20 0 DCADLN CN1CCC[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1=O ZINC000332698394 415444913 /nfs/dbraw/zinc/44/49/13/415444913.db2.gz VMNMFUBKKHPOCN-LBPRGKRZSA-N 0 2 314.345 0.929 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCn2cccn2)c1 ZINC000424600439 287309851 /nfs/dbraw/zinc/30/98/51/287309851.db2.gz ODKZETFMNLTZAC-NRFANRHFSA-N 0 2 307.375 0.816 20 0 DCADLN CC[NH+]1CCN([C@H](C)CNC(=O)[C@@H]2CC[NH2+]CC2(F)F)CC1 ZINC000343451979 415603504 /nfs/dbraw/zinc/60/35/04/415603504.db2.gz GPEKQVGJHMUXLQ-OLZOCXBDSA-N 0 2 318.412 0.373 20 0 DCADLN COc1ncc(NS(=O)(=O)c2c[nH]nc2C)cc1C(N)=O ZINC000353822343 415668930 /nfs/dbraw/zinc/66/89/30/415668930.db2.gz DSBBCULNCLLDHQ-UHFFFAOYSA-N 0 2 311.323 0.021 20 0 DCADLN O=C([O-])c1cccc(N2CCN(C(=O)[C@H]3CCCO3)CC2)[nH+]1 ZINC000107854837 415675102 /nfs/dbraw/zinc/67/51/02/415675102.db2.gz NWVXSXOXOBIYSG-GFCCVEGCSA-N 0 2 305.334 0.607 20 0 DCADLN COc1ccc(S(O)=CC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000108015289 415676279 /nfs/dbraw/zinc/67/62/79/415676279.db2.gz DFWJJYYVSVJGOG-JOCHJYFZSA-N 0 2 324.402 0.494 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H](C(=O)Nc2nc(-c3ccccc3F)n[nH]2)O1 ZINC000289008765 415698098 /nfs/dbraw/zinc/69/80/98/415698098.db2.gz ITQQJVLQECFSQN-UWVGGRQHSA-N 0 2 319.296 0.582 20 0 DCADLN Cn1c2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc2oc1=O ZINC000354133818 415764643 /nfs/dbraw/zinc/76/46/43/415764643.db2.gz KPCONNCZGMTDAV-UHFFFAOYSA-N 0 2 304.266 0.277 20 0 DCADLN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)NCc1cn[nH]c1C ZINC000344144239 415848840 /nfs/dbraw/zinc/84/88/40/415848840.db2.gz WJFCXCWKUHNWPT-KCJUWKMLSA-N 0 2 302.400 0.298 20 0 DCADLN CCS(=O)(=O)N(C)CCCNC(=O)N1CC[C@@H]([C@H](C)O)C1 ZINC000334033778 415787255 /nfs/dbraw/zinc/78/72/55/415787255.db2.gz DGVRFBLDEJDJHF-NWDGAFQWSA-N 0 2 321.443 0.070 20 0 DCADLN CCCN1C[C@H](C(=O)N2CC[C@H](C)S(=O)(=O)CC2)CC1=O ZINC000334031025 415787492 /nfs/dbraw/zinc/78/74/92/415787492.db2.gz KZNVBTFYKWHDDL-NWDGAFQWSA-N 0 2 316.423 0.281 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)nn1 ZINC000334034122 415787532 /nfs/dbraw/zinc/78/75/32/415787532.db2.gz MSPOPEBJZCHEJN-LLVKDONJSA-N 0 2 324.406 0.471 20 0 DCADLN CCNC(=O)N1CC[C@@H](NC(=O)N(C)CCCn2cc[nH+]c2)C1 ZINC000121957000 415823713 /nfs/dbraw/zinc/82/37/13/415823713.db2.gz AHFSXXVVLXTAPS-CYBMUJFWSA-N 0 2 322.413 0.718 20 0 DCADLN CC(C)(C)n1ncnc1CNS(=O)(=O)NCC(F)(F)F ZINC000336988869 415882609 /nfs/dbraw/zinc/88/26/09/415882609.db2.gz JWSYQAUMXSASQO-UHFFFAOYSA-N 0 2 315.321 0.519 20 0 DCADLN C[C@@H](O)C[C@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000337578309 415979060 /nfs/dbraw/zinc/97/90/60/415979060.db2.gz YJJNNVFACINMQJ-PSASIEDQSA-N 0 2 318.333 0.812 20 0 DCADLN Cc1nnc(NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)n1C ZINC000345367348 416084577 /nfs/dbraw/zinc/08/45/77/416084577.db2.gz DPEYLNLVOXAWBI-UHFFFAOYSA-N 0 2 316.296 1.406 20 0 DCADLN CCOC[C@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000357560010 416096976 /nfs/dbraw/zinc/09/69/76/416096976.db2.gz DZXDMTOGPHZUAY-VIFPVBQESA-N 0 2 318.333 0.935 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H](C)[C@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000357883010 416148123 /nfs/dbraw/zinc/14/81/23/416148123.db2.gz AIEQHNGAESPGGA-GMSGAONNSA-N 0 2 318.333 0.587 20 0 DCADLN Cc1[nH]c(C(=O)NCc2n[nH]c(=O)[nH]2)c(C)c1C(=O)OC(C)C ZINC000358368638 416229011 /nfs/dbraw/zinc/22/90/11/416229011.db2.gz XJSVIWPCUIRGLW-UHFFFAOYSA-N 0 2 321.337 0.950 20 0 DCADLN C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358373910 416231319 /nfs/dbraw/zinc/23/13/19/416231319.db2.gz SKWVKBFNUQANJD-PCVAMMEBSA-N 0 2 322.390 0.655 20 0 DCADLN Nc1ncncc1CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000339721205 416163536 /nfs/dbraw/zinc/16/35/36/416163536.db2.gz PPVYIIHMMACVPD-UHFFFAOYSA-N 0 2 310.317 0.880 20 0 DCADLN C[C@H]1C[N@H+](Cc2cnc(N3CC[NH+](C)CC3)nc2)CC[C@@H]1O ZINC000190053537 416273943 /nfs/dbraw/zinc/27/39/43/416273943.db2.gz PXZGFLKMVQZQIG-ZFWWWQNUSA-N 0 2 305.426 0.431 20 0 DCADLN NC(=O)[C@H]1CCC[N@@H+]1CCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000342198531 416293492 /nfs/dbraw/zinc/29/34/92/416293492.db2.gz GMOPOJSCTSYRAX-SSDOTTSWSA-N 0 2 323.344 0.731 20 0 DCADLN NC(=O)[C@H]1CCC[N@H+]1CCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000342198531 416293494 /nfs/dbraw/zinc/29/34/94/416293494.db2.gz GMOPOJSCTSYRAX-SSDOTTSWSA-N 0 2 323.344 0.731 20 0 DCADLN C[C@@H](NS(=O)(=O)NCC(F)(F)F)c1nnc2ccccn21 ZINC000192011359 416294478 /nfs/dbraw/zinc/29/44/78/416294478.db2.gz FTTPJDFUVSLTPM-SSDOTTSWSA-N 0 2 323.300 0.777 20 0 DCADLN CCOc1ncccc1CNS(=O)(=O)NCC(F)(F)F ZINC000192012216 416294895 /nfs/dbraw/zinc/29/48/95/416294895.db2.gz WGYOJWIZJAHCEB-UHFFFAOYSA-N 0 2 313.301 0.967 20 0 DCADLN O=C1CNC(=O)N1CCCNc1nc(C(F)(F)F)ns1 ZINC000342214919 416295511 /nfs/dbraw/zinc/29/55/11/416295511.db2.gz JJMOWZFNJAZIJX-UHFFFAOYSA-N 0 2 309.273 0.333 20 0 DCADLN O=C(CNS(=O)(=O)NCC(F)(F)F)NC1CCCCC1 ZINC000192018835 416297132 /nfs/dbraw/zinc/29/71/32/416297132.db2.gz PXOPCWAWBIQCOV-UHFFFAOYSA-N 0 2 317.333 0.422 20 0 DCADLN Cn1cc([N-]S(=O)(=O)c2ccccc2Cn2cc[nH+]c2)nn1 ZINC000359465968 416347051 /nfs/dbraw/zinc/34/70/51/416347051.db2.gz HXHUXIKLSRJKSK-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN Cc1ccn2cc(C(=O)NCCCc3n[nH]c(=O)[nH]3)nc2c1 ZINC000359529121 416349368 /nfs/dbraw/zinc/34/93/68/416349368.db2.gz UUGNYJHSTNAGMI-UHFFFAOYSA-N 0 2 300.322 0.829 20 0 DCADLN CC(C)CS(=O)(=O)CCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000359527291 416349870 /nfs/dbraw/zinc/34/98/70/416349870.db2.gz QPDYZESIWLMVRQ-UHFFFAOYSA-N 0 2 318.399 0.020 20 0 DCADLN CCNC(=O)C(C)(C)CNS(=O)(=O)NCC(F)(F)F ZINC000195428635 416326144 /nfs/dbraw/zinc/32/61/44/416326144.db2.gz TXYCAQCCZKRBBG-UHFFFAOYSA-N 0 2 305.322 0.135 20 0 DCADLN CCC1(CO)CCN(S(=O)(=O)NCC(F)(F)F)CC1 ZINC000195439913 416326209 /nfs/dbraw/zinc/32/62/09/416326209.db2.gz GZCSIIFEXLCZSS-UHFFFAOYSA-N 0 2 304.334 0.868 20 0 DCADLN CCN(CC)S(=O)(=O)CCNc1cccc(C(=O)[O-])[nH+]1 ZINC000416408773 416368757 /nfs/dbraw/zinc/36/87/57/416368757.db2.gz RUOCYKJHPHZEMG-UHFFFAOYSA-N 0 2 301.368 0.863 20 0 DCADLN CC(C)[C@@H]1C[C@H]1NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000416545493 416375375 /nfs/dbraw/zinc/37/53/75/416375375.db2.gz IKJUJRGIPUBLCY-WCBMZHEXSA-N 0 2 324.362 0.759 20 0 DCADLN CCOC(=O)c1cc(S(=O)(=O)Nc2nnc(C)o2)cn1C ZINC000361529239 416443519 /nfs/dbraw/zinc/44/35/19/416443519.db2.gz STKWFULVAARZHZ-UHFFFAOYSA-N 0 2 314.323 0.694 20 0 DCADLN CC(C)(C)NS(=O)(=O)CCNc1nc(C2CC2)ns1 ZINC000536516858 416541771 /nfs/dbraw/zinc/54/17/71/416541771.db2.gz OYQXLOHLBRCROG-UHFFFAOYSA-N 0 2 304.441 0.967 20 0 DCADLN C[C@H](C(=O)N1CCCC1)[NH+]1CCN(C(=O)[C@H]([NH3+])C2CCC2)CC1 ZINC000423434202 416512467 /nfs/dbraw/zinc/51/24/67/416512467.db2.gz QESSYGWANVSQPN-UKRRQHHQSA-N 0 2 322.453 0.269 20 0 DCADLN O=C(NC[C@H]1CCCS1(=O)=O)c1cc(F)c(O)c(F)c1 ZINC000436962689 416577071 /nfs/dbraw/zinc/57/70/71/416577071.db2.gz AFOACDOELPZOGU-MRVPVSSYSA-N 0 2 305.302 0.977 20 0 DCADLN CCC(CC)(CNC(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1)C(=O)[O-] ZINC000424512871 416659291 /nfs/dbraw/zinc/65/92/91/416659291.db2.gz BNZCELQWERQIBZ-LLVKDONJSA-N 0 2 324.381 0.395 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1noc(-c2cccs2)n1 ZINC000548146242 416684655 /nfs/dbraw/zinc/68/46/55/416684655.db2.gz DFSJIXPCHRZYKY-UHFFFAOYSA-N 0 2 311.348 1.332 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@@H](NC(C)=O)C2)c1 ZINC000424899035 416672892 /nfs/dbraw/zinc/67/28/92/416672892.db2.gz YCMSDPFKAAWNSI-VERVWZFWSA-N 0 2 324.402 0.540 20 0 DCADLN CC(C)S(=O)(=O)CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000516869507 416751737 /nfs/dbraw/zinc/75/17/37/416751737.db2.gz JVKHDMDOJWWZEH-VIFPVBQESA-N 0 2 316.383 0.040 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnccc2NC(C)=O)cn1 ZINC000442631484 416699436 /nfs/dbraw/zinc/69/94/36/416699436.db2.gz YUGALYUQERNDBH-UHFFFAOYSA-N 0 2 322.346 0.666 20 0 DCADLN COC[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@H]1CCCO1 ZINC000443217198 416736044 /nfs/dbraw/zinc/73/60/44/416736044.db2.gz RMCMJRWYWJDAIF-HTQZYQBOSA-N 0 2 306.306 0.167 20 0 DCADLN CCNC(=O)C1(CNS(=O)(=O)NCC(F)(F)F)CCC1 ZINC000443218364 416736943 /nfs/dbraw/zinc/73/69/43/416736943.db2.gz RJAXPTRPSNZWKS-UHFFFAOYSA-N 0 2 317.333 0.279 20 0 DCADLN COC(=O)[C@@H]1CCN(S(=O)(=O)NCC(F)(F)F)[C@H]1C ZINC000443228464 416736956 /nfs/dbraw/zinc/73/69/56/416736956.db2.gz YSLJYJDUTUZVGH-NKWVEPMBSA-N 0 2 304.290 0.267 20 0 DCADLN O=C(NC[C@H]1CC[C@@H](CO)O1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000615238084 416791203 /nfs/dbraw/zinc/79/12/03/416791203.db2.gz PZUMZNNQWBRCHB-NEPJUHHUSA-N 0 2 319.317 0.300 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)Cn1cnnn1 ZINC000559248613 416803301 /nfs/dbraw/zinc/80/33/01/416803301.db2.gz IGAHUERXHADMMY-UHFFFAOYSA-N 0 2 300.282 0.111 20 0 DCADLN O=c1[nH]nc(CSc2nnc(CO)n2-c2ccccc2)[nH]1 ZINC000428270441 416885848 /nfs/dbraw/zinc/88/58/48/416885848.db2.gz VBBQFGWXIHQCLX-UHFFFAOYSA-N 0 2 304.335 0.876 20 0 DCADLN C[C@H]1[C@H](CO)CCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000427829274 416853177 /nfs/dbraw/zinc/85/31/77/416853177.db2.gz RLGQXUNIZJQYAJ-YUMQZZPRSA-N 0 2 304.306 0.279 20 0 DCADLN O=C(NCCNc1nc(C(F)(F)F)ns1)c1cnccn1 ZINC000519201330 416896943 /nfs/dbraw/zinc/89/69/43/416896943.db2.gz KDGVEICJVBBECX-UHFFFAOYSA-N 0 2 318.284 0.611 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H](CO)CC(F)F)c2=O ZINC000430531699 417041444 /nfs/dbraw/zinc/04/14/44/417041444.db2.gz JXPVDQKAVUISIL-ZCFIWIBFSA-N 0 2 300.265 0.420 20 0 DCADLN COc1ccc(CNC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])cc1OC ZINC000430664183 417055614 /nfs/dbraw/zinc/05/56/14/417055614.db2.gz VIXHNHSIFKDKGS-JTQLQIEISA-N 0 2 310.350 0.725 20 0 DCADLN COc1ccc(CNC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])cc1OC ZINC000430664183 417055618 /nfs/dbraw/zinc/05/56/18/417055618.db2.gz VIXHNHSIFKDKGS-JTQLQIEISA-N 0 2 310.350 0.725 20 0 DCADLN Cn1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c(-c2ccoc2)n1 ZINC000448315548 417069115 /nfs/dbraw/zinc/06/91/15/417069115.db2.gz FDHFFUZZYDRKDZ-UHFFFAOYSA-N 0 2 316.321 0.866 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccnn1CC(F)F ZINC000448316307 417070642 /nfs/dbraw/zinc/07/06/42/417070642.db2.gz RPHRSNRFMWSGOR-UHFFFAOYSA-N 0 2 300.269 0.335 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2nnc(C)s2)cn1 ZINC000437180448 287360937 /nfs/dbraw/zinc/36/09/37/287360937.db2.gz BKPPPYIKHZYKHU-UHFFFAOYSA-N 0 2 317.352 0.017 20 0 DCADLN O=C(C[C@@H]1COc2ccccc2O1)NCCCc1n[nH]c(=O)[nH]1 ZINC000450006098 417188751 /nfs/dbraw/zinc/18/87/51/417188751.db2.gz RAENCUNJLTXGEU-SNVBAGLBSA-N 0 2 318.333 0.789 20 0 DCADLN COCC(=O)N1CCC(NC(=O)c2cccc3[nH]nnc32)CC1 ZINC000527107189 417213786 /nfs/dbraw/zinc/21/37/86/417213786.db2.gz GFGLLBSXLYZVNC-UHFFFAOYSA-N 0 2 317.349 0.325 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000569103593 417214757 /nfs/dbraw/zinc/21/47/57/417214757.db2.gz NKNVKTQAMXPSBA-VHSXEESVSA-N 0 2 321.333 0.598 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCO[C@]2(CCSC2)C1 ZINC000570446949 417354920 /nfs/dbraw/zinc/35/49/20/417354920.db2.gz HOEVCPRXXAEHBI-MRVPVSSYSA-N 0 2 320.358 0.591 20 0 DCADLN CN(C)C(=O)C1([NH2+]CC(=O)N2CC[NH+](C)CC2)CCCCC1 ZINC000451404541 417341957 /nfs/dbraw/zinc/34/19/57/417341957.db2.gz NBAGGNLYIBEPEP-UHFFFAOYSA-N 0 2 310.442 0.141 20 0 DCADLN COc1ccc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)cn1 ZINC000622503132 417453471 /nfs/dbraw/zinc/45/34/71/417453471.db2.gz WBLNHFZGENPIOQ-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000576403287 417606316 /nfs/dbraw/zinc/60/63/16/417606316.db2.gz SQAASQCYJNCDFE-FRRDWIJNSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000576403287 417606320 /nfs/dbraw/zinc/60/63/20/417606320.db2.gz SQAASQCYJNCDFE-FRRDWIJNSA-N 0 2 320.393 0.985 20 0 DCADLN CC[C@@H](NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)[C@H](C)O ZINC000456206184 417633660 /nfs/dbraw/zinc/63/36/60/417633660.db2.gz GKTPXCMRYWUTFG-JGVFFNPUSA-N 0 2 306.322 0.634 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)C[C@H](C)n1cc[nH+]c1)C(=O)[O-] ZINC000580439483 417700841 /nfs/dbraw/zinc/70/08/41/417700841.db2.gz HCYKOYUEMYRTMU-RYUDHWBXSA-N 0 2 324.381 0.566 20 0 DCADLN Cc1nc(C)c(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)s1 ZINC000532037592 417653731 /nfs/dbraw/zinc/65/37/31/417653731.db2.gz CDQYOJICBOONEK-UHFFFAOYSA-N 0 2 314.392 0.998 20 0 DCADLN O=C(CCCc1nn[nH]n1)NCCN1Cc2ccccc2C1 ZINC000635420193 417653928 /nfs/dbraw/zinc/65/39/28/417653928.db2.gz BSKBIRZMVNPNOR-UHFFFAOYSA-N 0 2 300.366 0.654 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000635509628 417681356 /nfs/dbraw/zinc/68/13/56/417681356.db2.gz ITXVEJRTPUFLHG-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000635509628 417681359 /nfs/dbraw/zinc/68/13/59/417681359.db2.gz ITXVEJRTPUFLHG-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C)CCN1S(=O)(=O)NCC(F)(F)F ZINC000443209412 287414682 /nfs/dbraw/zinc/41/46/82/287414682.db2.gz FUDZYMDIIRBXAZ-YUMQZZPRSA-N 0 2 318.317 0.657 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@@H+]([C@@H]3CCN(C4CCC4)C3=O)C2)n[nH]1 ZINC000639644763 417707513 /nfs/dbraw/zinc/70/75/13/417707513.db2.gz ASHPECBPTOBWGM-CMPLNLGQSA-N 0 2 305.382 0.843 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@H+]([C@@H]3CCN(C4CCC4)C3=O)C2)n[nH]1 ZINC000639644763 417707517 /nfs/dbraw/zinc/70/75/17/417707517.db2.gz ASHPECBPTOBWGM-CMPLNLGQSA-N 0 2 305.382 0.843 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)CCN2C(N)=O ZINC000629292578 417773895 /nfs/dbraw/zinc/77/38/95/417773895.db2.gz QWHCHKHIGXLFAZ-UHFFFAOYSA-N 0 2 322.350 0.057 20 0 DCADLN Cc1csc(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)c1 ZINC000651835195 417792768 /nfs/dbraw/zinc/79/27/68/417792768.db2.gz BJLDFSDGDRKZFI-UHFFFAOYSA-N 0 2 307.379 0.906 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC(C)(C)OCC2CC2)[nH]1 ZINC000651850736 417799434 /nfs/dbraw/zinc/79/94/34/417799434.db2.gz MBRYHSYLVZZRBO-UHFFFAOYSA-N 0 2 323.397 0.541 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H]2C[C@@H](OC(C)(C)C)C2)[nH]1 ZINC000651869017 417804768 /nfs/dbraw/zinc/80/47/68/417804768.db2.gz GTHMVVSDCXWMKF-PHIMTYICSA-N 0 2 323.397 0.682 20 0 DCADLN Cc1cn2c([nH+]1)C[C@H](C(=O)N[C@@H](CO)C[NH+]1CCCC1)CC2 ZINC000640318458 417818009 /nfs/dbraw/zinc/81/80/09/417818009.db2.gz LYSHOLROMNOLMB-ZIAGYGMSSA-N 0 2 306.410 0.327 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CCc3c([nH+]c(C)n3CCO)C2)c1[O-] ZINC000652085727 417843622 /nfs/dbraw/zinc/84/36/22/417843622.db2.gz SLHIMSLETZTHGH-SNVBAGLBSA-N 0 2 319.365 0.208 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000646795588 417914939 /nfs/dbraw/zinc/91/49/39/417914939.db2.gz UGFMPMSURBDNIO-UHFFFAOYSA-N 0 2 306.322 0.333 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](Cc2nnc3n2CCOC3)Cc2ccccc21 ZINC000652470076 417915984 /nfs/dbraw/zinc/91/59/84/417915984.db2.gz NCMBNNDTGGSRTG-ZDUSSCGKSA-N 0 2 314.345 0.992 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](Cc2nnc3n2CCOC3)Cc2ccccc21 ZINC000652470076 417915988 /nfs/dbraw/zinc/91/59/88/417915988.db2.gz NCMBNNDTGGSRTG-ZDUSSCGKSA-N 0 2 314.345 0.992 20 0 DCADLN COc1ccc(-c2noc(C[N@@H+]3CCC[C@]3(C)C(=O)[O-])n2)nn1 ZINC000652480849 417919323 /nfs/dbraw/zinc/91/93/23/417919323.db2.gz UCQKOWFKNZBGQR-CQSZACIVSA-N 0 2 319.321 0.974 20 0 DCADLN COc1ccc(-c2noc(C[N@H+]3CCC[C@]3(C)C(=O)[O-])n2)nn1 ZINC000652480849 417919330 /nfs/dbraw/zinc/91/93/30/417919330.db2.gz UCQKOWFKNZBGQR-CQSZACIVSA-N 0 2 319.321 0.974 20 0 DCADLN CNS(=O)(=O)c1ccc(C(=O)N=c2ncn(C(C)C)[nH]2)o1 ZINC000652727985 417958804 /nfs/dbraw/zinc/95/88/04/417958804.db2.gz OPXNKTYFZZQWPG-UHFFFAOYSA-N 0 2 313.339 0.034 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H](C)CCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000652961665 418004944 /nfs/dbraw/zinc/00/49/44/418004944.db2.gz WIFPSPVIPZUOKV-QMMMGPOBSA-N 0 2 306.322 0.587 20 0 DCADLN C[C@]1(C2CCN(c3[nH+]cccc3C(=O)[O-])CC2)NC(=O)NC1=O ZINC000647451021 418010557 /nfs/dbraw/zinc/01/05/57/418010557.db2.gz ITKVLFUUTFFMTK-OAHLLOKOSA-N 0 2 318.333 0.594 20 0 DCADLN C[C@@H](C(=O)NC1CC1)[NH+]1CCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000647448241 418010784 /nfs/dbraw/zinc/01/07/84/418010784.db2.gz BMAOUBIAJAQCKH-NSHDSACASA-N 0 2 318.377 0.569 20 0 DCADLN Cc1nc(=NC(=O)NCC[NH+]2Cc3ccccc3C2)[n-]n1C ZINC000653243300 418046581 /nfs/dbraw/zinc/04/65/81/418046581.db2.gz HRTLFMAAHSNUCW-UHFFFAOYSA-N 0 2 300.366 0.683 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@@H](C(=O)[O-])C3CC3)CC2)cc[nH+]1 ZINC000663044362 417981697 /nfs/dbraw/zinc/98/16/97/417981697.db2.gz OYNIFPQIWPBKBS-ZDUSSCGKSA-N 0 2 304.350 0.544 20 0 DCADLN Cn1cc(C[NH+]2CCN(C(=O)[C@]3(C(=O)[O-])CC3(C)C)CC2)cn1 ZINC000663063142 417984977 /nfs/dbraw/zinc/98/49/77/417984977.db2.gz WFZQCGGWZGEUDL-INIZCTEOSA-N 0 2 320.393 0.565 20 0 DCADLN Cn1nccc1[C@@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)[O-] ZINC000653291434 418053847 /nfs/dbraw/zinc/05/38/47/418053847.db2.gz FFSKUHCGXOLQQT-CQSZACIVSA-N 0 2 317.349 0.438 20 0 DCADLN NC(=O)c1cccc(NS(=O)(=O)c2cnn3c2OCCC3)c1 ZINC000647920757 418076538 /nfs/dbraw/zinc/07/65/38/418076538.db2.gz HBCUJLDWHFUQFI-UHFFFAOYSA-N 0 2 322.346 0.565 20 0 DCADLN CCC[N@@H+]1CCC[C@H]1CNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000664890124 418159527 /nfs/dbraw/zinc/15/95/27/418159527.db2.gz UTECXMMBSBRRAA-JKSUJKDBSA-N 0 2 324.469 0.977 20 0 DCADLN O=C(c1cccc2n[nH]nc21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893599 418171512 /nfs/dbraw/zinc/17/15/12/418171512.db2.gz KWGLWIHJDUDYHO-QMMMGPOBSA-N 0 2 313.321 0.801 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)C[C@]1(OC(C)C)CCOC1 ZINC000659894388 418196835 /nfs/dbraw/zinc/19/68/35/418196835.db2.gz GGSVPPOFVLCTKB-ZDUSSCGKSA-N 0 2 317.411 0.722 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)NC[C@H](C(=O)[O-])C(C)C ZINC000655944336 418305747 /nfs/dbraw/zinc/30/57/47/418305747.db2.gz CTEBWZSOIHHVHT-VIFPVBQESA-N 0 2 309.322 0.502 20 0 DCADLN C[C@H](O)CNc1cc(N[C@H](Cc2ccncc2)C(=O)[O-])[nH+]cn1 ZINC000656092742 418327611 /nfs/dbraw/zinc/32/76/11/418327611.db2.gz PUXNQOVPBFWXCI-CMPLNLGQSA-N 0 2 317.349 0.772 20 0 DCADLN C[C@H](O)CNc1cc(N[C@H](Cc2ccncc2)C(=O)[O-])nc[nH+]1 ZINC000656092742 418327614 /nfs/dbraw/zinc/32/76/14/418327614.db2.gz PUXNQOVPBFWXCI-CMPLNLGQSA-N 0 2 317.349 0.772 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)[nH]n1 ZINC000650814223 418325301 /nfs/dbraw/zinc/32/53/01/418325301.db2.gz IEUVUEYKGDYLEP-ZACCUICWSA-N 0 2 316.361 0.592 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000649462234 418255403 /nfs/dbraw/zinc/25/54/03/418255403.db2.gz MCLNHPOHOKXLJS-VXGBXAGGSA-N 0 2 305.334 0.255 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C[C@H]2CCC[C@@H](OC)C2)[nH]n1 ZINC000650818801 418326193 /nfs/dbraw/zinc/32/61/93/418326193.db2.gz YFITXPAJRPAVJG-WDEREUQCSA-N 0 2 306.366 0.792 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H](Cc2ccccc2)OC)[nH]n1 ZINC000650819278 418326273 /nfs/dbraw/zinc/32/62/73/418326273.db2.gz ORLQXYIUAPDRPU-CYBMUJFWSA-N 0 2 314.345 0.454 20 0 DCADLN O=C([O-])CCN(C(=O)[C@H]1CCc2[nH+]ccn2C1)C1CCOCC1 ZINC000655540882 418274021 /nfs/dbraw/zinc/27/40/21/418274021.db2.gz PBOABJSWGGWGNC-LBPRGKRZSA-N 0 2 321.377 0.928 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H]2CCCc3nn(C)cc32)[nH]n1 ZINC000650818232 418326507 /nfs/dbraw/zinc/32/65/07/418326507.db2.gz BGDMOEAXXKSNTP-VIFPVBQESA-N 0 2 314.349 0.050 20 0 DCADLN CCC[NH+]1CC[C@@H](NC(=O)N(C)[C@H]2CC[N@H+]3CCO[C@H]2C3)C1 ZINC000661946255 418369782 /nfs/dbraw/zinc/36/97/82/418369782.db2.gz IIJYZBQTSAQYHW-ILXRZTDVSA-N 0 2 310.442 0.585 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1n[nH]cc1SC)[C@@H]1CCOC1 ZINC000656730171 418374157 /nfs/dbraw/zinc/37/41/57/418374157.db2.gz APWQKJDWKOWHTB-BDAKNGLRSA-N 0 2 321.424 0.925 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCc2ccc(Cl)o2)[nH]1 ZINC000651497127 418384321 /nfs/dbraw/zinc/38/43/21/418384321.db2.gz VZYXHQULUMFCFW-UHFFFAOYSA-N 0 2 311.729 0.782 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)Cc2ccc(O)cc2)[nH]1 ZINC000651525444 418387491 /nfs/dbraw/zinc/38/74/91/418387491.db2.gz BIFANCSRQZBQFE-UHFFFAOYSA-N 0 2 317.349 0.584 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCO[C@@H](Cc3ccccc3)C2)C1=O ZINC000662211826 418388581 /nfs/dbraw/zinc/38/85/81/418388581.db2.gz SFFHIKVMCRZRAU-LSDHHAIUSA-N 0 2 318.373 0.615 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCO[C@@H](Cc3ccccc3)C2)C1=O ZINC000662211826 418388583 /nfs/dbraw/zinc/38/85/83/418388583.db2.gz SFFHIKVMCRZRAU-LSDHHAIUSA-N 0 2 318.373 0.615 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCN(c3ccc(F)cc3)CC2)C1=O ZINC000662204066 418388906 /nfs/dbraw/zinc/38/89/06/418388906.db2.gz GKQHVWBHOZVAKP-AWEZNQCLSA-N 0 2 321.352 0.633 20 0 DCADLN CC[C@@H]1CN2CCCC[C@H]2C[N@@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662204172 418389426 /nfs/dbraw/zinc/38/94/26/418389426.db2.gz GQPOYWPDRSOWFO-HZSPNIEDSA-N 0 2 309.410 0.621 20 0 DCADLN CC[C@@H]1CN2CCCC[C@H]2C[N@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662204172 418389427 /nfs/dbraw/zinc/38/94/27/418389427.db2.gz GQPOYWPDRSOWFO-HZSPNIEDSA-N 0 2 309.410 0.621 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(CCO)CC(C)(C)C)[nH]1 ZINC000651560162 418390942 /nfs/dbraw/zinc/39/09/42/418390942.db2.gz WRAVNKHRLTXXHP-UHFFFAOYSA-N 0 2 311.386 0.086 20 0 DCADLN CCCCN(CCOC)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651562940 418390982 /nfs/dbraw/zinc/39/09/82/418390982.db2.gz AIEYJUABVBBLSJ-UHFFFAOYSA-N 0 2 311.386 0.495 20 0 DCADLN CCCC[C@H](COC)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651576533 418392752 /nfs/dbraw/zinc/39/27/52/418392752.db2.gz JVUPHSSWCPWSHI-LLVKDONJSA-N 0 2 311.386 0.541 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC2(C3CC3)CCC2)[nH]1 ZINC000651633136 418399289 /nfs/dbraw/zinc/39/92/89/418399289.db2.gz DMPNWNJAWQJBPA-UHFFFAOYSA-N 0 2 305.382 0.916 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCOC(C)(C)[C@H]2C)[nH]1 ZINC000651714524 418406041 /nfs/dbraw/zinc/40/60/41/418406041.db2.gz DIADJNCJHGQZOQ-SNVBAGLBSA-N 0 2 309.370 0.245 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCCS(=O)(=O)CC1 ZINC000408409274 304489924 /nfs/dbraw/zinc/48/99/24/304489924.db2.gz WCYJZDCXWROGSC-UHFFFAOYSA-N 0 2 305.302 0.931 20 0 DCADLN COC(=O)N1CCC(CNS(=O)(=O)CC(F)(F)F)CC1 ZINC000495814562 287605855 /nfs/dbraw/zinc/60/58/55/287605855.db2.gz QEVPTRKCJRIPDO-UHFFFAOYSA-N 0 2 318.317 0.947 20 0 DCADLN CCc1nnc(NC(=O)Cc2c(C)nc3cc(=O)[nH]n3c2C)[nH]1 ZINC000182249241 261115762 /nfs/dbraw/zinc/11/57/62/261115762.db2.gz FQJCNZXZCJUSIW-UHFFFAOYSA-N 0 2 315.337 0.501 20 0 DCADLN CCc1nc(NC(=O)Cc2c(C)nc3cc(=O)[nH]n3c2C)n[nH]1 ZINC000182249241 261115764 /nfs/dbraw/zinc/11/57/64/261115764.db2.gz FQJCNZXZCJUSIW-UHFFFAOYSA-N 0 2 315.337 0.501 20 0 DCADLN CCc1n[nH]c(NC(=O)Cc2c(C)nc3cc(=O)[nH]n3c2C)n1 ZINC000182249241 261115766 /nfs/dbraw/zinc/11/57/66/261115766.db2.gz FQJCNZXZCJUSIW-UHFFFAOYSA-N 0 2 315.337 0.501 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])c(Cl)c2)CC1 ZINC000184838084 261119462 /nfs/dbraw/zinc/11/94/62/261119462.db2.gz COSOFYNXSKDDAK-UHFFFAOYSA-N 0 2 318.782 0.974 20 0 DCADLN CC[N@@H+](Cc1cc(=O)n2[n-]ccc2n1)C[C@@H](O)C(F)(F)F ZINC000189513629 261131912 /nfs/dbraw/zinc/13/19/12/261131912.db2.gz HSRKQEVMVCVNIW-SECBINFHSA-N 0 2 304.272 0.768 20 0 DCADLN CC[N@H+](Cc1cc(=O)n2[n-]ccc2n1)C[C@@H](O)C(F)(F)F ZINC000189513629 261131914 /nfs/dbraw/zinc/13/19/14/261131914.db2.gz HSRKQEVMVCVNIW-SECBINFHSA-N 0 2 304.272 0.768 20 0 DCADLN CNC(=O)c1ccc(Cl)c(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000354346689 261207265 /nfs/dbraw/zinc/20/72/65/261207265.db2.gz IPVPIERTCHZXSI-UHFFFAOYSA-N 0 2 324.728 0.845 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCCC[C@@H]1CC(N)=O)c2=O ZINC000355580546 261348426 /nfs/dbraw/zinc/34/84/26/261348426.db2.gz PRKIGMUNQDLTSA-SECBINFHSA-N 0 2 317.349 0.544 20 0 DCADLN COC(=O)[C@@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000362373636 262027386 /nfs/dbraw/zinc/02/73/86/262027386.db2.gz NQFLLIWDVUHEFH-ZETCQYMHSA-N 0 2 300.340 0.157 20 0 DCADLN Cc1c(NS(=O)(=O)c2ccc(C)c(C(N)=O)c2)cnn1C ZINC000362429618 262034509 /nfs/dbraw/zinc/03/45/09/262034509.db2.gz JXXUDSYEYSDHNQ-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN COC(=O)C[C@@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000362477933 262039773 /nfs/dbraw/zinc/03/97/73/262039773.db2.gz ZJUQORVMVCRAJS-MRVPVSSYSA-N 0 2 305.290 0.711 20 0 DCADLN CC[C@@H]1CN(CCNc2nc(CCOC)ns2)CCO1 ZINC000362681311 262060116 /nfs/dbraw/zinc/06/01/16/262060116.db2.gz OAXYVIWPSUCTNK-LLVKDONJSA-N 0 2 300.428 0.672 20 0 DCADLN NC(=O)[C@@H]1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000362723654 262065488 /nfs/dbraw/zinc/06/54/88/262065488.db2.gz LJJYZFZKFWPLLV-SNVBAGLBSA-N 0 2 300.318 0.525 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(-c2nn[nH]n2)cc1 ZINC000362957442 262089456 /nfs/dbraw/zinc/08/94/56/262089456.db2.gz GGXLOJMVSUMAQV-UHFFFAOYSA-N 0 2 305.323 0.401 20 0 DCADLN C[C@H]1C[NH+](CC2CC[NH+](Cc3nnnn3C)CC2)C[C@H](C)O1 ZINC000363660834 262125345 /nfs/dbraw/zinc/12/53/45/262125345.db2.gz OHOWICVZGUVXPE-STQMWFEESA-N 0 2 308.430 0.531 20 0 DCADLN C[C@@H](CN(C)C(=O)C(=O)NCCCNc1cccc[nH+]1)C(=O)[O-] ZINC000420529813 262375254 /nfs/dbraw/zinc/37/52/54/262375254.db2.gz DCNDFMLUCYKGEP-NSHDSACASA-N 0 2 322.365 0.179 20 0 DCADLN CC(C)C[C@H](CNC(=O)N(C)CC[NH+]1CCOCC1)C(=O)[O-] ZINC000424420616 262495818 /nfs/dbraw/zinc/49/58/18/262495818.db2.gz KPRSNWLVMJGUFT-CYBMUJFWSA-N 0 2 315.414 0.707 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)Cc3cnnn3C)n[nH]2)cc1 ZINC000357121826 271046045 /nfs/dbraw/zinc/04/60/45/271046045.db2.gz PVLQNELNVOIZJK-UHFFFAOYSA-N 0 2 318.362 0.883 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCCC[C@H]1C(N)=O)c2=O ZINC000358316746 271049467 /nfs/dbraw/zinc/04/94/67/271049467.db2.gz IDWCLKKHJWOCPO-JTQLQIEISA-N 0 2 303.322 0.154 20 0 DCADLN Cc1c(/C=C\C(=O)NS(=O)(=O)c2cccnc2)cnn1C ZINC000492304231 272122319 /nfs/dbraw/zinc/12/23/19/272122319.db2.gz MTOMAZYMELMKDH-WAYWQWQTSA-N 0 2 306.347 0.642 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1ccc(C)cn1 ZINC000493746083 272207133 /nfs/dbraw/zinc/20/71/33/272207133.db2.gz NCOFCZOFMAUPQD-VOTSOKGWSA-N 0 2 320.374 0.950 20 0 DCADLN CC(=O)N1CC[C@@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)C1 ZINC000534435606 287816005 /nfs/dbraw/zinc/81/60/05/287816005.db2.gz ABWONINRHABMQE-LLVKDONJSA-N 0 2 317.374 0.829 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)no1 ZINC000537600712 287868911 /nfs/dbraw/zinc/86/89/11/287868911.db2.gz OAZVLJPMLHZMHN-UHFFFAOYSA-N 0 2 310.291 0.510 20 0 DCADLN C[C@H](C(F)(F)F)S(=O)(=O)NCC1CCS(=O)(=O)CC1 ZINC000546781565 288030237 /nfs/dbraw/zinc/03/02/37/288030237.db2.gz RVBKXGTWBVZHLW-SSDOTTSWSA-N 0 2 323.358 0.681 20 0 DCADLN CC(C)C[C@@H]1COCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000187094495 279032868 /nfs/dbraw/zinc/03/28/68/279032868.db2.gz KAVSJUCQJLGXQU-SECBINFHSA-N 0 2 300.384 0.464 20 0 DCADLN CC(C)C[C@H](NC(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C(=O)[O-] ZINC000564556856 288749759 /nfs/dbraw/zinc/74/97/59/288749759.db2.gz GCWAZONAPSATBB-NSHDSACASA-N 0 2 318.333 0.888 20 0 DCADLN COC[C@@](C)(O)C(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000565960328 288891094 /nfs/dbraw/zinc/89/10/94/288891094.db2.gz BOWUJAPISKSMJV-CQSZACIVSA-N 0 2 306.322 0.822 20 0 DCADLN Cc1nnc(NC(=O)Cc2c(C)nc3cc(=O)[nH]n3c2C)[nH]1 ZINC000067049572 293313786 /nfs/dbraw/zinc/31/37/86/293313786.db2.gz NCBIOMAYDRZPAE-UHFFFAOYSA-N 0 2 301.310 0.247 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H](CO)c1ccc(F)cc1 ZINC000288624964 303639072 /nfs/dbraw/zinc/63/90/72/303639072.db2.gz QMUVILUQPBBJRI-VIFPVBQESA-N 0 2 312.326 0.179 20 0 DCADLN Cn1cc[nH+]c1[C@H](NC(=O)[C@H]1C[N@H+]2CCN1CCC2)C1CC1 ZINC000580357895 336260187 /nfs/dbraw/zinc/26/01/87/336260187.db2.gz PXDZPXZFSBTXEI-ZIAGYGMSSA-N 0 2 303.410 0.377 20 0 DCADLN CC(=O)N1CCCC[C@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000583324049 337315996 /nfs/dbraw/zinc/31/59/96/337315996.db2.gz LHMURPWTUMANOL-RYUDHWBXSA-N 0 2 321.381 0.617 20 0 DCADLN CCCNC(=O)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266847352 519879291 /nfs/dbraw/zinc/87/92/91/519879291.db2.gz QTZPLFJBGWRSAL-UHFFFAOYSA-N 0 2 319.321 0.000 20 0 DCADLN O=C(CNC(=O)NCc1ccco1)Nc1ccc2nn[nH]c2c1 ZINC000175831321 534569827 /nfs/dbraw/zinc/56/98/27/534569827.db2.gz PWZXPXITXAVKAH-UHFFFAOYSA-N 0 2 314.305 0.989 20 0 DCADLN CCOC(C)(C)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000188399017 521625752 /nfs/dbraw/zinc/62/57/52/521625752.db2.gz ZQDKRPFWGAALDO-UHFFFAOYSA-N 0 2 306.322 0.156 20 0 DCADLN COC[C@H](O)CCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000130846523 522780176 /nfs/dbraw/zinc/78/01/76/522780176.db2.gz STXSGCNKDDGQMM-GFCCVEGCSA-N 0 2 323.324 0.844 20 0 DCADLN C[C@@H]1CCC[C@H]1NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267658088 524426253 /nfs/dbraw/zinc/42/62/53/524426253.db2.gz WISSWOIGOPIEFY-GMSGAONNSA-N 0 2 324.362 0.903 20 0 DCADLN Cc1ccn(C)c1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000189090837 525579656 /nfs/dbraw/zinc/57/96/56/525579656.db2.gz IQRUBNUVOUOZFO-UHFFFAOYSA-N 0 2 315.380 0.809 20 0 DCADLN C[C@H](C(=O)N1CCN(c2ccccc2)CC1)[N@H+](C)CC(=O)[O-] ZINC000130177910 525981585 /nfs/dbraw/zinc/98/15/85/525981585.db2.gz TVGMOOAPCHFNCC-CYBMUJFWSA-N 0 2 305.378 0.740 20 0 DCADLN C[C@H](C(=O)N1CCN(c2ccccc2)CC1)[N@@H+](C)CC(=O)[O-] ZINC000130177910 525981591 /nfs/dbraw/zinc/98/15/91/525981591.db2.gz TVGMOOAPCHFNCC-CYBMUJFWSA-N 0 2 305.378 0.740 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCOC[C@@H](O)C2)c1 ZINC000424870851 536917800 /nfs/dbraw/zinc/91/78/00/536917800.db2.gz VKWMQUVUNNRTJE-MQJDWESPSA-N 0 2 313.375 0.023 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(CCC(=O)[O-])cc1 ZINC000290433446 546008150 /nfs/dbraw/zinc/00/81/50/546008150.db2.gz BEZFEKYHKOEIHC-UHFFFAOYSA-N 0 2 323.374 0.916 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCCc1ccc(F)cc1 ZINC000314913946 546025604 /nfs/dbraw/zinc/02/56/04/546025604.db2.gz IILFNCXYFJDKFK-UHFFFAOYSA-N 0 2 312.341 0.517 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCCc1ccc(F)cc1 ZINC000314913946 546025607 /nfs/dbraw/zinc/02/56/07/546025607.db2.gz IILFNCXYFJDKFK-UHFFFAOYSA-N 0 2 312.341 0.517 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)s1 ZINC000333362970 546078806 /nfs/dbraw/zinc/07/88/06/546078806.db2.gz UQOCIRMJWFVPHP-QMMMGPOBSA-N 0 2 315.380 0.805 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCO1 ZINC000666538431 546482420 /nfs/dbraw/zinc/48/24/20/546482420.db2.gz DFGGFXCFOFPPSB-VXGBXAGGSA-N 0 2 320.349 0.155 20 0 DCADLN CO[C@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CCC1 ZINC000666974838 546536736 /nfs/dbraw/zinc/53/67/36/546536736.db2.gz AJCGKAVASLBWTN-ZETCQYMHSA-N 0 2 320.396 0.663 20 0 DCADLN O=C([O-])[C@H](NC(=O)Cc1cn2ccccc2[nH+]1)C1CCOCC1 ZINC000668311550 546726654 /nfs/dbraw/zinc/72/66/54/546726654.db2.gz XWZQWICKRMFBRM-OAHLLOKOSA-N 0 2 317.345 0.873 20 0 DCADLN COC[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCO1 ZINC000669168960 546931869 /nfs/dbraw/zinc/93/18/69/546931869.db2.gz RGYIAIUSPNACJI-LBPRGKRZSA-N 0 2 319.317 0.517 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc2c(c1)C[NH2+]C2 ZINC000669461690 546973500 /nfs/dbraw/zinc/97/35/00/546973500.db2.gz QOOMRXQNRFWMTE-ZDUSSCGKSA-N 0 2 318.421 0.810 20 0 DCADLN CCOc1cccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)c1 ZINC000669669132 547005596 /nfs/dbraw/zinc/00/55/96/547005596.db2.gz WDOCWDCMVKDTTH-UHFFFAOYSA-N 0 2 310.335 0.708 20 0 DCADLN O=C(NCc1nn[nH]n1)c1cc(Br)cc2nc[nH]c21 ZINC000669704065 547008654 /nfs/dbraw/zinc/00/86/54/547008654.db2.gz BZAAHUKKFJMBSP-UHFFFAOYSA-N 0 2 322.126 0.769 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC[C@H](C[NH+]3CCOCC3)C2)c1[O-] ZINC000669917810 547028205 /nfs/dbraw/zinc/02/82/05/547028205.db2.gz DRAXUKFQKPELLO-GFCCVEGCSA-N 0 2 308.382 0.608 20 0 DCADLN Cc1nc(-c2cnn(C)c2)sc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000673919279 547538813 /nfs/dbraw/zinc/53/88/13/547538813.db2.gz NHWLQSWKXKFXRP-UHFFFAOYSA-N 0 2 319.350 0.606 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnn(CC(F)(F)F)c1 ZINC000675569504 547693525 /nfs/dbraw/zinc/69/35/25/547693525.db2.gz SNZNUPJACYTGBC-UHFFFAOYSA-N 0 2 305.220 0.591 20 0 DCADLN C[C@@H](C(=O)NCc1ccc(F)cc1)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676232258 547748098 /nfs/dbraw/zinc/74/80/98/547748098.db2.gz ZASZTQAYHBJZFZ-GWCFXTLKSA-N 0 2 310.325 0.616 20 0 DCADLN C[C@@H](C(=O)NCc1ccc(F)cc1)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676232258 547748102 /nfs/dbraw/zinc/74/81/02/547748102.db2.gz ZASZTQAYHBJZFZ-GWCFXTLKSA-N 0 2 310.325 0.616 20 0 DCADLN Cc1[nH]nc(C(=O)N2CSC[C@@H]2C(=O)NCC(C)C)c1O ZINC000676706842 547806142 /nfs/dbraw/zinc/80/61/42/547806142.db2.gz DKFYVBRGSSQWEU-SECBINFHSA-N 0 2 312.395 0.711 20 0 DCADLN O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1n[nH]c(=O)[n-]c1=O ZINC000677949520 547927057 /nfs/dbraw/zinc/92/70/57/547927057.db2.gz JQINQJMICMXVEE-UHFFFAOYSA-N 0 2 316.252 0.860 20 0 DCADLN COCCn1nc(C)c(NC(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC000678557494 547989795 /nfs/dbraw/zinc/98/97/95/547989795.db2.gz NVYNRGOCRBRJMG-UHFFFAOYSA-N 0 2 309.330 0.292 20 0 DCADLN C[C@H]1CN(c2ccc(CNC(=O)N(C)CC(=O)[O-])c[nH+]2)CCO1 ZINC000679548322 548064988 /nfs/dbraw/zinc/06/49/88/548064988.db2.gz CGTDXXKMXUCNHW-NSHDSACASA-N 0 2 322.365 0.533 20 0 DCADLN CN(CC(=O)[O-])C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000679539954 548065667 /nfs/dbraw/zinc/06/56/67/548065667.db2.gz IKEDOMFXHKJGCE-UHFFFAOYSA-N 0 2 308.338 0.144 20 0 DCADLN NS(=O)(=O)C1CCN(C(=O)c2c(O)cc(F)cc2F)CC1 ZINC000682360238 548373053 /nfs/dbraw/zinc/37/30/53/548373053.db2.gz RJHCCMOVCFRBHB-UHFFFAOYSA-N 0 2 320.317 0.564 20 0 DCADLN O=C(NC[C@@H]1CC[C@H](O)C1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000682825408 548428902 /nfs/dbraw/zinc/42/89/02/548428902.db2.gz BFQVKHBNFOGZCH-SKDRFNHKSA-N 0 2 303.318 0.921 20 0 DCADLN CCOCCOC[C@@H](O)CNC(=O)c1c(O)cc(F)cc1F ZINC000682993250 548445542 /nfs/dbraw/zinc/44/55/42/548445542.db2.gz SXODFNJAISUJDP-JTQLQIEISA-N 0 2 319.304 0.814 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ncn(C2CCCCC2)n1 ZINC000683902122 548533819 /nfs/dbraw/zinc/53/38/19/548533819.db2.gz QLWLHNRQTSUKSS-UHFFFAOYSA-N 0 2 311.371 0.713 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N1CCN(Cc2ccco2)CC1 ZINC000817772223 597472909 /nfs/dbraw/zinc/47/29/09/597472909.db2.gz MBBZNXRZGHUDJU-LBPRGKRZSA-N 0 2 309.366 0.329 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N1CCN(Cc2ccco2)CC1 ZINC000817772223 597472912 /nfs/dbraw/zinc/47/29/12/597472912.db2.gz MBBZNXRZGHUDJU-LBPRGKRZSA-N 0 2 309.366 0.329 20 0 DCADLN CC[C@H](C)NC(=O)CN1CCN(c2cc(C(=O)[O-])cc[nH+]2)CC1 ZINC000820266786 598218718 /nfs/dbraw/zinc/21/87/18/598218718.db2.gz RQAGWHZVCVXWJN-LBPRGKRZSA-N 0 2 320.393 0.817 20 0 DCADLN COC(=O)c1cn(C2C[NH+](Cc3cccc(C(=O)[O-])c3)C2)nn1 ZINC000820689508 598221056 /nfs/dbraw/zinc/22/10/56/598221056.db2.gz PWZKZCYFXCNWJL-UHFFFAOYSA-N 0 2 316.317 0.820 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1cccc(-c2nn[nH]n2)c1 ZINC000737628733 598438669 /nfs/dbraw/zinc/43/86/69/598438669.db2.gz PHHXHDYPYZUONY-UHFFFAOYSA-N 0 2 305.323 0.401 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccc(OCC(=O)[O-])cc2)CCO1 ZINC000736813119 599700630 /nfs/dbraw/zinc/70/06/30/599700630.db2.gz YJUDGMYVYNEVED-GFCCVEGCSA-N 0 2 322.361 0.601 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(OCC(=O)[O-])cc2)CCO1 ZINC000736813119 599700631 /nfs/dbraw/zinc/70/06/31/599700631.db2.gz YJUDGMYVYNEVED-GFCCVEGCSA-N 0 2 322.361 0.601 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1CCOc1ccc(Cl)cc1 ZINC000071247381 599982814 /nfs/dbraw/zinc/98/28/14/599982814.db2.gz VDQPBJOZYLIVPZ-GFCCVEGCSA-N 0 2 312.753 0.994 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1CCOc1ccc(Cl)cc1 ZINC000071247381 599982816 /nfs/dbraw/zinc/98/28/16/599982816.db2.gz VDQPBJOZYLIVPZ-GFCCVEGCSA-N 0 2 312.753 0.994 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NC2CCC(C(=O)[O-])CC2)CCO1 ZINC000736811436 600021771 /nfs/dbraw/zinc/02/17/71/600021771.db2.gz QUJRLUQRSXABHT-HIFPTAJRSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NC2CCC(C(=O)[O-])CC2)CCO1 ZINC000736811436 600021773 /nfs/dbraw/zinc/02/17/73/600021773.db2.gz QUJRLUQRSXABHT-HIFPTAJRSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)NCc2cn3c([nH+]2)CCCC3)CCO1 ZINC000738548980 600041014 /nfs/dbraw/zinc/04/10/14/600041014.db2.gz SZKWFHLFLCFJHF-NSHDSACASA-N 0 2 308.338 0.214 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@@H+](CCO)CCCO)nc2c1 ZINC000740190208 600148790 /nfs/dbraw/zinc/14/87/90/600148790.db2.gz MHXSGNKFYKGKCA-UHFFFAOYSA-N 0 2 321.333 0.210 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@H+](CCO)CCCO)nc2c1 ZINC000740190208 600148791 /nfs/dbraw/zinc/14/87/91/600148791.db2.gz MHXSGNKFYKGKCA-UHFFFAOYSA-N 0 2 321.333 0.210 20 0 DCADLN O=C([O-])CCCS(=O)(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000738585327 600402697 /nfs/dbraw/zinc/40/26/97/600402697.db2.gz SLNFSDPOYCECRY-UHFFFAOYSA-N 0 2 301.368 0.715 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)COC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000828714357 600680988 /nfs/dbraw/zinc/68/09/88/600680988.db2.gz ACVDQHLHALKQRF-GVXVVHGQSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)COC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000828714357 600680990 /nfs/dbraw/zinc/68/09/90/600680990.db2.gz ACVDQHLHALKQRF-GVXVVHGQSA-N 0 2 314.382 0.629 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+](C)[C@H](C)C(=O)[O-])C1 ZINC000737133736 600977725 /nfs/dbraw/zinc/97/77/25/600977725.db2.gz JBYGUEWSAYHSTP-MNOVXSKESA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])C1 ZINC000737133736 600977727 /nfs/dbraw/zinc/97/77/27/600977727.db2.gz JBYGUEWSAYHSTP-MNOVXSKESA-N 0 2 300.355 0.193 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)Cn1cccnc1=S ZINC000833248548 600985221 /nfs/dbraw/zinc/98/52/21/600985221.db2.gz RLNCYRXMYDVYTF-UHFFFAOYSA-N 0 2 312.395 0.289 20 0 DCADLN CN(CCC(=O)[O-])S(=O)(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000830734448 601257959 /nfs/dbraw/zinc/25/79/59/601257959.db2.gz FSPAMAUIOIJARW-UHFFFAOYSA-N 0 2 316.383 0.171 20 0 DCADLN O=C([O-])c1cn(CC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)nn1 ZINC000833339102 601281931 /nfs/dbraw/zinc/28/19/31/601281931.db2.gz ABABXVMRSMXWIE-SECBINFHSA-N 0 2 304.310 0.106 20 0 DCADLN C[C@H](OC(=O)[C@H](C)[N@H+](C)Cc1ccccc1)C(=O)NCC(=O)[O-] ZINC000827809415 601403225 /nfs/dbraw/zinc/40/32/25/601403225.db2.gz GVTPIPBSGFZUIX-RYUDHWBXSA-N 0 2 322.361 0.639 20 0 DCADLN C[C@H](OC(=O)[C@H](C)[N@@H+](C)Cc1ccccc1)C(=O)NCC(=O)[O-] ZINC000827809415 601403226 /nfs/dbraw/zinc/40/32/26/601403226.db2.gz GVTPIPBSGFZUIX-RYUDHWBXSA-N 0 2 322.361 0.639 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@@H+]([C@@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000833356975 601442506 /nfs/dbraw/zinc/44/25/06/601442506.db2.gz XYUCEKCYSLGRIT-RISCZKNCSA-N 0 2 300.330 0.265 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@H+]([C@@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000833356975 601442508 /nfs/dbraw/zinc/44/25/08/601442508.db2.gz XYUCEKCYSLGRIT-RISCZKNCSA-N 0 2 300.330 0.265 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000827461063 602068639 /nfs/dbraw/zinc/06/86/39/602068639.db2.gz LPMUUIVSBXWCTR-DOMZBBRYSA-N 0 2 312.414 0.129 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000736371301 602281079 /nfs/dbraw/zinc/28/10/79/602281079.db2.gz SSQSECLMHWINTQ-NSHDSACASA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000736371301 602281082 /nfs/dbraw/zinc/28/10/82/602281082.db2.gz SSQSECLMHWINTQ-NSHDSACASA-N 0 2 301.387 0.506 20 0 DCADLN C[C@H]1CN(c2nc[nH+]c(N3CCO[C@@H](C)C3)c2C(=O)[O-])CCO1 ZINC000828500241 602293082 /nfs/dbraw/zinc/29/30/82/602293082.db2.gz OMZKWUDEYLJKJO-QWRGUYRKSA-N 0 2 322.365 0.625 20 0 DCADLN CN(CCC(=O)[O-])S(=O)(=O)NCc1ccn2cc[nH+]c2c1 ZINC000830751748 602401636 /nfs/dbraw/zinc/40/16/36/602401636.db2.gz CVCMZLUYQKBBBP-UHFFFAOYSA-N 0 2 312.351 0.075 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)C[C@@H]1CCCN1C(=O)[O-] ZINC000825734130 602841128 /nfs/dbraw/zinc/84/11/28/602841128.db2.gz KLMAOWUJUYAKRU-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)C[C@@H]1CCCN1C(=O)[O-] ZINC000825734130 602841130 /nfs/dbraw/zinc/84/11/30/602841130.db2.gz KLMAOWUJUYAKRU-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CN(CCNc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1)C(=O)[O-] ZINC000828332360 603186574 /nfs/dbraw/zinc/18/65/74/603186574.db2.gz LYVCNBFGJUGXHI-LLVKDONJSA-N 0 2 309.370 0.707 20 0 DCADLN CN(CCNc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1)C(=O)[O-] ZINC000828332360 603186577 /nfs/dbraw/zinc/18/65/77/603186577.db2.gz LYVCNBFGJUGXHI-LLVKDONJSA-N 0 2 309.370 0.707 20 0 DCADLN C[C@H]1CN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)CCN1C(=O)[O-] ZINC000826075639 603192277 /nfs/dbraw/zinc/19/22/77/603192277.db2.gz ZUKFCTJAGHJQPK-RYUDHWBXSA-N 0 2 321.381 0.626 20 0 DCADLN C[C@H]1CN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)CCN1C(=O)[O-] ZINC000826075639 603192278 /nfs/dbraw/zinc/19/22/78/603192278.db2.gz ZUKFCTJAGHJQPK-RYUDHWBXSA-N 0 2 321.381 0.626 20 0 DCADLN CC(C)CCO[C@H](C)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824239099 603509018 /nfs/dbraw/zinc/50/90/18/603509018.db2.gz QBTZGCLIFAOUBM-OLZOCXBDSA-N 0 2 315.414 0.848 20 0 DCADLN CC(C)CCO[C@H](C)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824239099 603509021 /nfs/dbraw/zinc/50/90/21/603509021.db2.gz QBTZGCLIFAOUBM-OLZOCXBDSA-N 0 2 315.414 0.848 20 0 DCADLN COc1cccc(CC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000829549613 603511777 /nfs/dbraw/zinc/51/17/77/603511777.db2.gz GIUQBWGBCONURA-CYBMUJFWSA-N 0 2 321.377 0.648 20 0 DCADLN COc1cccc(CC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000829549613 603511781 /nfs/dbraw/zinc/51/17/81/603511781.db2.gz GIUQBWGBCONURA-CYBMUJFWSA-N 0 2 321.377 0.648 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cc2cccc(F)c2)[C@@H](CNC(=O)[O-])C1 ZINC000828501418 603516008 /nfs/dbraw/zinc/51/60/08/603516008.db2.gz VVSVPMZBWPNMOE-ZDUSSCGKSA-N 0 2 309.341 0.778 20 0 DCADLN C[N@H+]1CCN(C(=O)Cc2cccc(F)c2)[C@@H](CNC(=O)[O-])C1 ZINC000828501418 603516014 /nfs/dbraw/zinc/51/60/14/603516014.db2.gz VVSVPMZBWPNMOE-ZDUSSCGKSA-N 0 2 309.341 0.778 20 0 DCADLN COCc1nc(C)cc(N(C)CC[NH+]2CCN(C(=O)[O-])CC2)n1 ZINC000829044628 603517665 /nfs/dbraw/zinc/51/76/65/603517665.db2.gz LPRNSJWZBVTBRC-UHFFFAOYSA-N 0 2 323.397 0.663 20 0 DCADLN CCC[N@H+](Cc1nc(N)nc(N(C)C)n1)[C@H]1CCN(C(=O)[O-])C1 ZINC000826917001 603569145 /nfs/dbraw/zinc/56/91/45/603569145.db2.gz VSXZQEMZXRTVCO-JTQLQIEISA-N 0 2 323.401 0.484 20 0 DCADLN CCC[N@@H+](Cc1nc(N)nc(N(C)C)n1)[C@H]1CCN(C(=O)[O-])C1 ZINC000826917001 603569150 /nfs/dbraw/zinc/56/91/50/603569150.db2.gz VSXZQEMZXRTVCO-JTQLQIEISA-N 0 2 323.401 0.484 20 0 DCADLN O=C([O-])N1CCN2C(=O)N(C[NH+]3CCSCC3)C[C@@H]2C1 ZINC000832042535 603598879 /nfs/dbraw/zinc/59/88/79/603598879.db2.gz PDUXBKFTCUWEGX-JTQLQIEISA-N 0 2 300.384 0.093 20 0 DCADLN C[C@@H]1CN(C(=O)C(C)(C)CNC(=O)[O-])C[C@H]1[NH+]1CCOCC1 ZINC000825970629 603690581 /nfs/dbraw/zinc/69/05/81/603690581.db2.gz WKSXNRAJQLIDPK-VXGBXAGGSA-N 0 2 313.398 0.459 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+]([C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000827882948 603806513 /nfs/dbraw/zinc/80/65/13/603806513.db2.gz UYLGYDDGOHFSDV-ZYHUDNBSSA-N 0 2 307.354 0.210 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+]([C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000827882948 603806517 /nfs/dbraw/zinc/80/65/17/603806517.db2.gz UYLGYDDGOHFSDV-ZYHUDNBSSA-N 0 2 307.354 0.210 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)[C@@]2(C)CCN(C(=O)[O-])C2)CC1 ZINC000827399404 603936158 /nfs/dbraw/zinc/93/61/58/603936158.db2.gz GGBRMJFDURWKMP-HNNXBMFYSA-N 0 2 313.398 0.557 20 0 DCADLN O=C(COC1CN(C(=O)[O-])C1)NCCc1cn2c([nH+]1)CCCC2 ZINC000831533421 603975996 /nfs/dbraw/zinc/97/59/96/603975996.db2.gz LFTKKGUVUDZVGJ-UHFFFAOYSA-N 0 2 322.365 0.257 20 0 DCADLN O=C([O-])N1CC(OCC(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC000831533198 603988413 /nfs/dbraw/zinc/98/84/13/603988413.db2.gz FQKWNKSLRVKKAV-UHFFFAOYSA-N 0 2 319.361 0.497 20 0 DCADLN CC[C@@H](O)CCCNC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000826626379 604075475 /nfs/dbraw/zinc/07/54/75/604075475.db2.gz UJYNSYSFZFOTDP-GFCCVEGCSA-N 0 2 316.402 0.132 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CCC[C@H]2CNC(=O)[O-])CCO1 ZINC000826057665 604083180 /nfs/dbraw/zinc/08/31/80/604083180.db2.gz JGYAEXRTAVWNIC-RYUDHWBXSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CCC[C@H]2CNC(=O)[O-])CCO1 ZINC000826057665 604083184 /nfs/dbraw/zinc/08/31/84/604083184.db2.gz JGYAEXRTAVWNIC-RYUDHWBXSA-N 0 2 314.386 0.149 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)C[C@H]1CCCCO1 ZINC000828312925 604207306 /nfs/dbraw/zinc/20/73/06/604207306.db2.gz SILWIZUBHXUWSO-CYBMUJFWSA-N 0 2 313.398 0.700 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])O1 ZINC000825872520 604405667 /nfs/dbraw/zinc/40/56/67/604405667.db2.gz PCAFJRMYNCHTIT-AGIUHOORSA-N 0 2 313.398 0.744 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])O1 ZINC000825872520 604405669 /nfs/dbraw/zinc/40/56/69/604405669.db2.gz PCAFJRMYNCHTIT-AGIUHOORSA-N 0 2 313.398 0.744 20 0 DCADLN CC[N@H+](CC(=O)NCc1ccc(OC)cc1)C1CN(C(=O)[O-])C1 ZINC000827009128 604557808 /nfs/dbraw/zinc/55/78/08/604557808.db2.gz DTYGDXANWWOJHK-UHFFFAOYSA-N 0 2 321.377 0.996 20 0 DCADLN CC[N@@H+](CC(=O)NCc1ccc(OC)cc1)C1CN(C(=O)[O-])C1 ZINC000827009128 604557810 /nfs/dbraw/zinc/55/78/10/604557810.db2.gz DTYGDXANWWOJHK-UHFFFAOYSA-N 0 2 321.377 0.996 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833589707 604801759 /nfs/dbraw/zinc/80/17/59/604801759.db2.gz XCSMIBNKHJLGJI-RKDXNWHRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833589707 604801762 /nfs/dbraw/zinc/80/17/62/604801762.db2.gz XCSMIBNKHJLGJI-RKDXNWHRSA-N 0 2 302.352 0.691 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)nc1 ZINC000833309474 604935938 /nfs/dbraw/zinc/93/59/38/604935938.db2.gz HVEBNUVIHVCTKR-LBPRGKRZSA-N 0 2 305.334 0.327 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)NCCCNc2cccc[nH+]2)C1 ZINC000831675706 604939610 /nfs/dbraw/zinc/93/96/10/604939610.db2.gz HSYQIIONIYXTIE-LLVKDONJSA-N 0 2 308.338 0.379 20 0 DCADLN CN(C(=O)C[NH+]1CCC([C@@H](O)C(=O)[O-])CC1)c1nccs1 ZINC000833684505 604986865 /nfs/dbraw/zinc/98/68/65/604986865.db2.gz GKSIPAWOIAOEAU-LLVKDONJSA-N 0 2 313.379 0.263 20 0 DCADLN CC(C)C[C@@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000824230058 607731324 /nfs/dbraw/zinc/73/13/24/607731324.db2.gz YXAICJJWOFEDRX-MRVPVSSYSA-N 0 2 307.358 0.111 20 0 DCADLN CCC[C@@](C)(NC(=O)[O-])C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000826714347 605291318 /nfs/dbraw/zinc/29/13/18/605291318.db2.gz ZMMYMNVFERMCAJ-IUODEOHRSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@H](NC(=O)c1ccc(NC(=O)[O-])cc1O)[C@H]1C[N@H+](C)CCO1 ZINC000825464967 605296778 /nfs/dbraw/zinc/29/67/78/605296778.db2.gz HARYGKHNGKSMDB-NOZJJQNGSA-N 0 2 323.349 0.931 20 0 DCADLN C[C@@H](NC(=O)c1ccc(NC(=O)[O-])cc1O)[C@H]1C[N@@H+](C)CCO1 ZINC000825464967 605296781 /nfs/dbraw/zinc/29/67/81/605296781.db2.gz HARYGKHNGKSMDB-NOZJJQNGSA-N 0 2 323.349 0.931 20 0 DCADLN Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CC[C@H](NC(=O)[O-])C2)C1 ZINC000833959346 605318742 /nfs/dbraw/zinc/31/87/42/605318742.db2.gz SFEPCQSETPSDRA-QWRGUYRKSA-N 0 2 307.354 0.035 20 0 DCADLN COC[C@@](C)(NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C(=O)[O-] ZINC000833721872 605462321 /nfs/dbraw/zinc/46/23/21/605462321.db2.gz VANBPSLIOLRCOE-CQSZACIVSA-N 0 2 304.306 0.487 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NC(C)(C)CNC(=O)[O-])CCO1 ZINC000826059296 605596471 /nfs/dbraw/zinc/59/64/71/605596471.db2.gz SPZSBUJWCHVYIZ-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NC(C)(C)CNC(=O)[O-])CCO1 ZINC000826059296 605596473 /nfs/dbraw/zinc/59/64/73/605596473.db2.gz SPZSBUJWCHVYIZ-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN CC[C@](C)(CNC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000833842814 605692993 /nfs/dbraw/zinc/69/29/93/605692993.db2.gz ZIACNLCVMLBTLE-OAHLLOKOSA-N 0 2 315.414 0.897 20 0 DCADLN CC[C@](C)(CNC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000833842814 605692994 /nfs/dbraw/zinc/69/29/94/605692994.db2.gz ZIACNLCVMLBTLE-OAHLLOKOSA-N 0 2 315.414 0.897 20 0 DCADLN NC(=O)C[C@H](NC(=O)[O-])C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000833982841 605767519 /nfs/dbraw/zinc/76/75/19/605767519.db2.gz OHXVYMUGNPKWAZ-JTQLQIEISA-N 0 2 317.305 0.527 20 0 DCADLN O=C(CC1CN(C(=O)[O-])C1)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000833988834 605988037 /nfs/dbraw/zinc/98/80/37/605988037.db2.gz YXHKDMKBWWHQET-LBPRGKRZSA-N 0 2 304.350 0.776 20 0 DCADLN Cn1c[nH+]cc1[C@H]1CCCCN1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833959066 605994661 /nfs/dbraw/zinc/99/46/61/605994661.db2.gz NSSCYCZKVJCCNQ-VXGBXAGGSA-N 0 2 321.381 0.773 20 0 DCADLN O=C([O-])N[C@@H](CC(F)F)C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000834128164 606058961 /nfs/dbraw/zinc/05/89/61/606058961.db2.gz JEYQVMXMMPISHS-ZJUUUORDSA-N 0 2 321.324 0.211 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)[C@H]2CSCN2C(=O)[O-])C1 ZINC000833954626 606081857 /nfs/dbraw/zinc/08/18/57/606081857.db2.gz LRWCBYDCLNGPIE-NXEZZACHSA-N 0 2 310.379 0.789 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cccc(-c3nn[nH]n3)c2)no1 ZINC000825750023 609342943 /nfs/dbraw/zinc/34/29/43/609342943.db2.gz INDKYSLPYUWOCT-UHFFFAOYSA-N 0 2 321.322 0.613 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001027726719 660661344 /nfs/dbraw/zinc/66/13/44/660661344.db2.gz ZWAXTHAUYMMFMN-LLVKDONJSA-N 0 2 302.338 0.300 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001027726719 660661346 /nfs/dbraw/zinc/66/13/46/660661346.db2.gz ZWAXTHAUYMMFMN-LLVKDONJSA-N 0 2 302.338 0.300 20 0 DCADLN Cc1[nH]ccc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027816406 660779357 /nfs/dbraw/zinc/77/93/57/660779357.db2.gz BOJWPWQMFSJOOO-JTQLQIEISA-N 0 2 304.354 0.541 20 0 DCADLN Cc1[nH]ccc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027816406 660779359 /nfs/dbraw/zinc/77/93/59/660779359.db2.gz BOJWPWQMFSJOOO-JTQLQIEISA-N 0 2 304.354 0.541 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CC[C@@H]3C[N@H+](CCCO)C[C@@H]3C2)c1[O-] ZINC000979667112 660797954 /nfs/dbraw/zinc/79/79/54/660797954.db2.gz IIZBFUUOWBRHLV-FRRDWIJNSA-N 0 2 322.409 0.636 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CC[C@@H]3C[N@@H+](CCCO)C[C@@H]3C2)c1[O-] ZINC000979667112 660797956 /nfs/dbraw/zinc/79/79/56/660797956.db2.gz IIZBFUUOWBRHLV-FRRDWIJNSA-N 0 2 322.409 0.636 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCCO1 ZINC001027829931 660804635 /nfs/dbraw/zinc/80/46/35/660804635.db2.gz NKOSBLOPQCHJBX-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCCO1 ZINC001027829931 660804638 /nfs/dbraw/zinc/80/46/38/660804638.db2.gz NKOSBLOPQCHJBX-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN CCc1nnc([C@H](C)[N@@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)o1 ZINC001027991760 661023236 /nfs/dbraw/zinc/02/32/36/661023236.db2.gz JNKANXYILDNAKA-UWVGGRQHSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@H](C)[N@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)o1 ZINC001027991760 661023239 /nfs/dbraw/zinc/02/32/39/661023239.db2.gz JNKANXYILDNAKA-UWVGGRQHSA-N 0 2 319.369 0.706 20 0 DCADLN C[C@@](O)(CC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC000980996721 661060123 /nfs/dbraw/zinc/06/01/23/661060123.db2.gz LASUFLQSVPMBCM-OAHLLOKOSA-N 0 2 323.397 0.096 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1csc(=O)[nH]1 ZINC001028090391 661148672 /nfs/dbraw/zinc/14/86/72/661148672.db2.gz WAPLOIPREJVNMI-SSDOTTSWSA-N 0 2 324.366 0.067 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1csc(=O)[nH]1 ZINC001028090391 661148674 /nfs/dbraw/zinc/14/86/74/661148674.db2.gz WAPLOIPREJVNMI-SSDOTTSWSA-N 0 2 324.366 0.067 20 0 DCADLN O=C(Cc1ccccc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981466596 661155139 /nfs/dbraw/zinc/15/51/39/661155139.db2.gz NWAVQRPDVKOGNK-UHFFFAOYSA-N 0 2 315.377 0.787 20 0 DCADLN Cn1nc(C(=O)OCc2n[nH]c(=O)[nH]2)cc1Br ZINC000838299852 667684338 /nfs/dbraw/zinc/68/43/38/667684338.db2.gz SIEOWOPHYACKOK-UHFFFAOYSA-N 0 2 302.088 0.363 20 0 DCADLN C[C@@H]1C[C@H]1CC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981986569 661266945 /nfs/dbraw/zinc/26/69/45/661266945.db2.gz MFHMRNUAUIRKHZ-NEPJUHHUSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H]1C[C@H]1CC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981986569 661266946 /nfs/dbraw/zinc/26/69/46/661266946.db2.gz MFHMRNUAUIRKHZ-NEPJUHHUSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H](O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)CC1 ZINC000981993092 661268814 /nfs/dbraw/zinc/26/88/14/661268814.db2.gz YZEXXOYBSDHCSY-MGPQQGTHSA-N 0 2 306.410 0.439 20 0 DCADLN O=C([C@@H]1CC12CC2)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000982037649 661282162 /nfs/dbraw/zinc/28/21/62/661282162.db2.gz OIXHRIWZCZVFRJ-NSHDSACASA-N 0 2 317.393 0.735 20 0 DCADLN O=C([C@@H]1CC12CC2)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000982037649 661282164 /nfs/dbraw/zinc/28/21/64/661282164.db2.gz OIXHRIWZCZVFRJ-NSHDSACASA-N 0 2 317.393 0.735 20 0 DCADLN Cc1ccncc1C[N@H+]1CCC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001028211432 661279353 /nfs/dbraw/zinc/27/93/53/661279353.db2.gz QCKQFTYEWHORKO-CYBMUJFWSA-N 0 2 300.366 0.903 20 0 DCADLN COCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC000969171565 657526599 /nfs/dbraw/zinc/52/65/99/657526599.db2.gz AECVIUPGXDXGRT-KHQFGBGNSA-N 0 2 312.263 0.735 20 0 DCADLN COCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](F)C(F)(F)F ZINC000969171565 657526603 /nfs/dbraw/zinc/52/66/03/657526603.db2.gz AECVIUPGXDXGRT-KHQFGBGNSA-N 0 2 312.263 0.735 20 0 DCADLN C[C@H](NC(=O)[C@H]1CC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969291888 657664464 /nfs/dbraw/zinc/66/44/64/657664464.db2.gz NZYDWPXZLVQFQV-QWRGUYRKSA-N 0 2 305.382 0.803 20 0 DCADLN Cc1ncoc1C[NH2+][C@@H]1CN(C(=O)CCc2cnn[nH]2)C[C@H]1C ZINC000939157832 665504755 /nfs/dbraw/zinc/50/47/55/665504755.db2.gz FCOXDZWWCLALFA-ZWNOBZJWSA-N 0 2 318.381 0.670 20 0 DCADLN CNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCC[N@H+]2C(C)C)C1 ZINC000969646739 658051748 /nfs/dbraw/zinc/05/17/48/658051748.db2.gz BSWUFARMUXGGAS-OCCSQVGLSA-N 0 2 310.442 0.042 20 0 DCADLN Cc1cnoc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000998979075 665559268 /nfs/dbraw/zinc/55/92/68/665559268.db2.gz OWNUANIPLXFZKI-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cnoc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000998979075 665559269 /nfs/dbraw/zinc/55/92/69/665559269.db2.gz OWNUANIPLXFZKI-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN C[C@H](NC(=O)c1cnn[nH]1)C1CN(Cc2nnc(C3CC3)[nH]2)C1 ZINC000970022803 658369794 /nfs/dbraw/zinc/36/97/94/658369794.db2.gz CVIBIKCCWNCAJC-QMMMGPOBSA-N 0 2 316.369 0.051 20 0 DCADLN CCc1cncc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000949307306 658403146 /nfs/dbraw/zinc/40/31/46/658403146.db2.gz FQGCZBOPXDGAIY-UHFFFAOYSA-N 0 2 316.365 0.426 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N2CC[C@@H]([N@@H+](C)Cc3cnnn3C)C2)C1 ZINC000972335926 658582104 /nfs/dbraw/zinc/58/21/04/658582104.db2.gz SHINNFJBOVBEEB-ZIAGYGMSSA-N 0 2 320.441 0.190 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@]3(C2)C[N@H+](CC2CC2)CCO3)c1[O-] ZINC000972347523 658598515 /nfs/dbraw/zinc/59/85/15/658598515.db2.gz VXASALZDTGLKDN-MRXNPFEDSA-N 0 2 320.393 0.751 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@]3(C2)C[N@@H+](CC2CC2)CCO3)c1[O-] ZINC000972347523 658598519 /nfs/dbraw/zinc/59/85/19/658598519.db2.gz VXASALZDTGLKDN-MRXNPFEDSA-N 0 2 320.393 0.751 20 0 DCADLN Cn1nnc(CN[C@@H]2CCCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000999211796 665601770 /nfs/dbraw/zinc/60/17/70/665601770.db2.gz WPQYLQRMSYEIJB-VXNVDRBHSA-N 0 2 324.282 0.191 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2noc3c2CCCC3)C1)c1ncn[nH]1 ZINC001022868911 658708938 /nfs/dbraw/zinc/70/89/38/658708938.db2.gz BHZVNPJQDSYBOZ-AOOOYVTPSA-N 0 2 316.365 0.722 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2noc3c2CCCC3)C1)c1nc[nH]n1 ZINC001022868911 658708946 /nfs/dbraw/zinc/70/89/46/658708946.db2.gz BHZVNPJQDSYBOZ-AOOOYVTPSA-N 0 2 316.365 0.722 20 0 DCADLN C[C@@H](NC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1)c1nc[nH]n1 ZINC000176744959 658715963 /nfs/dbraw/zinc/71/59/63/658715963.db2.gz ZWRVFDHFQZMSRJ-ZCFIWIBFSA-N 0 2 316.346 0.821 20 0 DCADLN Cc1conc1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024746950 658784338 /nfs/dbraw/zinc/78/43/38/658784338.db2.gz JWKACFVUIGTXDR-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN Cc1cncn1CC(=O)N1CC[C@]2(C1)C[N@H+](CCCF)CCO2 ZINC000972465267 658820741 /nfs/dbraw/zinc/82/07/41/658820741.db2.gz HYXANROTEWRCFT-MRXNPFEDSA-N 0 2 324.400 0.854 20 0 DCADLN Cc1nc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC000970320030 658855364 /nfs/dbraw/zinc/85/53/64/658855364.db2.gz CVDGXBIVKMYPLC-ZETCQYMHSA-N 0 2 322.394 0.526 20 0 DCADLN O=C(N[C@H]1CC[C@H](C[NH2+]Cc2csnn2)C1)c1cn[nH]n1 ZINC000995002170 665631564 /nfs/dbraw/zinc/63/15/64/665631564.db2.gz BRWLGFAJNIQSPB-IUCAKERBSA-N 0 2 307.383 0.345 20 0 DCADLN O=C(N[C@@H]1CC[C@H](C[NH2+]Cc2csnn2)C1)c1cn[nH]n1 ZINC000995002168 665631681 /nfs/dbraw/zinc/63/16/81/665631681.db2.gz BRWLGFAJNIQSPB-DTWKUNHWSA-N 0 2 307.383 0.345 20 0 DCADLN Cc1ccc(F)cc1S(=O)(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC000035680388 659081847 /nfs/dbraw/zinc/08/18/47/659081847.db2.gz DYIUQGHQVFOVIO-UHFFFAOYSA-N 0 2 316.354 0.525 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1C=CC=CC=C1 ZINC001007432140 659098147 /nfs/dbraw/zinc/09/81/47/659098147.db2.gz YQZKKCPRVVWYAB-CYBMUJFWSA-N 0 2 315.377 0.889 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1ccn[nH]1 ZINC000976209975 659235359 /nfs/dbraw/zinc/23/53/59/659235359.db2.gz YUFMGBAKPQTWIX-CRYJXSNHSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)c1ccn[nH]1 ZINC000976209975 659235364 /nfs/dbraw/zinc/23/53/64/659235364.db2.gz YUFMGBAKPQTWIX-CRYJXSNHSA-N 0 2 320.246 0.497 20 0 DCADLN CO[C@@H]1CCC[C@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949935629 659284007 /nfs/dbraw/zinc/28/40/07/659284007.db2.gz TUCOPJABSNWVRN-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)c2cnco2)C1 ZINC000973474232 659309154 /nfs/dbraw/zinc/30/91/54/659309154.db2.gz VOJGLWNBOFVUAQ-MGCOHNPYSA-N 0 2 303.322 0.326 20 0 DCADLN C[C@H](C(=O)N[C@]1(C(=O)[O-])CCSC1)[NH+]1CCSCC1 ZINC000909358611 659353739 /nfs/dbraw/zinc/35/37/39/659353739.db2.gz SIRCLDJJDVSMAO-BXKDBHETSA-N 0 2 304.437 0.500 20 0 DCADLN C[C@@H](NC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1)C(=O)[O-] ZINC000311721276 659360807 /nfs/dbraw/zinc/36/08/07/659360807.db2.gz YQCMXLYFSOFJMG-TZMCWYRMSA-N 0 2 321.377 0.660 20 0 DCADLN C[C@@H](NC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1)C(=O)[O-] ZINC000311721276 659360814 /nfs/dbraw/zinc/36/08/14/659360814.db2.gz YQCMXLYFSOFJMG-TZMCWYRMSA-N 0 2 321.377 0.660 20 0 DCADLN CC[C@H](C(=O)N[C@](C)(COC)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000909365649 659468705 /nfs/dbraw/zinc/46/87/05/659468705.db2.gz SPBPLRPDVQTXJE-LALPHHSUSA-N 0 2 316.398 0.482 20 0 DCADLN CC[C@H](C(=O)N[C@](C)(COC)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000909365649 659468710 /nfs/dbraw/zinc/46/87/10/659468710.db2.gz SPBPLRPDVQTXJE-LALPHHSUSA-N 0 2 316.398 0.482 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)n1C ZINC001006730133 659515696 /nfs/dbraw/zinc/51/56/96/659515696.db2.gz WPRSDMFAHAAQIE-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccoc1 ZINC000974496712 659529708 /nfs/dbraw/zinc/52/97/08/659529708.db2.gz XLERBKGAQZFUKC-LLVKDONJSA-N 0 2 319.365 0.673 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccoc1 ZINC000974496712 659529709 /nfs/dbraw/zinc/52/97/09/659529709.db2.gz XLERBKGAQZFUKC-LLVKDONJSA-N 0 2 319.365 0.673 20 0 DCADLN O=C(CCc1c[nH]nn1)N1CC[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001027074328 659786684 /nfs/dbraw/zinc/78/66/84/659786684.db2.gz XJRYSFCYLZCOQM-JTQLQIEISA-N 0 2 321.410 0.227 20 0 DCADLN O=C(CCc1cnn[nH]1)N1CC[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001027074328 659786685 /nfs/dbraw/zinc/78/66/85/659786685.db2.gz XJRYSFCYLZCOQM-JTQLQIEISA-N 0 2 321.410 0.227 20 0 DCADLN Cc1nc(C)c(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC000999961684 665719277 /nfs/dbraw/zinc/71/92/77/665719277.db2.gz DHCIVLRACNDBGW-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN Cc1nc(C)c(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)[nH]1 ZINC000999961684 665719278 /nfs/dbraw/zinc/71/92/78/665719278.db2.gz DHCIVLRACNDBGW-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@H+](Cc3cnon3)C2)c1[O-] ZINC001028485716 661555189 /nfs/dbraw/zinc/55/51/89/661555189.db2.gz UWPRUEZTCCOLSU-SECBINFHSA-N 0 2 306.326 0.059 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@@H+](Cc3cnon3)C2)c1[O-] ZINC001028485716 661555191 /nfs/dbraw/zinc/55/51/91/661555191.db2.gz UWPRUEZTCCOLSU-SECBINFHSA-N 0 2 306.326 0.059 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cnnn2C)cs1 ZINC000788205039 661659064 /nfs/dbraw/zinc/65/90/64/661659064.db2.gz HBADLDMPJRDMME-UHFFFAOYSA-N 0 2 302.337 0.464 20 0 DCADLN C[N@@H+]1C[C@H](O)C[C@@H]1CNC(=O)CSc1n[n-]c(=S)s1 ZINC000924987142 661838589 /nfs/dbraw/zinc/83/85/89/661838589.db2.gz LBWQVQYDKPSMQK-RNFRBKRXSA-N 0 2 320.465 0.100 20 0 DCADLN C[N@H+]1C[C@H](O)C[C@@H]1CNC(=O)CSc1n[n-]c(=S)s1 ZINC000924987142 661838590 /nfs/dbraw/zinc/83/85/90/661838590.db2.gz LBWQVQYDKPSMQK-RNFRBKRXSA-N 0 2 320.465 0.100 20 0 DCADLN CCc1nc(CN[C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)no1 ZINC001000321479 665818994 /nfs/dbraw/zinc/81/89/94/665818994.db2.gz SIQBBLXDNKITKS-NSHDSACASA-N 0 2 318.381 0.678 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccco1 ZINC001000378870 665832031 /nfs/dbraw/zinc/83/20/31/665832031.db2.gz PTKDJMFOEQELSZ-UHFFFAOYSA-N 0 2 303.322 0.665 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccco1 ZINC001000378870 665832033 /nfs/dbraw/zinc/83/20/33/665832033.db2.gz PTKDJMFOEQELSZ-UHFFFAOYSA-N 0 2 303.322 0.665 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000262190346 662155516 /nfs/dbraw/zinc/15/55/16/662155516.db2.gz YIBVTZKBCOEJRV-GFCCVEGCSA-N 0 2 308.382 0.986 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000262190346 662155517 /nfs/dbraw/zinc/15/55/17/662155517.db2.gz YIBVTZKBCOEJRV-GFCCVEGCSA-N 0 2 308.382 0.986 20 0 DCADLN CC1(C)CCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031907252 665838656 /nfs/dbraw/zinc/83/86/56/665838656.db2.gz DFDQBIURIADBMR-LLVKDONJSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@H]1C[C@H]1CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029456513 662217487 /nfs/dbraw/zinc/21/74/87/662217487.db2.gz VACBKOIYVBVFMN-FIQHERPVSA-N 0 2 305.382 0.732 20 0 DCADLN Cc1ccc(NC(=O)c2cnc([O-])n(C)c2=O)c(N(C)C)[nH+]1 ZINC000750531240 662253387 /nfs/dbraw/zinc/25/33/87/662253387.db2.gz RTXIZUGIZIVUPO-UHFFFAOYSA-N 0 2 303.322 0.508 20 0 DCADLN Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)n1 ZINC001029508349 662347961 /nfs/dbraw/zinc/34/79/61/662347961.db2.gz YPBKFOTVCCFPCX-AOOOYVTPSA-N 0 2 317.353 0.031 20 0 DCADLN Cc1c[nH]cc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029582942 662418892 /nfs/dbraw/zinc/41/88/92/662418892.db2.gz KZBXAJZZXOIZDG-PHIMTYICSA-N 0 2 316.365 0.636 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC([N@H+](C)Cc3cnon3)CC2)c1[O-] ZINC000985386001 662424983 /nfs/dbraw/zinc/42/49/83/662424983.db2.gz RIWLTKFZSVWAKH-UHFFFAOYSA-N 0 2 320.353 0.543 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC([N@@H+](C)Cc3cnon3)CC2)c1[O-] ZINC000985386001 662424985 /nfs/dbraw/zinc/42/49/85/662424985.db2.gz RIWLTKFZSVWAKH-UHFFFAOYSA-N 0 2 320.353 0.543 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CCN(C(=O)CCc3nc[nH]n3)[C@@H]2C)on1 ZINC000986119168 662557784 /nfs/dbraw/zinc/55/77/84/662557784.db2.gz WROAIVGMVRHTHB-DGCLKSJQSA-N 0 2 318.381 0.813 20 0 DCADLN C[C@H]1[C@H]([NH2+]Cc2cncn2C)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC000986215899 662571035 /nfs/dbraw/zinc/57/10/35/662571035.db2.gz UUIAONCDXAXJQD-GXTWGEPZSA-N 0 2 316.409 0.855 20 0 DCADLN O=C([O-])[C@@H](CC(F)(F)F)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000909667060 662630761 /nfs/dbraw/zinc/63/07/61/662630761.db2.gz PYOTVCPNWNHWSY-JGVFFNPUSA-N 0 2 305.256 0.967 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC000866953253 662662222 /nfs/dbraw/zinc/66/22/22/662662222.db2.gz IUAMBBWJLXSBBM-TUFKUPSJSA-N 0 2 309.457 0.421 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[N@H+](C2CC2)C[C@H]1C ZINC000866953253 662662223 /nfs/dbraw/zinc/66/22/23/662662223.db2.gz IUAMBBWJLXSBBM-TUFKUPSJSA-N 0 2 309.457 0.421 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCCO1 ZINC001000581714 665887717 /nfs/dbraw/zinc/88/77/17/665887717.db2.gz HHQTVXAAJBCWJC-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCCO1 ZINC001000581714 665887719 /nfs/dbraw/zinc/88/77/19/665887719.db2.gz HHQTVXAAJBCWJC-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN CO[C@@]1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCSC1 ZINC000897677502 662920165 /nfs/dbraw/zinc/92/01/65/662920165.db2.gz QGGZVTNKAMSNQL-RNCFNFMXSA-N 0 2 312.395 0.738 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCN(c2nc[nH]n2)CC1 ZINC000898323906 662946917 /nfs/dbraw/zinc/94/69/17/662946917.db2.gz CVLPCEVTRJDEMY-UHFFFAOYSA-N 0 2 309.276 0.751 20 0 DCADLN O=C(N[C@]12CCC[C@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cocn1 ZINC000990044656 662998424 /nfs/dbraw/zinc/99/84/24/662998424.db2.gz YHMSHINMZAXJEK-YGRLFVJLSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cocn1 ZINC000990044656 662998425 /nfs/dbraw/zinc/99/84/25/662998425.db2.gz YHMSHINMZAXJEK-YGRLFVJLSA-N 0 2 318.337 0.425 20 0 DCADLN COCc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038485200 663077283 /nfs/dbraw/zinc/07/72/83/663077283.db2.gz MGPYBNXQPITKCV-VIFPVBQESA-N 0 2 321.337 0.254 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cccc3c2OCCO3)C(=O)N1C ZINC000899033707 663119716 /nfs/dbraw/zinc/11/97/16/663119716.db2.gz YVDSEMCNFWSMFE-MRVPVSSYSA-N 0 2 305.290 0.385 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2coc3c2C(=O)CCC3)C(=O)N1C ZINC000899038956 663120222 /nfs/dbraw/zinc/12/02/22/663120222.db2.gz SKDGLWRCUUPQDP-SSDOTTSWSA-N 0 2 305.290 0.726 20 0 DCADLN Cn1cc(C[NH+]2CCN(c3cccc(C(=O)[O-])n3)CC2)cn1 ZINC000900986932 663299450 /nfs/dbraw/zinc/29/94/50/663299450.db2.gz ACEXQEWJITYVFL-UHFFFAOYSA-N 0 2 301.350 0.836 20 0 DCADLN CONC(=O)CN[C@@H](C(=O)OC)c1cc(OC)cc(OC)c1 ZINC000901606092 663355199 /nfs/dbraw/zinc/35/51/99/663355199.db2.gz LFSJJHAJTYOPBT-CYBMUJFWSA-N 0 2 312.322 0.185 20 0 DCADLN COCCn1c(=O)cc(O)nc1SCc1cnns1 ZINC000902145913 663389088 /nfs/dbraw/zinc/38/90/88/663389088.db2.gz BCRVEMUZXCSPPO-UHFFFAOYSA-N 0 2 300.365 0.739 20 0 DCADLN CCc1noc(C(=O)N2CCN(Cc3ccccn3)CC2)n1 ZINC000902612739 663422433 /nfs/dbraw/zinc/42/24/33/663422433.db2.gz MOJOTBBCPNRPMD-UHFFFAOYSA-N 0 2 301.350 0.985 20 0 DCADLN COCc1ccnc(NS(=O)(=O)c2c(C)nn(C)c2C)n1 ZINC000903674492 663473725 /nfs/dbraw/zinc/47/37/25/663473725.db2.gz GDBMEZYKZNYBLC-UHFFFAOYSA-N 0 2 311.367 0.774 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@]12C[C@H]1CCC2 ZINC001000984164 665975980 /nfs/dbraw/zinc/97/59/80/665975980.db2.gz HQQCQPRAUXHHFL-MLGOLLRUSA-N 0 2 317.393 0.949 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@]12C[C@H]1CCC2 ZINC001000984164 665975981 /nfs/dbraw/zinc/97/59/81/665975981.db2.gz HQQCQPRAUXHHFL-MLGOLLRUSA-N 0 2 317.393 0.949 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@@H]1Cc2ccccc2[C@H]1O ZINC000908420606 663755839 /nfs/dbraw/zinc/75/58/39/663755839.db2.gz KVWBUCMDVFCJRS-CLUVUEOHSA-N 0 2 318.420 0.597 20 0 DCADLN O=C([O-])CN(C(=O)NCCCn1cc[nH+]c1)C1CCOCC1 ZINC000908738557 663767504 /nfs/dbraw/zinc/76/75/04/663767504.db2.gz CPZIZJOTQSCIKS-UHFFFAOYSA-N 0 2 310.354 0.548 20 0 DCADLN CO[C@H]1CN(C(=O)NCCCn2cc[nH+]c2)[C@](C)(C(=O)[O-])C1 ZINC000908738452 663767548 /nfs/dbraw/zinc/76/75/48/663767548.db2.gz YTXGVHUIUVULOE-RISCZKNCSA-N 0 2 310.354 0.547 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000908982031 663776987 /nfs/dbraw/zinc/77/69/87/663776987.db2.gz CEEMAMZCLOVADI-CHWSQXEVSA-N 0 2 321.377 0.928 20 0 DCADLN O=C([O-])C[C@H]1CSCCN1C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000909008440 663777432 /nfs/dbraw/zinc/77/74/32/663777432.db2.gz AMDJFUDHYWGFKM-PWSUYJOCSA-N 0 2 309.391 0.864 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000908988620 663777832 /nfs/dbraw/zinc/77/78/32/663777832.db2.gz KKEJJXFFCJQDHI-TZMCWYRMSA-N 0 2 321.377 0.928 20 0 DCADLN COc1cccc(C[C@@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])c1 ZINC000910211650 663857714 /nfs/dbraw/zinc/85/77/14/663857714.db2.gz LYUMLHIOBBDMCQ-CQSZACIVSA-N 0 2 317.345 0.783 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)[C@](C)(C(=O)[O-])C1 ZINC000910267871 663862499 /nfs/dbraw/zinc/86/24/99/663862499.db2.gz YLGYDGSHHLEOQG-ZETOZRRWSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])[C@]1(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)CCCOC1 ZINC000910307136 663866812 /nfs/dbraw/zinc/86/68/12/663866812.db2.gz MAIDWXBNOFYIFM-NHYWBVRUSA-N 0 2 307.350 0.443 20 0 DCADLN O=C([O-])[C@@]1(CNC(=O)c2cccc3[nH+]ccn32)CCCOC1 ZINC000910310412 663867358 /nfs/dbraw/zinc/86/73/58/663867358.db2.gz XPVFKHDJPCEAOP-OAHLLOKOSA-N 0 2 303.318 0.946 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N(C)[C@H]3C[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910474881 663889511 /nfs/dbraw/zinc/88/95/11/663889511.db2.gz FRKQJAXHYZURNP-LPWJVIDDSA-N 0 2 320.393 0.983 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N(C)[C@H]3C[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910474881 663889512 /nfs/dbraw/zinc/88/95/12/663889512.db2.gz FRKQJAXHYZURNP-LPWJVIDDSA-N 0 2 320.393 0.983 20 0 DCADLN O=C([O-])[C@@]1(O)CCN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC000910520631 663894947 /nfs/dbraw/zinc/89/49/47/663894947.db2.gz JLTLJLYVTNHFDC-MRXNPFEDSA-N 0 2 315.329 0.593 20 0 DCADLN C[C@@H]1CCN(C(=O)Cn2ccc(C(=O)[O-])n2)C[C@H]1n1cc[nH+]c1 ZINC000910687993 663923573 /nfs/dbraw/zinc/92/35/73/663923573.db2.gz QIYMDEWEYMTNLI-DGCLKSJQSA-N 0 2 317.349 0.888 20 0 DCADLN C[C@H]1C[C@@H](C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CO1 ZINC000911170749 664000756 /nfs/dbraw/zinc/00/07/56/664000756.db2.gz ZJRLVFFUNMRJFJ-WCQYABFASA-N 0 2 319.361 0.853 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)CCCC1 ZINC000911534024 664070759 /nfs/dbraw/zinc/07/07/59/664070759.db2.gz JDDLLYMPATXLMF-ZDUSSCGKSA-N 0 2 310.394 0.955 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C(=O)[O-])nc1 ZINC000911565845 664082996 /nfs/dbraw/zinc/08/29/96/664082996.db2.gz OLNRYNOSHFWBBE-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C(=O)[O-])nc1 ZINC000911565845 664082999 /nfs/dbraw/zinc/08/29/99/664082999.db2.gz OLNRYNOSHFWBBE-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CC[C@H](c3[nH+]ccn3C)C2)O[C@H]1C(=O)[O-] ZINC000911612597 664089336 /nfs/dbraw/zinc/08/93/36/664089336.db2.gz OXNIWANFOSRNOU-WRWGMCAJSA-N 0 2 307.350 0.614 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N[C@@H]2CCn3c[nH+]cc3C2)O1 ZINC000911690852 664100662 /nfs/dbraw/zinc/10/06/62/664100662.db2.gz HTEZPNOPSMJEHU-KGYLQXTDSA-N 0 2 307.350 0.727 20 0 DCADLN O=C(CC1(C(=O)[O-])CCOCC1)N[C@@H]1CCc2c[nH+]cn2C1 ZINC000911773716 664107166 /nfs/dbraw/zinc/10/71/66/664107166.db2.gz PITZMPFNCKAYLO-LLVKDONJSA-N 0 2 307.350 0.586 20 0 DCADLN O=C(CC[C@@H]1C[C@H](C(=O)[O-])CO1)N[C@H]1CCc2c[nH+]cn2C1 ZINC000911774644 664107209 /nfs/dbraw/zinc/10/72/09/664107209.db2.gz XEDRVQHBJTYMIX-GMXVVIOVSA-N 0 2 307.350 0.584 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)Nc1noc(C(C)C)n1 ZINC000912559174 664188414 /nfs/dbraw/zinc/18/84/14/664188414.db2.gz ZLHLZMFHJOLTSA-UHFFFAOYSA-N 0 2 315.311 0.504 20 0 DCADLN Cc1ncc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c(C(C)C)n1 ZINC000912822730 664233340 /nfs/dbraw/zinc/23/33/40/664233340.db2.gz ACDLGOMZAPIFEB-MRVPVSSYSA-N 0 2 305.338 0.836 20 0 DCADLN O=C(NCC12COCCN1CCOC2)C(F)C(F)(F)F ZINC000913299739 664297242 /nfs/dbraw/zinc/29/72/42/664297242.db2.gz RVWOWGTWKCDCJR-MRVPVSSYSA-N 0 2 300.252 0.104 20 0 DCADLN O=C(NCC12COCCN1CCOC2)[C@@H](F)C(F)(F)F ZINC000913299739 664297243 /nfs/dbraw/zinc/29/72/43/664297243.db2.gz RVWOWGTWKCDCJR-MRVPVSSYSA-N 0 2 300.252 0.104 20 0 DCADLN Cc1cc(Br)oc1C(=O)NN1CC(=O)NC1=O ZINC000044946988 664375813 /nfs/dbraw/zinc/37/58/13/664375813.db2.gz RMXKMQTZLUIBNV-UHFFFAOYSA-N 0 2 302.084 0.547 20 0 DCADLN CC(C)(C)c1nc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001030302834 664507060 /nfs/dbraw/zinc/50/70/60/664507060.db2.gz IBIQVVPEXIMHHI-UHFFFAOYSA-N 0 2 320.353 0.410 20 0 DCADLN C[C@@H]1CCCC[C@H]1OCCN1CC(NC(=O)c2cnn[nH]2)C1 ZINC001030600208 664599777 /nfs/dbraw/zinc/59/97/77/664599777.db2.gz SWGRAQGGSIHEBA-BXUZGUMPSA-N 0 2 307.398 0.814 20 0 DCADLN C[C@@H]1CCCC[C@H]1OCC[NH+]1CC(NC(=O)c2cnn[n-]2)C1 ZINC001030600208 664599778 /nfs/dbraw/zinc/59/97/78/664599778.db2.gz SWGRAQGGSIHEBA-BXUZGUMPSA-N 0 2 307.398 0.814 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1c[nH]c2ccccc12 ZINC001030624369 664609961 /nfs/dbraw/zinc/60/99/61/664609961.db2.gz YCRXJJLGUVADHW-UHFFFAOYSA-N 0 2 312.333 0.606 20 0 DCADLN Cc1ccc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001030630733 664612166 /nfs/dbraw/zinc/61/21/66/664612166.db2.gz YMSBNXULNZEJRK-UHFFFAOYSA-N 0 2 301.350 0.362 20 0 DCADLN O=C(C[C@H]1CC[C@@H](C2CC2)O1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030713900 664644773 /nfs/dbraw/zinc/64/47/73/664644773.db2.gz PQSGLLWDKPRLMU-NEPJUHHUSA-N 0 2 321.381 0.158 20 0 DCADLN CO[C@@H]1CCC[C@@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030869689 664691395 /nfs/dbraw/zinc/69/13/95/664691395.db2.gz UOKZNNWAPMTZMA-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN CCc1c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001030957343 664735076 /nfs/dbraw/zinc/73/50/76/664735076.db2.gz CBMUXWNDZIOFTH-UHFFFAOYSA-N 0 2 304.354 0.025 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2c(c1)CCO2 ZINC001030996585 664751707 /nfs/dbraw/zinc/75/17/07/664751707.db2.gz BSJZQONZABJVCE-UHFFFAOYSA-N 0 2 315.333 0.059 20 0 DCADLN CN(C[C@@H]1CCCCO1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730535224 664790074 /nfs/dbraw/zinc/79/00/74/664790074.db2.gz IFURHYZKVDTWHL-JTQLQIEISA-N 0 2 305.338 0.099 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCc2ccc(F)cc21 ZINC000730539217 664791265 /nfs/dbraw/zinc/79/12/65/664791265.db2.gz MPXOIYLDWMRNDY-UHFFFAOYSA-N 0 2 313.292 0.800 20 0 DCADLN CCN(CCC(F)(F)F)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730541837 664791496 /nfs/dbraw/zinc/79/14/96/664791496.db2.gz YPTYOQNNPSGQIR-UHFFFAOYSA-N 0 2 317.271 0.872 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccc[nH]2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993036222 664794402 /nfs/dbraw/zinc/79/44/02/664794402.db2.gz VYROILLSMIYMCU-VHSXEESVSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccc[nH]2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993036222 664794403 /nfs/dbraw/zinc/79/44/03/664794403.db2.gz VYROILLSMIYMCU-VHSXEESVSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cccn2C)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993041089 664794953 /nfs/dbraw/zinc/79/49/53/664794953.db2.gz SQNSBHRSVCNSRB-GHMZBOCLSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cccn2C)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993041089 664794954 /nfs/dbraw/zinc/79/49/54/664794954.db2.gz SQNSBHRSVCNSRB-GHMZBOCLSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cccn2C)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993041095 664794962 /nfs/dbraw/zinc/79/49/62/664794962.db2.gz SQNSBHRSVCNSRB-QWRGUYRKSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cccn2C)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993041095 664794963 /nfs/dbraw/zinc/79/49/63/664794963.db2.gz SQNSBHRSVCNSRB-QWRGUYRKSA-N 0 2 318.381 0.632 20 0 DCADLN CCCCc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]1 ZINC000730587734 664795301 /nfs/dbraw/zinc/79/53/01/664795301.db2.gz AMGYEJISGGHDCB-UHFFFAOYSA-N 0 2 315.337 0.776 20 0 DCADLN C[C@H]1C[C@@H]1c1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]1 ZINC000730587806 664795355 /nfs/dbraw/zinc/79/53/55/664795355.db2.gz DXFMBZZLAIEDJP-YUMQZZPRSA-N 0 2 313.321 0.556 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cocn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993100812 664808236 /nfs/dbraw/zinc/80/82/36/664808236.db2.gz DYJBFKUOOKPOIN-BDAKNGLRSA-N 0 2 306.326 0.281 20 0 DCADLN CCC[C@H]1C[C@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031159507 664812393 /nfs/dbraw/zinc/81/23/93/664812393.db2.gz WOWWPPKFXIWQJD-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NCc1ccc(-n2cc[nH+]c2)c(F)c1)c1cc(=O)[nH][n-]1 ZINC000731872098 664888249 /nfs/dbraw/zinc/88/82/49/664888249.db2.gz YLBOAVVSXQBWJF-UHFFFAOYSA-N 0 2 301.281 0.504 20 0 DCADLN CC(C)(C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001031293318 664968809 /nfs/dbraw/zinc/96/88/09/664968809.db2.gz VYBLPYAVGXJKES-UHFFFAOYSA-N 0 2 303.366 0.369 20 0 DCADLN CC1(C)CC[N@H+](CC(=O)N[C@H]2CC[NH+]([C@@H]3CCCNC3=O)C2)C1 ZINC001015705489 665052214 /nfs/dbraw/zinc/05/22/14/665052214.db2.gz MGHCKOMKDJKKDF-UONOGXRCSA-N 0 2 322.453 0.187 20 0 DCADLN CO[C@@H]1CCCC[C@@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031467221 665162346 /nfs/dbraw/zinc/16/23/46/665162346.db2.gz ZSKHJTGBGJNSKF-WDEREUQCSA-N 0 2 309.370 0.016 20 0 DCADLN CC(C)(C)C1CC(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031468225 665163062 /nfs/dbraw/zinc/16/30/62/665163062.db2.gz MMNVQWXXWNFQBV-UHFFFAOYSA-N 0 2 307.398 0.883 20 0 DCADLN C[S@](=O)c1ccc(C(=O)NNC(=O)c2ccccn2)cc1 ZINC000029505285 665309474 /nfs/dbraw/zinc/30/94/74/665309474.db2.gz ZIXJRJOZKVZTFA-OAQYLSRUSA-N 0 2 303.343 0.894 20 0 DCADLN C[S@](=O)CCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000084389821 665335164 /nfs/dbraw/zinc/33/51/64/665335164.db2.gz MIMLTKVLIBZQEC-OAQYLSRUSA-N 0 2 311.338 0.825 20 0 DCADLN O=C(C1CC2(CCC2)C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032575504 665366164 /nfs/dbraw/zinc/36/61/64/665366164.db2.gz JVFLTKGJNHVKHH-RYUDHWBXSA-N 0 2 317.393 0.876 20 0 DCADLN Cc1nocc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001111790 665996981 /nfs/dbraw/zinc/99/69/81/665996981.db2.gz ZGHAHZWAIQQDTF-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN Cc1nocc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001111790 665996982 /nfs/dbraw/zinc/99/69/82/665996982.db2.gz ZGHAHZWAIQQDTF-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN O=C(NCC1CC[NH+](Cc2cncc(F)c2)CC1)c1ncn[nH]1 ZINC001001952470 666114685 /nfs/dbraw/zinc/11/46/85/666114685.db2.gz DWZBWQAPCDTBPA-UHFFFAOYSA-N 0 2 318.356 0.981 20 0 DCADLN O=C(NCC1CC[NH+](Cc2cncc(F)c2)CC1)c1nc[nH]n1 ZINC001001952470 666114686 /nfs/dbraw/zinc/11/46/86/666114686.db2.gz DWZBWQAPCDTBPA-UHFFFAOYSA-N 0 2 318.356 0.981 20 0 DCADLN O=C(Cc1ncc[nH]1)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000942280500 666146567 /nfs/dbraw/zinc/14/65/67/666146567.db2.gz SOSUKYCHXGINLI-XCBNKYQSSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1noc(C[N@@H+]2CC[C@@H](NC(=O)c3n[nH]cc3F)[C@@H](C)C2)n1 ZINC000942600732 666198023 /nfs/dbraw/zinc/19/80/23/666198023.db2.gz UMXFKSDQKNQQHQ-GZMMTYOYSA-N 0 2 322.344 0.881 20 0 DCADLN Cc1cc[nH]c1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002721088 666209697 /nfs/dbraw/zinc/20/96/97/666209697.db2.gz WTHNDKQPTVWNJX-UHFFFAOYSA-N 0 2 304.354 0.541 20 0 DCADLN O=C(NCC1C[NH+](C[C@H](O)c2ccc(F)cc2)C1)c1ncn[nH]1 ZINC001032060676 666243008 /nfs/dbraw/zinc/24/30/08/666243008.db2.gz FQWFVYCWKXHQJB-ZDUSSCGKSA-N 0 2 319.340 0.339 20 0 DCADLN CC(C)n1cnc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038554012 666327796 /nfs/dbraw/zinc/32/77/96/666327796.db2.gz DHKDLURRJOVHTC-SNVBAGLBSA-N 0 2 319.369 0.292 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC001003822387 666369535 /nfs/dbraw/zinc/36/95/35/666369535.db2.gz QNLKIGMTJNLBRW-MRVPVSSYSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ncccn1 ZINC001003822387 666369538 /nfs/dbraw/zinc/36/95/38/666369538.db2.gz QNLKIGMTJNLBRW-MRVPVSSYSA-N 0 2 320.246 0.565 20 0 DCADLN Cc1ccc(C)c(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001032151563 666373530 /nfs/dbraw/zinc/37/35/30/666373530.db2.gz MWWDYVPZONLHTK-UHFFFAOYSA-N 0 2 315.377 0.989 20 0 DCADLN Cc1occc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032897283 666378658 /nfs/dbraw/zinc/37/86/58/666378658.db2.gz SOZBRRLMNSVKMQ-JTQLQIEISA-N 0 2 305.338 0.758 20 0 DCADLN C[C@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003845251 666381788 /nfs/dbraw/zinc/38/17/88/666381788.db2.gz IQVJUZASNMAEGK-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN CC(C)n1cccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032189706 666399756 /nfs/dbraw/zinc/39/97/56/666399756.db2.gz IKZMWPYJAPOAKN-UHFFFAOYSA-N 0 2 318.381 0.755 20 0 DCADLN CN(C(=O)c1cscn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032955240 666404449 /nfs/dbraw/zinc/40/44/49/666404449.db2.gz VRSDNFYIWQAEPP-MRVPVSSYSA-N 0 2 308.367 0.313 20 0 DCADLN CN(C(=O)C[C@H]1CCCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032993638 666420062 /nfs/dbraw/zinc/42/00/62/666420062.db2.gz QVZLPJWLMZEAPF-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)C[C@H]1CCCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032993638 666420063 /nfs/dbraw/zinc/42/00/63/666420063.db2.gz QVZLPJWLMZEAPF-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN CCC(=O)N1CC(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC001004590473 666567177 /nfs/dbraw/zinc/56/71/77/666567177.db2.gz HFBPIJRFDBIHMU-UHFFFAOYSA-N 0 2 316.365 0.408 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033160192 666583010 /nfs/dbraw/zinc/58/30/10/666583010.db2.gz FZYVTLTYIARZDY-MDZLAQPJSA-N 0 2 323.397 0.358 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033191617 666612216 /nfs/dbraw/zinc/61/22/16/666612216.db2.gz YEHFIRFJNKUHLA-IUCAKERBSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033191617 666612217 /nfs/dbraw/zinc/61/22/17/666612217.db2.gz YEHFIRFJNKUHLA-IUCAKERBSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033191617 666612218 /nfs/dbraw/zinc/61/22/18/666612218.db2.gz YEHFIRFJNKUHLA-IUCAKERBSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)[C@H]1CCC1(C)C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033348200 666686400 /nfs/dbraw/zinc/68/64/00/666686400.db2.gz DLRGCKIZJDJHQH-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)[C@H]1CCC1(C)C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033348200 666686402 /nfs/dbraw/zinc/68/64/02/666686402.db2.gz DLRGCKIZJDJHQH-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN CNC(=O)C[NH+]1CC[C@@H](N(C)C(=O)[C@H]2CCCC[N@@H+]2C(C)C)C1 ZINC001033471519 666721866 /nfs/dbraw/zinc/72/18/66/666721866.db2.gz KLUVQOXWZFHZAN-HUUCEWRRSA-N 0 2 324.469 0.528 20 0 DCADLN CCN(C(=O)c1ccco1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033726165 666806909 /nfs/dbraw/zinc/80/69/09/666806909.db2.gz OJEVLRUJGIXTMZ-SNVBAGLBSA-N 0 2 305.338 0.840 20 0 DCADLN CCN(C(=O)C1CC=CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033780755 666825530 /nfs/dbraw/zinc/82/55/30/666825530.db2.gz LJQAHVWGFBAWMX-LBPRGKRZSA-N 0 2 305.382 0.899 20 0 DCADLN CCN(C(=O)C1CC=CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033780755 666825531 /nfs/dbraw/zinc/82/55/31/666825531.db2.gz LJQAHVWGFBAWMX-LBPRGKRZSA-N 0 2 305.382 0.899 20 0 DCADLN CCN(C(=O)[C@@H]1CCCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033806645 666832250 /nfs/dbraw/zinc/83/22/50/666832250.db2.gz NMRXSFCRZSMLJG-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)[C@@H]1CCCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033806645 666832252 /nfs/dbraw/zinc/83/22/52/666832252.db2.gz NMRXSFCRZSMLJG-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)[C@@H]1CC[N@H+](CCCO)C1 ZINC001033876128 666849779 /nfs/dbraw/zinc/84/97/79/666849779.db2.gz OIHDUSUQVDCJPC-HUUCEWRRSA-N 0 2 320.437 0.751 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@@H](C)O1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033967636 666881272 /nfs/dbraw/zinc/88/12/72/666881272.db2.gz LNUZNTHAKVVFIG-IJLUTSLNSA-N 0 2 323.397 0.501 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@@H](C)O1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033967636 666881275 /nfs/dbraw/zinc/88/12/75/666881275.db2.gz LNUZNTHAKVVFIG-IJLUTSLNSA-N 0 2 323.397 0.501 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034137622 666936628 /nfs/dbraw/zinc/93/66/28/666936628.db2.gz GSMBHHMWTWFBGD-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034137622 666936630 /nfs/dbraw/zinc/93/66/30/666936630.db2.gz GSMBHHMWTWFBGD-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN C[C@@H](O)C[N@H+]1CCCC[C@@H](NC(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001034281268 666975436 /nfs/dbraw/zinc/97/54/36/666975436.db2.gz IGQYBLISWAJIOY-CHWSQXEVSA-N 0 2 324.425 0.701 20 0 DCADLN Cn1ncnc1CCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000862087090 667015680 /nfs/dbraw/zinc/01/56/80/667015680.db2.gz NKIODMPDBNBRIW-UHFFFAOYSA-N 0 2 321.303 0.321 20 0 DCADLN O=C(NC/C=C\CO)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000862170959 667024455 /nfs/dbraw/zinc/02/44/55/667024455.db2.gz LCFWGBWVHRYKNH-UPHRSURJSA-N 0 2 306.240 0.736 20 0 DCADLN Cc1cccc2c1C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)CO2 ZINC000862532460 667047291 /nfs/dbraw/zinc/04/72/91/667047291.db2.gz GGXIJJQSSTUUBK-JOYOIKCWSA-N 0 2 319.386 0.971 20 0 DCADLN CNC(=O)NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC000862639028 667061107 /nfs/dbraw/zinc/06/11/07/667061107.db2.gz YBFVXWVITOTWLQ-NKWVEPMBSA-N 0 2 301.240 0.043 20 0 DCADLN CNC(=O)NC[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC000862639028 667061109 /nfs/dbraw/zinc/06/11/09/667061109.db2.gz YBFVXWVITOTWLQ-NKWVEPMBSA-N 0 2 301.240 0.043 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2Cc3ccccc3NC2=O)S1 ZINC000862997459 667107704 /nfs/dbraw/zinc/10/77/04/667107704.db2.gz UZLJIFYPXVJGMQ-NXEZZACHSA-N 0 2 318.358 0.222 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032373628 667119370 /nfs/dbraw/zinc/11/93/70/667119370.db2.gz AAVQCKLZIMNPFL-QSKOYVBTSA-N 0 2 314.349 0.337 20 0 DCADLN CCONC(=O)CN[C@H](Cc1ccc(F)cc1)C(=O)OCC ZINC000863375424 667138040 /nfs/dbraw/zinc/13/80/40/667138040.db2.gz RNWPLANHLHTNEI-CYBMUJFWSA-N 0 2 312.341 0.957 20 0 DCADLN O=C([O-])c1ccc(Cl)c(C(=O)N2CC[NH+](CCO)CC2)c1 ZINC000864177356 667222306 /nfs/dbraw/zinc/22/23/06/667222306.db2.gz BACCYPCOUAMMRH-UHFFFAOYSA-N 0 2 312.753 0.788 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000888332691 667298762 /nfs/dbraw/zinc/29/87/62/667298762.db2.gz GGQPKEIPDOODGI-QWRGUYRKSA-N 0 2 304.358 0.426 20 0 DCADLN C[C@@H]([NH2+]Cc1cnc(N2CC[NH+](C)CC2)nc1)c1ccnn1C ZINC000865837833 667350974 /nfs/dbraw/zinc/35/09/74/667350974.db2.gz BWXZSDMZFZLNNB-CYBMUJFWSA-N 0 2 315.425 0.813 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001006030236 667496498 /nfs/dbraw/zinc/49/64/98/667496498.db2.gz JQZCJFBMUTYGCF-ZYHUDNBSSA-N 0 2 323.397 0.501 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC(O)(c3ccccc3)C2)S1 ZINC000870238634 667724952 /nfs/dbraw/zinc/72/49/52/667724952.db2.gz KMVBOECZZHVPKE-SNVBAGLBSA-N 0 2 305.359 0.273 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(N(C)C)[nH+]1 ZINC000867011690 667745932 /nfs/dbraw/zinc/74/59/32/667745932.db2.gz VHLOMCKRAABYST-IBGZPJMESA-N 0 2 320.440 0.600 20 0 DCADLN CCc1nn(C)cc1S(=O)(=O)Nc1nc2n(n1)CCCC2 ZINC000886407476 667763221 /nfs/dbraw/zinc/76/32/21/667763221.db2.gz RLWXNQPLYPESSL-UHFFFAOYSA-N 0 2 310.383 0.711 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC2(c3ccccc3F)COC2)S1 ZINC000886638962 667785308 /nfs/dbraw/zinc/78/53/08/667785308.db2.gz MIADSHDFLGMDLG-JTQLQIEISA-N 0 2 323.349 0.724 20 0 DCADLN COc1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)c(OC)c1 ZINC000742523699 667793187 /nfs/dbraw/zinc/79/31/87/667793187.db2.gz FFXSHFRMJSVKKU-LLVKDONJSA-N 0 2 323.374 0.876 20 0 DCADLN CC(C)(C)OC(=O)NCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742588413 667794191 /nfs/dbraw/zinc/79/41/91/667794191.db2.gz BDCVMNPNABCFCR-SSDOTTSWSA-N 0 2 316.383 0.184 20 0 DCADLN C[C@@](NC(=O)C[C@@H]1SC(=N)NC1=O)(C(N)=O)c1ccccc1 ZINC000742603001 667795054 /nfs/dbraw/zinc/79/50/54/667795054.db2.gz DBNCXUWKVBQNIO-XPTSAGLGSA-N 0 2 320.374 0.060 20 0 DCADLN Cc1ncc2c(n1)CCC[C@@H]2NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742681187 667796949 /nfs/dbraw/zinc/79/69/49/667796949.db2.gz BRCPZKXWHGKSSV-QWRGUYRKSA-N 0 2 319.390 0.835 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCOC2CCOCC2)S1 ZINC000742705252 667797928 /nfs/dbraw/zinc/79/79/28/667797928.db2.gz UPXPSJLWVNHITM-JTQLQIEISA-N 0 2 315.395 0.245 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](C)S1 ZINC000742771686 667798801 /nfs/dbraw/zinc/79/88/01/667798801.db2.gz DRLUWLLYSBPYMP-DTORHVGOSA-N 0 2 307.379 0.424 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1SC(=N)NC1=O)CC1(C)OCCO1 ZINC000744590613 667829276 /nfs/dbraw/zinc/82/92/76/667829276.db2.gz XLMOZPZMZBPEEI-DTWKUNHWSA-N 0 2 315.395 0.448 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2CCC23CCOCC3)S1 ZINC000871577011 667838367 /nfs/dbraw/zinc/83/83/67/667838367.db2.gz GQPJIJNQCATVGS-NXEZZACHSA-N 0 2 311.407 0.866 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1cc2n(n1)CCN(C)C2)OC ZINC000872411468 667894155 /nfs/dbraw/zinc/89/41/55/667894155.db2.gz YDJQZHHKRQFKQD-LLVKDONJSA-N 0 2 302.400 0.495 20 0 DCADLN C[C@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(F)(F)F ZINC000748275666 667922665 /nfs/dbraw/zinc/92/26/65/667922665.db2.gz GROZMTYJOVITJO-ZCFIWIBFSA-N 0 2 304.228 0.813 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nc3cc(F)ccc3[nH]2)S1 ZINC000748717697 667935800 /nfs/dbraw/zinc/93/58/00/667935800.db2.gz FONHXSRIUNSSIK-SECBINFHSA-N 0 2 321.337 0.875 20 0 DCADLN COCCONC(=O)CS[C@H](C)C(=O)Nc1cc(C)on1 ZINC000748770764 667937635 /nfs/dbraw/zinc/93/76/35/667937635.db2.gz RUZHFYZCDJMRKM-SECBINFHSA-N 0 2 317.367 0.737 20 0 DCADLN C[C@]1(CCCO)CCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000755740944 668065112 /nfs/dbraw/zinc/06/51/12/668065112.db2.gz KISGWYHYMJYWAL-OAHLLOKOSA-N 0 2 319.365 0.225 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@H]1CCCO1 ZINC000756103069 668078424 /nfs/dbraw/zinc/07/84/24/668078424.db2.gz FNDBBNDHVONLSJ-WDEREUQCSA-N 0 2 305.338 0.145 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCC[C@@H]1CCOC1=O ZINC000759119372 668207156 /nfs/dbraw/zinc/20/71/56/668207156.db2.gz YKXMCCCDRYBTFB-ZETCQYMHSA-N 0 2 311.256 0.940 20 0 DCADLN CCCCNC(=O)COC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000759148105 668208550 /nfs/dbraw/zinc/20/85/50/668208550.db2.gz IGWUXWVXSVRUSP-UHFFFAOYSA-N 0 2 312.288 0.903 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)N[C@H]1CC[NH+](C2CC2)C1 ZINC000875295136 668295854 /nfs/dbraw/zinc/29/58/54/668295854.db2.gz HWGABMXSOIRSQK-KBPBESRZSA-N 0 2 310.442 0.633 20 0 DCADLN Cc1nnc([C@H]2CCCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)[nH]1 ZINC000761062943 668302640 /nfs/dbraw/zinc/30/26/40/668302640.db2.gz AOYCFDVEQMKXAK-DTWKUNHWSA-N 0 2 322.394 0.376 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](O)c2ccccc2F)S1 ZINC000762240235 668352830 /nfs/dbraw/zinc/35/28/30/668352830.db2.gz AQBPLPMNQYOCLW-ZJUUUORDSA-N 0 2 311.338 0.532 20 0 DCADLN Cn1nccc1[C@@H]1CCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000928376306 668578511 /nfs/dbraw/zinc/57/85/11/668578511.db2.gz ZPDAUPBPTBUSFM-VHSXEESVSA-N 0 2 307.379 0.640 20 0 DCADLN COCc1c(C(=O)NN2CN=NC2=O)cnn1-c1ccccc1 ZINC000768731113 668619606 /nfs/dbraw/zinc/61/96/06/668619606.db2.gz UIXNAWKMRAFXEV-UHFFFAOYSA-N 0 2 314.305 0.700 20 0 DCADLN COCC(=O)N1CC[NH+](CCOc2ccccc2C(=O)[O-])CC1 ZINC000768776465 668621114 /nfs/dbraw/zinc/62/11/14/668621114.db2.gz GFWNKVHHBUNFCJ-UHFFFAOYSA-N 0 2 322.361 0.554 20 0 DCADLN CC1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)Cc2ccccc2C1 ZINC000769154539 668637526 /nfs/dbraw/zinc/63/75/26/668637526.db2.gz WPPVZNMOSHNTNI-UHFFFAOYSA-N 0 2 323.356 0.745 20 0 DCADLN Cn1ccnc1[C@H]1CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000769770448 668660733 /nfs/dbraw/zinc/66/07/33/668660733.db2.gz MGUXCFGTLFHVPI-UWVGGRQHSA-N 0 2 321.406 0.683 20 0 DCADLN CC[C@]1(C)COCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000770879459 668721852 /nfs/dbraw/zinc/72/18/52/668721852.db2.gz DASICBLTOSATMF-CQSZACIVSA-N 0 2 305.338 0.099 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](CO)c2ccc(F)cc2)S1 ZINC000771123231 668731778 /nfs/dbraw/zinc/73/17/78/668731778.db2.gz ZTTVFQMGYJQTSB-UWVGGRQHSA-N 0 2 311.338 0.532 20 0 DCADLN CC(C)(C)CC(=O)NCC(=O)NCCc1n[nH]c(=S)o1 ZINC000773101923 668792712 /nfs/dbraw/zinc/79/27/12/668792712.db2.gz SEHAYNRYOMWBCW-UHFFFAOYSA-N 0 2 300.384 0.569 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cccc(-n2cccn2)n1 ZINC000773104333 668792969 /nfs/dbraw/zinc/79/29/69/668792969.db2.gz FEKDQVVRHDSHNP-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN COCCCOCCN(C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000773996649 668819188 /nfs/dbraw/zinc/81/91/88/668819188.db2.gz NEPCIFPQXQKQSE-VIFPVBQESA-N 0 2 303.384 0.054 20 0 DCADLN NC(=O)CSc1ccccc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774894105 668850014 /nfs/dbraw/zinc/85/00/14/668850014.db2.gz BEUWPTFZVVAXHR-UHFFFAOYSA-N 0 2 308.319 0.445 20 0 DCADLN CC(=O)N1CCc2cc(C(=O)OCc3n[nH]c(=O)[nH]3)ccc21 ZINC000774910851 668851024 /nfs/dbraw/zinc/85/10/24/668851024.db2.gz ZOFJBHNCKPDCRF-UHFFFAOYSA-N 0 2 302.290 0.776 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CCCCN1c1ncccn1 ZINC000774919853 668851398 /nfs/dbraw/zinc/85/13/98/668851398.db2.gz PHWCVIAZFNNBDK-VIFPVBQESA-N 0 2 304.310 0.403 20 0 DCADLN CC(C)(C)C(=O)Cn1cc(C(=O)OCc2n[nH]c(=O)[nH]2)nn1 ZINC000774957787 668852993 /nfs/dbraw/zinc/85/29/93/668852993.db2.gz HMNORZSSVCLOCO-UHFFFAOYSA-N 0 2 308.298 0.074 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)CSc2n[nH]c(=O)[n-]2)c1C ZINC000776343958 668875577 /nfs/dbraw/zinc/87/55/77/668875577.db2.gz XUXGYOMURHZNCJ-UHFFFAOYSA-N 0 2 323.378 0.939 20 0 DCADLN O=C(CSCc1cc(=O)n2ccsc2n1)NOCCO ZINC000776585445 668878614 /nfs/dbraw/zinc/87/86/14/668878614.db2.gz XQUXSAATHJFNLF-UHFFFAOYSA-N 0 2 315.376 0.029 20 0 DCADLN C[C@H](OC[C@H]1CCCCO1)C(=O)NCCc1n[nH]c(=S)o1 ZINC000779540822 668912412 /nfs/dbraw/zinc/91/24/12/668912412.db2.gz UDTDWMZOAFKTCR-VHSXEESVSA-N 0 2 315.395 0.991 20 0 DCADLN C[N@H+]1CCC[C@@H]1C(=O)N1CC[NH+](C[C@@H]2C[C@H]3COC[C@H]3O2)CC1 ZINC000929849187 668917909 /nfs/dbraw/zinc/91/79/09/668917909.db2.gz SWDDKZWSUMRFKP-CAOSSQGBSA-N 0 2 323.437 0.029 20 0 DCADLN CC(C)(CNC(=O)NC1(C(=O)[O-])CCCC1)[NH+]1CCOCC1 ZINC000780342129 668979895 /nfs/dbraw/zinc/97/98/95/668979895.db2.gz VSDNSVPLRLJXEX-UHFFFAOYSA-N 0 2 313.398 0.794 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000780673316 669004296 /nfs/dbraw/zinc/00/42/96/669004296.db2.gz QMIPRCFNVWLZDK-NSHDSACASA-N 0 2 304.306 0.329 20 0 DCADLN CC(C)(CC(F)(F)F)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000781789749 669062565 /nfs/dbraw/zinc/06/25/65/669062565.db2.gz SMBBAXGVIBQBDH-UHFFFAOYSA-N 0 2 317.271 0.918 20 0 DCADLN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(F)(F)F)C1=O ZINC000783622770 669165568 /nfs/dbraw/zinc/16/55/68/669165568.db2.gz FHJQADHQBKEQSV-MRVPVSSYSA-N 0 2 304.272 0.590 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@H](C)[N@@H+]2CC[C@H](C3OCCO3)C2)CC1 ZINC000932008755 669296742 /nfs/dbraw/zinc/29/67/42/669296742.db2.gz DYKYCTNQAAYLCS-KBPBESRZSA-N 0 2 311.426 0.234 20 0 DCADLN O=C(Cn1cc(CNC(=O)C(F)(F)F)nn1)NCC1CCC1 ZINC000881287826 669317279 /nfs/dbraw/zinc/31/72/79/669317279.db2.gz XBEDHUNMFJGDHM-UHFFFAOYSA-N 0 2 319.287 0.373 20 0 DCADLN CCc1cc(CNC(=O)Cc2cc(=O)n(CC(C)C)[nH]2)n[nH]1 ZINC000932630788 669390944 /nfs/dbraw/zinc/39/09/44/669390944.db2.gz VEUDTCUNLMQKJM-UHFFFAOYSA-N 0 2 305.382 0.977 20 0 DCADLN CCC[C@H](CNC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OCC ZINC000790266905 669545635 /nfs/dbraw/zinc/54/56/35/669545635.db2.gz GRXZWPOKYKJCRD-RKDXNWHRSA-N 0 2 315.395 0.638 20 0 DCADLN CCC[C@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OCC ZINC000790266889 669545729 /nfs/dbraw/zinc/54/57/29/669545729.db2.gz GRXZWPOKYKJCRD-BDAKNGLRSA-N 0 2 315.395 0.638 20 0 DCADLN CN(C)[S@@](C)(=O)=NS(=O)(=O)Nc1cccc2c1OCC2 ZINC000882767092 669582266 /nfs/dbraw/zinc/58/22/66/669582266.db2.gz ATPQYRBENSXFRN-IBGZPJMESA-N 0 2 319.408 0.853 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000791027413 669588142 /nfs/dbraw/zinc/58/81/42/669588142.db2.gz TZRGIOLCKSQYKQ-UHFFFAOYSA-N 0 2 315.377 0.801 20 0 DCADLN COc1cnc([C@H]2CCCN2C[C@@H](O)C2(O)CCC2)[nH]c1=O ZINC000934271036 669589080 /nfs/dbraw/zinc/58/90/80/669589080.db2.gz DMAKWCUWJUWABQ-ZYHUDNBSSA-N 0 2 309.366 0.604 20 0 DCADLN C[C@@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ncccc1F ZINC000791790303 669629990 /nfs/dbraw/zinc/62/99/90/669629990.db2.gz OCPRRMMIKPHMPT-MRVPVSSYSA-N 0 2 317.280 0.910 20 0 DCADLN O=C([N-]OCC(F)(F)F)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000934902386 669652311 /nfs/dbraw/zinc/65/23/11/669652311.db2.gz LASNTQCXCICQCZ-ZJUUUORDSA-N 0 2 312.288 0.476 20 0 DCADLN COC[C@H](OC(=O)Cc1c(C)nc2cc[nH]n2c1=O)C1CC1 ZINC000132088904 669704234 /nfs/dbraw/zinc/70/42/34/669704234.db2.gz NANQMHRGTOKFTC-LBPRGKRZSA-N 0 2 305.334 0.842 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc2c1CCO2 ZINC000794146810 669797675 /nfs/dbraw/zinc/79/76/75/669797675.db2.gz HKSZPWPPNFKMPD-UHFFFAOYSA-N 0 2 311.301 0.645 20 0 DCADLN Cc1cc(CCC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)no1 ZINC000950437284 670026077 /nfs/dbraw/zinc/02/60/77/670026077.db2.gz PJNPQJGPUZOJMC-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN COc1ccccc1CO[N-]C(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000799095244 670039815 /nfs/dbraw/zinc/03/98/15/670039815.db2.gz DUVLWGKBNCBRSQ-UHFFFAOYSA-N 0 2 323.393 0.699 20 0 DCADLN COc1ccccc1CO[N-]C(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000799095244 670039818 /nfs/dbraw/zinc/03/98/18/670039818.db2.gz DUVLWGKBNCBRSQ-UHFFFAOYSA-N 0 2 323.393 0.699 20 0 DCADLN C[C@@H](NC(=O)CCc1ccccc1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000799546159 670065043 /nfs/dbraw/zinc/06/50/43/670065043.db2.gz OTZQUWWVOURCHE-SNVBAGLBSA-N 0 2 318.333 0.691 20 0 DCADLN CCN(C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950673113 670090639 /nfs/dbraw/zinc/09/06/39/670090639.db2.gz REZOAKIVKFAHMJ-IJLUTSLNSA-N 0 2 319.409 0.979 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@@H]2CCC[N@@H+]([C@H](C)C(N)=O)CC2)c1[O-] ZINC000948281134 670092378 /nfs/dbraw/zinc/09/23/78/670092378.db2.gz GGKKNOJFODNWTO-GHMZBOCLSA-N 0 2 323.397 0.224 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@@H]2CCC[N@H+]([C@H](C)C(N)=O)CC2)c1[O-] ZINC000948281134 670092380 /nfs/dbraw/zinc/09/23/80/670092380.db2.gz GGKKNOJFODNWTO-GHMZBOCLSA-N 0 2 323.397 0.224 20 0 DCADLN Cn1cc(C(=O)NNC(=O)c2ccoc2Br)cn1 ZINC000193596651 670310345 /nfs/dbraw/zinc/31/03/45/670310345.db2.gz SRHUJAAFIMQNPT-UHFFFAOYSA-N 0 2 313.111 0.850 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)[C@H]1[C@@H]2COC[C@@H]21 ZINC000951660079 670333076 /nfs/dbraw/zinc/33/30/76/670333076.db2.gz JAEIXVKHJLNHPD-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)[C@H]1[C@@H]2COC[C@@H]21 ZINC000951660079 670333079 /nfs/dbraw/zinc/33/30/79/670333079.db2.gz JAEIXVKHJLNHPD-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN CSCCO[N-]C(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000806988999 670340741 /nfs/dbraw/zinc/34/07/41/670340741.db2.gz UUSQGBUQKBFHSU-UHFFFAOYSA-N 0 2 308.363 0.361 20 0 DCADLN CCc1nc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c(C)o1 ZINC000951703638 670343418 /nfs/dbraw/zinc/34/34/18/670343418.db2.gz ZIHHXFZFVOMNGX-UHFFFAOYSA-N 0 2 320.353 0.327 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N(CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951712347 670345088 /nfs/dbraw/zinc/34/50/88/670345088.db2.gz UWLVPLQGYSKXBO-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN CSCCO[N-]C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000807676242 670372414 /nfs/dbraw/zinc/37/24/14/670372414.db2.gz MPFVUNGYWWNCKU-ZDUSSCGKSA-N 0 2 323.418 0.700 20 0 DCADLN CSCCO[N-]C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000807676242 670372419 /nfs/dbraw/zinc/37/24/19/670372419.db2.gz MPFVUNGYWWNCKU-ZDUSSCGKSA-N 0 2 323.418 0.700 20 0 DCADLN O=C([C@H](O)c1ccccc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000808029733 670386681 /nfs/dbraw/zinc/38/66/81/670386681.db2.gz SMCIKGBLGUNBMT-NWDGAFQWSA-N 0 2 302.334 0.950 20 0 DCADLN Cn1cc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)cn1 ZINC000810357334 670481018 /nfs/dbraw/zinc/48/10/18/670481018.db2.gz RQAYDYIVJQNYHD-JTQLQIEISA-N 0 2 308.279 0.965 20 0 DCADLN Cn1cc(CN2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)cn1 ZINC000810357334 670481022 /nfs/dbraw/zinc/48/10/22/670481022.db2.gz RQAYDYIVJQNYHD-JTQLQIEISA-N 0 2 308.279 0.965 20 0 DCADLN CCN(C(=O)[C@@H]1CC[C@@H](C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952394244 670487227 /nfs/dbraw/zinc/48/72/27/670487227.db2.gz IOJMHCKKAYOFNZ-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)c1ccn(CC)n1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952402344 670488243 /nfs/dbraw/zinc/48/82/43/670488243.db2.gz VLJXXZANJNXDOS-UHFFFAOYSA-N 0 2 319.369 0.073 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[N-]OCC(F)F ZINC000811053043 670504422 /nfs/dbraw/zinc/50/44/22/670504422.db2.gz GTDYOWJVOLCYRE-JTQLQIEISA-N 0 2 318.324 0.796 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[N-]OCC(F)F ZINC000811053043 670504424 /nfs/dbraw/zinc/50/44/24/670504424.db2.gz GTDYOWJVOLCYRE-JTQLQIEISA-N 0 2 318.324 0.796 20 0 DCADLN Cc1cc(=O)cc(C)n1CCCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000811354390 670525895 /nfs/dbraw/zinc/52/58/95/670525895.db2.gz VOFXHEREUPNTAE-UHFFFAOYSA-N 0 2 306.322 0.812 20 0 DCADLN C[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1(F)F ZINC000811599263 670539632 /nfs/dbraw/zinc/53/96/32/670539632.db2.gz LINAWBWAEGBHSM-QMMMGPOBSA-N 0 2 311.292 0.575 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCC1CCOCC1 ZINC000112150773 670546247 /nfs/dbraw/zinc/54/62/47/670546247.db2.gz MSZCRTYZJZODNJ-UHFFFAOYSA-N 0 2 305.334 0.843 20 0 DCADLN CCOC(=O)CC(=O)C(O)n1[nH]c(=O)c2ccc(OC)cc21 ZINC000854506187 670579601 /nfs/dbraw/zinc/57/96/01/670579601.db2.gz GKPXKZPXMLHQDK-NSHDSACASA-N 0 2 308.290 0.705 20 0 DCADLN CCn1ncn(NC(=O)c2ccncc2C(F)(F)F)c1=O ZINC000854627538 670601018 /nfs/dbraw/zinc/60/10/18/670601018.db2.gz AMRSYHALLBSAMP-UHFFFAOYSA-N 0 2 301.228 0.862 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cncc2c1CCCC2 ZINC000855202163 670686518 /nfs/dbraw/zinc/68/65/18/670686518.db2.gz YTMCSPYIEVLXJF-UHFFFAOYSA-N 0 2 324.344 0.984 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCC1CCOCC1 ZINC000814453526 670689006 /nfs/dbraw/zinc/68/90/06/670689006.db2.gz MSDQEWMMMRABJB-UHFFFAOYSA-N 0 2 306.322 0.431 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccoc1C1(O)CCOCC1 ZINC000815451524 670742384 /nfs/dbraw/zinc/74/23/84/670742384.db2.gz OWDJQOZEAHQDPE-UHFFFAOYSA-N 0 2 309.278 0.458 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCN1S(C)(=O)=O ZINC000855822566 670758255 /nfs/dbraw/zinc/75/82/55/670758255.db2.gz FGNLFIGBGAKKKQ-RNFRBKRXSA-N 0 2 306.281 0.379 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCN1S(C)(=O)=O ZINC000855822566 670758260 /nfs/dbraw/zinc/75/82/60/670758260.db2.gz FGNLFIGBGAKKKQ-RNFRBKRXSA-N 0 2 306.281 0.379 20 0 DCADLN CC(C)(C)OC(=O)N1CC(NC(=O)C(F)(F)F)(C(N)=O)C1 ZINC000849390642 670787133 /nfs/dbraw/zinc/78/71/33/670787133.db2.gz RLCZLYXZMDLBGB-UHFFFAOYSA-N 0 2 311.260 0.140 20 0 DCADLN CC(NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)(C1CC1)C1CC1 ZINC000856121388 670788822 /nfs/dbraw/zinc/78/88/22/670788822.db2.gz LCDOHWCORUKLCQ-UHFFFAOYSA-N 0 2 301.350 0.766 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(COCCO)cc2)S1 ZINC000819722325 671135012 /nfs/dbraw/zinc/13/50/12/671135012.db2.gz OKEXXMNUOWWOPO-LLVKDONJSA-N 0 2 323.374 0.690 20 0 DCADLN Cc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)n(C2CCOCC2)n1 ZINC000859998679 671167049 /nfs/dbraw/zinc/16/70/49/671167049.db2.gz RZLYVVWSKKXDSC-UHFFFAOYSA-N 0 2 307.310 0.724 20 0 DCADLN CC[C@H](C)CO[N-]C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000820280149 671187481 /nfs/dbraw/zinc/18/74/81/671187481.db2.gz ZHBSXDQGFBBQIK-MJBXVCDLSA-N 0 2 300.399 0.960 20 0 DCADLN COCCONC(=O)[C@@H](CS(C)(=O)=O)c1ccccc1 ZINC000829682922 671583975 /nfs/dbraw/zinc/58/39/75/671583975.db2.gz OSGHSLUOSGPYMH-LBPRGKRZSA-N 0 2 301.364 0.509 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ncc(Cl)s2)S1 ZINC000834562049 671771154 /nfs/dbraw/zinc/77/11/54/671771154.db2.gz ZXVAHEFHQODGFK-SCSAIBSYSA-N 0 2 304.784 0.969 20 0 DCADLN Cn1[nH]c(C2CCN(C(=O)C(F)C(F)(F)F)CC2)nc1=N ZINC000834862192 671784901 /nfs/dbraw/zinc/78/49/01/671784901.db2.gz USROSFPXMDVZIK-ZETCQYMHSA-N 0 2 309.267 0.834 20 0 DCADLN Cn1[nH]c(C2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)nc1=N ZINC000834862192 671784904 /nfs/dbraw/zinc/78/49/04/671784904.db2.gz USROSFPXMDVZIK-ZETCQYMHSA-N 0 2 309.267 0.834 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000836845075 671834810 /nfs/dbraw/zinc/83/48/10/671834810.db2.gz REHCAANYYAKCDU-VGMNWLOBSA-N 0 2 313.379 0.391 20 0 DCADLN CN(CCN1CCCS1(=O)=O)[NH2+]CCCCCC(=O)[O-] ZINC000843044122 672056030 /nfs/dbraw/zinc/05/60/30/672056030.db2.gz UKFOORFYCKAFKG-UHFFFAOYSA-N 0 2 307.416 0.103 20 0 DCADLN CC(C)CS(=O)(=O)N1C[C@H](C(=O)[O-])[C@@H](c2c[nH+]cn2C)C1 ZINC000843298036 672079867 /nfs/dbraw/zinc/07/98/67/672079867.db2.gz LQQMGFLBUJIETP-QWRGUYRKSA-N 0 2 315.395 0.506 20 0 DCADLN COC(=O)[C@H](CC(C)(C)C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000844008362 672136797 /nfs/dbraw/zinc/13/67/97/672136797.db2.gz XGPQZBRLIXFLCR-YUMQZZPRSA-N 0 2 315.395 0.637 20 0 DCADLN COC(=O)[C@@H](CC(C)(C)C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000844008359 672136827 /nfs/dbraw/zinc/13/68/27/672136827.db2.gz XGPQZBRLIXFLCR-HTQZYQBOSA-N 0 2 315.395 0.637 20 0 DCADLN C[S@@](=O)(=NS(=O)(=O)NC[C@H]1COCO1)c1ccccc1 ZINC000867396551 672275164 /nfs/dbraw/zinc/27/51/64/672275164.db2.gz YTGPECKYOHAWJX-OVWNDWIMSA-N 0 2 320.392 0.351 20 0 DCADLN CO[C@H]1c2ccccc2C[C@H]1NS(=O)(=O)N=S(C)(C)=O ZINC000867403842 672294740 /nfs/dbraw/zinc/29/47/40/672294740.db2.gz XQGNYSMEVMGCMX-NEPJUHHUSA-N 0 2 318.420 0.861 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@@H]1CCCC(F)(F)[C@H]1O ZINC000867406038 672299458 /nfs/dbraw/zinc/29/94/58/672299458.db2.gz FZCVHLQEVGRFMW-MPSDLYAZSA-N 0 2 320.383 0.487 20 0 DCADLN COCCOCCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000846616540 672330631 /nfs/dbraw/zinc/33/06/31/672330631.db2.gz BSLQLVQHNUMAJY-SNVBAGLBSA-N 0 2 316.295 0.694 20 0 DCADLN O=C([O-])c1n[nH+]c2ccccc2c1N1CC[C@@H](O)[C@H](CO)C1 ZINC000396808579 685272008 /nfs/dbraw/zinc/27/20/08/685272008.db2.gz NIEXUGRTGCDPRT-JOYOIKCWSA-N 0 2 303.318 0.508 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC000072711525 685074538 /nfs/dbraw/zinc/07/45/38/685074538.db2.gz JADPLVIPNSBDBP-DGCLKSJQSA-N 0 2 315.377 0.323 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC000072711524 685075430 /nfs/dbraw/zinc/07/54/30/685075430.db2.gz JADPLVIPNSBDBP-AAEUAGOBSA-N 0 2 315.377 0.323 20 0 DCADLN C[C@@H](CCNC(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001075704959 694969524 /nfs/dbraw/zinc/96/95/24/694969524.db2.gz HEIMZOHPTPNUEG-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN COc1cccc(-c2nnc(SCc3n[nH]c(=O)[nH]3)n2N)c1 ZINC000091588579 685105390 /nfs/dbraw/zinc/10/53/90/685105390.db2.gz GHMOIFCWNPLGSS-UHFFFAOYSA-N 0 2 319.350 0.371 20 0 DCADLN CN(C(=O)c1ccon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953820148 685860659 /nfs/dbraw/zinc/86/06/59/685860659.db2.gz JYYOOGOILMAYFW-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)c1ccon1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953820148 685860664 /nfs/dbraw/zinc/86/06/64/685860664.db2.gz JYYOOGOILMAYFW-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)c1cn(C)cn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954054824 685933996 /nfs/dbraw/zinc/93/39/96/685933996.db2.gz CGAODCHUBGIHFQ-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1cn(C)cn1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954054824 685933999 /nfs/dbraw/zinc/93/39/99/685933999.db2.gz CGAODCHUBGIHFQ-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(Cl)c[nH]1 ZINC001038046301 693996311 /nfs/dbraw/zinc/99/63/11/693996311.db2.gz WAYMOVZIULVESW-MRVPVSSYSA-N 0 2 310.745 0.496 20 0 DCADLN CCN(C(=O)c1ccc(OC)o1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957034591 686939835 /nfs/dbraw/zinc/93/98/35/686939835.db2.gz KOHSYULLDQBPOD-UHFFFAOYSA-N 0 2 321.337 0.458 20 0 DCADLN O=C(c1cnoc1C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957039346 686941283 /nfs/dbraw/zinc/94/12/83/686941283.db2.gz RYHYDYNZZMMEEM-UHFFFAOYSA-N 0 2 318.337 0.334 20 0 DCADLN C[C@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)n1cccn1 ZINC000957115547 686967137 /nfs/dbraw/zinc/96/71/37/686967137.db2.gz RZZKOIXGSGPOSM-LLVKDONJSA-N 0 2 319.369 0.002 20 0 DCADLN CCc1cc(C(=O)N(CC)C2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC000957294683 687020037 /nfs/dbraw/zinc/02/00/37/687020037.db2.gz KMYROEUZTNJVAF-UHFFFAOYSA-N 0 2 320.353 0.407 20 0 DCADLN O=C(CCc1cccs1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957603874 687085149 /nfs/dbraw/zinc/08/51/49/687085149.db2.gz NZVHTGONRDODIJ-UHFFFAOYSA-N 0 2 321.406 0.849 20 0 DCADLN CC(=O)NC[C@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@H]1O ZINC000957789581 687189225 /nfs/dbraw/zinc/18/92/25/687189225.db2.gz WDPFIQQOARMEEV-GXSJLCMTSA-N 0 2 322.390 0.326 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(Cl)[nH]1 ZINC001038667467 694155867 /nfs/dbraw/zinc/15/58/67/694155867.db2.gz AHBQVYWOZSBZKY-ZETCQYMHSA-N 0 2 310.745 0.496 20 0 DCADLN CCC(=O)N1CCO[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]21 ZINC000959844694 688234548 /nfs/dbraw/zinc/23/45/48/688234548.db2.gz MCTXCAIXPZVNPZ-XKSSXDPKSA-N 0 2 312.263 0.735 20 0 DCADLN CCC(=O)N1CCO[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]21 ZINC000959844694 688234553 /nfs/dbraw/zinc/23/45/53/688234553.db2.gz MCTXCAIXPZVNPZ-XKSSXDPKSA-N 0 2 312.263 0.735 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)n1C ZINC001039011944 694270886 /nfs/dbraw/zinc/27/08/86/694270886.db2.gz IMVKWQZFNBKNDJ-NSHDSACASA-N 0 2 318.381 0.470 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cccnc1 ZINC000964551741 689260654 /nfs/dbraw/zinc/26/06/54/689260654.db2.gz UQCIQRLNKPHLNF-ZYHUDNBSSA-N 0 2 316.365 0.546 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccoc1 ZINC000965135236 689362787 /nfs/dbraw/zinc/36/27/87/689362787.db2.gz XKQWBTZHVSHEDB-KOLCDFICSA-N 0 2 305.338 0.744 20 0 DCADLN Cc1nsc(C)c1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039065548 694291235 /nfs/dbraw/zinc/29/12/35/694291235.db2.gz QBQBEXWXSXIOMX-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN CCN(C(=O)c1cn[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000968131268 690177686 /nfs/dbraw/zinc/17/76/86/690177686.db2.gz QWQPGCZSVOJTLZ-MRVPVSSYSA-N 0 2 323.250 0.378 20 0 DCADLN CCN(C(=O)c1cn[nH]n1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000968131268 690177689 /nfs/dbraw/zinc/17/76/89/690177689.db2.gz QWQPGCZSVOJTLZ-MRVPVSSYSA-N 0 2 323.250 0.378 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccco1 ZINC000968454303 690278238 /nfs/dbraw/zinc/27/82/38/690278238.db2.gz KUNVCZYSDUCGPV-CMPLNLGQSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccco1 ZINC000968454303 690278241 /nfs/dbraw/zinc/27/82/41/690278241.db2.gz KUNVCZYSDUCGPV-CMPLNLGQSA-N 0 2 319.365 0.673 20 0 DCADLN CNC(=O)C[N@H+]1CCCCC[C@@H]1CNC(=O)[C@H]1CCC[NH+]1C ZINC000968781709 690390756 /nfs/dbraw/zinc/39/07/56/690390756.db2.gz PMQOKGSADPLFGO-ZIAGYGMSSA-N 0 2 310.442 0.187 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ncc[nH]1 ZINC000968854050 690406488 /nfs/dbraw/zinc/40/64/88/690406488.db2.gz VWDAKAYSOPCSQK-JTQLQIEISA-N 0 2 319.369 0.408 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ncc[nH]1 ZINC000968854050 690406491 /nfs/dbraw/zinc/40/64/91/690406491.db2.gz VWDAKAYSOPCSQK-JTQLQIEISA-N 0 2 319.369 0.408 20 0 DCADLN CCc1noc(C[NH2+][C@@H]2CCN(C(=O)[C@H](C(C)C)[NH+](C)C)C2)n1 ZINC000968990094 690445459 /nfs/dbraw/zinc/44/54/59/690445459.db2.gz RLRJENQTSYMQKK-DOMZBBRYSA-N 0 2 323.441 0.909 20 0 DCADLN O=C(Cc1cccs1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006604641 690559994 /nfs/dbraw/zinc/55/99/94/690559994.db2.gz RHCUMNOECLTANC-JTQLQIEISA-N 0 2 321.406 0.895 20 0 DCADLN O=C(CC1CCOCC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006974868 690613780 /nfs/dbraw/zinc/61/37/80/690613780.db2.gz RHGFEUYQKOTEAP-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCCO1 ZINC001007120719 690630235 /nfs/dbraw/zinc/63/02/35/690630235.db2.gz LYCGVTSCINOSCR-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001007145659 690632841 /nfs/dbraw/zinc/63/28/41/690632841.db2.gz AVOWRQWDNCNJNY-LLVKDONJSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1nnc([C@H](C)N2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001007763438 690744167 /nfs/dbraw/zinc/74/41/67/690744167.db2.gz YINZYKCLBQSFPJ-WCBMZHEXSA-N 0 2 304.358 0.187 20 0 DCADLN O=C(CC1=CCOCC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008415528 690861035 /nfs/dbraw/zinc/86/10/35/690861035.db2.gz DFNLRFNNGCAWBB-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC12CCC2 ZINC001008700956 690912331 /nfs/dbraw/zinc/91/23/31/690912331.db2.gz YGFLTMRLGGSUCP-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)on1 ZINC001010618848 691327372 /nfs/dbraw/zinc/32/73/72/691327372.db2.gz CMXQGUBBVKCSRI-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)on1 ZINC001010618848 691327378 /nfs/dbraw/zinc/32/73/78/691327378.db2.gz CMXQGUBBVKCSRI-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN CCc1cccnc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015032917 692162595 /nfs/dbraw/zinc/16/25/95/692162595.db2.gz NDCOVMQBQOQROM-LLVKDONJSA-N 0 2 316.365 0.472 20 0 DCADLN COCc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015191124 692222176 /nfs/dbraw/zinc/22/21/76/692222176.db2.gz ZMBSHFFTMJCCED-VIFPVBQESA-N 0 2 321.337 0.254 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001015700316 692402183 /nfs/dbraw/zinc/40/21/83/692402183.db2.gz ULXAKXOLXZWWAJ-NXEZZACHSA-N 0 2 305.338 0.598 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001015734718 692422465 /nfs/dbraw/zinc/42/24/65/692422465.db2.gz BZWOHMBBIDAOII-SECBINFHSA-N 0 2 319.369 0.361 20 0 DCADLN Cc1ccc([C@H](C)C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015805474 692450682 /nfs/dbraw/zinc/45/06/82/692450682.db2.gz HUALJJAYZWBDRL-WDEREUQCSA-N 0 2 319.365 0.906 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(CF)cc1 ZINC001016365439 692664621 /nfs/dbraw/zinc/66/46/21/692664621.db2.gz UVLPRXWRODDMFJ-LBPRGKRZSA-N 0 2 319.340 0.984 20 0 DCADLN CCn1ccnc1C[NH2+][C@H]1CCN(C(=O)CCc2nnc[nH]2)C1 ZINC001018687349 693194559 /nfs/dbraw/zinc/19/45/59/693194559.db2.gz YACKAOSUXQOBBO-LBPRGKRZSA-N 0 2 317.397 0.344 20 0 DCADLN Cc1nnc(CN[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)o1 ZINC001018877854 693272841 /nfs/dbraw/zinc/27/28/41/693272841.db2.gz GCJOMOUBYRIGHO-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN C[C@H]1[C@@H](NCc2nnn(C)n2)CCN1C(=O)C(F)C(F)(F)F ZINC001074661861 694915664 /nfs/dbraw/zinc/91/56/64/694915664.db2.gz ORMPIYZUNZUXEH-ZKWXMUAHSA-N 0 2 324.282 0.190 20 0 DCADLN Cc1occc1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075530154 694956983 /nfs/dbraw/zinc/95/69/83/694956983.db2.gz HXCZIBURNYVQIS-CMPLNLGQSA-N 0 2 317.349 0.758 20 0 DCADLN Cc1occc1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075530154 694956984 /nfs/dbraw/zinc/95/69/84/694956984.db2.gz HXCZIBURNYVQIS-CMPLNLGQSA-N 0 2 317.349 0.758 20 0 DCADLN C[C@@H](CCNC(=O)c1ccn[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001075704959 694969525 /nfs/dbraw/zinc/96/95/25/694969525.db2.gz HEIMZOHPTPNUEG-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN Cc1nc(C(=O)N2CC[C@H]3CN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)co1 ZINC001075723522 694971012 /nfs/dbraw/zinc/97/10/12/694971012.db2.gz UNBDGLLGFKICIJ-GXSJLCMTSA-N 0 2 318.337 0.153 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001076857901 695077815 /nfs/dbraw/zinc/07/78/15/695077815.db2.gz YUQDONOFKFYZEY-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001076857901 695077819 /nfs/dbraw/zinc/07/78/19/695077819.db2.gz YUQDONOFKFYZEY-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN CCOCCCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571682266 1162899611 /nfs/dbraw/zinc/89/96/11/1162899611.db2.gz XBXDKURWGVZGAJ-UHFFFAOYSA-N 0 2 323.397 0.575 20 0 DCADLN CCOCCCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571682266 1162899616 /nfs/dbraw/zinc/89/96/16/1162899616.db2.gz XBXDKURWGVZGAJ-UHFFFAOYSA-N 0 2 323.397 0.575 20 0 DCADLN Nc1nc(=O)[nH]cc1N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001771717834 1158094297 /nfs/dbraw/zinc/09/42/97/1158094297.db2.gz LAMJMTFXTUZJFQ-SSDOTTSWSA-N 0 2 323.250 0.313 20 0 DCADLN Nc1nc(=O)[nH]cc1N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001771717834 1158094303 /nfs/dbraw/zinc/09/43/03/1158094303.db2.gz LAMJMTFXTUZJFQ-SSDOTTSWSA-N 0 2 323.250 0.313 20 0 DCADLN C[C@@]1(NC(=O)Cc2ccoc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446534834 1159459825 /nfs/dbraw/zinc/45/98/25/1159459825.db2.gz XEIHSCXSLQAEBL-CQSZACIVSA-N 0 2 305.338 0.427 20 0 DCADLN C[C@@]1(NC(=O)Cc2ccoc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446534834 1159459833 /nfs/dbraw/zinc/45/98/33/1159459833.db2.gz XEIHSCXSLQAEBL-CQSZACIVSA-N 0 2 305.338 0.427 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc2c([nH]c1=O)CCOC2 ZINC001448070235 1159713836 /nfs/dbraw/zinc/71/38/36/1159713836.db2.gz GBENJLPQNJMMJX-UHFFFAOYSA-N 0 2 322.346 0.504 20 0 DCADLN C[C@H](CNC(=O)c1nnc[nH]1)[N@@H+](C)CC(=O)NCC(C)(C)C ZINC001448109106 1159719229 /nfs/dbraw/zinc/71/92/29/1159719229.db2.gz YHFKOADFTVFXNH-SNVBAGLBSA-N 0 2 310.402 0.017 20 0 DCADLN CN(C(=O)C=Cc1ccco1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567902519 1160525276 /nfs/dbraw/zinc/52/52/76/1160525276.db2.gz KXGZIIYBRSWMDK-WYGGZMRJSA-N 0 2 317.349 0.849 20 0 DCADLN CN(C(=O)C=Cc1ccco1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567902519 1160525282 /nfs/dbraw/zinc/52/52/82/1160525282.db2.gz KXGZIIYBRSWMDK-WYGGZMRJSA-N 0 2 317.349 0.849 20 0 DCADLN C[C@@H](NC(=O)C[N@H+](C)[C@H]1CCSC1)c1nc(C(=O)[O-])n[nH]1 ZINC001573561119 1163501064 /nfs/dbraw/zinc/50/10/64/1163501064.db2.gz CEUVFDYYALTFFG-SFYZADRCSA-N 0 2 313.383 0.117 20 0 DCADLN C[C@@H](NC(=O)C[N@@H+](C)[C@H]1CCSC1)c1nc(C(=O)[O-])n[nH]1 ZINC001573561119 1163501072 /nfs/dbraw/zinc/50/10/72/1163501072.db2.gz CEUVFDYYALTFFG-SFYZADRCSA-N 0 2 313.383 0.117 20 0 DCADLN CCc1ncc(C[NH+]2CCC(C(=O)[O-])([S@](C)=O)CC2)cn1 ZINC001573837183 1163563874 /nfs/dbraw/zinc/56/38/74/1163563874.db2.gz FGVHTEJPIMLAFY-NRFANRHFSA-N 0 2 311.407 0.837 20 0 DCADLN Cc1n[nH]c(C[N@@H+]2CCN(c3cnccn3)[C@H](C)C2)c1C(=O)[O-] ZINC001574113262 1163639351 /nfs/dbraw/zinc/63/93/51/1163639351.db2.gz NOIAHKGXFZZMGK-SNVBAGLBSA-N 0 2 316.365 0.917 20 0 DCADLN Cc1n[nH]c(C[N@H+]2CCN(c3cnccn3)[C@H](C)C2)c1C(=O)[O-] ZINC001574113262 1163639355 /nfs/dbraw/zinc/63/93/55/1163639355.db2.gz NOIAHKGXFZZMGK-SNVBAGLBSA-N 0 2 316.365 0.917 20 0 DCADLN O=C(C[N@H+]1CCC[C@@H](C(=O)[O-])C1)NCc1n[nH]c(C2CC2)n1 ZINC001574299255 1163688127 /nfs/dbraw/zinc/68/81/27/1163688127.db2.gz ATTCXIFLDGAKLI-SNVBAGLBSA-N 0 2 307.354 0.095 20 0 DCADLN O=C(C[N@@H+]1CCC[C@@H](C(=O)[O-])C1)NCc1n[nH]c(C2CC2)n1 ZINC001574299255 1163688155 /nfs/dbraw/zinc/68/81/55/1163688155.db2.gz ATTCXIFLDGAKLI-SNVBAGLBSA-N 0 2 307.354 0.095 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cccc(=O)[nH]1 ZINC001574997627 1163840807 /nfs/dbraw/zinc/84/08/07/1163840807.db2.gz WVNPEHWARAHCRB-MRVPVSSYSA-N 0 2 309.219 0.534 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cccc(=O)[nH]1 ZINC001574997627 1163840814 /nfs/dbraw/zinc/84/08/14/1163840814.db2.gz WVNPEHWARAHCRB-MRVPVSSYSA-N 0 2 309.219 0.534 20 0 DCADLN COc1ccnc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1 ZINC001575312934 1163970897 /nfs/dbraw/zinc/97/08/97/1163970897.db2.gz YZOGVKZTCFJCJQ-SECBINFHSA-N 0 2 323.246 0.837 20 0 DCADLN COc1ccnc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001575312934 1163970903 /nfs/dbraw/zinc/97/09/03/1163970903.db2.gz YZOGVKZTCFJCJQ-SECBINFHSA-N 0 2 323.246 0.837 20 0 DCADLN CC[C@H](C)[C@H](O)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001576609732 1164411876 /nfs/dbraw/zinc/41/18/76/1164411876.db2.gz DQJBTHXNJBNLLS-OIBJUYFYSA-N 0 2 320.353 0.413 20 0 DCADLN COc1cccc([C@H]([NH3+])C(=O)NCc2nc(CSC)n[nH]2)c1 ZINC001579264208 1165253434 /nfs/dbraw/zinc/25/34/34/1165253434.db2.gz JPXUTTUNMJAFJV-ZDUSSCGKSA-N 0 2 321.406 0.993 20 0 DCADLN COc1cccc([C@H]([NH3+])C(=O)NCc2nnc(CSC)[nH]2)c1 ZINC001579264208 1165253442 /nfs/dbraw/zinc/25/34/42/1165253442.db2.gz JPXUTTUNMJAFJV-ZDUSSCGKSA-N 0 2 321.406 0.993 20 0 DCADLN COC(=O)c1cc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)on1 ZINC001579479367 1165325786 /nfs/dbraw/zinc/32/57/86/1165325786.db2.gz KGEMCQDAVSUUMD-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC=C(F)C1 ZINC001580598463 1165650447 /nfs/dbraw/zinc/65/04/47/1165650447.db2.gz XPXYEENTWQDXCG-UHFFFAOYSA-N 0 2 304.285 0.975 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1=NC[C@@H](C)S1 ZINC001580603696 1165652510 /nfs/dbraw/zinc/65/25/10/1165652510.db2.gz WGQZSFOJGCHVKV-ZCFIWIBFSA-N 0 2 319.350 0.497 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CCO[C@H](C)C1 ZINC001580602698 1165652931 /nfs/dbraw/zinc/65/29/31/1165652931.db2.gz RIYFAVHLKGTGCB-BDAKNGLRSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1nsc(NC(=O)NCCc2nc[nH]n2)c1-c1nn[nH]n1 ZINC001581685834 1165859586 /nfs/dbraw/zinc/85/95/86/1165859586.db2.gz SSIXNMGVCURQIB-UHFFFAOYSA-N 0 2 320.342 0.114 20 0 DCADLN Cn1c(=O)[nH]c(Nc2ccc(F)cc2)c(-c2nn[nH]n2)c1=O ZINC001581747774 1165863906 /nfs/dbraw/zinc/86/39/06/1165863906.db2.gz UYSHCJLXHRZPQN-UHFFFAOYSA-N 0 2 303.257 0.549 20 0 DCADLN CC(=O)COC(=O)CCc1c(C)[nH]c(=O)c(-c2nn[nH]n2)c1C ZINC001588796953 1166590638 /nfs/dbraw/zinc/59/06/38/1166590638.db2.gz NJJPJPDSSGSZKK-UHFFFAOYSA-N 0 2 319.321 0.649 20 0 DCADLN CC(C)OC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001589733542 1166679325 /nfs/dbraw/zinc/67/93/25/1166679325.db2.gz YGDMZMOKSFBUIJ-UHFFFAOYSA-N 0 2 303.322 0.469 20 0 DCADLN C[C@@H](NCc1ccc(-c2nn[nH]n2)o1)C(=O)NCC(F)(F)F ZINC001589969360 1166733704 /nfs/dbraw/zinc/73/37/04/1166733704.db2.gz ZPGROJDZCKPNME-ZCFIWIBFSA-N 0 2 318.259 0.616 20 0 DCADLN CCc1nn(CCOC(F)F)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929545 1167053255 /nfs/dbraw/zinc/05/32/55/1167053255.db2.gz COFQKIOPURKMMY-UHFFFAOYSA-N 0 2 314.296 0.787 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCO[C@H](C)C2)c1=O ZINC001590949495 1167057296 /nfs/dbraw/zinc/05/72/96/1167057296.db2.gz HBGVBQDMICVYQE-NXEZZACHSA-N 0 2 320.353 0.025 20 0 DCADLN COC(=O)C(C)(C)Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001591226889 1167166779 /nfs/dbraw/zinc/16/67/79/1167166779.db2.gz OJNHOZJJSKDRSR-UHFFFAOYSA-N 0 2 306.326 0.239 20 0 DCADLN COCCC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001591395520 1167264376 /nfs/dbraw/zinc/26/43/76/1167264376.db2.gz ZWTKOVZDFCKYGG-UHFFFAOYSA-N 0 2 317.349 0.513 20 0 DCADLN COc1cccc(C(=O)Cn2cnc(-c3nn[nH]n3)cc2=O)c1 ZINC001591631916 1167342085 /nfs/dbraw/zinc/34/20/85/1167342085.db2.gz IUJYIFXCHPZVLA-UHFFFAOYSA-N 0 2 312.289 0.315 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@@H](C)C(C)(C)C)c(=O)n1C ZINC001591794050 1167380335 /nfs/dbraw/zinc/38/03/35/1167380335.db2.gz WDMIUNDCBGDZGG-MRVPVSSYSA-N 0 2 306.370 0.718 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C/C=C\Cl)c(=O)n1C1CC1 ZINC001591794003 1167381946 /nfs/dbraw/zinc/38/19/46/1167381946.db2.gz UEGJQGHIPYRDDG-DJWKRKHSSA-N 0 2 308.729 0.586 20 0 DCADLN Cc1ccn(Cc2nn(C)cc2Cl)c(=O)c1-c1nn[nH]n1 ZINC001592265526 1167479819 /nfs/dbraw/zinc/47/98/19/1167479819.db2.gz DWLHYGSCUFRUGK-UHFFFAOYSA-N 0 2 305.729 0.772 20 0 DCADLN Cc1ccn(CCCN2CCOC2=O)c(=O)c1-c1nn[nH]n1 ZINC001592266519 1167479922 /nfs/dbraw/zinc/47/99/22/1167479922.db2.gz UIOLGXJBGKNRGY-UHFFFAOYSA-N 0 2 304.310 0.179 20 0 DCADLN Cc1ccn(CN2C[C@@H]3C[C@H]2CS3)c(=O)c1-c1nn[nH]n1 ZINC001592267285 1167480033 /nfs/dbraw/zinc/48/00/33/1167480033.db2.gz OFOWDIFCIKNZIW-UWVGGRQHSA-N 0 2 304.379 0.484 20 0 DCADLN Cc1ccnc(NS(=O)(=O)c2cncc(-c3nn[nH]n3)c2)n1 ZINC001592275685 1167482434 /nfs/dbraw/zinc/48/24/34/1167482434.db2.gz UHQKZKTXRMGNIC-UHFFFAOYSA-N 0 2 318.322 0.161 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H](C(N)=O)CC[C@@H]1C ZINC001592496340 1167539072 /nfs/dbraw/zinc/53/90/72/1167539072.db2.gz DLNZWJMLLKHMQP-CBAPKCEASA-N 0 2 318.337 0.494 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC[N@H+](C)[C@@H](C)[C@H]1C ZINC001592498951 1167539477 /nfs/dbraw/zinc/53/94/77/1167539477.db2.gz FGNNFCVRRGHGEP-DTWKUNHWSA-N 0 2 304.354 0.933 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCN(C)[C@@H](C)[C@H]1C ZINC001592498951 1167539480 /nfs/dbraw/zinc/53/94/80/1167539480.db2.gz FGNNFCVRRGHGEP-DTWKUNHWSA-N 0 2 304.354 0.933 20 0 DCADLN C[C@](CCF)(NC(=O)c1cn2cc(C[NH3+])ccc2n1)C(=O)[O-] ZINC001592497351 1167540030 /nfs/dbraw/zinc/54/00/30/1167540030.db2.gz GSRYSTCJMNVGNO-CQSZACIVSA-N 0 2 308.313 0.726 20 0 DCADLN Cn1c(=O)[nH]c(NC2(C)C(C)(C)C2(C)C)c(-c2nn[nH]n2)c1=O ZINC001592544266 1167550650 /nfs/dbraw/zinc/55/06/50/1167550650.db2.gz RDVXNJINXNLTRU-UHFFFAOYSA-N 0 2 319.369 0.903 20 0 DCADLN Cn1c(=O)[nH]c(NCC[C@@H]2CC[C@@H]3C[C@@H]32)c(-c2nn[nH]n2)c1=O ZINC001592546849 1167552599 /nfs/dbraw/zinc/55/25/99/1167552599.db2.gz SDXPSGXZWMPIHL-DJLDLDEBSA-N 0 2 317.353 0.514 20 0 DCADLN O=C(N[C@H]1CCCc2cn[nH]c21)c1cc(-c2nn[nH]n2)ccn1 ZINC001592986351 1167680677 /nfs/dbraw/zinc/68/06/77/1167680677.db2.gz XWZCHUKUQBUHAX-JTQLQIEISA-N 0 2 310.321 0.792 20 0 DCADLN O=C(c1cc(-c2nn[nH]n2)cs1)N1CC[C@H](c2nn[nH]n2)C1 ZINC001593326448 1167772196 /nfs/dbraw/zinc/77/21/96/1167772196.db2.gz ARQNQKRSGDJLDD-LURJTMIESA-N 0 2 317.338 0.071 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@@H]2[N@@H+]1Cc1nnc2n1CCOC2 ZINC001594341238 1167965847 /nfs/dbraw/zinc/96/58/47/1167965847.db2.gz SQRNRRYRUOYIHL-RHYQMDGZSA-N 0 2 322.365 0.403 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@@H]2[N@H+]1Cc1nnc2n1CCOC2 ZINC001594341238 1167965853 /nfs/dbraw/zinc/96/58/53/1167965853.db2.gz SQRNRRYRUOYIHL-RHYQMDGZSA-N 0 2 322.365 0.403 20 0 DCADLN CC(=O)c1ccc(O)c(NC(=O)CCn2cc[nH]c(=O)c2=O)c1 ZINC001598063709 1168015874 /nfs/dbraw/zinc/01/58/74/1168015874.db2.gz CEPWWGAXIOJKFU-UHFFFAOYSA-N 0 2 317.301 0.474 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)OC)CC[N@@H+]1CC1(CC(=O)[O-])CC1 ZINC001598797536 1168042542 /nfs/dbraw/zinc/04/25/42/1168042542.db2.gz FADLPGVBLSUKFS-WDEREUQCSA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)OC)CC[N@H+]1CC1(CC(=O)[O-])CC1 ZINC001598797536 1168042554 /nfs/dbraw/zinc/04/25/54/1168042554.db2.gz FADLPGVBLSUKFS-WDEREUQCSA-N 0 2 313.350 0.668 20 0 DCADLN Cc1c(C(=O)[O-])csc1NC(=O)C(=O)N1CC[NH+](C)CC1 ZINC001600000605 1168086468 /nfs/dbraw/zinc/08/64/68/1168086468.db2.gz LUMBYYJKDFMXFB-UHFFFAOYSA-N 0 2 311.363 0.467 20 0 DCADLN Cc1cc(C(=O)[O-])nc(N2CC[NH+](Cc3cn[nH]c3)CC2)n1 ZINC001600126548 1168125178 /nfs/dbraw/zinc/12/51/78/1168125178.db2.gz SFMVOVVYBSBZSW-UHFFFAOYSA-N 0 2 302.338 0.529 20 0 DCADLN Cc1cc(C[N@H+](CCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])C2CC2)nn1C ZINC001600189885 1168134321 /nfs/dbraw/zinc/13/43/21/1168134321.db2.gz AYKOIGPFHYMTNU-KBPBESRZSA-N 0 2 320.393 0.530 20 0 DCADLN Cc1cc(C[N@@H+](CCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])C2CC2)nn1C ZINC001600189885 1168134325 /nfs/dbraw/zinc/13/43/25/1168134325.db2.gz AYKOIGPFHYMTNU-KBPBESRZSA-N 0 2 320.393 0.530 20 0 DCADLN Cc1cc(F)c(C(=O)[O-])cc1S(=O)(=O)NC[C@@H]1CC[N@H+]1C ZINC001600224846 1168141561 /nfs/dbraw/zinc/14/15/61/1168141561.db2.gz WQLIPQGOAXIWRL-VIFPVBQESA-N 0 2 316.354 0.815 20 0 DCADLN Cc1cc(F)c(C(=O)[O-])cc1S(=O)(=O)NC[C@@H]1CC[N@@H+]1C ZINC001600224846 1168141564 /nfs/dbraw/zinc/14/15/64/1168141564.db2.gz WQLIPQGOAXIWRL-VIFPVBQESA-N 0 2 316.354 0.815 20 0 DCADLN Cc1ccc2ncc(C[N@@H+]3CCC[C@@H]3C(=O)NCC(=O)[O-])n2c1 ZINC001600503924 1168193567 /nfs/dbraw/zinc/19/35/67/1168193567.db2.gz SXDKJTRLMWTMAV-CYBMUJFWSA-N 0 2 316.361 0.808 20 0 DCADLN Cc1ccc2ncc(C[N@H+]3CCC[C@@H]3C(=O)NCC(=O)[O-])n2c1 ZINC001600503924 1168193571 /nfs/dbraw/zinc/19/35/71/1168193571.db2.gz SXDKJTRLMWTMAV-CYBMUJFWSA-N 0 2 316.361 0.808 20 0 DCADLN Cc1ccc2[nH+]cc(CN3CCC[C@@H]3C(=O)NCC(=O)[O-])n2c1 ZINC001600503924 1168193575 /nfs/dbraw/zinc/19/35/75/1168193575.db2.gz SXDKJTRLMWTMAV-CYBMUJFWSA-N 0 2 316.361 0.808 20 0 DCADLN Cc1cccnc1NC(=O)C[N@H+](CC(=O)[O-])C1CCOCC1 ZINC001600592291 1168205202 /nfs/dbraw/zinc/20/52/02/1168205202.db2.gz DTOVPDNMMZHNAX-UHFFFAOYSA-N 0 2 307.350 0.894 20 0 DCADLN Cc1cccnc1NC(=O)C[N@@H+](CC(=O)[O-])C1CCOCC1 ZINC001600592291 1168205207 /nfs/dbraw/zinc/20/52/07/1168205207.db2.gz DTOVPDNMMZHNAX-UHFFFAOYSA-N 0 2 307.350 0.894 20 0 DCADLN Cc1cccn2cc(CC(=O)N[C@@]3(C(=O)[O-])C[C@@H](O)C3)[nH+]c12 ZINC001600589516 1168205245 /nfs/dbraw/zinc/20/52/45/1168205245.db2.gz VZEYCMICWZOGJH-WGRBQBNCSA-N 0 2 303.318 0.280 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)NC[C@]3(C(=O)[O-])CCOC3)ccn12 ZINC001600627150 1168219501 /nfs/dbraw/zinc/21/95/01/1168219501.db2.gz USMIKNOWTJRPFX-OAHLLOKOSA-N 0 2 303.318 0.864 20 0 DCADLN Cc1nc([C@@](C)([NH2+]CCC(=O)N(C)CC(=O)[O-])C2CC2)no1 ZINC001600688245 1168253783 /nfs/dbraw/zinc/25/37/83/1168253783.db2.gz ONXDCZAOPIJFSP-AWEZNQCLSA-N 0 2 310.354 0.526 20 0 DCADLN Cc1nc(CN2CC[NH+](C[C@H]3[C@@H](C(=O)[O-])C3(F)F)CC2)n[nH]1 ZINC001600701167 1168256253 /nfs/dbraw/zinc/25/62/53/1168256253.db2.gz QALIWHJFAWZROM-ONGXEEELSA-N 0 2 315.324 0.197 20 0 DCADLN Cc1nn(C)c(C)c1[C@@H](C)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600769126 1168284621 /nfs/dbraw/zinc/28/46/21/1168284621.db2.gz NFPVVPPWPLKULE-SKDRFNHKSA-N 0 2 319.365 0.607 20 0 DCADLN Cc1nn2cccnc2c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600791108 1168298071 /nfs/dbraw/zinc/29/80/71/1168298071.db2.gz XSPOIPVXQQPKID-SNVBAGLBSA-N 0 2 314.305 0.187 20 0 DCADLN Cc1nn2cccnc2c1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600791108 1168298076 /nfs/dbraw/zinc/29/80/76/1168298076.db2.gz XSPOIPVXQQPKID-SNVBAGLBSA-N 0 2 314.305 0.187 20 0 DCADLN Cc1noc(C[N@@H+](C)CCCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600812502 1168341443 /nfs/dbraw/zinc/34/14/43/1168341443.db2.gz HVVHXBIREQSMJK-QWRGUYRKSA-N 0 2 310.354 0.379 20 0 DCADLN Cn1cc(C(=O)[O-])c(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)n1 ZINC001600935944 1168518374 /nfs/dbraw/zinc/51/83/74/1168518374.db2.gz VHBVGJGZYPLXDR-JTQLQIEISA-N 0 2 323.353 0.057 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)C34CC(C(=O)[O-])(C3)C4)CC[NH2+]2)cn1 ZINC001600943409 1168524193 /nfs/dbraw/zinc/52/41/93/1168524193.db2.gz HOIWKDGMDAYIIL-QGLTVNCISA-N 0 2 304.350 0.148 20 0 DCADLN Cn1c[nH+]cc1CN1C(=O)NC2(CCC(C(=O)[O-])CC2)C1=O ZINC001600993028 1168550406 /nfs/dbraw/zinc/55/04/06/1168550406.db2.gz ILENWSKRTBKLQE-UHFFFAOYSA-N 0 2 306.322 0.486 20 0 DCADLN Cn1nc(C2CC2)cc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601013083 1168561933 /nfs/dbraw/zinc/56/19/33/1168561933.db2.gz LVLVRBSTRKBRTP-LLVKDONJSA-N 0 2 303.322 0.446 20 0 DCADLN Cn1nc(C2CC2)cc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601013083 1168561940 /nfs/dbraw/zinc/56/19/40/1168561940.db2.gz LVLVRBSTRKBRTP-LLVKDONJSA-N 0 2 303.322 0.446 20 0 DCADLN Nc1nc(Cl)ccc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601220536 1168663173 /nfs/dbraw/zinc/66/31/73/1168663173.db2.gz YUGOUYBMAMYUCJ-QMMMGPOBSA-N 0 2 309.713 0.466 20 0 DCADLN Nc1nc(Cl)ccc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601220536 1168663176 /nfs/dbraw/zinc/66/31/76/1168663176.db2.gz YUGOUYBMAMYUCJ-QMMMGPOBSA-N 0 2 309.713 0.466 20 0 DCADLN O=C([O-])[C@@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccc(O)cc1 ZINC001601231957 1168671385 /nfs/dbraw/zinc/67/13/85/1168671385.db2.gz PNLCHOXYPBLPHR-OYADATRGSA-N 0 2 320.345 0.523 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CSCc1cccnc1 ZINC001601347818 1168716263 /nfs/dbraw/zinc/71/62/63/1168716263.db2.gz IXINBDGJUAQKBF-LBPRGKRZSA-N 0 2 320.374 0.850 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CSCc1cccnc1 ZINC001601347818 1168716271 /nfs/dbraw/zinc/71/62/71/1168716271.db2.gz IXINBDGJUAQKBF-LBPRGKRZSA-N 0 2 320.374 0.850 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cnc2[nH]cnc2c1 ZINC001601425997 1168742670 /nfs/dbraw/zinc/74/26/70/1168742670.db2.gz OYTABHMXHKEAIS-SNVBAGLBSA-N 0 2 300.278 0.038 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)N[C@@H]2Cc3c[nH+]cn3C2)s1 ZINC001601548132 1168780355 /nfs/dbraw/zinc/78/03/55/1168780355.db2.gz KTSCFWLXYKJZTH-SSDOTTSWSA-N 0 2 307.335 0.462 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1c2nc[nH]c2ccc1F ZINC001601568405 1168785463 /nfs/dbraw/zinc/78/54/63/1168785463.db2.gz POLHMDYJONVUGF-SNVBAGLBSA-N 0 2 317.280 0.851 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCCC[N@H+](Cc1cnns1)C1CC1 ZINC001601776837 1168875267 /nfs/dbraw/zinc/87/52/67/1168875267.db2.gz AMDJDAGWSIWOMO-RYUDHWBXSA-N 0 2 324.406 0.730 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCCC[N@@H+](Cc1cnns1)C1CC1 ZINC001601776837 1168875277 /nfs/dbraw/zinc/87/52/77/1168875277.db2.gz AMDJDAGWSIWOMO-RYUDHWBXSA-N 0 2 324.406 0.730 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001601779592 1168883730 /nfs/dbraw/zinc/88/37/30/1168883730.db2.gz PCDWIFGMSPHNSF-KGLIPLIRSA-N 0 2 310.394 0.668 20 0 DCADLN O=C([O-])C1CCN(C(=O)CSCC[NH+]2CCOCC2)CC1 ZINC001601822437 1168905982 /nfs/dbraw/zinc/90/59/82/1168905982.db2.gz IDIVHWKVOOTZIL-UHFFFAOYSA-N 0 2 316.423 0.375 20 0 DCADLN O=C([O-])[C@@H]1CSCC[N@H+](CN2C(=O)c3ccccc3C2=O)C1 ZINC001601856696 1168918114 /nfs/dbraw/zinc/91/81/14/1168918114.db2.gz KZCPIWNVZISMDT-JTQLQIEISA-N 0 2 320.370 0.990 20 0 DCADLN O=C([O-])[C@@H]1CSCC[N@@H+](CN2C(=O)c3ccccc3C2=O)C1 ZINC001601856696 1168918118 /nfs/dbraw/zinc/91/81/18/1168918118.db2.gz KZCPIWNVZISMDT-JTQLQIEISA-N 0 2 320.370 0.990 20 0 DCADLN O=C([O-])CC1([NH2+]Cc2cc(=O)n3[nH]ccc3n2)CCOCC1 ZINC001601935920 1168940580 /nfs/dbraw/zinc/94/05/80/1168940580.db2.gz FGTRYBBHKVKFAA-UHFFFAOYSA-N 0 2 306.322 0.136 20 0 DCADLN O=C([O-])CCCc1nnc(NC(=O)[C@@H]2CSC[NH2+]2)s1 ZINC001602002979 1168958779 /nfs/dbraw/zinc/95/87/79/1168958779.db2.gz UJWYDXJRKDETKS-LURJTMIESA-N 0 2 302.381 0.546 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)c1ncnc2ccccc21 ZINC001602056027 1168977427 /nfs/dbraw/zinc/97/74/27/1168977427.db2.gz AYSNAQHOAMARSG-UHFFFAOYSA-N 0 2 316.361 0.853 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CC[C@H]3CS(=O)(=O)C[C@H]3C2)c1 ZINC001602149908 1169003304 /nfs/dbraw/zinc/00/33/04/1169003304.db2.gz CYYZTPNILSIUIL-NWDGAFQWSA-N 0 2 310.375 0.580 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)cn1 ZINC001602400148 1169070838 /nfs/dbraw/zinc/07/08/38/1169070838.db2.gz TZULNUXQZBPGOF-VIFPVBQESA-N 0 2 322.346 0.270 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCCN(C(=O)CCc3c[nH]c[nH+]3)C2)nn1 ZINC001602492723 1169090973 /nfs/dbraw/zinc/09/09/73/1169090973.db2.gz DXAUECZRVLGAHR-LLVKDONJSA-N 0 2 318.337 0.496 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCCN(C(=O)CCc3c[nH+]c[nH]3)C2)nn1 ZINC001602492723 1169090981 /nfs/dbraw/zinc/09/09/81/1169090981.db2.gz DXAUECZRVLGAHR-LLVKDONJSA-N 0 2 318.337 0.496 20 0 DCADLN CC(C)(C)N1CC[C@@H]([N@@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)C1=O ZINC001603344068 1169296714 /nfs/dbraw/zinc/29/67/14/1169296714.db2.gz DYRPLJZWVCOIIA-LLVKDONJSA-N 0 2 306.366 0.865 20 0 DCADLN CC(C)(C)N1CC[C@@H]([N@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)C1=O ZINC001603344068 1169296719 /nfs/dbraw/zinc/29/67/19/1169296719.db2.gz DYRPLJZWVCOIIA-LLVKDONJSA-N 0 2 306.366 0.865 20 0 DCADLN C[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@H](C)S1 ZINC001603936084 1169441440 /nfs/dbraw/zinc/44/14/40/1169441440.db2.gz MRQBSLXCMPTRRV-AOOOYVTPSA-N 0 2 306.395 0.812 20 0 DCADLN C[C@@H](CNC(=O)CCc1ocnc1C(=O)[O-])Cn1cc[nH+]c1 ZINC001604174579 1169513864 /nfs/dbraw/zinc/51/38/64/1169513864.db2.gz YPSJKOGUHPLVMJ-JTQLQIEISA-N 0 2 306.322 0.954 20 0 DCADLN C[C@H](Cc1ccc(NC(=O)CN2CC[NH2+]CC2=O)cc1)C(=O)[O-] ZINC001604223838 1169529165 /nfs/dbraw/zinc/52/91/65/1169529165.db2.gz DINSTTBAGWNVBJ-LLVKDONJSA-N 0 2 319.361 0.320 20 0 DCADLN C[C@H](NC(=O)c1cncc(C(=O)[O-])c1)[C@H](C)[NH+]1CCOCC1 ZINC001604315721 1169552923 /nfs/dbraw/zinc/55/29/23/1169552923.db2.gz GKKBHBYWMQNHJF-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CCC(C)(C)O2)c1=O ZINC001604600801 1169626695 /nfs/dbraw/zinc/62/66/95/1169626695.db2.gz GVMCQTRIBMIXSQ-SECBINFHSA-N 0 2 320.353 0.168 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)N(C)C)C(=O)[O-] ZINC001604932371 1169752636 /nfs/dbraw/zinc/75/26/36/1169752636.db2.gz NGZFJGDEHWLJLC-GVXVVHGQSA-N 0 2 313.398 0.155 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)N(C)C)C(=O)[O-] ZINC001604932371 1169752639 /nfs/dbraw/zinc/75/26/39/1169752639.db2.gz NGZFJGDEHWLJLC-GVXVVHGQSA-N 0 2 313.398 0.155 20 0 DCADLN CO[C@H](C)CCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605001929 1169774547 /nfs/dbraw/zinc/77/45/47/1169774547.db2.gz BRZLEFWGUXQUGG-MRVPVSSYSA-N 0 2 306.326 0.431 20 0 DCADLN COC[C@H]1CCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001605071146 1169805793 /nfs/dbraw/zinc/80/57/93/1169805793.db2.gz GSIFUWVPRAFBRA-VIFPVBQESA-N 0 2 318.337 0.384 20 0 DCADLN CCN1C[C@H](C[N@H+](C)[C@@H](COC2CCC2)C(=O)[O-])OC1=O ZINC001605469164 1169931467 /nfs/dbraw/zinc/93/14/67/1169931467.db2.gz FFYABODTOKUNQC-RYUDHWBXSA-N 0 2 300.355 0.781 20 0 DCADLN CCN1C[C@H](C[N@@H+](C)[C@@H](COC2CCC2)C(=O)[O-])OC1=O ZINC001605469164 1169931472 /nfs/dbraw/zinc/93/14/72/1169931472.db2.gz FFYABODTOKUNQC-RYUDHWBXSA-N 0 2 300.355 0.781 20 0 DCADLN CCNc1cccnc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001605502832 1169940002 /nfs/dbraw/zinc/94/00/02/1169940002.db2.gz NLGWLTHZCZLWOB-NSHDSACASA-N 0 2 303.322 0.662 20 0 DCADLN CCNc1cccnc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001605502832 1169940007 /nfs/dbraw/zinc/94/00/07/1169940007.db2.gz NLGWLTHZCZLWOB-NSHDSACASA-N 0 2 303.322 0.662 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)CC(C)(C)CO ZINC001605505072 1169942074 /nfs/dbraw/zinc/94/20/74/1169942074.db2.gz WGLNRLLPPZMJPX-UHFFFAOYSA-N 0 2 320.353 0.366 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC(C)(O)CC1 ZINC001605507182 1169942268 /nfs/dbraw/zinc/94/22/68/1169942268.db2.gz VHZOXTZYMLGNHX-UHFFFAOYSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nnn[n-]2)c(=O)n(Cc2[nH+]ccn2CC(F)F)c1 ZINC001605511113 1169945362 /nfs/dbraw/zinc/94/53/62/1169945362.db2.gz XCPYXFZUTMTQTR-UHFFFAOYSA-N 0 2 321.291 0.847 20 0 DCADLN CCc1nc(NC(=O)[C@]2(C)C[C@H](O)C[N@@H+]2C)sc1C(=O)[O-] ZINC001605854071 1170013835 /nfs/dbraw/zinc/01/38/35/1170013835.db2.gz OLUBEPJNWNGULT-CPFSXVBKSA-N 0 2 313.379 0.797 20 0 DCADLN Cc1ccn(CN2CCC(C)(O)CC2)c(=O)c1-c1nn[nH]n1 ZINC001605948587 1170032416 /nfs/dbraw/zinc/03/24/16/1170032416.db2.gz CLFMLGNTYOFUBQ-UHFFFAOYSA-N 0 2 304.354 0.141 20 0 DCADLN Cc1nnc([C@@H]2CCCN(c3cnc(-c4nn[nH]n4)cn3)C2)[nH]1 ZINC001606040038 1170062306 /nfs/dbraw/zinc/06/23/06/1170062306.db2.gz MDXWCPQWXWOYKV-SECBINFHSA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nn(CC(=O)NC2CCCC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114915 1170081282 /nfs/dbraw/zinc/08/12/82/1170081282.db2.gz AWGXZMUVJZWMBT-UHFFFAOYSA-N 0 2 317.353 0.099 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C(=O)N1CCC[C@@H]1CC(=O)[O-] ZINC001606125489 1170084510 /nfs/dbraw/zinc/08/45/10/1170084510.db2.gz CMEURZQEBWPPCX-GFCCVEGCSA-N 0 2 322.365 0.197 20 0 DCADLN Cn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@@H](C(=O)[O-])C1 ZINC001606224481 1170122821 /nfs/dbraw/zinc/12/28/21/1170122821.db2.gz JNBRWOFRHNETOR-WDEREUQCSA-N 0 2 309.370 0.262 20 0 DCADLN Cn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCO[C@@H](C(=O)[O-])C1 ZINC001606224481 1170122822 /nfs/dbraw/zinc/12/28/22/1170122822.db2.gz JNBRWOFRHNETOR-WDEREUQCSA-N 0 2 309.370 0.262 20 0 DCADLN Cn1cc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@H+](C)CC1(C)C ZINC001606244802 1170133940 /nfs/dbraw/zinc/13/39/40/1170133940.db2.gz JYVNELRKTSDPDD-UHFFFAOYSA-N 0 2 315.395 0.438 20 0 DCADLN Cn1cc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@@H+](C)CC1(C)C ZINC001606244802 1170133942 /nfs/dbraw/zinc/13/39/42/1170133942.db2.gz JYVNELRKTSDPDD-UHFFFAOYSA-N 0 2 315.395 0.438 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC001606332567 1170160701 /nfs/dbraw/zinc/16/07/01/1170160701.db2.gz QIIZHUMBVLJIIC-NEPJUHHUSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC001606332567 1170160702 /nfs/dbraw/zinc/16/07/02/1170160702.db2.gz QIIZHUMBVLJIIC-NEPJUHHUSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@]1([NH2+]CCC(=O)NCC(=O)[O-])CCCC(C)(C)C1 ZINC001606354781 1170168156 /nfs/dbraw/zinc/16/81/56/1170168156.db2.gz VEOISIBPHIVTGD-HNNXBMFYSA-N 0 2 314.382 0.679 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@H](C(=O)[O-])C1 ZINC001606402341 1170185918 /nfs/dbraw/zinc/18/59/18/1170185918.db2.gz CFVRSMVTDURGAR-ONGXEEELSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@H](C(=O)[O-])C1 ZINC001606402341 1170185923 /nfs/dbraw/zinc/18/59/23/1170185923.db2.gz CFVRSMVTDURGAR-ONGXEEELSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[NH2+][C@H](C(=O)[O-])c1ccccc1)C(C)C ZINC001606505045 1170221614 /nfs/dbraw/zinc/22/16/14/1170221614.db2.gz AAGJWPMGTJNXCE-KBPBESRZSA-N 0 2 322.361 0.716 20 0 DCADLN O=C(NN1CCCC1=O)C(F)(F)c1ccccc1-c1nn[nH]n1 ZINC001607044225 1170366421 /nfs/dbraw/zinc/36/64/21/1170366421.db2.gz ZOCFYHJXPJAGOH-UHFFFAOYSA-N 0 2 322.275 0.612 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1nnc(C2CC2)s1 ZINC001607089568 1170387645 /nfs/dbraw/zinc/38/76/45/1170387645.db2.gz AGHSYWUVNMJACX-UHFFFAOYSA-N 0 2 302.323 0.201 20 0 DCADLN O=c1[nH]nc2n1CCN(Cc1ccc(-c3nn[nH]n3)s1)C2 ZINC001607088926 1170388066 /nfs/dbraw/zinc/38/80/66/1170388066.db2.gz VEEIJHUXAQRXEB-UHFFFAOYSA-N 0 2 304.339 0.241 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cc(C(=O)[O-])on2)C[C@H](C)O1 ZINC001607476438 1170464055 /nfs/dbraw/zinc/46/40/55/1170464055.db2.gz RHLDVLDAAOJTGY-AOOOYVTPSA-N 0 2 311.338 0.554 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)c2cc(C(=O)[O-])on2)C[C@H](C)O1 ZINC001607476438 1170464072 /nfs/dbraw/zinc/46/40/72/1170464072.db2.gz RHLDVLDAAOJTGY-AOOOYVTPSA-N 0 2 311.338 0.554 20 0 DCADLN Cc1c(NC(=O)[C@H](c2c[nH+]cn2C)N(C)C)cnn1CC(=O)[O-] ZINC001607541390 1170475468 /nfs/dbraw/zinc/47/54/68/1170475468.db2.gz VSNATUSVNSYZSO-ZDUSSCGKSA-N 0 2 320.353 0.251 20 0 DCADLN Cc1cccc(OC[C@H](O)C[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC001607858859 1170514344 /nfs/dbraw/zinc/51/43/44/1170514344.db2.gz JYDOWSXKNAVJEJ-TZMCWYRMSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1cccc(OC[C@H](O)C[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC001607858859 1170514347 /nfs/dbraw/zinc/51/43/47/1170514347.db2.gz JYDOWSXKNAVJEJ-TZMCWYRMSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@H+](Cn3cc(C(=O)[O-])nn3)C2)n1 ZINC001608025879 1170551811 /nfs/dbraw/zinc/55/18/11/1170551811.db2.gz PCAJRFQENONXPC-JTQLQIEISA-N 0 2 306.326 0.580 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@@H+](Cn3cc(C(=O)[O-])nn3)C2)n1 ZINC001608025879 1170551828 /nfs/dbraw/zinc/55/18/28/1170551828.db2.gz PCAJRFQENONXPC-JTQLQIEISA-N 0 2 306.326 0.580 20 0 DCADLN Cn1cc(C[NH+]2CCN([C@H](C(=O)[O-])c3cccnc3)CC2)cn1 ZINC001608111529 1170576761 /nfs/dbraw/zinc/57/67/61/1170576761.db2.gz HDGPNGZBZZMBBM-HNNXBMFYSA-N 0 2 315.377 0.759 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)NC[C@@H](C(=O)[O-])c1ccccc1 ZINC001608281531 1170642057 /nfs/dbraw/zinc/64/20/57/1170642057.db2.gz JNVCALRAVPRLFP-IJVDHGTGSA-N 0 2 318.373 0.859 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CCC(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC001608308835 1170647278 /nfs/dbraw/zinc/64/72/78/1170647278.db2.gz CIPSBPBVMQRPSY-HNNXBMFYSA-N 0 2 321.329 0.816 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CCC(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC001608308835 1170647283 /nfs/dbraw/zinc/64/72/83/1170647283.db2.gz CIPSBPBVMQRPSY-HNNXBMFYSA-N 0 2 321.329 0.816 20 0 DCADLN O=C([O-])C1(O)CC[NH+](CC(=O)Nc2cc(F)cc(F)c2)CC1 ZINC001608327175 1170650618 /nfs/dbraw/zinc/65/06/18/1170650618.db2.gz KLMDBEBFCGGKRO-UHFFFAOYSA-N 0 2 314.288 0.815 20 0 DCADLN O=C(C[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1)NCC1CCCCC1 ZINC001608329772 1170651887 /nfs/dbraw/zinc/65/18/87/1170651887.db2.gz YINNZLWFMILYAX-INIZCTEOSA-N 0 2 323.393 0.988 20 0 DCADLN O=C(C[N@@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1)NCC1CCCCC1 ZINC001608329772 1170651889 /nfs/dbraw/zinc/65/18/89/1170651889.db2.gz YINNZLWFMILYAX-INIZCTEOSA-N 0 2 323.393 0.988 20 0 DCADLN O=C([O-])[C@@H]1CCCN(CC(=O)OCCCn2cc[nH+]c2)C1=O ZINC001608332896 1170652744 /nfs/dbraw/zinc/65/27/44/1170652744.db2.gz OSYIMPLBJYGAMR-LLVKDONJSA-N 0 2 309.322 0.140 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cn1cc(C2CC2)cn1 ZINC001608376771 1170663286 /nfs/dbraw/zinc/66/32/86/1170663286.db2.gz ATOXLIPRNXNQDC-GFCCVEGCSA-N 0 2 303.322 0.296 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)Cn1cc(C2CC2)cn1 ZINC001608376771 1170663287 /nfs/dbraw/zinc/66/32/87/1170663287.db2.gz ATOXLIPRNXNQDC-GFCCVEGCSA-N 0 2 303.322 0.296 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC001608712838 1170742231 /nfs/dbraw/zinc/74/22/31/1170742231.db2.gz WELHTLKWHLKLIH-GFCCVEGCSA-N 0 2 322.365 0.418 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](Cc2cc(=O)n3[nH]ccc3n2)C[C@H]1C1CC1 ZINC001608809112 1170758394 /nfs/dbraw/zinc/75/83/94/1170758394.db2.gz BKFUNCWALIREHC-NWDGAFQWSA-N 0 2 302.334 0.565 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](Cc2cc(=O)n3[nH]ccc3n2)C[C@H]1C1CC1 ZINC001608809112 1170758396 /nfs/dbraw/zinc/75/83/96/1170758396.db2.gz BKFUNCWALIREHC-NWDGAFQWSA-N 0 2 302.334 0.565 20 0 DCADLN O=C([O-])c1ccc(N2CC[NH+](Cc3cncs3)CC2)nn1 ZINC001608898764 1170769732 /nfs/dbraw/zinc/76/97/32/1170769732.db2.gz MLGSINLOEYVZKP-UHFFFAOYSA-N 0 2 305.363 0.954 20 0 DCADLN O=C([O-])c1ccoc1Cn1cc(C[NH+]2CCC(O)CC2)nn1 ZINC001608955763 1170774974 /nfs/dbraw/zinc/77/49/74/1170774974.db2.gz OSTFNVSYRJJIAJ-UHFFFAOYSA-N 0 2 306.322 0.574 20 0 DCADLN O=C([O-])c1cn(CN2CC[NH+](Cc3ccncc3)CC2)nn1 ZINC001608965030 1170777742 /nfs/dbraw/zinc/77/77/42/1170777742.db2.gz VVRDALQQYSAXEM-UHFFFAOYSA-N 0 2 302.338 0.147 20 0 DCADLN O=C([O-])c1cncc(Nc2cnn(CC[NH+]3CCOCC3)c2)n1 ZINC001608982803 1170780294 /nfs/dbraw/zinc/78/02/94/1170780294.db2.gz ZGCDTWXBKFZCPP-UHFFFAOYSA-N 0 2 318.337 0.447 20 0 DCADLN O=C([O-])c1csc(C[NH+]2CCC(N3CCNC3=O)CC2)n1 ZINC001608991817 1170782045 /nfs/dbraw/zinc/78/20/45/1170782045.db2.gz OLHHMMZIZWENEE-UHFFFAOYSA-N 0 2 310.379 0.831 20 0 DCADLN CC(C)(NS(=O)(=O)c1ccc(-c2nn[nH]n2)o1)C(F)F ZINC001609084857 1170802383 /nfs/dbraw/zinc/80/23/83/1170802383.db2.gz HKQLTHRNQXSSLS-UHFFFAOYSA-N 0 2 307.282 0.782 20 0 DCADLN CN(Cc1cnc2c(-c3nn[nH]n3)cnn2c1)C[C@H]1CCCO1 ZINC001609281256 1170909592 /nfs/dbraw/zinc/90/95/92/1170909592.db2.gz OFPGXTSDOYZFJJ-LLVKDONJSA-N 0 2 314.353 0.520 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2cn(CC3CC3)nn2)c1 ZINC001609386457 1170960440 /nfs/dbraw/zinc/96/04/40/1170960440.db2.gz NVMNSRFDLBZJTQ-UHFFFAOYSA-N 0 2 312.337 0.387 20 0 DCADLN Cc1nn(C)c(Cl)c1Cn1cncc(-c2nn[nH]n2)c1=O ZINC001609458664 1170985339 /nfs/dbraw/zinc/98/53/39/1170985339.db2.gz KBBPJCWPVQJCSR-UHFFFAOYSA-N 0 2 306.717 0.167 20 0 DCADLN O=C1[C@@H](NCc2ccc(-c3nn[nH]n3)o2)C[C@@H]2CCCCN12 ZINC001609586870 1171033232 /nfs/dbraw/zinc/03/32/32/1171033232.db2.gz WBRGIARKAQFKIU-ONGXEEELSA-N 0 2 302.338 0.703 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CCC1OCCCO1)CCC2 ZINC001609604321 1171039148 /nfs/dbraw/zinc/03/91/48/1171039148.db2.gz HRESUQCNISNVLG-UHFFFAOYSA-N 0 2 317.349 0.670 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CC[N@@H+]1Cn1nc(-c2cnn(C)c2)ccc1=O ZINC001609833660 1171098701 /nfs/dbraw/zinc/09/87/01/1171098701.db2.gz LQXIDLJAVFMRCG-PWSUYJOCSA-N 0 2 317.349 0.396 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CC[N@H+]1Cn1nc(-c2cnn(C)c2)ccc1=O ZINC001609833660 1171098709 /nfs/dbraw/zinc/09/87/09/1171098709.db2.gz LQXIDLJAVFMRCG-PWSUYJOCSA-N 0 2 317.349 0.396 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cnc(C(=O)[O-])cn1 ZINC001609839280 1171101515 /nfs/dbraw/zinc/10/15/15/1171101515.db2.gz IVEWKXROKYLOHX-WDEREUQCSA-N 0 2 320.349 0.110 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2cc(C(=O)[O-])ccc2F)CC1 ZINC001610135596 1171170689 /nfs/dbraw/zinc/17/06/89/1171170689.db2.gz YIPCTJXKJBWDAT-UHFFFAOYSA-N 0 2 309.297 0.236 20 0 DCADLN COc1ccccc1OCC[N@H+](C)CN1C[C@@H](C(=O)[O-])OC1=O ZINC001610367960 1171225420 /nfs/dbraw/zinc/22/54/20/1171225420.db2.gz VMBBISOMWVGCAH-ZDUSSCGKSA-N 0 2 324.333 0.869 20 0 DCADLN COc1ccccc1OCC[N@@H+](C)CN1C[C@@H](C(=O)[O-])OC1=O ZINC001610367960 1171225427 /nfs/dbraw/zinc/22/54/27/1171225427.db2.gz VMBBISOMWVGCAH-ZDUSSCGKSA-N 0 2 324.333 0.869 20 0 DCADLN Cc1cc(=O)[nH]c([C@H](C)[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610475544 1171241288 /nfs/dbraw/zinc/24/12/88/1171241288.db2.gz BBJLZDBZYFYBNQ-UWVGGRQHSA-N 0 2 318.337 0.788 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[N@@H+](CCO)C[C@@H]1C ZINC001610543148 1171250509 /nfs/dbraw/zinc/25/05/09/1171250509.db2.gz LDABVDADJYSHFR-NSHDSACASA-N 0 2 310.350 0.354 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[N@H+](CCO)C[C@@H]1C ZINC001610543148 1171250518 /nfs/dbraw/zinc/25/05/18/1171250518.db2.gz LDABVDADJYSHFR-NSHDSACASA-N 0 2 310.350 0.354 20 0 DCADLN Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N[C@H](CO)C(=O)[O-] ZINC001610559847 1171254046 /nfs/dbraw/zinc/25/40/46/1171254046.db2.gz PHBREIREJQQPIE-SNVBAGLBSA-N 0 2 313.260 0.185 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CCC2(CC(C(=O)[O-])=NO2)CC1 ZINC001610611777 1171266929 /nfs/dbraw/zinc/26/69/29/1171266929.db2.gz XWSWJVRZMLSYNN-UHFFFAOYSA-N 0 2 306.322 0.185 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](CC(=O)Nc2cccc(F)c2)CC1 ZINC001610662477 1171283941 /nfs/dbraw/zinc/28/39/41/1171283941.db2.gz JJKUOEPNIMYQEO-AWEZNQCLSA-N 0 2 310.325 0.922 20 0 DCADLN O=C([O-])C1=NOC2(C1)CC[NH+](CC(=O)NCC(F)(F)F)CC2 ZINC001610664850 1171284949 /nfs/dbraw/zinc/28/49/49/1171284949.db2.gz YOKOEZNLJUHJGU-UHFFFAOYSA-N 0 2 323.271 0.360 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@H+](CC(=O)NCc3ccco3)C2)nn1 ZINC001610664411 1171285655 /nfs/dbraw/zinc/28/56/55/1171285655.db2.gz QNZTYRMGRUAGKU-SNVBAGLBSA-N 0 2 319.321 0.133 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@@H+](CC(=O)NCc3ccco3)C2)nn1 ZINC001610664411 1171285662 /nfs/dbraw/zinc/28/56/62/1171285662.db2.gz QNZTYRMGRUAGKU-SNVBAGLBSA-N 0 2 319.321 0.133 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(CN2CC[NH+](Cc3ccsc3)CC2)C1 ZINC001610734346 1171302140 /nfs/dbraw/zinc/30/21/40/1171302140.db2.gz IAHGCMCPLZJVMO-CYBMUJFWSA-N 0 2 323.418 0.756 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)CN(C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC001610736285 1171303494 /nfs/dbraw/zinc/30/34/94/1171303494.db2.gz GHPQXFSZJNCDEM-RYUDHWBXSA-N 0 2 318.333 0.311 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1Cc1cccc2c1OCO2 ZINC001610783095 1171322563 /nfs/dbraw/zinc/32/25/63/1171322563.db2.gz MONHZGGLCAGNOI-LLVKDONJSA-N 0 2 306.318 0.581 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1Cc1cccc2c1OCO2 ZINC001610783095 1171322569 /nfs/dbraw/zinc/32/25/69/1171322569.db2.gz MONHZGGLCAGNOI-LLVKDONJSA-N 0 2 306.318 0.581 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1Cc1n[nH]c2ccccc12 ZINC001610782405 1171323239 /nfs/dbraw/zinc/32/32/39/1171323239.db2.gz ATOATBNBCMVJRQ-CYBMUJFWSA-N 0 2 302.334 0.728 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1Cc1n[nH]c2ccccc12 ZINC001610782405 1171323246 /nfs/dbraw/zinc/32/32/46/1171323246.db2.gz ATOATBNBCMVJRQ-CYBMUJFWSA-N 0 2 302.334 0.728 20 0 DCADLN Cc1c2ccccc2c(=O)oc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001621866229 1171416029 /nfs/dbraw/zinc/41/60/29/1171416029.db2.gz NIOHGIIGFCGKSI-UHFFFAOYSA-N 0 2 300.274 0.855 20 0 DCADLN Cc1csc(NCCNC(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC001629476376 1171549210 /nfs/dbraw/zinc/54/92/10/1171549210.db2.gz QPYVMEWITPFOLJ-SSDOTTSWSA-N 0 2 313.408 0.536 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2ccc(-c3cnn(C)c3)o2)C(=O)N1C ZINC001633414027 1171640998 /nfs/dbraw/zinc/64/09/98/1171640998.db2.gz AOVJCNBFPLGFGP-MRVPVSSYSA-N 0 2 317.305 0.607 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CC(c2cccnc2)=NO1 ZINC001633468058 1171642134 /nfs/dbraw/zinc/64/21/34/1171642134.db2.gz LNDWESDACRNFLQ-JTQLQIEISA-N 0 2 319.346 0.605 20 0 DCADLN O=C(NCCOCC(F)F)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001634327274 1171670555 /nfs/dbraw/zinc/67/05/55/1171670555.db2.gz SMOGHJBQKHHBRP-QMMMGPOBSA-N 0 2 319.312 0.681 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1noc2c1CCc1ccccc1-2 ZINC001638137941 1171787056 /nfs/dbraw/zinc/78/70/56/1171787056.db2.gz SJMYXMVOBYLSGR-UHFFFAOYSA-N 0 2 312.285 0.637 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)CCC[C@]1(C)NC(=O)NC1=O ZINC001639788203 1171852066 /nfs/dbraw/zinc/85/20/66/1171852066.db2.gz PZBBHENPDQBXOQ-AWEZNQCLSA-N 0 2 307.354 0.445 20 0 DCADLN C[C@@H](NC(=O)Cn1ncc2cccnc21)c1nn(C)cc1O ZINC001640030537 1171863841 /nfs/dbraw/zinc/86/38/41/1171863841.db2.gz IYSPYRULVXFZRT-SECBINFHSA-N 0 2 300.322 0.748 20 0 DCADLN CCOCc1nnc(NS(=O)(=O)c2cnc(C)n2C)o1 ZINC001646047324 1172230767 /nfs/dbraw/zinc/23/07/67/1172230767.db2.gz QAJLBFSPKHHFLG-UHFFFAOYSA-N 0 2 301.328 0.449 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(CC[C@H]3CCS(=O)(=O)C3)C2)[nH]1 ZINC001647197225 1172735506 /nfs/dbraw/zinc/73/55/06/1172735506.db2.gz NAKUWFUJITTYEI-QWRGUYRKSA-N 0 2 314.411 0.515 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@H+](CC[C@H]3CCS(=O)(=O)C3)C2)n[nH]1 ZINC001647197225 1172735509 /nfs/dbraw/zinc/73/55/09/1172735509.db2.gz NAKUWFUJITTYEI-QWRGUYRKSA-N 0 2 314.411 0.515 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@@H+](CC[C@H]3CCS(=O)(=O)C3)C2)n[nH]1 ZINC001647197225 1172735513 /nfs/dbraw/zinc/73/55/13/1172735513.db2.gz NAKUWFUJITTYEI-QWRGUYRKSA-N 0 2 314.411 0.515 20 0 DCADLN COC[C@H](COC(=O)Cc1c(C)nc2cc[nH]n2c1=O)OC ZINC001647266250 1172775153 /nfs/dbraw/zinc/77/51/53/1172775153.db2.gz KSIKGLCJPYOVRE-SNVBAGLBSA-N 0 2 309.322 0.078 20 0 DCADLN Cn1nccc1C[C@@H]1CCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC001649356278 1173128069 /nfs/dbraw/zinc/12/80/69/1173128069.db2.gz QBQKISCFTWSGRB-WDEREUQCSA-N 0 2 321.406 0.510 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC1CCC(O)CC1 ZINC001649913363 1173302360 /nfs/dbraw/zinc/30/23/60/1173302360.db2.gz OTSUTETURMHPNR-UHFFFAOYSA-N 0 2 318.377 0.541 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC[C@@H]1CCCOC1 ZINC001649930368 1173316558 /nfs/dbraw/zinc/31/65/58/1173316558.db2.gz CQVLTZMLPKBRGZ-LBPRGKRZSA-N 0 2 318.377 0.806 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2cnc3ccccc3n2)[nH]1 ZINC001651460674 1173748929 /nfs/dbraw/zinc/74/89/29/1173748929.db2.gz UYTDFHDJXYGNMM-UHFFFAOYSA-N 0 2 318.362 0.905 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1C)[C@H]1CCN(C)C1=O ZINC001660502341 1174000633 /nfs/dbraw/zinc/00/06/33/1174000633.db2.gz QLJVXCCYYDAPAN-ZDUSSCGKSA-N 0 2 321.425 0.699 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NCC2CC=CC2)[nH]1 ZINC001684051725 1175978828 /nfs/dbraw/zinc/97/88/28/1175978828.db2.gz WJUWYMHSIQMSPV-UHFFFAOYSA-N 0 2 313.383 0.115 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cc[n+]([O-])cc1 ZINC001686874857 1176239544 /nfs/dbraw/zinc/23/95/44/1176239544.db2.gz MFLNRYHICVPRHQ-SECBINFHSA-N 0 2 323.246 0.457 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1cc[n+]([O-])cc1 ZINC001686874857 1176239547 /nfs/dbraw/zinc/23/95/47/1176239547.db2.gz MFLNRYHICVPRHQ-SECBINFHSA-N 0 2 323.246 0.457 20 0 DCADLN COCCCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001686902489 1176244411 /nfs/dbraw/zinc/24/44/11/1176244411.db2.gz CBPPIEITFLVMFP-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN COCCCC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686902489 1176244414 /nfs/dbraw/zinc/24/44/14/1176244414.db2.gz CBPPIEITFLVMFP-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN C[C@]1(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CC=CCC1 ZINC001688697522 1176592711 /nfs/dbraw/zinc/59/27/11/1176592711.db2.gz MZPSIQNPMYLCRX-HNNXBMFYSA-N 0 2 305.382 0.901 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001689318509 1176821799 /nfs/dbraw/zinc/82/17/99/1176821799.db2.gz YHYFWNJJUFZZPF-HUGTUPKYSA-N 0 2 314.279 0.692 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001689318509 1176821806 /nfs/dbraw/zinc/82/18/06/1176821806.db2.gz YHYFWNJJUFZZPF-HUGTUPKYSA-N 0 2 314.279 0.692 20 0 DCADLN COCCC[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001692380386 1177502802 /nfs/dbraw/zinc/50/28/02/1177502802.db2.gz RHEJBQVINLXGMU-WOPDTQHZSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(CS[C@@H]1CCS(=O)(=O)C1)NOCC(F)(F)F ZINC000135220993 1177532741 /nfs/dbraw/zinc/53/27/41/1177532741.db2.gz SUABJWRPVBJTGZ-ZCFIWIBFSA-N 0 2 307.315 0.517 20 0 DCADLN O=C(CS[C@H]1CCS(=O)(=O)C1)NOCC(F)(F)F ZINC000135221015 1177534729 /nfs/dbraw/zinc/53/47/29/1177534729.db2.gz SUABJWRPVBJTGZ-LURJTMIESA-N 0 2 307.315 0.517 20 0 DCADLN CCN(CC[NH2+]Cc1coc(C)n1)C(=O)c1n[nH]c(C)c1[O-] ZINC001699176897 1177913435 /nfs/dbraw/zinc/91/34/35/1177913435.db2.gz GNJVOOLEYOOLDT-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN CO[C@H](C)CC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001701046935 1178903017 /nfs/dbraw/zinc/90/30/17/1178903017.db2.gz MYFKBKGOVQUAAP-SNVBAGLBSA-N 0 2 309.370 0.184 20 0 DCADLN CO[C@H](C)CC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001701046935 1178903022 /nfs/dbraw/zinc/90/30/22/1178903022.db2.gz MYFKBKGOVQUAAP-SNVBAGLBSA-N 0 2 309.370 0.184 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001704513391 1180033504 /nfs/dbraw/zinc/03/35/04/1180033504.db2.gz SJNPLNUXSCHVIR-SSDOTTSWSA-N 0 2 312.263 0.829 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001704513391 1180033511 /nfs/dbraw/zinc/03/35/11/1180033511.db2.gz SJNPLNUXSCHVIR-SSDOTTSWSA-N 0 2 312.263 0.829 20 0 DCADLN CC(C)C[C@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001704797384 1180140869 /nfs/dbraw/zinc/14/08/69/1180140869.db2.gz QURXHGFJYKFMLL-RNFRBKRXSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)C[C@H](CNC(=O)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001704797384 1180140872 /nfs/dbraw/zinc/14/08/72/1180140872.db2.gz QURXHGFJYKFMLL-RNFRBKRXSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721742985 1180559160 /nfs/dbraw/zinc/55/91/60/1180559160.db2.gz HFUNBEINQADNNG-VXNVDRBHSA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001721742985 1180559163 /nfs/dbraw/zinc/55/91/63/1180559163.db2.gz HFUNBEINQADNNG-VXNVDRBHSA-N 0 2 300.252 0.446 20 0 DCADLN CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001717859266 1183035713 /nfs/dbraw/zinc/03/57/13/1183035713.db2.gz DVNMLLQSCKATAK-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001717859266 1183035715 /nfs/dbraw/zinc/03/57/15/1183035715.db2.gz DVNMLLQSCKATAK-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)[C@@H](C)[C@H]1CCCO1 ZINC001720321092 1183562158 /nfs/dbraw/zinc/56/21/58/1183562158.db2.gz OXLXLNFZYKSTRP-SMDDNHRTSA-N 0 2 322.409 0.698 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1ccncn1 ZINC001721870631 1184087264 /nfs/dbraw/zinc/08/72/64/1184087264.db2.gz FLXZCQDAZTVXOI-GPYPMJJRSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1ccncn1 ZINC001721870631 1184087269 /nfs/dbraw/zinc/08/72/69/1184087269.db2.gz FLXZCQDAZTVXOI-GPYPMJJRSA-N 0 2 320.246 0.779 20 0 DCADLN C[C@@H](NC(=O)C(=O)C(C)(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001725247102 1184736912 /nfs/dbraw/zinc/73/69/12/1184736912.db2.gz DDJDZZGYERLCFZ-MRVPVSSYSA-N 0 2 309.370 0.062 20 0 DCADLN CCO[C@H](C)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001725809192 1185004659 /nfs/dbraw/zinc/00/46/59/1185004659.db2.gz KTTHBCTZRFQLNY-SNVBAGLBSA-N 0 2 309.370 0.184 20 0 DCADLN CCO[C@H](C)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001725809192 1185004667 /nfs/dbraw/zinc/00/46/67/1185004667.db2.gz KTTHBCTZRFQLNY-SNVBAGLBSA-N 0 2 309.370 0.184 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001736947106 1187190670 /nfs/dbraw/zinc/19/06/70/1187190670.db2.gz CTPBLUVTIBRKSL-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001736947106 1187190675 /nfs/dbraw/zinc/19/06/75/1187190675.db2.gz CTPBLUVTIBRKSL-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001742496639 1187995070 /nfs/dbraw/zinc/99/50/70/1187995070.db2.gz GADYPOPHFPHLIZ-VIFPVBQESA-N 0 2 324.278 0.783 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001742496639 1187995072 /nfs/dbraw/zinc/99/50/72/1187995072.db2.gz GADYPOPHFPHLIZ-VIFPVBQESA-N 0 2 324.278 0.783 20 0 DCADLN CCCSCC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001754301562 1188813857 /nfs/dbraw/zinc/81/38/57/1188813857.db2.gz RIUDDAPRCGZSGY-SECBINFHSA-N 0 2 301.416 0.590 20 0 DCADLN CCCSCC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001754301562 1188813861 /nfs/dbraw/zinc/81/38/61/1188813861.db2.gz RIUDDAPRCGZSGY-SECBINFHSA-N 0 2 301.416 0.590 20 0 DCADLN CN(CCNC(=O)c1cn[nH]n1)C(=O)c1cccc2c[nH]nc21 ZINC001758953704 1190049480 /nfs/dbraw/zinc/04/94/80/1190049480.db2.gz ZVMPQEOJFLVQHS-UHFFFAOYSA-N 0 2 313.321 0.183 20 0 DCADLN Cc1c(NC(=O)CC2SC(=N)NC2=O)cccc1C(N)=O ZINC001771595371 1190430725 /nfs/dbraw/zinc/43/07/25/1190430725.db2.gz HNTCGOIARFWDGV-VIFPVBQESA-N 0 2 306.347 0.589 20 0 DCADLN O=C(N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C1(CO)CCOCC1 ZINC001771752724 1190489384 /nfs/dbraw/zinc/48/93/84/1190489384.db2.gz LEWSZRGTANLCGB-JTQLQIEISA-N 0 2 310.354 0.005 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C1(C(=O)[O-])CCOCC1 ZINC001772040760 1190597665 /nfs/dbraw/zinc/59/76/65/1190597665.db2.gz JCZLMKTXYNEFDL-VXGBXAGGSA-N 0 2 321.377 0.832 20 0 DCADLN O=C(C[NH+]1CCC(CO)CC1)N1CC[NH2+]C[C@@H]1c1ccncc1 ZINC001772239939 1190640394 /nfs/dbraw/zinc/64/03/94/1190640394.db2.gz NNFHNWDUKZDBNQ-MRXNPFEDSA-N 0 2 318.421 0.259 20 0 DCADLN Cc1cccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001042439303 751646302 /nfs/dbraw/zinc/64/63/02/751646302.db2.gz UEGCQXZQVIZJDJ-UHFFFAOYSA-N 0 2 301.350 0.775 20 0 DCADLN CN(C(=O)C1C=CC=CC=C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042808849 751925681 /nfs/dbraw/zinc/92/56/81/751925681.db2.gz OMJZTNXXZQDNDT-UHFFFAOYSA-N 0 2 301.350 0.451 20 0 DCADLN CN(C[C@@H](O)CN(C)c1ncccn1)C(=O)C(F)C(F)(F)F ZINC001125305378 746963310 /nfs/dbraw/zinc/96/33/10/746963310.db2.gz OQFUMQZIIHKTAY-BDAKNGLRSA-N 0 2 324.278 0.633 20 0 DCADLN CN(C[C@@H](O)CN(C)c1ncccn1)C(=O)[C@H](F)C(F)(F)F ZINC001125305378 746963313 /nfs/dbraw/zinc/96/33/13/746963313.db2.gz OQFUMQZIIHKTAY-BDAKNGLRSA-N 0 2 324.278 0.633 20 0 DCADLN Cc1oc(C)c(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001043268536 752155677 /nfs/dbraw/zinc/15/56/77/752155677.db2.gz OLLMWQBBTKRSNI-UHFFFAOYSA-N 0 2 319.365 0.985 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nc(C(F)F)no2)c1[O-] ZINC001125734470 747543279 /nfs/dbraw/zinc/54/32/79/747543279.db2.gz QUODMRGAWWBCEG-UHFFFAOYSA-N 0 2 316.268 0.264 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2CC=CC2)C1)C(F)C(F)(F)F ZINC001043375082 752201871 /nfs/dbraw/zinc/20/18/71/752201871.db2.gz IQWGCBMQXRPBRI-SECBINFHSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2CC=CC2)C1)[C@@H](F)C(F)(F)F ZINC001043375082 752201876 /nfs/dbraw/zinc/20/18/76/752201876.db2.gz IQWGCBMQXRPBRI-SECBINFHSA-N 0 2 324.274 0.543 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N2CC(n3cc(C[NH2+]CCF)nn3)C2)C1 ZINC001105327259 748137740 /nfs/dbraw/zinc/13/77/40/748137740.db2.gz YRJHWRBQGQJHME-GFCCVEGCSA-N 0 2 324.404 0.062 20 0 DCADLN CC(C)c1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001043487932 752253324 /nfs/dbraw/zinc/25/33/24/752253324.db2.gz UJFPBCYOJNYBLQ-UHFFFAOYSA-N 0 2 320.353 0.578 20 0 DCADLN Cc1cc2cc(N[C@@H]3C(=O)N(S(=O)(=O)[O-])[C@H]3C)[nH+]cc2[nH]1 ZINC001167857758 748440551 /nfs/dbraw/zinc/44/05/51/748440551.db2.gz GUWUMTQLIYIHGA-CPCISQLKSA-N 0 2 310.335 0.685 20 0 DCADLN CCCCC(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107744679 752275211 /nfs/dbraw/zinc/27/52/11/752275211.db2.gz IHPHJPHOWJIZBH-CQSZACIVSA-N 0 2 311.386 0.408 20 0 DCADLN CC[C@@H](C)C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107751818 752283009 /nfs/dbraw/zinc/28/30/09/752283009.db2.gz KHHKPSGOLODKSU-QMTHXVAHSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(C=C1CCCCC1)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001083361803 748640143 /nfs/dbraw/zinc/64/01/43/748640143.db2.gz GAVOANOXTUPKRS-NEPJUHHUSA-N 0 2 321.381 0.062 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccco2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087488468 748841959 /nfs/dbraw/zinc/84/19/59/748841959.db2.gz DDJDDBOJMZLCSH-VHSXEESVSA-N 0 2 305.338 0.886 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccco2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087488468 748841965 /nfs/dbraw/zinc/84/19/65/748841965.db2.gz DDJDDBOJMZLCSH-VHSXEESVSA-N 0 2 305.338 0.886 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cc(C)no2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001087499265 748857898 /nfs/dbraw/zinc/85/78/98/748857898.db2.gz JUHYSJVBMADXNN-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccc(F)nc2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088493600 749509513 /nfs/dbraw/zinc/50/95/13/749509513.db2.gz QUPNRVNPEICWLB-SCZZXKLOSA-N 0 2 320.328 0.437 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088508970 749529448 /nfs/dbraw/zinc/52/94/48/749529448.db2.gz BCCBNJOEZPBGMF-BDAKNGLRSA-N 0 2 306.326 0.200 20 0 DCADLN CCOC1CC(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)C1 ZINC001088553240 749606599 /nfs/dbraw/zinc/60/65/99/749606599.db2.gz WIVZOQQPLKMYGZ-YYJSSNLHSA-N 0 2 323.397 0.404 20 0 DCADLN CCOC1CC(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)C1 ZINC001088553240 749606601 /nfs/dbraw/zinc/60/66/01/749606601.db2.gz WIVZOQQPLKMYGZ-YYJSSNLHSA-N 0 2 323.397 0.404 20 0 DCADLN O=C(CCCF)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001044859879 752907073 /nfs/dbraw/zinc/90/70/73/752907073.db2.gz CBPKRQOXOITTFV-QMMMGPOBSA-N 0 2 318.242 0.326 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccc(Cl)[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088841635 750574261 /nfs/dbraw/zinc/57/42/61/750574261.db2.gz PQBASSWBXPFNBX-SFYZADRCSA-N 0 2 324.772 0.885 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccc(Cl)[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088841635 750574263 /nfs/dbraw/zinc/57/42/63/750574263.db2.gz PQBASSWBXPFNBX-SFYZADRCSA-N 0 2 324.772 0.885 20 0 DCADLN CCc1oncc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088893428 750716362 /nfs/dbraw/zinc/71/63/62/750716362.db2.gz ZVWSLQPJTKVDDF-SCZZXKLOSA-N 0 2 320.353 0.454 20 0 DCADLN Cc1nccc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142187 750979665 /nfs/dbraw/zinc/97/96/65/750979665.db2.gz MLONQELVEWSALB-CBAPKCEASA-N 0 2 310.251 0.574 20 0 DCADLN CCn1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001043599417 752305899 /nfs/dbraw/zinc/30/58/99/752305899.db2.gz OYSHTTFKVGBLDD-UHFFFAOYSA-N 0 2 318.381 0.597 20 0 DCADLN C[C@H]1CCC[C@]1(C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043774899 752384885 /nfs/dbraw/zinc/38/48/85/752384885.db2.gz OCACLRIECUPMEG-BONVTDFDSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)[C@H]1C[C@H]1C1CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044115486 752576457 /nfs/dbraw/zinc/57/64/57/752576457.db2.gz REOSCKDUKLZSBB-STQMWFEESA-N 0 2 319.409 0.979 20 0 DCADLN O=C(CCCF)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001044859879 752907065 /nfs/dbraw/zinc/90/70/65/752907065.db2.gz CBPKRQOXOITTFV-QMMMGPOBSA-N 0 2 318.242 0.326 20 0 DCADLN CCc1nnc([C@H](C)N2CC[C@@](C)(NC(=O)c3cnn[nH]3)C2)o1 ZINC001046441332 753719735 /nfs/dbraw/zinc/71/97/35/753719735.db2.gz IJUUBPCODLNPHC-LKFCYVNXSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@H](C)[N@@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)o1 ZINC001046441332 753719737 /nfs/dbraw/zinc/71/97/37/753719737.db2.gz IJUUBPCODLNPHC-LKFCYVNXSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@H](C)[N@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)o1 ZINC001046441332 753719740 /nfs/dbraw/zinc/71/97/40/753719740.db2.gz IJUUBPCODLNPHC-LKFCYVNXSA-N 0 2 319.369 0.706 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001046628459 753840825 /nfs/dbraw/zinc/84/08/25/753840825.db2.gz WAFLGJLGJCPTCU-CQSZACIVSA-N 0 2 319.369 0.245 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001046628459 753840831 /nfs/dbraw/zinc/84/08/31/753840831.db2.gz WAFLGJLGJCPTCU-CQSZACIVSA-N 0 2 319.369 0.245 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071961748 762257368 /nfs/dbraw/zinc/25/73/68/762257368.db2.gz VNGAREGKUWRBFU-UWVGGRQHSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1nc(N[C@@H](C)[C@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)cc[nH+]1 ZINC001113364024 762270847 /nfs/dbraw/zinc/27/08/47/762270847.db2.gz HUHHQPXMIOYYPL-BQBZGAKWSA-N 0 2 319.325 0.000 20 0 DCADLN Cn1nccc1CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc[nH]n1)C2 ZINC001096199052 754341570 /nfs/dbraw/zinc/34/15/70/754341570.db2.gz DZFOCWPKXZFYQP-AGIUHOORSA-N 0 2 315.381 0.116 20 0 DCADLN CC(C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O)=C1CCC1 ZINC001090253863 754463378 /nfs/dbraw/zinc/46/33/78/754463378.db2.gz FZCLJNIUMIAQIE-NEPJUHHUSA-N 0 2 321.381 0.062 20 0 DCADLN O=C(C(F)F)N1CCC[C@@H]2[C@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049266046 755211291 /nfs/dbraw/zinc/21/12/91/755211291.db2.gz IFTZDIPQTZVXHW-HTQZYQBOSA-N 0 2 301.297 0.341 20 0 DCADLN O=C(c1cocn1)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049369313 755238451 /nfs/dbraw/zinc/23/84/51/755238451.db2.gz MROOASMVGFTBKK-GHMZBOCLSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cocn1)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049369313 755238455 /nfs/dbraw/zinc/23/84/55/755238455.db2.gz MROOASMVGFTBKK-GHMZBOCLSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(C(F)F)N1CCC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001049944610 755462588 /nfs/dbraw/zinc/46/25/88/755462588.db2.gz MYOGQVCIGBBQRW-JGVFFNPUSA-N 0 2 301.297 0.198 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096936842 755553947 /nfs/dbraw/zinc/55/39/47/755553947.db2.gz VGVPLXAACFZEMV-JLLWLGSASA-N 0 2 316.365 0.682 20 0 DCADLN CCOCC(=O)N(CC)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001079255213 755759881 /nfs/dbraw/zinc/75/98/81/755759881.db2.gz OFCQBYZEIVRUQJ-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N(CC)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001079255213 755759885 /nfs/dbraw/zinc/75/98/85/755759885.db2.gz OFCQBYZEIVRUQJ-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@H](C)OC)C1 ZINC001079901269 756033536 /nfs/dbraw/zinc/03/35/36/756033536.db2.gz KCBBSEGFWNPBCX-IONNQARKSA-N 0 2 314.279 0.981 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)[C@H](C)OC)C1 ZINC001079901269 756033539 /nfs/dbraw/zinc/03/35/39/756033539.db2.gz KCBBSEGFWNPBCX-IONNQARKSA-N 0 2 314.279 0.981 20 0 DCADLN COCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])O2 ZINC001053589294 756107001 /nfs/dbraw/zinc/10/70/01/756107001.db2.gz FQJVMUWOXSTZRU-NSHDSACASA-N 0 2 324.381 0.033 20 0 DCADLN CC(C)OCCCC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113729892 762499895 /nfs/dbraw/zinc/49/98/95/762499895.db2.gz AIZNNWKEVLSMPU-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN O=C(c1ccc[nH]1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084184636 757713973 /nfs/dbraw/zinc/71/39/73/757713973.db2.gz SRAJXWVCLCXUGB-MWLCHTKSSA-N 0 2 302.338 0.185 20 0 DCADLN Cc1cnoc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084753760 758017022 /nfs/dbraw/zinc/01/70/22/758017022.db2.gz CSDWQTQOAAXVGF-NXEZZACHSA-N 0 2 318.337 0.153 20 0 DCADLN Cc1cncc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001085529474 759003308 /nfs/dbraw/zinc/00/33/08/759003308.db2.gz ZQONXGWRUYRMBX-GFCCVEGCSA-N 0 2 316.365 0.560 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CCCOCC1 ZINC001085572173 759070825 /nfs/dbraw/zinc/07/08/25/759070825.db2.gz STRJWKMJOFXBAX-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cocc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085766271 759282719 /nfs/dbraw/zinc/28/27/19/759282719.db2.gz FMMHXSZQUNQOEK-SNVBAGLBSA-N 0 2 305.338 0.758 20 0 DCADLN Cc1ncc(C)c(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122761385 767870723 /nfs/dbraw/zinc/87/07/23/767870723.db2.gz RRVGKTFPGATSTE-RKDXNWHRSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1ncc(C)c(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001122761385 767870726 /nfs/dbraw/zinc/87/07/26/767870726.db2.gz RRVGKTFPGATSTE-RKDXNWHRSA-N 0 2 324.278 0.883 20 0 DCADLN CC(C)(F)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829857 759573358 /nfs/dbraw/zinc/57/33/58/759573358.db2.gz ZGEBKDIZVYVVNE-ZETCQYMHSA-N 0 2 320.258 0.572 20 0 DCADLN CC(C)(F)C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057829857 759573364 /nfs/dbraw/zinc/57/33/64/759573364.db2.gz ZGEBKDIZVYVVNE-ZETCQYMHSA-N 0 2 320.258 0.572 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058412326 759977306 /nfs/dbraw/zinc/97/73/06/759977306.db2.gz LZJBRLCZXPDRLE-XHNCKOQMSA-N 0 2 314.279 0.480 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001058412326 759977311 /nfs/dbraw/zinc/97/73/11/759977311.db2.gz LZJBRLCZXPDRLE-XHNCKOQMSA-N 0 2 314.279 0.480 20 0 DCADLN CCC[N@@H+]1CCn2ncc(CNC(=O)c3[nH]nc(C)c3[O-])c2C1 ZINC001069856210 760904384 /nfs/dbraw/zinc/90/43/84/760904384.db2.gz LDIYAWCQXSCEBQ-UHFFFAOYSA-N 0 2 318.381 0.776 20 0 DCADLN CCC[N@H+]1CCn2ncc(CNC(=O)c3[nH]nc(C)c3[O-])c2C1 ZINC001069856210 760904388 /nfs/dbraw/zinc/90/43/88/760904388.db2.gz LDIYAWCQXSCEBQ-UHFFFAOYSA-N 0 2 318.381 0.776 20 0 DCADLN O=C(CCC(F)F)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109532054 761451198 /nfs/dbraw/zinc/45/11/98/761451198.db2.gz JQSMOZMNCVRESU-VGMNWLOBSA-N 0 2 315.324 0.777 20 0 DCADLN O=C(CCC(F)F)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109532054 761451203 /nfs/dbraw/zinc/45/12/03/761451203.db2.gz JQSMOZMNCVRESU-VGMNWLOBSA-N 0 2 315.324 0.777 20 0 DCADLN CCC(=CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O)CC ZINC001099781655 763034008 /nfs/dbraw/zinc/03/40/08/763034008.db2.gz ZSRRHGBVRQMFDK-NWDGAFQWSA-N 0 2 323.397 0.308 20 0 DCADLN CC(C)=C(C)CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099949322 763155134 /nfs/dbraw/zinc/15/51/34/763155134.db2.gz PHUVAHRFMNBRFA-RYUDHWBXSA-N 0 2 323.397 0.308 20 0 DCADLN CONC(=O)CNc1cnc(I)nc1 ZINC001120064668 766891283 /nfs/dbraw/zinc/89/12/83/766891283.db2.gz IXVOKSQDJFHVQP-UHFFFAOYSA-N 0 2 308.079 0.171 20 0 DCADLN CC(C)=CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149116020 767369400 /nfs/dbraw/zinc/36/94/00/767369400.db2.gz FDXSYBRAOIMHLQ-LLVKDONJSA-N 0 2 309.370 0.184 20 0 DCADLN Cc1[nH]c(C[N@@H+]2CCN3C(=O)COC[C@@H]3C2)c(C)c1C(=O)[O-] ZINC001140497312 768533982 /nfs/dbraw/zinc/53/39/82/768533982.db2.gz LNAHCAMFANVZFU-NSHDSACASA-N 0 2 307.350 0.373 20 0 DCADLN Cc1[nH]c(C[N@H+]2CCN3C(=O)COC[C@@H]3C2)c(C)c1C(=O)[O-] ZINC001140497312 768533985 /nfs/dbraw/zinc/53/39/85/768533985.db2.gz LNAHCAMFANVZFU-NSHDSACASA-N 0 2 307.350 0.373 20 0 DCADLN O=C(/C=C/c1ccc(Cn2cc[nH+]c2)cc1)N[C@@H]1CO[N-]C1=O ZINC001142372663 768641708 /nfs/dbraw/zinc/64/17/08/768641708.db2.gz OKUQMYMCRVPCSE-VBROQKIQSA-N 0 2 312.329 0.491 20 0 DCADLN COCC(=O)Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12 ZINC001142819884 768667022 /nfs/dbraw/zinc/66/70/22/768667022.db2.gz GGLJDFDOXMOSNX-UHFFFAOYSA-N 0 2 301.262 0.558 20 0 DCADLN C/C=C(/C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149504293 768767983 /nfs/dbraw/zinc/76/79/83/768767983.db2.gz GVUAKNSJMRQTMU-LBQOUHFTSA-N 0 2 309.370 0.184 20 0 DCADLN Cn1cnc(C(=O)N[C@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)c1 ZINC001151369944 769325807 /nfs/dbraw/zinc/32/58/07/769325807.db2.gz KUSMTNMWWGOYRQ-LLVKDONJSA-N 0 2 312.333 0.958 20 0 DCADLN CC[C@H](SC)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233695650 769428258 /nfs/dbraw/zinc/42/82/58/769428258.db2.gz SSJRQKRYPYIURF-UWVGGRQHSA-N 0 2 313.427 0.685 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)COC(C)(C)C ZINC001233810976 769458757 /nfs/dbraw/zinc/45/87/57/769458757.db2.gz SULLTZZHRCFTKU-JTQLQIEISA-N 0 2 311.386 0.358 20 0 DCADLN COC(=O)C[C@H](Oc1cc(O)cc2occc(=O)c12)C(=O)OC ZINC001233826595 769464413 /nfs/dbraw/zinc/46/44/13/769464413.db2.gz FIKFGIKJMADHHU-LBPRGKRZSA-N 0 2 322.269 0.982 20 0 DCADLN CN(C[C@H]1CCN1Cc1cnn(C)n1)C(=O)C(F)C(F)(F)F ZINC001234426316 769652499 /nfs/dbraw/zinc/65/24/99/769652499.db2.gz TWGGGHFKXPRKJL-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@H]1CCN1Cc1cnn(C)n1)C(=O)[C@H](F)C(F)(F)F ZINC001234426316 769652503 /nfs/dbraw/zinc/65/25/03/769652503.db2.gz TWGGGHFKXPRKJL-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnc2ccc(Cl)nn21 ZINC001153214048 769754213 /nfs/dbraw/zinc/75/42/13/769754213.db2.gz QHCWLXLKYNAZAZ-VIFPVBQESA-N 0 2 311.710 0.422 20 0 DCADLN C[C@@H]([NH2+]CCNC(=O)CCCn1cc[nH+]c1)C(=O)NC1CC1 ZINC001153355745 769796531 /nfs/dbraw/zinc/79/65/31/769796531.db2.gz CLHPDZVBFBATSU-GFCCVEGCSA-N 0 2 307.398 0.036 20 0 DCADLN Cc1nc2cccc(C(=O)Nc3c(N)[nH]c(=O)[nH]c3=O)c2[nH]1 ZINC001153602281 769862321 /nfs/dbraw/zinc/86/23/21/769862321.db2.gz BNWVICPYGBJPOI-UHFFFAOYSA-N 0 2 300.278 0.907 20 0 DCADLN CN(CCC1OCCO1)C(=O)c1c[nH]c2cccnc2c1=O ZINC001153856210 769964951 /nfs/dbraw/zinc/96/49/51/769964951.db2.gz RCSUFOIJUFHXKI-UHFFFAOYSA-N 0 2 303.318 0.758 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCC(n2cnnc2)CC1 ZINC001153856077 769965433 /nfs/dbraw/zinc/96/54/33/769965433.db2.gz QBWBEAMVESAABE-UHFFFAOYSA-N 0 2 324.344 0.992 20 0 DCADLN CCN(Cc1nc(C2CC2)no1)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001154134970 770087065 /nfs/dbraw/zinc/08/70/65/770087065.db2.gz ZNRNTZIHMBHEKL-SECBINFHSA-N 0 2 319.369 0.706 20 0 DCADLN COc1ccc2ncc(O)c(C(=O)NCc3n[nH]c(=O)[nH]3)c2c1 ZINC001154517175 770207489 /nfs/dbraw/zinc/20/74/89/770207489.db2.gz JYWVRLPCIFFSMM-UHFFFAOYSA-N 0 2 315.289 0.703 20 0 DCADLN CCOC(=O)[C@H]([NH3+])CNC(=S)Nc1ccc(C(=O)[O-])cc1 ZINC001239389381 770215780 /nfs/dbraw/zinc/21/57/80/770215780.db2.gz GKEQLHYGZPTMJX-SNVBAGLBSA-N 0 2 311.363 0.562 20 0 DCADLN NC(=O)c1ccc(OCC(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC001154906441 770335252 /nfs/dbraw/zinc/33/52/52/770335252.db2.gz CJVWCZIGHBIZAY-UHFFFAOYSA-N 0 2 322.346 0.195 20 0 DCADLN O=C(COCc1cc[nH]c(=O)c1)NCCc1n[nH]c(=S)o1 ZINC001154907851 770335520 /nfs/dbraw/zinc/33/55/20/770335520.db2.gz XJUVAGGFRPGBAB-UHFFFAOYSA-N 0 2 310.335 0.334 20 0 DCADLN Cn1ccc(C(=O)NCCOc2cc[nH+]cc2)c1CC(=O)[O-] ZINC001177371515 770420270 /nfs/dbraw/zinc/42/02/70/770420270.db2.gz WEIYIZWXXNQKOE-UHFFFAOYSA-N 0 2 303.318 0.856 20 0 DCADLN CCOC(=O)N(CC(=O)NCc1n[nH]c(=O)[nH]1)Cc1ccco1 ZINC001178471738 770763648 /nfs/dbraw/zinc/76/36/48/770763648.db2.gz MCIDRTOXJBBMLT-UHFFFAOYSA-N 0 2 323.309 0.378 20 0 DCADLN O=C(Cn1cc(Cl)c(=O)c(Cl)c1)NCc1n[nH]c(=O)[nH]1 ZINC001182119795 771410423 /nfs/dbraw/zinc/41/04/23/771410423.db2.gz WODFXTJZBQPEQB-UHFFFAOYSA-N 0 2 318.120 0.295 20 0 DCADLN O=C(Nc1cccc2c1CC(=O)NC2)C(CO)C(F)(F)F ZINC001183284840 771636830 /nfs/dbraw/zinc/63/68/30/771636830.db2.gz NOMGCGMBWLHNBL-VIFPVBQESA-N 0 2 302.252 0.968 20 0 DCADLN O=C(Nc1cccc2c1CC(=O)NC2)[C@H](CO)C(F)(F)F ZINC001183284840 771636832 /nfs/dbraw/zinc/63/68/32/771636832.db2.gz NOMGCGMBWLHNBL-VIFPVBQESA-N 0 2 302.252 0.968 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H]1CCc2ccccc2[C@@H]1O ZINC001183382498 771647095 /nfs/dbraw/zinc/64/70/95/771647095.db2.gz FXSSAFUYGUAVAP-OUJBWJOFSA-N 0 2 306.343 0.708 20 0 DCADLN COCC[N@H+](C)C[C@H]1CCCCN1C(=O)[C@@H]1C[NH+](C)CCO1 ZINC001157747929 771663324 /nfs/dbraw/zinc/66/33/24/771663324.db2.gz LASKEXJBPZPALZ-CABCVRRESA-N 0 2 313.442 0.276 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001183758947 771696501 /nfs/dbraw/zinc/69/65/01/771696501.db2.gz BKUINXTZDBOQLE-GFCCVEGCSA-N 0 2 309.414 0.799 20 0 DCADLN COC(=O)CSCCC(=O)N[C@H](C)c1nn(C)cc1O ZINC001158307964 771756830 /nfs/dbraw/zinc/75/68/30/771756830.db2.gz UAAVFJCAADBMIN-MRVPVSSYSA-N 0 2 301.368 0.599 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2c[nH+]cn2C)cc1C(=O)[O-] ZINC001185269916 771897786 /nfs/dbraw/zinc/89/77/86/771897786.db2.gz SVKLRSYELKOAQV-UHFFFAOYSA-N 0 2 311.319 0.928 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)cn1 ZINC001185556085 771927972 /nfs/dbraw/zinc/92/79/72/771927972.db2.gz QIPRGTPKSULXQT-UHFFFAOYSA-N 0 2 305.323 0.401 20 0 DCADLN COCCNC(=O)Nc1cc(S(=O)(=O)C(N)=O)ccc1O ZINC001186549098 772060103 /nfs/dbraw/zinc/06/01/03/772060103.db2.gz GPJXIWGINPWNIX-UHFFFAOYSA-N 0 2 317.323 0.427 20 0 DCADLN CCOCCCC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001186976794 772118788 /nfs/dbraw/zinc/11/87/88/772118788.db2.gz YIFQWSNIHLRBPC-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CCOCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001186976794 772118792 /nfs/dbraw/zinc/11/87/92/772118792.db2.gz YIFQWSNIHLRBPC-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN C[NH+]1CCN(c2nccc(NCC(=O)CCC(=O)[O-])n2)CC1 ZINC001160875887 772130808 /nfs/dbraw/zinc/13/08/08/772130808.db2.gz CVXXKFWHMFWBIG-UHFFFAOYSA-N 0 2 307.354 0.074 20 0 DCADLN CCOC(=O)c1noc(CNS(=O)(=O)c2cnoc2C)n1 ZINC001187412123 772181140 /nfs/dbraw/zinc/18/11/40/772181140.db2.gz SGFBJHPOGYUSEM-UHFFFAOYSA-N 0 2 316.295 0.021 20 0 DCADLN CC[C@@H](C)CC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187698703 772212318 /nfs/dbraw/zinc/21/23/18/772212318.db2.gz OFLREKHVOGTSGZ-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN CC[C@@H](C)CC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187698703 772212320 /nfs/dbraw/zinc/21/23/20/772212320.db2.gz OFLREKHVOGTSGZ-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1cccnc1O[C@H]1CCN(C(=O)[C@H]([NH3+])Cc2c[nH+]c[nH]2)C1 ZINC001161395333 772217260 /nfs/dbraw/zinc/21/72/60/772217260.db2.gz UINZHYGNBMHLMC-UONOGXRCSA-N 0 2 315.377 0.663 20 0 DCADLN CCOC(=O)c1occc1NS(=O)(=O)CCC(=O)OC ZINC001188355282 772298557 /nfs/dbraw/zinc/29/85/57/772298557.db2.gz IWHKRHXUWGKRHM-UHFFFAOYSA-N 0 2 305.308 0.761 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)Cc2ccc(F)cc2F)S1 ZINC001189834582 772540861 /nfs/dbraw/zinc/54/08/61/772540861.db2.gz JSGNPSNXVVGDSJ-SECBINFHSA-N 0 2 322.314 0.693 20 0 DCADLN Cc1cccc(N2CCN(S(=O)(=O)c3ncc[nH]3)CC2)n1 ZINC001190686476 772664706 /nfs/dbraw/zinc/66/47/06/772664706.db2.gz KYHBTCLXCPVLON-UHFFFAOYSA-N 0 2 307.379 0.624 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)N2CC=CC2)c(O)cc1Cl ZINC001191006801 772707637 /nfs/dbraw/zinc/70/76/37/772707637.db2.gz CHPBTDSUOUTGRB-UHFFFAOYSA-N 0 2 302.739 0.705 20 0 DCADLN O=C(NC1CC2(C1)CS(=O)(=O)C2)c1ccc(F)c(F)c1O ZINC001192810153 772963439 /nfs/dbraw/zinc/96/34/39/772963439.db2.gz FGYXGZJQTVGQSE-UHFFFAOYSA-N 0 2 317.313 0.977 20 0 DCADLN C[C@@]1(CO)CCN(C(=O)c2ccc(O)c(F)c2F)C[C@H]1O ZINC001192831068 772965837 /nfs/dbraw/zinc/96/58/37/772965837.db2.gz AASPWDLUKYJHLK-YGRLFVJLSA-N 0 2 301.289 0.876 20 0 DCADLN C[C@]1(CO)CCN(C(=O)c2cc(F)c(O)cc2F)C[C@H]1O ZINC001192860331 772971155 /nfs/dbraw/zinc/97/11/55/772971155.db2.gz RROOZADBFDOBMC-TZMCWYRMSA-N 0 2 301.289 0.876 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccnn1-c1ccccn1 ZINC001205504857 772997202 /nfs/dbraw/zinc/99/72/02/772997202.db2.gz MBTJBRRXABBTFC-UHFFFAOYSA-N 0 2 300.282 0.413 20 0 DCADLN O=S(=O)(C[C@@H]1CCCO1)Nc1cc2n(n1)CC(F)(F)CO2 ZINC001193213805 773025117 /nfs/dbraw/zinc/02/51/17/773025117.db2.gz OXYCQVGXOKFIKD-QMMMGPOBSA-N 0 2 323.321 0.832 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110456167 773032058 /nfs/dbraw/zinc/03/20/58/773032058.db2.gz SFNMZHUDLSRZDI-QCNOEVLYSA-N 0 2 323.397 0.547 20 0 DCADLN CC(C)OCCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206142227 773049809 /nfs/dbraw/zinc/04/98/09/773049809.db2.gz GXJHMOSVARABKT-GHMZBOCLSA-N 0 2 311.386 0.262 20 0 DCADLN CC(C)OCCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206142227 773049812 /nfs/dbraw/zinc/04/98/12/773049812.db2.gz GXJHMOSVARABKT-GHMZBOCLSA-N 0 2 311.386 0.262 20 0 DCADLN C/C(=C/C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001206327349 773067025 /nfs/dbraw/zinc/06/70/25/773067025.db2.gz LDDMESIMBXIMBD-XPBXZXTRSA-N 0 2 305.382 0.803 20 0 DCADLN C/C(=C/C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001206327349 773067027 /nfs/dbraw/zinc/06/70/27/773067027.db2.gz LDDMESIMBXIMBD-XPBXZXTRSA-N 0 2 305.382 0.803 20 0 DCADLN CC[C@H](SC)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001206879431 773119176 /nfs/dbraw/zinc/11/91/76/773119176.db2.gz CYZXNIVGMRCPQM-BBBLOLIVSA-N 0 2 313.427 0.588 20 0 DCADLN COC[C@@H](C)Oc1cc(NC(=O)c2cc(C(=O)OC)n[nH]2)[nH]n1 ZINC001194284110 773176425 /nfs/dbraw/zinc/17/64/25/773176425.db2.gz RHDOFPXWUBPXSB-SSDOTTSWSA-N 0 2 323.309 0.585 20 0 DCADLN COC[C@@H](C)Oc1cc(NC(=O)c2cc(C(=O)OC)[nH]n2)[nH]n1 ZINC001194284110 773176426 /nfs/dbraw/zinc/17/64/26/773176426.db2.gz RHDOFPXWUBPXSB-SSDOTTSWSA-N 0 2 323.309 0.585 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nnn(C)n2)c2cccnc12 ZINC001194408677 773187436 /nfs/dbraw/zinc/18/74/36/773187436.db2.gz JYLIXSQNKBDPRC-UHFFFAOYSA-N 0 2 320.334 0.568 20 0 DCADLN COCCn1cc(NS(=O)(=O)c2cccnc2OC)cn1 ZINC001194678740 773231339 /nfs/dbraw/zinc/23/13/39/773231339.db2.gz FDBZQXZKNMYJQA-UHFFFAOYSA-N 0 2 312.351 0.734 20 0 DCADLN CCCSCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195063997 773317405 /nfs/dbraw/zinc/31/74/05/773317405.db2.gz UCKPYQAKDXYAHW-UHFFFAOYSA-N 0 2 313.427 0.688 20 0 DCADLN CSC[C@@H](C)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195329874 773371163 /nfs/dbraw/zinc/37/11/63/773371163.db2.gz IROMWCVDOGSZQF-SNVBAGLBSA-N 0 2 313.427 0.544 20 0 DCADLN CCOC(=O)c1ccncc1S(=O)(=O)Nc1ncn(C)n1 ZINC001195589937 773439307 /nfs/dbraw/zinc/43/93/07/773439307.db2.gz CDEXSUPSMLAXAR-UHFFFAOYSA-N 0 2 311.323 0.188 20 0 DCADLN Cn1cnnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001114309629 773493148 /nfs/dbraw/zinc/49/31/48/773493148.db2.gz ZPKORKRGIZQZEP-GOZTYBTRSA-N 0 2 321.278 0.262 20 0 DCADLN Cn1cnnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(F)(F)F ZINC001114309629 773493149 /nfs/dbraw/zinc/49/31/49/773493149.db2.gz ZPKORKRGIZQZEP-GOZTYBTRSA-N 0 2 321.278 0.262 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1ccc(Cl)cn1 ZINC001196205240 773556388 /nfs/dbraw/zinc/55/63/88/773556388.db2.gz OPVKBEPFZMBCOZ-UHFFFAOYSA-N 0 2 302.699 0.737 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc(Cl)cn2)ccn1 ZINC001196205547 773556469 /nfs/dbraw/zinc/55/64/69/773556469.db2.gz VNJNVRYAZVKWAC-UHFFFAOYSA-N 0 2 312.738 0.452 20 0 DCADLN CC[NH+](C)C(C)(C)C(=O)N1CCC[N@@H+]([C@@H]2CCN(C)C2=O)CC1 ZINC001196342200 773580558 /nfs/dbraw/zinc/58/05/58/773580558.db2.gz ZKPGTZISSZPBKW-CQSZACIVSA-N 0 2 324.469 0.482 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccnc1OCC1CC1 ZINC001197670444 773780005 /nfs/dbraw/zinc/78/00/05/773780005.db2.gz XVYJVBGBVYMHOB-GFCCVEGCSA-N 0 2 307.331 0.909 20 0 DCADLN C=C/C(C)=C/CC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001213294834 773830347 /nfs/dbraw/zinc/83/03/47/773830347.db2.gz HIBCQZTZSUGNOJ-VDUSXYPOSA-N 0 2 321.381 0.348 20 0 DCADLN COc1cc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)ccc1F ZINC001213925149 773902005 /nfs/dbraw/zinc/90/20/05/773902005.db2.gz KSOFKROOQXSVHC-UHFFFAOYSA-N 0 2 304.237 0.928 20 0 DCADLN O=c1[nH]cc(-c2nc(-c3ccc(-n4cccn4)nc3)no2)[nH]c1=O ZINC001213927969 773902271 /nfs/dbraw/zinc/90/22/71/773902271.db2.gz LKDJPYDVTIIQOO-UHFFFAOYSA-N 0 2 323.272 0.361 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc(OCC2CCC2)ncn1 ZINC001198812380 773988192 /nfs/dbraw/zinc/98/81/92/773988192.db2.gz OBFRENXKVLHJAH-GFCCVEGCSA-N 0 2 322.346 0.694 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc(=O)n(CC2CC2)c1 ZINC001198914743 774002722 /nfs/dbraw/zinc/00/27/22/774002722.db2.gz QHUJAPNROBXYBH-LBPRGKRZSA-N 0 2 307.331 0.297 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc(Oc2cccnc2)nc1 ZINC001199507807 774131290 /nfs/dbraw/zinc/13/12/90/774131290.db2.gz YESXRXBJYLQQMF-UHFFFAOYSA-N 0 2 313.277 0.418 20 0 DCADLN CC[C@@H](F)C[N@H+]1C[C@H]2OCCN(C(=O)C[NH+](C)C(C)C)[C@H]2C1 ZINC001219054862 774355473 /nfs/dbraw/zinc/35/54/73/774355473.db2.gz NRGLVTTWYVQQNZ-QLFBSQMISA-N 0 2 315.433 0.986 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1c[nH]c2c1ncnc2Cl ZINC001152946638 774612551 /nfs/dbraw/zinc/61/25/51/774612551.db2.gz NEPCMNKJTHHDDT-VIFPVBQESA-N 0 2 311.710 0.650 20 0 DCADLN O=C(CC1CC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149047670 774805490 /nfs/dbraw/zinc/80/54/90/774805490.db2.gz MNXQQNBVSLOGAN-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CCC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CCCC1 ZINC001220666083 774881289 /nfs/dbraw/zinc/88/12/89/774881289.db2.gz LTCXOPULVLESIV-MNOVXSKESA-N 0 2 323.397 0.142 20 0 DCADLN CCC[C@H](OC)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221734850 775183492 /nfs/dbraw/zinc/18/34/92/775183492.db2.gz MAHVBLVPXGTZMS-UTUOFQBUSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@@H](C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1)[NH+](C)C ZINC001224583179 775585812 /nfs/dbraw/zinc/58/58/12/775585812.db2.gz LETRYVNEZFJIPT-HNNXBMFYSA-N 0 2 322.457 0.484 20 0 DCADLN O=C(CCc1ccoc1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226851093 775884169 /nfs/dbraw/zinc/88/41/69/775884169.db2.gz LPOANDPSEFVPKJ-UHFFFAOYSA-N 0 2 319.365 0.817 20 0 DCADLN Cc1c([C@H](C)Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)cnn1C ZINC001226888815 775891578 /nfs/dbraw/zinc/89/15/78/775891578.db2.gz VOGGBKLNZXYKFQ-LURJTMIESA-N 0 2 318.293 0.719 20 0 DCADLN C[C@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccncc1 ZINC001480880747 939145844 /nfs/dbraw/zinc/14/58/44/939145844.db2.gz WYJLWBTVYIYARU-LLVKDONJSA-N 0 2 318.381 0.647 20 0 DCADLN C[C@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccncc1 ZINC001480880747 939145845 /nfs/dbraw/zinc/14/58/45/939145845.db2.gz WYJLWBTVYIYARU-LLVKDONJSA-N 0 2 318.381 0.647 20 0 DCADLN Cn1ncc(CN2CCC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001481039890 939537183 /nfs/dbraw/zinc/53/71/83/939537183.db2.gz PYVZXNTUPUJULM-VHSXEESVSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CCC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001481039890 939537184 /nfs/dbraw/zinc/53/71/84/939537184.db2.gz PYVZXNTUPUJULM-VHSXEESVSA-N 0 2 323.294 0.796 20 0 DCADLN Cc1ccc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001481159768 939588548 /nfs/dbraw/zinc/58/85/48/939588548.db2.gz URAXLQJIURDHAE-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001481159768 939588550 /nfs/dbraw/zinc/58/85/50/939588550.db2.gz URAXLQJIURDHAE-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481687377 940039438 /nfs/dbraw/zinc/03/94/38/940039438.db2.gz DZHPDPHGEJZCMH-BYCRGOAPSA-N 0 2 302.338 0.242 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481687377 940039442 /nfs/dbraw/zinc/03/94/42/940039442.db2.gz DZHPDPHGEJZCMH-BYCRGOAPSA-N 0 2 302.338 0.242 20 0 DCADLN COc1cccc(C[NH2+]CC=CCNC(=O)c2ncn[nH]2)n1 ZINC001268604529 940294758 /nfs/dbraw/zinc/29/47/58/940294758.db2.gz CCDBWBZPHOCOSV-NSCUHMNNSA-N 0 2 302.338 0.284 20 0 DCADLN O=C(CN1C(=O)CNC1=O)Nc1nc(-c2ccccc2F)n[nH]1 ZINC001318357847 945332364 /nfs/dbraw/zinc/33/23/64/945332364.db2.gz QJVLMMXGSRRFCV-UHFFFAOYSA-N 0 2 318.268 0.101 20 0 DCADLN C[N@@H+]1CCC12CN(C(=O)C([O-])(C(F)(F)F)C(F)(F)F)C2 ZINC001270462612 940904928 /nfs/dbraw/zinc/90/49/28/940904928.db2.gz MVQRCYGEPLAYDG-UHFFFAOYSA-N 0 2 306.206 0.759 20 0 DCADLN C[N@H+]1CCC12CN(C(=O)C([O-])(C(F)(F)F)C(F)(F)F)C2 ZINC001270462612 940904929 /nfs/dbraw/zinc/90/49/29/940904929.db2.gz MVQRCYGEPLAYDG-UHFFFAOYSA-N 0 2 306.206 0.759 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)cn1 ZINC001610860922 970771157 /nfs/dbraw/zinc/77/11/57/970771157.db2.gz SCHZSGFSICTRIO-LLVKDONJSA-N 0 2 320.349 0.112 20 0 DCADLN CC(=O)CCCC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001409027632 941270512 /nfs/dbraw/zinc/27/05/12/941270512.db2.gz MQJYGJZRYCYEQC-SNVBAGLBSA-N 0 2 312.263 0.973 20 0 DCADLN CC(=O)CCCC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409027632 941270515 /nfs/dbraw/zinc/27/05/15/941270515.db2.gz MQJYGJZRYCYEQC-SNVBAGLBSA-N 0 2 312.263 0.973 20 0 DCADLN CCN(CC[NH2+]Cc1csnn1)C(=O)[C@H]1CCCC[N@@H+]1C ZINC001482933210 941716484 /nfs/dbraw/zinc/71/64/84/941716484.db2.gz DBSIETPUGAWRSX-CYBMUJFWSA-N 0 2 311.455 0.961 20 0 DCADLN CCN(CC[NH2+]CC(=O)NC(C)(C)C)C(=O)Cn1cc[nH+]c1 ZINC001482971517 941732246 /nfs/dbraw/zinc/73/22/46/941732246.db2.gz JNDBNVPFCZIPEY-UHFFFAOYSA-N 0 2 309.414 0.236 20 0 DCADLN C[C@@H]([NH2+]CC(=O)NC(C)(C)C)[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001409348338 941955519 /nfs/dbraw/zinc/95/55/19/941955519.db2.gz BWBUSMSFDMGUBM-MNOVXSKESA-N 0 2 309.414 0.350 20 0 DCADLN CCC(=O)NC[C@@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001409659671 942125265 /nfs/dbraw/zinc/12/52/65/942125265.db2.gz SJIXXPSXTDMOFL-SNVBAGLBSA-N 0 2 318.381 0.702 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@H+](C)CCn2cccn2)c1[O-] ZINC001483326825 942268688 /nfs/dbraw/zinc/26/86/88/942268688.db2.gz WTWKZORMFYVUKZ-JTQLQIEISA-N 0 2 306.370 0.371 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@@H+](C)CCn2cccn2)c1[O-] ZINC001483326825 942268690 /nfs/dbraw/zinc/26/86/90/942268690.db2.gz WTWKZORMFYVUKZ-JTQLQIEISA-N 0 2 306.370 0.371 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483358762 942298062 /nfs/dbraw/zinc/29/80/62/942298062.db2.gz AJLOJINLYOTVRB-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483358762 942298066 /nfs/dbraw/zinc/29/80/66/942298066.db2.gz AJLOJINLYOTVRB-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(F)F)C(=O)C(F)C(F)(F)F ZINC001413268797 942595784 /nfs/dbraw/zinc/59/57/84/942595784.db2.gz DHSJONFWAQCNNG-PHDIDXHHSA-N 0 2 324.221 0.478 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(F)F)C(=O)[C@@H](F)C(F)(F)F ZINC001413268797 942595786 /nfs/dbraw/zinc/59/57/86/942595786.db2.gz DHSJONFWAQCNNG-PHDIDXHHSA-N 0 2 324.221 0.478 20 0 DCADLN CC[NH+]1CC2(C1)CN(Cc1ccc(C(=O)[O-])cc1)C(=O)CO2 ZINC001272437144 942755869 /nfs/dbraw/zinc/75/58/69/942755869.db2.gz GWURHTWYOSNYTK-UHFFFAOYSA-N 0 2 304.346 0.818 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@@H](C)c1ncn(C)n1 ZINC001365384211 942770146 /nfs/dbraw/zinc/77/01/46/942770146.db2.gz XGZJDCHEOLJYDF-QMMMGPOBSA-N 0 2 309.417 0.218 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)CCc3cnccn3)CC2)CC1 ZINC001413419192 942964591 /nfs/dbraw/zinc/96/45/91/942964591.db2.gz XNTPLDTXYOXILG-UHFFFAOYSA-N 0 2 317.437 0.648 20 0 DCADLN C[C@@H](O)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001484195897 943186734 /nfs/dbraw/zinc/18/67/34/943186734.db2.gz MWBHQRLOITWIDQ-GHMZBOCLSA-N 0 2 316.352 0.406 20 0 DCADLN C[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)c1ccco1 ZINC001413673642 943563150 /nfs/dbraw/zinc/56/31/50/943563150.db2.gz SUQSRPVAKGTSFV-MRVPVSSYSA-N 0 2 312.351 0.362 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@H]1CCC[NH+](Cc2nncn2C)C1 ZINC001491125401 943773044 /nfs/dbraw/zinc/77/30/44/943773044.db2.gz KIEYILKYQPTDLP-CQSZACIVSA-N 0 2 322.457 0.485 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001485287013 943797661 /nfs/dbraw/zinc/79/76/61/943797661.db2.gz AMYLXKOCKOYQAV-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001485287013 943797665 /nfs/dbraw/zinc/79/76/65/943797665.db2.gz AMYLXKOCKOYQAV-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN CC(C)COCCC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001276792231 944300444 /nfs/dbraw/zinc/30/04/44/944300444.db2.gz FHQMKIGFWPLZSZ-NSHDSACASA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)N[C@H]1CC=C(C(=O)[O-])C1)[NH+]1CCN(C)CC1 ZINC001604177858 1169514911 /nfs/dbraw/zinc/51/49/11/1169514911.db2.gz GWVKQARHBHXFLF-AAEUAGOBSA-N 0 2 310.398 0.095 20 0 DCADLN C[C@H]([NH2+]C[C@H](NC(=O)c1nnc[nH]1)C(C)(C)C)c1nncn1C ZINC001486417604 944879089 /nfs/dbraw/zinc/87/90/89/944879089.db2.gz QXMDEYSRUFIHHI-UWVGGRQHSA-N 0 2 320.401 0.429 20 0 DCADLN C[C@H]([NH2+]C[C@H](NC(=O)c1ncn[nH]1)C(C)(C)C)c1nncn1C ZINC001486417604 944879093 /nfs/dbraw/zinc/87/90/93/944879093.db2.gz QXMDEYSRUFIHHI-UWVGGRQHSA-N 0 2 320.401 0.429 20 0 DCADLN COCCC(=O)N[C@@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001487137022 945540063 /nfs/dbraw/zinc/54/00/63/945540063.db2.gz DDZYGTUCXYBNNE-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N[C@@H](C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001487137022 945540069 /nfs/dbraw/zinc/54/00/69/945540069.db2.gz DDZYGTUCXYBNNE-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001487186159 945587080 /nfs/dbraw/zinc/58/70/80/945587080.db2.gz IINWTYGIUNPLST-SVRRBLITSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001487186159 945587084 /nfs/dbraw/zinc/58/70/84/945587084.db2.gz IINWTYGIUNPLST-SVRRBLITSA-N 0 2 322.262 0.887 20 0 DCADLN Cc1cc(Cl)ncc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001319935938 945699511 /nfs/dbraw/zinc/69/95/11/945699511.db2.gz GGDVVBHITDGZEF-UHFFFAOYSA-N 0 2 310.701 0.122 20 0 DCADLN Cc1cc(CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001410390275 946294776 /nfs/dbraw/zinc/29/47/76/946294776.db2.gz ZYQUQCRWOKSTCD-OIBJUYFYSA-N 0 2 324.278 0.782 20 0 DCADLN Cc1cc(CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001410390275 946294783 /nfs/dbraw/zinc/29/47/83/946294783.db2.gz ZYQUQCRWOKSTCD-OIBJUYFYSA-N 0 2 324.278 0.782 20 0 DCADLN C[C@@H](C(=O)NCCC[N@@H+]1CCC[C@@H]1C(=O)N(C)C)n1cc[nH+]c1 ZINC001321489341 946349702 /nfs/dbraw/zinc/34/97/02/946349702.db2.gz IMYNAWGICCHHBD-UONOGXRCSA-N 0 2 321.425 0.503 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001410577612 946587681 /nfs/dbraw/zinc/58/76/81/946587681.db2.gz RKYGSRPUYVXLQX-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001410577612 946587688 /nfs/dbraw/zinc/58/76/88/946587688.db2.gz RKYGSRPUYVXLQX-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN Cc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001085487815 946701396 /nfs/dbraw/zinc/70/13/96/946701396.db2.gz JZOXXJPFAOKFDN-GFCCVEGCSA-N 0 2 316.365 0.560 20 0 DCADLN Cc1nn(C)c(Cl)c1S(=O)(=O)N[C@@H](CO)C(F)(F)F ZINC001258465625 946840624 /nfs/dbraw/zinc/84/06/24/946840624.db2.gz KXVPKTGMMJQVJL-YFKPBYRVSA-N 0 2 321.708 0.583 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2nnc(C(C)C)n2c1 ZINC001259018916 946892386 /nfs/dbraw/zinc/89/23/86/946892386.db2.gz ZGAWQKUOEQWSDZ-UHFFFAOYSA-N 0 2 312.351 0.767 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cccc2c1CNC(=O)N2C ZINC001259026813 946899249 /nfs/dbraw/zinc/89/92/49/946899249.db2.gz FHKKILNUZWJKRH-UHFFFAOYSA-N 0 2 313.335 0.261 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1cc(F)cc(F)c1 ZINC001259307357 946941868 /nfs/dbraw/zinc/94/18/68/946941868.db2.gz OQKPUSPIVIVLEB-UHFFFAOYSA-N 0 2 303.246 0.967 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)CCc2cccc(F)c2)S1 ZINC001259775229 946982561 /nfs/dbraw/zinc/98/25/61/946982561.db2.gz KGSOQRZSBUHBEA-JTQLQIEISA-N 0 2 318.351 0.597 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cc(O)cc(C(N)=O)c2)cn1 ZINC001259839483 946996179 /nfs/dbraw/zinc/99/61/79/946996179.db2.gz FKXZBRHAQBYAII-UHFFFAOYSA-N 0 2 310.335 0.508 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2c(F)cc(F)cc2F)c(=O)[nH]1 ZINC001260357494 947094655 /nfs/dbraw/zinc/09/46/55/947094655.db2.gz GWNDKJLBOFQUOP-VIFPVBQESA-N 0 2 319.264 0.780 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1ccccc1OC1COC1 ZINC001260592928 947122033 /nfs/dbraw/zinc/12/20/33/947122033.db2.gz SKDANKXAPXDXPF-UHFFFAOYSA-N 0 2 321.376 0.208 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)ccc1F ZINC001260754949 947145217 /nfs/dbraw/zinc/14/52/17/947145217.db2.gz CNTCCZGAPVMWPY-UHFFFAOYSA-N 0 2 310.310 0.824 20 0 DCADLN CCOC(=O)Cc1ncc(NS(=O)(=O)c2cn[nH]c2)cn1 ZINC001260955012 947156201 /nfs/dbraw/zinc/15/62/01/947156201.db2.gz JVEURNMDULQFDJ-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2cccnc2Cl)n[nH]1 ZINC001323920030 947253306 /nfs/dbraw/zinc/25/33/06/947253306.db2.gz JPEQZDCMCVSRPN-UHFFFAOYSA-N 0 2 302.743 0.973 20 0 DCADLN CO[C@@H](C)CC(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411181490 947454405 /nfs/dbraw/zinc/45/44/05/947454405.db2.gz NUFDZMVUZBAQOI-NSHDSACASA-N 0 2 313.402 0.604 20 0 DCADLN CO[C@@H](C)CC(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411181490 947454410 /nfs/dbraw/zinc/45/44/10/947454410.db2.gz NUFDZMVUZBAQOI-NSHDSACASA-N 0 2 313.402 0.604 20 0 DCADLN CC[C@H](F)C(=O)N(CCN(C)Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001411199151 947474265 /nfs/dbraw/zinc/47/42/65/947474265.db2.gz LRTHZWZXCXSWGY-JTQLQIEISA-N 0 2 301.366 0.927 20 0 DCADLN CC[C@H](F)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411199151 947474271 /nfs/dbraw/zinc/47/42/71/947474271.db2.gz LRTHZWZXCXSWGY-JTQLQIEISA-N 0 2 301.366 0.927 20 0 DCADLN CC[C@H](F)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411199151 947474279 /nfs/dbraw/zinc/47/42/79/947474279.db2.gz LRTHZWZXCXSWGY-JTQLQIEISA-N 0 2 301.366 0.927 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001415181208 947509105 /nfs/dbraw/zinc/50/91/05/947509105.db2.gz BHNCSCBNQLFDMW-VXNVDRBHSA-N 0 2 310.251 0.404 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001415181208 947509123 /nfs/dbraw/zinc/50/91/23/947509123.db2.gz BHNCSCBNQLFDMW-VXNVDRBHSA-N 0 2 310.251 0.404 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C1CCC2(CC1)NC(=O)NC2=O ZINC001325600280 947850843 /nfs/dbraw/zinc/85/08/43/947850843.db2.gz DFWJVZTXTXQOFS-UHFFFAOYSA-N 0 2 305.338 0.103 20 0 DCADLN COC(=O)CCCCS(=O)(=O)Nc1scnc1C(N)=O ZINC001196922788 948055460 /nfs/dbraw/zinc/05/54/60/948055460.db2.gz SKTKHLHNAHCIQO-UHFFFAOYSA-N 0 2 321.380 0.327 20 0 DCADLN C[C@H](CN(C)C(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001487769042 948250163 /nfs/dbraw/zinc/25/01/63/948250163.db2.gz JDJARDLWHLSWTG-RNFRBKRXSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)c1ncc[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001487769042 948250175 /nfs/dbraw/zinc/25/01/75/948250175.db2.gz JDJARDLWHLSWTG-RNFRBKRXSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001487801115 948285308 /nfs/dbraw/zinc/28/53/08/948285308.db2.gz YMFJMNSARHNCLI-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001487801115 948285315 /nfs/dbraw/zinc/28/53/15/948285315.db2.gz YMFJMNSARHNCLI-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN Cc1cc(-c2nn[nH]n2)cc(C)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001570920022 948595044 /nfs/dbraw/zinc/59/50/44/948595044.db2.gz FJGHFKUVLPZMAC-UHFFFAOYSA-N 0 2 314.309 0.237 20 0 DCADLN Cc1nocc1C[NH2+]C/C=C/CNC(=O)CCc1nc[nH]n1 ZINC001273937496 948692073 /nfs/dbraw/zinc/69/20/73/948692073.db2.gz FCXRUWRLKZJQOD-NSCUHMNNSA-N 0 2 304.354 0.496 20 0 DCADLN CC(C)c1nc(CNC(=O)c2cccc(-c3nn[nH]n3)n2)n[nH]1 ZINC001574435312 948992554 /nfs/dbraw/zinc/99/25/54/948992554.db2.gz SKUPOFDPRABOAK-UHFFFAOYSA-N 0 2 313.325 0.433 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCc2nncn2CC1)c1nn(C)cc1O ZINC001364395538 949185185 /nfs/dbraw/zinc/18/51/85/949185185.db2.gz FQAAMXWNJUPUCM-UWVGGRQHSA-N 0 2 304.354 0.547 20 0 DCADLN COC(=O)[C@@H]1Cc2ccc(O)cc2CN1Cc1n[nH]c(=O)[nH]1 ZINC001364544755 949529590 /nfs/dbraw/zinc/52/95/90/949529590.db2.gz DDZCJWYNDUMZRB-NSHDSACASA-N 0 2 304.306 0.316 20 0 DCADLN COCC(=O)NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001489793265 950055897 /nfs/dbraw/zinc/05/58/97/950055897.db2.gz ARZWRJJXDQKNCV-BKPPORCPSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NC[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001489793265 950055923 /nfs/dbraw/zinc/05/59/23/950055923.db2.gz ARZWRJJXDQKNCV-BKPPORCPSA-N 0 2 300.252 0.544 20 0 DCADLN CCc1occc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364996763 950320679 /nfs/dbraw/zinc/32/06/79/950320679.db2.gz COTINEHGNQWKLJ-UHFFFAOYSA-N 0 2 312.351 0.435 20 0 DCADLN CC1=NO[C@@H](CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001365233385 950781119 /nfs/dbraw/zinc/78/11/19/950781119.db2.gz QHBDSCGIBYKGTG-VHSXEESVSA-N 0 2 308.342 0.564 20 0 DCADLN C=C/C(C)=C/CC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001280412182 950847762 /nfs/dbraw/zinc/84/77/62/950847762.db2.gz IDEZJUHLECFMNX-KBVBDRTLSA-N 0 2 321.381 0.350 20 0 DCADLN CC(C)(NS(=O)(=O)N=S1(=O)CCCC1)c1ncon1 ZINC001365462426 951154385 /nfs/dbraw/zinc/15/43/85/951154385.db2.gz ALMNMQQKOAFVMU-UHFFFAOYSA-N 0 2 308.385 0.401 20 0 DCADLN C[C@@]1(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCCO1 ZINC001365567459 951272805 /nfs/dbraw/zinc/27/28/05/951272805.db2.gz JVAQUXOFVAQBSU-HZMBPMFUSA-N 0 2 309.370 0.968 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000389637073 951354334 /nfs/dbraw/zinc/35/43/34/951354334.db2.gz JQUPMLDCWVBPCX-LBPRGKRZSA-N 0 2 306.362 0.856 20 0 DCADLN CCO[C@H]1C[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001365652135 951386956 /nfs/dbraw/zinc/38/69/56/951386956.db2.gz BGSCXVGBYSVTIJ-DCAQKATOSA-N 0 2 309.370 0.967 20 0 DCADLN O=S(=O)(NC[C@@]1(O)CCOC1)c1onc(C2CC2)c1Cl ZINC001365682623 951426606 /nfs/dbraw/zinc/42/66/06/951426606.db2.gz WIWQMCDFYAXSJS-NSHDSACASA-N 0 2 322.770 0.635 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnn(Cc2cccnc2)c1 ZINC001333155549 951938242 /nfs/dbraw/zinc/93/82/42/951938242.db2.gz UIFNSKMVQOFETO-UHFFFAOYSA-N 0 2 314.309 0.472 20 0 DCADLN C/C(=C\C(=O)N1CC[C@@H](C(=O)[O-])C[C@H]1C)C[NH+]1CCOCC1 ZINC001335190149 952480576 /nfs/dbraw/zinc/48/05/76/952480576.db2.gz HYTFXIZOZGSNJA-DBLGQFABSA-N 0 2 310.394 0.977 20 0 DCADLN C[C@H](O)[C@@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001411946964 952560433 /nfs/dbraw/zinc/56/04/33/952560433.db2.gz OQNIMELVEPEFDR-GXSJLCMTSA-N 0 2 318.333 0.109 20 0 DCADLN O=C(N[C@H](CO)CC1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412098186 952644730 /nfs/dbraw/zinc/64/47/30/952644730.db2.gz YAYNXBXNHHJOQD-NSHDSACASA-N 0 2 318.333 0.157 20 0 DCADLN CO[C@@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1(C)C ZINC001412121717 952653792 /nfs/dbraw/zinc/65/37/92/952653792.db2.gz DYMNXYXRDBVRFR-LLVKDONJSA-N 0 2 319.365 0.345 20 0 DCADLN Cc1n[nH]c(SCC(=O)NCc2cc(=O)[nH]c(C3CC3)n2)n1 ZINC001412605292 952929894 /nfs/dbraw/zinc/92/98/94/952929894.db2.gz YNGMTVQWYQKXRH-UHFFFAOYSA-N 0 2 320.378 0.895 20 0 DCADLN CC(C)(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001412691309 952999293 /nfs/dbraw/zinc/99/92/93/952999293.db2.gz XPVAATGMKGBAAR-UHFFFAOYSA-N 0 2 300.384 0.402 20 0 DCADLN COc1ncnc(OC)c1C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001412760849 953077259 /nfs/dbraw/zinc/07/72/59/953077259.db2.gz ZVEYNXNSTORKLF-ZETCQYMHSA-N 0 2 307.310 0.424 20 0 DCADLN COCC(=O)NCC1=CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001339336263 953087659 /nfs/dbraw/zinc/08/76/59/953087659.db2.gz QITTZDZNYOWQAT-JTQLQIEISA-N 0 2 312.263 0.808 20 0 DCADLN COCC(=O)NCC1=CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001339336263 953087661 /nfs/dbraw/zinc/08/76/61/953087661.db2.gz QITTZDZNYOWQAT-JTQLQIEISA-N 0 2 312.263 0.808 20 0 DCADLN C/C(=C/C(=O)N[C@@](C)(CCF)C(=O)[O-])C[NH+]1CCOCC1 ZINC001339540357 953101264 /nfs/dbraw/zinc/10/12/64/953101264.db2.gz TZJUIUQGSZJILS-FPAJZGIOSA-N 0 2 302.346 0.584 20 0 DCADLN COC(=O)[C@@H](O)CCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC001412805937 953103816 /nfs/dbraw/zinc/10/38/16/953103816.db2.gz QTDRKOQOVODBNW-NSHDSACASA-N 0 2 319.317 0.232 20 0 DCADLN O=C(Cc1n[nH]c(C2CCOCC2)n1)Nc1ncccc1O ZINC001412818914 953111107 /nfs/dbraw/zinc/11/11/07/953111107.db2.gz VUDXMKDKHAPQBY-UHFFFAOYSA-N 0 2 303.322 0.981 20 0 DCADLN O=C([N-]OCc1ccccc1)C(=O)NCCCn1cc[nH+]c1 ZINC001340156842 953150336 /nfs/dbraw/zinc/15/03/36/953150336.db2.gz CYNHBHUSGDPVIY-UHFFFAOYSA-N 0 2 302.334 0.637 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C)C(F)(F)F ZINC001365892733 953370042 /nfs/dbraw/zinc/37/00/42/953370042.db2.gz JBLMQSPWZURHLK-RNJXMRFFSA-N 0 2 321.303 0.788 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(F)(F)F ZINC001365892733 953370052 /nfs/dbraw/zinc/37/00/52/953370052.db2.gz JBLMQSPWZURHLK-RNJXMRFFSA-N 0 2 321.303 0.788 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(F)(F)F ZINC001365892733 953370062 /nfs/dbraw/zinc/37/00/62/953370062.db2.gz JBLMQSPWZURHLK-RNJXMRFFSA-N 0 2 321.303 0.788 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001589274524 953450779 /nfs/dbraw/zinc/45/07/79/953450779.db2.gz UAXHXALRWGVXPJ-IJLUTSLNSA-N 0 2 306.366 0.950 20 0 DCADLN CCOC(=O)C(C)(C)O[N-]C(=O)C(C)(C)[NH+]1CCOCC1 ZINC001344393449 953479251 /nfs/dbraw/zinc/47/92/51/953479251.db2.gz RTMMMPPDLRVXEP-UHFFFAOYSA-N 0 2 302.371 0.487 20 0 DCADLN C=C/C(C)=C/CC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001282735098 953663050 /nfs/dbraw/zinc/66/30/50/953663050.db2.gz VDTOBFVRHBZPND-UAWPZABVSA-N 0 2 305.382 0.969 20 0 DCADLN C[N@H+](CCS(=O)(=O)CC(=O)[O-])[C@@H](CO)Cc1ccccc1 ZINC001593754629 954441557 /nfs/dbraw/zinc/44/15/57/954441557.db2.gz RTXJDNNCTQEZJD-CYBMUJFWSA-N 0 2 315.391 0.021 20 0 DCADLN C[N@@H+](CCS(=O)(=O)CC(=O)[O-])[C@@H](CO)Cc1ccccc1 ZINC001593754629 954441567 /nfs/dbraw/zinc/44/15/67/954441567.db2.gz RTXJDNNCTQEZJD-CYBMUJFWSA-N 0 2 315.391 0.021 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2c([nH]1)CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001366580092 954454816 /nfs/dbraw/zinc/45/48/16/954454816.db2.gz QRSJHCBFJOMMKZ-UHFFFAOYSA-N 0 2 318.381 0.579 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2c([nH]1)CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001366580092 954454822 /nfs/dbraw/zinc/45/48/22/954454822.db2.gz QRSJHCBFJOMMKZ-UHFFFAOYSA-N 0 2 318.381 0.579 20 0 DCADLN CC[N@H+](CCNC(=O)CC[C@H]1CCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001366611186 954512826 /nfs/dbraw/zinc/51/28/26/954512826.db2.gz ZHIREAAACFRREW-NSHDSACASA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)CC[C@H]1CCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001366611186 954512834 /nfs/dbraw/zinc/51/28/34/954512834.db2.gz ZHIREAAACFRREW-NSHDSACASA-N 0 2 311.386 0.265 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)NCC[N@@H+]1CCC2(C1)OCCO2 ZINC001589474370 954948481 /nfs/dbraw/zinc/94/84/81/954948481.db2.gz YUIBPAIXDQGNBW-UHFFFAOYSA-N 0 2 314.382 0.442 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)NCC[N@H+]1CCC2(C1)OCCO2 ZINC001589474370 954948491 /nfs/dbraw/zinc/94/84/91/954948491.db2.gz YUIBPAIXDQGNBW-UHFFFAOYSA-N 0 2 314.382 0.442 20 0 DCADLN CSC[C@H](C)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366899574 955023933 /nfs/dbraw/zinc/02/39/33/955023933.db2.gz PLGNPODPKVZGDO-UWVGGRQHSA-N 0 2 313.427 0.590 20 0 DCADLN CC[C@@H](CNC(=O)NC[C@@H](C)[NH+]1CCN(C)CC1)C(=O)[O-] ZINC001589535927 955161974 /nfs/dbraw/zinc/16/19/74/955161974.db2.gz XTYFOMIUZFVBKP-NEPJUHHUSA-N 0 2 300.403 0.032 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2nncn2C)C1 ZINC001367054168 955267858 /nfs/dbraw/zinc/26/78/58/955267858.db2.gz ROQDWVXEEZRTKG-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(Cc2nncn2C)C1 ZINC001367054168 955267865 /nfs/dbraw/zinc/26/78/65/955267865.db2.gz ROQDWVXEEZRTKG-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(CCCNC(=O)c1ccn(C)n1)C(=O)C(F)C(F)(F)F ZINC001351509684 955318481 /nfs/dbraw/zinc/31/84/81/955318481.db2.gz KTWYWNQKJKFZLC-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)c1ccn(C)n1)C(=O)[C@@H](F)C(F)(F)F ZINC001351509684 955318490 /nfs/dbraw/zinc/31/84/90/955318490.db2.gz KTWYWNQKJKFZLC-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CC(C)C[C@H](NC(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC001603048435 972114455 /nfs/dbraw/zinc/11/44/55/972114455.db2.gz YIHPJPWWFKLJIW-NSHDSACASA-N 0 2 318.333 0.888 20 0 DCADLN Cn1nccc1[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C(=O)[O-] ZINC001594588175 956018519 /nfs/dbraw/zinc/01/85/19/956018519.db2.gz RTYHNGNEQURISD-SKDRFNHKSA-N 0 2 303.322 0.121 20 0 DCADLN NC(=O)c1cn(CCCCNc2[nH+]cccc2C(=O)[O-])nn1 ZINC001594653537 956487576 /nfs/dbraw/zinc/48/75/76/956487576.db2.gz QOBXWEWHZCXRLY-UHFFFAOYSA-N 0 2 304.310 0.363 20 0 DCADLN Nc1nc(C[NH2+]C2(C(=O)[O-])CCOCC2)nc2ccccc12 ZINC001594666539 956581325 /nfs/dbraw/zinc/58/13/25/956581325.db2.gz PLLSVNJFJNXDJD-UHFFFAOYSA-N 0 2 302.334 0.935 20 0 DCADLN C[C@@H](CN(C)C(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)C(=O)[O-] ZINC001603145188 972241634 /nfs/dbraw/zinc/24/16/34/972241634.db2.gz NHRWVSXDGLLMPE-WDEREUQCSA-N 0 2 322.365 0.295 20 0 DCADLN Cc1nc[nH]c(=O)c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292843661 957170221 /nfs/dbraw/zinc/17/02/21/957170221.db2.gz JWQBXGWPTKDPJH-ZETCQYMHSA-N 0 2 324.234 0.237 20 0 DCADLN Cc1nc[nH]c(=O)c1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001292843661 957170228 /nfs/dbraw/zinc/17/02/28/957170228.db2.gz JWQBXGWPTKDPJH-ZETCQYMHSA-N 0 2 324.234 0.237 20 0 DCADLN CC[C@@H](C)[N@H+](CC(=O)[O-])CC(=O)NNC(=O)c1cccs1 ZINC000037599098 957316462 /nfs/dbraw/zinc/31/64/62/957316462.db2.gz IKMDMYCSLSRZKW-SECBINFHSA-N 0 2 313.379 0.694 20 0 DCADLN CC[C@@H](C)[N@@H+](CC(=O)[O-])CC(=O)NNC(=O)c1cccs1 ZINC000037599098 957316468 /nfs/dbraw/zinc/31/64/68/957316468.db2.gz IKMDMYCSLSRZKW-SECBINFHSA-N 0 2 313.379 0.694 20 0 DCADLN Cn1nccc1C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001357648007 957345998 /nfs/dbraw/zinc/34/59/98/957345998.db2.gz SISWJNQJRGTJAF-HPOULIHZSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1nccc1C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001357648007 957346008 /nfs/dbraw/zinc/34/60/08/957346008.db2.gz SISWJNQJRGTJAF-HPOULIHZSA-N 0 2 322.262 0.723 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H](C1CC1)C1CCC1 ZINC001361400077 957931217 /nfs/dbraw/zinc/93/12/17/957931217.db2.gz RPGARANTQURORP-CYBMUJFWSA-N 0 2 301.350 0.766 20 0 DCADLN CC[C@@H](C)[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)OC ZINC001361458578 957983720 /nfs/dbraw/zinc/98/37/20/957983720.db2.gz TXWPQPMUYBVRSE-KOLCDFICSA-N 0 2 307.354 0.249 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](Cc1ccncc1)C(=O)[O-] ZINC001603207026 972314535 /nfs/dbraw/zinc/31/45/35/972314535.db2.gz GZTKZDPIFZSXSS-GWCFXTLKSA-N 0 2 302.334 0.795 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](Cc1ccncc1)C(=O)[O-] ZINC001603207026 972314537 /nfs/dbraw/zinc/31/45/37/972314537.db2.gz GZTKZDPIFZSXSS-GWCFXTLKSA-N 0 2 302.334 0.795 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@]1(O)CCc2ccccc2C1 ZINC001296733124 958042425 /nfs/dbraw/zinc/04/24/25/958042425.db2.gz ATUKHLLREFAYLE-HNNXBMFYSA-N 0 2 319.386 0.937 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001361612804 958137118 /nfs/dbraw/zinc/13/71/18/958137118.db2.gz DMQQAFOWIQKZHR-SECBINFHSA-N 0 2 304.306 0.127 20 0 DCADLN Cc1cccnc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001361655635 958180332 /nfs/dbraw/zinc/18/03/32/958180332.db2.gz RFIJGJIVMQKPRD-UHFFFAOYSA-N 0 2 307.331 0.995 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001446987533 1013168263 /nfs/dbraw/zinc/16/82/63/1013168263.db2.gz MUWFYOOJQJCAJS-YUMQZZPRSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001446987533 1013168268 /nfs/dbraw/zinc/16/82/68/1013168268.db2.gz MUWFYOOJQJCAJS-YUMQZZPRSA-N 0 2 322.262 0.949 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001430145528 1013170169 /nfs/dbraw/zinc/17/01/69/1013170169.db2.gz OZFJMIVIBUZTFK-ZXFLCMHBSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430145528 1013170178 /nfs/dbraw/zinc/17/01/78/1013170178.db2.gz OZFJMIVIBUZTFK-ZXFLCMHBSA-N 0 2 322.262 0.698 20 0 DCADLN CC[C@H](COC)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001361927230 958499099 /nfs/dbraw/zinc/49/90/99/958499099.db2.gz KGISZTMHINIYDP-SNVBAGLBSA-N 0 2 306.322 0.421 20 0 DCADLN COc1cc(OC)nc(C(=O)Nc2n[nH]c(C(F)(F)F)n2)n1 ZINC001361969270 958552375 /nfs/dbraw/zinc/55/23/75/958552375.db2.gz JQPRXCKYWWOYJE-UHFFFAOYSA-N 0 2 318.215 0.883 20 0 DCADLN Cc1cnccc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367803426 958614400 /nfs/dbraw/zinc/61/44/00/958614400.db2.gz UJDMTUYAXDMVHE-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cnccc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367803426 958614408 /nfs/dbraw/zinc/61/44/08/958614408.db2.gz UJDMTUYAXDMVHE-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN CC(C)n1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001367850788 958712318 /nfs/dbraw/zinc/71/23/18/958712318.db2.gz UDOCSARNLWSNOB-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001367850788 958712333 /nfs/dbraw/zinc/71/23/33/958712333.db2.gz UDOCSARNLWSNOB-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN O=C(NC[C@@H]1CCCCO1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001367907844 958837841 /nfs/dbraw/zinc/83/78/41/958837841.db2.gz QMMCCPHGXQUHOI-MNOVXSKESA-N 0 2 309.370 0.968 20 0 DCADLN CS(=O)(=O)CCN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001367941717 958919551 /nfs/dbraw/zinc/91/95/51/958919551.db2.gz VPGUEYFKAUNCGE-JGVFFNPUSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001367941717 958919558 /nfs/dbraw/zinc/91/95/58/958919558.db2.gz VPGUEYFKAUNCGE-JGVFFNPUSA-N 0 2 320.308 0.122 20 0 DCADLN CO[C@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCCC1 ZINC001367971275 958985970 /nfs/dbraw/zinc/98/59/70/958985970.db2.gz CHZNUPLKUBJQRV-YPMHNXCESA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H](CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C(C)C ZINC001362343935 959179230 /nfs/dbraw/zinc/17/92/30/959179230.db2.gz ZJGIVOOLJYXCTC-LBPRGKRZSA-N 0 2 320.349 0.667 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@]1(O)CCOC1 ZINC001362389528 959261406 /nfs/dbraw/zinc/26/14/06/959261406.db2.gz BPNIBSMIJDFPDM-HNNXBMFYSA-N 0 2 318.333 0.151 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc3[nH]cnc3c2)S1 ZINC001362476157 959429999 /nfs/dbraw/zinc/42/99/99/959429999.db2.gz SXWWJBZQIIOCTB-JTQLQIEISA-N 0 2 303.347 0.736 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc3nc[nH]c3c2)S1 ZINC001362476157 959430008 /nfs/dbraw/zinc/43/00/08/959430008.db2.gz SXWWJBZQIIOCTB-JTQLQIEISA-N 0 2 303.347 0.736 20 0 DCADLN N=C1NC(=O)C(CC(=O)NCc2ccc3[nH]cnc3c2)S1 ZINC001362476157 959430016 /nfs/dbraw/zinc/43/00/16/959430016.db2.gz SXWWJBZQIIOCTB-JTQLQIEISA-N 0 2 303.347 0.736 20 0 DCADLN N=C1NC(=O)C(CC(=O)NCc2ccc3nc[nH]c3c2)S1 ZINC001362476157 959430023 /nfs/dbraw/zinc/43/00/23/959430023.db2.gz SXWWJBZQIIOCTB-JTQLQIEISA-N 0 2 303.347 0.736 20 0 DCADLN CC1(C)SC[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362545836 959565510 /nfs/dbraw/zinc/56/55/10/959565510.db2.gz UKLBUQRXAAGXRV-JTQLQIEISA-N 0 2 320.374 0.890 20 0 DCADLN CC(=O)[C@@H](Cc1ccccc1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001362618282 959708932 /nfs/dbraw/zinc/70/89/32/959708932.db2.gz RQASWGQOMNCZSA-NEPJUHHUSA-N 0 2 319.386 0.859 20 0 DCADLN C[C@@H]1COCCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362652625 959773130 /nfs/dbraw/zinc/77/31/30/959773130.db2.gz WPCZYISCRHVZSI-SNVBAGLBSA-N 0 2 318.333 0.517 20 0 DCADLN CCn1nc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1C1CC1 ZINC001362727851 959900072 /nfs/dbraw/zinc/90/00/72/959900072.db2.gz WSOIYYSDTJBDFR-UHFFFAOYSA-N 0 2 303.322 0.912 20 0 DCADLN O=C([C@@H]1CCNC(=O)CC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362727477 959900658 /nfs/dbraw/zinc/90/06/58/959900658.db2.gz VBEZEIOJNKEXOW-UWVGGRQHSA-N 0 2 307.354 0.133 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362743963 959920156 /nfs/dbraw/zinc/92/01/56/959920156.db2.gz XSZUNRIBXAOWMG-KXUCPTDWSA-N 0 2 308.338 0.416 20 0 DCADLN CSc1ccc(O)c(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)c1 ZINC001362762740 959953935 /nfs/dbraw/zinc/95/39/35/959953935.db2.gz SAWMKJFNCINPBO-SNVBAGLBSA-N 0 2 321.362 0.841 20 0 DCADLN CC(F)(F)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377406943 960042640 /nfs/dbraw/zinc/04/26/40/960042640.db2.gz CTFAPBRTTJIKDQ-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN CC(F)(F)CC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377406943 960042645 /nfs/dbraw/zinc/04/26/45/960042645.db2.gz CTFAPBRTTJIKDQ-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN O=C(CCCc1nn[nH]n1)NCCc1c(F)cc(O)cc1F ZINC001362972081 960243678 /nfs/dbraw/zinc/24/36/78/960243678.db2.gz MONCTUUKSYJSII-UHFFFAOYSA-N 0 2 311.292 0.865 20 0 DCADLN CSCCONC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001311315209 960250910 /nfs/dbraw/zinc/25/09/10/960250910.db2.gz PQZMJGIKADDTDJ-UHFFFAOYSA-N 0 2 300.344 0.113 20 0 DCADLN Cn1nc2c(c1NC(=O)CC1SC(=N)NC1=O)CCCC2 ZINC001363073903 960373517 /nfs/dbraw/zinc/37/35/17/960373517.db2.gz TXMXRONBBPZFEK-VIFPVBQESA-N 0 2 307.379 0.794 20 0 DCADLN CC(C)(O)C(C)(C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363196432 960579385 /nfs/dbraw/zinc/57/93/85/960579385.db2.gz QNJRQZOTZUVKPB-UHFFFAOYSA-N 0 2 320.349 0.546 20 0 DCADLN Cn1cnnc1CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001377829146 960756039 /nfs/dbraw/zinc/75/60/39/960756039.db2.gz PXLBZPTVBVJNID-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CCC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001377829146 960756060 /nfs/dbraw/zinc/75/60/60/960756060.db2.gz PXLBZPTVBVJNID-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cc1c(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])nnn1C(C)C ZINC001571091850 961110974 /nfs/dbraw/zinc/11/09/74/961110974.db2.gz KYJNPOOYNPQAJM-SNVBAGLBSA-N 0 2 306.326 0.247 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@@H+]1CCOC(=O)Cc1ccccc1 ZINC001571096555 961171541 /nfs/dbraw/zinc/17/15/41/961171541.db2.gz NJKDGBFSRFNGSH-CQSZACIVSA-N 0 2 307.346 0.948 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@H+]1CCOC(=O)Cc1ccccc1 ZINC001571096555 961171545 /nfs/dbraw/zinc/17/15/45/961171545.db2.gz NJKDGBFSRFNGSH-CQSZACIVSA-N 0 2 307.346 0.948 20 0 DCADLN COc1ccccc1[C@@H](O)C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363484781 961249961 /nfs/dbraw/zinc/24/99/61/961249961.db2.gz JQZBGRLNVWHZIP-CYBMUJFWSA-N 0 2 305.290 0.246 20 0 DCADLN CC(C)(CNS(=O)(=O)N1CCC[C@H]1C(=O)[O-])n1cc[nH+]c1 ZINC001588783899 961328832 /nfs/dbraw/zinc/32/88/32/961328832.db2.gz WKEXFNCFTHKGTG-JTQLQIEISA-N 0 2 316.383 0.002 20 0 DCADLN Cn1nncc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001378060954 961337087 /nfs/dbraw/zinc/33/70/87/961337087.db2.gz FQCYLCMOCPCRIG-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1nncc1CN1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001378060954 961337108 /nfs/dbraw/zinc/33/71/08/961337108.db2.gz FQCYLCMOCPCRIG-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN O=C(N[C@H](CO)CC1OCCO1)c1cc(F)c(O)c(F)c1 ZINC001363545781 961410418 /nfs/dbraw/zinc/41/04/18/961410418.db2.gz BUZJRVNAQGHTFB-QMMMGPOBSA-N 0 2 303.261 0.524 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])C(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001588803823 961452484 /nfs/dbraw/zinc/45/24/84/961452484.db2.gz JAUYJMUSVSOXRA-VXGBXAGGSA-N 0 2 312.366 0.239 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCCc2cccnc21 ZINC001571123489 961469835 /nfs/dbraw/zinc/46/98/35/961469835.db2.gz QLLUGTFGBBKWCG-STQMWFEESA-N 0 2 314.345 0.968 20 0 DCADLN Cc1ncccc1CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378138652 961506346 /nfs/dbraw/zinc/50/63/46/961506346.db2.gz JJDWROOUNKSQIE-SNVBAGLBSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ncccc1CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378138652 961506363 /nfs/dbraw/zinc/50/63/63/961506363.db2.gz JJDWROOUNKSQIE-SNVBAGLBSA-N 0 2 318.381 0.393 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC001588809403 961512339 /nfs/dbraw/zinc/51/23/39/961512339.db2.gz PDFAYFIZUWKFFP-CYBMUJFWSA-N 0 2 324.381 0.511 20 0 DCADLN O=C([O-])c1cnc(N[C@@H]2C[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001571129143 961534187 /nfs/dbraw/zinc/53/41/87/961534187.db2.gz ZPDRXGXAGHFTGW-MGCOHNPYSA-N 0 2 316.321 0.200 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccccc1C(=O)[O-] ZINC001571130870 961543121 /nfs/dbraw/zinc/54/31/21/961543121.db2.gz LULKPNQPOVZWAU-LBPRGKRZSA-N 0 2 317.301 0.622 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccccc1C(=O)[O-] ZINC001571130870 961543141 /nfs/dbraw/zinc/54/31/41/961543141.db2.gz LULKPNQPOVZWAU-LBPRGKRZSA-N 0 2 317.301 0.622 20 0 DCADLN CCC[C@@H](OC)C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378160824 961559778 /nfs/dbraw/zinc/55/97/78/961559778.db2.gz NEWVLEUVJDRROB-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN CC(C)[C@@H](CNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1)C(=O)[O-] ZINC001588823243 961644331 /nfs/dbraw/zinc/64/43/31/961644331.db2.gz RSPDCJUBEVKFHK-QWHCGFSZSA-N 0 2 313.398 0.459 20 0 DCADLN Cc1cc(C)c(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)o1 ZINC001363671482 961668429 /nfs/dbraw/zinc/66/84/29/961668429.db2.gz KCPIDLDXRDFANJ-UHFFFAOYSA-N 0 2 312.351 0.489 20 0 DCADLN CCCCC1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001363674044 961673543 /nfs/dbraw/zinc/67/35/43/961673543.db2.gz SZGMDGHGWCHHNU-UHFFFAOYSA-N 0 2 314.411 0.936 20 0 DCADLN C/C(=C/C(=O)N[C@H](CC(F)(F)F)C(=O)[O-])C[NH+]1CCOCC1 ZINC001333335744 961687052 /nfs/dbraw/zinc/68/70/52/961687052.db2.gz WMIXOGMROCVRIT-ABRRARGCSA-N 0 2 324.299 0.787 20 0 DCADLN CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+]Cc1nc(C)no1 ZINC001378244877 961746061 /nfs/dbraw/zinc/74/60/61/961746061.db2.gz KJPJMBIGPXZMEE-SECBINFHSA-N 0 2 308.342 0.413 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)NCc1ccc(C(=O)[O-])cc1 ZINC001334767408 961813904 /nfs/dbraw/zinc/81/39/04/961813904.db2.gz HVWOIDPULRHZAF-OWOJBTEDSA-N 0 2 304.346 0.889 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1cc(C)c(C(=O)[O-])[nH]1 ZINC001571158350 961891158 /nfs/dbraw/zinc/89/11/58/961891158.db2.gz KAVNBDAUEOGDKI-LLVKDONJSA-N 0 2 323.349 0.275 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1cc(C)c(C(=O)[O-])[nH]1 ZINC001571158350 961891178 /nfs/dbraw/zinc/89/11/78/961891178.db2.gz KAVNBDAUEOGDKI-LLVKDONJSA-N 0 2 323.349 0.275 20 0 DCADLN C[C@@H](NC(=O)c1ccc(CNC(N)=O)cc1)c1nn(C)cc1O ZINC001363837191 961989752 /nfs/dbraw/zinc/98/97/52/961989752.db2.gz XPAILSWVHZLMPO-SECBINFHSA-N 0 2 317.349 0.785 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)c2nn(C)cc2O)ncc1C(N)=O ZINC001363841981 962001193 /nfs/dbraw/zinc/00/11/93/962001193.db2.gz SMIDJRLTXPGKSJ-MRVPVSSYSA-N 0 2 303.322 0.419 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@@H]2CCO[C@H](C)C2)[nH]1 ZINC001364013914 962292114 /nfs/dbraw/zinc/29/21/14/962292114.db2.gz XXXNDQVQDHJOBC-NXEZZACHSA-N 0 2 318.399 0.186 20 0 DCADLN COC[C@@H](NC(=O)c1cc(SC)ccc1O)c1nn[nH]n1 ZINC001364031093 962328189 /nfs/dbraw/zinc/32/81/89/962328189.db2.gz NDBFACZAHLIFLT-SECBINFHSA-N 0 2 309.351 0.745 20 0 DCADLN O=C(Cc1ccccc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001379979333 962441196 /nfs/dbraw/zinc/44/11/96/962441196.db2.gz OPEWWGAQNDVQSQ-GFCCVEGCSA-N 0 2 301.350 0.444 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+][C@@H](C)c3ncccn3)CC2)c1[O-] ZINC001380075448 962618244 /nfs/dbraw/zinc/61/82/44/962618244.db2.gz XFIBTMOCSDNDMO-JTQLQIEISA-N 0 2 316.365 0.827 20 0 DCADLN O=C(NCCC1(O)CCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364272437 962793854 /nfs/dbraw/zinc/79/38/54/962793854.db2.gz LCDBOGJJUUMOJE-JTQLQIEISA-N 0 2 309.370 0.704 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2ccc(F)s2)[nH]1 ZINC001364284104 962814743 /nfs/dbraw/zinc/81/47/43/962814743.db2.gz LPRWJEIWWCJYSP-UHFFFAOYSA-N 0 2 318.355 0.480 20 0 DCADLN COC(=O)C[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(C)(C)C ZINC001364295019 962841910 /nfs/dbraw/zinc/84/19/10/962841910.db2.gz PVOTWUKISFRYES-JGVFFNPUSA-N 0 2 315.395 0.637 20 0 DCADLN COCC(=O)N1CCC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001380199854 962853203 /nfs/dbraw/zinc/85/32/03/962853203.db2.gz SMRFFPYFIXXUNO-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N1CCC[C@H]1CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001380199854 962853221 /nfs/dbraw/zinc/85/32/21/962853221.db2.gz SMRFFPYFIXXUNO-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccnn1C ZINC001380756993 963560386 /nfs/dbraw/zinc/56/03/86/963560386.db2.gz DFPBLPYOTGXACW-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ccnn1C ZINC001380756993 963560395 /nfs/dbraw/zinc/56/03/95/963560395.db2.gz DFPBLPYOTGXACW-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN COCCN1CC2(C1)C[C@@H](NC(=O)C(F)C(F)(F)F)CO2 ZINC001381011370 963763030 /nfs/dbraw/zinc/76/30/30/963763030.db2.gz LKNDIINAHYKDFT-BDAKNGLRSA-N 0 2 314.279 0.493 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCC[NH+]2CCCCC2)on1 ZINC000316071714 963972820 /nfs/dbraw/zinc/97/28/20/963972820.db2.gz YRHBEAJTBKBYAD-UHFFFAOYSA-N 0 2 303.340 0.137 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C[NH2+]Cc2cnsn2)C2CC2)c1[O-] ZINC001375211056 964127880 /nfs/dbraw/zinc/12/78/80/964127880.db2.gz GUYPBOIMAGHGLY-JTQLQIEISA-N 0 2 322.394 0.573 20 0 DCADLN CC(C)C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001375297442 964218943 /nfs/dbraw/zinc/21/89/43/964218943.db2.gz VVNNTOLHRYSZEB-GFCCVEGCSA-N 0 2 303.366 0.369 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981185 964233338 /nfs/dbraw/zinc/23/33/38/964233338.db2.gz NXUZBFNLEBHDDK-GHMZBOCLSA-N 0 2 321.381 0.919 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981185 964233345 /nfs/dbraw/zinc/23/33/45/964233345.db2.gz NXUZBFNLEBHDDK-GHMZBOCLSA-N 0 2 321.381 0.919 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CC12CCOCC2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013129 964295249 /nfs/dbraw/zinc/29/52/49/964295249.db2.gz UJUWOGMQDDHUOU-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CC12CCOCC2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013129 964295264 /nfs/dbraw/zinc/29/52/64/964295264.db2.gz UJUWOGMQDDHUOU-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN COC[C@@H](O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001373628022 964386834 /nfs/dbraw/zinc/38/68/34/964386834.db2.gz NBNRPTCVEOHACW-FRRDWIJNSA-N 0 2 322.409 0.027 20 0 DCADLN CCC[NH+](C)CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001375478743 964453295 /nfs/dbraw/zinc/45/32/95/964453295.db2.gz XRYRHWWMDORSDL-DZGCQCFKSA-N 0 2 324.469 0.528 20 0 DCADLN C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)N(C)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001369942467 965596223 /nfs/dbraw/zinc/59/62/23/965596223.db2.gz YJAZPJOSIJVMEF-RYUDHWBXSA-N 0 2 307.398 0.010 20 0 DCADLN CCCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](C(C)C)[NH+](C)C)C1 ZINC001369988863 965663546 /nfs/dbraw/zinc/66/35/46/965663546.db2.gz UXHIOQUZWFOJON-ZFWWWQNUSA-N 0 2 312.458 0.289 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001370465474 966154974 /nfs/dbraw/zinc/15/49/74/966154974.db2.gz NKYLJCIWYVIOOH-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn[nH]c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001370465474 966154985 /nfs/dbraw/zinc/15/49/85/966154985.db2.gz NKYLJCIWYVIOOH-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001371982526 967514448 /nfs/dbraw/zinc/51/44/48/967514448.db2.gz XUUBPDIJCGMCAL-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001371982526 967514454 /nfs/dbraw/zinc/51/44/54/967514454.db2.gz XUUBPDIJCGMCAL-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(OC)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001372459791 968005439 /nfs/dbraw/zinc/00/54/39/968005439.db2.gz GWOKOVADWDPUNC-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(OC)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001372459791 968005449 /nfs/dbraw/zinc/00/54/49/968005449.db2.gz GWOKOVADWDPUNC-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN Cn1nnnc1CN1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001372510522 968067137 /nfs/dbraw/zinc/06/71/37/968067137.db2.gz NNJGIIXEPVYPRJ-VXNVDRBHSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CCC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001372510522 968067147 /nfs/dbraw/zinc/06/71/47/968067147.db2.gz NNJGIIXEPVYPRJ-VXNVDRBHSA-N 0 2 324.282 0.191 20 0 DCADLN Cc1nccc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001372881566 968493259 /nfs/dbraw/zinc/49/32/59/968493259.db2.gz WDDBVZAAJWJXEA-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nccc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001372881566 968493268 /nfs/dbraw/zinc/49/32/68/968493268.db2.gz WDDBVZAAJWJXEA-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1csnn1 ZINC001372901494 968515301 /nfs/dbraw/zinc/51/53/01/968515301.db2.gz GWQVIXBTWMVZIB-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1csnn1 ZINC001372901494 968515306 /nfs/dbraw/zinc/51/53/06/968515306.db2.gz GWQVIXBTWMVZIB-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001372905768 968518983 /nfs/dbraw/zinc/51/89/83/968518983.db2.gz NIERLRHIEBLKJM-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001372905768 968518989 /nfs/dbraw/zinc/51/89/89/968518989.db2.gz NIERLRHIEBLKJM-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN CN(CCNC(=O)c1cnccn1)C(=O)C(F)C(F)(F)F ZINC001431126430 1013913229 /nfs/dbraw/zinc/91/32/29/1013913229.db2.gz UJGWOJNZPXHXTI-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1cnccn1)C(=O)[C@H](F)C(F)(F)F ZINC001431126430 1013913233 /nfs/dbraw/zinc/91/32/33/1013913233.db2.gz UJGWOJNZPXHXTI-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN Cc1cc(COCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001448453103 1013916675 /nfs/dbraw/zinc/91/66/75/1013916675.db2.gz LBEHBYOWEBTMSF-SNVBAGLBSA-N 0 2 321.337 0.730 20 0 DCADLN CC(C)[C@@H](NC(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC001609827961 970545217 /nfs/dbraw/zinc/54/52/17/970545217.db2.gz GZACAFPIQLUJMM-LLVKDONJSA-N 0 2 304.306 0.498 20 0 DCADLN CN(CCN(C)C(=O)C[N@H+](C)C1CCC1)C(=O)Cc1[nH]cc[nH+]1 ZINC001431393971 1014027160 /nfs/dbraw/zinc/02/71/60/1014027160.db2.gz PRBZVKIVYZUFBS-UHFFFAOYSA-N 0 2 321.425 0.353 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@@]3(C(=O)[O-])CC3(C)C)CC2)CC1 ZINC001604221429 972715469 /nfs/dbraw/zinc/71/54/69/972715469.db2.gz QSYAJRZIRKSLMK-QGZVFWFLSA-N 0 2 323.437 0.726 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@H](C(=O)[O-])C3CC3)CC2)CC1 ZINC001604221686 972719211 /nfs/dbraw/zinc/71/92/11/972719211.db2.gz ZHGWVTIJDKNDJT-CQSZACIVSA-N 0 2 309.410 0.336 20 0 DCADLN O=C([O-])/C=C\c1cc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)co1 ZINC001606063952 973195853 /nfs/dbraw/zinc/19/58/53/973195853.db2.gz VRGGXXSAGYLZAX-FYDYADQUSA-N 0 2 316.317 0.887 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])[C@H](C)CO1 ZINC001603460217 973280626 /nfs/dbraw/zinc/28/06/26/973280626.db2.gz ARMSOEYLQDLGKF-VXGBXAGGSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])[C@H](C)CO1 ZINC001603460217 973280633 /nfs/dbraw/zinc/28/06/33/973280633.db2.gz ARMSOEYLQDLGKF-VXGBXAGGSA-N 0 2 315.414 0.896 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)ncn1 ZINC001448924588 1014098700 /nfs/dbraw/zinc/09/87/00/1014098700.db2.gz VUZQTLAAAYFKCS-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001448924588 1014098704 /nfs/dbraw/zinc/09/87/04/1014098704.db2.gz VUZQTLAAAYFKCS-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN CCn1nc(C)c([C@H](C)[NH2+][C@@H]2CCCCN(CC(=O)[O-])C2=O)n1 ZINC001604021956 974533399 /nfs/dbraw/zinc/53/33/99/974533399.db2.gz DGEGYNUUNPSQAM-CMPLNLGQSA-N 0 2 323.397 0.723 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3C[C@H]4COC[C@]4(C(=O)[O-])C3)ccn12 ZINC001549306910 1014214541 /nfs/dbraw/zinc/21/45/41/1014214541.db2.gz WJGRMTBFSGRVMR-BLLLJJGKSA-N 0 2 315.329 0.816 20 0 DCADLN Cc1c[nH]c(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001522957607 1014488978 /nfs/dbraw/zinc/48/89/78/1014488978.db2.gz JEWOQBYBQKCHQR-ZETCQYMHSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1c[nH]c(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001522957607 1014488982 /nfs/dbraw/zinc/48/89/82/1014488982.db2.gz JEWOQBYBQKCHQR-ZETCQYMHSA-N 0 2 310.251 0.855 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC001592623147 978592255 /nfs/dbraw/zinc/59/22/55/978592255.db2.gz DEYPJLXLFACHQG-NSHDSACASA-N 0 2 324.381 0.908 20 0 DCADLN C[C@@H](CNS(=O)(=O)c1cc(C(=O)[O-])co1)Cn1cc[nH+]c1 ZINC001592715394 979212138 /nfs/dbraw/zinc/21/21/38/979212138.db2.gz DBLZKORUIPVOSN-VIFPVBQESA-N 0 2 313.335 0.789 20 0 DCADLN C[C@H](CS(=O)(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1)C(=O)[O-] ZINC001592800854 979460871 /nfs/dbraw/zinc/46/08/71/979460871.db2.gz SHMVJNGEPCVPOT-ZYHUDNBSSA-N 0 2 314.363 0.585 20 0 DCADLN C[C@](Cn1cccn1)([NH2+]Cc1cc(C(=O)[O-])ccc1F)C(N)=O ZINC001592922990 979919084 /nfs/dbraw/zinc/91/90/84/979919084.db2.gz GEYZQQPQQSSNPA-OAHLLOKOSA-N 0 2 320.324 0.754 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccsc1C(=O)[O-] ZINC001592930930 979976425 /nfs/dbraw/zinc/97/64/25/979976425.db2.gz OGLAUNOZCBXIIT-MRVPVSSYSA-N 0 2 322.346 0.786 20 0 DCADLN CCN(CCCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)CC(C)(C)O ZINC001523914921 1014798358 /nfs/dbraw/zinc/79/83/58/1014798358.db2.gz NBMKKLQMYAJQTA-UHFFFAOYSA-N 0 2 324.425 0.776 20 0 DCADLN CCCN(CCNC(=O)Cc1[nH]cc[nH+]1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001595883134 982901001 /nfs/dbraw/zinc/90/10/01/982901001.db2.gz RIYDISQEISRNAE-WDEREUQCSA-N 0 2 322.365 0.028 20 0 DCADLN CCOC(=O)C1CCN(C(=O)C[N@H+](CC)CC(=O)[O-])CC1 ZINC001596576685 984485629 /nfs/dbraw/zinc/48/56/29/984485629.db2.gz OSAJKUAWEKFYEP-UHFFFAOYSA-N 0 2 300.355 0.195 20 0 DCADLN CCOC(=O)C1CCN(C(=O)C[N@@H+](CC)CC(=O)[O-])CC1 ZINC001596576685 984485630 /nfs/dbraw/zinc/48/56/30/984485630.db2.gz OSAJKUAWEKFYEP-UHFFFAOYSA-N 0 2 300.355 0.195 20 0 DCADLN C/C(=C\C[N@H+]1CCN(C(=O)c2cc(C)n[nH]2)C[C@H]1C)C(=O)[O-] ZINC001588961360 984739193 /nfs/dbraw/zinc/73/91/93/984739193.db2.gz SXQSWGQGXDDHGC-LHJMJDNXSA-N 0 2 306.366 0.895 20 0 DCADLN C/C(=C\C[N@@H+]1CCN(C(=O)c2cc(C)n[nH]2)C[C@H]1C)C(=O)[O-] ZINC001588961360 984739203 /nfs/dbraw/zinc/73/92/03/984739203.db2.gz SXQSWGQGXDDHGC-LHJMJDNXSA-N 0 2 306.366 0.895 20 0 DCADLN C/C(=C\C[N@H+]1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C)C(=O)[O-] ZINC001588961360 984739208 /nfs/dbraw/zinc/73/92/08/984739208.db2.gz SXQSWGQGXDDHGC-LHJMJDNXSA-N 0 2 306.366 0.895 20 0 DCADLN C/C(=C\C[N@@H+]1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C)C(=O)[O-] ZINC001588961360 984739213 /nfs/dbraw/zinc/73/92/13/984739213.db2.gz SXQSWGQGXDDHGC-LHJMJDNXSA-N 0 2 306.366 0.895 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)[C@@H]1CN(C(=O)C(C)C)CCO1 ZINC001383404991 984806992 /nfs/dbraw/zinc/80/69/92/984806992.db2.gz HRTNHWPMJKGWKF-OCCSQVGLSA-N 0 2 322.409 0.649 20 0 DCADLN COCCC(=O)N(C)C[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001383645611 984967881 /nfs/dbraw/zinc/96/78/81/984967881.db2.gz UVSTXSUXTDYIBU-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N(C)C[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001383645611 984967885 /nfs/dbraw/zinc/96/78/85/984967885.db2.gz UVSTXSUXTDYIBU-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN COC[C@@H](C)CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450367642 1015092544 /nfs/dbraw/zinc/09/25/44/1015092544.db2.gz AHHRRKSAMACVFN-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN COC[C@@H](C)CC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450367642 1015092556 /nfs/dbraw/zinc/09/25/56/1015092556.db2.gz AHHRRKSAMACVFN-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN CC(=O)N1CC[NH+](CCNc2ccc(C)c(C(=O)[O-])n2)CC1 ZINC001589081123 985247692 /nfs/dbraw/zinc/24/76/92/985247692.db2.gz NRYKRCMPVPWPDP-UHFFFAOYSA-N 0 2 306.366 0.664 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(C)F)C(=O)C(F)C(F)(F)F ZINC001384223676 985431019 /nfs/dbraw/zinc/43/10/19/985431019.db2.gz QUVBAVMQHDUHTG-RNFRBKRXSA-N 0 2 320.258 0.570 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(C)F)C(=O)[C@@H](F)C(F)(F)F ZINC001384223676 985431035 /nfs/dbraw/zinc/43/10/35/985431035.db2.gz QUVBAVMQHDUHTG-RNFRBKRXSA-N 0 2 320.258 0.570 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001525437377 1015145949 /nfs/dbraw/zinc/14/59/49/1015145949.db2.gz XCWNREJXNCYMET-OOZYFLPDSA-N 0 2 314.279 0.811 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001525437377 1015145955 /nfs/dbraw/zinc/14/59/55/1015145955.db2.gz XCWNREJXNCYMET-OOZYFLPDSA-N 0 2 314.279 0.811 20 0 DCADLN CCOC[C@@H](C(=O)[O-])N(C)C(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC001596872015 985695650 /nfs/dbraw/zinc/69/56/50/985695650.db2.gz CIGGXZKDLOPEGA-SRXBQZRASA-N 0 2 314.382 0.213 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2CCC(=O)N2C)n[nH]1 ZINC001551660577 1015166892 /nfs/dbraw/zinc/16/68/92/1015166892.db2.gz ZGZDMJOHGTWXNP-GRYCIOLGSA-N 0 2 321.381 0.065 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2nccc(C)n2)n[nH]1 ZINC001551666618 1015170480 /nfs/dbraw/zinc/17/04/80/1015170480.db2.gz GPXRGCQSRKATJQ-RYUDHWBXSA-N 0 2 316.365 0.856 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H](O)C(C)(C)C)n[nH]1 ZINC001551666464 1015171772 /nfs/dbraw/zinc/17/17/72/1015171772.db2.gz GEDNEXMZTJJRRC-IJLUTSLNSA-N 0 2 310.398 0.851 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2CCO[C@@H]2C)n[nH]1 ZINC001551669930 1015173770 /nfs/dbraw/zinc/17/37/70/1015173770.db2.gz JFBIFNICQGCVIW-GWNIPJSYSA-N 0 2 308.382 0.869 20 0 DCADLN CCOCCNC(=O)C[NH2+][C@](C)(C(=O)[O-])c1ccc(F)cc1 ZINC001596929747 986022548 /nfs/dbraw/zinc/02/25/48/986022548.db2.gz WGMHEUZRXWXSQQ-HNNXBMFYSA-N 0 2 312.341 0.868 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cc[nH]n2)C1)C(F)C(F)(F)F ZINC001385294876 986221952 /nfs/dbraw/zinc/22/19/52/986221952.db2.gz LCUPAVHOHBTVGJ-HTRCEHHLSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1)C(F)C(F)(F)F ZINC001385294876 986221961 /nfs/dbraw/zinc/22/19/61/986221961.db2.gz LCUPAVHOHBTVGJ-HTRCEHHLSA-N 0 2 308.235 0.641 20 0 DCADLN CCOCC(=O)N1CC[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385357574 986288432 /nfs/dbraw/zinc/28/84/32/986288432.db2.gz SIJSJGXSJCBHIK-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N1CC[C@@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385357574 986288444 /nfs/dbraw/zinc/28/84/44/986288444.db2.gz SIJSJGXSJCBHIK-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H]1CN(c2cccc(F)c2)CC[N@@H+]1CC(=O)NCCC(=O)[O-] ZINC001594575087 986409911 /nfs/dbraw/zinc/40/99/11/986409911.db2.gz HHVFMCZYNBAODX-LBPRGKRZSA-N 0 2 323.368 0.927 20 0 DCADLN C[C@H]1CN(c2cccc(F)c2)CC[N@H+]1CC(=O)NCCC(=O)[O-] ZINC001594575087 986409915 /nfs/dbraw/zinc/40/99/15/986409915.db2.gz HHVFMCZYNBAODX-LBPRGKRZSA-N 0 2 323.368 0.927 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CCCN(c2ncccc2F)CC1 ZINC001589399777 986562730 /nfs/dbraw/zinc/56/27/30/986562730.db2.gz GCARHJIIBMYQQH-NSHDSACASA-N 0 2 324.356 0.322 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CCCN(c2ncccc2F)CC1 ZINC001589399777 986562738 /nfs/dbraw/zinc/56/27/38/986562738.db2.gz GCARHJIIBMYQQH-NSHDSACASA-N 0 2 324.356 0.322 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC001594607821 986587789 /nfs/dbraw/zinc/58/77/89/986587789.db2.gz OERCTEWTXSAMDA-WCQYABFASA-N 0 2 313.398 0.507 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC001594607821 986587797 /nfs/dbraw/zinc/58/77/97/986587797.db2.gz OERCTEWTXSAMDA-WCQYABFASA-N 0 2 313.398 0.507 20 0 DCADLN COCC(=O)NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001385826135 986612802 /nfs/dbraw/zinc/61/28/02/986612802.db2.gz VNZMNDPUXPIIQJ-CBAPKCEASA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001385826135 986612804 /nfs/dbraw/zinc/61/28/04/986612804.db2.gz VNZMNDPUXPIIQJ-CBAPKCEASA-N 0 2 300.252 0.544 20 0 DCADLN CCOCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001386099192 986828057 /nfs/dbraw/zinc/82/80/57/986828057.db2.gz CLZCZDJSIYZXGZ-GOZTYBTRSA-N 0 2 312.263 0.496 20 0 DCADLN CCOCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(F)(F)F ZINC001386099192 986828071 /nfs/dbraw/zinc/82/80/71/986828071.db2.gz CLZCZDJSIYZXGZ-GOZTYBTRSA-N 0 2 312.263 0.496 20 0 DCADLN CC(C)Cc1nc(C(=O)N(C)CCNC(=O)c2ncn[nH]2)c[nH]1 ZINC001387047598 987593342 /nfs/dbraw/zinc/59/33/42/987593342.db2.gz YJPDTWJXTWNGGM-UHFFFAOYSA-N 0 2 319.369 0.228 20 0 DCADLN Cc1ncc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1C ZINC001387057615 987601912 /nfs/dbraw/zinc/60/19/12/987601912.db2.gz UQXALMZHTMWNLF-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1ncc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)n1C ZINC001387057615 987601914 /nfs/dbraw/zinc/60/19/14/987601914.db2.gz UQXALMZHTMWNLF-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cc[nH]n2)C1)C(F)C(F)(F)F ZINC001387206159 987705949 /nfs/dbraw/zinc/70/59/49/987705949.db2.gz NQBCTQRKTCGDLU-APPZFPTMSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2ccn[nH]2)C1)C(F)C(F)(F)F ZINC001387206159 987705955 /nfs/dbraw/zinc/70/59/55/987705955.db2.gz NQBCTQRKTCGDLU-APPZFPTMSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cc[nH]n2)C1)C(F)C(F)(F)F ZINC001387206164 987706222 /nfs/dbraw/zinc/70/62/22/987706222.db2.gz NQBCTQRKTCGDLU-VXNVDRBHSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2ccn[nH]2)C1)C(F)C(F)(F)F ZINC001387206164 987706223 /nfs/dbraw/zinc/70/62/23/987706223.db2.gz NQBCTQRKTCGDLU-VXNVDRBHSA-N 0 2 322.262 0.888 20 0 DCADLN Cc1cnn(CC(=O)NCCN(C)C(=O)C(F)C(F)(F)F)c1 ZINC001387661581 987988346 /nfs/dbraw/zinc/98/83/46/987988346.db2.gz SMUIKTOHFIUOLN-JTQLQIEISA-N 0 2 324.278 0.667 20 0 DCADLN Cc1cnn(CC(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)c1 ZINC001387661581 987988351 /nfs/dbraw/zinc/98/83/51/987988351.db2.gz SMUIKTOHFIUOLN-JTQLQIEISA-N 0 2 324.278 0.667 20 0 DCADLN CC[C@H](CNC(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001388122344 988065183 /nfs/dbraw/zinc/06/51/83/988065183.db2.gz TYDZUPDASIBQQB-VDTYLAMSSA-N 0 2 311.239 0.330 20 0 DCADLN CCc1ccc(NC(=O)C(=O)N2CC[NH+](C)CC2)c(C(=O)[O-])c1 ZINC001597538724 988219900 /nfs/dbraw/zinc/21/99/00/988219900.db2.gz YCZWQKAQNMKMFL-UHFFFAOYSA-N 0 2 319.361 0.660 20 0 DCADLN O=C(Cn1cccn1)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001387876645 988241358 /nfs/dbraw/zinc/24/13/58/988241358.db2.gz CQJOLDMZBKNYKJ-SNVBAGLBSA-N 0 2 322.262 0.358 20 0 DCADLN O=C(Cn1cccn1)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387876645 988241367 /nfs/dbraw/zinc/24/13/67/988241367.db2.gz CQJOLDMZBKNYKJ-SNVBAGLBSA-N 0 2 322.262 0.358 20 0 DCADLN Cc1nonc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001387877430 988244211 /nfs/dbraw/zinc/24/42/11/988244211.db2.gz KDHSAFJMJNVXNZ-QMMMGPOBSA-N 0 2 324.234 0.467 20 0 DCADLN Cc1nonc1C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387877430 988244218 /nfs/dbraw/zinc/24/42/18/988244218.db2.gz KDHSAFJMJNVXNZ-QMMMGPOBSA-N 0 2 324.234 0.467 20 0 DCADLN CCc1nnc(C[NH+]2CCC(n3cc(C(=O)[O-])nn3)CC2)o1 ZINC001597729693 989039888 /nfs/dbraw/zinc/03/98/88/989039888.db2.gz WQNWDPJZVSVSJM-UHFFFAOYSA-N 0 2 306.326 0.759 20 0 DCADLN CC[C@H](CC(F)F)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389462941 989285994 /nfs/dbraw/zinc/28/59/94/989285994.db2.gz PVCQBHLPLUXXHK-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN Cn1ncc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001389873859 989653336 /nfs/dbraw/zinc/65/33/36/989653336.db2.gz XXEXZDSENMSBIX-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1ncc(C(=O)NC2(CNC(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001389873859 989653343 /nfs/dbraw/zinc/65/33/43/989653343.db2.gz XXEXZDSENMSBIX-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN CCn1ncc(C[N@H+](C)CCCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001597957199 989969244 /nfs/dbraw/zinc/96/92/44/989969244.db2.gz OPUZUYCJIYSVGB-CHWSQXEVSA-N 0 2 323.397 0.299 20 0 DCADLN CCn1ncc(C[N@@H+](C)CCCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001597957199 989969254 /nfs/dbraw/zinc/96/92/54/989969254.db2.gz OPUZUYCJIYSVGB-CHWSQXEVSA-N 0 2 323.397 0.299 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](C)CC(=O)NCC2CCC2)c1[O-] ZINC001390754844 990354209 /nfs/dbraw/zinc/35/42/09/990354209.db2.gz STCTVDUWUIKUII-UHFFFAOYSA-N 0 2 323.397 0.002 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](C)CC(=O)NCC2CCC2)c1[O-] ZINC001390754844 990354212 /nfs/dbraw/zinc/35/42/12/990354212.db2.gz STCTVDUWUIKUII-UHFFFAOYSA-N 0 2 323.397 0.002 20 0 DCADLN C[NH+](CCCO)CCNC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC001390770924 990371588 /nfs/dbraw/zinc/37/15/88/990371588.db2.gz QRISFPDHKRLJDP-CQSZACIVSA-N 0 2 309.414 0.220 20 0 DCADLN CC(C)(NC(=O)/C=C\C[NH+]1CCOCC1)c1nocc1C(=O)[O-] ZINC001590840810 990709343 /nfs/dbraw/zinc/70/93/43/990709343.db2.gz YQGWKUCQZKNMOS-ARJAWSKDSA-N 0 2 323.349 0.613 20 0 DCADLN CN1CC[NH+]([C@H]2CCN(C(=O)NCc3cncc(O)c3)C2)CC1 ZINC001553035695 1015592728 /nfs/dbraw/zinc/59/27/28/1015592728.db2.gz ZAJSHRWBFCHBIP-AWEZNQCLSA-N 0 2 319.409 0.319 20 0 DCADLN C[C@@H]1CCC[C@H]1CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391479110 990879071 /nfs/dbraw/zinc/87/90/71/990879071.db2.gz WUURPOJHKAWWLS-PWSUYJOCSA-N 0 2 307.398 0.885 20 0 DCADLN COc1ccccc1C(=O)C(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001599695770 991147234 /nfs/dbraw/zinc/14/72/34/991147234.db2.gz HNUWXOZAMHZUKR-UHFFFAOYSA-N 0 2 306.318 0.107 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CCC1)C(F)C(F)(F)F ZINC001391976333 991349011 /nfs/dbraw/zinc/34/90/11/991349011.db2.gz HXYBZJVYWXFDPQ-JGVFFNPUSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CCC1)[C@@H](F)C(F)(F)F ZINC001391976333 991349023 /nfs/dbraw/zinc/34/90/23/991349023.db2.gz HXYBZJVYWXFDPQ-JGVFFNPUSA-N 0 2 300.252 0.280 20 0 DCADLN CN(C)c1ccccc1C[NH+]1CC(NC(=O)[C@H]2C[C@H]2C(=O)[O-])C1 ZINC001598342601 991415741 /nfs/dbraw/zinc/41/57/41/991415741.db2.gz SXEZESDWALOUSF-UONOGXRCSA-N 0 2 317.389 0.774 20 0 DCADLN CC(C)[C@@H]1C[C@H](C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])CCO1 ZINC001591071422 991528944 /nfs/dbraw/zinc/52/89/44/991528944.db2.gz BXTYISVNXUBBCS-AGIUHOORSA-N 0 2 309.366 0.904 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cnn(C)c1 ZINC001392253098 991657991 /nfs/dbraw/zinc/65/79/91/991657991.db2.gz XRJBIHZUAQTMMR-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cnn(C)c1 ZINC001392253098 991657996 /nfs/dbraw/zinc/65/79/96/991657996.db2.gz XRJBIHZUAQTMMR-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN CC(C)C[C@@]1(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCNC1=O ZINC001591193312 992010111 /nfs/dbraw/zinc/01/01/11/992010111.db2.gz XNIWXBDSQLWJFU-ABAIWWIYSA-N 0 2 322.365 0.074 20 0 DCADLN CC(C)C[C@@]1(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCNC1=O ZINC001591193312 992010119 /nfs/dbraw/zinc/01/01/19/992010119.db2.gz XNIWXBDSQLWJFU-ABAIWWIYSA-N 0 2 322.365 0.074 20 0 DCADLN CN(CCCNC(=O)Cc1c[nH]c[nH+]1)c1ccnc(C(=O)[O-])n1 ZINC001598480781 992145812 /nfs/dbraw/zinc/14/58/12/992145812.db2.gz VSRKWKZVBHKOBV-UHFFFAOYSA-N 0 2 318.337 0.083 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC001598485971 992206776 /nfs/dbraw/zinc/20/67/76/992206776.db2.gz IBHXZGLBGYNQAG-UHFFFAOYSA-N 0 2 324.362 0.687 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001392670164 992239436 /nfs/dbraw/zinc/23/94/36/992239436.db2.gz HQEPQKTXAQSMGD-XWIASGKRSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001392670164 992239444 /nfs/dbraw/zinc/23/94/44/992239444.db2.gz HQEPQKTXAQSMGD-XWIASGKRSA-N 0 2 323.397 0.262 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(CCc2ccccc2C(=O)[O-])CC1 ZINC001599777676 992323997 /nfs/dbraw/zinc/32/39/97/992323997.db2.gz YCRJFYLBKDWCHT-JOCHJYFZSA-N 0 2 324.446 0.923 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(CCc2ccccc2C(=O)[O-])CC1 ZINC001599777679 992324343 /nfs/dbraw/zinc/32/43/43/992324343.db2.gz YCRJFYLBKDWCHT-QFIPXVFZSA-N 0 2 324.446 0.923 20 0 DCADLN C[S@@](=O)c1cccc(C(=O)N2CC[NH+](CC(=O)[O-])CC2)c1 ZINC001599778754 992338875 /nfs/dbraw/zinc/33/88/75/992338875.db2.gz JVERCAKFZQJYPP-OAQYLSRUSA-N 0 2 310.375 0.266 20 0 DCADLN C[C@]1(NC(=O)CCc2ccco2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393098134 992680070 /nfs/dbraw/zinc/68/00/70/992680070.db2.gz RFBRQWSOVRCSPC-HNNXBMFYSA-N 0 2 319.365 0.817 20 0 DCADLN C[C@]1(NC(=O)CCc2ccco2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393098134 992680074 /nfs/dbraw/zinc/68/00/74/992680074.db2.gz RFBRQWSOVRCSPC-HNNXBMFYSA-N 0 2 319.365 0.817 20 0 DCADLN CC(F)(F)CC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393109135 992689758 /nfs/dbraw/zinc/68/97/58/992689758.db2.gz TUNZZKFPROPXJY-NSHDSACASA-N 0 2 303.313 0.636 20 0 DCADLN CC(F)(F)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393109135 992689761 /nfs/dbraw/zinc/68/97/61/992689761.db2.gz TUNZZKFPROPXJY-NSHDSACASA-N 0 2 303.313 0.636 20 0 DCADLN CC(F)(F)CC(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001393109135 992689763 /nfs/dbraw/zinc/68/97/63/992689763.db2.gz TUNZZKFPROPXJY-NSHDSACASA-N 0 2 303.313 0.636 20 0 DCADLN CC(C)N(C)C(=O)C1CC[NH+](Cn2cc(C(=O)[O-])nn2)CC1 ZINC001591542966 992724768 /nfs/dbraw/zinc/72/47/68/992724768.db2.gz UBZCVAJMUJXPCZ-UHFFFAOYSA-N 0 2 309.370 0.513 20 0 DCADLN C[N@@H+](Cc1cn(CC(=O)[O-])nn1)C[C@@H](O)Cc1ccccc1 ZINC001598533006 992808648 /nfs/dbraw/zinc/80/86/48/992808648.db2.gz JRLXRXNFKYQPDO-AWEZNQCLSA-N 0 2 304.350 0.398 20 0 DCADLN C[N@H+](Cc1cn(CC(=O)[O-])nn1)C[C@@H](O)Cc1ccccc1 ZINC001598533006 992808652 /nfs/dbraw/zinc/80/86/52/992808652.db2.gz JRLXRXNFKYQPDO-AWEZNQCLSA-N 0 2 304.350 0.398 20 0 DCADLN C[N@@H+]1C2(CCC2)COC[C@]1(CO)CNc1cc(C(=O)[O-])ncn1 ZINC001598555963 993057415 /nfs/dbraw/zinc/05/74/15/993057415.db2.gz GAFQHGCXVHALLB-OAHLLOKOSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@H+]1C2(CCC2)COC[C@]1(CO)CNc1cc(C(=O)[O-])ncn1 ZINC001598555963 993057418 /nfs/dbraw/zinc/05/74/18/993057418.db2.gz GAFQHGCXVHALLB-OAHLLOKOSA-N 0 2 322.365 0.203 20 0 DCADLN CN(CCC[NH+](C)Cc1cnn(C)n1)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001393613404 993125683 /nfs/dbraw/zinc/12/56/83/993125683.db2.gz NSSYTDTYYCTANA-AWEZNQCLSA-N 0 2 308.430 0.190 20 0 DCADLN C[C@H](CNC(=O)[C@H]1C[C@@H]1C(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394067899 993452348 /nfs/dbraw/zinc/45/23/48/993452348.db2.gz QMTVWKMREJFJBP-CSMHCCOUSA-N 0 2 303.313 0.348 20 0 DCADLN C[C@H](CNC(=O)[C@H]1C[C@@H]1C(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394067899 993452352 /nfs/dbraw/zinc/45/23/52/993452352.db2.gz QMTVWKMREJFJBP-CSMHCCOUSA-N 0 2 303.313 0.348 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1CCCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394132424 993502851 /nfs/dbraw/zinc/50/28/51/993502851.db2.gz FVFLRIXKVZEUIR-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1CCCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394132424 993502857 /nfs/dbraw/zinc/50/28/57/993502857.db2.gz FVFLRIXKVZEUIR-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3[nH]nnc3c2)C[C@H](C(=O)[O-])C1 ZINC001598613190 993822436 /nfs/dbraw/zinc/82/24/36/993822436.db2.gz LRVYWJIBGAHUBU-SNVBAGLBSA-N 0 2 303.322 0.046 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3[nH]nnc3c2)C[C@H](C(=O)[O-])C1 ZINC001598613190 993822442 /nfs/dbraw/zinc/82/24/42/993822442.db2.gz LRVYWJIBGAHUBU-SNVBAGLBSA-N 0 2 303.322 0.046 20 0 DCADLN CCc1nc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)co1 ZINC001399996216 994036703 /nfs/dbraw/zinc/03/67/03/994036703.db2.gz GIIAYWDABRVDCT-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN CCc1nc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)co1 ZINC001399996216 994036711 /nfs/dbraw/zinc/03/67/11/994036711.db2.gz GIIAYWDABRVDCT-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN CNC(=O)[C@H]1C[N@H+](Cc2ccccc2O[C@@H](C)C(=O)[O-])CCO1 ZINC001598637165 994261884 /nfs/dbraw/zinc/26/18/84/994261884.db2.gz HCAVYMJAFSALDJ-SMDDNHRTSA-N 0 2 322.361 0.485 20 0 DCADLN CNC(=O)[C@H]1C[N@@H+](Cc2ccccc2O[C@@H](C)C(=O)[O-])CCO1 ZINC001598637165 994261897 /nfs/dbraw/zinc/26/18/97/994261897.db2.gz HCAVYMJAFSALDJ-SMDDNHRTSA-N 0 2 322.361 0.485 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccn(C)n1 ZINC001400198836 994269413 /nfs/dbraw/zinc/26/94/13/994269413.db2.gz HJVZYPHSRHXUGX-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1ccn(C)n1 ZINC001400198836 994269427 /nfs/dbraw/zinc/26/94/27/994269427.db2.gz HJVZYPHSRHXUGX-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H]([NH2+][C@@H]1CCN(CCC(=O)[O-])C1=O)c1nnc2ccccn21 ZINC001593217651 994274919 /nfs/dbraw/zinc/27/49/19/994274919.db2.gz BBTQXUPEYJPAIW-WDEREUQCSA-N 0 2 317.349 0.456 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2CCCO2)CC1)C(F)C(F)(F)F ZINC001400512763 994593881 /nfs/dbraw/zinc/59/38/81/994593881.db2.gz GXJOFVYVXMPGPT-SFYZADRCSA-N 0 2 312.263 0.831 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2CCCO2)CC1)[C@H](F)C(F)(F)F ZINC001400512763 994593890 /nfs/dbraw/zinc/59/38/90/994593890.db2.gz GXJOFVYVXMPGPT-SFYZADRCSA-N 0 2 312.263 0.831 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1[C@@H](C(=O)[O-])c1ccsc1 ZINC001598671137 994758899 /nfs/dbraw/zinc/75/88/99/994758899.db2.gz MWTDMDDVDIFIAV-WDEREUQCSA-N 0 2 318.420 0.887 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCC[N@H+]1[C@@H](C(=O)[O-])c1ccsc1 ZINC001598671137 994758902 /nfs/dbraw/zinc/75/89/02/994758902.db2.gz MWTDMDDVDIFIAV-WDEREUQCSA-N 0 2 318.420 0.887 20 0 DCADLN O=C(CC1CCC1)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395739571 994954704 /nfs/dbraw/zinc/95/47/04/994954704.db2.gz JFSPFRRDSKMCSU-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001395739571 994954715 /nfs/dbraw/zinc/95/47/15/994954715.db2.gz JFSPFRRDSKMCSU-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@](O)(CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1)C(=O)[O-] ZINC001593399267 995154329 /nfs/dbraw/zinc/15/43/29/995154329.db2.gz PDXCHOBCYXGYOQ-CJNGLKHVSA-N 0 2 321.377 0.388 20 0 DCADLN C[C@](O)(CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1)C(=O)[O-] ZINC001593399267 995154332 /nfs/dbraw/zinc/15/43/32/995154332.db2.gz PDXCHOBCYXGYOQ-CJNGLKHVSA-N 0 2 321.377 0.388 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc(C(=O)[O-])s1 ZINC001598701338 995226638 /nfs/dbraw/zinc/22/66/38/995226638.db2.gz FNYPZXAXVKNPLO-MRVPVSSYSA-N 0 2 323.330 0.614 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001598746741 995602385 /nfs/dbraw/zinc/60/23/85/995602385.db2.gz SSMFSCADOBAIKF-GXSJLCMTSA-N 0 2 309.322 0.125 20 0 DCADLN CCCNC(=O)C[NH+]1CC[C@H]1CN(C)C(=O)C[N@H+](C)C(C)C ZINC001397050257 995768611 /nfs/dbraw/zinc/76/86/11/995768611.db2.gz VNMCFGJJADTKFE-AWEZNQCLSA-N 0 2 312.458 0.386 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])CN1C(=O)CNc1cccc[nH+]1 ZINC001598764877 995819425 /nfs/dbraw/zinc/81/94/25/995819425.db2.gz FGRMBATTWYSJME-WDEREUQCSA-N 0 2 321.333 0.358 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])[N@H+](Cc2cccc(OC)n2)C1 ZINC001598782315 995998857 /nfs/dbraw/zinc/99/88/57/995998857.db2.gz ZTGVDZDYJHTIBO-PWSUYJOCSA-N 0 2 308.334 0.928 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])[N@@H+](Cc2cccc(OC)n2)C1 ZINC001598782315 995998861 /nfs/dbraw/zinc/99/88/61/995998861.db2.gz ZTGVDZDYJHTIBO-PWSUYJOCSA-N 0 2 308.334 0.928 20 0 DCADLN Cc1c(Br)cncc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001465724715 1016021958 /nfs/dbraw/zinc/02/19/58/1016021958.db2.gz BISDXRKUCQCSBM-UHFFFAOYSA-N 0 2 312.127 0.906 20 0 DCADLN COC(=O)CC[C@@H](NC(=O)c1ccn2c(C)c[nH+]c2c1)C(=O)[O-] ZINC001598857578 996650889 /nfs/dbraw/zinc/65/08/89/996650889.db2.gz BAZYAJQLXSTSHG-LLVKDONJSA-N 0 2 319.317 0.779 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001398747299 996670482 /nfs/dbraw/zinc/67/04/82/996670482.db2.gz GWCBVTFUOFEMCW-BDAKNGLRSA-N 0 2 314.279 0.478 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001398747299 996670485 /nfs/dbraw/zinc/67/04/85/996670485.db2.gz GWCBVTFUOFEMCW-BDAKNGLRSA-N 0 2 314.279 0.478 20 0 DCADLN CCc1noc([C@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001398916513 996747721 /nfs/dbraw/zinc/74/77/21/996747721.db2.gz HJVGLCRXVDKKCW-CBAPKCEASA-N 0 2 322.369 0.838 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001598895328 997071628 /nfs/dbraw/zinc/07/16/28/997071628.db2.gz VFDGVOJRFJSVIY-TUAOUCFPSA-N 0 2 323.349 0.432 20 0 DCADLN CCCN(C(=O)COCC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001404417154 997089886 /nfs/dbraw/zinc/08/98/86/997089886.db2.gz OKQWMEHZRDFPKO-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCCN(C(=O)COCC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001404417154 997089894 /nfs/dbraw/zinc/08/98/94/997089894.db2.gz OKQWMEHZRDFPKO-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN COC[C@]1(C(=O)OC)CCC[N@@H+]1Cc1cc(C(=O)[O-])n(C)c1 ZINC001599195999 997217462 /nfs/dbraw/zinc/21/74/62/997217462.db2.gz VJCWEYUFEVUCTL-HNNXBMFYSA-N 0 2 310.350 0.877 20 0 DCADLN COC[C@]1(C(=O)OC)CCC[N@H+]1Cc1cc(C(=O)[O-])n(C)c1 ZINC001599195999 997217470 /nfs/dbraw/zinc/21/74/70/997217470.db2.gz VJCWEYUFEVUCTL-HNNXBMFYSA-N 0 2 310.350 0.877 20 0 DCADLN CO[C@@H]1CC(=O)N(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C2)C1 ZINC001599096794 997298056 /nfs/dbraw/zinc/29/80/56/997298056.db2.gz NMBCDTDPNSWRHL-KXUCPTDWSA-N 0 2 324.299 0.776 20 0 DCADLN CO[C@@H]1CC(=O)N(C[N@H+]2C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C2)C1 ZINC001599096794 997298068 /nfs/dbraw/zinc/29/80/68/997298068.db2.gz NMBCDTDPNSWRHL-KXUCPTDWSA-N 0 2 324.299 0.776 20 0 DCADLN COc1ccc([C@H](NC(=O)Cn2cc[nH+]c2)C(=O)[O-])c(OC)c1 ZINC001599518298 997431805 /nfs/dbraw/zinc/43/18/05/997431805.db2.gz GCIBPRRCPSNXDU-AWEZNQCLSA-N 0 2 319.317 0.842 20 0 DCADLN C[C@H](c1nncn1C)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404762015 997441802 /nfs/dbraw/zinc/44/18/02/997441802.db2.gz AACOMRFXSHGNMD-SVRRBLITSA-N 0 2 309.267 0.577 20 0 DCADLN C[C@H](c1nncn1C)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404762015 997441808 /nfs/dbraw/zinc/44/18/08/997441808.db2.gz AACOMRFXSHGNMD-SVRRBLITSA-N 0 2 309.267 0.577 20 0 DCADLN COc1ccc([C@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])cc1O ZINC001599520615 997472883 /nfs/dbraw/zinc/47/28/83/997472883.db2.gz WYSFTUOULXIVRI-AWEZNQCLSA-N 0 2 319.317 0.619 20 0 DCADLN O=C(CCc1cccs1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404841325 997517152 /nfs/dbraw/zinc/51/71/52/997517152.db2.gz YMZUZVMSJGTMAE-UHFFFAOYSA-N 0 2 307.379 0.505 20 0 DCADLN COc1ccc([C@@H]([NH2+]CC(=O)Nc2cnccn2)C(=O)[O-])cc1 ZINC001599529907 997630898 /nfs/dbraw/zinc/63/08/98/997630898.db2.gz VRLMOTGAVCTEQT-CQSZACIVSA-N 0 2 316.317 0.839 20 0 DCADLN O=C(NCC[N@H+]1CCCOCC1)c1cnn(-c2cc[nH+]cc2)c1 ZINC001467274043 1016151049 /nfs/dbraw/zinc/15/10/49/1016151049.db2.gz LEEZWSJAJZSVSY-UHFFFAOYSA-N 0 2 315.377 0.719 20 0 DCADLN COCCCn1nccc1C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001599228918 997810103 /nfs/dbraw/zinc/81/01/03/997810103.db2.gz HPAVPHCWGNADAJ-CYBMUJFWSA-N 0 2 324.381 0.085 20 0 DCADLN COCCCn1nccc1C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001599228918 997810114 /nfs/dbraw/zinc/81/01/14/997810114.db2.gz HPAVPHCWGNADAJ-CYBMUJFWSA-N 0 2 324.381 0.085 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2ncnn2C)C1 ZINC001405124250 997828672 /nfs/dbraw/zinc/82/86/72/997828672.db2.gz INBVJNJOTFQURF-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(Cc2ncnn2C)C1 ZINC001405124250 997828688 /nfs/dbraw/zinc/82/86/88/997828688.db2.gz INBVJNJOTFQURF-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN COc1c(N)[nH+]cnc1N1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC001599336183 997840424 /nfs/dbraw/zinc/84/04/24/997840424.db2.gz UQRLESFACDBQIH-JTQLQIEISA-N 0 2 306.244 0.911 20 0 DCADLN COc1c(N)nc[nH+]c1N1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC001599336183 997840433 /nfs/dbraw/zinc/84/04/33/997840433.db2.gz UQRLESFACDBQIH-JTQLQIEISA-N 0 2 306.244 0.911 20 0 DCADLN CCC(CC)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405282814 998056896 /nfs/dbraw/zinc/05/68/96/998056896.db2.gz DGUUVHYVXTYJMS-DTWKUNHWSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001405282814 998056906 /nfs/dbraw/zinc/05/69/06/998056906.db2.gz DGUUVHYVXTYJMS-DTWKUNHWSA-N 0 2 316.295 0.916 20 0 DCADLN O=C(CC1CC1)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405285289 998060998 /nfs/dbraw/zinc/06/09/98/998060998.db2.gz GESRYFUDAXIDSX-APPZFPTMSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001405285289 998061011 /nfs/dbraw/zinc/06/10/11/998061011.db2.gz GESRYFUDAXIDSX-APPZFPTMSA-N 0 2 300.252 0.280 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@@H+]2CCO[C@@H](c3ccnn3C)C2)O1 ZINC001594103690 998141740 /nfs/dbraw/zinc/14/17/40/998141740.db2.gz CUROMXOWAKKYDW-BXUZGUMPSA-N 0 2 307.350 0.941 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@H+]2CCO[C@@H](c3ccnn3C)C2)O1 ZINC001594103690 998141748 /nfs/dbraw/zinc/14/17/48/998141748.db2.gz CUROMXOWAKKYDW-BXUZGUMPSA-N 0 2 307.350 0.941 20 0 DCADLN Cc1cc(C[NH2+]CC2(NC(=O)c3cn[nH]n3)CCCC2)no1 ZINC001405387110 998179728 /nfs/dbraw/zinc/17/97/28/998179728.db2.gz QCLDXZUUTLJKAW-UHFFFAOYSA-N 0 2 304.354 0.934 20 0 DCADLN C[C@@H]1CCc2n[nH]cc2[C@H]1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001594448671 999644828 /nfs/dbraw/zinc/64/48/28/999644828.db2.gz VGWCIUCUNZDSLD-WQAKAFBOSA-N 0 2 317.349 0.542 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC[C@H]1CCCO1 ZINC001401409893 1000411745 /nfs/dbraw/zinc/41/17/45/1000411745.db2.gz ZWAGKZBYDDBEHH-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC[C@H]1CCCO1 ZINC001401409893 1000411752 /nfs/dbraw/zinc/41/17/52/1000411752.db2.gz ZWAGKZBYDDBEHH-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN Cn1nncc1CN(CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001418768675 1000505930 /nfs/dbraw/zinc/50/59/30/1000505930.db2.gz YTUVRYFVJHACFU-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN Cn1nncc1CN(CCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001418768675 1000505938 /nfs/dbraw/zinc/50/59/38/1000505938.db2.gz YTUVRYFVJHACFU-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1(CF)CCC1 ZINC001418960089 1000648010 /nfs/dbraw/zinc/64/80/10/1000648010.db2.gz PJBYDAOECBXMDD-JTQLQIEISA-N 0 2 311.361 0.731 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)COC1CCCC1 ZINC001401732717 1000668168 /nfs/dbraw/zinc/66/81/68/1000668168.db2.gz AQPGILIOUOVZKF-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)COC1CCCC1 ZINC001401732717 1000668172 /nfs/dbraw/zinc/66/81/72/1000668172.db2.gz AQPGILIOUOVZKF-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN CSc1ncc(C(=O)N2CC[C@H](c3nn[nH]n3)C2)c(=O)[nH]1 ZINC001419044878 1000716437 /nfs/dbraw/zinc/71/64/37/1000716437.db2.gz VVVNNDBPEYRBHK-LURJTMIESA-N 0 2 307.339 0.047 20 0 DCADLN Cc1nnc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)o1 ZINC001419082252 1000753174 /nfs/dbraw/zinc/75/31/74/1000753174.db2.gz WJIYHWAEWPGSBK-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nnc(CN2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)o1 ZINC001419082252 1000753177 /nfs/dbraw/zinc/75/31/77/1000753177.db2.gz WJIYHWAEWPGSBK-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN COCCC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001419096793 1000765786 /nfs/dbraw/zinc/76/57/86/1000765786.db2.gz WCCGAKPBWGRJLW-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@H]1CCCCO1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401892665 1000827599 /nfs/dbraw/zinc/82/75/99/1000827599.db2.gz NZORVNNWDXBQKP-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN CC[C@@H](CO)[NH+]1CCN(C(=O)c2cnc(C(=O)[O-])s2)CC1 ZINC001573698386 1163537034 /nfs/dbraw/zinc/53/70/34/1163537034.db2.gz BBMGFTJWUMBOGV-VIFPVBQESA-N 0 2 313.379 0.370 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@]1(C)CC[N@@H+](Cc2cnn(C)c2)C1 ZINC001402127018 1001040042 /nfs/dbraw/zinc/04/00/42/1001040042.db2.gz GBOXTIGJOAXJFW-MRXNPFEDSA-N 0 2 316.409 0.706 20 0 DCADLN Cc1nc(SCCC(=O)NCc2nc(O)cc(=O)[nH]2)n[nH]1 ZINC001470583145 1016461230 /nfs/dbraw/zinc/46/12/30/1016461230.db2.gz QIIQAMISYKHAFQ-UHFFFAOYSA-N 0 2 310.339 0.113 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CCC1 ZINC001419572650 1001149330 /nfs/dbraw/zinc/14/93/30/1001149330.db2.gz XFFODGHRZDVKLC-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN COC[C@H](OC)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001471073412 1016523237 /nfs/dbraw/zinc/52/32/37/1016523237.db2.gz ZDRHCUBMAAFAPS-NEPJUHHUSA-N 0 2 320.349 0.572 20 0 DCADLN C[N@H+](CC(=O)NC1(CCO)C[NH+](CCCF)C1)C1CCC1 ZINC001403212835 1001736595 /nfs/dbraw/zinc/73/65/95/1001736595.db2.gz OGXJQBBMNMWTIH-UHFFFAOYSA-N 0 2 301.406 0.383 20 0 DCADLN C[N@H+](CCNC(=O)C[C@@H]1CC(C)(C)CO1)Cc1n[nH]c(=O)[n-]1 ZINC001420265570 1002135014 /nfs/dbraw/zinc/13/50/14/1002135014.db2.gz OXRKJYAXZFHFKE-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[N@@H+](CCNC(=O)C[C@@H]1CC(C)(C)CO1)Cc1n[nH]c(=O)[n-]1 ZINC001420265570 1002135021 /nfs/dbraw/zinc/13/50/21/1002135021.db2.gz OXRKJYAXZFHFKE-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001420303937 1002193012 /nfs/dbraw/zinc/19/30/12/1002193012.db2.gz TWBRWTDFZZPLTR-SNVBAGLBSA-N 0 2 307.354 0.763 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001420303937 1002193020 /nfs/dbraw/zinc/19/30/20/1002193020.db2.gz TWBRWTDFZZPLTR-SNVBAGLBSA-N 0 2 307.354 0.763 20 0 DCADLN Cc1cc(C)nc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001424977239 1002205394 /nfs/dbraw/zinc/20/53/94/1002205394.db2.gz PRDRWOKSGWVSKJ-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cc(C)nc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001424977239 1002205402 /nfs/dbraw/zinc/20/54/02/1002205402.db2.gz PRDRWOKSGWVSKJ-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN C[C@@H](CNC(=O)C1(C(F)(F)F)CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425025254 1002264255 /nfs/dbraw/zinc/26/42/55/1002264255.db2.gz LQGNMLVDHLWDFA-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](CNC(=O)C1(C(F)(F)F)CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425025254 1002264264 /nfs/dbraw/zinc/26/42/64/1002264264.db2.gz LQGNMLVDHLWDFA-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001425218539 1002448865 /nfs/dbraw/zinc/44/88/65/1002448865.db2.gz HEPPSWYRKJWRJN-GMSGAONNSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001425218539 1002448869 /nfs/dbraw/zinc/44/88/69/1002448869.db2.gz HEPPSWYRKJWRJN-GMSGAONNSA-N 0 2 323.294 0.652 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425246383 1002474210 /nfs/dbraw/zinc/47/42/10/1002474210.db2.gz VDOBVNYVUWXMII-GXSJLCMTSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@@H+](Cc2cnnn2C)C2CC2)c1C ZINC001420631927 1002714564 /nfs/dbraw/zinc/71/45/64/1002714564.db2.gz JEZIZQLMSLEJDS-UHFFFAOYSA-N 0 2 317.397 0.549 20 0 DCADLN Cc1ncsc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420638244 1002726440 /nfs/dbraw/zinc/72/64/40/1002726440.db2.gz SXCPFMRKMNKWNT-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN Cc1ncsc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420638244 1002726449 /nfs/dbraw/zinc/72/64/49/1002726449.db2.gz SXCPFMRKMNKWNT-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN CCc1cc(C(=O)N(CC)[C@H](C)CNC(=O)c2ncn[nH]2)[nH]n1 ZINC001512461412 1016666491 /nfs/dbraw/zinc/66/64/91/1016666491.db2.gz BEWHPHHOCRYIRI-SECBINFHSA-N 0 2 319.369 0.371 20 0 DCADLN O=C(C[C@@H]1CCCCO1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403971797 1002821414 /nfs/dbraw/zinc/82/14/14/1002821414.db2.gz AOXWAVRWIXYVBM-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@@H]1CCCCO1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403971797 1002821434 /nfs/dbraw/zinc/82/14/34/1002821434.db2.gz AOXWAVRWIXYVBM-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)N2CCc3ncccc32)c1 ZINC001472095619 1016729858 /nfs/dbraw/zinc/72/98/58/1016729858.db2.gz VIALQTJOMFIWKR-UHFFFAOYSA-N 0 2 319.342 0.638 20 0 DCADLN CC(C)NC(=O)C[NH+](C)CCN(C)C(=O)C[N@H+](C)C(C)C ZINC001406167166 1003434730 /nfs/dbraw/zinc/43/47/30/1003434730.db2.gz JTIUTCCLRIYITH-UHFFFAOYSA-N 0 2 300.447 0.241 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421226844 1003464894 /nfs/dbraw/zinc/46/48/94/1003464894.db2.gz XMZWGXCGDHLSIQ-IINYFYTJSA-N 0 2 311.386 0.406 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421226844 1003464901 /nfs/dbraw/zinc/46/49/01/1003464901.db2.gz XMZWGXCGDHLSIQ-IINYFYTJSA-N 0 2 311.386 0.406 20 0 DCADLN CCN(C(=O)C[C@@H](C)OC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421326034 1003546061 /nfs/dbraw/zinc/54/60/61/1003546061.db2.gz LNABOSPECMZUDE-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN CCN(C(=O)C[C@@H](C)OC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421326034 1003546065 /nfs/dbraw/zinc/54/60/65/1003546065.db2.gz LNABOSPECMZUDE-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN O=C(Nc1nc(-c2ccccc2F)n[nH]1)C1(n2cnnn2)CC1 ZINC001472496196 1016811596 /nfs/dbraw/zinc/81/15/96/1016811596.db2.gz OUBAGPFGVMYYTE-UHFFFAOYSA-N 0 2 314.284 0.725 20 0 DCADLN Cc1cc(=O)c(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1C ZINC001472561960 1016825417 /nfs/dbraw/zinc/82/54/17/1016825417.db2.gz KSDOOMCPCXWRPR-JTQLQIEISA-N 0 2 317.349 0.537 20 0 DCADLN CC(C)n1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406704065 1004019896 /nfs/dbraw/zinc/01/98/96/1004019896.db2.gz OTGKBFSRQHHTNR-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406704065 1004019906 /nfs/dbraw/zinc/01/99/06/1004019906.db2.gz OTGKBFSRQHHTNR-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001428656263 1004094482 /nfs/dbraw/zinc/09/44/82/1004094482.db2.gz YGAMFWGJKCGJFD-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001428656263 1004094489 /nfs/dbraw/zinc/09/44/89/1004094489.db2.gz YGAMFWGJKCGJFD-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)CCCC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001428687013 1004111403 /nfs/dbraw/zinc/11/14/03/1004111403.db2.gz NTJPNCWTZDLFMT-MUWHJKNJSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CNC(=O)CCCC(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001428687013 1004111410 /nfs/dbraw/zinc/11/14/10/1004111410.db2.gz NTJPNCWTZDLFMT-MUWHJKNJSA-N 0 2 315.267 0.163 20 0 DCADLN COCCC(=O)N1CC([C@@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001427058647 1004168538 /nfs/dbraw/zinc/16/85/38/1004168538.db2.gz SBOLQUMYGQURRW-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N1CC([C@@H](C)NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001427058647 1004168543 /nfs/dbraw/zinc/16/85/43/1004168543.db2.gz SBOLQUMYGQURRW-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN CN(CCNC(=O)C1=COCCO1)C(=O)C(F)C(F)(F)F ZINC001429142928 1004409732 /nfs/dbraw/zinc/40/97/32/1004409732.db2.gz HJJGRAFMJLJUIJ-QMMMGPOBSA-N 0 2 314.235 0.350 20 0 DCADLN CN(CCNC(=O)C1=COCCO1)C(=O)[C@H](F)C(F)(F)F ZINC001429142928 1004409734 /nfs/dbraw/zinc/40/97/34/1004409734.db2.gz HJJGRAFMJLJUIJ-QMMMGPOBSA-N 0 2 314.235 0.350 20 0 DCADLN Cc1nnccc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001429395155 1004572250 /nfs/dbraw/zinc/57/22/50/1004572250.db2.gz ISKCVHLRVLZQOO-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1nnccc1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001429395155 1004572252 /nfs/dbraw/zinc/57/22/52/1004572252.db2.gz ISKCVHLRVLZQOO-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN COCCC(=O)N(C)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001429581347 1004682662 /nfs/dbraw/zinc/68/26/62/1004682662.db2.gz GPDLVRREBLIHNY-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N(C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001429581347 1004682661 /nfs/dbraw/zinc/68/26/61/1004682661.db2.gz GPDLVRREBLIHNY-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001407889778 1004926054 /nfs/dbraw/zinc/92/60/54/1004926054.db2.gz QQYHDWFKLFMLGX-BKPPORCPSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001407889778 1004926062 /nfs/dbraw/zinc/92/60/62/1004926062.db2.gz QQYHDWFKLFMLGX-BKPPORCPSA-N 0 2 322.262 0.698 20 0 DCADLN CC[C@H](C)CC(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001407969890 1004965293 /nfs/dbraw/zinc/96/52/93/1004965293.db2.gz PFNBRGKTNWSOMJ-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1nnc([C@H](C)NS(=O)(=O)N=S(=O)(CC)CC)[nH]1 ZINC001414240065 1005262732 /nfs/dbraw/zinc/26/27/32/1005262732.db2.gz GEEOWWLVLRCPJJ-QMMMGPOBSA-N 0 2 323.444 0.770 20 0 DCADLN CCc1nc([C@H](C)NS(=O)(=O)N=S(=O)(CC)CC)n[nH]1 ZINC001414240065 1005262734 /nfs/dbraw/zinc/26/27/34/1005262734.db2.gz GEEOWWLVLRCPJJ-QMMMGPOBSA-N 0 2 323.444 0.770 20 0 DCADLN CCCN(CCC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001414730043 1005392056 /nfs/dbraw/zinc/39/20/56/1005392056.db2.gz FZCWQBHHGMJSJU-UHFFFAOYSA-N 0 2 317.415 0.681 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)F)C[C@H]21)C(F)C(F)(F)F ZINC001415073994 1005450963 /nfs/dbraw/zinc/45/09/63/1005450963.db2.gz HFCUHGCDUNOLRW-KCDKBNATSA-N 0 2 304.190 0.725 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)F)C[C@H]21)[C@H](F)C(F)(F)F ZINC001415073994 1005450964 /nfs/dbraw/zinc/45/09/64/1005450964.db2.gz HFCUHGCDUNOLRW-KCDKBNATSA-N 0 2 304.190 0.725 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)[nH]1 ZINC001415134996 1005466566 /nfs/dbraw/zinc/46/65/66/1005466566.db2.gz WTRSLIQFGVRCET-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)[nH]1 ZINC001415134996 1005466567 /nfs/dbraw/zinc/46/65/67/1005466567.db2.gz WTRSLIQFGVRCET-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN CCN(CCNC(=O)c1ccn(C)n1)C(=O)C(F)C(F)(F)F ZINC001415674247 1005590536 /nfs/dbraw/zinc/59/05/36/1005590536.db2.gz WIZRFUNUZVARNQ-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)c1ccn(C)n1)C(=O)[C@H](F)C(F)(F)F ZINC001415674247 1005590538 /nfs/dbraw/zinc/59/05/38/1005590538.db2.gz WIZRFUNUZVARNQ-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CC[C@H](CNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001416221613 1005673220 /nfs/dbraw/zinc/67/32/20/1005673220.db2.gz FBADMKBTPNYPHL-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)c1ccn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001416221613 1005673221 /nfs/dbraw/zinc/67/32/21/1005673221.db2.gz FBADMKBTPNYPHL-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001416902765 1005756648 /nfs/dbraw/zinc/75/66/48/1005756648.db2.gz SXLHVPMLHFSFLJ-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001416902765 1005756651 /nfs/dbraw/zinc/75/66/51/1005756651.db2.gz SXLHVPMLHFSFLJ-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1nn(C)c2c1N(C(=O)CC1SC(=N)NC1=O)CCO2 ZINC001417673267 1005864963 /nfs/dbraw/zinc/86/49/63/1005864963.db2.gz IOQUIZDFNGMUJG-ZETCQYMHSA-N 0 2 309.351 0.010 20 0 DCADLN O=C(CC1CS(=O)(=O)C1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001417790702 1005891923 /nfs/dbraw/zinc/89/19/23/1005891923.db2.gz HHOXGIPGMDAVEZ-UHFFFAOYSA-N 0 2 322.346 0.551 20 0 DCADLN O=C(CC[NH+]1CCOCC1)NC[C@H]1C[C@H]([NH2+]Cc2ccon2)C1 ZINC001417796409 1005893059 /nfs/dbraw/zinc/89/30/59/1005893059.db2.gz YMZGBHMGQHOPDX-CTYIDZIISA-N 0 2 322.409 0.381 20 0 DCADLN C[C@@H]1CC[C@H](CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)O1 ZINC001417926840 1005927812 /nfs/dbraw/zinc/92/78/12/1005927812.db2.gz HWLODUIKNDXPOO-BXKDBHETSA-N 0 2 318.333 0.564 20 0 DCADLN CN(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CCC1 ZINC001434835381 1006025063 /nfs/dbraw/zinc/02/50/63/1006025063.db2.gz SKGPPEYIODYFOZ-UHFFFAOYSA-N 0 2 301.372 0.043 20 0 DCADLN Cc1nn(C)cc1C[NH2+][C@@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001418257146 1006257902 /nfs/dbraw/zinc/25/79/02/1006257902.db2.gz OLVCJSZUOLLHKE-LBPRGKRZSA-N 0 2 304.398 0.610 20 0 DCADLN O=C(C(=O)N1CCC[C@H](c2nn[nH]n2)C1)c1ccc(O)cc1 ZINC001546037604 1006715242 /nfs/dbraw/zinc/71/52/42/1006715242.db2.gz KSISTJGDKRUKEG-JTQLQIEISA-N 0 2 301.306 0.494 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC1CC(F)(F)C1 ZINC001439336376 1006720728 /nfs/dbraw/zinc/72/07/28/1006720728.db2.gz AMZBIGJHUZINMB-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC1CC(F)(F)C1 ZINC001439336376 1006720740 /nfs/dbraw/zinc/72/07/40/1006720740.db2.gz AMZBIGJHUZINMB-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN Cc1cc(CCC(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001439362965 1006757007 /nfs/dbraw/zinc/75/70/07/1006757007.db2.gz DBGMMCPLJWFVTR-VIFPVBQESA-N 0 2 322.369 0.376 20 0 DCADLN Cc1cc(CCC(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001439362965 1006757017 /nfs/dbraw/zinc/75/70/17/1006757017.db2.gz DBGMMCPLJWFVTR-VIFPVBQESA-N 0 2 322.369 0.376 20 0 DCADLN CCn1nncc1CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001439725877 1007156304 /nfs/dbraw/zinc/15/63/04/1007156304.db2.gz MFQZZMHELWTCON-SNVBAGLBSA-N 0 2 323.294 0.841 20 0 DCADLN CCn1nncc1CN1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001439725877 1007156310 /nfs/dbraw/zinc/15/63/10/1007156310.db2.gz MFQZZMHELWTCON-SNVBAGLBSA-N 0 2 323.294 0.841 20 0 DCADLN CCc1nocc1C[N@@H+]1CCC[C@@H]1CNC(=O)Cc1nnc[nH]1 ZINC001437691004 1007265016 /nfs/dbraw/zinc/26/50/16/1007265016.db2.gz ZLIFWAJYFIEMRD-GFCCVEGCSA-N 0 2 318.381 0.678 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cn[nH]n1)C(F)C(F)(F)F ZINC001453173571 1007289537 /nfs/dbraw/zinc/28/95/37/1007289537.db2.gz YWTQXSJXZZPVRK-FSPLSTOPSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cn[nH]n1)[C@H](F)C(F)(F)F ZINC001453173571 1007289546 /nfs/dbraw/zinc/28/95/46/1007289546.db2.gz YWTQXSJXZZPVRK-FSPLSTOPSA-N 0 2 309.223 0.036 20 0 DCADLN Cc1cncc(CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001438171101 1007685731 /nfs/dbraw/zinc/68/57/31/1007685731.db2.gz QKWVUPVQQWMXGO-UHFFFAOYSA-N 0 2 316.365 0.004 20 0 DCADLN Cc1ccn(C)c1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440915137 1008112180 /nfs/dbraw/zinc/11/21/80/1008112180.db2.gz ZBPBSOXWOQBNSH-SNVBAGLBSA-N 0 2 306.370 0.408 20 0 DCADLN Cc1ccn(C)c1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440915137 1008112196 /nfs/dbraw/zinc/11/21/96/1008112196.db2.gz ZBPBSOXWOQBNSH-SNVBAGLBSA-N 0 2 306.370 0.408 20 0 DCADLN C[C@H](NC(=O)Cc1cccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441134936 1008233852 /nfs/dbraw/zinc/23/38/52/1008233852.db2.gz OATQAJHIBXQKOX-VIFPVBQESA-N 0 2 321.406 0.751 20 0 DCADLN CCC[NH+](C)CC(=O)N1CCC([N@H+](C)Cc2ncnn2C)CC1 ZINC001441392981 1008343885 /nfs/dbraw/zinc/34/38/85/1008343885.db2.gz JADVOCCBZIPWJS-UHFFFAOYSA-N 0 2 322.457 0.580 20 0 DCADLN CCO[C@@H](C[N@@H+]1CCO[C@@H](CNC(=O)c2ncn[nH]2)C1)C1CC1 ZINC001421811171 1009123543 /nfs/dbraw/zinc/12/35/43/1009123543.db2.gz VQZHSQXAQZSOIQ-STQMWFEESA-N 0 2 323.397 0.050 20 0 DCADLN CCO[C@@H](C[N@H+]1CCO[C@@H](CNC(=O)c2ncn[nH]2)C1)C1CC1 ZINC001421811171 1009123548 /nfs/dbraw/zinc/12/35/48/1009123548.db2.gz VQZHSQXAQZSOIQ-STQMWFEESA-N 0 2 323.397 0.050 20 0 DCADLN CCn1ccc(C(=O)N[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)n1 ZINC001454698973 1009272864 /nfs/dbraw/zinc/27/28/64/1009272864.db2.gz UTIUKYBMKUTRTD-SNVBAGLBSA-N 0 2 318.381 0.412 20 0 DCADLN CCOC1CC2(C[C@@H]2C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001442522718 1009441266 /nfs/dbraw/zinc/44/12/66/1009441266.db2.gz VFLIMQOMKRSUML-LOIXOFCESA-N 0 2 323.397 0.264 20 0 DCADLN CCOC1CC2(C[C@@H]2C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001442522718 1009441260 /nfs/dbraw/zinc/44/12/60/1009441260.db2.gz VFLIMQOMKRSUML-LOIXOFCESA-N 0 2 323.397 0.264 20 0 DCADLN CC[N@H+](CCNC(=O)CC1CCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001442653412 1009584649 /nfs/dbraw/zinc/58/46/49/1009584649.db2.gz WEHILOQQOPOTOI-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)CC1CCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001442653412 1009584652 /nfs/dbraw/zinc/58/46/52/1009584652.db2.gz WEHILOQQOPOTOI-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)C1CC(=O)C1 ZINC001434278448 1009716798 /nfs/dbraw/zinc/71/67/98/1009716798.db2.gz QEXGHPKUVJMQRK-LBPRGKRZSA-N 0 2 300.318 0.889 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)C1CC(=O)C1 ZINC001434278445 1009719037 /nfs/dbraw/zinc/71/90/37/1009719037.db2.gz QEXGHPKUVJMQRK-GFCCVEGCSA-N 0 2 300.318 0.889 20 0 DCADLN O=C(CCn1cccc1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001442817981 1009773684 /nfs/dbraw/zinc/77/36/84/1009773684.db2.gz MPUFCEGUFMKFTC-GFCCVEGCSA-N 0 2 318.381 0.483 20 0 DCADLN O=C(CCn1cccc1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001442817981 1009773694 /nfs/dbraw/zinc/77/36/94/1009773694.db2.gz MPUFCEGUFMKFTC-GFCCVEGCSA-N 0 2 318.381 0.483 20 0 DCADLN CCCn1cc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)nn1 ZINC001434348146 1009803483 /nfs/dbraw/zinc/80/34/83/1009803483.db2.gz VWGDLRFCRXPWJS-UHFFFAOYSA-N 0 2 321.303 0.761 20 0 DCADLN C[C@H]1C(=O)CCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434401834 1009906287 /nfs/dbraw/zinc/90/62/87/1009906287.db2.gz MGJKMCKDLXSKFM-VIFPVBQESA-N 0 2 316.317 0.460 20 0 DCADLN Cc1ccoc1CC(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443037099 1010075334 /nfs/dbraw/zinc/07/53/34/1010075334.db2.gz ZGJNCURNHFFQAR-UHFFFAOYSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccoc1CC(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443037099 1010075347 /nfs/dbraw/zinc/07/53/47/1010075347.db2.gz ZGJNCURNHFFQAR-UHFFFAOYSA-N 0 2 319.365 0.735 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2ccc(Cl)o2)[nH]1 ZINC001456314419 1010190306 /nfs/dbraw/zinc/19/03/06/1010190306.db2.gz ZTJNARAARMAYMI-UHFFFAOYSA-N 0 2 318.742 0.526 20 0 DCADLN CO[C@@H](C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001422727382 1010532832 /nfs/dbraw/zinc/53/28/32/1010532832.db2.gz BGINXPRMUIHFCJ-BXKDBHETSA-N 0 2 311.386 0.118 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)C[C@H](C)[NH2+]Cc1nccn1C ZINC001423169145 1010937787 /nfs/dbraw/zinc/93/77/87/1010937787.db2.gz PURRTLMIQSJOQD-LBPRGKRZSA-N 0 2 304.398 0.562 20 0 DCADLN CO[C@@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001456465749 1011287468 /nfs/dbraw/zinc/28/74/68/1011287468.db2.gz XIQPVDMDZWEGRC-POYBYMJQSA-N 0 2 300.252 0.496 20 0 DCADLN CO[C@@H](C)C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001456465749 1011287480 /nfs/dbraw/zinc/28/74/80/1011287480.db2.gz XIQPVDMDZWEGRC-POYBYMJQSA-N 0 2 300.252 0.496 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001431493731 1011317540 /nfs/dbraw/zinc/31/75/40/1011317540.db2.gz SXEAWRUVNZXYQC-HTRCEHHLSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001431493731 1011317553 /nfs/dbraw/zinc/31/75/53/1011317553.db2.gz SXEAWRUVNZXYQC-HTRCEHHLSA-N 0 2 311.235 0.122 20 0 DCADLN CCn1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001445048343 1011358391 /nfs/dbraw/zinc/35/83/91/1011358391.db2.gz JVPCGDDIFQERML-UHFFFAOYSA-N 0 2 321.385 0.239 20 0 DCADLN CCn1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001445048343 1011358405 /nfs/dbraw/zinc/35/84/05/1011358405.db2.gz JVPCGDDIFQERML-UHFFFAOYSA-N 0 2 321.385 0.239 20 0 DCADLN O=C(CCCF)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001431540826 1011380326 /nfs/dbraw/zinc/38/03/26/1011380326.db2.gz FPNGBTWJGIISLO-POYBYMJQSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001431540826 1011380339 /nfs/dbraw/zinc/38/03/39/1011380339.db2.gz FPNGBTWJGIISLO-POYBYMJQSA-N 0 2 306.231 0.230 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001534851355 1011701109 /nfs/dbraw/zinc/70/11/09/1011701109.db2.gz UPCHSSJDDGAPSH-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001534851355 1011701117 /nfs/dbraw/zinc/70/11/17/1011701117.db2.gz UPCHSSJDDGAPSH-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc2c(Cl)cccc2c1O ZINC001445413254 1011745613 /nfs/dbraw/zinc/74/56/13/1011745613.db2.gz JSJVZDHZYKYHSQ-UHFFFAOYSA-N 0 2 319.708 0.935 20 0 DCADLN O=C(CNC(=O)Nc1cccc(Cl)c1)NCc1n[nH]c(=O)[nH]1 ZINC001445417744 1011748058 /nfs/dbraw/zinc/74/80/58/1011748058.db2.gz UNKDOGIZBBASFB-UHFFFAOYSA-N 0 2 324.728 0.602 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccon1)C(F)C(F)(F)F ZINC001432037638 1011894670 /nfs/dbraw/zinc/89/46/70/1011894670.db2.gz PPYXLKOQYABRBF-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccon1)[C@H](F)C(F)(F)F ZINC001432037638 1011894690 /nfs/dbraw/zinc/89/46/90/1011894690.db2.gz PPYXLKOQYABRBF-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(CCC(F)F)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001458004051 1012029749 /nfs/dbraw/zinc/02/97/49/1012029749.db2.gz PMUDBDYZLCHNKP-SVGQVSJJSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001458004051 1012029761 /nfs/dbraw/zinc/02/97/61/1012029761.db2.gz PMUDBDYZLCHNKP-SVGQVSJJSA-N 0 2 324.221 0.525 20 0 DCADLN CC1(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001424141400 1012074196 /nfs/dbraw/zinc/07/41/96/1012074196.db2.gz XHSAMCVWCKXDOA-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN Cc1nonc1C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001536396145 1012113382 /nfs/dbraw/zinc/11/33/82/1012113382.db2.gz YWHUTFUWJUXVGU-MRVPVSSYSA-N 0 2 324.234 0.561 20 0 DCADLN Cc1nonc1C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001536396145 1012113388 /nfs/dbraw/zinc/11/33/88/1012113388.db2.gz YWHUTFUWJUXVGU-MRVPVSSYSA-N 0 2 324.234 0.561 20 0 DCADLN CCC(=O)N1CCC[C@H](C(=O)NCCc2n[nH]c(=S)o2)C1 ZINC001556283742 1012193887 /nfs/dbraw/zinc/19/38/87/1012193887.db2.gz BZGYUMJQAVGJNG-VIFPVBQESA-N 0 2 312.395 0.666 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)C(F)(F)F ZINC001445779416 1012256530 /nfs/dbraw/zinc/25/65/30/1012256530.db2.gz BNRJGXKFXPPNRZ-RQJHMYQMSA-N 0 2 309.292 0.645 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)C(F)(F)F ZINC001445779416 1012256540 /nfs/dbraw/zinc/25/65/40/1012256540.db2.gz BNRJGXKFXPPNRZ-RQJHMYQMSA-N 0 2 309.292 0.645 20 0 DCADLN CCOC(OCC)[C@@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001558877912 1012391903 /nfs/dbraw/zinc/39/19/03/1012391903.db2.gz LVJJKOJMXVVDJP-SFYZADRCSA-N 0 2 303.384 0.447 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H]2CCOC2)CC1)C(F)C(F)(F)F ZINC001458969205 1012502027 /nfs/dbraw/zinc/50/20/27/1012502027.db2.gz DKNNRWIIZLHWHD-HTQZYQBOSA-N 0 2 312.263 0.688 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H]2CCOC2)CC1)[C@@H](F)C(F)(F)F ZINC001458969205 1012502037 /nfs/dbraw/zinc/50/20/37/1012502037.db2.gz DKNNRWIIZLHWHD-HTQZYQBOSA-N 0 2 312.263 0.688 20 0 DCADLN O=C(CC1CCOCC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446037144 1012546216 /nfs/dbraw/zinc/54/62/16/1012546216.db2.gz JCQIPSIKRLZJPW-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CO[C@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001446080044 1012590559 /nfs/dbraw/zinc/59/05/59/1012590559.db2.gz YYFXIMIMJBZNKF-JQWIXIFHSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001446080051 1012591793 /nfs/dbraw/zinc/59/17/93/1012591793.db2.gz YYFXIMIMJBZNKF-ZYHUDNBSSA-N 0 2 309.370 0.016 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2ccn(C)n2)C1 ZINC001446361934 1012775597 /nfs/dbraw/zinc/77/55/97/1012775597.db2.gz MWQJDEUKQGYVCZ-SNVBAGLBSA-N 0 2 308.279 0.963 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2ccn(C)n2)C1 ZINC001446361934 1012775605 /nfs/dbraw/zinc/77/56/05/1012775605.db2.gz MWQJDEUKQGYVCZ-SNVBAGLBSA-N 0 2 308.279 0.963 20 0 DCADLN COCC(=O)N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001429987739 1013023267 /nfs/dbraw/zinc/02/32/67/1013023267.db2.gz QIQOJUWSEDHNKW-CBAPKCEASA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)N[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001429987739 1013023279 /nfs/dbraw/zinc/02/32/79/1013023279.db2.gz QIQOJUWSEDHNKW-CBAPKCEASA-N 0 2 300.252 0.544 20 0 DCADLN CC(=O)NCc1nc(CNC(=O)C(F)C(F)(F)F)cc(=O)[nH]1 ZINC001515366317 1017120398 /nfs/dbraw/zinc/12/03/98/1017120398.db2.gz UUSKRTBHQFYTDD-SECBINFHSA-N 0 2 324.234 0.335 20 0 DCADLN CC(=O)NCc1nc(CNC(=O)[C@@H](F)C(F)(F)F)cc(=O)[nH]1 ZINC001515366317 1017120405 /nfs/dbraw/zinc/12/04/05/1017120405.db2.gz UUSKRTBHQFYTDD-SECBINFHSA-N 0 2 324.234 0.335 20 0 DCADLN CON(C)c1nnc(-c2n[nH]c(Cl)n2)n1C[C@@H]1CCOC1 ZINC001516302941 1017145629 /nfs/dbraw/zinc/14/56/29/1017145629.db2.gz YYJNGEQHLDINSI-ZETCQYMHSA-N 0 2 313.749 0.751 20 0 DCADLN Cc1ccccc1NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001475910698 1017166867 /nfs/dbraw/zinc/16/68/67/1017166867.db2.gz JFUUYSALIKENDI-UHFFFAOYSA-N 0 2 323.378 0.979 20 0 DCADLN Cc1nnsc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478732195 1017381571 /nfs/dbraw/zinc/38/15/71/1017381571.db2.gz QQPHONIRHXNNDM-QMMMGPOBSA-N 0 2 323.382 0.759 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001479231418 1017436585 /nfs/dbraw/zinc/43/65/85/1017436585.db2.gz PSHLUIUCEFXMEJ-XQQFMLRXSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@H](NC(=O)[C@@]1(C)CCCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506665390 1017452024 /nfs/dbraw/zinc/45/20/24/1017452024.db2.gz AQCPRNGVZFTUSK-BONVTDFDSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](NC(=O)[C@]1(C)CCCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506665393 1017452132 /nfs/dbraw/zinc/45/21/32/1017452132.db2.gz AQCPRNGVZFTUSK-MEBBXXQBSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](CCCNC(=O)[C@H](F)C(F)(F)F)NC(=O)CC(N)=O ZINC001509990674 1017499134 /nfs/dbraw/zinc/49/91/34/1017499134.db2.gz ZFSBPUZLIGXJMI-RCOVLWMOSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CCCNC(=O)C(F)C(F)(F)F)NC(=O)CC(N)=O ZINC001509990674 1017499124 /nfs/dbraw/zinc/49/91/24/1017499124.db2.gz ZFSBPUZLIGXJMI-RCOVLWMOSA-N 0 2 315.267 0.163 20 0 DCADLN O=C(NCC1CN(Cc2cnsn2)C1)C(F)C(F)(F)F ZINC001494556491 1017784191 /nfs/dbraw/zinc/78/41/91/1017784191.db2.gz NDHAVZFHMOFXGL-MRVPVSSYSA-N 0 2 312.292 0.987 20 0 DCADLN O=C(NCC1CN(Cc2cnsn2)C1)[C@@H](F)C(F)(F)F ZINC001494556491 1017784199 /nfs/dbraw/zinc/78/41/99/1017784199.db2.gz NDHAVZFHMOFXGL-MRVPVSSYSA-N 0 2 312.292 0.987 20 0 DCADLN CCc1cc(C(=O)N(CCNC(=O)c2cnn[nH]2)C2CC2)[nH]n1 ZINC001497481572 1018160158 /nfs/dbraw/zinc/16/01/58/1018160158.db2.gz CEXJYSZSPAWAMB-UHFFFAOYSA-N 0 2 317.353 0.125 20 0 DCADLN CN(CCN(C)C(=O)[C@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001501059179 1018849015 /nfs/dbraw/zinc/84/90/15/1018849015.db2.gz BVVLQDUTGYXMII-DTWKUNHWSA-N 0 2 314.279 0.840 20 0 DCADLN CN(CCN(C)C(=O)[C@H]1CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001501059179 1018849034 /nfs/dbraw/zinc/84/90/34/1018849034.db2.gz BVVLQDUTGYXMII-DTWKUNHWSA-N 0 2 314.279 0.840 20 0 DCADLN CCOC1CC(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001498267962 1018870289 /nfs/dbraw/zinc/87/02/89/1018870289.db2.gz BFBKNFNWITUFIO-WHXUTIOJSA-N 0 2 311.386 0.262 20 0 DCADLN CCOC1CC(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001498267962 1018870311 /nfs/dbraw/zinc/87/03/11/1018870311.db2.gz BFBKNFNWITUFIO-WHXUTIOJSA-N 0 2 311.386 0.262 20 0 DCADLN CCn1nccc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001495975483 1018927059 /nfs/dbraw/zinc/92/70/59/1018927059.db2.gz IQKMSVYXGANBDY-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1nccc1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001495975483 1018927068 /nfs/dbraw/zinc/92/70/68/1018927068.db2.gz IQKMSVYXGANBDY-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnns1 ZINC001496123631 1019088135 /nfs/dbraw/zinc/08/81/35/1019088135.db2.gz SHNHHRPAHXZBTL-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnns1 ZINC001496123631 1019088180 /nfs/dbraw/zinc/08/81/80/1019088180.db2.gz SHNHHRPAHXZBTL-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN Cc1ccccc1CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498448146 1019128330 /nfs/dbraw/zinc/12/83/30/1019128330.db2.gz JWNDEWMHYWYLIK-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1ccccc1CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498448146 1019128335 /nfs/dbraw/zinc/12/83/35/1019128335.db2.gz JWNDEWMHYWYLIK-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN CCC1(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001501828061 1019496234 /nfs/dbraw/zinc/49/62/34/1019496234.db2.gz URSSZRBBMFPFSC-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN COc1ccccc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496824974 1019673502 /nfs/dbraw/zinc/67/35/02/1019673502.db2.gz CQZZORLEFMHTLA-UHFFFAOYSA-N 0 2 319.365 0.723 20 0 DCADLN COc1ccccc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496824974 1019673509 /nfs/dbraw/zinc/67/35/09/1019673509.db2.gz CQZZORLEFMHTLA-UHFFFAOYSA-N 0 2 319.365 0.723 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001499133199 1019779109 /nfs/dbraw/zinc/77/91/09/1019779109.db2.gz CPWPJSBGPRYBPA-YUMQZZPRSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001499133199 1019779122 /nfs/dbraw/zinc/77/91/22/1019779122.db2.gz CPWPJSBGPRYBPA-YUMQZZPRSA-N 0 2 310.251 0.935 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccnnc2)C1)C(F)C(F)(F)F ZINC001493944586 1019840283 /nfs/dbraw/zinc/84/02/83/1019840283.db2.gz MFZRWDIRGPNMLN-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccnnc2)C1)[C@H](F)C(F)(F)F ZINC001493944586 1019840296 /nfs/dbraw/zinc/84/02/96/1019840296.db2.gz MFZRWDIRGPNMLN-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)CCOC(C)C)C1 ZINC001493978498 1019870499 /nfs/dbraw/zinc/87/04/99/1019870499.db2.gz KGWZQMZGMIEYLB-JOCQHMNTSA-N 0 2 322.409 0.839 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)c2csc(C(=O)[O-])n2)co1 ZINC001606036795 1170061378 /nfs/dbraw/zinc/06/13/78/1170061378.db2.gz BSMZLSQLTAIXRQ-UHFFFAOYSA-N 0 2 310.335 0.821 20 0 DCADLN O=S(=O)(NCC[C@H]1CC1(F)F)c1ccc(-c2nn[nH]n2)o1 ZINC001607064189 1170375559 /nfs/dbraw/zinc/37/55/59/1170375559.db2.gz VAYHVKRUYBCPEH-LURJTMIESA-N 0 2 319.293 0.783 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)CC2(C(=O)[O-])CC2)C1 ZINC001608132091 1170585999 /nfs/dbraw/zinc/58/59/99/1170585999.db2.gz ADMGZOUNDMFQQJ-JTQLQIEISA-N 0 2 313.379 0.404 20 0 DCADLN CN(C[C@H](O)C[NH+]1CCOCC1)C(=O)CC(C)(C)CC(=O)[O-] ZINC001610111037 1171160796 /nfs/dbraw/zinc/16/07/96/1171160796.db2.gz NYUBYYQYFSTRQC-LBPRGKRZSA-N 0 2 316.398 0.029 20 0 DCADLN Cc1cc(C)n(CC(=O)NCCC(=O)N2CCCCC2)c(=O)n1 ZINC000274152012 186438839 /nfs/dbraw/zinc/43/88/39/186438839.db2.gz COHZTONIDDETFC-UHFFFAOYSA-N 0 2 320.393 0.379 20 0 DCADLN CSCCONC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000280082485 215363025 /nfs/dbraw/zinc/36/30/25/215363025.db2.gz SMTBMPOOUMWOIR-UHFFFAOYSA-N 0 2 310.335 0.769 20 0 DCADLN CNC(=O)[C@H]1CCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000173676587 198239279 /nfs/dbraw/zinc/23/92/79/198239279.db2.gz KCROAWYRNXRNQC-GFCCVEGCSA-N 0 2 314.345 0.929 20 0 DCADLN CCOC(=O)C(C)(C)C(=O)Cn1c(=O)onc1-c1ncccn1 ZINC000281092299 216073712 /nfs/dbraw/zinc/07/37/12/216073712.db2.gz XLGNRAMOWPXXKI-UHFFFAOYSA-N 0 2 320.305 0.452 20 0 DCADLN CC(C)(CO)[C@H](CNC(=O)c1nc(=O)[nH][nH]1)c1ccccc1 ZINC000154085453 291210803 /nfs/dbraw/zinc/21/08/03/291210803.db2.gz MFKXYNQCCRHKDR-LLVKDONJSA-N 0 2 304.350 0.630 20 0 DCADLN C[C@H]1CO[C@H](CO)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000157218146 291227832 /nfs/dbraw/zinc/22/78/32/291227832.db2.gz SRRKOKINQDQABZ-AAEUAGOBSA-N 0 2 317.345 0.800 20 0 DCADLN O=C([O-])c1cccc2c1CCCN2C[C@@H](O)C[NH+]1CCOCC1 ZINC000323989655 291258893 /nfs/dbraw/zinc/25/88/93/291258893.db2.gz LEYUIKQTZKHBIG-ZDUSSCGKSA-N 0 2 320.389 0.831 20 0 DCADLN C[C@H]1C[C@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCO1 ZINC000271882722 209293520 /nfs/dbraw/zinc/29/35/20/209293520.db2.gz RDUNBEMLSBEFTJ-NKWVEPMBSA-N 0 2 320.396 0.663 20 0 DCADLN O=C([O-])[C@@H]1CCCC[N@@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000262270138 304105047 /nfs/dbraw/zinc/10/50/47/304105047.db2.gz IEQJUMXWXSFATG-ZETCQYMHSA-N 0 2 311.260 0.314 20 0 DCADLN O=C([O-])[C@@H]1CCCC[N@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000262270138 304105049 /nfs/dbraw/zinc/10/50/49/304105049.db2.gz IEQJUMXWXSFATG-ZETCQYMHSA-N 0 2 311.260 0.314 20 0 DCADLN Cn1nccc1COCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000566739223 291320974 /nfs/dbraw/zinc/32/09/74/291320974.db2.gz AIBBQHKIIFKEGE-SNVBAGLBSA-N 0 2 320.353 0.167 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)N3CCC[C@H]3C(=O)[O-])ccc2[nH+]1 ZINC000422664696 240175826 /nfs/dbraw/zinc/17/58/26/240175826.db2.gz TURDUMPVWMHCTE-NSHDSACASA-N 0 2 316.317 0.657 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@@H](n2ccnn2)C1 ZINC000425197598 240307084 /nfs/dbraw/zinc/30/70/84/240307084.db2.gz CCPPJFPUEVXKOB-MRVPVSSYSA-N 0 2 313.305 0.312 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H](CCCO)c1ccccc1 ZINC000275143433 212147894 /nfs/dbraw/zinc/14/78/94/212147894.db2.gz QAXMBXGWWSUADB-LLVKDONJSA-N 0 2 322.390 0.820 20 0 DCADLN C[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@H](C)N1CCOCC1 ZINC000195227212 296137874 /nfs/dbraw/zinc/13/78/74/296137874.db2.gz NMXQJBFALCVBJU-BDAKNGLRSA-N 0 2 319.349 0.082 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000265309851 204524452 /nfs/dbraw/zinc/52/44/52/204524452.db2.gz OGRPDRGFWJIHDK-UHFFFAOYSA-N 0 2 320.305 0.508 20 0 DCADLN COCC1(NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)CC1 ZINC000572320378 291561633 /nfs/dbraw/zinc/56/16/33/291561633.db2.gz NDKMDRVHTFARBN-UHFFFAOYSA-N 0 2 312.395 0.491 20 0 DCADLN Cc1nc2ccc(NS(=O)(=O)c3cn(C)nc3C)cn2n1 ZINC000288525645 220203410 /nfs/dbraw/zinc/20/34/10/220203410.db2.gz GTQJYJOQBFVCNC-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN NC(=O)c1cc(Cl)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000273724034 211007341 /nfs/dbraw/zinc/00/73/41/211007341.db2.gz HAKSFKBUWGEKTN-MRVPVSSYSA-N 0 2 324.772 0.269 20 0 DCADLN C[C@H](C(=O)N1CC(=O)N(C)[C@@H]1c1ccccc1)S(C)(=O)=O ZINC000331008857 252648566 /nfs/dbraw/zinc/64/85/66/252648566.db2.gz UNKBOJKKAZOHSW-MFKMUULPSA-N 0 2 310.375 0.419 20 0 DCADLN Cn1c2cccc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c2oc1=O ZINC000339920877 253164670 /nfs/dbraw/zinc/16/46/70/253164670.db2.gz HQRAVZLOVSXPDZ-UHFFFAOYSA-N 0 2 317.305 0.318 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc(C)c(C(N)=O)c2)n(C)n1 ZINC000340038702 253189601 /nfs/dbraw/zinc/18/96/01/253189601.db2.gz FMZJZXRFACJNDT-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN CN(C(=O)N=c1nc(-c2ccccc2)[nH]s1)[C@@H]1CCNC1=O ZINC000340101672 253200807 /nfs/dbraw/zinc/20/08/07/253200807.db2.gz QZALWQPUQSHCOE-SNVBAGLBSA-N 0 2 317.374 0.979 20 0 DCADLN COC(=O)C(C)(C)CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000340749276 253298592 /nfs/dbraw/zinc/29/85/92/253298592.db2.gz RMWSPADUSSRCAS-UHFFFAOYSA-N 0 2 320.349 0.993 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C2CCOCC2)s1 ZINC000340971701 253330061 /nfs/dbraw/zinc/33/00/61/253330061.db2.gz NYLPAGGSWQBXIQ-UHFFFAOYSA-N 0 2 306.369 0.275 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cccc2c1CNC2=O ZINC000340978852 253330466 /nfs/dbraw/zinc/33/04/66/253330466.db2.gz ONRNXYVVCDELNO-UHFFFAOYSA-N 0 2 306.347 0.773 20 0 DCADLN C[C@H](O)C[C@H](C)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000340896642 253319739 /nfs/dbraw/zinc/31/97/39/253319739.db2.gz HKHHOOARHFYQJY-YUMQZZPRSA-N 0 2 314.411 0.472 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)CCc2ccccc2)n1 ZINC000341404689 253376258 /nfs/dbraw/zinc/37/62/58/253376258.db2.gz XLTGGEBDSBXJND-UHFFFAOYSA-N 0 2 324.362 0.436 20 0 DCADLN NC(=O)[C@H]1CN(C(=O)c2cncc(C(F)(F)F)c2)CCO1 ZINC000288649621 220322102 /nfs/dbraw/zinc/32/21/02/220322102.db2.gz ANHHDFREAZCTMC-SECBINFHSA-N 0 2 303.240 0.427 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)on1 ZINC000349386889 254281520 /nfs/dbraw/zinc/28/15/20/254281520.db2.gz PYJTYIJWRUTQLA-UHFFFAOYSA-N 0 2 323.378 0.809 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ccc(C(N)=O)c(F)c1 ZINC000349946277 254305938 /nfs/dbraw/zinc/30/59/38/254305938.db2.gz SEFKKDJDLNBVJP-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN Cc1cc(C(=O)NC(C)(C)CCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000350342270 254315460 /nfs/dbraw/zinc/31/54/60/254315460.db2.gz NILUZGBDLFNRSN-UHFFFAOYSA-N 0 2 306.322 0.635 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2cncc(Cl)c2)cn1 ZINC000350314235 254315525 /nfs/dbraw/zinc/31/55/25/254315525.db2.gz JQHMOZROMASHSU-UHFFFAOYSA-N 0 2 315.742 0.218 20 0 DCADLN Cn1ccc2cccc(NC(=O)C(=O)NCc3n[nH]c(=O)[nH]3)c21 ZINC000351703443 254360154 /nfs/dbraw/zinc/36/01/54/254360154.db2.gz OCHCVLHPEKTHQZ-UHFFFAOYSA-N 0 2 314.305 0.257 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N1CC(C(F)(F)F)C1 ZINC000556765987 288392138 /nfs/dbraw/zinc/39/21/38/288392138.db2.gz OCHYZEGAFJMULF-UHFFFAOYSA-N 0 2 314.267 0.894 20 0 DCADLN COc1cc(NS(=O)(=O)c2ccc(N(C)C)nc2)ncn1 ZINC000284262614 131064847 /nfs/dbraw/zinc/06/48/47/131064847.db2.gz VIBCBUVOEAXQFA-UHFFFAOYSA-N 0 2 309.351 0.747 20 0 DCADLN Cc1cccc([C@H](CNC(=O)CNC(=O)[O-])[NH+]2CCOCC2)c1 ZINC000285532073 131178104 /nfs/dbraw/zinc/17/81/04/131178104.db2.gz CPCMJVQZXFJHEW-AWEZNQCLSA-N 0 2 321.377 0.752 20 0 DCADLN CC[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)(C)O ZINC000293901338 131781427 /nfs/dbraw/zinc/78/14/27/131781427.db2.gz FHPVEGKKSRYULO-VIFPVBQESA-N 0 2 306.322 0.715 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1cnn(C)c1 ZINC000014372155 395689411 /nfs/dbraw/zinc/68/94/11/395689411.db2.gz VFAXIRPEJCRXGP-UHFFFAOYSA-N 0 2 303.347 0.990 20 0 DCADLN O=c1[nH]nc(CN2CCOC[C@H]2C[C@@H](O)c2cccs2)[nH]1 ZINC000091590826 395726694 /nfs/dbraw/zinc/72/66/94/395726694.db2.gz DNQULCXSWFTYDD-NXEZZACHSA-N 0 2 310.379 0.484 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1CCO[C@H](C2CC2)C1 ZINC000195454790 395827653 /nfs/dbraw/zinc/82/76/53/395827653.db2.gz IUYNGEJVNZKFGY-BDAKNGLRSA-N 0 2 302.318 0.930 20 0 DCADLN CNC(=O)N1CCN(C(=O)c2cc(F)c(F)c(O)c2F)CC1 ZINC000273462555 395922988 /nfs/dbraw/zinc/92/29/88/395922988.db2.gz WFJPPHAIWKVCCG-UHFFFAOYSA-N 0 2 317.267 0.907 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000055996471 395925080 /nfs/dbraw/zinc/92/50/80/395925080.db2.gz URIOFGJLOYTBTH-QMMMGPOBSA-N 0 2 314.305 0.039 20 0 DCADLN COC(=O)[C@]1(NCC(=O)NOCc2ccccc2)CCOC1 ZINC000271431030 395865525 /nfs/dbraw/zinc/86/55/25/395865525.db2.gz AVARVGWEMIIUNK-HNNXBMFYSA-N 0 2 308.334 0.156 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2noc(C3CC3)n2)c[nH]1 ZINC000273592167 395932214 /nfs/dbraw/zinc/93/22/14/395932214.db2.gz MYIOZJKNQOVPKD-UHFFFAOYSA-N 0 2 312.307 0.863 20 0 DCADLN COCCS(=O)(=O)Nc1cc2c(cc1OC)CCC(=O)N2 ZINC000274326588 395939232 /nfs/dbraw/zinc/93/92/32/395939232.db2.gz VHORBZQDZYFVIG-UHFFFAOYSA-N 0 2 314.363 0.968 20 0 DCADLN Cn1cc(S(=O)(=O)N2CC[C@H](Oc3cc[nH+]cc3)C2)cn1 ZINC000151139323 395979800 /nfs/dbraw/zinc/97/98/00/395979800.db2.gz VSQMYJKVICBYAV-LBPRGKRZSA-N 0 2 308.363 0.657 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)cn1 ZINC000064477642 395986924 /nfs/dbraw/zinc/98/69/24/395986924.db2.gz AIFXFMGABCJHPI-UHFFFAOYSA-N 0 2 321.362 0.411 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(OCCOC)nc2)c1O ZINC000277162931 396052787 /nfs/dbraw/zinc/05/27/87/396052787.db2.gz BNZXSNAXFWSQIM-LLVKDONJSA-N 0 2 323.305 0.095 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCC(c2ccncc2)CC1 ZINC000277301593 396060491 /nfs/dbraw/zinc/06/04/91/396060491.db2.gz PBLMLHBWTCWKGL-UHFFFAOYSA-N 0 2 319.390 0.991 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)n(C)n1 ZINC000066247891 396004163 /nfs/dbraw/zinc/00/41/63/396004163.db2.gz GHIYSSNPCASGAS-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@@H+]1CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000262113417 396100339 /nfs/dbraw/zinc/10/03/39/396100339.db2.gz KSMYQVSONJSDDS-NSHDSACASA-N 0 2 306.318 0.945 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@H+]1CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000262113417 396100345 /nfs/dbraw/zinc/10/03/45/396100345.db2.gz KSMYQVSONJSDDS-NSHDSACASA-N 0 2 306.318 0.945 20 0 DCADLN CN(C)C(=O)c1cccc(S(=O)(=O)Nc2ccnn2C)c1 ZINC000171153366 396108844 /nfs/dbraw/zinc/10/88/44/396108844.db2.gz RHWLHJGTZVEXSS-UHFFFAOYSA-N 0 2 308.363 0.923 20 0 DCADLN O=C([O-])CNC(=O)C[NH+]1CCN(c2cccc(Cl)c2)CC1 ZINC000262235517 396114000 /nfs/dbraw/zinc/11/40/00/396114000.db2.gz NTRRFRJIUFXCJZ-UHFFFAOYSA-N 0 2 311.769 0.663 20 0 DCADLN Cn1cc(N2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2=O)cn1 ZINC000277603291 396076903 /nfs/dbraw/zinc/07/69/03/396076903.db2.gz HEZJLZNMVIZRBP-YUMQZZPRSA-N 0 2 308.235 0.542 20 0 DCADLN Cn1cc(N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2=O)cn1 ZINC000277603291 396076906 /nfs/dbraw/zinc/07/69/06/396076906.db2.gz HEZJLZNMVIZRBP-YUMQZZPRSA-N 0 2 308.235 0.542 20 0 DCADLN O=C([O-])[C@@H](NC(=O)Cc1cn2ccccc2[nH+]1)[C@H]1CCCOC1 ZINC000262810983 396184812 /nfs/dbraw/zinc/18/48/12/396184812.db2.gz PDAJFDFBHZLRJJ-NHYWBVRUSA-N 0 2 317.345 0.873 20 0 DCADLN Cc1c[nH]c(=O)n1-c1ccccc1NS(=O)(=O)c1cn[nH]c1 ZINC000076195115 396197595 /nfs/dbraw/zinc/19/75/95/396197595.db2.gz SSFVEACHKBNGFZ-UHFFFAOYSA-N 0 2 319.346 0.998 20 0 DCADLN Cc1cccc(O)c1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000182469234 396250796 /nfs/dbraw/zinc/25/07/96/396250796.db2.gz LOEZKZHPHOSXER-UHFFFAOYSA-N 0 2 309.347 0.939 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)c1cccc2[nH]nnc21 ZINC000180188423 396231592 /nfs/dbraw/zinc/23/15/92/396231592.db2.gz PWQQLXUCGRTRDE-SECBINFHSA-N 0 2 323.378 0.112 20 0 DCADLN O=C(COCCc1ccccc1)NCCCc1n[nH]c(=O)[nH]1 ZINC000080973626 396290135 /nfs/dbraw/zinc/29/01/35/396290135.db2.gz SEIGKOAMRAYIIM-UHFFFAOYSA-N 0 2 304.350 0.406 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)N1CCOCC1 ZINC000185969117 396338510 /nfs/dbraw/zinc/33/85/10/396338510.db2.gz DDMBLHGODHETHV-UHFFFAOYSA-N 0 2 317.349 0.926 20 0 DCADLN O=C(C[N@H+]1CC[C@@H](CO)C1)N1CC[NH+](Cc2ccccc2)CC1 ZINC000265880322 396347784 /nfs/dbraw/zinc/34/77/84/396347784.db2.gz PITADIDUDZOZDX-QGZVFWFLSA-N 0 2 317.433 0.645 20 0 DCADLN CCO[C@@H]1C[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C12CCC2 ZINC000187129618 396363702 /nfs/dbraw/zinc/36/37/02/396363702.db2.gz YQGKTQXFUCHTHV-DTWKUNHWSA-N 0 2 312.395 0.654 20 0 DCADLN C[C@H]1OCCN(C(=O)c2cc(F)c(F)c(O)c2F)[C@@H]1C(N)=O ZINC000285197846 396349011 /nfs/dbraw/zinc/34/90/11/396349011.db2.gz DPTQUWBZXKXSQA-FWOIEVBISA-N 0 2 318.251 0.524 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H]1COc2ccccc2C1 ZINC000192014776 396428660 /nfs/dbraw/zinc/42/86/60/396428660.db2.gz QEIJDWYYFBTTKK-SECBINFHSA-N 0 2 310.297 0.976 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)Cc3ccccc3)C2)[nH]1 ZINC000288947292 396450347 /nfs/dbraw/zinc/45/03/47/396450347.db2.gz OSWAWXLFLPLRDM-GFCCVEGCSA-N 0 2 322.390 0.807 20 0 DCADLN O=C(CN1CCOCC1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289102891 396454811 /nfs/dbraw/zinc/45/48/11/396454811.db2.gz RUBVRIXLFGKGPP-UHFFFAOYSA-N 0 2 303.322 0.036 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C(=O)OCC)cc2)c1O ZINC000290681835 396470078 /nfs/dbraw/zinc/47/00/78/396470078.db2.gz QDHHJFZGQDCHFH-NSHDSACASA-N 0 2 320.301 0.851 20 0 DCADLN COC(=O)CCCONC(=O)CNC(=O)COc1ccccc1 ZINC000290740185 396471207 /nfs/dbraw/zinc/47/12/07/396471207.db2.gz TVEFOJUQTYRNFX-UHFFFAOYSA-N 0 2 324.333 0.183 20 0 DCADLN CN(C)C(=O)C(C)(C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000594638400 396491487 /nfs/dbraw/zinc/49/14/87/396491487.db2.gz WITCGVCJAKNBNG-UHFFFAOYSA-N 0 2 319.321 0.033 20 0 DCADLN CC(C)[N@@H+]1CC[C@H](N(C)C(=O)C(=O)N(C)Cc2c[nH+]c[nH]2)C1 ZINC000581023297 396519945 /nfs/dbraw/zinc/51/99/45/396519945.db2.gz WWEOVGCTYXVUAV-ZDUSSCGKSA-N 0 2 307.398 0.309 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)[C@H]2CCc3nnnn3CC2)s[nH]1 ZINC000581136534 396529533 /nfs/dbraw/zinc/52/95/33/396529533.db2.gz VFGRHWDIXPWUEJ-QMMMGPOBSA-N 0 2 321.410 0.835 20 0 DCADLN COC(=O)[C@]1(C)CN(S(=O)(=O)NCC(F)(F)F)C[C@H]1C ZINC000374213224 396648125 /nfs/dbraw/zinc/64/81/25/396648125.db2.gz VVOAJBDALROFHM-VXNVDRBHSA-N 0 2 318.317 0.514 20 0 DCADLN C[C@@H](C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1)S(C)(=O)=O ZINC000589973370 396732104 /nfs/dbraw/zinc/73/21/04/396732104.db2.gz ZWLPXELFFAJAQB-ZETCQYMHSA-N 0 2 311.319 0.402 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@H](C)[C@@H]2CCC(=O)N2)s[nH]1 ZINC000634149588 396795870 /nfs/dbraw/zinc/79/58/70/396795870.db2.gz XYYOBAHXEZVCMX-YUMQZZPRSA-N 0 2 312.395 0.695 20 0 DCADLN CCOC[C@H]1C[N@@H+]([C@H]2CCCCN(CC(=O)[O-])C2=O)CCO1 ZINC000629503874 396773793 /nfs/dbraw/zinc/77/37/93/396773793.db2.gz QZGSFVVCUIIMFR-OLZOCXBDSA-N 0 2 314.382 0.189 20 0 DCADLN CCOC[C@H]1C[N@H+]([C@H]2CCCCN(CC(=O)[O-])C2=O)CCO1 ZINC000629503874 396773797 /nfs/dbraw/zinc/77/37/97/396773797.db2.gz QZGSFVVCUIIMFR-OLZOCXBDSA-N 0 2 314.382 0.189 20 0 DCADLN CCOC(=O)CN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000596969460 396866794 /nfs/dbraw/zinc/86/67/94/396866794.db2.gz ZXIKQIUKBKWCLP-UHFFFAOYSA-N 0 2 305.290 0.665 20 0 DCADLN CO[C@H]1C[N@H+](CC(=O)NCc2cccnc2)[C@@](C)(C(=O)[O-])C1 ZINC000634693837 396898041 /nfs/dbraw/zinc/89/80/41/396898041.db2.gz IPJZAJCBQGRUGO-IUODEOHRSA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@H]1C[N@@H+](CC(=O)NCc2cccnc2)[C@@](C)(C(=O)[O-])C1 ZINC000634693837 396898047 /nfs/dbraw/zinc/89/80/47/396898047.db2.gz IPJZAJCBQGRUGO-IUODEOHRSA-N 0 2 307.350 0.262 20 0 DCADLN CC(C)OC(=O)CC[C@@H](C)NC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000591357442 396907714 /nfs/dbraw/zinc/90/77/14/396907714.db2.gz NZOJKAGRWWMFIK-OLZOCXBDSA-N 0 2 314.430 0.391 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000630170906 396953401 /nfs/dbraw/zinc/95/34/01/396953401.db2.gz PZGKXAZMRRYEIB-RHSMWYFYSA-N 0 2 320.389 0.866 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000630170906 396953404 /nfs/dbraw/zinc/95/34/04/396953404.db2.gz PZGKXAZMRRYEIB-RHSMWYFYSA-N 0 2 320.389 0.866 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(CC(=O)[O-])CCOCC1 ZINC000630217267 396963257 /nfs/dbraw/zinc/96/32/57/396963257.db2.gz KCDMNQBVLGKXEV-NSHDSACASA-N 0 2 309.366 0.976 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(CC(=O)[O-])CCOCC1 ZINC000630217267 396963261 /nfs/dbraw/zinc/96/32/61/396963261.db2.gz KCDMNQBVLGKXEV-NSHDSACASA-N 0 2 309.366 0.976 20 0 DCADLN Cc1cc(C)[nH+]c(S([O-])=CC(=O)NCC(=O)N2CCCC2)c1 ZINC000618534221 396989995 /nfs/dbraw/zinc/98/99/95/396989995.db2.gz JWUHJWYGZCGRIK-JOCHJYFZSA-N 0 2 323.418 0.545 20 0 DCADLN CNS(=O)(=O)[C@H]1CCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000376923175 396997366 /nfs/dbraw/zinc/99/73/66/396997366.db2.gz OUNBFFUEDYCBLE-QMMMGPOBSA-N 0 2 320.317 0.434 20 0 DCADLN COC[C@](C)([NH2+]Cc1cn(-c2ccc(F)cc2)nn1)C(=O)[O-] ZINC000630455122 397025847 /nfs/dbraw/zinc/02/58/47/397025847.db2.gz ANRJEUGWEPMGEA-AWEZNQCLSA-N 0 2 308.313 0.986 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H]2[C@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000618921562 397042873 /nfs/dbraw/zinc/04/28/73/397042873.db2.gz ITATZMTVWXJXHX-WCBMZHEXSA-N 0 2 318.333 0.730 20 0 DCADLN [NH3+]Cc1cn([C@@H]2CCN(c3cc(N4CCCC4)[nH+]cn3)C2)nn1 ZINC000630553298 397044584 /nfs/dbraw/zinc/04/45/84/397044584.db2.gz KHLPZQUEWHFWRK-CYBMUJFWSA-N 0 2 314.397 0.578 20 0 DCADLN CN(CC(C)(C)C)C(=O)N[C@@H](C[NH+]1CCOCC1)C(=O)[O-] ZINC000572305124 397045572 /nfs/dbraw/zinc/04/55/72/397045572.db2.gz RCJFACCOHOVSBX-NSHDSACASA-N 0 2 301.387 0.459 20 0 DCADLN COC(=O)C12CC(C(=O)N3CCC[C@@H](c4n[nH]c(=O)[nH]4)C3)(C1)C2 ZINC000592797170 397254239 /nfs/dbraw/zinc/25/42/39/397254239.db2.gz VYQGMRJJPGFBCB-WFJJYUMRSA-N 0 2 320.349 0.560 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1C[C@@H]3CC[C@@H](O)C[C@@H]3C1)c2=O ZINC000425053536 397404086 /nfs/dbraw/zinc/40/40/86/397404086.db2.gz XYPHFNSIEGPQAR-HBNTYKKESA-N 0 2 316.361 0.907 20 0 DCADLN O=C([C@H]1CCCc2nn[nH]c21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518570 397463174 /nfs/dbraw/zinc/46/31/74/397463174.db2.gz IDSPXKYQRIKMGO-BDAKNGLRSA-N 0 2 317.353 0.455 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H]2CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000487941377 397480072 /nfs/dbraw/zinc/48/00/72/397480072.db2.gz CJYCWFGTWRQARX-SECBINFHSA-N 0 2 318.333 0.853 20 0 DCADLN COC(=O)C[C@H](O)CNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000599735099 397517952 /nfs/dbraw/zinc/51/79/52/397517952.db2.gz HKWSKTYKKMBLTI-ZETCQYMHSA-N 0 2 316.383 0.303 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(C[C@H]3CCCO3)c2)cn1 ZINC000077956781 158071189 /nfs/dbraw/zinc/07/11/89/158071189.db2.gz AFZGLAHOELLRTB-LLVKDONJSA-N 0 2 311.367 0.596 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2c(C)n[nH]c2C)cn1C ZINC000090230506 158103887 /nfs/dbraw/zinc/10/38/87/158103887.db2.gz PLHMLXRHHIPISF-UHFFFAOYSA-N 0 2 312.351 0.952 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCC[C@@H](CC(N)=O)C1)c2=O ZINC000119309573 158185059 /nfs/dbraw/zinc/18/50/59/158185059.db2.gz WJIMWKVKSOLKRL-VIFPVBQESA-N 0 2 317.349 0.402 20 0 DCADLN CCC(=O)N1CC[C@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000119357278 158185079 /nfs/dbraw/zinc/18/50/79/158185079.db2.gz OHKNDZXXGJOMBS-VIFPVBQESA-N 0 2 317.349 0.415 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1ccc2nncn2c1 ZINC000130366180 158294249 /nfs/dbraw/zinc/29/42/49/158294249.db2.gz KWAMZMMMZICHMM-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN CC(=O)N1CC[C@@H](NC(=O)c2c[nH]c3nc(C)ccc3c2=O)C1 ZINC000134336986 158325770 /nfs/dbraw/zinc/32/57/70/158325770.db2.gz JDSVYMPLBXBJSB-LLVKDONJSA-N 0 2 314.345 0.994 20 0 DCADLN Cn1ccnc1-c1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000137379949 158345174 /nfs/dbraw/zinc/34/51/74/158345174.db2.gz LITFXCNIMYOPCQ-UHFFFAOYSA-N 0 2 313.321 0.820 20 0 DCADLN CN(C[C@H]1CCC[NH+](C)C1)C(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000368180140 159380129 /nfs/dbraw/zinc/38/01/29/159380129.db2.gz PTOBLTYAQUMVKL-HOCLYGCPSA-N 0 2 324.469 0.833 20 0 DCADLN CN1C[C@@H](C(=O)NOC[C@H]2CCOC2)c2ccccc2C1=O ZINC000368475563 159397230 /nfs/dbraw/zinc/39/72/30/159397230.db2.gz UJGJIYPLSOXLPV-SMDDNHRTSA-N 0 2 304.346 0.940 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)CC2CCOCC2)cnn1C ZINC000408489067 160118954 /nfs/dbraw/zinc/11/89/54/160118954.db2.gz PIDUDMYSMHKDOC-UHFFFAOYSA-N 0 2 301.368 0.350 20 0 DCADLN O=C([O-])CN(C(=O)Cc1cn2ccccc2[nH+]1)C1CCOCC1 ZINC000238101087 287068116 /nfs/dbraw/zinc/06/81/16/287068116.db2.gz IGNBNOBCKQQFCC-UHFFFAOYSA-N 0 2 317.345 0.969 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)N1CCc2c1cccc2Cl ZINC000355998082 287191992 /nfs/dbraw/zinc/19/19/92/287191992.db2.gz CUEQSIIVRCGKBA-UHFFFAOYSA-N 0 2 321.724 0.369 20 0 DCADLN CCOc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c(C)c1 ZINC000356001286 287192348 /nfs/dbraw/zinc/19/23/48/287192348.db2.gz VDNWLPIMNUHENL-UHFFFAOYSA-N 0 2 319.321 0.472 20 0 DCADLN CC(C)n1nnnc1C[N@@H+]1CC[C@H](N2CC[NH+](C(C)C)CC2)C1 ZINC000375888348 287228524 /nfs/dbraw/zinc/22/85/24/287228524.db2.gz GKSZUSVDIHEYQS-HNNXBMFYSA-N 0 2 321.473 0.854 20 0 DCADLN CC[C@@H]1COCCN1C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000346814222 287235348 /nfs/dbraw/zinc/23/53/48/287235348.db2.gz ACNYWJWVHXHJAT-SECBINFHSA-N 0 2 318.333 0.995 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCOC[C@H]1CC(F)F ZINC000352511725 415201396 /nfs/dbraw/zinc/20/13/96/415201396.db2.gz MRHDELYZIDBZMT-ZCFIWIBFSA-N 0 2 312.260 0.739 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](O)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000268433938 415213030 /nfs/dbraw/zinc/21/30/30/415213030.db2.gz SFBUYDFDAOUACG-SECBINFHSA-N 0 2 304.306 0.245 20 0 DCADLN CNC(=O)c1ccc(OC)c(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000352591144 415239793 /nfs/dbraw/zinc/23/97/93/415239793.db2.gz SXTKJOYCFJJQNE-UHFFFAOYSA-N 0 2 310.335 0.579 20 0 DCADLN COC(=O)[C@H](NC(=O)C1=NN(c2ccccc2)CC1=O)[C@H](C)O ZINC000275969254 415406626 /nfs/dbraw/zinc/40/66/26/415406626.db2.gz JSFFDWSJZQZELU-JOYOIKCWSA-N 0 2 319.317 0.230 20 0 DCADLN CC(C)[C@@H](Sc1n[nH]c(=O)[nH]1)C(=O)NC[C@@H]1CCCO1 ZINC000332367058 415365506 /nfs/dbraw/zinc/36/55/06/415365506.db2.gz ZKQHYCLIGBNWES-DTWKUNHWSA-N 0 2 300.384 0.922 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)O1 ZINC000276187055 415447773 /nfs/dbraw/zinc/44/77/73/415447773.db2.gz TWJLZICBUSFSHO-TUAOUCFPSA-N 0 2 305.334 0.409 20 0 DCADLN Cc1cccc(C[C@@H](CO)NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000276340631 415475007 /nfs/dbraw/zinc/47/50/07/415475007.db2.gz GEQYUXZVZDVDSL-NSHDSACASA-N 0 2 322.390 0.218 20 0 DCADLN Cc1nnc([C@@H](C)NC(=O)c2c[nH]c3c(cnn3C)c2=O)[nH]1 ZINC000343362310 415575629 /nfs/dbraw/zinc/57/56/29/415575629.db2.gz SCDOFOHMMJGDNN-ZCFIWIBFSA-N 0 2 301.310 0.591 20 0 DCADLN N[C@@H](C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1)C(F)(F)F ZINC000353438940 415542564 /nfs/dbraw/zinc/54/25/64/415542564.db2.gz CRAHBWSZFMVOKR-LBPRGKRZSA-N 0 2 317.311 0.926 20 0 DCADLN CN(C)C(=O)[C@@H]1CCC[N@@H+]1CCCNC(=O)CCc1[nH]cc[nH+]1 ZINC000287375637 415663569 /nfs/dbraw/zinc/66/35/69/415663569.db2.gz LALPHZGPEWKHSG-ZDUSSCGKSA-N 0 2 321.425 0.401 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCC(=O)N2CCCC2)c1 ZINC000108061512 415674898 /nfs/dbraw/zinc/67/48/98/415674898.db2.gz COICPKYHYCDQOK-QFIPXVFZSA-N 0 2 324.402 0.541 20 0 DCADLN Cc1nc(COc2ccc(NC(=O)c3nc(=O)[nH][nH]3)cc2)no1 ZINC000108460077 415678487 /nfs/dbraw/zinc/67/84/87/415678487.db2.gz INRFDPZSZZMLRP-UHFFFAOYSA-N 0 2 316.277 0.621 20 0 DCADLN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)c2cccc3[nH]nnc32)O1 ZINC000119066592 415734499 /nfs/dbraw/zinc/73/44/99/415734499.db2.gz KCVIMRXYJUAJOD-SKDRFNHKSA-N 0 2 317.349 0.324 20 0 DCADLN CC(C)N1CC[C@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)C1=O ZINC000119255685 415740192 /nfs/dbraw/zinc/74/01/92/415740192.db2.gz LRDDZYXQJFPXHA-NSHDSACASA-N 0 2 317.349 0.413 20 0 DCADLN Cn1ccc(CCNC(=O)c2c[nH]c3c(cnn3C)c2=O)n1 ZINC000355129761 415852043 /nfs/dbraw/zinc/85/20/43/415852043.db2.gz GNZDHOBHZZLLIF-UHFFFAOYSA-N 0 2 300.322 0.380 20 0 DCADLN CNC(=O)c1ccc(CNC(=O)N2CC[C@@H]([C@H](C)O)C2)cc1 ZINC000334033919 415788347 /nfs/dbraw/zinc/78/83/47/415788347.db2.gz FSVLNRIBCUILSS-SMDDNHRTSA-N 0 2 305.378 0.959 20 0 DCADLN Cc1ncc(CN2CCN(C(=O)[C@@]3(O)CCOC3)CC2)s1 ZINC000334024750 415788558 /nfs/dbraw/zinc/78/85/58/415788558.db2.gz GJHSGFNDKAIOQV-CQSZACIVSA-N 0 2 311.407 0.247 20 0 DCADLN CCCN1C[C@H](C(=O)N2CCS(=O)(=O)C[C@@H](C)C2)CC1=O ZINC000334025905 415788845 /nfs/dbraw/zinc/78/88/45/415788845.db2.gz HGEQRLBGAOEWBL-NWDGAFQWSA-N 0 2 316.423 0.138 20 0 DCADLN C[C@@H](NC(=O)c1ccoc1)C(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000334028989 415789222 /nfs/dbraw/zinc/78/92/22/415789222.db2.gz TTXLPYHNUVOWJD-KOLCDFICSA-N 0 2 314.363 0.091 20 0 DCADLN COC(=O)C[C@@H]1CSCCN1C(=O)c1c[nH]cc(C)c1=O ZINC000334033144 415789471 /nfs/dbraw/zinc/78/94/71/415789471.db2.gz SLQXBUCFBUXIPW-SNVBAGLBSA-N 0 2 310.375 0.804 20 0 DCADLN CCOC(=O)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000290481033 415809797 /nfs/dbraw/zinc/80/97/97/415809797.db2.gz LXPHLNXKGCQKLI-SSDOTTSWSA-N 0 2 314.235 0.121 20 0 DCADLN CCOC(=O)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000290481033 415809812 /nfs/dbraw/zinc/80/98/12/415809812.db2.gz LXPHLNXKGCQKLI-SSDOTTSWSA-N 0 2 314.235 0.121 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N(Cc1cccs1)C[C@@H]1CCCO1 ZINC000125637294 415867177 /nfs/dbraw/zinc/86/71/77/415867177.db2.gz OLBCCYNFPCKAAO-VIFPVBQESA-N 0 2 308.363 0.981 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCOC[C@@H](O)C1 ZINC000296154447 415909669 /nfs/dbraw/zinc/90/96/69/415909669.db2.gz KSCQDQJUNJWPME-LBPRGKRZSA-N 0 2 303.318 0.411 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2cc(Cl)cc(C(N)=O)c2)n1 ZINC000337135292 415915379 /nfs/dbraw/zinc/91/53/79/415915379.db2.gz MEGXTVUHMMUMBP-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2sccc2C)cn1 ZINC000356734158 415980283 /nfs/dbraw/zinc/98/02/83/415980283.db2.gz CGXKPCICLACTBO-UHFFFAOYSA-N 0 2 314.392 0.800 20 0 DCADLN CCOC(=O)[C@@H]1CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344784642 415987911 /nfs/dbraw/zinc/98/79/11/415987911.db2.gz LEAWRTZMUOFHID-NSHDSACASA-N 0 2 318.333 0.842 20 0 DCADLN CSC[C@H](CCO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000344868637 416000447 /nfs/dbraw/zinc/00/04/47/416000447.db2.gz WRDCFDGUCPJBDJ-NSHDSACASA-N 0 2 323.374 0.874 20 0 DCADLN COCCCCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000299312550 416060598 /nfs/dbraw/zinc/06/05/98/416060598.db2.gz DGCOMBCFBHZVFJ-UHFFFAOYSA-N 0 2 308.385 0.665 20 0 DCADLN CCCCN(CCO)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000298733631 416026489 /nfs/dbraw/zinc/02/64/89/416026489.db2.gz OIRCQYWVLSEEPO-UHFFFAOYSA-N 0 2 306.322 0.671 20 0 DCADLN O=C(c1cccnc1NCc1n[nH]c(=O)[nH]1)N1CCCCC1 ZINC000301795066 416092237 /nfs/dbraw/zinc/09/22/37/416092237.db2.gz SZHASJBXIQANCT-UHFFFAOYSA-N 0 2 302.338 0.731 20 0 DCADLN COCCS(=O)(=O)Nc1nc2cccc(C(=O)OC)c2[nH]1 ZINC000357740269 416125061 /nfs/dbraw/zinc/12/50/61/416125061.db2.gz PKEDMZCAWPCNFD-UHFFFAOYSA-N 0 2 313.335 0.738 20 0 DCADLN CCc1oc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1C(=O)OC ZINC000338833692 416145149 /nfs/dbraw/zinc/14/51/49/416145149.db2.gz BJJRHHFXJYBGSP-UHFFFAOYSA-N 0 2 322.321 0.815 20 0 DCADLN Cn1cnnc1[C@@H]1CCCN1C(=O)Nc1nc2ccccn2n1 ZINC000329603154 416180496 /nfs/dbraw/zinc/18/04/96/416180496.db2.gz YKFSLKCWDCNYLB-JTQLQIEISA-N 0 2 312.337 1.227 20 0 DCADLN O=C(Nc1ccc(O)cc1F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000180859837 416201601 /nfs/dbraw/zinc/20/16/01/416201601.db2.gz OIRWWNXIRIISIZ-UHFFFAOYSA-N 0 2 316.248 0.708 20 0 DCADLN CCOC(=O)C(C)(C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358337826 416225497 /nfs/dbraw/zinc/22/54/97/416225497.db2.gz ZTRYERAODVPBGU-UHFFFAOYSA-N 0 2 306.322 0.745 20 0 DCADLN C[C@H](CC(=O)NCc1n[nH]c(=O)[nH]1)NC(=O)c1ccccc1 ZINC000358367097 416229447 /nfs/dbraw/zinc/22/94/47/416229447.db2.gz JKGPQLOMQLPRPN-SECBINFHSA-N 0 2 303.322 0.335 20 0 DCADLN C[C@@H](CC(=O)NCc1n[nH]c(=O)[nH]1)NC(=O)c1ccccc1 ZINC000358367098 416229982 /nfs/dbraw/zinc/22/99/82/416229982.db2.gz JKGPQLOMQLPRPN-VIFPVBQESA-N 0 2 303.322 0.335 20 0 DCADLN CCOC(=O)[C@H](C)N(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358375186 416230959 /nfs/dbraw/zinc/23/09/59/416230959.db2.gz UNZRESMUGBKMQM-QMMMGPOBSA-N 0 2 306.322 0.698 20 0 DCADLN CC(=O)N(CCC(=O)NCc1n[nH]c(=O)[nH]1)Cc1ccccc1 ZINC000358372414 416231349 /nfs/dbraw/zinc/23/13/49/416231349.db2.gz SMCFYYRNYXFVSO-UHFFFAOYSA-N 0 2 317.349 0.565 20 0 DCADLN C[C@@H]1[C@@H](C)[S@](=O)CCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358373908 416231389 /nfs/dbraw/zinc/23/13/89/416231389.db2.gz SKWVKBFNUQANJD-PGJXFVMDSA-N 0 2 322.390 0.655 20 0 DCADLN Cc1nc(CNS(=O)(=O)c2c(N)noc2C)sc1C ZINC000330894302 416246088 /nfs/dbraw/zinc/24/60/88/416246088.db2.gz RDPRUEJRNVSYCK-UHFFFAOYSA-N 0 2 302.381 1.117 20 0 DCADLN COc1cc(OC)c(C(=O)NCc2n[nH]c(=O)[nH]2)cc1OC ZINC000358945875 416296689 /nfs/dbraw/zinc/29/66/89/416296689.db2.gz GGNUCPILWSCOSJ-UHFFFAOYSA-N 0 2 308.294 0.466 20 0 DCADLN Cc1cc2ncc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c(C)n2n1 ZINC000359525504 416349344 /nfs/dbraw/zinc/34/93/44/416349344.db2.gz SPXDJSCHTGBMIA-UHFFFAOYSA-N 0 2 315.337 0.532 20 0 DCADLN C[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@@H](C)N1CCOCC1 ZINC000195227186 416325366 /nfs/dbraw/zinc/32/53/66/416325366.db2.gz NMXQJBFALCVBJU-RKDXNWHRSA-N 0 2 319.349 0.082 20 0 DCADLN O=S(=O)(NCCCO[C@@H]1CCOC1)NCC(F)(F)F ZINC000195357367 416326116 /nfs/dbraw/zinc/32/61/16/416326116.db2.gz GAKDLLKZZDXRSJ-MRVPVSSYSA-N 0 2 306.306 0.168 20 0 DCADLN CC(=O)C[C@@H]1COCCN1S(=O)(=O)NCC(F)(F)F ZINC000195450181 416326118 /nfs/dbraw/zinc/32/61/18/416326118.db2.gz XYHMETPGODVAQB-MRVPVSSYSA-N 0 2 304.290 0.063 20 0 DCADLN CC(C)OC(=O)[C@H](C)CNS(=O)(=O)NCC(F)(F)F ZINC000195314628 416326220 /nfs/dbraw/zinc/32/62/20/416326220.db2.gz FCKPHJQPHWVNJL-SSDOTTSWSA-N 0 2 306.306 0.560 20 0 DCADLN COCCN(C(=O)c1c[nH]c2c(cnn2C)c1=O)[C@H]1CCOC1 ZINC000428557224 287327561 /nfs/dbraw/zinc/32/75/61/287327561.db2.gz IDHMENLIMSNEIE-JTQLQIEISA-N 0 2 320.349 0.551 20 0 DCADLN COCCOCCS(=O)(=O)Nc1cccc2c1OCO2 ZINC000359843547 416362193 /nfs/dbraw/zinc/36/21/93/416362193.db2.gz CRCUXGFFWPVSAH-UHFFFAOYSA-N 0 2 303.336 0.820 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N(C)CCCC(=O)[O-])[nH+]cn2)C1 ZINC000416423154 416369221 /nfs/dbraw/zinc/36/92/21/416369221.db2.gz SGBKPAKHCUXNHI-VXGBXAGGSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N(C)CCCC(=O)[O-])nc[nH+]2)C1 ZINC000416423154 416369223 /nfs/dbraw/zinc/36/92/23/416369223.db2.gz SGBKPAKHCUXNHI-VXGBXAGGSA-N 0 2 308.382 0.985 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCO[C@H](C2CCC2)C1 ZINC000416568852 416376199 /nfs/dbraw/zinc/37/61/99/416376199.db2.gz HZUKEEDYBJRABO-VIFPVBQESA-N 0 2 302.318 0.884 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000361995086 416541665 /nfs/dbraw/zinc/54/16/65/416541665.db2.gz BOOKEICSCXOUKW-UHFFFAOYSA-N 0 2 321.362 0.943 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)C[C@H](C)OC)c1O ZINC000436520625 416562461 /nfs/dbraw/zinc/56/24/61/416562461.db2.gz HYFWLABGPXATJO-QMMMGPOBSA-N 0 2 303.336 0.955 20 0 DCADLN CO[C@H]1C[C@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1(C)C ZINC000440005626 416624339 /nfs/dbraw/zinc/62/43/39/416624339.db2.gz VEIYTZLCVSQRGQ-UWVGGRQHSA-N 0 2 318.333 0.979 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)Nc1cc(C)cc(C[NH3+])c1 ZINC000424443920 416644977 /nfs/dbraw/zinc/64/49/77/416644977.db2.gz YOTBNFHPTZZBJT-UHFFFAOYSA-N 0 2 301.350 0.740 20 0 DCADLN CNC(=O)c1ccc(C[NH+](C)C[C@H](O)C[N@H+](C)CCOC)cc1 ZINC000515118726 416692748 /nfs/dbraw/zinc/69/27/48/416692748.db2.gz KSLWXYHXJFIEOQ-MRXNPFEDSA-N 0 2 323.437 0.417 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H]2C[N@H+](C)C[C@H]21)[C@@H](C)[NH+]1CCOCC1 ZINC000425664851 416719242 /nfs/dbraw/zinc/71/92/42/416719242.db2.gz QRTIOZKLHPEXIA-LVQVYYBASA-N 0 2 324.469 0.831 20 0 DCADLN CN(C[C@@H]1CCC[N@@H+]1C)C(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000516561081 416726491 /nfs/dbraw/zinc/72/64/91/416726491.db2.gz DVRCGYSZECHRFT-ZDUSSCGKSA-N 0 2 315.377 0.825 20 0 DCADLN CCOCCOC1CN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000443040061 416727724 /nfs/dbraw/zinc/72/77/24/416727724.db2.gz SPNQSQKMZCKDQN-UHFFFAOYSA-N 0 2 306.306 0.120 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1csc(NC2CC2)n1 ZINC000426643778 416778362 /nfs/dbraw/zinc/77/83/62/416778362.db2.gz SEOVVLHADQQXPB-UHFFFAOYSA-N 0 2 308.367 0.904 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nc(C)n(C)n2)cn1 ZINC000427648241 416835165 /nfs/dbraw/zinc/83/51/65/416835165.db2.gz OUULUHCQRFSWJF-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000427733100 416839772 /nfs/dbraw/zinc/83/97/72/416839772.db2.gz DCMITLRNBHZXMU-UHFFFAOYSA-N 0 2 320.393 0.527 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ncc(C(N)=O)s2)cnn1C ZINC000427794811 416846909 /nfs/dbraw/zinc/84/69/09/416846909.db2.gz VZTOSAMHNABSHW-UHFFFAOYSA-N 0 2 301.353 0.085 20 0 DCADLN Cn1cc(C[C@@H](CO)CNc2nc(C(F)(F)F)ns2)cn1 ZINC000641646127 416960775 /nfs/dbraw/zinc/96/07/75/416960775.db2.gz GKDILUNMPNRKJY-MRVPVSSYSA-N 0 2 321.328 0.975 20 0 DCADLN CC(C)C[C@H](CCO)CNC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000519359805 416901110 /nfs/dbraw/zinc/90/11/10/416901110.db2.gz NIQBPBPZLDQJBD-UONOGXRCSA-N 0 2 300.447 0.315 20 0 DCADLN C[C@H](CNc1nc(N)[nH+]c(N)n1)[N@H+]1CCc2sccc2C1 ZINC000623847121 416908458 /nfs/dbraw/zinc/90/84/58/416908458.db2.gz FWYMMIVAPWTTKN-MRVPVSSYSA-N 0 2 305.411 0.039 20 0 DCADLN CO[C@@H]1COC[C@H]1NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000565031565 416909961 /nfs/dbraw/zinc/90/99/61/416909961.db2.gz RGNLTPXWNZMTLN-GHMZBOCLSA-N 0 2 305.290 0.174 20 0 DCADLN CCNC(=O)C(C)(C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616195107 416986274 /nfs/dbraw/zinc/98/62/74/416986274.db2.gz NSVRXEMISXWDNL-UHFFFAOYSA-N 0 2 318.333 0.674 20 0 DCADLN O=S(=O)(CCF)c1n[nH]c(-c2ccc3c(c2)OCCO3)n1 ZINC000447502842 417003107 /nfs/dbraw/zinc/00/31/07/417003107.db2.gz WCLKSWFXMASSGH-UHFFFAOYSA-N 0 2 313.310 0.986 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCN(c2[nH+]cccc2C(=O)[O-])C1 ZINC000642327224 417043327 /nfs/dbraw/zinc/04/33/27/417043327.db2.gz WIJHLHCEGQAXPU-SNVBAGLBSA-N 0 2 313.379 0.545 20 0 DCADLN CN(C(=O)CSc1n[nH]c(=O)[nH]1)[C@H](CO)Cc1ccccc1 ZINC000616932052 417130824 /nfs/dbraw/zinc/13/08/24/417130824.db2.gz MALOJKXNDAJKPT-NSHDSACASA-N 0 2 322.390 0.664 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc(-c2ccccn2)no1 ZINC000431718998 417139075 /nfs/dbraw/zinc/13/90/75/417139075.db2.gz MUFOANMGJAMGPU-UHFFFAOYSA-N 0 2 314.305 0.923 20 0 DCADLN O=C(N=c1ccn(CCO)[nH]1)N=c1ccc(-c2ccccc2)n[nH]1 ZINC000526101751 417181968 /nfs/dbraw/zinc/18/19/68/417181968.db2.gz SPTJAWXJOHZXLO-UHFFFAOYSA-N 0 2 324.344 0.820 20 0 DCADLN CS(=O)(=O)N1CCC[C@H](NC(=O)c2cccc3[nH]nnc32)C1 ZINC000526124036 417185130 /nfs/dbraw/zinc/18/51/30/417185130.db2.gz HKVOUCNITPFCAG-VIFPVBQESA-N 0 2 323.378 0.112 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H](NC(=O)c2cccc3[nH]nnc32)C1 ZINC000526124035 417187143 /nfs/dbraw/zinc/18/71/43/417187143.db2.gz HKVOUCNITPFCAG-SECBINFHSA-N 0 2 323.378 0.112 20 0 DCADLN CCS(=O)(=O)N1CC[NH+](Cc2ccsc2C(=O)[O-])CC1 ZINC000570169541 417321656 /nfs/dbraw/zinc/32/16/56/417321656.db2.gz YZZQCWCCRBXGNI-UHFFFAOYSA-N 0 2 318.420 0.914 20 0 DCADLN CCC[C@H]1CN(C(=O)c2cnc(C[NH3+])nc2)CC[N@@H+]1CCOC ZINC000638379269 417437288 /nfs/dbraw/zinc/43/72/88/417437288.db2.gz YANMNPVIBDLTIR-AWEZNQCLSA-N 0 2 321.425 0.508 20 0 DCADLN CCO[C@H]1C[C@@H]1NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000575980553 417567462 /nfs/dbraw/zinc/56/74/62/417567462.db2.gz MHRYMOYBQKUHBB-CBAPKCEASA-N 0 2 304.306 0.652 20 0 DCADLN C[C@@H]1CCN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C[C@@H]1C(=O)[O-] ZINC000576634117 417623215 /nfs/dbraw/zinc/62/32/15/417623215.db2.gz ZCDZIJJZZVIBJZ-WOPDTQHZSA-N 0 2 306.366 0.950 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)CN1C(=O)c1cc(F)c(O)c(Cl)c1 ZINC000457273865 417701003 /nfs/dbraw/zinc/70/10/03/417701003.db2.gz UTXYKKABGQVUDO-XVKPBYJWSA-N 0 2 317.700 0.933 20 0 DCADLN CC(C)(C)OC(=O)CCCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000577088718 417663592 /nfs/dbraw/zinc/66/35/92/417663592.db2.gz BFLPNWUWLJLFOW-UHFFFAOYSA-N 0 2 316.383 0.841 20 0 DCADLN COCCc1ccc(S(=O)(=O)Nc2cncc(OC)n2)cc1 ZINC000577616412 417678619 /nfs/dbraw/zinc/67/86/19/417678619.db2.gz UZIUYGNCBNGFTK-UHFFFAOYSA-N 0 2 323.374 1.475 20 0 DCADLN C[C@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC000580434312 417702551 /nfs/dbraw/zinc/70/25/51/417702551.db2.gz HCYLBGKSNQYXQB-VHSXEESVSA-N 0 2 304.354 0.754 20 0 DCADLN C[C@H](CN1CCOCC1)N(C)S(=O)(=O)NCC(F)(F)F ZINC000443207207 287414655 /nfs/dbraw/zinc/41/46/55/287414655.db2.gz YPWUYGUHPZXQHW-SECBINFHSA-N 0 2 319.349 0.036 20 0 DCADLN Cc1nc(N(C)C)nc(NC[C@@H](C(=O)[O-])c2ccccc2)[nH+]1 ZINC000581432497 417729601 /nfs/dbraw/zinc/72/96/01/417729601.db2.gz ZQCHJBFIMUIVAI-GFCCVEGCSA-N 0 2 301.350 1.526 20 0 DCADLN Cc1nc(NC[C@@H](C(=O)[O-])c2ccccc2)nc(N(C)C)[nH+]1 ZINC000581432497 417729604 /nfs/dbraw/zinc/72/96/04/417729604.db2.gz ZQCHJBFIMUIVAI-GFCCVEGCSA-N 0 2 301.350 1.526 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ccc(C3CC3)n[nH]2)[C@@H](C)CO1 ZINC000652005444 417830390 /nfs/dbraw/zinc/83/03/90/417830390.db2.gz ZVIINGXKFCPQDW-CMPLNLGQSA-N 0 2 318.377 0.740 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@H+](C)Cc1c[nH]nc1C ZINC000639967595 417771180 /nfs/dbraw/zinc/77/11/80/417771180.db2.gz LHWLEVOSDFQHKZ-UHFFFAOYSA-N 0 2 309.414 0.523 20 0 DCADLN Cc1n[nH]cc1C[N@@H+](C)CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000639966318 417771734 /nfs/dbraw/zinc/77/17/34/417771734.db2.gz AIKWWYHMTDYATA-ZDUSSCGKSA-N 0 2 321.425 0.667 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc([C@@H]2CCC(=O)N2)c1 ZINC000629300241 417774437 /nfs/dbraw/zinc/77/44/37/417774437.db2.gz HCARIEJSKVXYCY-NSHDSACASA-N 0 2 321.362 0.567 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2cnc(C)n2C)nc1 ZINC000629350556 417785946 /nfs/dbraw/zinc/78/59/46/417785946.db2.gz VBVBNSPJZOSKJD-UHFFFAOYSA-N 0 2 309.351 0.284 20 0 DCADLN CC[C@@H](C)[C@H](CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)OC ZINC000651832425 417790732 /nfs/dbraw/zinc/79/07/32/417790732.db2.gz PFJODTFAITWWKV-MNOVXSKESA-N 0 2 311.386 0.397 20 0 DCADLN CC(C)N(C(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@H]1CCOC1 ZINC000651816508 417791263 /nfs/dbraw/zinc/79/12/63/417791263.db2.gz YAKYTVRQSWIJCE-NSHDSACASA-N 0 2 309.370 0.245 20 0 DCADLN Cc1nc(=NC(=O)N=c2ccn(C3CCSCC3)[nH]2)[nH]n1C ZINC000640145772 417792694 /nfs/dbraw/zinc/79/26/94/417792694.db2.gz AJUDHKLYWQZBKA-UHFFFAOYSA-N 0 2 321.410 0.876 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCO[C@H](C3CCC3)C2)[nH]1 ZINC000651850061 417798635 /nfs/dbraw/zinc/79/86/35/417798635.db2.gz UBGRLRZMRXCJAU-LBPRGKRZSA-N 0 2 321.381 0.247 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCO[C@@H](C3CCC3)C2)[nH]1 ZINC000651850060 417799701 /nfs/dbraw/zinc/79/97/01/417799701.db2.gz UBGRLRZMRXCJAU-GFCCVEGCSA-N 0 2 321.381 0.247 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NCC(C)(CO)CO)c2=O ZINC000646468673 417855203 /nfs/dbraw/zinc/85/52/03/417855203.db2.gz MSODIDKLTMJXET-UHFFFAOYSA-N 0 2 305.334 0.365 20 0 DCADLN CC(C)C[C@H](C(=O)N1CC[N@@H+]2C[C@H](O)C[C@H]2C1)n1cc[nH+]c1 ZINC000636140620 417883922 /nfs/dbraw/zinc/88/39/22/417883922.db2.gz PMRVUIQBBKVGDX-RRFJBIMHSA-N 0 2 306.410 0.748 20 0 DCADLN C[C@@](O)(CNc1nc(NCCO)c2ccccc2[nH+]1)C(=O)[O-] ZINC000662878913 417937423 /nfs/dbraw/zinc/93/74/23/417937423.db2.gz AUGGCDWMFGVPNO-CQSZACIVSA-N 0 2 306.322 0.282 20 0 DCADLN CS(=O)(=O)N1CC[C@H](NC(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000652766909 417965982 /nfs/dbraw/zinc/96/59/82/417965982.db2.gz OZEDMNDBONLKKV-QMMMGPOBSA-N 0 2 320.317 0.434 20 0 DCADLN NS(=O)(=O)CCCCNC(=O)c1c(O)cc(F)cc1F ZINC000647229744 418002073 /nfs/dbraw/zinc/00/20/73/418002073.db2.gz CMIIERYXBQOPGT-UHFFFAOYSA-N 0 2 308.306 0.469 20 0 DCADLN CNc1nc(NCC2(C(=O)[O-])CCOCC2)[nH+]c2ccccc21 ZINC000647458197 418012550 /nfs/dbraw/zinc/01/25/50/418012550.db2.gz XMLAXXXVULRJKB-UHFFFAOYSA-N 0 2 316.361 0.809 20 0 DCADLN COC[C@H]1C[C@@H](O)CN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000653039365 418015352 /nfs/dbraw/zinc/01/53/52/418015352.db2.gz DRAXKVOPEMTZEI-VXGBXAGGSA-N 0 2 319.317 0.252 20 0 DCADLN Cc1nc(=NC(=O)NC[C@H](c2ccnn2C)C(C)C)[nH]n1C ZINC000653237314 418046380 /nfs/dbraw/zinc/04/63/80/418046380.db2.gz VFHHHOCRBBVSRR-NSHDSACASA-N 0 2 305.386 0.840 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)[C@@H]2OCC[C@@H]2Cn2cc[nH+]c2)C1 ZINC000663061179 417984802 /nfs/dbraw/zinc/98/48/02/417984802.db2.gz QUIROPRXMUAOSR-FVCCEPFGSA-N 0 2 307.350 0.658 20 0 DCADLN O=C([O-])C1(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)CCC1 ZINC000663104975 417991336 /nfs/dbraw/zinc/99/13/36/417991336.db2.gz PJPMUSJUMXFNLW-UHFFFAOYSA-N 0 2 319.361 0.789 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccc(C(=O)[O-])cn2)[C@H](C)CO1 ZINC000663127676 417994071 /nfs/dbraw/zinc/99/40/71/417994071.db2.gz YRUGRRHANSDIIX-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C(=O)[O-])cn2)[C@H](C)CO1 ZINC000663127676 417994075 /nfs/dbraw/zinc/99/40/75/417994075.db2.gz YRUGRRHANSDIIX-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN O=C([O-])[C@H](C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)C1CCCC1 ZINC000663132527 417994685 /nfs/dbraw/zinc/99/46/85/417994685.db2.gz ZRNWWKWSARGBHC-KGLIPLIRSA-N 0 2 310.394 0.811 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)c1ccc(-n2cc[nH+]c2)nn1)C1CCC1 ZINC000663154063 417997985 /nfs/dbraw/zinc/99/79/85/417997985.db2.gz WYPQNRKUKOGFPJ-NSHDSACASA-N 0 2 315.333 0.893 20 0 DCADLN C[C@@H]1C[NH+](CCCCNc2nc[nH+]c3c2OCCN3)C[C@@H](C)O1 ZINC000647871768 418068240 /nfs/dbraw/zinc/06/82/40/418068240.db2.gz MEJSFQMWYDDYTJ-CHWSQXEVSA-N 0 2 321.425 0.695 20 0 DCADLN CC[C@H](C)C[C@@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000649051040 418187154 /nfs/dbraw/zinc/18/71/54/418187154.db2.gz JTKGFARJEOKBQB-WPRPVWTQSA-N 0 2 320.349 0.963 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H](C)Oc2cccc(C)c2)[nH]n1 ZINC000650814959 418326679 /nfs/dbraw/zinc/32/66/79/418326679.db2.gz DBEALNWLFXGWAP-NSHDSACASA-N 0 2 314.345 0.973 20 0 DCADLN C[C@@H](CNC(=O)NCc1ccc[nH+]c1N1CCOCC1)C(=O)[O-] ZINC000655862007 418293297 /nfs/dbraw/zinc/29/32/97/418293297.db2.gz VMMGXJUASCITHW-NSHDSACASA-N 0 2 322.365 0.438 20 0 DCADLN Cc1nc(=NC(=O)N[C@H]2CCCc3c2ccc(=O)n3C)[nH]n1C ZINC000650214780 418298138 /nfs/dbraw/zinc/29/81/38/418298138.db2.gz HFZBZMHTWMAFOP-NSHDSACASA-N 0 2 316.365 0.443 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])Cc1ccc2c(c1)OC(F)(F)O2 ZINC000655933937 418304997 /nfs/dbraw/zinc/30/49/97/418304997.db2.gz MJNDVMXPXUDGTB-UHFFFAOYSA-N 0 2 316.260 0.641 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])Cc1ccc2c(c1)OC(F)(F)O2 ZINC000655933937 418304999 /nfs/dbraw/zinc/30/49/99/418304999.db2.gz MJNDVMXPXUDGTB-UHFFFAOYSA-N 0 2 316.260 0.641 20 0 DCADLN CC(C)C[N@H+]1CCO[C@H](CNC(=O)CN(C)Cc2c[nH+]c[nH]2)C1 ZINC000661083693 418314265 /nfs/dbraw/zinc/31/42/65/418314265.db2.gz IIKIAZBSYJBOIS-OAHLLOKOSA-N 0 2 323.441 0.315 20 0 DCADLN O=C1CCc2ccc(S(=O)(=O)Nc3cnn(CCO)c3)cc21 ZINC000655561722 418276242 /nfs/dbraw/zinc/27/62/42/418276242.db2.gz NOFQVHDZUZFCEC-UHFFFAOYSA-N 0 2 321.358 0.805 20 0 DCADLN CCCc1nc(C)c(C(=O)N=c2ccc(C(=O)NC)n[nH]2)o1 ZINC000650818387 418326500 /nfs/dbraw/zinc/32/65/00/418326500.db2.gz INXGEAVLDHQDGJ-UHFFFAOYSA-N 0 2 303.322 0.759 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC23CCC(CC2)C3)[nH]1 ZINC000651638015 418399158 /nfs/dbraw/zinc/39/91/58/418399158.db2.gz IGCHILNXJFZMRQ-UHFFFAOYSA-N 0 2 305.382 0.916 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cc(C)n(C)n1)[C@H]1CCOC1 ZINC000656626952 418367014 /nfs/dbraw/zinc/36/70/14/418367014.db2.gz XGNNFENRSFGWIY-WDEREUQCSA-N 0 2 303.384 0.522 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc3c(c2)CCNC3=O)cn1 ZINC000656632987 418368271 /nfs/dbraw/zinc/36/82/71/418368271.db2.gz OSNDZQGGBPJQSE-UHFFFAOYSA-N 0 2 320.374 0.990 20 0 DCADLN COc1ccccc1CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651492917 418384329 /nfs/dbraw/zinc/38/43/29/418384329.db2.gz JZHMBDATKPSUKC-UHFFFAOYSA-N 0 2 317.349 0.544 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCC[C@H](OCC(F)(F)F)C2)C1=O ZINC000662201679 418387644 /nfs/dbraw/zinc/38/76/44/418387644.db2.gz KFSMNSWPOQXOEQ-VHSXEESVSA-N 0 2 324.299 0.715 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCC[C@H](OCC(F)(F)F)C2)C1=O ZINC000662201679 418387645 /nfs/dbraw/zinc/38/76/45/418387645.db2.gz KFSMNSWPOQXOEQ-VHSXEESVSA-N 0 2 324.299 0.715 20 0 DCADLN Cc1nc(COC(C)(C)C)oc1C(=O)N=c1cc(C(N)=O)[nH][nH]1 ZINC000651534691 418387879 /nfs/dbraw/zinc/38/78/79/418387879.db2.gz UXSNNRPHGDYJQX-UHFFFAOYSA-N 0 2 321.337 0.794 20 0 DCADLN COc1ccc2c(c1)CC[N@H+]([C@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC000662221545 418390156 /nfs/dbraw/zinc/39/01/56/418390156.db2.gz ARUDXVRYMKDJPG-AWEZNQCLSA-N 0 2 304.346 0.739 20 0 DCADLN COc1ccc2c(c1)CC[N@@H+]([C@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC000662221545 418390158 /nfs/dbraw/zinc/39/01/58/418390158.db2.gz ARUDXVRYMKDJPG-AWEZNQCLSA-N 0 2 304.346 0.739 20 0 DCADLN CC(C)[C@H]1CN(C)CCN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651583050 418393938 /nfs/dbraw/zinc/39/39/38/418393938.db2.gz UPOCQOGTFAFIHC-GFCCVEGCSA-N 0 2 322.413 0.018 20 0 DCADLN COC1CCC(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651610335 418397104 /nfs/dbraw/zinc/39/71/04/418397104.db2.gz CPIOHSOHUFACOI-UHFFFAOYSA-N 0 2 323.397 0.541 20 0 DCADLN COCCOc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC000354345515 261206560 /nfs/dbraw/zinc/20/65/60/261206560.db2.gz CGOOTTVGITZNGS-UHFFFAOYSA-N 0 2 308.298 0.252 20 0 DCADLN O=c1cc(C[N@H+]2CCC[C@@](O)(C(F)(F)F)C2)nc2cc[n-]n21 ZINC000354420306 261217520 /nfs/dbraw/zinc/21/75/20/261217520.db2.gz QJIMDSJKYWPSNN-LBPRGKRZSA-N 0 2 316.283 0.912 20 0 DCADLN O=c1cc(C[N@@H+]2CCC[C@@](O)(C(F)(F)F)C2)nc2cc[n-]n21 ZINC000354420306 261217521 /nfs/dbraw/zinc/21/75/21/261217521.db2.gz QJIMDSJKYWPSNN-LBPRGKRZSA-N 0 2 316.283 0.912 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cccc(-n2ccnn2)c1 ZINC000355003933 261295395 /nfs/dbraw/zinc/29/53/95/261295395.db2.gz CFYWKJDTPWETOT-UHFFFAOYSA-N 0 2 317.334 0.822 20 0 DCADLN CSC[C@@](C)(O)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355611748 261353639 /nfs/dbraw/zinc/35/36/39/261353639.db2.gz UBQDRGFYAVZTBN-ZDUSSCGKSA-N 0 2 310.379 0.518 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355697050 261357067 /nfs/dbraw/zinc/35/70/67/261357067.db2.gz KBJXJUBMUAWSAG-LLVKDONJSA-N 0 2 320.349 0.991 20 0 DCADLN Cc1cc2cc(NC(=O)C(=O)NCc3n[nH]c(=O)[nH]3)ccc2[nH]1 ZINC000355981125 261381157 /nfs/dbraw/zinc/38/11/57/261381157.db2.gz BZDRTEBPGQZHQV-UHFFFAOYSA-N 0 2 314.305 0.555 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N(CCCO)Cc1ccccn1 ZINC000357673106 261569935 /nfs/dbraw/zinc/56/99/35/261569935.db2.gz UAIWMFYEPDLMHL-UHFFFAOYSA-N 0 2 323.378 0.409 20 0 DCADLN CCCc1c(C(=O)NCc2n[nH]c(=O)[nH]2)[nH]c(C)c1C(=O)OC ZINC000358357807 261661220 /nfs/dbraw/zinc/66/12/20/261661220.db2.gz OWHVHZYVCRBVNE-UHFFFAOYSA-N 0 2 321.337 0.816 20 0 DCADLN CCC[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)OC ZINC000358362987 261662243 /nfs/dbraw/zinc/66/22/43/261662243.db2.gz XEDXQUBEISAAKG-SNVBAGLBSA-N 0 2 306.322 0.745 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NC[C@@H]([NH3+])c1ccccc1 ZINC000417534918 262254529 /nfs/dbraw/zinc/25/45/29/262254529.db2.gz ZYRUIMBUJFBSRX-UKRRQHHQSA-N 0 2 306.410 0.706 20 0 DCADLN O=C(Cc1ccc2c[nH]nc2c1)NCCCc1n[nH]c(=O)[nH]1 ZINC000354331052 270978196 /nfs/dbraw/zinc/97/81/96/270978196.db2.gz KPYKYSDHOIBAJA-UHFFFAOYSA-N 0 2 300.322 0.678 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358325003 271049967 /nfs/dbraw/zinc/04/99/67/271049967.db2.gz PQMSBVKSRVRLJM-VIFPVBQESA-N 0 2 300.322 0.689 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)c1cnnn1C)c1cccs1 ZINC000359957733 271151899 /nfs/dbraw/zinc/15/18/99/271151899.db2.gz JIZVNIYHWUELGM-SECBINFHSA-N 0 2 316.364 0.069 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@@H]2COCC[C@@H]21 ZINC000443047176 271694535 /nfs/dbraw/zinc/69/45/35/271694535.db2.gz LECCASBYROLQFO-BDAKNGLRSA-N 0 2 302.318 0.884 20 0 DCADLN CCn1nccc1/C=C\C(=O)NS(=O)(=O)c1cccnc1 ZINC000492189118 272116923 /nfs/dbraw/zinc/11/69/23/272116923.db2.gz HBDBHMOSWDHXHY-WAYWQWQTSA-N 0 2 306.347 0.816 20 0 DCADLN Cc1cccnc1/C=C\C(=O)NS(=O)(=O)c1ccnn1C ZINC000493079966 272167844 /nfs/dbraw/zinc/16/78/44/272167844.db2.gz DSIHAMWLQRKZJG-WAYWQWQTSA-N 0 2 306.347 0.642 20 0 DCADLN CNC(=O)c1ccc(/C=C/C(=O)N2CC[N@H+](C)C[C@H]2C[NH3+])cc1 ZINC000493185559 272174117 /nfs/dbraw/zinc/17/41/17/272174117.db2.gz JADFTIGJTZYVQD-SBJJXXPASA-N 0 2 316.405 0.161 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)/C=C/c2ccnn2C)cn1 ZINC000493607878 272199431 /nfs/dbraw/zinc/19/94/31/272199431.db2.gz BFQXDDZVJFHVRM-HWKANZROSA-N 0 2 322.346 0.342 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)C[C@@H](C)OC ZINC000493714023 272205555 /nfs/dbraw/zinc/20/55/55/272205555.db2.gz HYAWMEYPTVZHKB-WWQCOOJYSA-N 0 2 301.368 0.397 20 0 DCADLN CC(C)(CNC(=O)N[C@H](CCF)C(=O)[O-])[NH+]1CCOCC1 ZINC001650022673 1173383962 /nfs/dbraw/zinc/38/39/62/1173383962.db2.gz JDLZNWITMRAPCM-SNVBAGLBSA-N 0 2 305.350 0.209 20 0 DCADLN O=C(NC[C@H]1CS(=O)(=O)CCO1)c1cc(F)c(O)c(F)c1 ZINC000545843597 288002741 /nfs/dbraw/zinc/00/27/41/288002741.db2.gz NRZBIIYCICDABT-QMMMGPOBSA-N 0 2 321.301 0.214 20 0 DCADLN O=S(=O)(CC(F)(F)F)NCc1nnc2n1CCCCC2 ZINC000547790022 288079124 /nfs/dbraw/zinc/07/91/24/288079124.db2.gz JHIGBSMNFXYRTG-UHFFFAOYSA-N 0 2 312.317 0.986 20 0 DCADLN O=S(=O)(CC(F)(F)F)NC[C@H](O)COc1ccccc1 ZINC000548119665 288113610 /nfs/dbraw/zinc/11/36/10/288113610.db2.gz ATOJYZZSDATAOK-VIFPVBQESA-N 0 2 313.297 0.908 20 0 DCADLN Cc1[nH]ncc1C1CCN(C(=O)C(=O)Nc2cnns2)CC1 ZINC000551444174 288228700 /nfs/dbraw/zinc/22/87/00/288228700.db2.gz MFCASVQXHCETOI-UHFFFAOYSA-N 0 2 320.378 0.914 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1CCNC(=O)C1 ZINC000555536775 288353756 /nfs/dbraw/zinc/35/37/56/288353756.db2.gz YXCWAXUTJXIZJN-SNVBAGLBSA-N 0 2 315.333 0.950 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])O3)CC2)cc[nH+]1 ZINC000564328505 288737108 /nfs/dbraw/zinc/73/71/08/288737108.db2.gz FNUSCNANYRDENW-NWDGAFQWSA-N 0 2 320.349 0.066 20 0 DCADLN C[C@@H]1Oc2ccc(NS(=O)(=O)c3cn[nH]c3)cc2NC1=O ZINC000040443284 297654222 /nfs/dbraw/zinc/65/42/22/297654222.db2.gz MVIVSVZVGYBJOS-ZETCQYMHSA-N 0 2 308.319 0.930 20 0 DCADLN C[C@H]1COCC[C@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000278661619 300356945 /nfs/dbraw/zinc/35/69/45/300356945.db2.gz SZMJMYWKITVVRT-OIBJUYFYSA-N 0 2 304.306 0.591 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCC[C@H]2O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000270253166 301146635 /nfs/dbraw/zinc/14/66/35/301146635.db2.gz JANNIGLYROTDQA-WCBMZHEXSA-N 0 2 318.333 0.778 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCC(F)(F)Br ZINC000286852021 303638799 /nfs/dbraw/zinc/63/87/99/303638799.db2.gz YARUKKNPFUUPFC-UHFFFAOYSA-N 0 2 317.115 0.294 20 0 DCADLN O=C([O-])[C@H]1CC[C@@H](C(=O)NCc2[nH+]ccn2CC(F)(F)F)O1 ZINC000582486687 337097639 /nfs/dbraw/zinc/09/76/39/337097639.db2.gz CCOUTQFDSNAXDV-JGVFFNPUSA-N 0 2 321.255 0.694 20 0 DCADLN COC(=O)c1ccc(O)c(NS(=O)(=O)C[C@@H](C)OC)c1 ZINC000414397833 534232157 /nfs/dbraw/zinc/23/21/57/534232157.db2.gz SCCHXNWYEMCCIU-MRVPVSSYSA-N 0 2 303.336 0.955 20 0 DCADLN CN(CCCNC(=O)[C@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001737108907 1187246161 /nfs/dbraw/zinc/24/61/61/1187246161.db2.gz MCUVNBYHOGBQIO-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCCNC(=O)[C@H]1CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001737108907 1187246166 /nfs/dbraw/zinc/24/61/66/1187246166.db2.gz MCUVNBYHOGBQIO-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN CC(=O)N1CC(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000191045186 519494874 /nfs/dbraw/zinc/49/48/74/519494874.db2.gz SQROYDBCMOCUKO-UHFFFAOYSA-N 0 2 302.290 0.447 20 0 DCADLN CC(=O)NC[C@H]1CN(C(=O)c2cc(F)c(O)c(F)c2)CCO1 ZINC000186817899 519674138 /nfs/dbraw/zinc/67/41/38/519674138.db2.gz FXYZIACQWQSYME-JTQLQIEISA-N 0 2 314.288 0.648 20 0 DCADLN CN(C(=O)C1=NN(c2ccccc2)CC1=O)[C@@H]1CCN(C)C1=O ZINC000266427578 523965205 /nfs/dbraw/zinc/96/52/05/523965205.db2.gz CFZFELOJJPXVIE-GFCCVEGCSA-N 0 2 314.345 0.881 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)c1cnns1 ZINC000114411841 527115504 /nfs/dbraw/zinc/11/55/04/527115504.db2.gz VMNGHTPBJPBUHC-UHFFFAOYSA-N 0 2 304.335 0.421 20 0 DCADLN COCCOC[C@@H]1CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000443016676 536537032 /nfs/dbraw/zinc/53/70/32/536537032.db2.gz XDSBMCIOKZCGBA-SECBINFHSA-N 0 2 320.333 0.368 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC2CN(C(C)=O)C2)c1 ZINC000424682715 536918730 /nfs/dbraw/zinc/91/87/30/536918730.db2.gz HMVPHQUNBUZATC-NRFANRHFSA-N 0 2 310.375 0.150 20 0 DCADLN O=C(C[N@@H+]1CCc2ccccc2[C@H]1C(=O)[O-])NC1CCOCC1 ZINC000320508309 546033410 /nfs/dbraw/zinc/03/34/10/546033410.db2.gz ZZJBMMSNCNCVFI-INIZCTEOSA-N 0 2 318.373 0.966 20 0 DCADLN O=C(C[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-])NC1CCOCC1 ZINC000320508309 546033414 /nfs/dbraw/zinc/03/34/14/546033414.db2.gz ZZJBMMSNCNCVFI-INIZCTEOSA-N 0 2 318.373 0.966 20 0 DCADLN CC(C)(C)C(=O)N1CSC[C@@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000333345485 546077762 /nfs/dbraw/zinc/07/77/62/546077762.db2.gz QFVGIXSCVWGJLB-SSDOTTSWSA-N 0 2 313.383 0.074 20 0 DCADLN Cc1cc(Cn2nnc(C(=O)N=c3ccc(=O)[nH][nH]3)c2C)no1 ZINC000343723001 546136138 /nfs/dbraw/zinc/13/61/38/546136138.db2.gz XMNSMGZDDNQHCE-UHFFFAOYSA-N 0 2 315.293 0.101 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCc1cccc(OC)c1 ZINC000387014598 546217568 /nfs/dbraw/zinc/21/75/68/546217568.db2.gz BPIJOVDWLHLUOD-UHFFFAOYSA-N 0 2 310.350 0.344 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCc1cccc(OC)c1 ZINC000387014598 546217570 /nfs/dbraw/zinc/21/75/70/546217570.db2.gz BPIJOVDWLHLUOD-UHFFFAOYSA-N 0 2 310.350 0.344 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H]1CCCC(F)(F)[C@@H]1O ZINC000416622624 546243809 /nfs/dbraw/zinc/24/38/09/546243809.db2.gz KEZJECKCAWXSFR-NTSWFWBYSA-N 0 2 312.260 0.521 20 0 DCADLN C[N@H+]1CCN(C(=O)NC[C@@H]2COc3ccccc32)[C@H](C[NH3+])C1 ZINC000669587915 546994541 /nfs/dbraw/zinc/99/45/41/546994541.db2.gz ZBMWGOLKGRYKIA-CHWSQXEVSA-N 0 2 304.394 0.447 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(c3nccnc3C)CC2)c1O ZINC000669621015 546998995 /nfs/dbraw/zinc/99/89/95/546998995.db2.gz HSUGVWVDMQDERA-UHFFFAOYSA-N 0 2 302.338 0.485 20 0 DCADLN CCC[C@@H](NC(N)=O)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000670410724 547081436 /nfs/dbraw/zinc/08/14/36/547081436.db2.gz KPRSUZHGQPLMGK-DTWKUNHWSA-N 0 2 310.358 0.053 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCCCN1C(=O)c1ccco1 ZINC000673928381 547542452 /nfs/dbraw/zinc/54/24/52/547542452.db2.gz JINRQZBMDZJICI-VIFPVBQESA-N 0 2 319.321 0.414 20 0 DCADLN CCC[C@H](NC(=O)CCSc1n[nH]c(C)n1)c1nn[nH]n1 ZINC000675144647 547665448 /nfs/dbraw/zinc/66/54/48/547665448.db2.gz SBTRIBQIDFEEJP-QMMMGPOBSA-N 0 2 310.387 0.766 20 0 DCADLN CCC[C@H](NC(=O)CCSc1nnc(C)[nH]1)c1nn[nH]n1 ZINC000675144647 547665451 /nfs/dbraw/zinc/66/54/51/547665451.db2.gz SBTRIBQIDFEEJP-QMMMGPOBSA-N 0 2 310.387 0.766 20 0 DCADLN Cc1sc(C(N)=O)cc1NS(=O)(=O)c1cn(C)cn1 ZINC000676077446 547732424 /nfs/dbraw/zinc/73/24/24/547732424.db2.gz RDPNSZTZJJSZDH-UHFFFAOYSA-N 0 2 300.365 0.690 20 0 DCADLN C[C@@H](C(=O)N1CCc2ccccc21)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676232082 547747921 /nfs/dbraw/zinc/74/79/21/547747921.db2.gz RKYIITOPRKYMSR-SMDDNHRTSA-N 0 2 304.346 0.750 20 0 DCADLN C[C@@H](C(=O)N1CCc2ccccc21)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676232082 547747922 /nfs/dbraw/zinc/74/79/22/547747922.db2.gz RKYIITOPRKYMSR-SMDDNHRTSA-N 0 2 304.346 0.750 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(Cc3ccncc3)CC2)c1O ZINC000676630063 547796922 /nfs/dbraw/zinc/79/69/22/547796922.db2.gz RMKOLSDYPYMYBP-UHFFFAOYSA-N 0 2 301.350 0.777 20 0 DCADLN Cc1[nH+]c2ccccc2n1CC(=O)N[C@@]1(C(=O)[O-])CCOC1 ZINC000678987519 548020674 /nfs/dbraw/zinc/02/06/74/548020674.db2.gz CWDKJQPNFABBFF-HNNXBMFYSA-N 0 2 303.318 0.705 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cncc(Cl)c2)[nH]n1 ZINC000679204351 548033284 /nfs/dbraw/zinc/03/32/84/548033284.db2.gz FQWXRUMRUXIQBO-UHFFFAOYSA-N 0 2 301.715 0.358 20 0 DCADLN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000679409337 548054517 /nfs/dbraw/zinc/05/45/17/548054517.db2.gz ZFWDAFNVBARPFR-TVQRCGJNSA-N 0 2 302.334 0.548 20 0 DCADLN Cc1ccc2c(c1)N(C(=O)C(=O)NCc1n[nH]c(=O)[nH]1)CC2 ZINC000679512258 548063831 /nfs/dbraw/zinc/06/38/31/548063831.db2.gz SSEBKNZCLRQUKS-UHFFFAOYSA-N 0 2 301.306 0.024 20 0 DCADLN CN(CCCNC(=O)c1cc(F)c(O)c(F)c1)S(C)(=O)=O ZINC000680814805 548171007 /nfs/dbraw/zinc/17/10/07/548171007.db2.gz REKZLGCXWJWPSP-UHFFFAOYSA-N 0 2 322.333 0.682 20 0 DCADLN COc1cc(S(=O)(=O)Nc2cnn(CCO)c2)ccc1F ZINC000682611900 548409860 /nfs/dbraw/zinc/40/98/60/548409860.db2.gz IFTRUHLQJPKXMO-UHFFFAOYSA-N 0 2 315.326 0.824 20 0 DCADLN CN(C)C(=O)c1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000682832905 548430138 /nfs/dbraw/zinc/43/01/38/548430138.db2.gz ORZCZLBNTQUVAU-UHFFFAOYSA-N 0 2 309.351 0.318 20 0 DCADLN CC(C)(C(N)=O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000683500956 548493232 /nfs/dbraw/zinc/49/32/32/548493232.db2.gz LSHCULYYLRILSK-UHFFFAOYSA-N 0 2 317.349 0.511 20 0 DCADLN Cc1cc(-n2c(C)cn(C[N@@H+]3CC[C@H](C(=O)[O-])C3)c2=O)no1 ZINC000818783741 596899823 /nfs/dbraw/zinc/89/98/23/596899823.db2.gz AVBZXFPIAHTQDV-NSHDSACASA-N 0 2 306.322 0.608 20 0 DCADLN Cc1cc(-n2c(C)cn(C[N@H+]3CC[C@H](C(=O)[O-])C3)c2=O)no1 ZINC000818783741 596899825 /nfs/dbraw/zinc/89/98/25/596899825.db2.gz AVBZXFPIAHTQDV-NSHDSACASA-N 0 2 306.322 0.608 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@H]1COc2ccccc2O1)[N@H+](C)CC(=O)[O-] ZINC000819883048 598041905 /nfs/dbraw/zinc/04/19/05/598041905.db2.gz LHQVEOGPMPGXIJ-RYUDHWBXSA-N 0 2 322.361 0.690 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@H]1COc2ccccc2O1)[N@@H+](C)CC(=O)[O-] ZINC000819883048 598041909 /nfs/dbraw/zinc/04/19/09/598041909.db2.gz LHQVEOGPMPGXIJ-RYUDHWBXSA-N 0 2 322.361 0.690 20 0 DCADLN Cn1cc(C[N@@H+]2CCO[C@H](C(=O)[O-])C2)c(-c2ccncc2)n1 ZINC000821287128 598125165 /nfs/dbraw/zinc/12/51/65/598125165.db2.gz FMCNXNYFAXRVPM-ZDUSSCGKSA-N 0 2 302.334 0.768 20 0 DCADLN Cn1cc(C[N@H+]2CCO[C@H](C(=O)[O-])C2)c(-c2ccncc2)n1 ZINC000821287128 598125167 /nfs/dbraw/zinc/12/51/67/598125167.db2.gz FMCNXNYFAXRVPM-ZDUSSCGKSA-N 0 2 302.334 0.768 20 0 DCADLN CCC(CC)Sc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000736349371 598244203 /nfs/dbraw/zinc/24/42/03/598244203.db2.gz GBNCYMIYAMALGD-UHFFFAOYSA-N 0 2 310.383 0.545 20 0 DCADLN CC(C)[C@H](CNc1nccnc1-c1nn[nH]n1)N1CCOCC1 ZINC000735712385 598340674 /nfs/dbraw/zinc/34/06/74/598340674.db2.gz TYQZXGQIGRUCAJ-NSHDSACASA-N 0 2 318.385 0.425 20 0 DCADLN COC(=O)CC[N@@H+](Cc1ccccc1)Cn1cc(C(=O)[O-])nn1 ZINC000737599191 599777130 /nfs/dbraw/zinc/77/71/30/599777130.db2.gz QYTWRXNNSAXEIS-UHFFFAOYSA-N 0 2 318.333 0.999 20 0 DCADLN COC(=O)CC[N@H+](Cc1ccccc1)Cn1cc(C(=O)[O-])nn1 ZINC000737599191 599777132 /nfs/dbraw/zinc/77/71/32/599777132.db2.gz QYTWRXNNSAXEIS-UHFFFAOYSA-N 0 2 318.333 0.999 20 0 DCADLN CC(C)C(=O)NC[C@H]1CCC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736347258 599782662 /nfs/dbraw/zinc/78/26/62/599782662.db2.gz QISVGDQFNVEVSH-LLVKDONJSA-N 0 2 309.370 0.418 20 0 DCADLN CC(C)C(=O)NC[C@H]1CCC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736347258 599782664 /nfs/dbraw/zinc/78/26/64/599782664.db2.gz QISVGDQFNVEVSH-LLVKDONJSA-N 0 2 309.370 0.418 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000316723943 599803400 /nfs/dbraw/zinc/80/34/00/599803400.db2.gz MJKDHTFVABBCSI-ZDUSSCGKSA-N 0 2 307.350 0.515 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000316723943 599803403 /nfs/dbraw/zinc/80/34/03/599803403.db2.gz MJKDHTFVABBCSI-ZDUSSCGKSA-N 0 2 307.350 0.515 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(C(=O)[O-])c(C)c2)CC1 ZINC000737116049 600045889 /nfs/dbraw/zinc/04/58/89/600045889.db2.gz SFFSDSALBGIJQS-UHFFFAOYSA-N 0 2 319.361 0.796 20 0 DCADLN C[C@@]([NH2+]CC(N)=O)(C(=O)[O-])c1cccc(Br)c1 ZINC000041760183 600077712 /nfs/dbraw/zinc/07/77/12/600077712.db2.gz JIFYTESSMREKDH-NSHDSACASA-N 0 2 301.140 0.824 20 0 DCADLN COc1ccccc1CN(C)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000738132831 600140655 /nfs/dbraw/zinc/14/06/55/600140655.db2.gz DSTHWYMBQOBFIG-OLZOCXBDSA-N 0 2 322.361 0.173 20 0 DCADLN COc1ccccc1CN(C)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000738132831 600140657 /nfs/dbraw/zinc/14/06/57/600140657.db2.gz DSTHWYMBQOBFIG-OLZOCXBDSA-N 0 2 322.361 0.173 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1Cc1cc2ccccc2[nH]c1=O ZINC000739636679 600286837 /nfs/dbraw/zinc/28/68/37/600286837.db2.gz KWKOYOWVDNHRSV-CYBMUJFWSA-N 0 2 315.329 0.716 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1Cc1cc2ccccc2[nH]c1=O ZINC000739636679 600286838 /nfs/dbraw/zinc/28/68/38/600286838.db2.gz KWKOYOWVDNHRSV-CYBMUJFWSA-N 0 2 315.329 0.716 20 0 DCADLN COC(=O)c1cc(NC(=O)[C@H]2C[N@H+](C)CCO2)cc(C(=O)[O-])c1 ZINC000737661094 601125515 /nfs/dbraw/zinc/12/55/15/601125515.db2.gz QCIYDYJGZCAFAO-GFCCVEGCSA-N 0 2 322.317 0.441 20 0 DCADLN COC(=O)c1cc(NC(=O)[C@H]2C[N@@H+](C)CCO2)cc(C(=O)[O-])c1 ZINC000737661094 601125516 /nfs/dbraw/zinc/12/55/16/601125516.db2.gz QCIYDYJGZCAFAO-GFCCVEGCSA-N 0 2 322.317 0.441 20 0 DCADLN C/C(=C/C[N@@H+]1CCc2ncnc(N3CCOCC3)c2C1)C(=O)[O-] ZINC000825745594 601161232 /nfs/dbraw/zinc/16/12/32/601161232.db2.gz AKSGIJYDWBTZFG-OIXVIMQBSA-N 0 2 318.377 0.702 20 0 DCADLN C/C(=C/C[N@H+]1CCc2ncnc(N3CCOCC3)c2C1)C(=O)[O-] ZINC000825745594 601161233 /nfs/dbraw/zinc/16/12/33/601161233.db2.gz AKSGIJYDWBTZFG-OIXVIMQBSA-N 0 2 318.377 0.702 20 0 DCADLN O=C([O-])c1cn(CC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)nn1 ZINC000833339877 601294744 /nfs/dbraw/zinc/29/47/44/601294744.db2.gz LQADYXZZFJVNTI-UHFFFAOYSA-N 0 2 304.310 0.106 20 0 DCADLN O=C([O-])c1cn(CC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)nn1 ZINC000833339877 601294745 /nfs/dbraw/zinc/29/47/45/601294745.db2.gz LQADYXZZFJVNTI-UHFFFAOYSA-N 0 2 304.310 0.106 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NC[C@H]1Cc2ccccc2O1)C1CC1 ZINC000833247620 601580307 /nfs/dbraw/zinc/58/03/07/601580307.db2.gz PHQGXMIBWWMSII-CYBMUJFWSA-N 0 2 304.346 0.655 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NC[C@H]1Cc2ccccc2O1)C1CC1 ZINC000833247620 601580308 /nfs/dbraw/zinc/58/03/08/601580308.db2.gz PHQGXMIBWWMSII-CYBMUJFWSA-N 0 2 304.346 0.655 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)C[C@H]1C(=O)[O-] ZINC000828363017 601668078 /nfs/dbraw/zinc/66/80/78/601668078.db2.gz TVZYXRJBOFLAON-VXGBXAGGSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C[C@H]1C(=O)[O-] ZINC000828363017 601668081 /nfs/dbraw/zinc/66/80/81/601668081.db2.gz TVZYXRJBOFLAON-VXGBXAGGSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)C[C@H]1C(=O)[O-] ZINC000828363015 601668246 /nfs/dbraw/zinc/66/82/46/601668246.db2.gz TVZYXRJBOFLAON-NWDGAFQWSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C[C@H]1C(=O)[O-] ZINC000828363015 601668247 /nfs/dbraw/zinc/66/82/47/601668247.db2.gz TVZYXRJBOFLAON-NWDGAFQWSA-N 0 2 313.398 0.459 20 0 DCADLN O=C([O-])CCCS(=O)(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000833228610 601800955 /nfs/dbraw/zinc/80/09/55/601800955.db2.gz SDSLWFHQXKZTDS-UHFFFAOYSA-N 0 2 301.368 0.784 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CC[C@@](C)(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000827646530 602067308 /nfs/dbraw/zinc/06/73/08/602067308.db2.gz RSDYNULQMMPKIH-YWPYICTPSA-N 0 2 313.398 0.602 20 0 DCADLN COc1cc(OC)cc([C@@H]([NH2+][C@@H](C(N)=O)C(C)C)C(=O)[O-])c1 ZINC000832051245 602171335 /nfs/dbraw/zinc/17/13/35/602171335.db2.gz VJBUWCUGGMLKMN-CHWSQXEVSA-N 0 2 310.350 0.929 20 0 DCADLN CS(=O)(=O)c1cc(NC(=O)Cn2cc[nH+]c2)cc(C(=O)[O-])c1 ZINC000832708970 602252631 /nfs/dbraw/zinc/25/26/31/602252631.db2.gz CYISKWANMKTDNP-UHFFFAOYSA-N 0 2 323.330 0.624 20 0 DCADLN CC(C)(CC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)NC(=O)[O-] ZINC000823881448 603036636 /nfs/dbraw/zinc/03/66/36/603036636.db2.gz GQAYKIKAYPJOBR-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)CCN1C(=O)[O-] ZINC000826075637 603192155 /nfs/dbraw/zinc/19/21/55/603192155.db2.gz ZUKFCTJAGHJQPK-NEPJUHHUSA-N 0 2 321.381 0.626 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)CCN1C(=O)[O-] ZINC000826075637 603192157 /nfs/dbraw/zinc/19/21/57/603192157.db2.gz ZUKFCTJAGHJQPK-NEPJUHHUSA-N 0 2 321.381 0.626 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[N@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000830855183 603492883 /nfs/dbraw/zinc/49/28/83/603492883.db2.gz GTCPADOXEBIQSM-LLVKDONJSA-N 0 2 309.370 0.660 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[N@@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000830855183 603492887 /nfs/dbraw/zinc/49/28/87/603492887.db2.gz GTCPADOXEBIQSM-LLVKDONJSA-N 0 2 309.370 0.660 20 0 DCADLN COc1cccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000829537367 603512124 /nfs/dbraw/zinc/51/21/24/603512124.db2.gz LCQNYZDZOVBNCX-LBPRGKRZSA-N 0 2 307.350 0.719 20 0 DCADLN COc1cccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000829537367 603512129 /nfs/dbraw/zinc/51/21/29/603512129.db2.gz LCQNYZDZOVBNCX-LBPRGKRZSA-N 0 2 307.350 0.719 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCCc2ccccn2)[C@@H](CNC(=O)[O-])C1 ZINC000828494487 603515516 /nfs/dbraw/zinc/51/55/16/603515516.db2.gz JYXVTUNRDITAAB-AWEZNQCLSA-N 0 2 320.393 0.815 20 0 DCADLN C[N@H+]1CCN(C(=O)CCCc2ccccn2)[C@@H](CNC(=O)[O-])C1 ZINC000828494487 603515517 /nfs/dbraw/zinc/51/55/17/603515517.db2.gz JYXVTUNRDITAAB-AWEZNQCLSA-N 0 2 320.393 0.815 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@@H+]3CCCN(C(=O)[O-])CC3)C2=O)n1 ZINC000831018741 603565066 /nfs/dbraw/zinc/56/50/66/603565066.db2.gz PDTZBYSKKGPAEO-GFCCVEGCSA-N 0 2 321.381 0.601 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@H+]3CCCN(C(=O)[O-])CC3)C2=O)n1 ZINC000831018741 603565070 /nfs/dbraw/zinc/56/50/70/603565070.db2.gz PDTZBYSKKGPAEO-GFCCVEGCSA-N 0 2 321.381 0.601 20 0 DCADLN CC(C)(CNC(=O)[C@H]1CCCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000823906525 603598918 /nfs/dbraw/zinc/59/89/18/603598918.db2.gz FXTOLHKUMCGBNM-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1CCCN(C(=O)NCCn2cc[nH+]c2)C1 ZINC000825229025 604038284 /nfs/dbraw/zinc/03/82/84/604038284.db2.gz OSZUCCUNHJLWAH-VXGBXAGGSA-N 0 2 309.370 0.961 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)NCCC(F)(F)F)CC1 ZINC000831689013 604078233 /nfs/dbraw/zinc/07/82/33/604078233.db2.gz JCCHTCBLWDEJRR-UHFFFAOYSA-N 0 2 312.292 0.534 20 0 DCADLN C[C@H](NC(=O)NCC(C)(C)NC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000825160744 604116927 /nfs/dbraw/zinc/11/69/27/604116927.db2.gz DOCZHJBESJOLOR-QWRGUYRKSA-N 0 2 316.402 0.441 20 0 DCADLN C[C@@]1(CNC(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)CCCO1 ZINC000825749215 604172493 /nfs/dbraw/zinc/17/24/93/604172493.db2.gz LMQYYXKKSSCKMH-AWEZNQCLSA-N 0 2 314.386 0.150 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC000832049328 604280444 /nfs/dbraw/zinc/28/04/44/604280444.db2.gz DUAHYQMYMQSNLD-LBPRGKRZSA-N 0 2 308.338 0.495 20 0 DCADLN O=C([O-])N1CCC(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC1 ZINC000831863887 604296925 /nfs/dbraw/zinc/29/69/25/604296925.db2.gz NOLLXNWZUOIRQN-CYBMUJFWSA-N 0 2 311.382 0.310 20 0 DCADLN Cn1c(CO)nn(C[N@@H+]2CCCC[C@H]2CC(=O)[O-])c1=S ZINC000833039843 604399475 /nfs/dbraw/zinc/39/94/75/604399475.db2.gz NHDKBFXDTDXWRD-VIFPVBQESA-N 0 2 300.384 0.730 20 0 DCADLN Cn1c(CO)nn(C[N@H+]2CCCC[C@H]2CC(=O)[O-])c1=S ZINC000833039843 604399476 /nfs/dbraw/zinc/39/94/76/604399476.db2.gz NHDKBFXDTDXWRD-VIFPVBQESA-N 0 2 300.384 0.730 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])nn1C ZINC000824453005 604400491 /nfs/dbraw/zinc/40/04/91/604400491.db2.gz DNMCVVLASLRACP-LLVKDONJSA-N 0 2 323.397 0.567 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])nn1C ZINC000824453005 604400493 /nfs/dbraw/zinc/40/04/93/604400493.db2.gz DNMCVVLASLRACP-LLVKDONJSA-N 0 2 323.397 0.567 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])O1 ZINC000825872521 604405757 /nfs/dbraw/zinc/40/57/57/604405757.db2.gz PCAFJRMYNCHTIT-FRRDWIJNSA-N 0 2 313.398 0.744 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])O1 ZINC000825872521 604405758 /nfs/dbraw/zinc/40/57/58/604405758.db2.gz PCAFJRMYNCHTIT-FRRDWIJNSA-N 0 2 313.398 0.744 20 0 DCADLN C[C@@H](Oc1cccnc1)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000825616522 604408422 /nfs/dbraw/zinc/40/84/22/604408422.db2.gz FOMXENIPQHCHOH-NEPJUHHUSA-N 0 2 322.365 0.259 20 0 DCADLN C[C@@H](Oc1cccnc1)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000825616522 604408423 /nfs/dbraw/zinc/40/84/23/604408423.db2.gz FOMXENIPQHCHOH-NEPJUHHUSA-N 0 2 322.365 0.259 20 0 DCADLN CN(C)c1ccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000828513879 604409612 /nfs/dbraw/zinc/40/96/12/604409612.db2.gz RGSKCSLDLBEQBZ-GFCCVEGCSA-N 0 2 321.381 0.171 20 0 DCADLN CN(C)c1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000828513879 604409613 /nfs/dbraw/zinc/40/96/13/604409613.db2.gz RGSKCSLDLBEQBZ-GFCCVEGCSA-N 0 2 321.381 0.171 20 0 DCADLN C[N@@H+]1CCN(C(=O)CC[C@@H]2CCCCO2)[C@@H](CNC(=O)[O-])C1 ZINC000828493919 604411769 /nfs/dbraw/zinc/41/17/69/604411769.db2.gz FNBXDLVGHBQTAM-STQMWFEESA-N 0 2 313.398 0.746 20 0 DCADLN C[N@H+]1CCN(C(=O)CC[C@@H]2CCCCO2)[C@@H](CNC(=O)[O-])C1 ZINC000828493919 604411771 /nfs/dbraw/zinc/41/17/71/604411771.db2.gz FNBXDLVGHBQTAM-STQMWFEESA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC[C@H]1CC(=O)[O-] ZINC000828077912 604522656 /nfs/dbraw/zinc/52/26/56/604522656.db2.gz CTNXSFXYCXADHF-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N1CCC[C@H]1CC(=O)[O-] ZINC000828077912 604522658 /nfs/dbraw/zinc/52/26/58/604522658.db2.gz CTNXSFXYCXADHF-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@H](CS(=O)(=O)N1CC[C@@H](c2[nH+]ccn2C)C1)C(=O)[O-] ZINC000833519086 604567736 /nfs/dbraw/zinc/56/77/36/604567736.db2.gz MGANOLVBZDMGFT-NXEZZACHSA-N 0 2 301.368 0.260 20 0 DCADLN C[C@@H](NC(=O)N1CC[C@@H](NC(=O)[O-])C1)[C@@H](C)[NH+]1CCOCC1 ZINC000825149049 604600696 /nfs/dbraw/zinc/60/06/96/604600696.db2.gz CULYEBURTIYKHH-IJLUTSLNSA-N 0 2 314.386 0.147 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@H+](C[C@@H](O)COc2ccccc2)CCO1 ZINC000825350166 604616089 /nfs/dbraw/zinc/61/60/89/604616089.db2.gz HFHQJZZIIICMPG-GUTXKFCHSA-N 0 2 324.377 0.783 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@@H+](C[C@@H](O)COc2ccccc2)CCO1 ZINC000825350166 604616091 /nfs/dbraw/zinc/61/60/91/604616091.db2.gz HFHQJZZIIICMPG-GUTXKFCHSA-N 0 2 324.377 0.783 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(C(=O)[O-])nc2)CC[N@H+]1C ZINC000833590062 604801659 /nfs/dbraw/zinc/80/16/59/604801659.db2.gz YQMVYLOBZBFFTC-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(C(=O)[O-])nc2)CC[N@@H+]1C ZINC000833590062 604801662 /nfs/dbraw/zinc/80/16/62/604801662.db2.gz YQMVYLOBZBFFTC-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1COc2ccccc21 ZINC000315797172 604899846 /nfs/dbraw/zinc/89/98/46/604899846.db2.gz PCNQJDUPDSWWDX-RYUDHWBXSA-N 0 2 301.302 0.698 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1COc2ccccc21 ZINC000315797172 604899850 /nfs/dbraw/zinc/89/98/50/604899850.db2.gz PCNQJDUPDSWWDX-RYUDHWBXSA-N 0 2 301.302 0.698 20 0 DCADLN O=C([O-])N1CCN(C(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC000832025429 604903262 /nfs/dbraw/zinc/90/32/62/604903262.db2.gz ZLQKJROLKANDRI-UHFFFAOYSA-N 0 2 303.322 0.840 20 0 DCADLN C[C@H](C(N)=O)[N@H+](C)[C@@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000833408765 604972578 /nfs/dbraw/zinc/97/25/78/604972578.db2.gz UKIIEGMBNSYIFO-ZJUUUORDSA-N 0 2 307.350 0.195 20 0 DCADLN C[C@H](C(N)=O)[N@@H+](C)[C@@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000833408765 604972580 /nfs/dbraw/zinc/97/25/80/604972580.db2.gz UKIIEGMBNSYIFO-ZJUUUORDSA-N 0 2 307.350 0.195 20 0 DCADLN O=C([O-])c1c(N2CCCOCC2)nc[nH+]c1N1CCCOCC1 ZINC000833295097 605014180 /nfs/dbraw/zinc/01/41/80/605014180.db2.gz ZPJPSHMPFJQPSA-UHFFFAOYSA-N 0 2 322.365 0.628 20 0 DCADLN O=C([O-])NC1CC[NH+](CC(=O)Nc2cc(C3CC3)[nH]n2)CC1 ZINC000832386631 605157861 /nfs/dbraw/zinc/15/78/61/605157861.db2.gz IWNZYIUYSOQDBM-UHFFFAOYSA-N 0 2 307.354 0.958 20 0 DCADLN CCC[C@](C)(O)C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000826730287 605286614 /nfs/dbraw/zinc/28/66/14/605286614.db2.gz YHUYYFHOOGXFHE-AWEZNQCLSA-N 0 2 301.387 0.292 20 0 DCADLN CC(C)(C)[C@@H]1NC(=O)N(CC[NH+]2CCN(C(=O)[O-])CC2)C1=O ZINC000833788671 605342913 /nfs/dbraw/zinc/34/29/13/605342913.db2.gz CPOJOYPRSFOERU-SNVBAGLBSA-N 0 2 312.370 0.249 20 0 DCADLN O=C([O-])N1CC2(C1)CC(=O)N(C[N@@H+]1CCOCC3(CC3)C1)C2 ZINC000834072038 605944687 /nfs/dbraw/zinc/94/46/87/605944687.db2.gz VSQPBPXTEYDLNM-UHFFFAOYSA-N 0 2 309.366 0.269 20 0 DCADLN O=C([O-])N1CC2(C1)CC(=O)N(C[N@H+]1CCOCC3(CC3)C1)C2 ZINC000834072038 605944690 /nfs/dbraw/zinc/94/46/90/605944690.db2.gz VSQPBPXTEYDLNM-UHFFFAOYSA-N 0 2 309.366 0.269 20 0 DCADLN O=C([O-])N[C@H](CC(F)F)C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000834128155 606059223 /nfs/dbraw/zinc/05/92/23/606059223.db2.gz JEYQVMXMMPISHS-NXEZZACHSA-N 0 2 321.324 0.211 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@@H]2CCN(C(=O)[O-])C2)C1 ZINC000833953869 606076507 /nfs/dbraw/zinc/07/65/07/606076507.db2.gz DHOFCPZUIYCHFF-GHMZBOCLSA-N 0 2 307.354 0.671 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)s1 ZINC000822515976 606469481 /nfs/dbraw/zinc/46/94/81/606469481.db2.gz RXCPCSXJMCJIRS-UHFFFAOYSA-N 0 2 323.363 0.827 20 0 DCADLN Cc1noc(CCCn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)n1 ZINC000822527934 606867294 /nfs/dbraw/zinc/86/72/94/606867294.db2.gz LDFVXZNHWWOGJA-UHFFFAOYSA-N 0 2 316.325 0.364 20 0 DCADLN CCCC[C@H](CC)Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC000825261096 608096262 /nfs/dbraw/zinc/09/62/62/608096262.db2.gz UAJACXZDXLVSNR-JTQLQIEISA-N 0 2 306.370 0.944 20 0 DCADLN Cn1nc2c(cc1=O)CN(Cc1ccc(-c3nn[nH]n3)o1)CC2 ZINC000826365842 608736754 /nfs/dbraw/zinc/73/67/54/608736754.db2.gz GJNDYZAYSZJDFI-UHFFFAOYSA-N 0 2 313.321 0.112 20 0 DCADLN CCCS(=O)(=O)Nc1nn(C(C)(C)C)cc1-c1nn[nH]n1 ZINC000825341404 609160882 /nfs/dbraw/zinc/16/08/82/609160882.db2.gz CHBCMFITTWFILM-UHFFFAOYSA-N 0 2 313.387 0.970 20 0 DCADLN CN(C(=O)c1cc[nH]n1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646819 665406125 /nfs/dbraw/zinc/40/61/25/665406125.db2.gz LZVXFZZGYKIZNP-CBAPKCEASA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1ccn[nH]1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646819 665406127 /nfs/dbraw/zinc/40/61/27/665406127.db2.gz LZVXFZZGYKIZNP-CBAPKCEASA-N 0 2 322.262 0.983 20 0 DCADLN Cc1noc(C)c1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027747718 660688359 /nfs/dbraw/zinc/68/83/59/660688359.db2.gz SURFFLDLHRJCIZ-JTQLQIEISA-N 0 2 320.353 0.510 20 0 DCADLN C[C@H]1CC[C@H](CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000950023483 660787257 /nfs/dbraw/zinc/78/72/57/660787257.db2.gz SNHOUSTXHUCOFU-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN O=C([C@@H]1CCCCO1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980983968 661058297 /nfs/dbraw/zinc/05/82/97/661058297.db2.gz CTBOWPDSSXWUJT-NSHDSACASA-N 0 2 309.370 0.114 20 0 DCADLN O=C(Cc1ccoc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981029215 661064871 /nfs/dbraw/zinc/06/48/71/661064871.db2.gz YXYVTBCDVSYGLF-UHFFFAOYSA-N 0 2 305.338 0.380 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cc(F)c[nH]1 ZINC001028036694 661091804 /nfs/dbraw/zinc/09/18/04/661091804.db2.gz WGTJXIJPVIBBMD-VIFPVBQESA-N 0 2 308.317 0.372 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cc(F)c[nH]1 ZINC001028036694 661091806 /nfs/dbraw/zinc/09/18/06/661091806.db2.gz WGTJXIJPVIBBMD-VIFPVBQESA-N 0 2 308.317 0.372 20 0 DCADLN O=C(c1ccsn1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981423632 661150745 /nfs/dbraw/zinc/15/07/45/661150745.db2.gz NEWBDODPXNRNPQ-UHFFFAOYSA-N 0 2 308.367 0.315 20 0 DCADLN CO[C@@H]1CC[C@@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000981500137 661161179 /nfs/dbraw/zinc/16/11/79/661161179.db2.gz SWKNQBFFVPPYJZ-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1c(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001028122409 661214661 /nfs/dbraw/zinc/21/46/61/661214661.db2.gz WCVATYQTHNYHIX-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1c(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001028122409 661214664 /nfs/dbraw/zinc/21/46/64/661214664.db2.gz WCVATYQTHNYHIX-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN C[C@@H]1CC[C@@H](CC(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000981876641 661239909 /nfs/dbraw/zinc/23/99/09/661239909.db2.gz WAAUXHLXAPKMCP-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN C[C@@H]1CN(c2c3ccccc3[nH+]nc2C(=O)[O-])C[C@@H](CO)O1 ZINC000320007522 661257705 /nfs/dbraw/zinc/25/77/05/661257705.db2.gz QBWNZLSFFDBVQT-ZJUUUORDSA-N 0 2 303.318 0.914 20 0 DCADLN Cc1c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)ccn1C ZINC001032613636 665422645 /nfs/dbraw/zinc/42/26/45/665422645.db2.gz LZSYJBDWGGIJQZ-QWRGUYRKSA-N 0 2 316.365 0.256 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H]([NH2+]Cc2cnn(C)c2)C1 ZINC000970579227 657652557 /nfs/dbraw/zinc/65/25/57/657652557.db2.gz GDWQNUFHHNXSIR-CYBMUJFWSA-N 0 2 302.382 0.385 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969291889 657664633 /nfs/dbraw/zinc/66/46/33/657664633.db2.gz NZYDWPXZLVQFQV-WDEREUQCSA-N 0 2 305.382 0.803 20 0 DCADLN Cc1ncsc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969335449 657725604 /nfs/dbraw/zinc/72/56/04/657725604.db2.gz AILXQVGQHPDPPC-SSDOTTSWSA-N 0 2 322.394 0.526 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969360976 657752233 /nfs/dbraw/zinc/75/22/33/657752233.db2.gz FNXJBHYOWMFPHF-ZETCQYMHSA-N 0 2 306.326 0.057 20 0 DCADLN CCc1cnccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031797567 665512496 /nfs/dbraw/zinc/51/24/96/665512496.db2.gz UDSAHHFBPIIWMM-UHFFFAOYSA-N 0 2 316.365 0.330 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969631757 658041878 /nfs/dbraw/zinc/04/18/78/658041878.db2.gz JKQHHPIHWYMSEE-HTRCEHHLSA-N 0 2 301.297 0.102 20 0 DCADLN CC[C@H](CO)Nc1cc(NC[C@H]2C[N@H+]3CCC[C@@H]3CO2)nc[nH+]1 ZINC000892144863 658222922 /nfs/dbraw/zinc/22/29/22/658222922.db2.gz PKLXMNZLSUDUCU-MCIONIFRSA-N 0 2 321.425 0.935 20 0 DCADLN O=C(C(F)F)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039495069 658235066 /nfs/dbraw/zinc/23/50/66/658235066.db2.gz QJQDLICRAVGSRP-OCAPTIKFSA-N 0 2 301.297 0.341 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)[C@@H]1CCCO1 ZINC000972783470 658412867 /nfs/dbraw/zinc/41/28/67/658412867.db2.gz QADOOWXUTIKNJF-UWVGGRQHSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccnc2)c1[O-] ZINC001038174199 658424148 /nfs/dbraw/zinc/42/41/48/658424148.db2.gz XRBSZKZNZVMEGL-LBPRGKRZSA-N 0 2 301.350 0.823 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccnc2)c1[O-] ZINC001038174199 658424152 /nfs/dbraw/zinc/42/41/52/658424152.db2.gz XRBSZKZNZVMEGL-LBPRGKRZSA-N 0 2 301.350 0.823 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)N2CC[C@@H]([N@@H+](C)Cc3cnnn3C)C2)C1 ZINC000972335925 658582524 /nfs/dbraw/zinc/58/25/24/658582524.db2.gz SHINNFJBOVBEEB-UONOGXRCSA-N 0 2 320.441 0.190 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N3CC[C@@H]3CO)cc2[nH]c1=S ZINC000889130757 658642762 /nfs/dbraw/zinc/64/27/62/658642762.db2.gz UHXXUWWQLHAILM-SNVBAGLBSA-N 0 2 319.386 0.912 20 0 DCADLN O=C(c1ccnnc1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240602 658901238 /nfs/dbraw/zinc/90/12/38/658901238.db2.gz ZESSPXAMYVVRKK-SECBINFHSA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1ccnnc1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011240602 658901241 /nfs/dbraw/zinc/90/12/41/658901241.db2.gz ZESSPXAMYVVRKK-SECBINFHSA-N 0 2 320.246 0.661 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC1(F)F ZINC001007589861 659284671 /nfs/dbraw/zinc/28/46/71/659284671.db2.gz JFHAJHUNJHHTHR-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN Cn1cncc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000995027812 665680139 /nfs/dbraw/zinc/68/01/39/665680139.db2.gz YRCQYLWMWLKGOP-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cncc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000995027812 665680140 /nfs/dbraw/zinc/68/01/40/665680140.db2.gz YRCQYLWMWLKGOP-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1[nH+]ccn1C(F)F ZINC000866882079 659733246 /nfs/dbraw/zinc/73/32/46/659733246.db2.gz VTGINCOWTHYXPT-SFHVURJKSA-N 0 2 316.355 0.730 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1nccs1 ZINC001028223020 661315476 /nfs/dbraw/zinc/31/54/76/661315476.db2.gz XNQJIHHMTDEXDM-QMMMGPOBSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2CCCN(C(=O)C[N@@H+]3CC[C@H](C)C3)C2)o1 ZINC001000191889 665770075 /nfs/dbraw/zinc/77/00/75/665770075.db2.gz KVZYHDJYDFYSEN-JSGCOSHPSA-N 0 2 321.425 0.800 20 0 DCADLN Cc1nnc(NNC(=O)[C@H](C)Oc2cccc(F)c2)[nH]c1=O ZINC000047432084 661625999 /nfs/dbraw/zinc/62/59/99/661625999.db2.gz AXGAREYMVOHHKI-QMMMGPOBSA-N 0 2 307.285 0.935 20 0 DCADLN Cc1cnc(C[N@H+]2CC[C@@H](CNC(=O)c3nc[nH]n3)C2)cn1 ZINC001028878679 661864866 /nfs/dbraw/zinc/86/48/66/661864866.db2.gz UERCJLWFMRZMKK-NSHDSACASA-N 0 2 301.354 0.155 20 0 DCADLN CC[NH+]1CCCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001029003250 661929818 /nfs/dbraw/zinc/92/98/18/661929818.db2.gz JRKOSGATMFSWJL-ZFWWWQNUSA-N 0 2 321.425 0.882 20 0 DCADLN CCc1nc(CN[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)no1 ZINC001000321477 665819206 /nfs/dbraw/zinc/81/92/06/665819206.db2.gz SIQBBLXDNKITKS-LLVKDONJSA-N 0 2 318.381 0.678 20 0 DCADLN CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(=O)n2[n-]ccc2n1 ZINC001029179688 662030698 /nfs/dbraw/zinc/03/06/98/662030698.db2.gz BJQDPGVILMSTAL-BETUJISGSA-N 0 2 301.350 0.218 20 0 DCADLN CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(=O)n2[n-]ccc2n1 ZINC001029179688 662030700 /nfs/dbraw/zinc/03/07/00/662030700.db2.gz BJQDPGVILMSTAL-BETUJISGSA-N 0 2 301.350 0.218 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001000331963 665824882 /nfs/dbraw/zinc/82/48/82/665824882.db2.gz PUMPCQXSRVXQMC-DOLQZWNJSA-N 0 2 324.274 0.781 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001000331963 665824884 /nfs/dbraw/zinc/82/48/84/665824884.db2.gz PUMPCQXSRVXQMC-DOLQZWNJSA-N 0 2 324.274 0.781 20 0 DCADLN O=C(C1CC2(CC2)C1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029468878 662248442 /nfs/dbraw/zinc/24/84/42/662248442.db2.gz RWGJCINWIRMTDT-TXEJJXNPSA-N 0 2 317.393 0.876 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)[C@H]3CCn4c[nH+]cc4C3)C[C@H]1COCC2 ZINC000909562246 662366577 /nfs/dbraw/zinc/36/65/77/662366577.db2.gz IIAXBNAALFXHSG-MQIPJXDCSA-N 0 2 319.361 0.395 20 0 DCADLN Cc1cnoc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029540118 662366616 /nfs/dbraw/zinc/36/66/16/662366616.db2.gz WHMGTDAIOMYEKM-AOOOYVTPSA-N 0 2 318.337 0.296 20 0 DCADLN Cc1conc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029543680 662368466 /nfs/dbraw/zinc/36/84/66/662368466.db2.gz GMAFIFRSHKWENC-AOOOYVTPSA-N 0 2 318.337 0.296 20 0 DCADLN Cc1n[nH]c(NC(=O)C2CCN(C(=O)c3cccnc3)CC2)n1 ZINC000728703022 662412854 /nfs/dbraw/zinc/41/28/54/662412854.db2.gz DTCNCQJROKQRJM-UHFFFAOYSA-N 0 2 314.349 0.999 20 0 DCADLN Cn1ccc(C[NH+]2CC=C(CNC(=O)[C@@H]3CCC[N@@H+]3C)CC2)n1 ZINC001000522361 665869028 /nfs/dbraw/zinc/86/90/28/665869028.db2.gz QFFQWVINKRDKIW-INIZCTEOSA-N 0 2 317.437 0.763 20 0 DCADLN C[C@@H]1[C@H]([NH2+]Cc2nccs2)CCN1C(=O)CCc1nc[nH]n1 ZINC000986114472 662556739 /nfs/dbraw/zinc/55/67/39/662556739.db2.gz JRVPMIZCSQHLSD-GHMZBOCLSA-N 0 2 320.422 0.973 20 0 DCADLN Cc1nonc1C[NH2+][C@@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])[C@@H]1C ZINC000986157359 662562654 /nfs/dbraw/zinc/56/26/54/662562654.db2.gz IBGBFRWLRYHUAP-NXEZZACHSA-N 0 2 320.353 0.508 20 0 DCADLN C[C@@H]1[C@@H]([NH2+]Cc2cncn2C)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000987070018 662641213 /nfs/dbraw/zinc/64/12/13/662641213.db2.gz RNUHTZWNOWYNPA-RISCZKNCSA-N 0 2 302.382 0.465 20 0 DCADLN CCC[C@@H](Nc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000263553608 662715802 /nfs/dbraw/zinc/71/58/02/662715802.db2.gz MSPMWDWNDBZQBA-SNVBAGLBSA-N 0 2 307.354 0.420 20 0 DCADLN CCC[C@@H](Nc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000263553608 662715803 /nfs/dbraw/zinc/71/58/03/662715803.db2.gz MSPMWDWNDBZQBA-SNVBAGLBSA-N 0 2 307.354 0.420 20 0 DCADLN CC(C)Nc1nc(N(C)C[C@@H](C)C(=O)[O-])nc(NCCO)[nH+]1 ZINC000263552215 662716788 /nfs/dbraw/zinc/71/67/88/662716788.db2.gz LSIYUJDWUFTRFE-SECBINFHSA-N 0 2 312.374 0.253 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937765751 662820152 /nfs/dbraw/zinc/82/01/52/662820152.db2.gz IQEWCEVIWPEGAD-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000937765751 662820154 /nfs/dbraw/zinc/82/01/54/662820154.db2.gz IQEWCEVIWPEGAD-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937924907 662842089 /nfs/dbraw/zinc/84/20/89/662842089.db2.gz VESBOYBLLMFLCE-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000937924907 662842090 /nfs/dbraw/zinc/84/20/90/662842090.db2.gz VESBOYBLLMFLCE-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc(C[NH2+][C@H]2C[C@@H](C)N(C(=O)CCc3c[nH]nn3)C2)co1 ZINC000989461147 662946497 /nfs/dbraw/zinc/94/64/97/662946497.db2.gz JEKPYQQCGQEARP-MFKMUULPSA-N 0 2 318.381 0.813 20 0 DCADLN Cc1cnn(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000990392369 663041819 /nfs/dbraw/zinc/04/18/19/663041819.db2.gz PARRUIBHIMRAQY-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN Cc1cnn(CC(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC000990392369 663041820 /nfs/dbraw/zinc/04/18/20/663041820.db2.gz PARRUIBHIMRAQY-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1c(O)cc(F)cc1F ZINC000899450376 663145377 /nfs/dbraw/zinc/14/53/77/663145377.db2.gz GKRCYYNYLGQVPI-MUWHJKNJSA-N 0 2 305.302 0.833 20 0 DCADLN CSCc1nnc(CNC(=O)[C@H](C)C[NH+]2CCOCC2)[nH]1 ZINC000899473715 663146792 /nfs/dbraw/zinc/14/67/92/663146792.db2.gz RDAXEEYJTOBORH-SNVBAGLBSA-N 0 2 313.427 0.252 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC001000835789 665942173 /nfs/dbraw/zinc/94/21/73/665942173.db2.gz XOUMLTNPKXVCFX-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC001000835789 665942174 /nfs/dbraw/zinc/94/21/74/665942174.db2.gz XOUMLTNPKXVCFX-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000901428329 663344644 /nfs/dbraw/zinc/34/46/44/663344644.db2.gz JYDNQSXYRCIEGD-SECBINFHSA-N 0 2 323.271 0.936 20 0 DCADLN CN(C)CC(N)=NOCc1cccc(S(=O)(=O)N(C)C)c1 ZINC000902613777 663422175 /nfs/dbraw/zinc/42/21/75/663422175.db2.gz SUZHWSUCMLKUSO-UHFFFAOYSA-N 0 2 314.411 0.497 20 0 DCADLN Cn1c(=O)c2ccccc2c(=O)n1Cc1cn(CC2CC2)nn1 ZINC000902612111 663422321 /nfs/dbraw/zinc/42/23/21/663422321.db2.gz OKRDRPDIWGOAGH-UHFFFAOYSA-N 0 2 311.345 0.750 20 0 DCADLN CS(=O)(=O)c1ccc(C[NH2+][C@]2(C(=O)[O-])CCOC2)s1 ZINC000904009806 663500570 /nfs/dbraw/zinc/50/05/70/663500570.db2.gz KFMLQLPQZSJWRZ-LLVKDONJSA-N 0 2 305.377 0.485 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC000810484494 670487510 /nfs/dbraw/zinc/48/75/10/670487510.db2.gz ZZLDEPBRHDOLJZ-BQBZGAKWSA-N 0 2 306.281 0.427 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1C(=O)NCCc1n[nH]c(=S)o1 ZINC000907460562 663698849 /nfs/dbraw/zinc/69/88/49/663698849.db2.gz DWXVSEGLSZGNQH-DTWKUNHWSA-N 0 2 313.379 0.996 20 0 DCADLN CC[C@H](C)c1nc([C@H](C)NC(=O)[C@@]2(C)C[C@@H](O)C[N@H+]2C)n[nH]1 ZINC000907723207 663714383 /nfs/dbraw/zinc/71/43/83/663714383.db2.gz XFJIUSUQWLVNMC-KIGUWFBYSA-N 0 2 309.414 0.951 20 0 DCADLN CC(C)(C)OC(=O)NC(=C(O)Nn1ccnn1)C(F)(F)F ZINC000908831061 663771687 /nfs/dbraw/zinc/77/16/87/663771687.db2.gz WTOJQWBTRILQPK-ZCFIWIBFSA-N 0 2 309.248 0.804 20 0 DCADLN O=C([O-])[C@H](NC(=O)NCCc1cn2c([nH+]1)CCCC2)C1CC1 ZINC000908846443 663771939 /nfs/dbraw/zinc/77/19/39/663771939.db2.gz NUTUBUFOGPGVMR-CYBMUJFWSA-N 0 2 306.366 0.924 20 0 DCADLN O=C([O-])[C@@H](NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)C1CC1 ZINC000909082713 663780574 /nfs/dbraw/zinc/78/05/74/663780574.db2.gz PGHAOICRQCJSHX-AAEUAGOBSA-N 0 2 304.350 0.823 20 0 DCADLN CC(C)C[C@H](C(=O)N1CCC(O)(C(=O)[O-])CC1)n1cc[nH+]c1 ZINC000909282097 663791171 /nfs/dbraw/zinc/79/11/71/663791171.db2.gz FUJJHGKQWJGRKU-GFCCVEGCSA-N 0 2 309.366 0.908 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC000909711276 663796040 /nfs/dbraw/zinc/79/60/40/663796040.db2.gz LRIALVZXTJGIJM-BLLLJJGKSA-N 0 2 321.377 0.785 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)[C@H](C(=O)[O-])C1 ZINC000909980521 663828193 /nfs/dbraw/zinc/82/81/93/663828193.db2.gz AENFOSBCCMUBBM-UTUOFQBUSA-N 0 2 307.350 0.536 20 0 DCADLN CC1(O)CCN(c2cc(N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)nc[nH+]2)CC1 ZINC000910279458 663864169 /nfs/dbraw/zinc/86/41/69/663864169.db2.gz WMLOJWRDFNUYGE-YABSGUDNSA-N 0 2 318.377 0.595 20 0 DCADLN CC1(O)CCN(c2cc(N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)[nH+]cn2)CC1 ZINC000910279458 663864172 /nfs/dbraw/zinc/86/41/72/663864172.db2.gz WMLOJWRDFNUYGE-YABSGUDNSA-N 0 2 318.377 0.595 20 0 DCADLN CC1(O)CCN(c2cc(NC[C@@H]3C[C@@H]3C(=O)[O-])[nH+]cn2)CC1 ZINC000910470709 663889142 /nfs/dbraw/zinc/88/91/42/663889142.db2.gz SGCIBGSMXPZIKH-QWRGUYRKSA-N 0 2 306.366 0.960 20 0 DCADLN CC1(O)CCN(c2cc(NC[C@@H]3C[C@@H]3C(=O)[O-])nc[nH+]2)CC1 ZINC000910470709 663889143 /nfs/dbraw/zinc/88/91/43/663889143.db2.gz SGCIBGSMXPZIKH-QWRGUYRKSA-N 0 2 306.366 0.960 20 0 DCADLN CN(c1cc(N2CCC[C@H](CO)C2)nc[nH+]1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000910474969 663889684 /nfs/dbraw/zinc/88/96/84/663889684.db2.gz GWQRDQAPLSIAAW-RWMBFGLXSA-N 0 2 320.393 0.985 20 0 DCADLN CN(c1cc(N2CCC[C@H](CO)C2)[nH+]cn1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000910474969 663889686 /nfs/dbraw/zinc/88/96/86/663889686.db2.gz GWQRDQAPLSIAAW-RWMBFGLXSA-N 0 2 320.393 0.985 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](Nc1cc(N3CCOCC3)nc[nH+]1)C2 ZINC000910481779 663891361 /nfs/dbraw/zinc/89/13/61/663891361.db2.gz COKGEBOHKCCZFA-ZETOZRRWSA-N 0 2 304.350 0.978 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](Nc1cc(N3CCOCC3)[nH+]cn1)C2 ZINC000910481779 663891363 /nfs/dbraw/zinc/89/13/63/663891363.db2.gz COKGEBOHKCCZFA-ZETOZRRWSA-N 0 2 304.350 0.978 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCC[C@H](O)[C@H]3C(=O)[O-])[nH+]c12 ZINC000911636859 664089932 /nfs/dbraw/zinc/08/99/32/664089932.db2.gz OCDCEZRSPXRLKS-JSGCOSHPSA-N 0 2 317.345 0.622 20 0 DCADLN CC[C@H](C(=O)[O-])C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000911743130 664105114 /nfs/dbraw/zinc/10/51/14/664105114.db2.gz QXXANUWTEIESRX-ZDUSSCGKSA-N 0 2 319.365 0.756 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C[C@@H](C)[NH2+]1 ZINC000911797554 664110573 /nfs/dbraw/zinc/11/05/73/664110573.db2.gz SCDQKLFMYSLUNX-TUVASFSCSA-N 0 2 311.426 0.075 20 0 DCADLN C[NH+]1CCC(N(CCO)C(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC000911947979 664125011 /nfs/dbraw/zinc/12/50/11/664125011.db2.gz VTXSNNPENICETM-UHFFFAOYSA-N 0 2 320.437 0.677 20 0 DCADLN Cc1nnc(CN2CC[C@]3(NC(=O)c4cnn[nH]4)CCC[C@@H]23)[nH]1 ZINC000991570630 664423253 /nfs/dbraw/zinc/42/32/53/664423253.db2.gz RPBQZNSLOHUNQI-BXUZGUMPSA-N 0 2 316.369 0.158 20 0 DCADLN CC1=C(C)C[C@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001030363967 664521121 /nfs/dbraw/zinc/52/11/21/664521121.db2.gz KNODEZWEJFZSRR-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@H](c1ccccc1)[NH+]1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001030615223 664604880 /nfs/dbraw/zinc/60/48/80/664604880.db2.gz AYXQSCLFKCFKPB-SECBINFHSA-N 0 2 315.333 0.458 20 0 DCADLN CCn1c(C)cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001030628728 664611244 /nfs/dbraw/zinc/61/12/44/664611244.db2.gz DJHAQCLWOAAUOT-UHFFFAOYSA-N 0 2 318.381 0.563 20 0 DCADLN O=C(CCc1nn[n-]n1)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1 ZINC000913687418 664713056 /nfs/dbraw/zinc/71/30/56/664713056.db2.gz JDGWVHFFIRDJJJ-KBPBESRZSA-N 0 2 312.377 0.618 20 0 DCADLN O=C(CCc1nn[n-]n1)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1 ZINC000913687418 664713057 /nfs/dbraw/zinc/71/30/57/664713057.db2.gz JDGWVHFFIRDJJJ-KBPBESRZSA-N 0 2 312.377 0.618 20 0 DCADLN CCCn1cc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)cn1 ZINC000174745082 664779423 /nfs/dbraw/zinc/77/94/23/664779423.db2.gz CNXSSQYPTHGBNP-UHFFFAOYSA-N 0 2 311.367 0.531 20 0 DCADLN CCCCOCCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730512668 664783416 /nfs/dbraw/zinc/78/34/16/664783416.db2.gz OWIPGEICEOVEIY-UHFFFAOYSA-N 0 2 307.354 0.394 20 0 DCADLN Cc1nc(C(C)C)oc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031073488 664785248 /nfs/dbraw/zinc/78/52/48/664785248.db2.gz AIXYNANIISQNEJ-UHFFFAOYSA-N 0 2 320.353 0.544 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992943980 664785733 /nfs/dbraw/zinc/78/57/33/664785733.db2.gz AMJYNGCBLUYQCT-GHMZBOCLSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@@H](c1ccncc1)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730534294 664789881 /nfs/dbraw/zinc/78/98/81/664789881.db2.gz USMZNWGJFCTIRD-JTQLQIEISA-N 0 2 312.333 0.686 20 0 DCADLN C[C@H](c1ccccn1)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730535722 664790108 /nfs/dbraw/zinc/79/01/08/664790108.db2.gz DOCSDXXEECZLBR-SNVBAGLBSA-N 0 2 312.333 0.686 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(Cl)cn1 ZINC000730538237 664790729 /nfs/dbraw/zinc/79/07/29/664790729.db2.gz SZVYEAXUCQCQLU-UHFFFAOYSA-N 0 2 304.697 0.758 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cc2ccccc2[nH]1 ZINC000730539190 664791276 /nfs/dbraw/zinc/79/12/76/664791276.db2.gz LVJLQHOQFXFWEG-UHFFFAOYSA-N 0 2 322.328 0.869 20 0 DCADLN CC[C@@H]1CO[C@@H](C)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000731962332 664895009 /nfs/dbraw/zinc/89/50/09/664895009.db2.gz JMBOJBOXHKVWDZ-VHSXEESVSA-N 0 2 305.338 0.097 20 0 DCADLN Cc1nnc(CN2CCC[C@H](NC(=O)c3cnn[nH]3)[C@H]2C)[nH]1 ZINC000993542264 664978997 /nfs/dbraw/zinc/97/89/97/664978997.db2.gz NKAFDSHMWXWTKG-SCZZXKLOSA-N 0 2 304.358 0.014 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1c[nH]c2ncccc12 ZINC001031406360 665137890 /nfs/dbraw/zinc/13/78/90/665137890.db2.gz GONLKKGBUOBOOH-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC2CCC1CC2 ZINC001031445348 665154086 /nfs/dbraw/zinc/15/40/86/665154086.db2.gz ZOYMFNDCFNOEPJ-RTYFJBAXSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(F)c1 ZINC001031523287 665184235 /nfs/dbraw/zinc/18/42/35/665184235.db2.gz ZFJRMJRPQCSENE-UHFFFAOYSA-N 0 2 305.313 0.511 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2CC[NH+](Cc3cnon3)CC2)c1[O-] ZINC001001317408 666034294 /nfs/dbraw/zinc/03/42/94/666034294.db2.gz ZFWWQOMWLRUVTK-UHFFFAOYSA-N 0 2 320.353 0.449 20 0 DCADLN CC(C)c1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001031996255 666112749 /nfs/dbraw/zinc/11/27/49/666112749.db2.gz HECWLSIKTRGZLL-UHFFFAOYSA-N 0 2 319.369 0.219 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC000942267393 666142658 /nfs/dbraw/zinc/14/26/58/666142658.db2.gz QJUVCPRRNFRWRM-SFYZADRCSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ncccn1 ZINC000942267393 666142661 /nfs/dbraw/zinc/14/26/61/666142661.db2.gz QJUVCPRRNFRWRM-SFYZADRCSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(Cc1cscn1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003743286 666354670 /nfs/dbraw/zinc/35/46/70/666354670.db2.gz UWTAMMAFWHFMKT-UHFFFAOYSA-N 0 2 322.394 0.290 20 0 DCADLN CN(C(=O)Cc1ccsc1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032879819 666366058 /nfs/dbraw/zinc/36/60/58/666366058.db2.gz JJFISUCBIVXNQL-LLVKDONJSA-N 0 2 321.406 0.847 20 0 DCADLN CN(C(=O)Cc1ccsc1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032879819 666366060 /nfs/dbraw/zinc/36/60/60/666366060.db2.gz JJFISUCBIVXNQL-LLVKDONJSA-N 0 2 321.406 0.847 20 0 DCADLN C[C@@H](c1cnccn1)[NH+]1CCC(NC(=O)c2nnc[nH]2)CC1 ZINC001003814357 666369835 /nfs/dbraw/zinc/36/98/35/666369835.db2.gz SQJVDGCQDRSLFO-JTQLQIEISA-N 0 2 301.354 0.550 20 0 DCADLN C[C@@H](c1cnccn1)[NH+]1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC001003814357 666369838 /nfs/dbraw/zinc/36/98/38/666369838.db2.gz SQJVDGCQDRSLFO-JTQLQIEISA-N 0 2 301.354 0.550 20 0 DCADLN Cc1ccc(C(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)n1C ZINC001032903812 666381999 /nfs/dbraw/zinc/38/19/99/666381999.db2.gz CWGBAORSWNLCHE-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN Cc1ccc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)n1C ZINC001032903812 666382002 /nfs/dbraw/zinc/38/20/02/666382002.db2.gz CWGBAORSWNLCHE-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN C[C@]1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCOC1 ZINC001003856468 666385823 /nfs/dbraw/zinc/38/58/23/666385823.db2.gz MDYNIVKIMKOEKP-AWEZNQCLSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1nc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001033027808 666438713 /nfs/dbraw/zinc/43/87/13/666438713.db2.gz PHAXTKXREKZHFA-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN CN(C(=O)Cc1ccoc1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033031710 666443519 /nfs/dbraw/zinc/44/35/19/666443519.db2.gz ZFLLJJVTKJEXFF-LLVKDONJSA-N 0 2 305.338 0.379 20 0 DCADLN CN(C(=O)Cc1ccoc1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033031710 666443520 /nfs/dbraw/zinc/44/35/20/666443520.db2.gz ZFLLJJVTKJEXFF-LLVKDONJSA-N 0 2 305.338 0.379 20 0 DCADLN CCc1cc(C(=O)N2CCC(NC(=O)c3cnn[nH]3)CC2)[nH]n1 ZINC000944521953 666445717 /nfs/dbraw/zinc/44/57/17/666445717.db2.gz VVXMVZDUAWPKLK-UHFFFAOYSA-N 0 2 317.353 0.125 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC2CCC1CC2 ZINC001032209335 666466609 /nfs/dbraw/zinc/46/66/09/666466609.db2.gz OQNXSULKYPZAEZ-KGPNIALWSA-N 0 2 319.409 0.885 20 0 DCADLN CCc1[nH]ccc1C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033060364 666475766 /nfs/dbraw/zinc/47/57/66/666475766.db2.gz OELIQSLYUYMIFV-SNVBAGLBSA-N 0 2 318.381 0.747 20 0 DCADLN CCc1[nH]ccc1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033060364 666475767 /nfs/dbraw/zinc/47/57/67/666475767.db2.gz OELIQSLYUYMIFV-SNVBAGLBSA-N 0 2 318.381 0.747 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033160195 666583193 /nfs/dbraw/zinc/58/31/93/666583193.db2.gz FZYVTLTYIARZDY-WZRBSPASSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]n1)[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC000945599527 666632381 /nfs/dbraw/zinc/63/23/81/666632381.db2.gz VEDYQVHJTJTIRZ-ZJUUUORDSA-N 0 2 317.353 0.199 20 0 DCADLN C[C@@H](NC(=O)c1cnn[nH]1)[C@H]1CCCN(C(=O)c2cc[nH]n2)C1 ZINC000945599527 666632385 /nfs/dbraw/zinc/63/23/85/666632385.db2.gz VEDYQVHJTJTIRZ-ZJUUUORDSA-N 0 2 317.353 0.199 20 0 DCADLN O=C([C@H]1CC=CCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032274148 666648408 /nfs/dbraw/zinc/64/84/08/666648408.db2.gz BYJXNNNWXHZSCI-SRVKXCTJSA-N 0 2 303.366 0.652 20 0 DCADLN Cc1ncc(C[N@H+]2CC[C@H](N(C)C(=O)C[NH+]3CCCC3)C2)cn1 ZINC001033659161 666790348 /nfs/dbraw/zinc/79/03/48/666790348.db2.gz VPEHJJKFYRDKHT-INIZCTEOSA-N 0 2 317.437 0.914 20 0 DCADLN CCN(C(=O)c1ccc[nH]1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033748569 666815609 /nfs/dbraw/zinc/81/56/09/666815609.db2.gz AJQARUHVYNPGJE-JTQLQIEISA-N 0 2 304.354 0.575 20 0 DCADLN CCN(C(=O)c1ccc[nH]1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033748569 666815611 /nfs/dbraw/zinc/81/56/11/666815611.db2.gz AJQARUHVYNPGJE-JTQLQIEISA-N 0 2 304.354 0.575 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)NCc3ncc[nH]3)cc2[nH]c1=S ZINC000733876843 666823397 /nfs/dbraw/zinc/82/33/97/666823397.db2.gz UJPIKPSKFSELDR-UHFFFAOYSA-N 0 2 315.358 0.875 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1[O-])[C@H]1CC[N@@H+]([C@H](C)C(=O)NC)C1 ZINC001033804558 666835016 /nfs/dbraw/zinc/83/50/16/666835016.db2.gz YVNBOTDXNKFPPK-MNOVXSKESA-N 0 2 323.397 0.095 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1[O-])[C@H]1CC[N@H+]([C@H](C)C(=O)NC)C1 ZINC001033804558 666835018 /nfs/dbraw/zinc/83/50/18/666835018.db2.gz YVNBOTDXNKFPPK-MNOVXSKESA-N 0 2 323.397 0.095 20 0 DCADLN CCN(C(=O)c1n[nH]cc1F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033952080 666876523 /nfs/dbraw/zinc/87/65/23/666876523.db2.gz YQVNNHXFJFTFDE-MRVPVSSYSA-N 0 2 323.332 0.109 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccccn1 ZINC001034078338 666912359 /nfs/dbraw/zinc/91/23/59/666912359.db2.gz CYPWCETVNAUPDR-LLVKDONJSA-N 0 2 316.365 0.690 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccccn1 ZINC001034078338 666912361 /nfs/dbraw/zinc/91/23/61/666912361.db2.gz CYPWCETVNAUPDR-LLVKDONJSA-N 0 2 316.365 0.690 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001034073541 666913582 /nfs/dbraw/zinc/91/35/82/666913582.db2.gz KLDNNYVHEKAWCF-SNVBAGLBSA-N 0 2 305.338 0.888 20 0 DCADLN O=C(N[C@H]1[C@@H]2COC[C@@H]21)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861476734 666931992 /nfs/dbraw/zinc/93/19/92/666931992.db2.gz SJVIJTRLXZSVEP-MBTKJCJQSA-N 0 2 318.251 0.833 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034149914 666938443 /nfs/dbraw/zinc/93/84/43/666938443.db2.gz DHQQMGFZJLFRPO-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN NC(=O)C[N@H+]1CCCC[C@H](NC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001034281687 666975866 /nfs/dbraw/zinc/97/58/66/666975866.db2.gz DMVJAGVYRPDZQC-NSHDSACASA-N 0 2 319.409 0.358 20 0 DCADLN Cc1nnc([C@H](C)N2CCCC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001034293203 666980226 /nfs/dbraw/zinc/98/02/26/666980226.db2.gz MAVSDMHRRGWYIL-ONGXEEELSA-N 0 2 318.385 0.577 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CCOCCN2)o1)C(F)(F)F ZINC000861858033 666988346 /nfs/dbraw/zinc/98/83/46/666988346.db2.gz LOUJLZRKVWRIGP-UHFFFAOYSA-N 0 2 321.255 0.435 20 0 DCADLN CNC(=O)C[NH+]1CCCC[C@@H](NC(=O)C[N@H+]2CC[C@H](C)C2)C1 ZINC001034393923 667011863 /nfs/dbraw/zinc/01/18/63/667011863.db2.gz HZOAKPRPRRUCCW-UONOGXRCSA-N 0 2 310.442 0.045 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CC12CC2 ZINC001034416910 667019516 /nfs/dbraw/zinc/01/95/16/667019516.db2.gz LVBPCDVMOPDDJX-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CC12CC2 ZINC001034416910 667019517 /nfs/dbraw/zinc/01/95/17/667019517.db2.gz LVBPCDVMOPDDJX-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1csc(Nc2ccccn2)n1 ZINC000736665698 667216487 /nfs/dbraw/zinc/21/64/87/667216487.db2.gz BTPPLSRAPMCDPQ-UHFFFAOYSA-N 0 2 318.318 0.478 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)C1CC1 ZINC000864119953 667216990 /nfs/dbraw/zinc/21/69/90/667216990.db2.gz RBIYGOJVAPGHJZ-UONOGXRCSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@H]1COCC[N@H+]1C1CCCC1)C1CC1 ZINC000864119953 667216991 /nfs/dbraw/zinc/21/69/91/667216991.db2.gz RBIYGOJVAPGHJZ-UONOGXRCSA-N 0 2 310.394 0.999 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)[C@H]1CC=CCC1 ZINC001035294423 667284081 /nfs/dbraw/zinc/28/40/81/667284081.db2.gz SFWYMWALKIJHDR-NWDGAFQWSA-N 0 2 321.381 0.184 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)co1 ZINC001035349156 667298362 /nfs/dbraw/zinc/29/83/62/667298362.db2.gz SXWKNYHOWDNRLI-NSHDSACASA-N 0 2 321.337 0.043 20 0 DCADLN C[C@@H](C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1)C1CC1 ZINC001035683940 667398352 /nfs/dbraw/zinc/39/83/52/667398352.db2.gz OIKUBPLRCMERGE-LLVKDONJSA-N 0 2 319.409 0.981 20 0 DCADLN COCC[C@H]([NH3+])C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000884179718 667491453 /nfs/dbraw/zinc/49/14/53/667491453.db2.gz YWXXNYBTFBXDNV-INIZCTEOSA-N 0 2 321.421 0.573 20 0 DCADLN CN(C(=O)[C@H]1CC12CC2)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006051889 667510978 /nfs/dbraw/zinc/51/09/78/667510978.db2.gz IWXWSHBKJDQNTM-LLVKDONJSA-N 0 2 305.382 0.733 20 0 DCADLN O=C(/C=C/CN1CCOCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000868093284 667533791 /nfs/dbraw/zinc/53/37/91/667533791.db2.gz DKAUNYRORMNWQX-FXMSTWTQSA-N 0 2 321.381 0.105 20 0 DCADLN Cc1oc(-c2ccccc2F)nc1C(=O)NN1CC(=O)NC1=O ZINC000738506408 667676753 /nfs/dbraw/zinc/67/67/53/667676753.db2.gz YVZAXLUFHCXODD-UHFFFAOYSA-N 0 2 318.264 0.986 20 0 DCADLN O=C(CN1CCCCCCC1=O)NCCc1n[nH]c(=S)o1 ZINC000788146943 667749334 /nfs/dbraw/zinc/74/93/34/667749334.db2.gz HHCSHJISJTTWLB-UHFFFAOYSA-N 0 2 312.395 0.810 20 0 DCADLN CC1(C)[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H]2CCO[C@@H]21 ZINC000741201975 667761038 /nfs/dbraw/zinc/76/10/38/667761038.db2.gz XMIBGBNFSGTSDR-FXAINCCUSA-N 0 2 317.349 0.001 20 0 DCADLN COc1cc(NS(=O)(=O)c2ccc3c(c2)OCO3)n(C)n1 ZINC000886450010 667769252 /nfs/dbraw/zinc/76/92/52/667769252.db2.gz JZELSYYZJNPSFF-UHFFFAOYSA-N 0 2 311.319 0.958 20 0 DCADLN C[C@]1(c2ccccc2)C[C@@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000741643724 667774299 /nfs/dbraw/zinc/77/42/99/667774299.db2.gz VNEVOZFHTGVGKH-SUMWQHHRSA-N 0 2 323.356 0.918 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]2CCC[C@H]21 ZINC000741986211 667779141 /nfs/dbraw/zinc/77/91/41/667779141.db2.gz YYNWZZXDVXMVSM-ZYHUDNBSSA-N 0 2 301.350 0.862 20 0 DCADLN Cc1ncc2c(n1)CC[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C2 ZINC000742521173 667793223 /nfs/dbraw/zinc/79/32/23/667793223.db2.gz DCUSEYJWNIKQNM-MWLCHTKSSA-N 0 2 319.390 0.315 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCn2cnc3ccccc32)S1 ZINC000742596378 667794409 /nfs/dbraw/zinc/79/44/09/667794409.db2.gz WTGBXVQSCGAPRS-LLVKDONJSA-N 0 2 317.374 0.709 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000742591149 667794650 /nfs/dbraw/zinc/79/46/50/667794650.db2.gz UKBRJRMJZFXOSW-ZKWXMUAHSA-N 0 2 301.368 0.247 20 0 DCADLN CCCn1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000742721917 667798032 /nfs/dbraw/zinc/79/80/32/667798032.db2.gz AKSYAFUZZQNNKP-UHFFFAOYSA-N 0 2 301.310 0.316 20 0 DCADLN COC(=O)[C@@H](CNC(=O)C[C@H]1SC(=N)NC1=O)CC(C)C ZINC000742700867 667798376 /nfs/dbraw/zinc/79/83/76/667798376.db2.gz IIKKXYFIOINETG-RKDXNWHRSA-N 0 2 315.395 0.494 20 0 DCADLN C[C@@]1(NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)CCNC1=O ZINC000889036962 667820915 /nfs/dbraw/zinc/82/09/15/667820915.db2.gz YMRAETFPHWWRLS-OAHLLOKOSA-N 0 2 318.308 0.725 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2cnn(C)c2C(F)(F)F)n1 ZINC000872004655 667866331 /nfs/dbraw/zinc/86/63/31/667866331.db2.gz LTKRVTBPOSXUGR-UHFFFAOYSA-N 0 2 310.261 0.368 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cc2n(n1)CCN(C)C2)C(C)C ZINC000872411259 667894049 /nfs/dbraw/zinc/89/40/49/667894049.db2.gz VRVKAHVSIGNURB-GFCCVEGCSA-N 0 2 316.427 0.741 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cc2n(n1)CCN(C)C2)C1CC1 ZINC000872409870 667894249 /nfs/dbraw/zinc/89/42/49/667894249.db2.gz BQDVKVQPMCGPJU-LBPRGKRZSA-N 0 2 314.411 0.495 20 0 DCADLN CCC[C@H](NC(=O)OC(C)(C)C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748419428 667927834 /nfs/dbraw/zinc/92/78/34/667927834.db2.gz QFKVCCIPYPWOPF-QMMMGPOBSA-N 0 2 313.358 0.820 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@H]1SC(=N)NC1=O)c1cccs1 ZINC000748556207 667932421 /nfs/dbraw/zinc/93/24/21/667932421.db2.gz RPYWMBVZNYHLSK-JMCQJSRRSA-N 0 2 313.404 0.628 20 0 DCADLN C[C@H](O)c1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000748558141 667932701 /nfs/dbraw/zinc/93/27/01/667932701.db2.gz YMJHUTSHLODXFE-GZMMTYOYSA-N 0 2 307.375 0.913 20 0 DCADLN O=C([O-])[C@H]1C[C@H](Nc2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000873075896 667969749 /nfs/dbraw/zinc/96/97/49/667969749.db2.gz JPFHVKYEFWFCLR-SRVKXCTJSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])[C@H]1C[C@H](Nc2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000873075896 667969751 /nfs/dbraw/zinc/96/97/51/667969751.db2.gz JPFHVKYEFWFCLR-SRVKXCTJSA-N 0 2 306.366 0.960 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2COc3ccc(F)cc3C2)S1 ZINC000752889542 668023022 /nfs/dbraw/zinc/02/30/22/668023022.db2.gz GASDXCFZGRTWTC-GXSJLCMTSA-N 0 2 323.349 0.802 20 0 DCADLN CC(C)[C@@H](O)C(C)(C)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000754138505 668041996 /nfs/dbraw/zinc/04/19/96/668041996.db2.gz XRTNJPMBKGMNAI-PSASIEDQSA-N 0 2 301.412 0.702 20 0 DCADLN CN(C)c1ncc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC000758854134 668198583 /nfs/dbraw/zinc/19/85/83/668198583.db2.gz MGSFKQRYQGYGPN-UHFFFAOYSA-N 0 2 319.287 0.938 20 0 DCADLN CC(C)OC(=O)[C@@H](C)N(C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000760107779 668257476 /nfs/dbraw/zinc/25/74/76/668257476.db2.gz RYPPASIVNZXHOP-HTQZYQBOSA-N 0 2 301.368 0.341 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@H]1CCCOC1 ZINC000766300769 668531435 /nfs/dbraw/zinc/53/14/35/668531435.db2.gz RRARDXLVIPNSRY-NSHDSACASA-N 0 2 305.334 0.843 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1ccc2c[nH]nc2c1 ZINC000768792350 668621790 /nfs/dbraw/zinc/62/17/90/668621790.db2.gz ZAJJHEOTOLCRNV-UHFFFAOYSA-N 0 2 324.300 0.691 20 0 DCADLN COC(=O)c1cncc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000769011588 668629691 /nfs/dbraw/zinc/62/96/91/668629691.db2.gz YOTLVPBKMDIRQM-MRVPVSSYSA-N 0 2 308.319 0.363 20 0 DCADLN CN1C(=O)CN(NC(=O)c2csc(-c3ccccn3)n2)C1=O ZINC000770454618 668693787 /nfs/dbraw/zinc/69/37/87/668693787.db2.gz HWTUWNSQTGLCJD-UHFFFAOYSA-N 0 2 317.330 0.744 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)C[C@@H](OC)C3)c1O ZINC000772122833 668764540 /nfs/dbraw/zinc/76/45/40/668764540.db2.gz HGOVTZYZEWYOCR-STQMWFEESA-N 0 2 318.329 0.788 20 0 DCADLN COc1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)c(OC)c1OC ZINC000774884186 668849839 /nfs/dbraw/zinc/84/98/39/668849839.db2.gz UHFNCTOGOXFLQE-UHFFFAOYSA-N 0 2 309.278 0.893 20 0 DCADLN O=C(CN1C(=O)CSc2ccccc21)OCc1n[nH]c(=O)[nH]1 ZINC000774893733 668850006 /nfs/dbraw/zinc/85/00/06/668850006.db2.gz GAIBGWRPWFYXPS-UHFFFAOYSA-N 0 2 320.330 0.692 20 0 DCADLN O=C(Cn1cc(Br)cn1)OCc1n[nH]c(=O)[nH]1 ZINC000774931597 668851966 /nfs/dbraw/zinc/85/19/66/668851966.db2.gz KXXMKGTYBSLAMI-UHFFFAOYSA-N 0 2 302.088 0.213 20 0 DCADLN CC(C)(C)OC(=O)NCCOCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774948749 668852333 /nfs/dbraw/zinc/85/23/33/668852333.db2.gz ONGLGZNNEMEEOZ-UHFFFAOYSA-N 0 2 316.314 0.095 20 0 DCADLN CCN(CC(=O)OCc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000774948316 668852539 /nfs/dbraw/zinc/85/25/39/668852539.db2.gz GQSDEOBGABYSPZ-UHFFFAOYSA-N 0 2 300.315 0.811 20 0 DCADLN CN(C)C(=O)Nc1cccc(C(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774960478 668852940 /nfs/dbraw/zinc/85/29/40/668852940.db2.gz KAUOUKAJTDCQGM-UHFFFAOYSA-N 0 2 305.294 0.961 20 0 DCADLN CO[C@H]1CCC[C@H]1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000775515276 668864332 /nfs/dbraw/zinc/86/43/32/668864332.db2.gz BVEGGIAQDUGQOE-ONGXEEELSA-N 0 2 305.338 0.003 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000776230627 668873637 /nfs/dbraw/zinc/87/36/37/668873637.db2.gz KEELFJWWCRBJNS-SECBINFHSA-N 0 2 309.709 0.422 20 0 DCADLN CC(=O)N[C@@H](C(=O)NCCc1n[nH]c(=S)o1)C1CCCC1 ZINC000776535522 668877359 /nfs/dbraw/zinc/87/73/59/668877359.db2.gz FSMGSUHJKRBDDA-LLVKDONJSA-N 0 2 312.395 0.712 20 0 DCADLN COC(=O)c1cc(C(=O)NCCc2n[nH]c(=S)o2)ccn1 ZINC000776535191 668877421 /nfs/dbraw/zinc/87/74/21/668877421.db2.gz CXWABNVJQLXSBE-UHFFFAOYSA-N 0 2 308.319 0.512 20 0 DCADLN CCCC(=O)N1CCC[C@H]1C(=O)NCCc1n[nH]c(=S)o1 ZINC000776541782 668877614 /nfs/dbraw/zinc/87/76/14/668877614.db2.gz KNUHOIIEUXFOQT-VIFPVBQESA-N 0 2 312.395 0.808 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ncccc1Cl ZINC000778066360 668894113 /nfs/dbraw/zinc/89/41/13/668894113.db2.gz MBWJIBNQXMPUMH-UHFFFAOYSA-N 0 2 304.697 0.758 20 0 DCADLN CCc1cnc(NS(=O)(=O)c2n[nH]c(C)c2C(=O)OC)o1 ZINC000778100782 668894177 /nfs/dbraw/zinc/89/41/77/668894177.db2.gz BOGBWNXHBMVELA-UHFFFAOYSA-N 0 2 314.323 0.856 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2oc(=O)ccc2c1 ZINC000127719699 669027665 /nfs/dbraw/zinc/02/76/65/669027665.db2.gz AKZRTBMXCZMGIB-UHFFFAOYSA-N 0 2 301.262 0.526 20 0 DCADLN C[C@@H]1C[C@@H](CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000784379930 669201660 /nfs/dbraw/zinc/20/16/60/669201660.db2.gz NKQUZXMHWXUMIV-MNOVXSKESA-N 0 2 319.365 0.393 20 0 DCADLN CCN1C[C@H](Cn2cc(CNC(=O)C(F)(F)F)nn2)OC1=O ZINC000881358723 669327803 /nfs/dbraw/zinc/32/78/03/669327803.db2.gz DKPFZPJMCICZII-MRVPVSSYSA-N 0 2 321.259 0.297 20 0 DCADLN CCOC(CCNC(=O)C[C@@H]1SC(=N)NC1=O)OCC ZINC000787549782 669378330 /nfs/dbraw/zinc/37/83/30/669378330.db2.gz XMLSJHNVRWUCHK-QMMMGPOBSA-N 0 2 303.384 0.448 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@@H]1CC[S@](=O)C1 ZINC000789964837 669524749 /nfs/dbraw/zinc/52/47/49/669524749.db2.gz LFQUBQIWYNNRPC-RDLWGGRYSA-N 0 2 314.329 0.327 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@@H](Oc3ccncc3)C2)S1 ZINC000790154735 669539995 /nfs/dbraw/zinc/53/99/95/669539995.db2.gz JXRXEXXOIHOCMX-GHMZBOCLSA-N 0 2 320.374 0.618 20 0 DCADLN Cc1cccc(NS(=O)(=O)N=[S@@](C)(=O)N(C)C)c1O ZINC000882708885 669571311 /nfs/dbraw/zinc/57/13/11/669571311.db2.gz JWDIBCAKBRYGLD-GOSISDBHSA-N 0 2 307.397 0.932 20 0 DCADLN COc1cnc([C@H]2CCCN2C[C@H](O)C2(O)CCC2)[nH]c1=O ZINC000934271033 669589170 /nfs/dbraw/zinc/58/91/70/669589170.db2.gz DMAKWCUWJUWABQ-PWSUYJOCSA-N 0 2 309.366 0.604 20 0 DCADLN CC(C)(C)[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000791083327 669592384 /nfs/dbraw/zinc/59/23/84/669592384.db2.gz QNZWOWTZSUIVTG-NSHDSACASA-N 0 2 319.365 0.345 20 0 DCADLN COC(=O)CC1(NC(=O)C[C@H]2SC(=N)NC2=O)CCCC1 ZINC000792534540 669672935 /nfs/dbraw/zinc/67/29/35/669672935.db2.gz KGDDWMWIASJNML-MRVPVSSYSA-N 0 2 313.379 0.535 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H](c2ccccn2)C1 ZINC000798709922 670019809 /nfs/dbraw/zinc/01/98/09/670019809.db2.gz DTQHORGNOHUGOE-LLVKDONJSA-N 0 2 324.344 0.482 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](c3ccccn3)C2)S1 ZINC000799064601 670035539 /nfs/dbraw/zinc/03/55/39/670035539.db2.gz YJBBRZOXGDQKIL-ONGXEEELSA-N 0 2 304.375 0.954 20 0 DCADLN COc1cnc(NS(=O)(=O)c2cccc3nonc32)nc1 ZINC000799185652 670048753 /nfs/dbraw/zinc/04/87/53/670048753.db2.gz QLNPRHPYSPDOSR-UHFFFAOYSA-N 0 2 307.291 0.822 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](CCO)Cc2ccccc2)S1 ZINC000799800555 670072760 /nfs/dbraw/zinc/07/27/60/670072760.db2.gz QFLLXEWPUWYOPF-RYUDHWBXSA-N 0 2 321.402 0.653 20 0 DCADLN Cc1ccc(C(=O)NNC(=O)[C@H]2CC(c3cccnc3)=NO2)o1 ZINC000189136701 670093417 /nfs/dbraw/zinc/09/34/17/670093417.db2.gz BRZNRDMJCAVPEB-CYBMUJFWSA-N 0 2 314.301 0.937 20 0 DCADLN CC[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1NC(=O)c1cn[nH]n1 ZINC000948304391 670094946 /nfs/dbraw/zinc/09/49/46/670094946.db2.gz UHJFUFTVCDSBKA-NXEZZACHSA-N 0 2 317.353 0.199 20 0 DCADLN CC[C@@H]1CN(C(=O)c2cc[nH]n2)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000948304391 670094951 /nfs/dbraw/zinc/09/49/51/670094951.db2.gz UHJFUFTVCDSBKA-NXEZZACHSA-N 0 2 317.353 0.199 20 0 DCADLN CCn1ncnc1COC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000801320564 670124749 /nfs/dbraw/zinc/12/47/49/670124749.db2.gz VAILVEVQHANYKI-UHFFFAOYSA-N 0 2 308.260 0.798 20 0 DCADLN CC[C@@H]1OCCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948702670 670160877 /nfs/dbraw/zinc/16/08/77/670160877.db2.gz CGRMCYMTEZKCBD-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)c1csc(=O)[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951205908 670217579 /nfs/dbraw/zinc/21/75/79/670217579.db2.gz QJIVWWIAVAFTED-UHFFFAOYSA-N 0 2 324.366 0.019 20 0 DCADLN C[C@]1(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C[C@H]2C[C@H]2C1 ZINC000949458197 670347281 /nfs/dbraw/zinc/34/72/81/670347281.db2.gz YVBIHJIIEVPNJF-HBYGRHMLSA-N 0 2 305.382 0.591 20 0 DCADLN COC(=O)c1cc(F)cc(S(=O)(=O)Nc2cnnn2C)c1 ZINC000808564197 670415540 /nfs/dbraw/zinc/41/55/40/670415540.db2.gz INTKMQYFENNFMC-UHFFFAOYSA-N 0 2 314.298 0.542 20 0 DCADLN CCN(C(=O)c1cncc(C)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949833024 670443677 /nfs/dbraw/zinc/44/36/77/670443677.db2.gz AEOMSWNJYRDHND-UHFFFAOYSA-N 0 2 316.365 0.560 20 0 DCADLN C[C@@H]1CCC[C@@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949930736 670465285 /nfs/dbraw/zinc/46/52/85/670465285.db2.gz RMVDHIHIHQRPEJ-VXGBXAGGSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1nnc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)[nH]1 ZINC000810417841 670484746 /nfs/dbraw/zinc/48/47/46/670484746.db2.gz XQJLIQMPXVNWAK-SECBINFHSA-N 0 2 309.267 0.658 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H](F)C(F)(F)F ZINC000810484494 670487517 /nfs/dbraw/zinc/48/75/17/670487517.db2.gz ZZLDEPBRHDOLJZ-BQBZGAKWSA-N 0 2 306.281 0.427 20 0 DCADLN O=C(NCC1(OCCO)CCOCC1)C(F)C(F)(F)F ZINC000811497791 670531790 /nfs/dbraw/zinc/53/17/90/670531790.db2.gz FANVSZWQZTVPML-QMMMGPOBSA-N 0 2 303.252 0.561 20 0 DCADLN O=C(NCC1(OCCO)CCOCC1)[C@H](F)C(F)(F)F ZINC000811497791 670531795 /nfs/dbraw/zinc/53/17/95/670531795.db2.gz FANVSZWQZTVPML-QMMMGPOBSA-N 0 2 303.252 0.561 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(C3(O)COC3)cc2)S1 ZINC000813771214 670652673 /nfs/dbraw/zinc/65/26/73/670652673.db2.gz RFZBLTPRRMNFHB-JTQLQIEISA-N 0 2 321.358 0.399 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc([C@@H]3CCCO3)[nH]n2)S1 ZINC000855107020 670678850 /nfs/dbraw/zinc/67/88/50/670678850.db2.gz KZYAISLSVKSFKR-JGVFFNPUSA-N 0 2 309.351 0.756 20 0 DCADLN COCc1nnc(CNC(=O)C=Cc2ccc(OC)c(O)c2)[nH]1 ZINC000855517916 670727433 /nfs/dbraw/zinc/72/74/33/670727433.db2.gz FYOZMPUCOWYRHV-GQCTYLIASA-N 0 2 318.333 0.995 20 0 DCADLN Cc1nsc(C)c1COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000816344708 670804979 /nfs/dbraw/zinc/80/49/79/670804979.db2.gz IFAWKIGOQIJVDF-UHFFFAOYSA-N 0 2 319.346 0.888 20 0 DCADLN O=C([C@@H]1CCCC12OCCO2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000816542457 670820674 /nfs/dbraw/zinc/82/06/74/670820674.db2.gz PLWNQTKSLAEDGZ-QWRGUYRKSA-N 0 2 322.365 0.760 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC(C2CC2)CC1 ZINC000816906494 670873661 /nfs/dbraw/zinc/87/36/61/670873661.db2.gz LKGUATMYAQARDD-UHFFFAOYSA-N 0 2 301.350 0.720 20 0 DCADLN O=C(CCN1C(=O)CCNC1=S)Nc1ccc2nn[nH]c2c1 ZINC000816944313 670880070 /nfs/dbraw/zinc/88/00/70/670880070.db2.gz YDGMQIDJPFIZHM-UHFFFAOYSA-N 0 2 318.362 0.393 20 0 DCADLN CCn1ncn(NC(=O)c2coc(-c3ccnc(C)c3)n2)c1=O ZINC000857511655 670925862 /nfs/dbraw/zinc/92/58/62/670925862.db2.gz YCWPQYDUYNEYPJ-UHFFFAOYSA-N 0 2 314.305 0.807 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](CC(N)=O)c2ccccc2)S1 ZINC000818560778 671031299 /nfs/dbraw/zinc/03/12/99/671031299.db2.gz KIPDZXNQOVRLFX-NXEZZACHSA-N 0 2 320.374 0.276 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC3(CCOCC3)[C@@H]2C2CC2)S1 ZINC000818790759 671059920 /nfs/dbraw/zinc/05/99/20/671059920.db2.gz ZFDFTJHVMGDEMJ-PWSUYJOCSA-N 0 2 323.418 0.960 20 0 DCADLN COc1cccc(S([O-])=CC(=O)N2CC[N@H+](C)[C@H](C)C2)c1 ZINC000819319872 671111878 /nfs/dbraw/zinc/11/18/78/671111878.db2.gz VMNQJVLGYGYXNI-GTJPDFRWSA-N 0 2 310.419 0.965 20 0 DCADLN COc1cccc(S([O-])=CC(=O)N2CC[N@@H+](C)[C@H](C)C2)c1 ZINC000819319872 671111879 /nfs/dbraw/zinc/11/18/79/671111879.db2.gz VMNQJVLGYGYXNI-GTJPDFRWSA-N 0 2 310.419 0.965 20 0 DCADLN CCO[C@@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC000859550565 671125304 /nfs/dbraw/zinc/12/53/04/671125304.db2.gz KEBMVJAYRQRRQY-NSHDSACASA-N 0 2 306.322 0.430 20 0 DCADLN COCCONC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000859888755 671152358 /nfs/dbraw/zinc/15/23/58/671152358.db2.gz XAFQCTWBDGDZQW-UHFFFAOYSA-N 0 2 310.228 0.766 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CCN(C(=O)c2ccccc2)C1 ZINC000859943376 671161396 /nfs/dbraw/zinc/16/13/96/671161396.db2.gz ZJVWEUDWCGZYTR-LLVKDONJSA-N 0 2 316.317 0.716 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000827890487 671539123 /nfs/dbraw/zinc/53/91/23/671539123.db2.gz RDIVRTQUDQJDEG-LLVKDONJSA-N 0 2 324.381 0.883 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000827890487 671539124 /nfs/dbraw/zinc/53/91/24/671539124.db2.gz RDIVRTQUDQJDEG-LLVKDONJSA-N 0 2 324.381 0.883 20 0 DCADLN CCOC(=O)[C@H](CC(=O)NCCc1n[nH]c(=S)o1)C(C)=O ZINC000832364219 671716237 /nfs/dbraw/zinc/71/62/37/671716237.db2.gz XTPSJAQXUPMWDF-MRVPVSSYSA-N 0 2 315.351 0.175 20 0 DCADLN Cc1nccn1C[C@H]1CCC[N@@H+]1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833918888 671750726 /nfs/dbraw/zinc/75/07/26/671750726.db2.gz QYQULVVOUDMKHG-QWHCGFSZSA-N 0 2 321.381 0.482 20 0 DCADLN Cc1nccn1C[C@H]1CCC[N@H+]1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833918888 671750727 /nfs/dbraw/zinc/75/07/27/671750727.db2.gz QYQULVVOUDMKHG-QWHCGFSZSA-N 0 2 321.381 0.482 20 0 DCADLN Cc1[nH+]ccn1C[C@H]1CCCN1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833918888 671750730 /nfs/dbraw/zinc/75/07/30/671750730.db2.gz QYQULVVOUDMKHG-QWHCGFSZSA-N 0 2 321.381 0.482 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833919351 671750804 /nfs/dbraw/zinc/75/08/04/671750804.db2.gz ISUOHNSPJYRQQP-YPMHNXCESA-N 0 2 321.381 0.691 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCC[N@H+]1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833919351 671750807 /nfs/dbraw/zinc/75/08/07/671750807.db2.gz ISUOHNSPJYRQQP-YPMHNXCESA-N 0 2 321.381 0.691 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2noc(C(F)(F)F)n2)S1 ZINC000834687400 671776333 /nfs/dbraw/zinc/77/63/33/671776333.db2.gz RASPGBWAMVTMQO-GSVOUGTGSA-N 0 2 323.256 0.261 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)N[C@@H](CO)c1ccsc1 ZINC000867119653 671793584 /nfs/dbraw/zinc/79/35/84/671793584.db2.gz POYAIHXGJRZUDK-HUTHGQBESA-N 0 2 312.438 0.734 20 0 DCADLN CC[C@H](NS(=O)(=O)N=S(=O)(CC)CC)[C@H](O)C(F)F ZINC000867330949 672115783 /nfs/dbraw/zinc/11/57/83/672115783.db2.gz MXAJQTDSBRSCHR-YUMQZZPRSA-N 0 2 322.399 0.733 20 0 DCADLN CC[C@@H](NS(=O)(=O)N=S1(=O)CCCC1)[C@@H](O)C(F)F ZINC000867331478 672116809 /nfs/dbraw/zinc/11/68/09/672116809.db2.gz TWEZSPOUUBTYRB-HTQZYQBOSA-N 0 2 320.383 0.487 20 0 DCADLN CC[C@H](NS(=O)(=O)N=[S@](C)(=O)CC)[C@H](O)C(F)F ZINC000867331169 672116250 /nfs/dbraw/zinc/11/62/50/672116250.db2.gz QBYUXKLIOVTUEL-XKRJZGAWSA-N 0 2 308.372 0.343 20 0 DCADLN Cn1cc(C=O)cc1C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843872831 672126349 /nfs/dbraw/zinc/12/63/49/672126349.db2.gz IFCRXGQWDDFQGN-UHFFFAOYSA-N 0 2 303.322 0.681 20 0 DCADLN CC[C@H](C)C[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000843940327 672129980 /nfs/dbraw/zinc/12/99/80/672129980.db2.gz RHAUHYIZGPCDTC-DJLDLDEBSA-N 0 2 315.395 0.637 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1CNc2ccccc2C1 ZINC000846693057 672337978 /nfs/dbraw/zinc/33/79/78/672337978.db2.gz ZYQMCPRAEQCJJK-LLVKDONJSA-N 0 2 324.344 0.224 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000397029800 1158370988 /nfs/dbraw/zinc/37/09/88/1158370988.db2.gz OGGZRYFVUQWJIJ-AGIUHOORSA-N 0 2 313.398 0.602 20 0 DCADLN O=C(NNC(=O)c1ccccn1)c1sccc1-n1cnnn1 ZINC000044819981 685058612 /nfs/dbraw/zinc/05/86/12/685058612.db2.gz DHLONQZPUDNZCP-UHFFFAOYSA-N 0 2 315.318 0.194 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(c2cccc(F)c2)=NO1 ZINC000085382991 685088587 /nfs/dbraw/zinc/08/85/87/685088587.db2.gz JMFZOAJPSJSWLZ-SNVBAGLBSA-N 0 2 305.269 0.047 20 0 DCADLN CN(C(=O)c1cc[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953781560 685834281 /nfs/dbraw/zinc/83/42/81/685834281.db2.gz VJVKKBGYAQHWMV-MRVPVSSYSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)c1ccn[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953781560 685834287 /nfs/dbraw/zinc/83/42/87/685834287.db2.gz VJVKKBGYAQHWMV-MRVPVSSYSA-N 0 2 308.235 0.593 20 0 DCADLN Cc1n[nH]nc1C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954946195 686193479 /nfs/dbraw/zinc/19/34/79/686193479.db2.gz JXWYQUPGVNTLSE-MRVPVSSYSA-N 0 2 323.250 0.296 20 0 DCADLN O=C([C@H]1CC12CCCC2)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957079776 686954458 /nfs/dbraw/zinc/95/44/58/686954458.db2.gz UJNXVLZQCYKIDH-LLVKDONJSA-N 0 2 305.382 0.735 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCC12CC2 ZINC001038398678 694070346 /nfs/dbraw/zinc/07/03/46/694070346.db2.gz IBVMYKWIVZYCLB-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)c1ccccc1 ZINC000957361297 687041546 /nfs/dbraw/zinc/04/15/46/687041546.db2.gz NNSYERILVDULAA-LBPRGKRZSA-N 0 2 315.377 0.958 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccc(F)cc1 ZINC000958083422 687335018 /nfs/dbraw/zinc/33/50/18/687335018.db2.gz CYATWJDAHIKCGY-PJXYFTJBSA-N 0 2 317.324 0.510 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccc(F)cc1 ZINC000958083422 687335023 /nfs/dbraw/zinc/33/50/23/687335023.db2.gz CYATWJDAHIKCGY-PJXYFTJBSA-N 0 2 317.324 0.510 20 0 DCADLN Cc1ccc(F)c(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038748712 694181029 /nfs/dbraw/zinc/18/10/29/694181029.db2.gz HUGAPNJTMARQFL-SNVBAGLBSA-N 0 2 319.340 0.962 20 0 DCADLN CCn1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)n1 ZINC001038755769 694182455 /nfs/dbraw/zinc/18/24/55/694182455.db2.gz ZHVFIYVYYIYAND-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN Cc1nnc(C[NH+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C[N@H+]2CC[C@H](C)C2)o1 ZINC000961790527 688735645 /nfs/dbraw/zinc/73/56/45/688735645.db2.gz QUBGMBHCPIVJCG-CNXAATOLSA-N 0 2 319.409 0.266 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ncccc1Cl ZINC001038932449 694245257 /nfs/dbraw/zinc/24/52/57/694245257.db2.gz DEABDLOOVLNGMP-MRVPVSSYSA-N 0 2 322.756 0.563 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)Cc1ccon1 ZINC000965652173 689518626 /nfs/dbraw/zinc/51/86/26/689518626.db2.gz HFEZEZYUWMIAKN-GXSJLCMTSA-N 0 2 320.353 0.068 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)c1cc[nH]c1 ZINC000966235693 689697324 /nfs/dbraw/zinc/69/73/24/689697324.db2.gz OYWNVDMJIWFUAO-KOLCDFICSA-N 0 2 304.354 0.479 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)c1cc[nH]c1 ZINC000966235693 689697327 /nfs/dbraw/zinc/69/73/27/689697327.db2.gz OYWNVDMJIWFUAO-KOLCDFICSA-N 0 2 304.354 0.479 20 0 DCADLN CCN(C(=O)c1cnon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000968272457 690217945 /nfs/dbraw/zinc/21/79/45/690217945.db2.gz SPBXKYLIBIOQJR-QMMMGPOBSA-N 0 2 324.234 0.643 20 0 DCADLN CCN(C(=O)c1cnon1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000968272457 690217947 /nfs/dbraw/zinc/21/79/47/690217947.db2.gz SPBXKYLIBIOQJR-QMMMGPOBSA-N 0 2 324.234 0.643 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccon1 ZINC000968764102 690386895 /nfs/dbraw/zinc/38/68/95/690386895.db2.gz ISRYCHOFMPHXDF-SNVBAGLBSA-N 0 2 320.353 0.673 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccon1 ZINC000968764102 690386897 /nfs/dbraw/zinc/38/68/97/690386897.db2.gz ISRYCHOFMPHXDF-SNVBAGLBSA-N 0 2 320.353 0.673 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000973001682 690505626 /nfs/dbraw/zinc/50/56/26/690505626.db2.gz GUGLUCUUNMAPLB-VIFPVBQESA-N 0 2 320.353 0.447 20 0 DCADLN O=C(N[C@]12CCC[C@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1ccon1 ZINC000989979369 690531338 /nfs/dbraw/zinc/53/13/38/690531338.db2.gz HGFNFJURTDTQND-YGRLFVJLSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1ccon1 ZINC000989979369 690531341 /nfs/dbraw/zinc/53/13/41/690531341.db2.gz HGFNFJURTDTQND-YGRLFVJLSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001007061250 690623862 /nfs/dbraw/zinc/62/38/62/690623862.db2.gz ZXNOOOJMRBEHNR-DCQANWLSSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCOCC1 ZINC001007246448 690648481 /nfs/dbraw/zinc/64/84/81/690648481.db2.gz SJIUQVAZYOTIPU-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008888247 690945642 /nfs/dbraw/zinc/94/56/42/690945642.db2.gz OUJIUGHKGWHHBY-JTQLQIEISA-N 0 2 319.369 0.245 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(=O)[nH]c1 ZINC001009411617 691037574 /nfs/dbraw/zinc/03/75/74/691037574.db2.gz UZBKXISLIYXTEM-JTQLQIEISA-N 0 2 318.337 0.005 20 0 DCADLN O=C(c1ccncn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011239822 691491815 /nfs/dbraw/zinc/49/18/15/691491815.db2.gz GRKVRMPJAFJYLK-VIFPVBQESA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1ccncn1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011239822 691491820 /nfs/dbraw/zinc/49/18/20/691491820.db2.gz GRKVRMPJAFJYLK-VIFPVBQESA-N 0 2 320.246 0.661 20 0 DCADLN CCc1cc(C(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)C[C@@H]2C)[nH]n1 ZINC001012324727 691748364 /nfs/dbraw/zinc/74/83/64/691748364.db2.gz BXRGMCSIOREZSX-WPRPVWTQSA-N 0 2 317.353 0.123 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001014487805 692030396 /nfs/dbraw/zinc/03/03/96/692030396.db2.gz WFAMCLRZICFENE-YVECIDJPSA-N 0 2 317.393 0.803 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1conc1C1CC1 ZINC001015684527 692395468 /nfs/dbraw/zinc/39/54/68/692395468.db2.gz RMDSIQJUZHRNPZ-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN COc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001016074010 692560566 /nfs/dbraw/zinc/56/05/66/692560566.db2.gz GAAHIXOYLGOATH-NSHDSACASA-N 0 2 317.349 0.523 20 0 DCADLN Cc1noc(CN[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC001018877525 693272294 /nfs/dbraw/zinc/27/22/94/693272294.db2.gz CQZMGIQUAHVYIL-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1CCC1 ZINC001073507814 694733344 /nfs/dbraw/zinc/73/33/44/694733344.db2.gz GHAGXPLOKRZUEN-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN C/C=C(/C)C(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074205166 694839911 /nfs/dbraw/zinc/83/99/11/694839911.db2.gz NVAKGJXZQXXTNV-HUWORAJQSA-N 0 2 321.381 0.278 20 0 DCADLN CCC(=O)N1CCC(O)(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001074375207 694879579 /nfs/dbraw/zinc/87/95/79/694879579.db2.gz AOSURMPWXOHPOC-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N1CCC(O)(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001074375207 694879582 /nfs/dbraw/zinc/87/95/82/694879582.db2.gz AOSURMPWXOHPOC-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CO[C@@H](C)C(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001075802328 694977808 /nfs/dbraw/zinc/97/78/08/694977808.db2.gz YQTURWIFNXGJTQ-FXQIFTODSA-N 0 2 302.268 0.933 20 0 DCADLN CO[C@@H](C)C(=O)NCC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001075802328 694977809 /nfs/dbraw/zinc/97/78/09/694977809.db2.gz YQTURWIFNXGJTQ-FXQIFTODSA-N 0 2 302.268 0.933 20 0 DCADLN O=C([C@H]1C[C@H]2C[C@H]2C1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076156420 695002106 /nfs/dbraw/zinc/00/21/06/695002106.db2.gz LAMVEWOQJYLMGW-KIJLLGNVSA-N 0 2 317.393 0.589 20 0 DCADLN O=C([C@H]1C[C@H]2C[C@H]2C1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076156420 695002107 /nfs/dbraw/zinc/00/21/07/695002107.db2.gz LAMVEWOQJYLMGW-KIJLLGNVSA-N 0 2 317.393 0.589 20 0 DCADLN O=C([C@H]1CC12CC2)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076285358 695011369 /nfs/dbraw/zinc/01/13/69/695011369.db2.gz KSCXYHSUROVMRC-HBNTYKKESA-N 0 2 303.366 0.343 20 0 DCADLN O=C([C@H]1CC12CC2)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076285358 695011370 /nfs/dbraw/zinc/01/13/70/695011370.db2.gz KSCXYHSUROVMRC-HBNTYKKESA-N 0 2 303.366 0.343 20 0 DCADLN C[C@H](CC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001076612584 695032544 /nfs/dbraw/zinc/03/25/44/695032544.db2.gz IAITXGCLBVASIM-KGYLQXTDSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@H](CC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001076612584 695032545 /nfs/dbraw/zinc/03/25/45/695032545.db2.gz IAITXGCLBVASIM-KGYLQXTDSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O)C1CCCCCC1 ZINC001076802685 695057905 /nfs/dbraw/zinc/05/79/05/695057905.db2.gz ONHZYFDGJKFETD-VXGBXAGGSA-N 0 2 323.397 0.142 20 0 DCADLN COCCC(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076856689 695077810 /nfs/dbraw/zinc/07/78/10/695077810.db2.gz BLCUKWAIZYBSML-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN COCCC(=O)N[C@@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001076856689 695077813 /nfs/dbraw/zinc/07/78/13/695077813.db2.gz BLCUKWAIZYBSML-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)[C@H]1CCOC1 ZINC001076858479 695078262 /nfs/dbraw/zinc/07/82/62/695078262.db2.gz BGXKANLMWXGUDE-CIUDSAMLSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)[C@H]1CCOC1 ZINC001076858479 695078266 /nfs/dbraw/zinc/07/82/66/695078266.db2.gz BGXKANLMWXGUDE-CIUDSAMLSA-N 0 2 314.279 0.934 20 0 DCADLN CN(CCCNC(=O)c1ccn[nH]1)C(=O)C(F)C(F)(F)F ZINC001687393756 1158145771 /nfs/dbraw/zinc/14/57/71/1158145771.db2.gz WVXPWTFHQDUIDJ-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)c1ccn[nH]1)C(=O)[C@H](F)C(F)(F)F ZINC001687393756 1158145775 /nfs/dbraw/zinc/14/57/75/1158145775.db2.gz WVXPWTFHQDUIDJ-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN CC(C)CC(=O)NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001383005629 1159198275 /nfs/dbraw/zinc/19/82/75/1159198275.db2.gz SWFAAIZBPMATET-SCZZXKLOSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)CC(=O)NC[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001383005629 1159198276 /nfs/dbraw/zinc/19/82/76/1159198276.db2.gz SWFAAIZBPMATET-SCZZXKLOSA-N 0 2 316.295 0.868 20 0 DCADLN Cn1cc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001446034419 1159329576 /nfs/dbraw/zinc/32/95/76/1159329576.db2.gz YPGNHICJDGVGPX-RKDXNWHRSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cc(CN2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001446034419 1159329584 /nfs/dbraw/zinc/32/95/84/1159329584.db2.gz YPGNHICJDGVGPX-RKDXNWHRSA-N 0 2 309.267 0.406 20 0 DCADLN Cc1cnc([C@H](C)[N@@H+](C)[C@@H](C)CNC(=O)c2ncn[nH]2)cn1 ZINC001448106461 1159718461 /nfs/dbraw/zinc/71/84/61/1159718461.db2.gz UIZPQONCQFHFEV-QWRGUYRKSA-N 0 2 303.370 0.714 20 0 DCADLN Cc1cnc([C@H](C)[N@H+](C)[C@@H](C)CNC(=O)c2ncn[nH]2)cn1 ZINC001448106461 1159718464 /nfs/dbraw/zinc/71/84/64/1159718464.db2.gz UIZPQONCQFHFEV-QWRGUYRKSA-N 0 2 303.370 0.714 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567358603 1160324651 /nfs/dbraw/zinc/32/46/51/1160324651.db2.gz WYOIDTDHHCBDJU-NEPJUHHUSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567358603 1160324656 /nfs/dbraw/zinc/32/46/56/1160324656.db2.gz WYOIDTDHHCBDJU-NEPJUHHUSA-N 0 2 305.382 0.947 20 0 DCADLN CC(C)NC(=O)CCCC(=O)NCCc1n[nH]c(=S)o1 ZINC001567853436 1160501940 /nfs/dbraw/zinc/50/19/40/1160501940.db2.gz YGCIXQURNBBMSQ-UHFFFAOYSA-N 0 2 300.384 0.712 20 0 DCADLN CC1(C)OC[C@H](/C=C/C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001568148079 1160585324 /nfs/dbraw/zinc/58/53/24/1160585324.db2.gz YTZKLTFLWFJLAT-PVTPVBHGSA-N 0 2 322.365 0.924 20 0 DCADLN CC(C)CCC[C@H]([NH2+]Cc1nnc2c(=O)[nH]ccn12)C(=O)[O-] ZINC001573511289 1163454888 /nfs/dbraw/zinc/45/48/88/1163454888.db2.gz RKMFNTBPCYWGDM-JTQLQIEISA-N 0 2 307.354 0.787 20 0 DCADLN CC[N@H+](Cc1cnc2c(C(=O)[O-])cnn2c1)[C@@H]1CCNC1=O ZINC001573777351 1163550902 /nfs/dbraw/zinc/55/09/02/1163550902.db2.gz RHMNJDRHBHNNAI-LLVKDONJSA-N 0 2 303.322 0.138 20 0 DCADLN CC[N@@H+](Cc1cnc2c(C(=O)[O-])cnn2c1)[C@@H]1CCNC1=O ZINC001573777351 1163550908 /nfs/dbraw/zinc/55/09/08/1163550908.db2.gz RHMNJDRHBHNNAI-LLVKDONJSA-N 0 2 303.322 0.138 20 0 DCADLN COC(=O)[C@@H]1[C@@H](C[NH2+][C@H](C)c2nc(C(=O)[O-])n[nH]2)C1(F)F ZINC001573915849 1163584622 /nfs/dbraw/zinc/58/46/22/1163584622.db2.gz KSYNOZROZJOSNC-PBXRRBTRSA-N 0 2 304.253 0.208 20 0 DCADLN COCCN(C)c1ccc(C[NH2+]Cc2nc(C(=O)[O-])n[nH]2)cn1 ZINC001573984234 1163616891 /nfs/dbraw/zinc/61/68/91/1163616891.db2.gz OCBSVBNVGIROBM-UHFFFAOYSA-N 0 2 320.353 0.270 20 0 DCADLN CC(C)(C)[C@@H](C[NH2+]Cc1c[nH]nn1)NC(=O)c1cc[n+]([O-])cc1 ZINC001575262016 1163958490 /nfs/dbraw/zinc/95/84/90/1163958490.db2.gz IYGCKRSWGFMALM-CYBMUJFWSA-N 0 2 318.381 0.372 20 0 DCADLN CC(C)(C)[C@@H](C[NH2+]Cc1cn[nH]n1)NC(=O)c1cc[n+]([O-])cc1 ZINC001575262016 1163958498 /nfs/dbraw/zinc/95/84/98/1163958498.db2.gz IYGCKRSWGFMALM-CYBMUJFWSA-N 0 2 318.381 0.372 20 0 DCADLN CCn1cc(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)cn1 ZINC001578759757 1165098059 /nfs/dbraw/zinc/09/80/59/1165098059.db2.gz VMGZAMDLEILDLQ-UHFFFAOYSA-N 0 2 314.309 0.744 20 0 DCADLN CNc1ccc(-c2nn[nH]n2)cc1NC(=O)Cc1n[nH]c(C)n1 ZINC001579429528 1165306021 /nfs/dbraw/zinc/30/60/21/1165306021.db2.gz CVOVYTUWWMDATE-UHFFFAOYSA-N 0 2 313.325 0.516 20 0 DCADLN CO[C@@H]1CC[C@H]1N(C)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001579614232 1165359708 /nfs/dbraw/zinc/35/97/08/1165359708.db2.gz DGQBCSNGWOXYGH-NXEZZACHSA-N 0 2 318.337 0.525 20 0 DCADLN COc1cccc(OCCn2cnc(-c3nn[nH]n3)cc2=O)c1 ZINC001580074981 1165492957 /nfs/dbraw/zinc/49/29/57/1165492957.db2.gz SOXPVZSJYCPYLN-UHFFFAOYSA-N 0 2 314.305 0.511 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@H](O)[C@@H]1C ZINC001580596809 1165649861 /nfs/dbraw/zinc/64/98/61/1165649861.db2.gz DNJJRPBWXDIZBZ-WPRPVWTQSA-N 0 2 318.337 0.261 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@](C)(CO)C1CC1 ZINC001580601801 1165651804 /nfs/dbraw/zinc/65/18/04/1165651804.db2.gz YIEHQYHMXVVCPZ-CQSZACIVSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](CO)CC(C)C ZINC001580606777 1165654159 /nfs/dbraw/zinc/65/41/59/1165654159.db2.gz NZKAZXXBMHVPQU-VIFPVBQESA-N 0 2 320.353 0.413 20 0 DCADLN Cc1ccn(Cc2ccc3nonc3c2)c(=O)c1-c1nn[nH]n1 ZINC001581275072 1165808661 /nfs/dbraw/zinc/80/86/61/1165808661.db2.gz LUUCPLQWYLVYKD-UHFFFAOYSA-N 0 2 309.289 0.921 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)n1)Nc1cc(F)cc(F)c1O ZINC001582199102 1165982230 /nfs/dbraw/zinc/98/22/30/1165982230.db2.gz DZLRXDYULJYMAT-UHFFFAOYSA-N 0 2 322.235 0.081 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc(F)cc1Cl ZINC001582806503 1166086633 /nfs/dbraw/zinc/08/66/33/1166086633.db2.gz MDICIQFWTUZOKB-UHFFFAOYSA-N 0 2 322.687 0.970 20 0 DCADLN C[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1ccsc1 ZINC001589977990 1166735087 /nfs/dbraw/zinc/73/50/87/1166735087.db2.gz DCKCGOVUWGKMEH-LURJTMIESA-N 0 2 319.350 0.901 20 0 DCADLN CC[C@@H](NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)[C@@H](C)O ZINC001590457525 1166914119 /nfs/dbraw/zinc/91/41/19/1166914119.db2.gz REASBEMLPNPFQQ-VXNVDRBHSA-N 0 2 306.326 0.165 20 0 DCADLN CCCC[C@@H](C)N(C)c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590576801 1166946016 /nfs/dbraw/zinc/94/60/16/1166946016.db2.gz GBNLNXIFPLORLA-MRVPVSSYSA-N 0 2 307.358 0.269 20 0 DCADLN CCCCc1noc(Cn2cncc(-c3nn[nH]n3)c2=O)n1 ZINC001590614849 1166948613 /nfs/dbraw/zinc/94/86/13/1166948613.db2.gz XGOZJHHYWWPLTB-UHFFFAOYSA-N 0 2 302.298 0.197 20 0 DCADLN CCc1nc(NCc2n[nH]c(C3CC3)n2)cc(-c2nn[nH]n2)n1 ZINC001590922909 1167051662 /nfs/dbraw/zinc/05/16/62/1167051662.db2.gz XNENAILHHMAINC-UHFFFAOYSA-N 0 2 312.341 0.827 20 0 DCADLN CCc1nncn1CCNCc1nc(-c2nn[nH]n2)cs1 ZINC001590933832 1167053056 /nfs/dbraw/zinc/05/30/56/1167053056.db2.gz DVFRPSAWLFVJTQ-UHFFFAOYSA-N 0 2 305.371 0.267 20 0 DCADLN CO[C@H](C)Cn1cc(Br)cc(-c2nn[nH]n2)c1=O ZINC001591324881 1167214293 /nfs/dbraw/zinc/21/42/93/1167214293.db2.gz SABSKGPPUZPBQK-ZCFIWIBFSA-N 0 2 314.143 0.826 20 0 DCADLN CO[C@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)CC[C@@H]1C ZINC001591363080 1167236324 /nfs/dbraw/zinc/23/63/24/1167236324.db2.gz SINMBZXFTHPXRE-JQWIXIFHSA-N 0 2 318.381 0.651 20 0 DCADLN COCC[C@H](C)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001591398439 1167266185 /nfs/dbraw/zinc/26/61/85/1167266185.db2.gz FMQXIJAZPVLCQM-QMMMGPOBSA-N 0 2 306.326 0.431 20 0 DCADLN Cc1ccc(C(=O)Cn2cnc(-c3nn[nH]n3)cc2=O)cc1C ZINC001592115426 1167457359 /nfs/dbraw/zinc/45/73/59/1167457359.db2.gz HIWWONBZWZWFEE-UHFFFAOYSA-N 0 2 310.317 0.923 20 0 DCADLN Cc1ccn(Cc2nc(C(F)F)no2)c(=O)c1-c1nn[nH]n1 ZINC001592266103 1167480597 /nfs/dbraw/zinc/48/05/97/1167480597.db2.gz UZTZQTHPPIPHKZ-UHFFFAOYSA-N 0 2 309.236 0.706 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H](C)CC[S@](C)=O ZINC001592498692 1167539156 /nfs/dbraw/zinc/53/91/56/1167539156.db2.gz DGLSNAANCKYQDC-QFWYVNQISA-N 0 2 311.367 0.655 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC2(C1)CN(C)C(=O)O2 ZINC001592497198 1167539179 /nfs/dbraw/zinc/53/91/79/1167539179.db2.gz VBHXCWUKWCXGES-UHFFFAOYSA-N 0 2 318.293 0.045 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H](C)CC[S@@](C)=O ZINC001592498694 1167539622 /nfs/dbraw/zinc/53/96/22/1167539622.db2.gz DGLSNAANCKYQDC-WODJRTHDSA-N 0 2 311.367 0.655 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)NCCCc1nc[nH]n1 ZINC001592501676 1167540959 /nfs/dbraw/zinc/54/09/59/1167540959.db2.gz QXPPQNZKPXDCJK-UHFFFAOYSA-N 0 2 302.298 0.249 20 0 DCADLN Cn1c(=O)[nH]c(NCCC[C@@H]2C=CCC2)c(-c2nn[nH]n2)c1=O ZINC001592545689 1167551431 /nfs/dbraw/zinc/55/14/31/1167551431.db2.gz JHJVTNQGFGDFNO-SECBINFHSA-N 0 2 317.353 0.824 20 0 DCADLN Cn1ncc(-c2nn[nH]n2)c1NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001592598046 1167577819 /nfs/dbraw/zinc/57/78/19/1167577819.db2.gz YTURISVPDAYUOA-QMMMGPOBSA-N 0 2 316.329 0.182 20 0 DCADLN O=C1CCCc2c1cn(Cc1ccccn1)c(=O)c2-c1nn[nH]n1 ZINC001593395352 1167810710 /nfs/dbraw/zinc/81/07/10/1167810710.db2.gz DTXBZLBEJGBZBD-UHFFFAOYSA-N 0 2 322.328 0.991 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@@H]1CCC2(CCCC2)O1 ZINC001593489151 1167874360 /nfs/dbraw/zinc/87/43/60/1167874360.db2.gz BLYOOXPTNPRSIT-VIFPVBQESA-N 0 2 318.337 0.621 20 0 DCADLN O=c1[nH]nc(CCCNc2ncc(-c3nn[nH]n3)cc2F)[nH]1 ZINC001593498009 1167881223 /nfs/dbraw/zinc/88/12/23/1167881223.db2.gz OXJFVZAQCOXVHW-UHFFFAOYSA-N 0 2 305.277 0.269 20 0 DCADLN COC(=O)CNC(=O)[C@H](C)[NH2+][C@@H](C)c1ncc(C(=O)[O-])s1 ZINC001598865026 1168049603 /nfs/dbraw/zinc/04/96/03/1168049603.db2.gz OCWJADSUFWUXEY-BQBZGAKWSA-N 0 2 315.351 0.170 20 0 DCADLN Cc1cc(C(=O)[O-])oc1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001600130716 1168124764 /nfs/dbraw/zinc/12/47/64/1168124764.db2.gz QRAMFFWMXKTTRY-SNVBAGLBSA-N 0 2 304.306 0.796 20 0 DCADLN Cc1cc(C2(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])CC2)on1 ZINC001600182343 1168132126 /nfs/dbraw/zinc/13/21/26/1168132126.db2.gz CLQNONGMFAVSAU-JTQLQIEISA-N 0 2 304.306 0.481 20 0 DCADLN Cc1ccc(N2CCC(C(=O)NCCO)CC2)[nH+]c1C(=O)[O-] ZINC001600437147 1168183584 /nfs/dbraw/zinc/18/35/84/1168183584.db2.gz RHZONPMXUCSZJM-UHFFFAOYSA-N 0 2 307.350 0.413 20 0 DCADLN Cc1ccnc(C[N@H+](C)CCCNC(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001600600087 1168207648 /nfs/dbraw/zinc/20/76/48/1168207648.db2.gz SAXZZLGAOFCHEJ-NWDGAFQWSA-N 0 2 306.366 0.444 20 0 DCADLN Cc1ccnc(C[N@@H+](C)CCCNC(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001600600087 1168207655 /nfs/dbraw/zinc/20/76/55/1168207655.db2.gz SAXZZLGAOFCHEJ-NWDGAFQWSA-N 0 2 306.366 0.444 20 0 DCADLN Cc1ccnc(C2CC[NH+](CN3C[C@@H](C(=O)[O-])OC3=O)CC2)n1 ZINC001600600112 1168208408 /nfs/dbraw/zinc/20/84/08/1168208408.db2.gz SWQFMWRBSLAHSW-LBPRGKRZSA-N 0 2 320.349 0.827 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CCOC[C@H]3CC(=O)[O-])ccn12 ZINC001600626325 1168217764 /nfs/dbraw/zinc/21/77/64/1168217764.db2.gz HXHZMHSQOLVVJC-GFCCVEGCSA-N 0 2 303.318 0.958 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)NC[C@@]3(C(=O)[O-])CCOC3)ccn12 ZINC001600627148 1168218884 /nfs/dbraw/zinc/21/88/84/1168218884.db2.gz USMIKNOWTJRPFX-HNNXBMFYSA-N 0 2 303.318 0.864 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)C[C@@H](C)CC(=O)[O-])C2 ZINC001600724187 1168262186 /nfs/dbraw/zinc/26/21/86/1168262186.db2.gz IVBHOWDBLDPQAK-PWSUYJOCSA-N 0 2 323.393 0.658 20 0 DCADLN Cc1ncc(S(=O)(=O)NCCc2c[nH+]cn2C)cc1C(=O)[O-] ZINC001600747164 1168273867 /nfs/dbraw/zinc/27/38/67/1168273867.db2.gz FCAYUWPSVDUVBK-UHFFFAOYSA-N 0 2 324.362 0.343 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@H]3CC[C@@H](C(=O)[O-])O3)CC2)cc[nH+]1 ZINC001600750118 1168276622 /nfs/dbraw/zinc/27/66/22/1168276622.db2.gz FNUSCNANYRDENW-NEPJUHHUSA-N 0 2 320.349 0.066 20 0 DCADLN Cc1ncsc1C[NH+]1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001600761812 1168281395 /nfs/dbraw/zinc/28/13/95/1168281395.db2.gz DGJFQKDMMQTGCI-CQSZACIVSA-N 0 2 311.407 0.813 20 0 DCADLN Cc1nn(C)c2ncc(C[N@H+]3C[C@H](C)O[C@H](C(=O)[O-])C3)cc12 ZINC001600775974 1168289396 /nfs/dbraw/zinc/28/93/96/1168289396.db2.gz NBCOKZHYYOCEJN-ZANVPECISA-N 0 2 304.350 0.951 20 0 DCADLN Cc1nn(C)c2ncc(C[N@@H+]3C[C@H](C)O[C@H](C(=O)[O-])C3)cc12 ZINC001600775974 1168289406 /nfs/dbraw/zinc/28/94/06/1168289406.db2.gz NBCOKZHYYOCEJN-ZANVPECISA-N 0 2 304.350 0.951 20 0 DCADLN Cc1nonc1C[N@@H+](C)CCCN(C)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001600819046 1168475193 /nfs/dbraw/zinc/47/51/93/1168475193.db2.gz YZFUEKLLXSSWEE-QWRGUYRKSA-N 0 2 310.354 0.379 20 0 DCADLN Cc1nonc1C[N@H+](C)CCCN(C)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001600819046 1168475197 /nfs/dbraw/zinc/47/51/97/1168475197.db2.gz YZFUEKLLXSSWEE-QWRGUYRKSA-N 0 2 310.354 0.379 20 0 DCADLN CC1SC(C(=O)N2CC[N@H+](CCC(=O)[O-])C[C@@H]2C)=NC1=O ZINC001600865737 1168492890 /nfs/dbraw/zinc/49/28/90/1168492890.db2.gz QEYJIIBIPFRGBJ-QMMMGPOBSA-N 0 2 313.379 0.778 20 0 DCADLN CC1SC(C(=O)N2CC[N@@H+](CCC(=O)[O-])C[C@@H]2C)=NC1=O ZINC001600865737 1168492896 /nfs/dbraw/zinc/49/28/96/1168492896.db2.gz QEYJIIBIPFRGBJ-QMMMGPOBSA-N 0 2 313.379 0.778 20 0 DCADLN Cn1cc(C[NH+]2CCN(c3cnc(C(=O)[O-])cn3)CC2)cn1 ZINC001600953415 1168530244 /nfs/dbraw/zinc/53/02/44/1168530244.db2.gz SWCWEKZUKZIUHB-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN NC(=O)Nc1cccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001601159058 1168612861 /nfs/dbraw/zinc/61/28/61/1168612861.db2.gz XTBTYFAWZDLYPJ-LLVKDONJSA-N 0 2 317.305 0.326 20 0 DCADLN NC(=O)Nc1cccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001601159058 1168612865 /nfs/dbraw/zinc/61/28/65/1168612865.db2.gz XTBTYFAWZDLYPJ-LLVKDONJSA-N 0 2 317.305 0.326 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@@H+]2CC[C@H](n3cncn3)C2)n1 ZINC001601308316 1168699482 /nfs/dbraw/zinc/69/94/82/1168699482.db2.gz PCQBOQOCUOITBN-JTQLQIEISA-N 0 2 316.321 0.257 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@H+]2CC[C@H](n3cncn3)C2)n1 ZINC001601308316 1168699489 /nfs/dbraw/zinc/69/94/89/1168699489.db2.gz PCQBOQOCUOITBN-JTQLQIEISA-N 0 2 316.321 0.257 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@H+]2CCC[C@H](OCCO)C2)n1 ZINC001601312763 1168702634 /nfs/dbraw/zinc/70/26/34/1168702634.db2.gz JVOXPWPGBDCEOH-NSHDSACASA-N 0 2 323.349 0.192 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@@H+]2CCC[C@H](OCCO)C2)n1 ZINC001601312763 1168702639 /nfs/dbraw/zinc/70/26/39/1168702639.db2.gz JVOXPWPGBDCEOH-NSHDSACASA-N 0 2 323.349 0.192 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1n[nH]c2c1CCCCC2 ZINC001601425860 1168742890 /nfs/dbraw/zinc/74/28/90/1168742890.db2.gz LTBVYOIDQJQWMI-GFCCVEGCSA-N 0 2 317.349 0.758 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ncc2n1CCCC2 ZINC001601426294 1168743088 /nfs/dbraw/zinc/74/30/88/1168743088.db2.gz WPMRHNFNZJWRBA-LLVKDONJSA-N 0 2 303.322 0.299 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2nonc2c1 ZINC001601565063 1168782123 /nfs/dbraw/zinc/78/21/23/1168782123.db2.gz MUHZIRYQXMLCNS-NSHDSACASA-N 0 2 301.262 0.372 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2nonc2c1 ZINC001601565063 1168782127 /nfs/dbraw/zinc/78/21/27/1168782127.db2.gz MUHZIRYQXMLCNS-NSHDSACASA-N 0 2 301.262 0.372 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1nc(Cl)cs1 ZINC001601565089 1168783183 /nfs/dbraw/zinc/78/31/83/1168783183.db2.gz NZDQESJJAKNJGX-LURJTMIESA-N 0 2 300.727 0.945 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1nc(Cl)cs1 ZINC001601565089 1168783188 /nfs/dbraw/zinc/78/31/88/1168783188.db2.gz NZDQESJJAKNJGX-LURJTMIESA-N 0 2 300.727 0.945 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)C(F)(F)c1nccs1 ZINC001601662173 1168807654 /nfs/dbraw/zinc/80/76/54/1168807654.db2.gz GEMWCUXDYGFGSO-SSDOTTSWSA-N 0 2 316.289 0.701 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001601775896 1168874397 /nfs/dbraw/zinc/87/43/97/1168874397.db2.gz NHSQBJRDCRPSDM-VXGBXAGGSA-N 0 2 316.361 0.232 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001601775896 1168874406 /nfs/dbraw/zinc/87/44/06/1168874406.db2.gz NHSQBJRDCRPSDM-VXGBXAGGSA-N 0 2 316.361 0.232 20 0 DCADLN O=C([O-])[C@@H]1CN(S(=O)(=O)CCn2cc[nH+]c2)c2ccccc21 ZINC001601848727 1168912961 /nfs/dbraw/zinc/91/29/61/1168912961.db2.gz KVQMCACZGABRCD-GFCCVEGCSA-N 0 2 321.358 0.901 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC001602150424 1169003406 /nfs/dbraw/zinc/00/34/06/1169003406.db2.gz MNVRCTGYFMUERZ-CYBMUJFWSA-N 0 2 319.361 0.536 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CCC[C@H](n3cc(CO)nn3)C2)c1 ZINC001602150373 1169003480 /nfs/dbraw/zinc/00/34/80/1169003480.db2.gz LNAZGGFGFBOZAK-ZDUSSCGKSA-N 0 2 317.349 0.634 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]Cc2cnn(-c3ccccc3)c2)nn1 ZINC001602161586 1169007979 /nfs/dbraw/zinc/00/79/79/1169007979.db2.gz COIQDGMVUDIDCE-UHFFFAOYSA-N 0 2 312.333 0.838 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H](O)c1ccccc1Cl ZINC001602208460 1169031418 /nfs/dbraw/zinc/03/14/18/1169031418.db2.gz DVTNUUFILATXOE-NWDGAFQWSA-N 0 2 323.736 0.909 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H](O)c1ccccc1Cl ZINC001602208460 1169031431 /nfs/dbraw/zinc/03/14/31/1169031431.db2.gz DVTNUUFILATXOE-NWDGAFQWSA-N 0 2 323.736 0.909 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@@H+](CCO)CCOCCO)nc2c1 ZINC001602406282 1169074070 /nfs/dbraw/zinc/07/40/70/1169074070.db2.gz FESRMYSJBBCIEU-UHFFFAOYSA-N 0 2 323.349 0.064 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@H+](CCO)CCOCCO)nc2c1 ZINC001602406282 1169074080 /nfs/dbraw/zinc/07/40/80/1169074080.db2.gz FESRMYSJBBCIEU-UHFFFAOYSA-N 0 2 323.349 0.064 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)nn1 ZINC001602493063 1169090274 /nfs/dbraw/zinc/09/02/74/1169090274.db2.gz NVCIODXXFFFNLM-SNVBAGLBSA-N 0 2 304.310 0.106 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)nn1 ZINC001602493063 1169090280 /nfs/dbraw/zinc/09/02/80/1169090280.db2.gz NVCIODXXFFFNLM-SNVBAGLBSA-N 0 2 304.310 0.106 20 0 DCADLN O=C([O-])c1cnoc1CN1CC[NH+](CCc2cnccn2)CC1 ZINC001602547349 1169113987 /nfs/dbraw/zinc/11/39/87/1169113987.db2.gz JGNLQJHQPFXLCS-UHFFFAOYSA-N 0 2 317.349 0.523 20 0 DCADLN CC(=O)CSCC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001603001949 1169227751 /nfs/dbraw/zinc/22/77/51/1169227751.db2.gz OTQQBGVLFWDDCR-SNVBAGLBSA-N 0 2 302.396 0.316 20 0 DCADLN CC(=O)CSCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC001603001949 1169227757 /nfs/dbraw/zinc/22/77/57/1169227757.db2.gz OTQQBGVLFWDDCR-SNVBAGLBSA-N 0 2 302.396 0.316 20 0 DCADLN CC(C)(C(=O)[O-])c1ccc(NC(=O)CN2CC[NH2+]CC2=O)cc1 ZINC001603267118 1169284027 /nfs/dbraw/zinc/28/40/27/1169284027.db2.gz XEWGIYDZLLTTSX-UHFFFAOYSA-N 0 2 319.361 0.419 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)c1coc(-c2nn[nH]n2)c1 ZINC001603294468 1169285984 /nfs/dbraw/zinc/28/59/84/1169285984.db2.gz FEWXSCQNGJMWBB-UHFFFAOYSA-N 0 2 317.313 0.271 20 0 DCADLN C[C@H](CC1CCC1)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603464768 1169308453 /nfs/dbraw/zinc/30/84/53/1169308453.db2.gz RUOKXBRIMOQXII-SSDOTTSWSA-N 0 2 305.342 0.657 20 0 DCADLN CC(C)C(=O)NC1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001603703670 1169365912 /nfs/dbraw/zinc/36/59/12/1169365912.db2.gz HARNVFTWQABSBR-UHFFFAOYSA-N 0 2 309.370 0.099 20 0 DCADLN CC(C)CCC(=O)NCC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001603786403 1169390117 /nfs/dbraw/zinc/39/01/17/1169390117.db2.gz COOHXWBUGMIVDI-NSHDSACASA-N 0 2 310.354 0.005 20 0 DCADLN CC1CCC(Cn2cnc3ncc(-c4nn[nH]n4)c(=O)n32)CC1 ZINC001603878264 1169420353 /nfs/dbraw/zinc/42/03/53/1169420353.db2.gz LZZOTQZNPRQHOI-UHFFFAOYSA-N 0 2 314.353 0.897 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)[NH+]1CCOCC1 ZINC001604285291 1169547709 /nfs/dbraw/zinc/54/77/09/1169547709.db2.gz BELUHWKEFGYNPX-OBPIAQAESA-N 0 2 311.382 0.068 20 0 DCADLN C[C@@H]([NH2+][C@@H](C)C(=O)NCC(=O)[O-])c1nnc2n1CCCCC2 ZINC001604357830 1169566418 /nfs/dbraw/zinc/56/64/18/1169566418.db2.gz QIOOBIXBMVIIJC-ZJUUUORDSA-N 0 2 309.370 0.244 20 0 DCADLN CCn1nccc1CCn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001604623800 1169633425 /nfs/dbraw/zinc/63/34/25/1169633425.db2.gz AMDZDCXBWDQROR-UHFFFAOYSA-N 0 2 314.353 0.499 20 0 DCADLN CN(C)C(=O)[C@H]1CCN(Cc2nc(-c3nn[nH]n3)cs2)C1 ZINC001604666601 1169646967 /nfs/dbraw/zinc/64/69/67/1169646967.db2.gz MJFRZGFUNBMUPX-QMMMGPOBSA-N 0 2 307.383 0.233 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@H]2[N@@H+]1CC(=O)NCC(F)(F)F ZINC001604711925 1169660999 /nfs/dbraw/zinc/66/09/99/1169660999.db2.gz QFUXPSLFOOCUGW-DOLQZWNJSA-N 0 2 324.299 0.760 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@H]2[N@H+]1CC(=O)NCC(F)(F)F ZINC001604711925 1169661005 /nfs/dbraw/zinc/66/10/05/1169661005.db2.gz QFUXPSLFOOCUGW-DOLQZWNJSA-N 0 2 324.299 0.760 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cccs1 ZINC001604793531 1169687261 /nfs/dbraw/zinc/68/72/61/1169687261.db2.gz AACAPDMMTCZYOF-JTQLQIEISA-N 0 2 318.420 0.918 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cccs1 ZINC001604793531 1169687278 /nfs/dbraw/zinc/68/72/78/1169687278.db2.gz AACAPDMMTCZYOF-JTQLQIEISA-N 0 2 318.420 0.918 20 0 DCADLN CC[C@@H](C(=O)[O-])C(=O)Nc1cc(N2CC[NH+](C)CC2)ccn1 ZINC001604878829 1169727012 /nfs/dbraw/zinc/72/70/12/1169727012.db2.gz JNRHJRRWRZGSRG-GFCCVEGCSA-N 0 2 306.366 0.883 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC2CC=CC2)c1 ZINC001604964350 1169766180 /nfs/dbraw/zinc/76/61/80/1169766180.db2.gz LWTYKUQJPUSOQG-UHFFFAOYSA-N 0 2 301.306 0.781 20 0 DCADLN CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC001605172356 1169856823 /nfs/dbraw/zinc/85/68/23/1169856823.db2.gz FNVRIBUGJXYKAR-SNVBAGLBSA-N 0 2 313.379 0.495 20 0 DCADLN CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC001605172356 1169856834 /nfs/dbraw/zinc/85/68/34/1169856834.db2.gz FNVRIBUGJXYKAR-SNVBAGLBSA-N 0 2 313.379 0.495 20 0 DCADLN C[C@@H](Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C(C)(C)C ZINC001605405513 1169913096 /nfs/dbraw/zinc/91/30/96/1169913096.db2.gz XEHPCLMPALPOSD-SSDOTTSWSA-N 0 2 307.358 0.111 20 0 DCADLN CCN(CCC(=O)[O-])C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC001605442136 1169921644 /nfs/dbraw/zinc/92/16/44/1169921644.db2.gz NPCJYLQDKUQQIX-UHFFFAOYSA-N 0 2 323.393 0.757 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1(C(F)F)CC1 ZINC001605506699 1169941823 /nfs/dbraw/zinc/94/18/23/1169941823.db2.gz MENLWLCCBUFLFD-UHFFFAOYSA-N 0 2 310.264 0.803 20 0 DCADLN CCc1[nH]c(C[NH2+]Cc2nnc3n2CCOC3)cc1C(=O)[O-] ZINC001605775375 1169999364 /nfs/dbraw/zinc/99/93/64/1169999364.db2.gz HKHXGSHZNCGLAF-UHFFFAOYSA-N 0 2 305.338 0.687 20 0 DCADLN CCc1nc(C2CC[NH+]([C@@H](C)C(=O)NCC(=O)[O-])CC2)n[nH]1 ZINC001605848215 1170011292 /nfs/dbraw/zinc/01/12/92/1170011292.db2.gz FHZGNTVPYFRFTK-VIFPVBQESA-N 0 2 309.370 0.136 20 0 DCADLN CCc1nnc(C2CC[NH+]([C@@H](C)C(=O)NCC(=O)[O-])CC2)[nH]1 ZINC001605848215 1170011299 /nfs/dbraw/zinc/01/12/99/1170011299.db2.gz FHZGNTVPYFRFTK-VIFPVBQESA-N 0 2 309.370 0.136 20 0 DCADLN CCc1n[nH]c(C2CC[NH+]([C@@H](C)C(=O)NCC(=O)[O-])CC2)n1 ZINC001605848215 1170011307 /nfs/dbraw/zinc/01/13/07/1170011307.db2.gz FHZGNTVPYFRFTK-VIFPVBQESA-N 0 2 309.370 0.136 20 0 DCADLN CCc1nc(NC(=O)C[N@@H+]2CC[C@@](O)(C(=O)[O-])C2)sc1C ZINC001605853636 1170013689 /nfs/dbraw/zinc/01/36/89/1170013689.db2.gz GJNXCXUKIKRWPK-ZDUSSCGKSA-N 0 2 313.379 0.474 20 0 DCADLN CCc1nc(NC(=O)C[N@H+]2CC[C@@](O)(C(=O)[O-])C2)sc1C ZINC001605853636 1170013694 /nfs/dbraw/zinc/01/36/94/1170013694.db2.gz GJNXCXUKIKRWPK-ZDUSSCGKSA-N 0 2 313.379 0.474 20 0 DCADLN CN(C)C(=O)c1ccc(C[N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)[nH]1 ZINC001606006104 1170047901 /nfs/dbraw/zinc/04/79/01/1170047901.db2.gz KRXWMCVENCVYOI-OAHLLOKOSA-N 0 2 320.349 0.522 20 0 DCADLN CN(C)C(=O)c1ccc(C[N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)[nH]1 ZINC001606006104 1170047906 /nfs/dbraw/zinc/04/79/06/1170047906.db2.gz KRXWMCVENCVYOI-OAHLLOKOSA-N 0 2 320.349 0.522 20 0 DCADLN C[NH+](C)Cc1nc(CNS(=O)(=O)CCCC(=O)[O-])co1 ZINC001606037411 1170061851 /nfs/dbraw/zinc/06/18/51/1170061851.db2.gz SHXNIEDZYADEQL-UHFFFAOYSA-N 0 2 305.356 0.020 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001606070206 1170068714 /nfs/dbraw/zinc/06/87/14/1170068714.db2.gz KSLFRIFFSSWCTK-LBPRGKRZSA-N 0 2 300.746 0.217 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001606070206 1170068719 /nfs/dbraw/zinc/06/87/19/1170068719.db2.gz KSLFRIFFSSWCTK-LBPRGKRZSA-N 0 2 300.746 0.217 20 0 DCADLN C[N@H+](CCNS(C)(=O)=O)Cc1[nH]cc(C(=O)[O-])c1C1CC1 ZINC001606133091 1170090937 /nfs/dbraw/zinc/09/09/37/1170090937.db2.gz OBTPCQSDBUAQID-UHFFFAOYSA-N 0 2 315.395 0.571 20 0 DCADLN C[N@@H+](CCNS(C)(=O)=O)Cc1[nH]cc(C(=O)[O-])c1C1CC1 ZINC001606133091 1170090944 /nfs/dbraw/zinc/09/09/44/1170090944.db2.gz OBTPCQSDBUAQID-UHFFFAOYSA-N 0 2 315.395 0.571 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)NC[C@@]2(C(=O)[O-])CCOC2)[nH]n1 ZINC001606226458 1170125281 /nfs/dbraw/zinc/12/52/81/1170125281.db2.gz HBOWCJQOVYRUFD-WFASDCNBSA-N 0 2 322.365 0.398 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)NC[C@@]2(C(=O)[O-])CCOC2)[nH]n1 ZINC001606226458 1170125286 /nfs/dbraw/zinc/12/52/86/1170125286.db2.gz HBOWCJQOVYRUFD-WFASDCNBSA-N 0 2 322.365 0.398 20 0 DCADLN CNC(=O)[C@H]1CCC[C@H]1[NH2+]Cc1noc(CCCC(=O)[O-])n1 ZINC001606262005 1170139545 /nfs/dbraw/zinc/13/95/45/1170139545.db2.gz JHUXDCSXKDSAEZ-VHSXEESVSA-N 0 2 310.354 0.481 20 0 DCADLN COC(=O)C[C@@H](NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C(=O)[O-] ZINC001606440773 1170203763 /nfs/dbraw/zinc/20/37/63/1170203763.db2.gz RLXICJLWBMGGPZ-LLVKDONJSA-N 0 2 318.289 0.013 20 0 DCADLN CO[C@@H](CS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1)C(C)C ZINC001606683738 1170260822 /nfs/dbraw/zinc/26/08/22/1170260822.db2.gz YPPANYHYIAPHGJ-LBPRGKRZSA-N 0 2 322.427 0.080 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1)C(=O)[O-] ZINC001607423965 1170449935 /nfs/dbraw/zinc/44/99/35/1170449935.db2.gz JSPCTFZQQJXZAP-BNOWGMLFSA-N 0 2 321.377 0.386 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1)C(=O)[O-] ZINC001607423965 1170449937 /nfs/dbraw/zinc/44/99/37/1170449937.db2.gz JSPCTFZQQJXZAP-BNOWGMLFSA-N 0 2 321.377 0.386 20 0 DCADLN C[C@@](O)(C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1ccccc1 ZINC001607448581 1170456183 /nfs/dbraw/zinc/45/61/83/1170456183.db2.gz YHTHQQIWDJIOCW-WFASDCNBSA-N 0 2 303.318 0.429 20 0 DCADLN C[C@@](O)(C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1ccccc1 ZINC001607448581 1170456185 /nfs/dbraw/zinc/45/61/85/1170456185.db2.gz YHTHQQIWDJIOCW-WFASDCNBSA-N 0 2 303.318 0.429 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[NH+](C[C@@H](C)O)CC1 ZINC001607917830 1170524125 /nfs/dbraw/zinc/52/41/25/1170524125.db2.gz SBSSLPQAXWYCJZ-LLVKDONJSA-N 0 2 310.350 0.354 20 0 DCADLN Cc1nc(CC[NH+]2CCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)cs1 ZINC001607954627 1170532513 /nfs/dbraw/zinc/53/25/13/1170532513.db2.gz MNVCXFKJDSHEKK-QWHCGFSZSA-N 0 2 323.418 0.859 20 0 DCADLN Cc1nc(N(C)C2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC001607986771 1170540112 /nfs/dbraw/zinc/54/01/12/1170540112.db2.gz LXSALOWVOJMIKT-OLZOCXBDSA-N 0 2 318.377 0.933 20 0 DCADLN Cc1nnc(C[N@@H+]2CCC3(CN(C(=O)[C@H]4C[C@@H]4C(=O)[O-])C3)C2)o1 ZINC001608016860 1170549294 /nfs/dbraw/zinc/54/92/94/1170549294.db2.gz YKJGFDDQQIUROF-QWRGUYRKSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1nnc(C[N@H+]2CCC3(CN(C(=O)[C@H]4C[C@@H]4C(=O)[O-])C3)C2)o1 ZINC001608016860 1170549300 /nfs/dbraw/zinc/54/93/00/1170549300.db2.gz YKJGFDDQQIUROF-QWRGUYRKSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1noc(CCC[NH+]2CCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC001608026229 1170552504 /nfs/dbraw/zinc/55/25/04/1170552504.db2.gz PANUISXFWSRRKY-NWDGAFQWSA-N 0 2 322.365 0.176 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1C[C@H](C(=O)[O-])Oc2cc(F)ccc21 ZINC001608136508 1170588887 /nfs/dbraw/zinc/58/88/87/1170588887.db2.gz PHUOSOAPVIXPRA-CYBMUJFWSA-N 0 2 319.292 0.981 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)NC[C@@H](C(=O)[O-])c1ccccc1 ZINC001608281532 1170641800 /nfs/dbraw/zinc/64/18/00/1170641800.db2.gz JNVCALRAVPRLFP-NFBGWVBBSA-N 0 2 318.373 0.859 20 0 DCADLN O=C(CN1CCCCCCC1=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001608331547 1170651836 /nfs/dbraw/zinc/65/18/36/1170651836.db2.gz RONZQYMXDBMAPO-LBPRGKRZSA-N 0 2 322.365 0.314 20 0 DCADLN O=C(CN1CCCCCCC1=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001608331547 1170651840 /nfs/dbraw/zinc/65/18/40/1170651840.db2.gz RONZQYMXDBMAPO-LBPRGKRZSA-N 0 2 322.365 0.314 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC001608554410 1170700892 /nfs/dbraw/zinc/70/08/92/1170700892.db2.gz PXEZGNQRZIHKRH-YPMHNXCESA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])[C@H]1CCCCCN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001608629003 1170726501 /nfs/dbraw/zinc/72/65/01/1170726501.db2.gz LNSLTVHRVKDVRV-LLVKDONJSA-N 0 2 301.368 0.542 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)/C=C/C[NH+]2CCOCC2)CCCOC1 ZINC001608682802 1170735963 /nfs/dbraw/zinc/73/59/63/1170735963.db2.gz LHAOFKMATKRNTK-BQTXGFLSSA-N 0 2 312.366 0.015 20 0 DCADLN O=C([O-])CCCc1nc(C[NH2+][C@@H](CO)[C@H]2CCCOC2)no1 ZINC001608719385 1170742682 /nfs/dbraw/zinc/74/26/82/1170742682.db2.gz PUERSSRWCUDPFW-QWRGUYRKSA-N 0 2 313.354 0.354 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)C(=O)c2ccc(Cl)cc2)CC1 ZINC001608748887 1170748911 /nfs/dbraw/zinc/74/89/11/1170748911.db2.gz YNVQLWFYWOWHOZ-UHFFFAOYSA-N 0 2 310.737 0.752 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]CC(=O)N2CCc3ccccc32)nn1 ZINC001608797488 1170756321 /nfs/dbraw/zinc/75/63/21/1170756321.db2.gz JDXKQXQLJWOJGW-UHFFFAOYSA-N 0 2 315.333 0.042 20 0 DCADLN O=C([O-])c1cnc(CN2CC[NH+](Cc3ccncc3)CC2)cn1 ZINC001608971745 1170778615 /nfs/dbraw/zinc/77/86/15/1170778615.db2.gz VMOBFMBLEOCFGI-UHFFFAOYSA-N 0 2 313.361 0.888 20 0 DCADLN O=C([O-])c1n[nH]c2c1CN(CC[N@H+]1C[C@H]3CC[C@@H](C1)O3)CC2 ZINC001608994506 1170782495 /nfs/dbraw/zinc/78/24/95/1170782495.db2.gz UOEDYTYTYGQHRM-PHIMTYICSA-N 0 2 306.366 0.329 20 0 DCADLN O=C([O-])c1n[nH]c2c1CN(CC[N@@H+]1C[C@H]3CC[C@@H](C1)O3)CC2 ZINC001608994506 1170782502 /nfs/dbraw/zinc/78/25/02/1170782502.db2.gz UOEDYTYTYGQHRM-PHIMTYICSA-N 0 2 306.366 0.329 20 0 DCADLN C[C@]12CCN(Cn3cccc(-c4nn[nH]n4)c3=O)C[C@H]1C2(F)F ZINC001609152266 1170829757 /nfs/dbraw/zinc/82/97/57/1170829757.db2.gz YWBUFNXJBYWGDE-MFKMUULPSA-N 0 2 322.319 0.963 20 0 DCADLN CCOC(=O)CCCn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001609233281 1170889059 /nfs/dbraw/zinc/88/90/59/1170889059.db2.gz OFOVEWQVEREVNV-UHFFFAOYSA-N 0 2 317.349 0.860 20 0 DCADLN CCc1nn(Cc2cc[nH]n2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001609255239 1170899839 /nfs/dbraw/zinc/89/98/39/1170899839.db2.gz HXNSWBVHHZFXMM-UHFFFAOYSA-N 0 2 300.326 0.320 20 0 DCADLN COCC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001609315118 1170929007 /nfs/dbraw/zinc/92/90/07/1170929007.db2.gz BDJJGOLLJUTYMF-UHFFFAOYSA-N 0 2 303.322 0.123 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)c(C)c2)no1 ZINC001609453745 1170982512 /nfs/dbraw/zinc/98/25/12/1170982512.db2.gz RUAAFWHURPYJLR-UHFFFAOYSA-N 0 2 321.322 0.667 20 0 DCADLN CC(=O)Nc1cccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001609625195 1171053216 /nfs/dbraw/zinc/05/32/16/1171053216.db2.gz PHYWRJAAVUIVFY-CYBMUJFWSA-N 0 2 316.317 0.725 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CC[C@@](F)(CO)C1 ZINC001609632138 1171055244 /nfs/dbraw/zinc/05/52/44/1171055244.db2.gz CVWJQFQNQFYTTL-YGRLFVJLSA-N 0 2 302.346 0.104 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CC[C@@](F)(CO)C1 ZINC001609632138 1171055248 /nfs/dbraw/zinc/05/52/48/1171055248.db2.gz CVWJQFQNQFYTTL-YGRLFVJLSA-N 0 2 302.346 0.104 20 0 DCADLN C[C@]1(CO)CCC[N@@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001609823070 1171095577 /nfs/dbraw/zinc/09/55/77/1171095577.db2.gz UVUHJBGFCIQSPP-CYBMUJFWSA-N 0 2 313.379 0.556 20 0 DCADLN C[C@]1(CO)CCC[N@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001609823070 1171095581 /nfs/dbraw/zinc/09/55/81/1171095581.db2.gz UVUHJBGFCIQSPP-CYBMUJFWSA-N 0 2 313.379 0.556 20 0 DCADLN CCC(CC)[C@@H](C(=O)NC[C@@](C)(O)C(=O)[O-])[NH+]1CCOCC1 ZINC001609916464 1171121482 /nfs/dbraw/zinc/12/14/82/1171121482.db2.gz ANNMYIICULSDHR-SWLSCSKDSA-N 0 2 316.398 0.075 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC001610121646 1171163070 /nfs/dbraw/zinc/16/30/70/1171163070.db2.gz BXUMWEYMNNLYFB-STQMWFEESA-N 0 2 310.325 0.304 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC001610121646 1171163072 /nfs/dbraw/zinc/16/30/72/1171163072.db2.gz BXUMWEYMNNLYFB-STQMWFEESA-N 0 2 310.325 0.304 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001610229419 1171201808 /nfs/dbraw/zinc/20/18/08/1171201808.db2.gz FYEANZHUOGPWKN-UWVGGRQHSA-N 0 2 310.354 0.712 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001610229419 1171201815 /nfs/dbraw/zinc/20/18/15/1171201815.db2.gz FYEANZHUOGPWKN-UWVGGRQHSA-N 0 2 310.354 0.712 20 0 DCADLN COc1cc2c(cc1C[N@@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-])OCO2 ZINC001610308663 1171218396 /nfs/dbraw/zinc/21/83/96/1171218396.db2.gz YOEBFZUASZBVBK-IINYFYTJSA-N 0 2 309.318 0.834 20 0 DCADLN COc1cc2c(cc1C[N@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-])OCO2 ZINC001610308663 1171218402 /nfs/dbraw/zinc/21/84/02/1171218402.db2.gz YOEBFZUASZBVBK-IINYFYTJSA-N 0 2 309.318 0.834 20 0 DCADLN Cc1cccc(N2CC[NH+]([C@H](C)C(=O)NCC(=O)[O-])CC2)c1 ZINC001610524185 1171246533 /nfs/dbraw/zinc/24/65/33/1171246533.db2.gz BATKYTYTWWGOJX-CYBMUJFWSA-N 0 2 305.378 0.706 20 0 DCADLN Cc1ccn2ncc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])c2c1 ZINC001610535690 1171248863 /nfs/dbraw/zinc/24/88/63/1171248863.db2.gz VFASLXMKEPBIDO-LBPRGKRZSA-N 0 2 313.317 0.722 20 0 DCADLN NC(=[NH+]OCCC1CS(=O)(=O)C1)c1cccc(C(=O)[O-])c1 ZINC001610635899 1171275311 /nfs/dbraw/zinc/27/53/11/1171275311.db2.gz FWQIFNJCTBRYSK-UHFFFAOYSA-N 0 2 312.347 0.666 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)Cc1cc2n(n1)CCC2 ZINC001610780122 1171321669 /nfs/dbraw/zinc/32/16/69/1171321669.db2.gz WDHZHWNGZHAHBH-UHFFFAOYSA-N 0 2 308.382 0.048 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cccc2c1NC(=O)CO2 ZINC001633468193 1171642637 /nfs/dbraw/zinc/64/26/37/1171642637.db2.gz QAJXMNLNMRXVHG-UHFFFAOYSA-N 0 2 320.330 0.662 20 0 DCADLN COC[C@H](NC(=O)c1cc(F)cc2[nH]cnc21)c1nn[nH]n1 ZINC001634622618 1171681327 /nfs/dbraw/zinc/68/13/27/1171681327.db2.gz WREDPFIGLLNWBB-VIFPVBQESA-N 0 2 305.273 0.333 20 0 DCADLN COC[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1nn[nH]n1 ZINC001634622618 1171681328 /nfs/dbraw/zinc/68/13/28/1171681328.db2.gz WREDPFIGLLNWBB-VIFPVBQESA-N 0 2 305.273 0.333 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)c1 ZINC001635090732 1171692043 /nfs/dbraw/zinc/69/20/43/1171692043.db2.gz NICFUGFKEQLXSU-LLVKDONJSA-N 0 2 317.305 0.240 20 0 DCADLN Cn1cnc(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)cc1=O ZINC001635088345 1171692324 /nfs/dbraw/zinc/69/23/24/1171692324.db2.gz BGCCZANABHTDRH-UHFFFAOYSA-N 0 2 312.289 0.523 20 0 DCADLN O=C(NOC/C=C\Cl)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001638369475 1171796212 /nfs/dbraw/zinc/79/62/12/1171796212.db2.gz KUBALYDSJJGQCE-BHQIHCQQSA-N 0 2 310.697 0.680 20 0 DCADLN COc1cccc2c(C(=O)NCc3nc(O)cc(=O)[nH]3)cnn21 ZINC001641493809 1171916963 /nfs/dbraw/zinc/91/69/63/1171916963.db2.gz YVHZPWPWIXYNGA-UHFFFAOYSA-N 0 2 315.289 0.474 20 0 DCADLN CC[C@@](COC)(NCC(=O)NOCc1ccccc1)C(=O)OC ZINC001643329588 1171971383 /nfs/dbraw/zinc/97/13/83/1171971383.db2.gz QQZAPZLRTCQKEC-INIZCTEOSA-N 0 2 324.377 0.792 20 0 DCADLN O=C(c1c2nc[nH]c2ccc1F)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001643391179 1171973573 /nfs/dbraw/zinc/97/35/73/1171973573.db2.gz QMJVZRKCABPKMC-SSDOTTSWSA-N 0 2 301.285 0.845 20 0 DCADLN O=C(c1c2[nH]cnc2ccc1F)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001643391179 1171973575 /nfs/dbraw/zinc/97/35/75/1171973575.db2.gz QMJVZRKCABPKMC-SSDOTTSWSA-N 0 2 301.285 0.845 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](C(=O)[O-])[C@@H]1CCCOC1 ZINC001646254034 1172306477 /nfs/dbraw/zinc/30/64/77/1172306477.db2.gz MMXQHFJOXSYZLF-PRULPYPASA-N 0 2 307.350 0.520 20 0 DCADLN O=C([C@H]1CCc2n[nH]cc2C1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001647245370 1172762141 /nfs/dbraw/zinc/76/21/41/1172762141.db2.gz DBLXFUCCPARKTJ-UWVGGRQHSA-N 0 2 316.365 0.745 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CC[C@@H](CO)C2)o1)C(F)(F)F ZINC001647319536 1172809092 /nfs/dbraw/zinc/80/90/92/1172809092.db2.gz PIVVWILTQOTWKN-MRVPVSSYSA-N 0 2 320.267 0.913 20 0 DCADLN CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001647344487 1172827027 /nfs/dbraw/zinc/82/70/27/1172827027.db2.gz UYNCIAJEASCKIQ-SNVBAGLBSA-N 0 2 309.370 0.354 20 0 DCADLN CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001647344487 1172827031 /nfs/dbraw/zinc/82/70/31/1172827031.db2.gz UYNCIAJEASCKIQ-SNVBAGLBSA-N 0 2 309.370 0.354 20 0 DCADLN Nc1cc(CCCCNC(=O)CCCc2nn[n-]n2)cc[nH+]1 ZINC001648331915 1173072568 /nfs/dbraw/zinc/07/25/68/1173072568.db2.gz XKZNSUGRMKDBQB-UHFFFAOYSA-N 0 2 303.370 0.639 20 0 DCADLN COC[C@H](NC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1)C(=O)[O-] ZINC001650025601 1173386234 /nfs/dbraw/zinc/38/62/34/1173386234.db2.gz UFFOINFEIQHRCL-UONOGXRCSA-N 0 2 321.377 0.652 20 0 DCADLN COC[C@H](NC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1)C(=O)[O-] ZINC001650025601 1173386237 /nfs/dbraw/zinc/38/62/37/1173386237.db2.gz UFFOINFEIQHRCL-UONOGXRCSA-N 0 2 321.377 0.652 20 0 DCADLN COCCN(CCC(=O)[O-])C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001650317286 1173564051 /nfs/dbraw/zinc/56/40/51/1173564051.db2.gz NCQFNFJFAAXFBH-UHFFFAOYSA-N 0 2 320.349 0.967 20 0 DCADLN CC(=O)NCCNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001660414772 1173991665 /nfs/dbraw/zinc/99/16/65/1173991665.db2.gz XRVUBHUZBPYUKG-UHFFFAOYSA-N 0 2 307.759 0.620 20 0 DCADLN C/C(=C/C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001666683467 1174622295 /nfs/dbraw/zinc/62/22/95/1174622295.db2.gz DHJPDTXZCKYYSR-XTOZHPGHSA-N 0 2 321.381 0.184 20 0 DCADLN CCN(CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1)C(C)=O ZINC001687784145 1176289830 /nfs/dbraw/zinc/28/98/30/1176289830.db2.gz JRPLHGAIURBHMG-UHFFFAOYSA-N 0 2 318.381 0.799 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nnc(C)o2)ccc1O ZINC000127792294 1177320297 /nfs/dbraw/zinc/32/02/97/1177320297.db2.gz ZDXCZDSVRYDIJA-UHFFFAOYSA-N 0 2 313.291 0.671 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001703222330 1179402833 /nfs/dbraw/zinc/40/28/33/1179402833.db2.gz QWEAZOCESXYSTK-CYBMUJFWSA-N 0 2 321.425 0.018 20 0 DCADLN Cc1ncncc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001703324740 1179471860 /nfs/dbraw/zinc/47/18/60/1179471860.db2.gz VIXUSVFCUOYNJJ-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN Cc1ncncc1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001703324740 1179471861 /nfs/dbraw/zinc/47/18/61/1179471861.db2.gz VIXUSVFCUOYNJJ-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN C[N@H+](CCCNC(=O)c1[nH]nc2c1CCC2)[C@H]1CCCNC1=O ZINC001707392112 1180478901 /nfs/dbraw/zinc/47/89/01/1180478901.db2.gz IUWAGIJSIGCZNK-ZDUSSCGKSA-N 0 2 319.409 0.229 20 0 DCADLN CC[C@H](C(N)=O)[N@H+](C)CCCNC(=O)c1[nH]nc2c1CCCC2 ZINC001707391039 1180478916 /nfs/dbraw/zinc/47/89/16/1180478916.db2.gz HOGATGMNCHWRAZ-CYBMUJFWSA-N 0 2 321.425 0.604 20 0 DCADLN CCCCO[C@H](C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001713080432 1180671940 /nfs/dbraw/zinc/67/19/40/1180671940.db2.gz JHNNXFVCFZRHDT-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)C1=COCCO1 ZINC001720220029 1183512794 /nfs/dbraw/zinc/51/27/94/1183512794.db2.gz PYXFESZYBJEVFX-QMMMGPOBSA-N 0 2 314.235 0.398 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)C1=COCCO1 ZINC001720220029 1183512799 /nfs/dbraw/zinc/51/27/99/1183512799.db2.gz PYXFESZYBJEVFX-QMMMGPOBSA-N 0 2 314.235 0.398 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001732843503 1186006302 /nfs/dbraw/zinc/00/63/02/1186006302.db2.gz ZUCHOIGUXJHCCR-XNPJLODASA-N 0 2 319.369 0.487 20 0 DCADLN CCCCO[C@@H](C)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001733207618 1186179696 /nfs/dbraw/zinc/17/96/96/1186179696.db2.gz PKJUYROHBFWGGY-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(CCc1cn[nH]c1)NCCNC(=O)C(F)C(F)(F)F ZINC001736769801 1187096460 /nfs/dbraw/zinc/09/64/60/1187096460.db2.gz MNRNGUSZKVZAKC-SECBINFHSA-N 0 2 310.251 0.475 20 0 DCADLN O=C(CCc1cn[nH]c1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736769801 1187096464 /nfs/dbraw/zinc/09/64/64/1187096464.db2.gz MNRNGUSZKVZAKC-SECBINFHSA-N 0 2 310.251 0.475 20 0 DCADLN CCc1nocc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001742498099 1187994743 /nfs/dbraw/zinc/99/47/43/1187994743.db2.gz XJAYJBXPHDZDHV-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1nocc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001742498099 1187994745 /nfs/dbraw/zinc/99/47/45/1187994745.db2.gz XJAYJBXPHDZDHV-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN Cc1c[nH]nc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001742858672 1188067997 /nfs/dbraw/zinc/06/79/97/1188067997.db2.gz MWACLMMGSGGKAN-MRVPVSSYSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1c[nH]nc1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001742858672 1188068003 /nfs/dbraw/zinc/06/80/03/1188068003.db2.gz MWACLMMGSGGKAN-MRVPVSSYSA-N 0 2 310.251 0.855 20 0 DCADLN CCn1ncc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001754112967 1188744832 /nfs/dbraw/zinc/74/48/32/1188744832.db2.gz SUIMRHORDFBSKB-SNAWJCMRSA-N 0 2 319.369 0.111 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CC=CC2)C[C@H]1O)C(F)C(F)(F)F ZINC001757005307 1189490462 /nfs/dbraw/zinc/49/04/62/1189490462.db2.gz PHXWGATUYLNTQH-BBBLOLIVSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CC=CC2)C[C@H]1O)[C@H](F)C(F)(F)F ZINC001757005307 1189490466 /nfs/dbraw/zinc/49/04/66/1189490466.db2.gz PHXWGATUYLNTQH-BBBLOLIVSA-N 0 2 324.274 0.541 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)C(=O)c1ccc[nH]1 ZINC001758419596 1189878528 /nfs/dbraw/zinc/87/85/28/1189878528.db2.gz GSNJZVJPCAJTAY-UHFFFAOYSA-N 0 2 303.366 0.867 20 0 DCADLN Cn1cc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)nn1 ZINC001759692854 1190270312 /nfs/dbraw/zinc/27/03/12/1190270312.db2.gz KQKZYRXSIWHUNT-SGJFDWMWSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1cc(C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001759692854 1190270316 /nfs/dbraw/zinc/27/03/16/1190270316.db2.gz KQKZYRXSIWHUNT-SGJFDWMWSA-N 0 2 323.250 0.118 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC(C)(C)CCO ZINC001771623105 1190453326 /nfs/dbraw/zinc/45/33/26/1190453326.db2.gz KDNSLCZVCPFBTR-UHFFFAOYSA-N 0 2 306.366 0.398 20 0 DCADLN Cc1ncncc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001574911830 1163798645 /nfs/dbraw/zinc/79/86/45/1163798645.db2.gz DDUJNHAEYDGCBX-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1ncncc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001574911830 1163798651 /nfs/dbraw/zinc/79/86/51/1163798651.db2.gz DDUJNHAEYDGCBX-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN CN(C(=O)Cc1cccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042419218 751633849 /nfs/dbraw/zinc/63/38/49/751633849.db2.gz GKZRDBFVTFVEFQ-UHFFFAOYSA-N 0 2 307.379 0.457 20 0 DCADLN Cc1nscc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042635331 751796816 /nfs/dbraw/zinc/79/68/16/751796816.db2.gz UBYDNUHLCXAOKL-UHFFFAOYSA-N 0 2 308.367 0.232 20 0 DCADLN CN(C(=O)[C@H]1CCC(F)(F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042867161 751955347 /nfs/dbraw/zinc/95/53/47/751955347.db2.gz AGRWTRFEYNJYCT-QMMMGPOBSA-N 0 2 315.324 0.588 20 0 DCADLN CC(C)[C@H]1OCC[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042880071 751963164 /nfs/dbraw/zinc/96/31/64/751963164.db2.gz CPVOBMMEOUWIOA-DGCLKSJQSA-N 0 2 323.397 0.214 20 0 DCADLN CC[C@H](C(=O)NCC=CCNc1ncnc2[nH]cnc21)[NH+](C)C ZINC001107274638 751987554 /nfs/dbraw/zinc/98/75/54/751987554.db2.gz GBUFITIAQMSBDD-MVIFTORASA-N 0 2 317.397 0.729 20 0 DCADLN CN(C(=O)[C@@H]1CCC[C@H]2C[C@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043154642 752104988 /nfs/dbraw/zinc/10/49/88/752104988.db2.gz ROYZTTICTJCEQK-MVWJERBFSA-N 0 2 305.382 0.589 20 0 DCADLN O=C(/C=C/C1CC1)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377825 752202649 /nfs/dbraw/zinc/20/26/49/752202649.db2.gz VMMJZCNZKYMRQD-HMDXOVGESA-N 0 2 324.274 0.543 20 0 DCADLN O=C(/C=C/C1CC1)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043377825 752202652 /nfs/dbraw/zinc/20/26/52/752202652.db2.gz VMMJZCNZKYMRQD-HMDXOVGESA-N 0 2 324.274 0.543 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001059167070 748792776 /nfs/dbraw/zinc/79/27/76/748792776.db2.gz DLLRAYKVOJICPG-DCAQKATOSA-N 0 2 315.381 0.506 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cc[nH]c2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071525614 761928235 /nfs/dbraw/zinc/92/82/35/761928235.db2.gz NZFPZSQQJDLGPL-ONGXEEELSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cc[nH]c2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071525614 761928242 /nfs/dbraw/zinc/92/82/42/761928242.db2.gz NZFPZSQQJDLGPL-ONGXEEELSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088867446 750685574 /nfs/dbraw/zinc/68/55/74/750685574.db2.gz XHEKPWHYYQHGRQ-SVDPJWKOSA-N 0 2 309.370 0.014 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088867446 750685577 /nfs/dbraw/zinc/68/55/77/750685577.db2.gz XHEKPWHYYQHGRQ-SVDPJWKOSA-N 0 2 309.370 0.014 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc2cc[nH]c(=O)c2s1 ZINC001168437359 750895674 /nfs/dbraw/zinc/89/56/74/750895674.db2.gz MHTOUPNJBXVTKF-UHFFFAOYSA-N 0 2 318.314 0.721 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cc2cc[nH]c(=O)c2s1 ZINC001168437359 750895682 /nfs/dbraw/zinc/89/56/82/750895682.db2.gz MHTOUPNJBXVTKF-UHFFFAOYSA-N 0 2 318.314 0.721 20 0 DCADLN CN(C(=O)[C@H]1Cc2ccccc21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043707283 752357089 /nfs/dbraw/zinc/35/70/89/752357089.db2.gz DKNPSTZTNCMUSX-ZDUSSCGKSA-N 0 2 313.361 0.493 20 0 DCADLN C[C@@H]1CCC[N@@H+]1CC(=O)N(C)C1CN(Cc2c[nH+]cn2C)C1 ZINC001043865378 752428872 /nfs/dbraw/zinc/42/88/72/752428872.db2.gz OIXNLNSCIAVJQB-CYBMUJFWSA-N 0 2 305.426 0.547 20 0 DCADLN C[C@@]1(CNC(=O)C2=CCCC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107866142 752448207 /nfs/dbraw/zinc/44/82/07/752448207.db2.gz JKCDAFULCXFVCD-OAHLLOKOSA-N 0 2 321.381 0.328 20 0 DCADLN COc1ccccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044217758 752633006 /nfs/dbraw/zinc/63/30/06/752633006.db2.gz QUVFAIKOZOJBSU-UHFFFAOYSA-N 0 2 317.349 0.475 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)Cn1cncn1 ZINC000041867550 752679953 /nfs/dbraw/zinc/67/99/53/752679953.db2.gz JNAOUTFNQJGVJK-UHFFFAOYSA-N 0 2 304.335 0.235 20 0 DCADLN CC1(NC(=O)c2ncccn2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045591931 753255707 /nfs/dbraw/zinc/25/57/07/753255707.db2.gz JALNMJZTFQAUQB-UHFFFAOYSA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2cnsn2)C12CCC2)c1cn[nH]n1 ZINC001078667702 753335553 /nfs/dbraw/zinc/33/55/53/753335553.db2.gz ILQPAVKGZRZBJX-MNOVXSKESA-N 0 2 319.394 0.487 20 0 DCADLN CC(=O)N[C@H]1CCN(Cc2nc(=O)c3sccc3[nH]2)C[C@H]1O ZINC001089976158 753445344 /nfs/dbraw/zinc/44/53/44/753445344.db2.gz NSUGIVGUJPEFQD-GXSJLCMTSA-N 0 2 322.390 0.468 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)[C@@H](F)C(F)(F)F)C(F)F ZINC001061173747 753542195 /nfs/dbraw/zinc/54/21/95/753542195.db2.gz YYDKRZBHCXUMCP-PHDIDXHHSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C(F)C(F)(F)F)C(F)F ZINC001061173747 753542191 /nfs/dbraw/zinc/54/21/91/753542191.db2.gz YYDKRZBHCXUMCP-PHDIDXHHSA-N 0 2 322.205 0.496 20 0 DCADLN Cc1cccnc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046213024 753561942 /nfs/dbraw/zinc/56/19/42/753561942.db2.gz BQTHJYDUNUONGQ-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cnccc1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300651 753630038 /nfs/dbraw/zinc/63/00/38/753630038.db2.gz KILCZHYYOYBXNC-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cnccc1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300651 753630041 /nfs/dbraw/zinc/63/00/41/753630041.db2.gz KILCZHYYOYBXNC-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O)C1CCCCC1 ZINC001090035110 753752072 /nfs/dbraw/zinc/75/20/72/753752072.db2.gz UKAVYMQUGPQTTI-NWDGAFQWSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@]1(NC(=O)c2nccs2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046725078 753909792 /nfs/dbraw/zinc/90/97/92/753909792.db2.gz UHMJQKNGAGLJDT-GFCCVEGCSA-N 0 2 308.367 0.361 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046806585 753954577 /nfs/dbraw/zinc/95/45/77/753954577.db2.gz STQKNBXSCNADIL-AWEZNQCLSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046806585 753954582 /nfs/dbraw/zinc/95/45/82/753954582.db2.gz STQKNBXSCNADIL-AWEZNQCLSA-N 0 2 319.369 0.190 20 0 DCADLN O=C(Cc1ccco1)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096213924 754397766 /nfs/dbraw/zinc/39/77/66/754397766.db2.gz KVFYIVIIHYQRFP-USWWRNFRSA-N 0 2 317.349 0.568 20 0 DCADLN CC(C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O)=C1CCC1 ZINC001090253866 754463642 /nfs/dbraw/zinc/46/36/42/754463642.db2.gz FZCLJNIUMIAQIE-VXGBXAGGSA-N 0 2 321.381 0.062 20 0 DCADLN O=C(c1ccon1)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049362788 755235509 /nfs/dbraw/zinc/23/55/09/755235509.db2.gz YOMRCGNQKLIQPE-MNOVXSKESA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1ccon1)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049362788 755235513 /nfs/dbraw/zinc/23/55/13/755235513.db2.gz YOMRCGNQKLIQPE-MNOVXSKESA-N 0 2 318.337 0.377 20 0 DCADLN Cc1occc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096783587 755517978 /nfs/dbraw/zinc/51/79/78/755517978.db2.gz VJFGUMVOFBRPPY-JLLWLGSASA-N 0 2 317.349 0.947 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccns1 ZINC001079790837 755996193 /nfs/dbraw/zinc/99/61/93/755996193.db2.gz BYPSYXCBLJHHGQ-HTQZYQBOSA-N 0 2 308.367 0.217 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001079901881 756033381 /nfs/dbraw/zinc/03/33/81/756033381.db2.gz YYPUJMZMLZLVPU-QMMMGPOBSA-N 0 2 324.234 0.643 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001079901881 756033386 /nfs/dbraw/zinc/03/33/86/756033386.db2.gz YYPUJMZMLZLVPU-QMMMGPOBSA-N 0 2 324.234 0.643 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(C2CC2)CC1 ZINC001079919610 756045419 /nfs/dbraw/zinc/04/54/19/756045419.db2.gz OKOCTHFBDBOGKK-MWLCHTKSSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(C2CC2)CC1 ZINC001079919610 756045426 /nfs/dbraw/zinc/04/54/26/756045426.db2.gz OKOCTHFBDBOGKK-MWLCHTKSSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1cocc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001080275704 756158849 /nfs/dbraw/zinc/15/88/49/756158849.db2.gz PNTGXVDJXVUZIF-LDYMZIIASA-N 0 2 305.338 0.662 20 0 DCADLN CCc1nocc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001080398971 756197669 /nfs/dbraw/zinc/19/76/69/756197669.db2.gz VSJPHPZCRDJSAH-LDYMZIIASA-N 0 2 320.353 0.311 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)c(C)[nH]1 ZINC001080522944 756234360 /nfs/dbraw/zinc/23/43/60/756234360.db2.gz HQVNJLHCCIQGKW-PRHODGIISA-N 0 2 318.381 0.706 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)c(C)[nH]1 ZINC001080522944 756234366 /nfs/dbraw/zinc/23/43/66/756234366.db2.gz HQVNJLHCCIQGKW-PRHODGIISA-N 0 2 318.381 0.706 20 0 DCADLN O=C(C[C@@H]1C=CCC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084178842 757711222 /nfs/dbraw/zinc/71/12/22/757711222.db2.gz WJZGJMFZTDJWJE-JHJVBQTASA-N 0 2 317.393 0.899 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC=CCC1 ZINC001050811203 758103081 /nfs/dbraw/zinc/10/30/81/758103081.db2.gz ACEKNZLWRULPOY-VXGBXAGGSA-N 0 2 321.381 0.184 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccccc1F ZINC001085461690 758904965 /nfs/dbraw/zinc/90/49/65/758904965.db2.gz MBXATTFHNJXCMN-SNVBAGLBSA-N 0 2 319.340 0.996 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1CCC(O)CC1 ZINC001085569936 759065433 /nfs/dbraw/zinc/06/54/33/759065433.db2.gz WUGQQUKKLJHWMO-CXQJBGSLSA-N 0 2 323.397 0.094 20 0 DCADLN Cc1coc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001085776146 759294659 /nfs/dbraw/zinc/29/46/59/759294659.db2.gz KTGCESDWGCPOIG-JTQLQIEISA-N 0 2 305.338 0.758 20 0 DCADLN Cc1nc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cs1 ZINC001085782093 759303288 /nfs/dbraw/zinc/30/32/88/759303288.db2.gz MNJJHRIDYJQHGL-VIFPVBQESA-N 0 2 322.394 0.622 20 0 DCADLN Cc1nocc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085796995 759327832 /nfs/dbraw/zinc/32/78/32/759327832.db2.gz FDCVRHCQIDQPBH-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085807681 759334816 /nfs/dbraw/zinc/33/48/16/759334816.db2.gz NEVFOMMKMZOWDD-IJLUTSLNSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001085831036 759364693 /nfs/dbraw/zinc/36/46/93/759364693.db2.gz PUJKTTREDSSEGI-KKOKHZNYSA-N 0 2 321.381 0.111 20 0 DCADLN CCn1ccc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001085856209 759396293 /nfs/dbraw/zinc/39/62/93/759396293.db2.gz UCJFSCLVRVWEIL-SNVBAGLBSA-N 0 2 319.369 0.073 20 0 DCADLN C/C=C(/C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057830264 759573190 /nfs/dbraw/zinc/57/31/90/759573190.db2.gz BWTPLUPFFBEFIV-CHDHTGKKSA-N 0 2 314.279 0.790 20 0 DCADLN C/C=C(/C)C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057830264 759573198 /nfs/dbraw/zinc/57/31/98/759573198.db2.gz BWTPLUPFFBEFIV-CHDHTGKKSA-N 0 2 314.279 0.790 20 0 DCADLN CC(C)CC(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829181 759573238 /nfs/dbraw/zinc/57/32/38/759573238.db2.gz GCCYHIRNJLOMJW-SNVBAGLBSA-N 0 2 316.295 0.870 20 0 DCADLN CC(C)CC(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057829181 759573243 /nfs/dbraw/zinc/57/32/43/759573243.db2.gz GCCYHIRNJLOMJW-SNVBAGLBSA-N 0 2 316.295 0.870 20 0 DCADLN CC(F)(F)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829628 759573294 /nfs/dbraw/zinc/57/32/94/759573294.db2.gz SNQWPYCCDKOGEZ-LURJTMIESA-N 0 2 324.221 0.479 20 0 DCADLN CC(F)(F)C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057829628 759573301 /nfs/dbraw/zinc/57/33/01/759573301.db2.gz SNQWPYCCDKOGEZ-LURJTMIESA-N 0 2 324.221 0.479 20 0 DCADLN O=C(NC[C@@H](CO)Nc1ncc(F)cn1)C(F)C(F)(F)F ZINC001122762047 767871562 /nfs/dbraw/zinc/87/15/62/767871562.db2.gz WTDKOCWOORVMOU-NKWVEPMBSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](CO)Nc1ncc(F)cn1)[C@@H](F)C(F)(F)F ZINC001122762047 767871569 /nfs/dbraw/zinc/87/15/69/767871569.db2.gz WTDKOCWOORVMOU-NKWVEPMBSA-N 0 2 314.214 0.405 20 0 DCADLN NC(=O)c1cc(F)c(Cl)nc1N[C@H]1SC(=O)NC1=O ZINC001155837140 760192809 /nfs/dbraw/zinc/19/28/09/760192809.db2.gz KHLATIADSPJQSU-QMMMGPOBSA-N 0 2 304.690 0.694 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H]2C[C@H](CNC(=O)c3nnc[nH]3)C2)o1 ZINC001086507757 760272761 /nfs/dbraw/zinc/27/27/61/760272761.db2.gz KFRCWINEQGVFFN-HGNGGELXSA-N 0 2 305.342 0.355 20 0 DCADLN C[C@@H](O)CN1CCO[C@@](C)(CNC(=O)COc2cccnc2)C1 ZINC001108282678 760273957 /nfs/dbraw/zinc/27/39/57/760273957.db2.gz YIDDFDCKHVETPV-CJNGLKHVSA-N 0 2 323.393 0.048 20 0 DCADLN C[C@@H](CNc1ccc2nnnn2n1)NC(=O)C(F)C(F)(F)F ZINC001108739974 761025562 /nfs/dbraw/zinc/02/55/62/761025562.db2.gz WVYXCLHXRCJCMG-XNCJUZBTSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H](CNc1ccc2nnnn2n1)NC(=O)[C@H](F)C(F)(F)F ZINC001108739974 761025574 /nfs/dbraw/zinc/02/55/74/761025574.db2.gz WVYXCLHXRCJCMG-XNCJUZBTSA-N 0 2 321.238 0.336 20 0 DCADLN COC[C@@H](O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1c[nH+]c[nH]1)C2 ZINC001109386093 761390347 /nfs/dbraw/zinc/39/03/47/761390347.db2.gz LSBFZIIKGLGFJQ-QPSCCSFWSA-N 0 2 322.409 0.071 20 0 DCADLN COCC(=O)N[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001070840472 761466872 /nfs/dbraw/zinc/46/68/72/761466872.db2.gz AMOVQWLVCGWSKQ-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001070840472 761466879 /nfs/dbraw/zinc/46/68/79/761466879.db2.gz AMOVQWLVCGWSKQ-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C2CCOCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071305717 761770729 /nfs/dbraw/zinc/77/07/29/761770729.db2.gz YILHZTGJAABSCU-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C2CCOCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071305717 761770734 /nfs/dbraw/zinc/77/07/34/761770734.db2.gz YILHZTGJAABSCU-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001071327117 761780309 /nfs/dbraw/zinc/78/03/09/761780309.db2.gz KMGBCOQLURJCDR-ZJUUUORDSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001071330045 761781802 /nfs/dbraw/zinc/78/18/02/761781802.db2.gz QUOYRFZKZAVXIC-SCZZXKLOSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001071464244 761880667 /nfs/dbraw/zinc/88/06/67/761880667.db2.gz ZIMPQNJCJZGJDC-SCZZXKLOSA-N 0 2 320.353 0.590 20 0 DCADLN CCCC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CC1 ZINC001100003267 763203982 /nfs/dbraw/zinc/20/39/82/763203982.db2.gz CELFXHMURUJBPO-WDEREUQCSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]CCNC(=O)c2ccn3c[nH+]cc3c2)[nH]1 ZINC001130874850 763548101 /nfs/dbraw/zinc/54/81/01/763548101.db2.gz QGTYMFBVTWSFKX-SNVBAGLBSA-N 0 2 313.365 0.841 20 0 DCADLN CC(C)OCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131881516 764110606 /nfs/dbraw/zinc/11/06/06/764110606.db2.gz PQHIKFPDXHQTMS-MNOVXSKESA-N 0 2 311.386 0.404 20 0 DCADLN CC(C)OCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131881516 764110609 /nfs/dbraw/zinc/11/06/09/764110609.db2.gz PQHIKFPDXHQTMS-MNOVXSKESA-N 0 2 311.386 0.404 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCc2nncn2C)cn1 ZINC001116693429 765898337 /nfs/dbraw/zinc/89/83/37/765898337.db2.gz MOXXZGMQIUTTGZ-UHFFFAOYSA-N 0 2 314.353 0.394 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1cnc2c(cnn2C)c1 ZINC001117324203 766022637 /nfs/dbraw/zinc/02/26/37/766022637.db2.gz RYSCBDLTBQJLLZ-UHFFFAOYSA-N 0 2 306.351 0.478 20 0 DCADLN O=C(NCCC[NH2+]Cc1ccon1)[C@H]1CCc2[nH]nnc2C1 ZINC001171037732 766536287 /nfs/dbraw/zinc/53/62/87/766536287.db2.gz MZQIVDAHQGLOAM-JTQLQIEISA-N 0 2 304.354 0.194 20 0 DCADLN C/C(=C/C(=O)N[C@@H]1C[C@H]2C[C@@]2(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001119583974 766740276 /nfs/dbraw/zinc/74/02/76/766740276.db2.gz RZKTZHZSZPDJRP-SKWPAQCCSA-N 0 2 308.378 0.634 20 0 DCADLN Cc1noc(C[NH2+]C2CC(CNC(=O)[C@H]3CCC[N@H+]3C)C2)n1 ZINC001090954545 766764676 /nfs/dbraw/zinc/76/46/76/766764676.db2.gz GHEQXUXAPOGLRW-WXRRBKDZSA-N 0 2 307.398 0.457 20 0 DCADLN Cc1nccc(N2C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C2)n1 ZINC001091189838 767015153 /nfs/dbraw/zinc/01/51/53/767015153.db2.gz CODFDPLUZPTCLD-KHQFGBGNSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1nccc(N2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C2)n1 ZINC001091189838 767015162 /nfs/dbraw/zinc/01/51/62/767015162.db2.gz CODFDPLUZPTCLD-KHQFGBGNSA-N 0 2 322.262 0.351 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001121971360 767685165 /nfs/dbraw/zinc/68/51/65/767685165.db2.gz AETOYPUOJHWEJA-UHFFFAOYSA-N 0 2 309.370 0.377 20 0 DCADLN CC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCCO2)CCC1 ZINC001150132607 769017718 /nfs/dbraw/zinc/01/77/18/769017718.db2.gz SOBKGUPADZPSPR-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[NH2+][C@@H]1CCC[C@H](C(=O)OC)C1 ZINC001172595016 769485550 /nfs/dbraw/zinc/48/55/50/769485550.db2.gz QEPCTOLIILKPKT-AXFHLTTASA-N 0 2 301.339 0.714 20 0 DCADLN Nc1c2c(=O)nccc-2[nH]n1[C@@H]1CCC[C@H](n2ncnn2)C1 ZINC001173741141 769706717 /nfs/dbraw/zinc/70/67/17/769706717.db2.gz BBBUGBPQJJSHBV-BDAKNGLRSA-N 0 2 300.326 0.601 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001235743397 769940642 /nfs/dbraw/zinc/94/06/42/769940642.db2.gz RSJXSHYWCKEKAK-PWSUYJOCSA-N 0 2 307.398 0.979 20 0 DCADLN CN1C[C@@H](CNC(=O)c2c[nH]c3cccnc3c2=O)CC1=O ZINC001153862490 769972347 /nfs/dbraw/zinc/97/23/47/769972347.db2.gz WQWKZZFEHCTBAZ-SECBINFHSA-N 0 2 300.318 0.131 20 0 DCADLN CCCc1noc(CN(CC)[C@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001154134734 770086070 /nfs/dbraw/zinc/08/60/70/770086070.db2.gz VZGOOQDCPYDTNN-SNVBAGLBSA-N 0 2 321.385 0.781 20 0 DCADLN C[C@H](C(=O)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O)n1cc[nH+]c1 ZINC001177927246 770581111 /nfs/dbraw/zinc/58/11/11/770581111.db2.gz VTPOVXJBFPROEW-MRVPVSSYSA-N 0 2 320.330 0.515 20 0 DCADLN CC[N@H+](CC(=O)N(C)C)[C@H](C)CNC(=O)Cn1cc[nH+]c1C ZINC001156942373 770972752 /nfs/dbraw/zinc/97/27/52/770972752.db2.gz NRHKIIXGTHUACH-GFCCVEGCSA-N 0 2 309.414 0.106 20 0 DCADLN C[C@H](NC(=O)c1ccc2c(c1)nnn2C)c1nn(C)cc1O ZINC001179920395 771041575 /nfs/dbraw/zinc/04/15/75/771041575.db2.gz IPEJVBCASMSHGE-QMMMGPOBSA-N 0 2 300.322 0.898 20 0 DCADLN O=C([O-])C(F)(F)C(F)(F)C(=O)NCCOc1cc[nH+]cc1 ZINC001180181066 771070012 /nfs/dbraw/zinc/07/00/12/771070012.db2.gz ZKPYCOZRMODETJ-UHFFFAOYSA-N 0 2 310.203 0.932 20 0 DCADLN O=C(Nc1nccnc1N1CCOCC1)C(CO)C(F)(F)F ZINC001183281263 771627087 /nfs/dbraw/zinc/62/70/87/771627087.db2.gz YTMHCJHPWADCAG-MRVPVSSYSA-N 0 2 320.271 0.423 20 0 DCADLN O=C(Nc1nccnc1N1CCOCC1)[C@@H](CO)C(F)(F)F ZINC001183281263 771627094 /nfs/dbraw/zinc/62/70/94/771627094.db2.gz YTMHCJHPWADCAG-MRVPVSSYSA-N 0 2 320.271 0.423 20 0 DCADLN Nc1ccc(COCCO)c(NC(=O)C(CO)C(F)(F)F)c1 ZINC001183284490 771636946 /nfs/dbraw/zinc/63/69/46/771636946.db2.gz LQXVOMKSRWYGCP-SNVBAGLBSA-N 0 2 322.283 0.887 20 0 DCADLN Nc1ccc(COCCO)c(NC(=O)[C@@H](CO)C(F)(F)F)c1 ZINC001183284490 771636951 /nfs/dbraw/zinc/63/69/51/771636951.db2.gz LQXVOMKSRWYGCP-SNVBAGLBSA-N 0 2 322.283 0.887 20 0 DCADLN C[N@H+](CC(N)=O)C[C@H]1CCCCN1C(=O)[C@@H]1CCCC[NH+]1C ZINC001158329280 771760053 /nfs/dbraw/zinc/76/00/53/771760053.db2.gz MDKZRUABGNAXBP-KGLIPLIRSA-N 0 2 310.442 0.269 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H]1CCOc2ccccc2O1 ZINC001184716203 771816921 /nfs/dbraw/zinc/81/69/21/771816921.db2.gz CFPOZOYCJCNECB-BXKDBHETSA-N 0 2 308.315 0.642 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2cccnc2Cl)S1 ZINC001184888697 771836443 /nfs/dbraw/zinc/83/64/43/771836443.db2.gz YSHDADIBFFCQLE-ZETCQYMHSA-N 0 2 307.740 0.322 20 0 DCADLN CC(=O)NC[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC001185962241 771980983 /nfs/dbraw/zinc/98/09/83/771980983.db2.gz DTAMTTJBMUEGMB-NSHDSACASA-N 0 2 303.322 0.046 20 0 DCADLN O=C(Nc1n[nH]c2nccnc12)c1ccccc1-c1nn[nH]n1 ZINC001186992537 772122313 /nfs/dbraw/zinc/12/23/13/772122313.db2.gz WZWJPUCRBZTKMC-UHFFFAOYSA-N 0 2 307.277 0.737 20 0 DCADLN CCOC(=O)C(F)(F)CNS(=O)(=O)c1nccs1 ZINC001187910715 772242334 /nfs/dbraw/zinc/24/23/34/772242334.db2.gz HEYDEGOCXXLIFU-UHFFFAOYSA-N 0 2 300.308 0.620 20 0 DCADLN NC(=O)CNc1ccc(S(=O)(=O)Nc2ccccn2)cn1 ZINC001161840884 772288264 /nfs/dbraw/zinc/28/82/64/772288264.db2.gz RLTZXIVEINQLGN-UHFFFAOYSA-N 0 2 307.335 0.175 20 0 DCADLN CC(C)(C)[C@@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1nc(=O)o[n-]1 ZINC001162934780 772433359 /nfs/dbraw/zinc/43/33/59/772433359.db2.gz GEHMCRZGLRYKNO-ITKZLYELSA-N 0 2 324.381 0.455 20 0 DCADLN O=S(=O)(Nc1ccccn1)c1ccc(NC[C@@H](O)CO)nc1 ZINC001163349969 772512001 /nfs/dbraw/zinc/51/20/01/772512001.db2.gz RFKMAGMMZUHWHS-SNVBAGLBSA-N 0 2 324.362 0.043 20 0 DCADLN O=S(=O)(N[C@H]1CC[C@H](N2CCOCC2)CC1)c1ncc[nH]1 ZINC001190755759 772666154 /nfs/dbraw/zinc/66/61/54/772666154.db2.gz DRRXMXBQLLIAJW-HAQNSBGRSA-N 0 2 314.411 0.331 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)N[C@@H]2C[C@H]2F)c(O)cc1Cl ZINC001191046718 772718503 /nfs/dbraw/zinc/71/85/03/772718503.db2.gz XGZIKXLQQOXANE-RNFRBKRXSA-N 0 2 308.718 0.533 20 0 DCADLN COC(=O)[C@@H]1CN(C)CCN1C(=O)c1c(F)ccc(F)c1O ZINC001192706776 772952089 /nfs/dbraw/zinc/95/20/89/772952089.db2.gz NJUHZRYHCYYEKS-JTQLQIEISA-N 0 2 314.288 0.600 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2ccc(O)c(F)c2F)CCN1C ZINC001192832572 772965775 /nfs/dbraw/zinc/96/57/75/772965775.db2.gz FXYXSJXBPGWYLK-SECBINFHSA-N 0 2 314.288 0.600 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)C[C@@H]2CCCO2)cn1C ZINC001193214341 773026065 /nfs/dbraw/zinc/02/60/65/773026065.db2.gz YGWYMGKDYLPWAT-VIFPVBQESA-N 0 2 317.367 0.518 20 0 DCADLN CCOC(=O)c1ncncc1NC(=O)c1cc(C(=O)OC)n[nH]1 ZINC001194283719 773176324 /nfs/dbraw/zinc/17/63/24/773176324.db2.gz QMQJWOOOOWUSRU-UHFFFAOYSA-N 0 2 319.277 0.415 20 0 DCADLN CCOC(=O)c1ncncc1NC(=O)c1cc(C(=O)OC)[nH]n1 ZINC001194283719 773176328 /nfs/dbraw/zinc/17/63/28/773176328.db2.gz QMQJWOOOOWUSRU-UHFFFAOYSA-N 0 2 319.277 0.415 20 0 DCADLN CCO[C@H](CC)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195116507 773329901 /nfs/dbraw/zinc/32/99/01/773329901.db2.gz SOKZOBNWIRWLKX-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1[nH]c(=S)[nH]c(=O)c1N=O ZINC001195939082 773498158 /nfs/dbraw/zinc/49/81/58/773498158.db2.gz NEOIKBLKRDXGRH-UHFFFAOYSA-N 0 2 322.324 0.174 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cnc2c(c1)CN(C)CC2 ZINC001195939420 773498362 /nfs/dbraw/zinc/49/83/62/773498362.db2.gz XKLKGPAGWCSOMZ-UHFFFAOYSA-N 0 2 313.379 0.374 20 0 DCADLN O=S(=O)([O-])CCCC[NH+]1CCN(C[C@H]2CCC=CO2)CC1 ZINC001208883827 773536830 /nfs/dbraw/zinc/53/68/30/773536830.db2.gz YSQQTOCHPYILKC-CQSZACIVSA-N 0 2 318.439 0.965 20 0 DCADLN COC(=O)c1ncc(NS(=O)(=O)c2ccc(O)c(N)c2)cn1 ZINC001211158274 773775780 /nfs/dbraw/zinc/77/57/80/773775780.db2.gz DZIFGTGYLFINSX-UHFFFAOYSA-N 0 2 324.318 0.352 20 0 DCADLN COc1cc(OC)c(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)cn1 ZINC001213928223 773902847 /nfs/dbraw/zinc/90/28/47/773902847.db2.gz QGRKEBNXQPZMSD-UHFFFAOYSA-N 0 2 317.261 0.192 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CCCO ZINC001110842819 775054058 /nfs/dbraw/zinc/05/40/58/775054058.db2.gz UGGPGXCXOXXFBP-MCIONIFRSA-N 0 2 306.410 0.457 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OC[C@@H]1CCCOC1 ZINC001224650363 775592164 /nfs/dbraw/zinc/59/21/64/775592164.db2.gz SRZJTLIDJYZKJU-CHWSQXEVSA-N 0 2 323.411 0.018 20 0 DCADLN CC(C)=CCCO[C@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001224715796 775598330 /nfs/dbraw/zinc/59/83/30/775598330.db2.gz ZDSZBFALTFJRRA-CYBMUJFWSA-N 0 2 307.412 0.948 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)Oc1ccccc1 ZINC001225197345 775653841 /nfs/dbraw/zinc/65/38/41/775653841.db2.gz KZWGXEXODQBGHE-CYBMUJFWSA-N 0 2 301.364 0.654 20 0 DCADLN CC(=O)OC[C@H](COc1nc(Cl)cc(=O)[nH]1)OC(C)=O ZINC001225558557 775693652 /nfs/dbraw/zinc/69/36/52/775693652.db2.gz FRLGOYWCBQESEB-MRVPVSSYSA-N 0 2 304.686 0.709 20 0 DCADLN O=S1(=O)CCC(Oc2nc3nc[nH]c3c(=S)[nH]2)CC1 ZINC001226780448 775876925 /nfs/dbraw/zinc/87/69/25/775876925.db2.gz KJZRCSJIDQBXSF-UHFFFAOYSA-N 0 2 300.365 0.598 20 0 DCADLN COC(=O)[C@H](Oc1[nH]c(=O)nc2ncn(C)c21)C(F)(F)F ZINC001228678057 776113202 /nfs/dbraw/zinc/11/32/02/776113202.db2.gz UIFXWGZUAFZUAR-YFKPBYRVSA-N 0 2 306.200 0.552 20 0 DCADLN CO[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C(C)C ZINC001229024386 776148876 /nfs/dbraw/zinc/14/88/76/776148876.db2.gz JLFBZNYFGBVJKR-GFCCVEGCSA-N 0 2 311.386 0.262 20 0 DCADLN COC[C@H](C)CC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001229849035 776229842 /nfs/dbraw/zinc/22/98/42/776229842.db2.gz FSMZIMMIKQWXDR-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)c1ccco1 ZINC001041217332 777130585 /nfs/dbraw/zinc/13/05/85/777130585.db2.gz GKVYQGPJANFZPX-QMMMGPOBSA-N 0 2 324.230 0.483 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccco1 ZINC001041217332 777130594 /nfs/dbraw/zinc/13/05/94/777130594.db2.gz GKVYQGPJANFZPX-QMMMGPOBSA-N 0 2 324.230 0.483 20 0 DCADLN O=C(c1ccon1)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041949489 777600278 /nfs/dbraw/zinc/60/02/78/777600278.db2.gz JCNQTPPEZIZSND-GXSJLCMTSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1ccon1)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041949489 777600282 /nfs/dbraw/zinc/60/02/82/777600282.db2.gz JCNQTPPEZIZSND-GXSJLCMTSA-N 0 2 318.337 0.235 20 0 DCADLN CCSCn1c(=O)c(-c2nn[nH]n2)c(C)n(C2CC2)c1=O ZINC001590853798 1167038818 /nfs/dbraw/zinc/03/88/18/1167038818.db2.gz MGUZTXSBCDIPBA-UHFFFAOYSA-N 0 2 308.367 0.544 20 0 DCADLN C[C@@H](c1nnnn1C)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001480867194 939054946 /nfs/dbraw/zinc/05/49/46/939054946.db2.gz ZWSVQCDFHJRDCL-BQBZGAKWSA-N 0 2 312.271 0.220 20 0 DCADLN C[C@@H](c1nnnn1C)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001480867194 939054948 /nfs/dbraw/zinc/05/49/48/939054948.db2.gz ZWSVQCDFHJRDCL-BQBZGAKWSA-N 0 2 312.271 0.220 20 0 DCADLN COC[C@@H](C)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001408590614 939397140 /nfs/dbraw/zinc/39/71/40/939397140.db2.gz ZZVIZCBGVZAJHV-SFYZADRCSA-N 0 2 302.268 0.744 20 0 DCADLN COC[C@@H](C)C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001408590614 939397146 /nfs/dbraw/zinc/39/71/46/939397146.db2.gz ZZVIZCBGVZAJHV-SFYZADRCSA-N 0 2 302.268 0.744 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001267266683 939410193 /nfs/dbraw/zinc/41/01/93/939410193.db2.gz LNIDXAFVLFIXPQ-FOQNGQEVSA-N 0 2 316.365 0.632 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001267266683 939410194 /nfs/dbraw/zinc/41/01/94/939410194.db2.gz LNIDXAFVLFIXPQ-FOQNGQEVSA-N 0 2 316.365 0.632 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cccnn2)C1)C(F)C(F)(F)F ZINC001493303920 939413530 /nfs/dbraw/zinc/41/35/30/939413530.db2.gz RLYKESYOLGZXSH-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cccnn2)C1)[C@@H](F)C(F)(F)F ZINC001493303920 939413531 /nfs/dbraw/zinc/41/35/31/939413531.db2.gz RLYKESYOLGZXSH-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267625015 939597788 /nfs/dbraw/zinc/59/77/88/939597788.db2.gz UFGLDSFQQOOTFG-FYJFLYSWSA-N 0 2 316.365 0.632 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267678644 939622612 /nfs/dbraw/zinc/62/26/12/939622612.db2.gz FZQCRPQUFGVKBA-ONEGZZNKSA-N 0 2 302.338 0.100 20 0 DCADLN O=C(C[C@H]1C=CCC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001268017851 939737148 /nfs/dbraw/zinc/73/71/48/939737148.db2.gz NMZIXLSLXIEXBU-RYUDHWBXSA-N 0 2 321.381 0.184 20 0 DCADLN CCCCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001318191070 945284345 /nfs/dbraw/zinc/28/43/45/945284345.db2.gz WMPULIZXIWVVDV-SRVKXCTJSA-N 0 2 323.397 0.501 20 0 DCADLN CCC1(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC001481592392 939960063 /nfs/dbraw/zinc/96/00/63/939960063.db2.gz LCEUPUZGAKEPKQ-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1(CCF)CC1 ZINC001481675564 940029809 /nfs/dbraw/zinc/02/98/09/940029809.db2.gz SGTHBCSOLNVPIM-UWVGGRQHSA-N 0 2 309.345 0.435 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)C[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001482103482 940258042 /nfs/dbraw/zinc/25/80/42/940258042.db2.gz UTPSUVRWBVVBCR-CAHLUQPWSA-N 0 2 313.255 0.253 20 0 DCADLN CCOCCC[NH+]1CCO[C@@H](CNC(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001270629330 940948209 /nfs/dbraw/zinc/94/82/09/940948209.db2.gz OBKRDQRKXRBPAF-GJZGRUSLSA-N 0 2 313.442 0.324 20 0 DCADLN CSCC(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001270772412 940986409 /nfs/dbraw/zinc/98/64/09/940986409.db2.gz CAFKFAGDCCLNBA-UHFFFAOYSA-N 0 2 323.334 0.558 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001610920407 970787960 /nfs/dbraw/zinc/78/79/60/970787960.db2.gz CAVSDAHNIJCRGQ-JTQLQIEISA-N 0 2 308.338 0.049 20 0 DCADLN O=C([C@H](O)C(F)(F)F)N1CC[C@H]2[C@@H]1CCN2Cc1cn[nH]c1 ZINC001271533135 941398814 /nfs/dbraw/zinc/39/88/14/941398814.db2.gz FJQDDDYUCCUYKH-DCAQKATOSA-N 0 2 318.299 0.508 20 0 DCADLN O=C(NCC1CN(C(=O)c2ncc[nH]2)C1)C(F)C(F)(F)F ZINC001409147466 941552596 /nfs/dbraw/zinc/55/25/96/941552596.db2.gz VZSRYWMPDLZDRE-SSDOTTSWSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)c2ncc[nH]2)C1)[C@@H](F)C(F)(F)F ZINC001409147466 941552599 /nfs/dbraw/zinc/55/25/99/941552599.db2.gz VZSRYWMPDLZDRE-SSDOTTSWSA-N 0 2 308.235 0.498 20 0 DCADLN Cc1nnc(C[NH2+][C@@H](C)[C@@H](C)NC(=O)c2nc[nH]n2)n1C1CC1 ZINC001409358618 941962852 /nfs/dbraw/zinc/96/28/52/941962852.db2.gz HGEYBIBSWNUKEI-DTWKUNHWSA-N 0 2 318.385 0.336 20 0 DCADLN O=C(Cc1nnc(C2CC2)[nH]1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001412883061 942123363 /nfs/dbraw/zinc/12/33/63/942123363.db2.gz IHABXGDUUFUSRC-VIFPVBQESA-N 0 2 317.353 0.455 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1Cc2ccccc21 ZINC001483379048 942314449 /nfs/dbraw/zinc/31/44/49/942314449.db2.gz QLQQTZKFVRFVMR-GWCFXTLKSA-N 0 2 315.377 0.787 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1Cc2ccccc21 ZINC001483379048 942314456 /nfs/dbraw/zinc/31/44/56/942314456.db2.gz QLQQTZKFVRFVMR-GWCFXTLKSA-N 0 2 315.377 0.787 20 0 DCADLN CCN1CC[NH+](CCC(=O)Nc2nc3n(n2)CCCC3)CC1 ZINC001413110094 942511447 /nfs/dbraw/zinc/51/14/47/942511447.db2.gz XFGRYDPXKKWFAD-UHFFFAOYSA-N 0 2 306.414 0.581 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)[C@@H]1COc2ccccc2O1 ZINC001413328007 942893485 /nfs/dbraw/zinc/89/34/85/942893485.db2.gz QANKZCATIJYXAJ-JTQLQIEISA-N 0 2 303.274 0.344 20 0 DCADLN CCCOc1ccnc(CC(=O)NCc2nc(O)cc(=O)[nH]2)n1 ZINC001413343767 942910235 /nfs/dbraw/zinc/91/02/35/942910235.db2.gz OYTCUIZCAQJUAA-UHFFFAOYSA-N 0 2 319.321 0.326 20 0 DCADLN CCCOc1ccnc(CC(=O)NCC2=NC(=O)CC(=O)N2)n1 ZINC001413343767 942910238 /nfs/dbraw/zinc/91/02/38/942910238.db2.gz OYTCUIZCAQJUAA-UHFFFAOYSA-N 0 2 319.321 0.326 20 0 DCADLN O=C(c1c(O)cccc1Cl)N1CCOC[C@H]1c1nn[nH]n1 ZINC001413384920 942943768 /nfs/dbraw/zinc/94/37/68/942943768.db2.gz CUWBJYFVIJFAOZ-QMMMGPOBSA-N 0 2 309.713 0.772 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@]1(C)CC[N@@H+](CCOCC2CC2)C1 ZINC001484067172 943125993 /nfs/dbraw/zinc/12/59/93/943125993.db2.gz HKKMQGJMANJOMN-QGZVFWFLSA-N 0 2 320.437 0.970 20 0 DCADLN C[C@H](O)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001484195900 943186944 /nfs/dbraw/zinc/18/69/44/943186944.db2.gz MWBHQRLOITWIDQ-WDEREUQCSA-N 0 2 316.352 0.406 20 0 DCADLN CSCC(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056904347 943476735 /nfs/dbraw/zinc/47/67/35/943476735.db2.gz VNVPLXBVYNONLP-QMMMGPOBSA-N 0 2 320.308 0.187 20 0 DCADLN CSCC(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001056904347 943476737 /nfs/dbraw/zinc/47/67/37/943476737.db2.gz VNVPLXBVYNONLP-QMMMGPOBSA-N 0 2 320.308 0.187 20 0 DCADLN CO[C@H](C)C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001493824431 943531936 /nfs/dbraw/zinc/53/19/36/943531936.db2.gz AENINBYRDDZJSI-GJMOJQLCSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001493824431 943531947 /nfs/dbraw/zinc/53/19/47/943531947.db2.gz AENINBYRDDZJSI-GJMOJQLCSA-N 0 2 300.252 0.639 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](c3cnccn3)C2)S1 ZINC001413666997 943558766 /nfs/dbraw/zinc/55/87/66/943558766.db2.gz NOYXAAZVZIAVSA-WPRPVWTQSA-N 0 2 305.363 0.349 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@@H]1CCC[NH+](Cc2nncn2C)C1 ZINC001491125399 943774179 /nfs/dbraw/zinc/77/41/79/943774179.db2.gz KIEYILKYQPTDLP-AWEZNQCLSA-N 0 2 322.457 0.485 20 0 DCADLN CCn1ccc(C[N@H+](C)[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001485293666 943801936 /nfs/dbraw/zinc/80/19/36/943801936.db2.gz MPBUPMOSCMWPBJ-JTQLQIEISA-N 0 2 320.397 0.890 20 0 DCADLN CCn1ccc(C[N@@H+](C)[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001485293666 943801937 /nfs/dbraw/zinc/80/19/37/943801937.db2.gz MPBUPMOSCMWPBJ-JTQLQIEISA-N 0 2 320.397 0.890 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491352240 943933656 /nfs/dbraw/zinc/93/36/56/943933656.db2.gz CHNMOYRHCJDMDN-HRDYMLBCSA-N 0 2 314.279 0.934 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491352240 943933661 /nfs/dbraw/zinc/93/36/61/943933661.db2.gz CHNMOYRHCJDMDN-HRDYMLBCSA-N 0 2 314.279 0.934 20 0 DCADLN CC[C@@H](OC)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001485563247 944008866 /nfs/dbraw/zinc/00/88/66/944008866.db2.gz JXFVDIIBNJWYGY-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1ccccc1Cl)C(=O)OC ZINC001256346916 944087933 /nfs/dbraw/zinc/08/79/33/944087933.db2.gz KKHAVMLXJOQADJ-UHFFFAOYSA-N 0 2 321.738 0.333 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCOCC2(CCCC2)C1 ZINC001611488377 971075952 /nfs/dbraw/zinc/07/59/52/971075952.db2.gz HHRQFTGZLCZXAZ-UHFFFAOYSA-N 0 2 305.396 0.378 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCOCC2(CCCC2)C1 ZINC001611488377 971075960 /nfs/dbraw/zinc/07/59/60/971075960.db2.gz HHRQFTGZLCZXAZ-UHFFFAOYSA-N 0 2 305.396 0.378 20 0 DCADLN CC/C(C)=C/C(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001316964252 944689513 /nfs/dbraw/zinc/68/95/13/944689513.db2.gz VXFULZLPLCGYMY-ZRDIBKRKSA-N 0 2 322.413 0.100 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N(C)C[C@H](O)C[NH2+]Cc1nccs1 ZINC001486290535 944729752 /nfs/dbraw/zinc/72/97/52/944729752.db2.gz UNCKEYYMAFEIAA-GFCCVEGCSA-N 0 2 323.422 0.257 20 0 DCADLN CN(C)C(=O)C[NH2+]C[C@@H](NC(=O)Cn1cc[nH+]c1)C(C)(C)C ZINC001486422499 944871320 /nfs/dbraw/zinc/87/13/20/944871320.db2.gz KRBYFUBLFHYJQL-GFCCVEGCSA-N 0 2 309.414 0.092 20 0 DCADLN CCCOCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001486504485 944933148 /nfs/dbraw/zinc/93/31/48/944933148.db2.gz ZMXYMLJLZMEIEB-UHFFFAOYSA-N 0 2 309.370 0.185 20 0 DCADLN CCCOCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001486504485 944933151 /nfs/dbraw/zinc/93/31/51/944933151.db2.gz ZMXYMLJLZMEIEB-UHFFFAOYSA-N 0 2 309.370 0.185 20 0 DCADLN CC[N@H+](CCNC(=O)C=Cc1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001317474328 944988576 /nfs/dbraw/zinc/98/85/76/944988576.db2.gz GKVPBIDUXVMSMY-AATRIKPKSA-N 0 2 305.338 0.755 20 0 DCADLN CC[N@@H+](CCNC(=O)C=Cc1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001317474328 944988584 /nfs/dbraw/zinc/98/85/84/944988584.db2.gz GKVPBIDUXVMSMY-AATRIKPKSA-N 0 2 305.338 0.755 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnccn1 ZINC001486891520 945373588 /nfs/dbraw/zinc/37/35/88/945373588.db2.gz FISGPDNNHTYMHJ-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cnccn1 ZINC001486891520 945373599 /nfs/dbraw/zinc/37/35/99/945373599.db2.gz FISGPDNNHTYMHJ-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN COCCCC(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001278500161 945594247 /nfs/dbraw/zinc/59/42/47/945594247.db2.gz JKWDSTMWQTYDJD-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN COCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001278500161 945594254 /nfs/dbraw/zinc/59/42/54/945594254.db2.gz JKWDSTMWQTYDJD-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(=O)C(C)(C)C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001278505324 945598515 /nfs/dbraw/zinc/59/85/15/945598515.db2.gz IYEKSHPUSNAOTA-ZJUUUORDSA-N 0 2 323.397 0.595 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)Cn1cnnn1 ZINC001251256985 945747457 /nfs/dbraw/zinc/74/74/57/945747457.db2.gz FCNGOTNZKAGJRH-UHFFFAOYSA-N 0 2 305.323 0.042 20 0 DCADLN C[C@@H](CN(C)C(=O)C1CCCCC1)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001410331205 946193403 /nfs/dbraw/zinc/19/34/03/946193403.db2.gz VGGAKANHNRVMRA-NSHDSACASA-N 0 2 309.414 0.625 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001410577597 946587024 /nfs/dbraw/zinc/58/70/24/946587024.db2.gz RKYGSRPUYVXLQX-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001410577597 946587032 /nfs/dbraw/zinc/58/70/32/946587032.db2.gz RKYGSRPUYVXLQX-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN Cn1cnc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001410703302 946804352 /nfs/dbraw/zinc/80/43/52/946804352.db2.gz MNHPHUOKURYZPK-LURJTMIESA-N 0 2 323.250 0.094 20 0 DCADLN Cn1cnc(C(=O)NCC2(NC(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001410703302 946804367 /nfs/dbraw/zinc/80/43/67/946804367.db2.gz MNHPHUOKURYZPK-LURJTMIESA-N 0 2 323.250 0.094 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)cc1C ZINC001259163251 946921510 /nfs/dbraw/zinc/92/15/10/946921510.db2.gz WVHISDJJDNCMIG-UHFFFAOYSA-N 0 2 306.347 0.993 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(cnn3CCO)c2)cn1 ZINC001259826528 946991231 /nfs/dbraw/zinc/99/12/31/946991231.db2.gz YBMVTSSMMFVIFC-UHFFFAOYSA-N 0 2 321.362 0.563 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cnc(C(=O)OC)nc2)cn1 ZINC001259832588 946993855 /nfs/dbraw/zinc/99/38/55/946993855.db2.gz MAQCPFOHMVYSIJ-UHFFFAOYSA-N 0 2 311.323 0.280 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc(CC(=O)NC)c2)cn1 ZINC001259838596 946996247 /nfs/dbraw/zinc/99/62/47/946996247.db2.gz WYPNJABRGYVAFC-UHFFFAOYSA-N 0 2 322.390 0.992 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1sccc1C)C(=O)OC ZINC001260070422 947054930 /nfs/dbraw/zinc/05/49/30/947054930.db2.gz PCUGXIDSASYEHJ-UHFFFAOYSA-N 0 2 307.349 0.049 20 0 DCADLN O=C([N-]OCCC(F)(F)F)C(=O)NCCCCn1cc[nH+]c1 ZINC001323436661 947082864 /nfs/dbraw/zinc/08/28/64/947082864.db2.gz ZYQXYWCICPSMPH-UHFFFAOYSA-N 0 2 322.287 0.780 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1ncccc1N1CCCC1 ZINC001260585030 947117142 /nfs/dbraw/zinc/11/71/42/947117142.db2.gz KYSMSGIPVJJKMO-UHFFFAOYSA-N 0 2 319.408 0.426 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1ccccc1Cl)=C(C)O ZINC001260697668 947139473 /nfs/dbraw/zinc/13/94/73/947139473.db2.gz GZONPKHJASCQSN-NSHDSACASA-N 0 2 319.766 0.890 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CCc2ncncc2C1 ZINC001261500809 947320804 /nfs/dbraw/zinc/32/08/04/947320804.db2.gz HFSPWNDCLOMWHC-VIFPVBQESA-N 0 2 302.338 0.154 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCO1 ZINC001411190619 947461331 /nfs/dbraw/zinc/46/13/31/947461331.db2.gz VLZVVUYBAZYHOZ-LLVKDONJSA-N 0 2 311.386 0.358 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCO1 ZINC001411190619 947461333 /nfs/dbraw/zinc/46/13/33/947461333.db2.gz VLZVVUYBAZYHOZ-LLVKDONJSA-N 0 2 311.386 0.358 20 0 DCADLN COC[C@](C)(CC(=O)[O-])NC(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC001262177421 947592127 /nfs/dbraw/zinc/59/21/27/947592127.db2.gz UKZVRJAQMBHSCN-PINLSGDHSA-N 0 2 314.382 0.261 20 0 DCADLN C[C@H](C(=O)N[C@H](Cc1ccncc1)C(=O)[O-])[NH+]1CCSCC1 ZINC001602789380 971543810 /nfs/dbraw/zinc/54/38/10/971543810.db2.gz RKHTXBLSCZKEEL-DGCLKSJQSA-N 0 2 323.418 0.631 20 0 DCADLN O=C(Cn1ccc(C(F)F)n1)NCCc1n[nH]c(=S)o1 ZINC001328937889 949211721 /nfs/dbraw/zinc/21/17/21/949211721.db2.gz XBHDUSJDOCLOOX-UHFFFAOYSA-N 0 2 303.294 0.851 20 0 DCADLN CC(C)n1cc(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)cn1 ZINC001574454740 949283794 /nfs/dbraw/zinc/28/37/94/949283794.db2.gz BPFOBYUMDSHYBZ-UHFFFAOYSA-N 0 2 317.313 0.187 20 0 DCADLN CC(C)[C@H](O)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001364444500 949310008 /nfs/dbraw/zinc/31/00/08/949310008.db2.gz HPZGEYXIRCOYDT-RYUDHWBXSA-N 0 2 304.350 0.927 20 0 DCADLN COCc1nnc(CNCc2cn(C[C@H]3CCCO3)nn2)[nH]1 ZINC001364618477 949641815 /nfs/dbraw/zinc/64/18/15/949641815.db2.gz YPFCAMUMDJHOQQ-LLVKDONJSA-N 0 2 307.358 0.011 20 0 DCADLN NC(=O)C[C@H]1COCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC001364739042 949854807 /nfs/dbraw/zinc/85/48/07/949854807.db2.gz VURZNLRPPOMLHG-QMMMGPOBSA-N 0 2 308.363 0.473 20 0 DCADLN C[C@H]1CCCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364752972 949878167 /nfs/dbraw/zinc/87/81/67/949878167.db2.gz HIWSSRORXLNNRB-QMMMGPOBSA-N 0 2 301.372 0.043 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2c[nH]nc2C(F)(F)F)S1 ZINC001364800731 949964525 /nfs/dbraw/zinc/96/45/25/949964525.db2.gz BVHAAZALVSSHKW-SCSAIBSYSA-N 0 2 307.257 0.923 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H](C(=O)[O-])C1CCCC1 ZINC001329962278 950012621 /nfs/dbraw/zinc/01/26/21/950012621.db2.gz CPIRFCOBVASUAQ-GXTWGEPZSA-N 0 2 311.426 0.630 20 0 DCADLN COCCCCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001329969535 950020892 /nfs/dbraw/zinc/02/08/92/950020892.db2.gz BRUUJXRZYVVXKO-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COc1cc(F)c(S(=O)(=O)Nc2nnn(C)n2)cc1OC ZINC001364915488 950185907 /nfs/dbraw/zinc/18/59/07/950185907.db2.gz WELGGGSVOCXZRC-UHFFFAOYSA-N 0 2 317.302 0.167 20 0 DCADLN C[C@@H](NC(=O)CCCc1nn[nH]n1)c1nc(C(F)(F)F)n[nH]1 ZINC001365025485 950375216 /nfs/dbraw/zinc/37/52/16/950375216.db2.gz IRFNFJYBCCAYSE-RXMQYKEDSA-N 0 2 318.263 0.537 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)NC1CCCCC1)c1nn(C)cc1O ZINC001365120832 950554294 /nfs/dbraw/zinc/55/42/94/950554294.db2.gz QFECZIRTRYRZGB-SNVBAGLBSA-N 0 2 323.397 0.935 20 0 DCADLN CC[N@H+](CCCNC(=O)Cc1nnc[nH]1)Cc1ccn(C)n1 ZINC001490353816 950649338 /nfs/dbraw/zinc/64/93/38/950649338.db2.gz BNPIFVLBZGISBJ-UHFFFAOYSA-N 0 2 305.386 0.109 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2cc(C(=O)[O-])n[nH]2)C1 ZINC001590207729 950878913 /nfs/dbraw/zinc/87/89/13/950878913.db2.gz BNFNRWPDQGQSBV-VIFPVBQESA-N 0 2 324.337 0.322 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2cc(C(=O)[O-])n[nH]2)C1 ZINC001590207729 950878934 /nfs/dbraw/zinc/87/89/34/950878934.db2.gz BNFNRWPDQGQSBV-VIFPVBQESA-N 0 2 324.337 0.322 20 0 DCADLN Cc1conc1C[NH2+][C@@H](CNC(=O)CCc1nnc[nH]1)C1CC1 ZINC001490668971 950972848 /nfs/dbraw/zinc/97/28/48/950972848.db2.gz SLCQRTDKGFXAFW-ZDUSSCGKSA-N 0 2 318.381 0.718 20 0 DCADLN C[C@]1(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCOC1 ZINC001365340579 950995712 /nfs/dbraw/zinc/99/57/12/950995712.db2.gz KSURMIJNVHLEEG-IINYFYTJSA-N 0 2 309.370 0.826 20 0 DCADLN C[C@@H](NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(F)F ZINC001365457459 951149243 /nfs/dbraw/zinc/14/92/43/951149243.db2.gz NMRZMNVTNYSNLJ-SCSAIBSYSA-N 0 2 320.277 0.368 20 0 DCADLN CCOC(=O)c1cccc(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001365734115 951487921 /nfs/dbraw/zinc/48/79/21/951487921.db2.gz PKYNOMSKULSHOI-UHFFFAOYSA-N 0 2 311.323 0.188 20 0 DCADLN C/C(=C/C(=O)NC[C@@H]1[C@H](C(=O)[O-])C1(F)F)C[NH+]1CCOCC1 ZINC001332637541 951738088 /nfs/dbraw/zinc/73/80/88/951738088.db2.gz QJFHFXJJDHQYAW-KPGZOYMPSA-N 0 2 318.320 0.347 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC001333136081 951930286 /nfs/dbraw/zinc/93/02/86/951930286.db2.gz CYWNDMHIXHVENB-ZDUSSCGKSA-N 0 2 308.334 0.557 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH2+]Cc1nccn1-c1ccccc1 ZINC001595125004 952006924 /nfs/dbraw/zinc/00/69/24/952006924.db2.gz JMEDLMCAXXHRAK-UHFFFAOYSA-N 0 2 323.374 0.461 20 0 DCADLN O=S(=O)(N[C@H](CCO)C(F)(F)F)c1cccnc1F ZINC001333463892 952071026 /nfs/dbraw/zinc/07/10/26/952071026.db2.gz IPEUDIOJWOLZNI-SSDOTTSWSA-N 0 2 302.249 0.812 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001333659098 952125770 /nfs/dbraw/zinc/12/57/70/952125770.db2.gz VVOKFAISIIXEHB-ABAIWWIYSA-N 0 2 309.366 0.691 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn(C)cn1 ZINC001411564604 952277910 /nfs/dbraw/zinc/27/79/10/952277910.db2.gz BADPDVMHCNVIIY-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cn(C)cn1 ZINC001411564604 952277915 /nfs/dbraw/zinc/27/79/15/952277915.db2.gz BADPDVMHCNVIIY-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1C[N@H+](Cn1ncn(C3CC3)c1=S)C2 ZINC001595203431 952319394 /nfs/dbraw/zinc/31/93/94/952319394.db2.gz YBISVZDLQOTAOS-NOZJJQNGSA-N 0 2 310.379 0.739 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1C[N@@H+](Cn1ncn(C3CC3)c1=S)C2 ZINC001595203431 952319403 /nfs/dbraw/zinc/31/94/03/952319403.db2.gz YBISVZDLQOTAOS-NOZJJQNGSA-N 0 2 310.379 0.739 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C(C)(F)F ZINC001451786648 952742534 /nfs/dbraw/zinc/74/25/34/952742534.db2.gz WPMYIZHEVYNDDL-RITPCOANSA-N 0 2 324.221 0.478 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(F)F ZINC001451786648 952742532 /nfs/dbraw/zinc/74/25/32/952742532.db2.gz WPMYIZHEVYNDDL-RITPCOANSA-N 0 2 324.221 0.478 20 0 DCADLN COC(=O)[C@]12C[C@H]1C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C2 ZINC001412466159 952822492 /nfs/dbraw/zinc/82/24/92/952822492.db2.gz PKLGFEHEIHIBCN-LJYOZPSBSA-N 0 2 311.363 0.001 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001412493831 952842757 /nfs/dbraw/zinc/84/27/57/952842757.db2.gz PDNRWTYHSCRDFT-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cncnc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001412493831 952842762 /nfs/dbraw/zinc/84/27/62/952842762.db2.gz PDNRWTYHSCRDFT-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CCC1 ZINC001412684586 952991723 /nfs/dbraw/zinc/99/17/23/952991723.db2.gz HIKBEJSPSMLNMJ-MRVPVSSYSA-N 0 2 300.384 0.402 20 0 DCADLN Cn1cnnc1N1CC[NH+](Cc2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC001339183214 953078615 /nfs/dbraw/zinc/07/86/15/953078615.db2.gz OYSLWMZGPGPNBB-PLNGDYQASA-N 0 2 317.349 0.828 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1nnc(-c2cnn(C)c2)o1 ZINC001346927594 953985228 /nfs/dbraw/zinc/98/52/28/953985228.db2.gz FGSTWCYQGRVBCU-UHFFFAOYSA-N 0 2 323.338 0.313 20 0 DCADLN O=C([O-])[C@]1(C(=O)NCC[NH+]2Cc3ccccc3C2)CCCO1 ZINC001594861512 954278718 /nfs/dbraw/zinc/27/87/18/954278718.db2.gz VDSAJFPAPANTSD-MRXNPFEDSA-N 0 2 304.346 0.752 20 0 DCADLN CN(CCCNC(=O)c1cc(C(=O)[O-])n[nH]1)c1cccc[nH+]1 ZINC001593750535 954401308 /nfs/dbraw/zinc/40/13/08/954401308.db2.gz ZGEGXOAUXRDIGT-UHFFFAOYSA-N 0 2 303.322 0.759 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)NCCC(C)(C)C(=O)[O-] ZINC001593751298 954415826 /nfs/dbraw/zinc/41/58/26/954415826.db2.gz BRZXXUOAVJOGSI-UHFFFAOYSA-N 0 2 301.387 0.461 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@@H+]1CCO[C@H](C)C1)C(=O)[O-] ZINC001589400416 954418561 /nfs/dbraw/zinc/41/85/61/954418561.db2.gz PYHNNZOOGWSPLA-VXGBXAGGSA-N 0 2 301.387 0.363 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@H+]1CCO[C@H](C)C1)C(=O)[O-] ZINC001589400416 954418564 /nfs/dbraw/zinc/41/85/64/954418564.db2.gz PYHNNZOOGWSPLA-VXGBXAGGSA-N 0 2 301.387 0.363 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C)C(=O)[O-] ZINC001589400433 954419982 /nfs/dbraw/zinc/41/99/82/954419982.db2.gz QJRCDPWHGIOGOY-JHJVBQTASA-N 0 2 315.414 0.752 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C)C(=O)[O-] ZINC001589400433 954419987 /nfs/dbraw/zinc/41/99/87/954419987.db2.gz QJRCDPWHGIOGOY-JHJVBQTASA-N 0 2 315.414 0.752 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)Nc2cc(C(=O)[O-])ccn2)CCO1 ZINC001589401297 954431557 /nfs/dbraw/zinc/43/15/57/954431557.db2.gz ZDOWQXJRGLFQGL-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)Nc2cc(C(=O)[O-])ccn2)CCO1 ZINC001589401297 954431562 /nfs/dbraw/zinc/43/15/62/954431562.db2.gz ZDOWQXJRGLFQGL-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001366672097 954620729 /nfs/dbraw/zinc/62/07/29/954620729.db2.gz QTKMUXZDSXYCKP-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001366672097 954620734 /nfs/dbraw/zinc/62/07/34/954620734.db2.gz QTKMUXZDSXYCKP-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001366672097 954620741 /nfs/dbraw/zinc/62/07/41/954620741.db2.gz QTKMUXZDSXYCKP-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN CC1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCOCC1 ZINC001349164215 954623624 /nfs/dbraw/zinc/62/36/24/954623624.db2.gz WPQUIECOKWZNMN-MRVPVSSYSA-N 0 2 314.279 0.936 20 0 DCADLN CC1(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)CCOCC1 ZINC001349164215 954623631 /nfs/dbraw/zinc/62/36/31/954623631.db2.gz WPQUIECOKWZNMN-MRVPVSSYSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@]1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCOC1 ZINC001349163964 954623823 /nfs/dbraw/zinc/62/38/23/954623823.db2.gz VLBWEBRRFBQEAO-XVKPBYJWSA-N 0 2 300.252 0.546 20 0 DCADLN C[C@]1(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)CCOC1 ZINC001349163964 954623828 /nfs/dbraw/zinc/62/38/28/954623828.db2.gz VLBWEBRRFBQEAO-XVKPBYJWSA-N 0 2 300.252 0.546 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C(=O)[O-])C(C)(C)C)CC1 ZINC001593793303 954779651 /nfs/dbraw/zinc/77/96/51/954779651.db2.gz KLJWHDJIDOVPBL-GFCCVEGCSA-N 0 2 313.398 0.014 20 0 DCADLN CNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C(=O)[O-])C(C)(C)C)CC1 ZINC001593793303 954779661 /nfs/dbraw/zinc/77/96/61/954779661.db2.gz KLJWHDJIDOVPBL-GFCCVEGCSA-N 0 2 313.398 0.014 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)N1CC[NH+](CCn2cncn2)CC1 ZINC001589472002 954930348 /nfs/dbraw/zinc/93/03/48/954930348.db2.gz VLXAFZRHZQPOFZ-UHFFFAOYSA-N 0 2 323.397 0.313 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](CCOCC(F)(F)F)CCO1 ZINC000711551337 955052351 /nfs/dbraw/zinc/05/23/51/955052351.db2.gz PVEDSPMKGIBHKA-JTQLQIEISA-N 0 2 301.261 0.367 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](CCOCC(F)(F)F)CCO1 ZINC000711551337 955052363 /nfs/dbraw/zinc/05/23/63/955052363.db2.gz PVEDSPMKGIBHKA-JTQLQIEISA-N 0 2 301.261 0.367 20 0 DCADLN CC[C@H](CNC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC001589518801 955081936 /nfs/dbraw/zinc/08/19/36/955081936.db2.gz NTJLQUZOCQJGLL-NEPJUHHUSA-N 0 2 322.365 0.274 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001366993626 955168920 /nfs/dbraw/zinc/16/89/20/955168920.db2.gz NSZGDCNQWMNYSU-DLOVCJGASA-N 0 2 321.381 0.111 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001367034282 955240955 /nfs/dbraw/zinc/24/09/55/955240955.db2.gz MBXBUXYXAPJTPG-RNFRBKRXSA-N 0 2 307.276 0.399 20 0 DCADLN COC(=O)CCN(CC(=O)[O-])C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001593838810 955331738 /nfs/dbraw/zinc/33/17/38/955331738.db2.gz RHWPCHDLAMCTIQ-UHFFFAOYSA-N 0 2 305.290 0.653 20 0 DCADLN Cc1noc([C@H]2CCC[N@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC001594502660 955337111 /nfs/dbraw/zinc/33/71/11/955337111.db2.gz MQGLFPGFSJGQAV-QWRGUYRKSA-N 0 2 308.338 0.448 20 0 DCADLN Cc1noc([C@H]2CCC[N@@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC001594502660 955337121 /nfs/dbraw/zinc/33/71/21/955337121.db2.gz MQGLFPGFSJGQAV-QWRGUYRKSA-N 0 2 308.338 0.448 20 0 DCADLN C[C@H](CN(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1)C(=O)[O-] ZINC001589019358 955451143 /nfs/dbraw/zinc/45/11/43/955451143.db2.gz HINBNCOHXIZZFC-GFCCVEGCSA-N 0 2 322.409 0.811 20 0 DCADLN C[C@@H](CNC(=O)[C@]1(C(=O)[O-])CCCO1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589042939 955595477 /nfs/dbraw/zinc/59/54/77/955595477.db2.gz BBUBXRSTUOYBIB-OHTBPHCPSA-N 0 2 314.382 0.234 20 0 DCADLN C[C@@H](CNC(=O)[C@]1(C(=O)[O-])CCCO1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589042939 955595486 /nfs/dbraw/zinc/59/54/86/955595486.db2.gz BBUBXRSTUOYBIB-OHTBPHCPSA-N 0 2 314.382 0.234 20 0 DCADLN COC[C@H](C)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001367330636 955653151 /nfs/dbraw/zinc/65/31/51/955653151.db2.gz JPEPSLMPJIRNTQ-NSHDSACASA-N 0 2 323.397 0.217 20 0 DCADLN C[C@H](CNC(=O)NCCCCC(=O)[O-])[NH+]1CCN(C)CC1 ZINC001589053424 955677945 /nfs/dbraw/zinc/67/79/45/955677945.db2.gz FXNIPHHIMLHQHW-GFCCVEGCSA-N 0 2 300.403 0.176 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]c1-c1cnn(C)c1)C1N=NC(=O)N1C ZINC001300074996 955704676 /nfs/dbraw/zinc/70/46/76/955704676.db2.gz YOIHFRDXMNYTRA-ZETCQYMHSA-N 0 2 316.325 0.135 20 0 DCADLN Cn1c[nH+]cc1Cn1cc(CN2CCCC[C@@H]2C(=O)[O-])nn1 ZINC001594558606 955788840 /nfs/dbraw/zinc/78/88/40/955788840.db2.gz OKOSKNDCLZPNBA-CYBMUJFWSA-N 0 2 304.354 0.499 20 0 DCADLN Cn1c[nH+]cc1Cn1cc(CN2CCCC[C@H]2C(=O)[O-])nn1 ZINC001594558607 955789787 /nfs/dbraw/zinc/78/97/87/955789787.db2.gz OKOSKNDCLZPNBA-ZDUSSCGKSA-N 0 2 304.354 0.499 20 0 DCADLN CCc1nnc(C[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001480447354 955932637 /nfs/dbraw/zinc/93/26/37/955932637.db2.gz LFQGMVAONSFTHA-ZETCQYMHSA-N 0 2 308.342 0.277 20 0 DCADLN C[C@H](NC(=O)Nc1cncc(C(=O)[O-])c1)[C@H]1CN(C)CC[N@@H+]1C ZINC001589109316 956059707 /nfs/dbraw/zinc/05/97/07/956059707.db2.gz WMZQCWGKHFVYFN-GXFFZTMASA-N 0 2 321.381 0.536 20 0 DCADLN C[C@H](NC(=O)Nc1cncc(C(=O)[O-])c1)[C@H]1CN(C)CC[N@H+]1C ZINC001589109316 956059715 /nfs/dbraw/zinc/05/97/15/956059715.db2.gz WMZQCWGKHFVYFN-GXFFZTMASA-N 0 2 321.381 0.536 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC001353910966 956228151 /nfs/dbraw/zinc/22/81/51/956228151.db2.gz WTSYKHQPZGCWLI-AWEZNQCLSA-N 0 2 321.377 0.472 20 0 DCADLN C[C@](O)(CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1)C(=O)[O-] ZINC001589138613 956251393 /nfs/dbraw/zinc/25/13/93/956251393.db2.gz GYBSJNKFPUEZKX-BBRMVZONSA-N 0 2 321.377 0.388 20 0 DCADLN C[C@](O)(CNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1)C(=O)[O-] ZINC001589138613 956251401 /nfs/dbraw/zinc/25/14/01/956251401.db2.gz GYBSJNKFPUEZKX-BBRMVZONSA-N 0 2 321.377 0.388 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cc[n+]([O-])cc1 ZINC001355657576 956695769 /nfs/dbraw/zinc/69/57/69/956695769.db2.gz KAGFEZFYTVINRZ-SECBINFHSA-N 0 2 323.246 0.409 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cc[n+]([O-])cc1 ZINC001355657576 956695777 /nfs/dbraw/zinc/69/57/77/956695777.db2.gz KAGFEZFYTVINRZ-SECBINFHSA-N 0 2 323.246 0.409 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](CCC(=O)OCc2ccccc2)CC1 ZINC001594684794 956704406 /nfs/dbraw/zinc/70/44/06/956704406.db2.gz BRWSKROYEFNWTF-UHFFFAOYSA-N 0 2 320.345 0.349 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001594719112 956952060 /nfs/dbraw/zinc/95/20/60/956952060.db2.gz ODIIKEXDNYBYAG-QWHCGFSZSA-N 0 2 314.345 0.653 20 0 DCADLN CNC(=O)CCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292663488 957098172 /nfs/dbraw/zinc/09/81/72/957098172.db2.gz YWHHMUZNMOZZJF-SECBINFHSA-N 0 2 315.267 0.036 20 0 DCADLN CNC(=O)CCCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001292663488 957098181 /nfs/dbraw/zinc/09/81/81/957098181.db2.gz YWHHMUZNMOZZJF-SECBINFHSA-N 0 2 315.267 0.036 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000055311790 957544045 /nfs/dbraw/zinc/54/40/45/957544045.db2.gz NPDLWBAKIRYWOW-QMMMGPOBSA-N 0 2 313.335 0.850 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@H+](C)C(C)(C)C(=O)[O-] ZINC000061172692 957577016 /nfs/dbraw/zinc/57/70/16/957577016.db2.gz ULQWWSNBSORILO-UHFFFAOYSA-N 0 2 301.387 0.155 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@@H+](C)C(C)(C)C(=O)[O-] ZINC000061172692 957577021 /nfs/dbraw/zinc/57/70/21/957577021.db2.gz ULQWWSNBSORILO-UHFFFAOYSA-N 0 2 301.387 0.155 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC001331525956 957731772 /nfs/dbraw/zinc/73/17/72/957731772.db2.gz NMHMEEIWXRITSQ-ZDUSSCGKSA-N 0 2 319.361 0.222 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC001331525956 957731778 /nfs/dbraw/zinc/73/17/78/957731778.db2.gz NMHMEEIWXRITSQ-ZDUSSCGKSA-N 0 2 319.361 0.222 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC001331525955 957732753 /nfs/dbraw/zinc/73/27/53/957732753.db2.gz NMHMEEIWXRITSQ-CYBMUJFWSA-N 0 2 319.361 0.222 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC001331525955 957732768 /nfs/dbraw/zinc/73/27/68/957732768.db2.gz NMHMEEIWXRITSQ-CYBMUJFWSA-N 0 2 319.361 0.222 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)C(=O)NCCCC(=O)[O-] ZINC001337204306 957841854 /nfs/dbraw/zinc/84/18/54/957841854.db2.gz DIECZKMDORLCQF-CYBMUJFWSA-N 0 2 319.361 0.270 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)C(=O)NCCCC(=O)[O-] ZINC001337204306 957841868 /nfs/dbraw/zinc/84/18/68/957841868.db2.gz DIECZKMDORLCQF-CYBMUJFWSA-N 0 2 319.361 0.270 20 0 DCADLN C[C@H](CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001367537281 957988485 /nfs/dbraw/zinc/98/84/85/957988485.db2.gz SQGLFDBSNQOYIJ-MRVPVSSYSA-N 0 2 323.319 0.989 20 0 DCADLN C[C@H](CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001367537281 957988490 /nfs/dbraw/zinc/98/84/90/957988490.db2.gz SQGLFDBSNQOYIJ-MRVPVSSYSA-N 0 2 323.319 0.989 20 0 DCADLN COc1cccc([C@H]2CN(C(=O)[C@@H](OC)C(=O)[O-])CC[NH2+]2)c1 ZINC001594125435 958635234 /nfs/dbraw/zinc/63/52/34/958635234.db2.gz VMYHZRRVHVJMPL-CHWSQXEVSA-N 0 2 308.334 0.268 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)[nH]1 ZINC001367831671 958669756 /nfs/dbraw/zinc/66/97/56/958669756.db2.gz NETPZSWXCBODRK-ZETCQYMHSA-N 0 2 312.761 0.742 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)[nH]1 ZINC001367831671 958669758 /nfs/dbraw/zinc/66/97/58/958669758.db2.gz NETPZSWXCBODRK-ZETCQYMHSA-N 0 2 312.761 0.742 20 0 DCADLN CC(C)c1[nH+]ccn1CCNC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001362066666 958684360 /nfs/dbraw/zinc/68/43/60/958684360.db2.gz OTUTZUBDWBQWBR-UHFFFAOYSA-N 0 2 322.369 0.606 20 0 DCADLN O=C(CCCn1c(=O)[n-][nH]c1=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC001362239880 958984773 /nfs/dbraw/zinc/98/47/73/958984773.db2.gz RDHSELLOKLYUGA-NSHDSACASA-N 0 2 320.353 0.530 20 0 DCADLN O=C(Cc1ccc(O)c(Cl)c1)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001362245692 958993369 /nfs/dbraw/zinc/99/33/69/958993369.db2.gz MCLAYKIWFGWMDP-NSHDSACASA-N 0 2 323.740 0.701 20 0 DCADLN Cc1cnc(CC[N@H+](C)CCS(=O)(=O)CC(=O)[O-])s1 ZINC001594427982 959144446 /nfs/dbraw/zinc/14/44/46/959144446.db2.gz ZKIRYEXSNZDNCB-UHFFFAOYSA-N 0 2 306.409 0.425 20 0 DCADLN Cc1cnc(CC[N@@H+](C)CCS(=O)(=O)CC(=O)[O-])s1 ZINC001594427982 959144435 /nfs/dbraw/zinc/14/44/35/959144435.db2.gz ZKIRYEXSNZDNCB-UHFFFAOYSA-N 0 2 306.409 0.425 20 0 DCADLN C[C@H](CC(N)=O)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001491725873 959150303 /nfs/dbraw/zinc/15/03/03/959150303.db2.gz MUOSRKCBBWQMMX-SVRRBLITSA-N 0 2 315.267 0.021 20 0 DCADLN C[C@H](CC(N)=O)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001491725873 959150307 /nfs/dbraw/zinc/15/03/07/959150307.db2.gz MUOSRKCBBWQMMX-SVRRBLITSA-N 0 2 315.267 0.021 20 0 DCADLN CCCn1cc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)cn1 ZINC001362333986 959162781 /nfs/dbraw/zinc/16/27/81/959162781.db2.gz DSSTUJRSTCLMRP-UHFFFAOYSA-N 0 2 324.362 0.898 20 0 DCADLN CO[C@H](CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C(C)C ZINC001362343934 959181098 /nfs/dbraw/zinc/18/10/98/959181098.db2.gz ZJGIVOOLJYXCTC-GFCCVEGCSA-N 0 2 320.349 0.667 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N[C@@H](C(=O)[O-])c3ccnn3C)ccn12 ZINC001594432347 959189663 /nfs/dbraw/zinc/18/96/63/959189663.db2.gz NMDLWYSTLVZEKY-CYBMUJFWSA-N 0 2 313.317 0.932 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCC[N@H+]2CC=CCC2)o1 ZINC000392153915 972417125 /nfs/dbraw/zinc/41/71/25/972417125.db2.gz AHAFEDBGPOFMMG-UHFFFAOYSA-N 0 2 300.336 0.518 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)o1 ZINC000392153915 972417131 /nfs/dbraw/zinc/41/71/31/972417131.db2.gz AHAFEDBGPOFMMG-UHFFFAOYSA-N 0 2 300.336 0.518 20 0 DCADLN O=C(c1ccc(F)c(O)c1)N1CCSC[C@@H]1c1nn[nH]n1 ZINC001362413356 959306836 /nfs/dbraw/zinc/30/68/36/959306836.db2.gz YRJUCFZKDYDXJM-SECBINFHSA-N 0 2 309.326 0.975 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001368143968 959315373 /nfs/dbraw/zinc/31/53/73/959315373.db2.gz KUDSTTKXIPZIGA-IINYFYTJSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001368143968 959315389 /nfs/dbraw/zinc/31/53/89/959315389.db2.gz KUDSTTKXIPZIGA-IINYFYTJSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@@]1(NC(=O)CCc2ccoc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368144913 959322160 /nfs/dbraw/zinc/32/21/60/959322160.db2.gz AIDGDEZFDKXYPN-OAHLLOKOSA-N 0 2 319.365 0.817 20 0 DCADLN C[C@@]1(NC(=O)CCc2ccoc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368144913 959322180 /nfs/dbraw/zinc/32/21/80/959322180.db2.gz AIDGDEZFDKXYPN-OAHLLOKOSA-N 0 2 319.365 0.817 20 0 DCADLN CCC(CC)(NC(=O)Cc1nnc(C2CC2)[nH]1)c1nn[nH]n1 ZINC001362500374 959472463 /nfs/dbraw/zinc/47/24/63/959472463.db2.gz ZIKPAWIFSZWOOL-UHFFFAOYSA-N 0 2 304.358 0.569 20 0 DCADLN CC(C)(C(=O)[O-])C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC001588611252 959510460 /nfs/dbraw/zinc/51/04/60/959510460.db2.gz KTHOOYGVBXMRCA-GFCCVEGCSA-N 0 2 314.382 0.047 20 0 DCADLN C[C@@H]1CN(Cc2cn(-c3cc[nH+]c(C(=O)[O-])c3)nn2)C[C@H](C)O1 ZINC001594245896 959567785 /nfs/dbraw/zinc/56/77/85/959567785.db2.gz KOACCNRFNXMERH-PHIMTYICSA-N 0 2 317.349 0.970 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cncn1C ZINC001377171362 959618637 /nfs/dbraw/zinc/61/86/37/959618637.db2.gz BOVKBYPBSRNNGC-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccsc1 ZINC001377213143 959703938 /nfs/dbraw/zinc/70/39/38/959703938.db2.gz AKRAKDWEFOCKRX-SECBINFHSA-N 0 2 309.395 0.924 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccsc1 ZINC001377213143 959703946 /nfs/dbraw/zinc/70/39/46/959703946.db2.gz AKRAKDWEFOCKRX-SECBINFHSA-N 0 2 309.395 0.924 20 0 DCADLN CC[C@H](C)[C@@H](C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001368381376 959778173 /nfs/dbraw/zinc/77/81/73/959778173.db2.gz BFKUDKLAMAFZLW-DTWKUNHWSA-N 0 2 317.415 0.583 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC[C@@H]1C1CC1 ZINC001362724180 959894650 /nfs/dbraw/zinc/89/46/50/959894650.db2.gz ITFRGGSGUKCCBL-GFCCVEGCSA-N 0 2 300.318 0.891 20 0 DCADLN Cc1cc(N(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)ccc1O ZINC001362770597 959964488 /nfs/dbraw/zinc/96/44/88/959964488.db2.gz OYJPUBLVGPGMJS-UHFFFAOYSA-N 0 2 313.317 0.748 20 0 DCADLN Cc1cc2nc(C)cc(C(=O)NCc3nc(O)cc(=O)[nH]3)n2n1 ZINC001301574685 960003600 /nfs/dbraw/zinc/00/36/00/960003600.db2.gz MNEDUOBZBKBCRM-UHFFFAOYSA-N 0 2 314.305 0.477 20 0 DCADLN Cc1cc2nc(C)cc(C(=O)NCC3=NC(=O)CC(=O)N3)n2n1 ZINC001301574685 960003611 /nfs/dbraw/zinc/00/36/11/960003611.db2.gz MNEDUOBZBKBCRM-UHFFFAOYSA-N 0 2 314.305 0.477 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CCC[C@@H]1CNC(=O)Cn1c[nH+]cc1C ZINC001377399140 960033028 /nfs/dbraw/zinc/03/30/28/960033028.db2.gz BYYNUHPSNBFXCF-OLZOCXBDSA-N 0 2 307.398 0.036 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CCC[C@H]1CNC(=O)Cn1c[nH+]cc1C ZINC001377399145 960034081 /nfs/dbraw/zinc/03/40/81/960034081.db2.gz BYYNUHPSNBFXCF-QWHCGFSZSA-N 0 2 307.398 0.036 20 0 DCADLN CO[C@H]1CC[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362925731 960185411 /nfs/dbraw/zinc/18/54/11/960185411.db2.gz WQBRTUNZYLBWHM-JQWIXIFHSA-N 0 2 318.333 0.564 20 0 DCADLN CCN1CC[C@H]([N@H+](C)CCCNC(=O)Cc2c[nH+]cn2C)C1=O ZINC001316858169 960193248 /nfs/dbraw/zinc/19/32/48/960193248.db2.gz CUXFTSUOZQNEFT-AWEZNQCLSA-N 0 2 321.425 0.022 20 0 DCADLN O=C([O-])c1cc(C(=O)NCC[NH+]2Cc3ccccc3C2)[nH]n1 ZINC001605780823 972493191 /nfs/dbraw/zinc/49/31/91/972493191.db2.gz XSTPNGRNNYSYOU-UHFFFAOYSA-N 0 2 300.318 0.854 20 0 DCADLN O=C(N[C@H]1CCCC[C@@H]1O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363014334 960300420 /nfs/dbraw/zinc/30/04/20/960300420.db2.gz ZIQUBAAVGPXPCE-RYUDHWBXSA-N 0 2 318.333 0.300 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccnc1C1CC1 ZINC001363037919 960330092 /nfs/dbraw/zinc/33/00/92/960330092.db2.gz DMDWWVPCAFAETQ-UHFFFAOYSA-N 0 2 310.317 0.982 20 0 DCADLN NC(=O)NC1(C(=O)Nc2nccc(Br)c2O)CC1 ZINC001363081079 960379453 /nfs/dbraw/zinc/37/94/53/960379453.db2.gz QLKWXGWVGDOUTR-UHFFFAOYSA-N 0 2 315.127 0.689 20 0 DCADLN CN(C)S(=O)(=O)c1cc(C(=O)Nn2ccccc2=O)co1 ZINC001363106804 960404879 /nfs/dbraw/zinc/40/48/79/960404879.db2.gz AEHYTIKZKWUDJJ-UHFFFAOYSA-N 0 2 311.319 0.076 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC[C@@H](CF)C1 ZINC001363320788 960886625 /nfs/dbraw/zinc/88/66/25/960886625.db2.gz JKGUHQLDIUWDBO-VIFPVBQESA-N 0 2 306.297 0.698 20 0 DCADLN O=C(CCc1ccco1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377952622 961048866 /nfs/dbraw/zinc/04/88/66/961048866.db2.gz BQGVBZFWJZZNSB-UHFFFAOYSA-N 0 2 305.338 0.284 20 0 DCADLN CCn1nncc1C[NH+]1CC([C@@H](C)NC(=O)C[N@H+](C)C(C)C)C1 ZINC001378592220 961093261 /nfs/dbraw/zinc/09/32/61/961093261.db2.gz YMMVDTIKLFBUCK-CYBMUJFWSA-N 0 2 322.457 0.575 20 0 DCADLN CCNC(=O)[C@@H]1Cc2ccccc2CN1Cc1n[nH]c(=O)[nH]1 ZINC001549006921 1013228443 /nfs/dbraw/zinc/22/84/43/1013228443.db2.gz FEOBKGSFHWXTGO-LBPRGKRZSA-N 0 2 301.350 0.573 20 0 DCADLN O=C([O-])[C@H](CCC1OCCO1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001571102778 961246882 /nfs/dbraw/zinc/24/68/82/961246882.db2.gz QXGQRNYLQZLZRJ-BBBLOLIVSA-N 0 2 309.322 0.236 20 0 DCADLN O=C([O-])[C@H](CCC1OCCO1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001571102778 961246900 /nfs/dbraw/zinc/24/69/00/961246900.db2.gz QXGQRNYLQZLZRJ-BBBLOLIVSA-N 0 2 309.322 0.236 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001571106809 961289926 /nfs/dbraw/zinc/28/99/26/961289926.db2.gz RCTCZEYSPFBVQK-RYUDHWBXSA-N 0 2 309.391 0.898 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001571106809 961289947 /nfs/dbraw/zinc/28/99/47/961289947.db2.gz RCTCZEYSPFBVQK-RYUDHWBXSA-N 0 2 309.391 0.898 20 0 DCADLN Cc1[nH]c([C@H]2CCCN2C(=O)c2cn(CC(=O)[O-])nn2)[nH+]c1C ZINC001574417190 961327182 /nfs/dbraw/zinc/32/71/82/961327182.db2.gz JXKBNCKZJZFVTG-LLVKDONJSA-N 0 2 318.337 0.680 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc(CC2CC2)[nH]n1 ZINC001363517748 961338914 /nfs/dbraw/zinc/33/89/14/961338914.db2.gz GLWDMYCUGIGLDD-UHFFFAOYSA-N 0 2 313.321 0.386 20 0 DCADLN C[C@H](CNC(=O)C1(C(C)(F)F)CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378128300 961482484 /nfs/dbraw/zinc/48/24/84/961482484.db2.gz GWDISRLIBOIKAE-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](CNC(=O)C1(C(C)(F)F)CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378128300 961482494 /nfs/dbraw/zinc/48/24/94/961482494.db2.gz GWDISRLIBOIKAE-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001378132277 961491078 /nfs/dbraw/zinc/49/10/78/961491078.db2.gz UJVAAJDLBBWCEG-JGVFFNPUSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001378132277 961491091 /nfs/dbraw/zinc/49/10/91/961491091.db2.gz UJVAAJDLBBWCEG-JGVFFNPUSA-N 0 2 305.329 0.738 20 0 DCADLN CCc1ncoc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378132773 961491969 /nfs/dbraw/zinc/49/19/69/961491969.db2.gz SYVKMHPDQISVIK-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1ncoc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378132773 961491979 /nfs/dbraw/zinc/49/19/79/961491979.db2.gz SYVKMHPDQISVIK-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1[nH]ncc1C(F)(F)F ZINC001571130652 961537698 /nfs/dbraw/zinc/53/76/98/961537698.db2.gz HREKLWBJQVDFKE-SSDOTTSWSA-N 0 2 317.227 0.508 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]1C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001363646188 961618566 /nfs/dbraw/zinc/61/85/66/961618566.db2.gz AWYYINDPOXWIOD-DTWKUNHWSA-N 0 2 309.322 0.006 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001378859439 961620424 /nfs/dbraw/zinc/62/04/24/961620424.db2.gz VQVDSVRBZHLSDG-SFYZADRCSA-N 0 2 314.279 0.669 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001378859439 961620447 /nfs/dbraw/zinc/62/04/47/961620447.db2.gz VQVDSVRBZHLSDG-SFYZADRCSA-N 0 2 314.279 0.669 20 0 DCADLN CC[C@@H](C(=O)[O-])C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC001332653792 961625612 /nfs/dbraw/zinc/62/56/12/961625612.db2.gz QHXMJQYQCCRMPT-RISCZKNCSA-N 0 2 316.423 0.421 20 0 DCADLN Cc1nc2ccccn2c1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC001363655879 961637603 /nfs/dbraw/zinc/63/76/03/961637603.db2.gz BUPHHRYWBPDXCR-JTQLQIEISA-N 0 2 317.374 0.815 20 0 DCADLN CCCc1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc(=O)[nH]1 ZINC001571138565 961642046 /nfs/dbraw/zinc/64/20/46/961642046.db2.gz AQBVMUMQJIQEGR-LBPRGKRZSA-N 0 2 318.333 0.889 20 0 DCADLN CCCc1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc(=O)[nH]1 ZINC001571138565 961642054 /nfs/dbraw/zinc/64/20/54/961642054.db2.gz AQBVMUMQJIQEGR-LBPRGKRZSA-N 0 2 318.333 0.889 20 0 DCADLN Cc1c[nH]c(CC(N)=O)c1C(=O)N[C@H](C)c1nn(C)cc1O ZINC001363663957 961652667 /nfs/dbraw/zinc/65/26/67/961652667.db2.gz JEARUPDGRRJLQS-MRVPVSSYSA-N 0 2 305.338 0.281 20 0 DCADLN CC[C@H](SC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363675219 961675597 /nfs/dbraw/zinc/67/55/97/961675597.db2.gz ZFAQYTWQDHXHRI-ZETCQYMHSA-N 0 2 306.413 0.107 20 0 DCADLN C/C(=C\C(=O)N1CC[C@@](F)(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001334185701 961770433 /nfs/dbraw/zinc/77/04/33/961770433.db2.gz DZVBNRBXPYYDDV-ZHZWZMEUSA-N 0 2 300.330 0.290 20 0 DCADLN CC[C@@](C)(NC(=O)C1=NN(c2ccccc2)CC1=O)C(N)=O ZINC001363733545 961781427 /nfs/dbraw/zinc/78/14/27/961781427.db2.gz UQUFWFUHHUGLLG-OAHLLOKOSA-N 0 2 302.334 0.962 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)O1 ZINC001571156356 961876209 /nfs/dbraw/zinc/87/62/09/961876209.db2.gz SWJPXAKOAYWQCT-RWMBFGLXSA-N 0 2 322.365 0.295 20 0 DCADLN CN(CCN(C)C(=O)c1ccoc1Cl)Cc1n[nH]c(=O)[nH]1 ZINC001379035521 961936854 /nfs/dbraw/zinc/93/68/54/961936854.db2.gz VKKNGBOXDLPIGW-UHFFFAOYSA-N 0 2 313.745 0.961 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccoc1Cl ZINC001379035521 961936864 /nfs/dbraw/zinc/93/68/64/961936864.db2.gz VKKNGBOXDLPIGW-UHFFFAOYSA-N 0 2 313.745 0.961 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccoc1Cl ZINC001379035521 961936874 /nfs/dbraw/zinc/93/68/74/961936874.db2.gz VKKNGBOXDLPIGW-UHFFFAOYSA-N 0 2 313.745 0.961 20 0 DCADLN C[C@H](NC(=O)c1cc2c([nH]c1=O)CCOC2)c1nn(C)cc1O ZINC001363829963 961976617 /nfs/dbraw/zinc/97/66/17/961976617.db2.gz BMTBBBRBDJHFSE-QMMMGPOBSA-N 0 2 318.333 0.790 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNCc2nc(Cl)cs2)[nH]1 ZINC001363931119 962145878 /nfs/dbraw/zinc/14/58/78/962145878.db2.gz WXQMGTINCRNTDS-UHFFFAOYSA-N 0 2 321.815 0.749 20 0 DCADLN CC[C@@](COC)(NS(=O)(=O)Cc1ccno1)C(=O)OC ZINC001364013768 962292191 /nfs/dbraw/zinc/29/21/91/962292191.db2.gz ZQRNGXVPSJHNRF-NSHDSACASA-N 0 2 306.340 0.062 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CCN(c3nc(C)cc(=O)[nH]3)C2)c1C ZINC001364057493 962384783 /nfs/dbraw/zinc/38/47/83/962384783.db2.gz ONCPQKGKVLJVPT-NSHDSACASA-N 0 2 316.365 0.839 20 0 DCADLN Cc1ncccc1C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001379222756 962401191 /nfs/dbraw/zinc/40/11/91/962401191.db2.gz NZLGVXCDLBDABW-JTQLQIEISA-N 0 2 318.381 0.062 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](c3ncccn3)C2)S1 ZINC001364107403 962486775 /nfs/dbraw/zinc/48/67/75/962486775.db2.gz AXSSRKPUEWEEQT-VHSXEESVSA-N 0 2 319.390 0.739 20 0 DCADLN CC(C)O[C@@]1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCOC1 ZINC001364186007 962636705 /nfs/dbraw/zinc/63/67/05/962636705.db2.gz RIROKZYJLZWBKW-NOZJJQNGSA-N 0 2 315.395 0.243 20 0 DCADLN CC(C)C[C@@H]1C[NH+](C)CCN1C(=O)[C@@H]1C[N@H+]2CCN1C[C@@H]2C ZINC001364235241 962726879 /nfs/dbraw/zinc/72/68/79/962726879.db2.gz LMHOGHANJCDJIP-XHSDSOJGSA-N 0 2 308.470 0.563 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001380227946 962893918 /nfs/dbraw/zinc/89/39/18/962893918.db2.gz PKAQSJQGKDRLSW-SECBINFHSA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001380227946 962893923 /nfs/dbraw/zinc/89/39/23/962893923.db2.gz PKAQSJQGKDRLSW-SECBINFHSA-N 0 2 309.267 0.358 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)OCC1CC1 ZINC001379634785 963302024 /nfs/dbraw/zinc/30/20/24/963302024.db2.gz SPXMJNISZJQVLW-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)OCC1CC1 ZINC001379634785 963302035 /nfs/dbraw/zinc/30/20/35/963302035.db2.gz SPXMJNISZJQVLW-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](CNC(=O)c1[nH]ncc1F)N(C)C(=O)c1n[nH]cc1F ZINC001380902474 963670125 /nfs/dbraw/zinc/67/01/25/963670125.db2.gz STECTAAYVBWDCR-ZCFIWIBFSA-N 0 2 312.280 0.302 20 0 DCADLN COCC(=O)N1CC([C@@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001381191408 963882991 /nfs/dbraw/zinc/88/29/91/963882991.db2.gz PDXPHUZVIRIPDH-MUWHJKNJSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N1CC([C@@H](C)NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001381191408 963883001 /nfs/dbraw/zinc/88/30/01/963883001.db2.gz PDXPHUZVIRIPDH-MUWHJKNJSA-N 0 2 300.252 0.496 20 0 DCADLN COC[C@@H](O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001373628021 964387169 /nfs/dbraw/zinc/38/71/69/964387169.db2.gz NBNRPTCVEOHACW-AGIUHOORSA-N 0 2 322.409 0.027 20 0 DCADLN CCn1cc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001373628892 964389922 /nfs/dbraw/zinc/38/99/22/964389922.db2.gz XQTKZHKVNOERPJ-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1cc(CN2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001373628892 964389932 /nfs/dbraw/zinc/38/99/32/964389932.db2.gz XQTKZHKVNOERPJ-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2cn(C)nn2)C1 ZINC001373672294 964459867 /nfs/dbraw/zinc/45/98/67/964459867.db2.gz JXKFQOWPTQXFFA-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(Cc2cn(C)nn2)C1 ZINC001373672294 964459877 /nfs/dbraw/zinc/45/98/77/964459877.db2.gz JXKFQOWPTQXFFA-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)CNC(=O)C(F)C(F)(F)F ZINC001375602473 964596636 /nfs/dbraw/zinc/59/66/36/964596636.db2.gz UXIJYGPCBBZWOL-APPZFPTMSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)CNC(=O)[C@H](F)C(F)(F)F ZINC001375602473 964596648 /nfs/dbraw/zinc/59/66/48/964596648.db2.gz UXIJYGPCBBZWOL-APPZFPTMSA-N 0 2 324.278 0.803 20 0 DCADLN CCN(CCNC(=O)[C@H](O)C(C)C)C(=O)C(F)C(F)(F)F ZINC001373811963 964665835 /nfs/dbraw/zinc/66/58/35/964665835.db2.gz BESWRMXZKOJUKU-BDAKNGLRSA-N 0 2 316.295 0.868 20 0 DCADLN CCN(CCNC(=O)[C@H](O)C(C)C)C(=O)[C@H](F)C(F)(F)F ZINC001373811963 964665839 /nfs/dbraw/zinc/66/58/39/964665839.db2.gz BESWRMXZKOJUKU-BDAKNGLRSA-N 0 2 316.295 0.868 20 0 DCADLN C[C@@]1(NC(=O)C[C@@H]2CCCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375820057 964866835 /nfs/dbraw/zinc/86/68/35/964866835.db2.gz KIGMPAXBWQTHNW-XHDPSFHLSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@@]1(NC(=O)C[C@@H]2CCCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375820057 964866846 /nfs/dbraw/zinc/86/68/46/964866846.db2.gz KIGMPAXBWQTHNW-XHDPSFHLSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(CC[C@@H]1CCCO1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001374044794 964936936 /nfs/dbraw/zinc/93/69/36/964936936.db2.gz UXWZMSQQLUVCGI-NSHDSACASA-N 0 2 309.370 0.114 20 0 DCADLN COC[C@@H](O)C[N@H+](C)CCN(C)C(=O)c1cccc2[nH+]ccn21 ZINC001374517837 965476729 /nfs/dbraw/zinc/47/67/29/965476729.db2.gz WJHUDQCVECOILA-ZDUSSCGKSA-N 0 2 320.393 0.345 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001370300988 965986277 /nfs/dbraw/zinc/98/62/77/965986277.db2.gz NIRHFQNBLUXBHN-BWZBUEFSSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001370300988 965986303 /nfs/dbraw/zinc/98/63/03/965986303.db2.gz NIRHFQNBLUXBHN-BWZBUEFSSA-N 0 2 322.262 0.935 20 0 DCADLN COC[C@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001374845846 966021877 /nfs/dbraw/zinc/02/18/77/966021877.db2.gz HFDKAERWMCHNNL-IONNQARKSA-N 0 2 314.279 0.744 20 0 DCADLN COC[C@H](C)C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374845846 966021886 /nfs/dbraw/zinc/02/18/86/966021886.db2.gz HFDKAERWMCHNNL-IONNQARKSA-N 0 2 314.279 0.744 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccnnc2)C1)C(F)C(F)(F)F ZINC001374847269 966026748 /nfs/dbraw/zinc/02/67/48/966026748.db2.gz OBXVDZOJBAZAFJ-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccnnc2)C1)[C@H](F)C(F)(F)F ZINC001374847269 966026754 /nfs/dbraw/zinc/02/67/54/966026754.db2.gz OBXVDZOJBAZAFJ-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001371677225 967231132 /nfs/dbraw/zinc/23/11/32/967231132.db2.gz JEAUHKGFQUWXDW-MAUMQABQSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001371677225 967231142 /nfs/dbraw/zinc/23/11/42/967231142.db2.gz JEAUHKGFQUWXDW-MAUMQABQSA-N 0 2 314.279 0.933 20 0 DCADLN COCCN(CCNC(=O)C1CCCC1)Cc1n[nH]c(=O)[nH]1 ZINC001382542780 967483921 /nfs/dbraw/zinc/48/39/21/967483921.db2.gz USOCVYZKOGTGNL-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@H+](CCNC(=O)CCc1nccs1)Cc1n[nH]c(=O)[n-]1 ZINC001372477298 968017525 /nfs/dbraw/zinc/01/75/25/968017525.db2.gz XAGUXSSEHRANED-UHFFFAOYSA-N 0 2 324.410 0.538 20 0 DCADLN CC[N@@H+](CCNC(=O)CCc1nccs1)Cc1n[nH]c(=O)[n-]1 ZINC001372477298 968017536 /nfs/dbraw/zinc/01/75/36/968017536.db2.gz XAGUXSSEHRANED-UHFFFAOYSA-N 0 2 324.410 0.538 20 0 DCADLN CO[C@@H](C)CCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372518232 968078883 /nfs/dbraw/zinc/07/88/83/968078883.db2.gz WMDYMYVZDFCSGG-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C)CCC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372518232 968078892 /nfs/dbraw/zinc/07/88/92/968078892.db2.gz WMDYMYVZDFCSGG-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001372907048 968518217 /nfs/dbraw/zinc/51/82/17/968518217.db2.gz LNHTUUHDTLYBQK-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001372907048 968518226 /nfs/dbraw/zinc/51/82/26/968518226.db2.gz LNHTUUHDTLYBQK-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN COCCC1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001373371807 968998801 /nfs/dbraw/zinc/99/88/01/968998801.db2.gz DENDKBDBJHWZMD-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CCCNC(=O)CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001373591905 969270473 /nfs/dbraw/zinc/27/04/73/969270473.db2.gz KMKWBQVIFIOFNJ-WCBMZHEXSA-N 0 2 313.295 0.604 20 0 DCADLN CN(Cc1nnc[nH]1)C(=O)c1nc[nH]c(=O)c1Br ZINC001448482240 1013928186 /nfs/dbraw/zinc/92/81/86/1013928186.db2.gz HDIXWPBEPHJFBE-UHFFFAOYSA-N 0 2 313.115 0.335 20 0 DCADLN Cc1ncncc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001431300910 1013987297 /nfs/dbraw/zinc/98/72/97/1013987297.db2.gz IGAKPPKWNVISPC-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ncncc1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001431300910 1013987299 /nfs/dbraw/zinc/98/72/99/1013987299.db2.gz IGAKPPKWNVISPC-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CCCC(N)=O ZINC001431302750 1013987604 /nfs/dbraw/zinc/98/76/04/1013987604.db2.gz MGIMLASVXGTVQJ-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)CCCC(N)=O ZINC001431302750 1013987606 /nfs/dbraw/zinc/98/76/06/1013987606.db2.gz MGIMLASVXGTVQJ-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN Cc1nnsc1C[NH2+][C@H](C)CN(C)C(=O)c1[nH]nnc1C ZINC001448698414 1014001262 /nfs/dbraw/zinc/00/12/62/1014001262.db2.gz JLPBTCFGZHGBLD-SSDOTTSWSA-N 0 2 309.399 0.523 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2c1OCCO2 ZINC000319581777 970551400 /nfs/dbraw/zinc/55/14/00/970551400.db2.gz SRAVQRULSFDIGR-NSHDSACASA-N 0 2 317.301 0.607 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2c1OCCO2 ZINC000319581777 970551410 /nfs/dbraw/zinc/55/14/10/970551410.db2.gz SRAVQRULSFDIGR-NSHDSACASA-N 0 2 317.301 0.607 20 0 DCADLN O=C([O-])[C@@H](c1ccccc1)N1CC[C@@H]([NH2+]Cc2ncc[nH]2)C1=O ZINC001605909730 972766852 /nfs/dbraw/zinc/76/68/52/972766852.db2.gz HYJTWGLVTPCLJX-TZMCWYRMSA-N 0 2 314.345 0.926 20 0 DCADLN Cc1cnc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)cn1 ZINC001448917301 1014095896 /nfs/dbraw/zinc/09/58/96/1014095896.db2.gz HWIGBCDZHDOYRT-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cnc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001448917301 1014095898 /nfs/dbraw/zinc/09/58/98/1014095898.db2.gz HWIGBCDZHDOYRT-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N1CC[NH2+][C@@H](c2ccc(F)cc2)C1 ZINC001604454671 973286105 /nfs/dbraw/zinc/28/61/05/973286105.db2.gz AHEJJYMGFIJWQG-IUODEOHRSA-N 0 2 310.325 0.788 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(C(=O)[O-])ccn1 ZINC001603474422 973357697 /nfs/dbraw/zinc/35/76/97/973357697.db2.gz VRWZAGPCKPEYIH-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cc(C(=O)[O-])ccn1 ZINC001603474422 973357709 /nfs/dbraw/zinc/35/77/09/973357709.db2.gz VRWZAGPCKPEYIH-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)[C@H](C(=O)[O-])C1CC1)C2 ZINC001605416302 973424362 /nfs/dbraw/zinc/42/43/62/973424362.db2.gz CUFFBKYVLUEHDD-SMDDNHRTSA-N 0 2 321.377 0.268 20 0 DCADLN Cc1nc2sccn2c1C[N@@H+](C)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001605420124 973436299 /nfs/dbraw/zinc/43/62/99/973436299.db2.gz HPOUYPBZRWKFJY-SNVBAGLBSA-N 0 2 322.390 0.822 20 0 DCADLN Cc1nc2sccn2c1C[N@H+](C)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001605420124 973436307 /nfs/dbraw/zinc/43/63/07/973436307.db2.gz HPOUYPBZRWKFJY-SNVBAGLBSA-N 0 2 322.390 0.822 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC000401882603 973490370 /nfs/dbraw/zinc/49/03/70/973490370.db2.gz MWJNGQRHENWJJT-SCZZXKLOSA-N 0 2 314.288 0.671 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC000401882603 973490376 /nfs/dbraw/zinc/49/03/76/973490376.db2.gz MWJNGQRHENWJJT-SCZZXKLOSA-N 0 2 314.288 0.671 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2c(C)nc3ccccn32)CCO1 ZINC001604564254 973622968 /nfs/dbraw/zinc/62/29/68/973622968.db2.gz IYAIIMHYAGFBKB-MRXNPFEDSA-N 0 2 319.361 0.945 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2c(C)nc3ccccn32)CCO1 ZINC001604564254 973622970 /nfs/dbraw/zinc/62/29/70/973622970.db2.gz IYAIIMHYAGFBKB-MRXNPFEDSA-N 0 2 319.361 0.945 20 0 DCADLN CC[C@H](CNC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001603552970 973630721 /nfs/dbraw/zinc/63/07/21/973630721.db2.gz ULLLFRJQBTZTIE-NWDGAFQWSA-N 0 2 301.387 0.459 20 0 DCADLN CN(CCNC(=O)[C@H]1CCCO1)C(=O)C(F)C(F)(F)F ZINC001527898058 1014131146 /nfs/dbraw/zinc/13/11/46/1014131146.db2.gz HATGQLRXBNNZAY-HTQZYQBOSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)[C@H]1CCCO1)C(=O)[C@@H](F)C(F)(F)F ZINC001527898058 1014131150 /nfs/dbraw/zinc/13/11/50/1014131150.db2.gz HATGQLRXBNNZAY-HTQZYQBOSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@H](C(=O)[O-])[C@H](C3CC3)C2)nc[nH+]1 ZINC001549119597 1014138568 /nfs/dbraw/zinc/13/85/68/1014138568.db2.gz YHXYDIUROOAHPM-DLOVCJGASA-N 0 2 306.366 0.816 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@H](C(=O)[O-])[C@H](C3CC3)C2)[nH+]cn1 ZINC001549119597 1014138570 /nfs/dbraw/zinc/13/85/70/1014138570.db2.gz YHXYDIUROOAHPM-DLOVCJGASA-N 0 2 306.366 0.816 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)NC[C@H](C(=O)[O-])C(C)C ZINC001603628740 973950785 /nfs/dbraw/zinc/95/07/85/973950785.db2.gz WORHDLMENONQEZ-STQMWFEESA-N 0 2 315.414 0.753 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)NC[C@H](C(=O)[O-])C(C)C ZINC001603628740 973950789 /nfs/dbraw/zinc/95/07/89/973950789.db2.gz WORHDLMENONQEZ-STQMWFEESA-N 0 2 315.414 0.753 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)NC[C@@H](C(=O)[O-])C(C)C ZINC001603628737 973951294 /nfs/dbraw/zinc/95/12/94/973951294.db2.gz WORHDLMENONQEZ-CHWSQXEVSA-N 0 2 315.414 0.753 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)NC[C@@H](C(=O)[O-])C(C)C ZINC001603628737 973951306 /nfs/dbraw/zinc/95/13/06/973951306.db2.gz WORHDLMENONQEZ-CHWSQXEVSA-N 0 2 315.414 0.753 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cccc(C(=O)[O-])c2)C1 ZINC001605631787 973978701 /nfs/dbraw/zinc/97/87/01/973978701.db2.gz IRWTUVFBPLUAEA-GFCCVEGCSA-N 0 2 305.334 0.064 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cccc(C(=O)[O-])c2)C1 ZINC001605631787 973978708 /nfs/dbraw/zinc/97/87/08/973978708.db2.gz IRWTUVFBPLUAEA-GFCCVEGCSA-N 0 2 305.334 0.064 20 0 DCADLN COc1cccc2c1C[N@@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)CC2 ZINC001604877078 974130705 /nfs/dbraw/zinc/13/07/05/974130705.db2.gz RKFJQLYOWSZDMT-CYBMUJFWSA-N 0 2 304.346 0.739 20 0 DCADLN COc1cccc2c1C[N@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)CC2 ZINC001604877078 974130708 /nfs/dbraw/zinc/13/07/08/974130708.db2.gz RKFJQLYOWSZDMT-CYBMUJFWSA-N 0 2 304.346 0.739 20 0 DCADLN CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC[C@H](C(=O)[O-])C1 ZINC001604008783 974519264 /nfs/dbraw/zinc/51/92/64/974519264.db2.gz TXMJHCGVJLOLFU-NSHDSACASA-N 0 2 318.381 0.923 20 0 DCADLN O=C(NC[C@@H]1C[C@@H](c2nc[nH]n2)C[C@H]1O)c1cncc(O)c1 ZINC001549519435 1014287998 /nfs/dbraw/zinc/28/79/98/1014287998.db2.gz KOWPFUUOIWXZOI-VDDIYKPWSA-N 0 2 303.322 0.190 20 0 DCADLN O=C([O-])c1sccc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000707508118 977395932 /nfs/dbraw/zinc/39/59/32/977395932.db2.gz ZLYRDRXIIOUXLR-UHFFFAOYSA-N 0 2 313.360 0.888 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)c1cnn(C)c1C ZINC001522835865 1014411026 /nfs/dbraw/zinc/41/10/26/1014411026.db2.gz AWGBJPZGMFCWQZ-UHFFFAOYSA-N 0 2 318.381 0.239 20 0 DCADLN CCNC(=O)CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001522886229 1014452039 /nfs/dbraw/zinc/45/20/39/1014452039.db2.gz ZPOMGOBZWFCGRL-SECBINFHSA-N 0 2 315.267 0.036 20 0 DCADLN CCNC(=O)CC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522886229 1014452045 /nfs/dbraw/zinc/45/20/45/1014452045.db2.gz ZPOMGOBZWFCGRL-SECBINFHSA-N 0 2 315.267 0.036 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)C12CCC(C(=O)[O-])(CC1)C2 ZINC001592623196 978592144 /nfs/dbraw/zinc/59/21/44/978592144.db2.gz DWJABTSNMZXBJF-UWTIGNOOSA-N 0 2 310.394 0.858 20 0 DCADLN C[C@H](Cc1cnn(C)c1)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001592909049 979869423 /nfs/dbraw/zinc/86/94/23/979869423.db2.gz NXYSVRJFNNIYMT-ZYHUDNBSSA-N 0 2 305.338 0.065 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001593004021 980220825 /nfs/dbraw/zinc/22/08/25/980220825.db2.gz XSEZBHXCGQRUED-DGAVXFQQSA-N 0 2 311.426 0.628 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@H+]1C ZINC001593004021 980220830 /nfs/dbraw/zinc/22/08/30/980220830.db2.gz XSEZBHXCGQRUED-DGAVXFQQSA-N 0 2 311.426 0.628 20 0 DCADLN O=C(NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]n1 ZINC001524458170 1014983453 /nfs/dbraw/zinc/98/34/53/1014983453.db2.gz CYKMHXVSYFIXHR-HTRCEHHLSA-N 0 2 323.250 0.330 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)C2CCC(C(=O)[O-])CC2)C[C@@H]1C ZINC001596436335 984118346 /nfs/dbraw/zinc/11/83/46/984118346.db2.gz NGPBWBWUAZTWIQ-HIFPTAJRSA-N 0 2 318.439 0.986 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)C2CCC(C(=O)[O-])CC2)C[C@@H]1C ZINC001596436335 984118348 /nfs/dbraw/zinc/11/83/48/984118348.db2.gz NGPBWBWUAZTWIQ-HIFPTAJRSA-N 0 2 318.439 0.986 20 0 DCADLN CCNc1nc(N2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)nc(NC(C)(C)C)[nH+]1 ZINC001596505428 984263197 /nfs/dbraw/zinc/26/31/97/984263197.db2.gz SEAJIINVUPPDLI-MYJAWHEDSA-N 0 2 320.397 0.703 20 0 DCADLN Cn1cc([C@@H]2CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@@H]2CO)cn1 ZINC001551352667 1015022356 /nfs/dbraw/zinc/02/23/56/1015022356.db2.gz DXFARBHMAJMTIR-OLZOCXBDSA-N 0 2 302.334 0.726 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)N(C)C(=O)C(F)C(F)(F)F ZINC001383128331 984687808 /nfs/dbraw/zinc/68/78/08/984687808.db2.gz LMZBJKLWJQPQAM-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001383128331 984687814 /nfs/dbraw/zinc/68/78/14/984687814.db2.gz LMZBJKLWJQPQAM-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN O=C(CCn1cccn1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450369870 1015091752 /nfs/dbraw/zinc/09/17/52/1015091752.db2.gz DSOHTCVEQWDAMT-JTQLQIEISA-N 0 2 322.262 0.501 20 0 DCADLN O=C(CCn1cccn1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001450369870 1015091758 /nfs/dbraw/zinc/09/17/58/1015091758.db2.gz DSOHTCVEQWDAMT-JTQLQIEISA-N 0 2 322.262 0.501 20 0 DCADLN CSCCC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001383992254 985257443 /nfs/dbraw/zinc/25/74/43/985257443.db2.gz GLOPIZXDIQVAFR-HTRCEHHLSA-N 0 2 320.308 0.233 20 0 DCADLN CSCCC(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001383992254 985257449 /nfs/dbraw/zinc/25/74/49/985257449.db2.gz GLOPIZXDIQVAFR-HTRCEHHLSA-N 0 2 320.308 0.233 20 0 DCADLN CCOC1(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCOCC1 ZINC001596798725 985309128 /nfs/dbraw/zinc/30/91/28/985309128.db2.gz WEMCNBMIXQRQOQ-LLVKDONJSA-N 0 2 311.338 0.107 20 0 DCADLN CCOC1(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCOCC1 ZINC001596798725 985309137 /nfs/dbraw/zinc/30/91/37/985309137.db2.gz WEMCNBMIXQRQOQ-LLVKDONJSA-N 0 2 311.338 0.107 20 0 DCADLN CC(=O)N[C@H](CC1CCC1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001589166050 985510168 /nfs/dbraw/zinc/51/01/68/985510168.db2.gz NUECYPHENOQHSS-CHWSQXEVSA-N 0 2 322.365 0.217 20 0 DCADLN CC(=O)N[C@H](CC1CCC1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001589166050 985510173 /nfs/dbraw/zinc/51/01/73/985510173.db2.gz NUECYPHENOQHSS-CHWSQXEVSA-N 0 2 322.365 0.217 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001525437376 1015146209 /nfs/dbraw/zinc/14/62/09/1015146209.db2.gz XCWNREJXNCYMET-BKPPORCPSA-N 0 2 314.279 0.811 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001525437376 1015146215 /nfs/dbraw/zinc/14/62/15/1015146215.db2.gz XCWNREJXNCYMET-BKPPORCPSA-N 0 2 314.279 0.811 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@H]2CCC(=O)N2C)n[nH]1 ZINC001551660583 1015164540 /nfs/dbraw/zinc/16/45/40/1015164540.db2.gz ZGZDMJOHGTWXNP-UTUOFQBUSA-N 0 2 321.381 0.065 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2[C@H]3CCCO[C@H]32)n[nH]1 ZINC001551655939 1015166176 /nfs/dbraw/zinc/16/61/76/1015166176.db2.gz IMKPJNZVNWDCMR-DHGKCCLASA-N 0 2 320.393 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@H](O)C2CCC2)n[nH]1 ZINC001551656519 1015166406 /nfs/dbraw/zinc/16/64/06/1015166406.db2.gz CQMXVQMGQSDOAM-FRRDWIJNSA-N 0 2 308.382 0.605 20 0 DCADLN CC(F)(F)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001384818664 985859922 /nfs/dbraw/zinc/85/99/22/985859922.db2.gz OKGXXVGSBNHMSV-NGJCXOISSA-N 0 2 322.205 0.230 20 0 DCADLN CC(F)(F)C(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001384818664 985859938 /nfs/dbraw/zinc/85/99/38/985859938.db2.gz OKGXXVGSBNHMSV-NGJCXOISSA-N 0 2 322.205 0.230 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2cnnc(C)c2)n[nH]1 ZINC001551668840 1015171090 /nfs/dbraw/zinc/17/10/90/1015171090.db2.gz HWZCFLWWQCVAIL-QWHCGFSZSA-N 0 2 316.365 0.856 20 0 DCADLN CCOCCOCC[N@@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001596934482 986037849 /nfs/dbraw/zinc/03/78/49/986037849.db2.gz MIAOEQUMJZFEHD-VXGBXAGGSA-N 0 2 303.355 0.378 20 0 DCADLN CCOCCOCC[N@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001596934482 986037854 /nfs/dbraw/zinc/03/78/54/986037854.db2.gz MIAOEQUMJZFEHD-VXGBXAGGSA-N 0 2 303.355 0.378 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)[C@@H](C)CO1 ZINC001594526657 986058931 /nfs/dbraw/zinc/05/89/31/986058931.db2.gz BLOJRIHNKGBYLC-RVMXOQNASA-N 0 2 313.398 0.648 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)[C@@H](C)CO1 ZINC001594526657 986058938 /nfs/dbraw/zinc/05/89/38/986058938.db2.gz BLOJRIHNKGBYLC-RVMXOQNASA-N 0 2 313.398 0.648 20 0 DCADLN CC[C@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)NC(C)=O ZINC001531508221 1015185074 /nfs/dbraw/zinc/18/50/74/1015185074.db2.gz SKLJEYPJCDPBOU-LLVKDONJSA-N 0 2 304.354 0.455 20 0 DCADLN C[C@@H]1CSCC[N@@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001594612190 986605765 /nfs/dbraw/zinc/60/57/65/986605765.db2.gz DKGVZNGZJZXOQM-RNCFNFMXSA-N 0 2 315.395 0.557 20 0 DCADLN C[C@@H]1CSCC[N@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001594612190 986605770 /nfs/dbraw/zinc/60/57/70/986605770.db2.gz DKGVZNGZJZXOQM-RNCFNFMXSA-N 0 2 315.395 0.557 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386010751 986750984 /nfs/dbraw/zinc/75/09/84/986750984.db2.gz GJIGMPLRVOSZMK-GKROBHDKSA-N 0 2 324.234 0.655 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001386010751 986750994 /nfs/dbraw/zinc/75/09/94/986750994.db2.gz GJIGMPLRVOSZMK-GKROBHDKSA-N 0 2 324.234 0.655 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001450625946 1015257287 /nfs/dbraw/zinc/25/72/87/1015257287.db2.gz JDDCKGJOIJKPKK-IUCAKERBSA-N 0 2 312.263 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2CCOC2)C1)[C@H](F)C(F)(F)F ZINC001450625946 1015257290 /nfs/dbraw/zinc/25/72/90/1015257290.db2.gz JDDCKGJOIJKPKK-IUCAKERBSA-N 0 2 312.263 0.498 20 0 DCADLN C[C@@H](CNC(=O)C1=COCCO1)NC(=O)C(F)C(F)(F)F ZINC001386166414 986906463 /nfs/dbraw/zinc/90/64/63/986906463.db2.gz ZSXXXNNBAXWSDL-XPUUQOCRSA-N 0 2 314.235 0.396 20 0 DCADLN C[C@@H](CNC(=O)C1=COCCO1)NC(=O)[C@H](F)C(F)(F)F ZINC001386166414 986906465 /nfs/dbraw/zinc/90/64/65/986906465.db2.gz ZSXXXNNBAXWSDL-XPUUQOCRSA-N 0 2 314.235 0.396 20 0 DCADLN Cc1cnn(CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)c1 ZINC001386189294 986933931 /nfs/dbraw/zinc/93/39/31/986933931.db2.gz LSEKQBYSFDKDDQ-SCZZXKLOSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1cnn(CC(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)c1 ZINC001386189294 986933940 /nfs/dbraw/zinc/93/39/40/986933940.db2.gz LSEKQBYSFDKDDQ-SCZZXKLOSA-N 0 2 324.278 0.713 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)COCC1CC1 ZINC001386303017 987055890 /nfs/dbraw/zinc/05/58/90/987055890.db2.gz IBQDGURNDYOYKH-OIBJUYFYSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)COCC1CC1 ZINC001386303017 987055895 /nfs/dbraw/zinc/05/58/95/987055895.db2.gz IBQDGURNDYOYKH-OIBJUYFYSA-N 0 2 314.279 0.934 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001386315217 987063701 /nfs/dbraw/zinc/06/37/01/987063701.db2.gz UVACRYAUAABOSB-BKPPORCPSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001386315217 987063710 /nfs/dbraw/zinc/06/37/10/987063710.db2.gz UVACRYAUAABOSB-BKPPORCPSA-N 0 2 322.262 0.698 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)NC(=O)CCc2[nH]cc[nH+]2)c1[O-] ZINC001526500684 1015288257 /nfs/dbraw/zinc/28/82/57/1015288257.db2.gz RKNKKXNRVMLMTO-QMMMGPOBSA-N 0 2 320.353 0.014 20 0 DCADLN CS[C@@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001387697484 988037400 /nfs/dbraw/zinc/03/74/00/988037400.db2.gz HYUCLYFSCNZXPL-FSPLSTOPSA-N 0 2 302.293 0.965 20 0 DCADLN CS[C@@H](C)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001387697484 988037414 /nfs/dbraw/zinc/03/74/14/988037414.db2.gz HYUCLYFSCNZXPL-FSPLSTOPSA-N 0 2 302.293 0.965 20 0 DCADLN O=C(NC1CN(C(=O)c2cnccn2)C1)C(F)C(F)(F)F ZINC001387749882 988115192 /nfs/dbraw/zinc/11/51/92/988115192.db2.gz NWCQCYUIPAWDGW-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2cnccn2)C1)[C@@H](F)C(F)(F)F ZINC001387749882 988115199 /nfs/dbraw/zinc/11/51/99/988115199.db2.gz NWCQCYUIPAWDGW-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN CC(C)(C)CNC(=O)C(C)(C)[NH2+]Cc1cn(CC(=O)[O-])nn1 ZINC001589784771 988169141 /nfs/dbraw/zinc/16/91/41/988169141.db2.gz VCKRNNCPXBHWHF-UHFFFAOYSA-N 0 2 311.386 0.393 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)C1=CCOCC1 ZINC001388366726 988234737 /nfs/dbraw/zinc/23/47/37/988234737.db2.gz NPRAOMSGHKKRTG-UHFFFAOYSA-N 0 2 320.393 0.572 20 0 DCADLN CCc1nnc(C[NH2+]C2(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)s1 ZINC001597728916 989030475 /nfs/dbraw/zinc/03/04/75/989030475.db2.gz OLSPYVCIHAQHAR-RKDXNWHRSA-N 0 2 324.406 0.560 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H]1CCC[N@H+](CC(=O)N2CCC2)C1)[NH+](C)C ZINC001389386840 989186551 /nfs/dbraw/zinc/18/65/51/989186551.db2.gz FBMWCEZHHVJLSL-ZBFHGGJFSA-N 0 2 324.469 0.386 20 0 DCADLN COC[C@H](C)CC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389405516 989206343 /nfs/dbraw/zinc/20/63/43/989206343.db2.gz WRALSEDKALNSSJ-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(C[C@H]1CCCO1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391031969 990528607 /nfs/dbraw/zinc/52/86/07/990528607.db2.gz UQAOEEDGTNVIAD-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(C[C@H]1CCCO1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391031969 990528614 /nfs/dbraw/zinc/52/86/14/990528614.db2.gz UQAOEEDGTNVIAD-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001451074543 1015577597 /nfs/dbraw/zinc/57/75/97/1015577597.db2.gz YOPPSOKBPZHJNL-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001451074543 1015577604 /nfs/dbraw/zinc/57/76/04/1015577604.db2.gz YOPPSOKBPZHJNL-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)CNC(=O)C(F)C(F)(F)F ZINC001391204242 990665761 /nfs/dbraw/zinc/66/57/61/990665761.db2.gz TWDVIQGRFLDRDB-IONNQARKSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001391204242 990665763 /nfs/dbraw/zinc/66/57/63/990665763.db2.gz TWDVIQGRFLDRDB-IONNQARKSA-N 0 2 324.278 0.803 20 0 DCADLN COc1cccc([C@H]([NH2+]CC(=O)NC(=O)NC2CC2)C(=O)[O-])c1 ZINC001599687610 991011644 /nfs/dbraw/zinc/01/16/44/991011644.db2.gz YTLWLZAYCBZUQG-ZDUSSCGKSA-N 0 2 321.333 0.399 20 0 DCADLN CN(C)S(=O)(=O)[C@H]1CCC[N@H+](Cc2oncc2C(=O)[O-])C1 ZINC001598259623 991082528 /nfs/dbraw/zinc/08/25/28/991082528.db2.gz MSFYRSAQIYMQTQ-VIFPVBQESA-N 0 2 317.367 0.229 20 0 DCADLN CN(C)S(=O)(=O)[C@H]1CCC[N@@H+](Cc2oncc2C(=O)[O-])C1 ZINC001598259623 991082535 /nfs/dbraw/zinc/08/25/35/991082535.db2.gz MSFYRSAQIYMQTQ-VIFPVBQESA-N 0 2 317.367 0.229 20 0 DCADLN CN(C)c1ncc(C[N@H+](C)[C@@H]2CCN(CCC(=O)[O-])C2=O)cn1 ZINC001598354004 991463517 /nfs/dbraw/zinc/46/35/17/991463517.db2.gz QIKCWZMYVGJORW-GFCCVEGCSA-N 0 2 321.381 0.050 20 0 DCADLN CN(C)c1ncc(C[N@@H+](C)[C@@H]2CCN(CCC(=O)[O-])C2=O)cn1 ZINC001598354004 991463521 /nfs/dbraw/zinc/46/35/21/991463521.db2.gz QIKCWZMYVGJORW-GFCCVEGCSA-N 0 2 321.381 0.050 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001598360445 991502857 /nfs/dbraw/zinc/50/28/57/991502857.db2.gz UTMGIVUQCAEILM-RKDXNWHRSA-N 0 2 314.773 0.463 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001598360445 991502865 /nfs/dbraw/zinc/50/28/65/991502865.db2.gz UTMGIVUQCAEILM-RKDXNWHRSA-N 0 2 314.773 0.463 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1nnn(C)n1 ZINC001392278955 991690092 /nfs/dbraw/zinc/69/00/92/991690092.db2.gz JWEQAMRQMZCXOY-MRVPVSSYSA-N 0 2 312.271 0.001 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)Cc1nnn(C)n1 ZINC001392278955 991690093 /nfs/dbraw/zinc/69/00/93/991690093.db2.gz JWEQAMRQMZCXOY-MRVPVSSYSA-N 0 2 312.271 0.001 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)nn1C ZINC001392393391 991863974 /nfs/dbraw/zinc/86/39/74/991863974.db2.gz UGGHGJHQSGHUCW-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)nn1C ZINC001392393391 991863985 /nfs/dbraw/zinc/86/39/85/991863985.db2.gz UGGHGJHQSGHUCW-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN CS(=O)(=O)N1CCC(Nc2cc(CC(=O)[O-])cc[nH+]2)CC1 ZINC001599756662 992063335 /nfs/dbraw/zinc/06/33/35/992063335.db2.gz ZYCWFYDYJCDCDS-UHFFFAOYSA-N 0 2 313.379 0.545 20 0 DCADLN CC(C)CCn1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nn1 ZINC001591274120 992192112 /nfs/dbraw/zinc/19/21/12/992192112.db2.gz CFUSYEMWSIBDTH-LLVKDONJSA-N 0 2 320.353 0.473 20 0 DCADLN CC(C)CCn1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nn1 ZINC001591274120 992192118 /nfs/dbraw/zinc/19/21/18/992192118.db2.gz CFUSYEMWSIBDTH-LLVKDONJSA-N 0 2 320.353 0.473 20 0 DCADLN C[N@H+](CCNS(C)(=O)=O)Cc1ccccc1OCC(=O)[O-] ZINC001598495847 992359532 /nfs/dbraw/zinc/35/95/32/992359532.db2.gz PHHLORHEUKATOJ-UHFFFAOYSA-N 0 2 316.379 0.131 20 0 DCADLN C[N@@H+](CCNS(C)(=O)=O)Cc1ccccc1OCC(=O)[O-] ZINC001598495847 992359542 /nfs/dbraw/zinc/35/95/42/992359542.db2.gz PHHLORHEUKATOJ-UHFFFAOYSA-N 0 2 316.379 0.131 20 0 DCADLN Cn1ncnc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001392829944 992436604 /nfs/dbraw/zinc/43/66/04/992436604.db2.gz CWGPCSUUWZAQGH-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncnc1CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001392829944 992436617 /nfs/dbraw/zinc/43/66/17/992436617.db2.gz CWGPCSUUWZAQGH-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN COCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001392971927 992593398 /nfs/dbraw/zinc/59/33/98/992593398.db2.gz DYHTXIFCTXEVCZ-PHIMTYICSA-N 0 2 309.370 0.112 20 0 DCADLN O=C(Cc1ccon1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001393677108 993172118 /nfs/dbraw/zinc/17/21/18/993172118.db2.gz IBVLOAQQTJQZSD-VIFPVBQESA-N 0 2 323.246 0.883 20 0 DCADLN O=C(Cc1ccon1)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001393677108 993172120 /nfs/dbraw/zinc/17/21/20/993172120.db2.gz IBVLOAQQTJQZSD-VIFPVBQESA-N 0 2 323.246 0.883 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2cc(C(N)=O)cs2)C[C@]1(C)C(=O)[O-] ZINC001598571507 993202945 /nfs/dbraw/zinc/20/29/45/993202945.db2.gz CXOBURHXWWAAOM-AMIZOPFISA-N 0 2 312.347 0.551 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2cc(C(N)=O)cs2)C[C@]1(C)C(=O)[O-] ZINC001598571507 993202951 /nfs/dbraw/zinc/20/29/51/993202951.db2.gz CXOBURHXWWAAOM-AMIZOPFISA-N 0 2 312.347 0.551 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cc(C(=O)[O-])ccn1 ZINC001598573163 993232629 /nfs/dbraw/zinc/23/26/29/993232629.db2.gz ORRIAVHSXZMQQL-PHIMTYICSA-N 0 2 311.363 0.247 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cc(C(=O)[O-])ccn1 ZINC001598573163 993232635 /nfs/dbraw/zinc/23/26/35/993232635.db2.gz ORRIAVHSXZMQQL-PHIMTYICSA-N 0 2 311.363 0.247 20 0 DCADLN CN1CC[N@H+](C)[C@@H](CNC(=O)/C=C\c2cc(C(=O)[O-])co2)C1 ZINC001598611349 993782587 /nfs/dbraw/zinc/78/25/87/993782587.db2.gz JVFNVWHKRUSPAB-MVZIDQBPSA-N 0 2 307.350 0.353 20 0 DCADLN CN1CC[N@@H+](C)[C@@H](CNC(=O)/C=C\c2cc(C(=O)[O-])co2)C1 ZINC001598611349 993782594 /nfs/dbraw/zinc/78/25/94/993782594.db2.gz JVFNVWHKRUSPAB-MVZIDQBPSA-N 0 2 307.350 0.353 20 0 DCADLN Cc1cc(CC(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)no1 ZINC001399819120 993809733 /nfs/dbraw/zinc/80/97/33/993809733.db2.gz CCRSVRASNONRBE-LLVKDONJSA-N 0 2 320.353 0.130 20 0 DCADLN Cc1cc(CC(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)no1 ZINC001399819120 993809739 /nfs/dbraw/zinc/80/97/39/993809739.db2.gz CCRSVRASNONRBE-LLVKDONJSA-N 0 2 320.353 0.130 20 0 DCADLN CNC(=O)C[NH2+][C@H]1C[C@@H](C)N(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001394675517 994007910 /nfs/dbraw/zinc/00/79/10/994007910.db2.gz WZFHKBRYDMKAOG-KOLCDFICSA-N 0 2 305.382 0.226 20 0 DCADLN CNC(=O)C[NH2+][C@H]1C[C@H](C)N(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001394675525 994008585 /nfs/dbraw/zinc/00/85/85/994008585.db2.gz WZFHKBRYDMKAOG-ONGXEEELSA-N 0 2 305.382 0.226 20 0 DCADLN O=C(NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)[C@@H]1CC1(F)F ZINC001400010869 994052876 /nfs/dbraw/zinc/05/28/76/994052876.db2.gz VBJHWBZJLWDJSK-QMMMGPOBSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC1(F)F ZINC001400010869 994052884 /nfs/dbraw/zinc/05/28/84/994052884.db2.gz VBJHWBZJLWDJSK-QMMMGPOBSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC1(F)F ZINC001400010869 994052889 /nfs/dbraw/zinc/05/28/89/994052889.db2.gz VBJHWBZJLWDJSK-QMMMGPOBSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NC1CN(Cc2nnc(C3CC3)[nH]2)C1)C(F)C(F)(F)F ZINC001400354503 994436591 /nfs/dbraw/zinc/43/65/91/994436591.db2.gz PXBXUFULPXIRKO-SECBINFHSA-N 0 2 321.278 0.883 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001400432333 994507930 /nfs/dbraw/zinc/50/79/30/994507930.db2.gz RQEQRAWBTUDECF-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001400432333 994507941 /nfs/dbraw/zinc/50/79/41/994507941.db2.gz RQEQRAWBTUDECF-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN C[N@H+](CCNC(=O)Cc1ccccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001492838941 994613385 /nfs/dbraw/zinc/61/33/85/994613385.db2.gz AUWYFDXVIWBQPR-UHFFFAOYSA-N 0 2 307.329 0.440 20 0 DCADLN C[N@@H+](CCNC(=O)Cc1ccccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001492838941 994613392 /nfs/dbraw/zinc/61/33/92/994613392.db2.gz AUWYFDXVIWBQPR-UHFFFAOYSA-N 0 2 307.329 0.440 20 0 DCADLN Cn1nccc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001400598946 994691722 /nfs/dbraw/zinc/69/17/22/994691722.db2.gz YWQRSXULJVJUQC-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1nccc1C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001400598946 994691727 /nfs/dbraw/zinc/69/17/27/994691727.db2.gz YWQRSXULJVJUQC-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN CNc1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1F ZINC001598678929 994893355 /nfs/dbraw/zinc/89/33/55/994893355.db2.gz VWGIPHQOBUOCFB-LBPRGKRZSA-N 0 2 306.297 0.947 20 0 DCADLN CC(F)(F)CC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395737639 994952116 /nfs/dbraw/zinc/95/21/16/994952116.db2.gz CEHWZXKLNRLCKD-FSPLSTOPSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001395737639 994952130 /nfs/dbraw/zinc/95/21/30/994952130.db2.gz CEHWZXKLNRLCKD-FSPLSTOPSA-N 0 2 324.221 0.525 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCC(=O)OC(C)C ZINC001598749176 995629120 /nfs/dbraw/zinc/62/91/20/995629120.db2.gz FPHIXTXCQDYYJF-MNOVXSKESA-N 0 2 301.339 0.666 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCC(=O)OC(C)C ZINC001598749176 995629124 /nfs/dbraw/zinc/62/91/24/995629124.db2.gz FPHIXTXCQDYYJF-MNOVXSKESA-N 0 2 301.339 0.666 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001397455810 996009540 /nfs/dbraw/zinc/00/95/40/996009540.db2.gz DSHLSRGDRJCRQV-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001397455810 996009544 /nfs/dbraw/zinc/00/95/44/996009544.db2.gz DSHLSRGDRJCRQV-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN COC(=O)C1CCC(NC(=O)[C@@H](C)n2cc[nH+]c2)(C(=O)[O-])CC1 ZINC001598785929 996029048 /nfs/dbraw/zinc/02/90/48/996029048.db2.gz WKTWLUJBGSRMGX-RWWNRMGGSA-N 0 2 323.349 0.747 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCC(C)C)C2)c1[O-] ZINC001398295223 996455099 /nfs/dbraw/zinc/45/50/99/996455099.db2.gz OKUCGHQXPZXFED-NSHDSACASA-N 0 2 323.397 0.000 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](CC(=O)NCC(C)C)C2)c1[O-] ZINC001398295223 996455101 /nfs/dbraw/zinc/45/51/01/996455101.db2.gz OKUCGHQXPZXFED-NSHDSACASA-N 0 2 323.397 0.000 20 0 DCADLN O=C(NC1CN(C(=O)c2ccncn2)C1)C(F)C(F)(F)F ZINC001398601444 996598228 /nfs/dbraw/zinc/59/82/28/996598228.db2.gz CREACHHKUHDHSW-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2ccncn2)C1)[C@@H](F)C(F)(F)F ZINC001398601444 996598233 /nfs/dbraw/zinc/59/82/33/996598233.db2.gz CREACHHKUHDHSW-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN COC[C@@H](C)C(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398742900 996667657 /nfs/dbraw/zinc/66/76/57/996667657.db2.gz VTMFUEHSACPSRV-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@@H](C)C(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398742900 996667660 /nfs/dbraw/zinc/66/76/60/996667660.db2.gz VTMFUEHSACPSRV-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN COC(=O)COc1ccccc1C[N@@H+]1CCO[C@H](CC(=O)[O-])C1 ZINC001598868035 996720354 /nfs/dbraw/zinc/72/03/54/996720354.db2.gz HEMAXQHGJVKQLP-CYBMUJFWSA-N 0 2 323.345 0.914 20 0 DCADLN COC(=O)COc1ccccc1C[N@H+]1CCO[C@H](CC(=O)[O-])C1 ZINC001598868035 996720360 /nfs/dbraw/zinc/72/03/60/996720360.db2.gz HEMAXQHGJVKQLP-CYBMUJFWSA-N 0 2 323.345 0.914 20 0 DCADLN CN=[S@](C)(=O)c1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001466556366 1016092098 /nfs/dbraw/zinc/09/20/98/1016092098.db2.gz WDXIJVKSKHUJKA-JOCHJYFZSA-N 0 2 324.366 0.919 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001404493951 997168416 /nfs/dbraw/zinc/16/84/16/997168416.db2.gz ZPSICJLZJLTYBM-GHMZBOCLSA-N 0 2 323.397 0.129 20 0 DCADLN CC[C@H](C(N)=O)[N@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001404493951 997168423 /nfs/dbraw/zinc/16/84/23/997168423.db2.gz ZPSICJLZJLTYBM-GHMZBOCLSA-N 0 2 323.397 0.129 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCC[C@H](C(=O)[O-])C1 ZINC001598903679 997222789 /nfs/dbraw/zinc/22/27/89/997222789.db2.gz MQJJCNJNVFVPQG-NHCYSSNCSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCC[C@H](C(=O)[O-])C1 ZINC001598903679 997222792 /nfs/dbraw/zinc/22/27/92/997222792.db2.gz MQJJCNJNVFVPQG-NHCYSSNCSA-N 0 2 323.349 0.501 20 0 DCADLN CNC(=O)[C@H](C)[N@H+](C)CC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001404622612 997300537 /nfs/dbraw/zinc/30/05/37/997300537.db2.gz RDSGLNLAMKTKGR-LBPRGKRZSA-N 0 2 321.425 0.257 20 0 DCADLN COc1cc(C[N@H+](CCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C2CC2)on1 ZINC001599406475 997313771 /nfs/dbraw/zinc/31/37/71/997313771.db2.gz GFHYSZZPERGKMC-NEPJUHHUSA-N 0 2 323.349 0.485 20 0 DCADLN COc1cc(C[N@@H+](CCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C2CC2)on1 ZINC001599406475 997313784 /nfs/dbraw/zinc/31/37/84/997313784.db2.gz GFHYSZZPERGKMC-NEPJUHHUSA-N 0 2 323.349 0.485 20 0 DCADLN COC[C@H](O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001404711510 997402885 /nfs/dbraw/zinc/40/28/85/997402885.db2.gz UXUGBHYFAHQBMX-KCQAQPDRSA-N 0 2 324.425 0.176 20 0 DCADLN C[C@H](c1nncn1C)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001399487722 997431602 /nfs/dbraw/zinc/43/16/02/997431602.db2.gz YCXPQUSUQOKTPY-HTQZYQBOSA-N 0 2 311.283 0.825 20 0 DCADLN C[C@H](c1nncn1C)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001399487722 997431611 /nfs/dbraw/zinc/43/16/11/997431611.db2.gz YCXPQUSUQOKTPY-HTQZYQBOSA-N 0 2 311.283 0.825 20 0 DCADLN CNC(=O)c1ccsc1NC(=O)NCc1nnc(COC)[nH]1 ZINC001467276458 1016152479 /nfs/dbraw/zinc/15/24/79/1016152479.db2.gz JREUHEKIWPOTEG-UHFFFAOYSA-N 0 2 324.366 0.694 20 0 DCADLN COCC1(C(=O)NCCc2n[nH]c(=S)o2)CCOCC1 ZINC001467314032 1016156788 /nfs/dbraw/zinc/15/67/88/1016156788.db2.gz PVGOQHMXFWAWRP-UHFFFAOYSA-N 0 2 301.368 0.460 20 0 DCADLN O=C(CC1CCC1)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001405247304 998006839 /nfs/dbraw/zinc/00/68/39/998006839.db2.gz DGLCGPNHTNTQLL-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001405247304 998006850 /nfs/dbraw/zinc/00/68/50/998006850.db2.gz DGLCGPNHTNTQLL-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN CNc1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC001467509174 1016176350 /nfs/dbraw/zinc/17/63/50/1016176350.db2.gz SXXSSITYSUMMAZ-SECBINFHSA-N 0 2 302.338 0.967 20 0 DCADLN CC1(CC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001405287091 998062333 /nfs/dbraw/zinc/06/23/33/998062333.db2.gz IACCEMTXWVDWOH-APPZFPTMSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001405287091 998062346 /nfs/dbraw/zinc/06/23/46/998062346.db2.gz IACCEMTXWVDWOH-APPZFPTMSA-N 0 2 314.279 0.670 20 0 DCADLN COC[C@@H](C[N@@H+]1CCO[C@@H](C)C1)OC(=O)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001599163905 998506982 /nfs/dbraw/zinc/50/69/82/998506982.db2.gz XOFNTKRTETUYJW-WUHRBBMRSA-N 0 2 315.366 0.376 20 0 DCADLN COC[C@@H](C[N@H+]1CCO[C@@H](C)C1)OC(=O)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001599163905 998506991 /nfs/dbraw/zinc/50/69/91/998506991.db2.gz XOFNTKRTETUYJW-WUHRBBMRSA-N 0 2 315.366 0.376 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001594249471 998954195 /nfs/dbraw/zinc/95/41/95/998954195.db2.gz IEWNVSFVLCMVED-FVCCEPFGSA-N 0 2 311.382 0.354 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C(=O)[O-])nn1C ZINC001594249608 998955254 /nfs/dbraw/zinc/95/52/54/998955254.db2.gz IQPMUCBSCUBAJJ-MNOVXSKESA-N 0 2 322.365 0.054 20 0 DCADLN C[C@H](CCNC(=O)Cc1c[nH+]cn1C)[NH2+]Cc1nccn1C ZINC001418430948 1000213219 /nfs/dbraw/zinc/21/32/19/1000213219.db2.gz MYQXDFLZVOMJLC-GFCCVEGCSA-N 0 2 304.398 0.381 20 0 DCADLN Cc1ncc(C[N@H+](C)CCNC(=O)C[NH+](C)C2CCC2)cn1 ZINC001418465808 1000251673 /nfs/dbraw/zinc/25/16/73/1000251673.db2.gz UWFJFFXMCWVZHH-UHFFFAOYSA-N 0 2 305.426 0.817 20 0 DCADLN CS[C@@H](C)CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401362851 1000379688 /nfs/dbraw/zinc/37/96/88/1000379688.db2.gz MHMOBZWFQWNGMQ-VIFPVBQESA-N 0 2 301.416 0.542 20 0 DCADLN CS[C@@H](C)CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401362851 1000379690 /nfs/dbraw/zinc/37/96/90/1000379690.db2.gz MHMOBZWFQWNGMQ-VIFPVBQESA-N 0 2 301.416 0.542 20 0 DCADLN CC(C)Oc1cncc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001418617664 1000396369 /nfs/dbraw/zinc/39/63/69/1000396369.db2.gz FVVNMUNCGGSCBX-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN CC(C)Oc1cncc(C(=O)NCC2=NC(=O)CC(=O)N2)c1 ZINC001418617664 1000396375 /nfs/dbraw/zinc/39/63/75/1000396375.db2.gz FVVNMUNCGGSCBX-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN O=C(COCC1CC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001418862672 1000573834 /nfs/dbraw/zinc/57/38/34/1000573834.db2.gz XWLVYLBFWIUJHH-TXEJJXNPSA-N 0 2 321.381 0.112 20 0 DCADLN CC(C)C[C@@H](C(=O)N1CCOC[C@@H]1c1nn[nH]n1)n1ccnc1 ZINC001418863602 1000575377 /nfs/dbraw/zinc/57/53/77/1000575377.db2.gz MKJLZSBSDREXAE-NWDGAFQWSA-N 0 2 319.369 0.584 20 0 DCADLN O=C(CCC1CC1)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001418974115 1000658218 /nfs/dbraw/zinc/65/82/18/1000658218.db2.gz ASZVGCZAANSCKT-UHFFFAOYSA-N 0 2 315.377 0.513 20 0 DCADLN CCn1nncc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001418973500 1000658346 /nfs/dbraw/zinc/65/83/46/1000658346.db2.gz XAGCQGJOSJCZMI-VIFPVBQESA-N 0 2 309.267 0.499 20 0 DCADLN CCn1nncc1CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001418973500 1000658348 /nfs/dbraw/zinc/65/83/48/1000658348.db2.gz XAGCQGJOSJCZMI-VIFPVBQESA-N 0 2 309.267 0.499 20 0 DCADLN Cc1ccncc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401748290 1000685231 /nfs/dbraw/zinc/68/52/31/1000685231.db2.gz SOSIOKFNCIBSTA-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ccncc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401748290 1000685235 /nfs/dbraw/zinc/68/52/35/1000685235.db2.gz SOSIOKFNCIBSTA-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401756213 1000693950 /nfs/dbraw/zinc/69/39/50/1000693950.db2.gz QFJZAVMHHSNCNM-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401756213 1000693954 /nfs/dbraw/zinc/69/39/54/1000693954.db2.gz QFJZAVMHHSNCNM-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN CO[C@@H](C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001419128477 1000800912 /nfs/dbraw/zinc/80/09/12/1000800912.db2.gz WYDVSWQYANNICH-ZYHUDNBSSA-N 0 2 311.386 0.214 20 0 DCADLN COC1(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001419159366 1000829989 /nfs/dbraw/zinc/82/99/89/1000829989.db2.gz MHCUQLXTFCOEDN-SNVBAGLBSA-N 0 2 309.370 0.160 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001402072605 1000992700 /nfs/dbraw/zinc/99/27/00/1000992700.db2.gz NPIGKERJXXHIRH-YPMHNXCESA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001402136948 1001050717 /nfs/dbraw/zinc/05/07/17/1001050717.db2.gz JBQLBPLCZHWZRG-HQJQHLMTSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001402136948 1001050723 /nfs/dbraw/zinc/05/07/23/1001050723.db2.gz JBQLBPLCZHWZRG-HQJQHLMTSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001402136948 1001050735 /nfs/dbraw/zinc/05/07/35/1001050735.db2.gz JBQLBPLCZHWZRG-HQJQHLMTSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(NCC1(O)CN(C/C=C/Cl)C1)C(F)C(F)(F)F ZINC001402334381 1001225205 /nfs/dbraw/zinc/22/52/05/1001225205.db2.gz NSAYTKUEKJVHIE-QOHHWTFISA-N 0 2 304.671 0.802 20 0 DCADLN O=C(NCC1(O)CN(C/C=C/Cl)C1)[C@@H](F)C(F)(F)F ZINC001402334381 1001225210 /nfs/dbraw/zinc/22/52/10/1001225210.db2.gz NSAYTKUEKJVHIE-QOHHWTFISA-N 0 2 304.671 0.802 20 0 DCADLN O=C(NC[C@@H]1COCCN1CCCO)C(F)C(F)(F)F ZINC001402519202 1001378279 /nfs/dbraw/zinc/37/82/79/1001378279.db2.gz SVXOTMZEJIKCQK-RKDXNWHRSA-N 0 2 302.268 0.086 20 0 DCADLN O=C(NC[C@@H]1COCCN1CCCO)[C@@H](F)C(F)(F)F ZINC001402519202 1001378285 /nfs/dbraw/zinc/37/82/85/1001378285.db2.gz SVXOTMZEJIKCQK-RKDXNWHRSA-N 0 2 302.268 0.086 20 0 DCADLN CC1(C)CC(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001470874152 1016498867 /nfs/dbraw/zinc/49/88/67/1016498867.db2.gz NBRHUZWLPHTHDW-UHFFFAOYSA-N 0 2 315.399 0.337 20 0 DCADLN C[C@H]1COCC[C@H]1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420012879 1001763654 /nfs/dbraw/zinc/76/36/54/1001763654.db2.gz PCLHZWZCILTEGN-WDEREUQCSA-N 0 2 311.386 0.073 20 0 DCADLN C[C@H]1COCC[C@H]1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420012879 1001763660 /nfs/dbraw/zinc/76/36/60/1001763660.db2.gz PCLHZWZCILTEGN-WDEREUQCSA-N 0 2 311.386 0.073 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001403299102 1001789115 /nfs/dbraw/zinc/78/91/15/1001789115.db2.gz JGUOVBZIYATWFC-GMSGAONNSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001403299102 1001789121 /nfs/dbraw/zinc/78/91/21/1001789121.db2.gz JGUOVBZIYATWFC-GMSGAONNSA-N 0 2 323.294 0.652 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@@H](c3ncccn3)C2)S1 ZINC001420060820 1001793459 /nfs/dbraw/zinc/79/34/59/1001793459.db2.gz XJTUPHMHUGIRBS-RKDXNWHRSA-N 0 2 305.363 0.349 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001424736140 1001962345 /nfs/dbraw/zinc/96/23/45/1001962345.db2.gz PIFZVABTHKFDIG-FXQIFTODSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001424736140 1001962356 /nfs/dbraw/zinc/96/23/56/1001962356.db2.gz PIFZVABTHKFDIG-FXQIFTODSA-N 0 2 322.262 0.935 20 0 DCADLN Cc1cccnc1C[N@H+](C)CCNC(=O)CCc1nc[nH]n1 ZINC001420196004 1002019933 /nfs/dbraw/zinc/01/99/33/1002019933.db2.gz DJGJKUCZEJUZCB-UHFFFAOYSA-N 0 2 302.382 0.689 20 0 DCADLN COC(=O)c1oc(S(=O)(=O)NCc2n[nH]c(C)n2)cc1C ZINC001424850297 1002096526 /nfs/dbraw/zinc/09/65/26/1002096526.db2.gz ZSDBQIAFFOWELZ-UHFFFAOYSA-N 0 2 314.323 0.280 20 0 DCADLN CNS(=O)(=O)N1C[C@H](O)C[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC001424874478 1002113119 /nfs/dbraw/zinc/11/31/19/1002113119.db2.gz OZTDGMLGCNDYFB-MNOVXSKESA-N 0 2 323.378 0.044 20 0 DCADLN C[N@H+](CCNC(=O)c1cc(C(C)(C)C)on1)Cc1n[nH]c(=O)[n-]1 ZINC001403620791 1002221566 /nfs/dbraw/zinc/22/15/66/1002221566.db2.gz BGZGKNYWINAMRN-UHFFFAOYSA-N 0 2 322.369 0.658 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc(C(C)(C)C)on1)Cc1n[nH]c(=O)[n-]1 ZINC001403620791 1002221571 /nfs/dbraw/zinc/22/15/71/1002221571.db2.gz BGZGKNYWINAMRN-UHFFFAOYSA-N 0 2 322.369 0.658 20 0 DCADLN CCN(CCNC(=O)c1cnn[nH]1)[C@@H](C)c1nc(C2CC2)no1 ZINC001420403230 1002360609 /nfs/dbraw/zinc/36/06/09/1002360609.db2.gz RFODQUZRXAPIPG-VIFPVBQESA-N 0 2 319.369 0.878 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)N[C@H](C)C2=NN(C)CC2=O)cc1=O ZINC001420443803 1002428709 /nfs/dbraw/zinc/42/87/09/1002428709.db2.gz ZZAFVILKQSIGFT-SNVBAGLBSA-N 0 2 321.381 0.691 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425246387 1002473790 /nfs/dbraw/zinc/47/37/90/1002473790.db2.gz VDOBVNYVUWXMII-KOLCDFICSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H]1CC[C@H](CCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001403788080 1002521011 /nfs/dbraw/zinc/52/10/11/1002521011.db2.gz SHSZVTPCWGGOEQ-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H]1CC[C@H](CCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001403788080 1002521020 /nfs/dbraw/zinc/52/10/20/1002521020.db2.gz SHSZVTPCWGGOEQ-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420815604 1002975223 /nfs/dbraw/zinc/97/52/23/1002975223.db2.gz LCPUXNUQVDNBIY-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN CO[C@H](C)C[N@@H+]1CCC[C@@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001420995299 1003217384 /nfs/dbraw/zinc/21/73/84/1003217384.db2.gz NPMOKFJLCNEVDH-ZIAGYGMSSA-N 0 2 308.426 0.968 20 0 DCADLN NS(=O)(=O)C[C@H]1CCN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC001421015644 1003236262 /nfs/dbraw/zinc/23/62/62/1003236262.db2.gz RWIQHEUKYPIHQU-ZETCQYMHSA-N 0 2 320.317 0.421 20 0 DCADLN CC(C)[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1cnn(C)c1 ZINC001472208936 1016759935 /nfs/dbraw/zinc/75/99/35/1016759935.db2.gz VPMFCROPYHDRPM-KOLCDFICSA-N 0 2 309.395 0.790 20 0 DCADLN CC[N@H+](CCNC(=O)c1c(C)coc1C)Cc1n[nH]c(=O)[n-]1 ZINC001492948191 1003370052 /nfs/dbraw/zinc/37/00/52/1003370052.db2.gz OOHPJVHERCPMDA-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN CC[N@@H+](CCNC(=O)c1c(C)coc1C)Cc1n[nH]c(=O)[n-]1 ZINC001492948191 1003370062 /nfs/dbraw/zinc/37/00/62/1003370062.db2.gz OOHPJVHERCPMDA-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN C[C@H](NC(=O)CC[C@H]1CC[C@@H](C(N)=O)O1)c1nn(C)cc1O ZINC001472236785 1016769517 /nfs/dbraw/zinc/76/95/17/1016769517.db2.gz OFEKOIFODCYFHT-NGZCFLSTSA-N 0 2 310.354 0.116 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)N(C)C(=O)C(F)C(F)(F)F ZINC001426709006 1003976713 /nfs/dbraw/zinc/97/67/13/1003976713.db2.gz SGDQKRLEDFANBG-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001426709006 1003976719 /nfs/dbraw/zinc/97/67/19/1003976719.db2.gz SGDQKRLEDFANBG-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001426719578 1003984184 /nfs/dbraw/zinc/98/41/84/1003984184.db2.gz SUSRJTLTYLPOLO-VXNVDRBHSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001426719578 1003984187 /nfs/dbraw/zinc/98/41/87/1003984187.db2.gz SUSRJTLTYLPOLO-VXNVDRBHSA-N 0 2 300.252 0.593 20 0 DCADLN COc1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001406694225 1004010581 /nfs/dbraw/zinc/01/05/81/1004010581.db2.gz OIHXSKYXPSVNCJ-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN COc1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001406694225 1004010589 /nfs/dbraw/zinc/01/05/89/1004010589.db2.gz OIHXSKYXPSVNCJ-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cnon1)C(=O)C(F)C(F)(F)F ZINC001426774006 1004027750 /nfs/dbraw/zinc/02/77/50/1004027750.db2.gz QXCLTXXUQMNGNV-HTRCEHHLSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cnon1)C(=O)[C@@H](F)C(F)(F)F ZINC001426774006 1004027761 /nfs/dbraw/zinc/02/77/61/1004027761.db2.gz QXCLTXXUQMNGNV-HTRCEHHLSA-N 0 2 324.234 0.643 20 0 DCADLN CC(C)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001428798481 1004181028 /nfs/dbraw/zinc/18/10/28/1004181028.db2.gz PSXZDNHSWBQPEG-PRJMDXOYSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428798481 1004181017 /nfs/dbraw/zinc/18/10/17/1004181017.db2.gz PSXZDNHSWBQPEG-PRJMDXOYSA-N 0 2 300.252 0.231 20 0 DCADLN O=C(CC[C@H]1CCCCO1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001406886862 1004188209 /nfs/dbraw/zinc/18/82/09/1004188209.db2.gz YODBYDZJXKMMIJ-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN CCN(C(=O)C[NH+]1CC[C@H]1CNC(=O)C[N@H+](C)C(C)C)C1CC1 ZINC001406896895 1004194846 /nfs/dbraw/zinc/19/48/46/1004194846.db2.gz IVFMWOYICZPPFG-HNNXBMFYSA-N 0 2 324.469 0.528 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1cn[nH]c1 ZINC001427298313 1004308616 /nfs/dbraw/zinc/30/86/16/1004308616.db2.gz QNYIMZGIDJJFRJ-BDAKNGLRSA-N 0 2 305.342 0.884 20 0 DCADLN CN(CCNC(=O)[C@]1(C)CCOC1)C(=O)C(F)C(F)(F)F ZINC001429441389 1004599402 /nfs/dbraw/zinc/59/94/02/1004599402.db2.gz BERBVXQFSOUBBJ-LDYMZIIASA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@]1(C)CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001429441389 1004599415 /nfs/dbraw/zinc/59/94/15/1004599415.db2.gz BERBVXQFSOUBBJ-LDYMZIIASA-N 0 2 314.279 0.888 20 0 DCADLN C[C@H](C(=O)N(C)C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001472960749 1016892752 /nfs/dbraw/zinc/89/27/52/1016892752.db2.gz XVAWLJQVAJUQQC-BDAKNGLRSA-N 0 2 313.295 0.508 20 0 DCADLN C[C@H](C(=O)N(C)C)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001472960749 1016892758 /nfs/dbraw/zinc/89/27/58/1016892758.db2.gz XVAWLJQVAJUQQC-BDAKNGLRSA-N 0 2 313.295 0.508 20 0 DCADLN CC[N@H+]1CCC[C@@](CO)(NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001407597879 1004750250 /nfs/dbraw/zinc/75/02/50/1004750250.db2.gz FEQSGFXAXDWEFD-MLGOLLRUSA-N 0 2 306.410 0.793 20 0 DCADLN COCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001414462712 1005333592 /nfs/dbraw/zinc/33/35/92/1005333592.db2.gz HSUGGSZOQOEKNM-ODXREFDESA-N 0 2 312.263 0.781 20 0 DCADLN COCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](F)C(F)(F)F)C2 ZINC001414462712 1005333595 /nfs/dbraw/zinc/33/35/95/1005333595.db2.gz HSUGGSZOQOEKNM-ODXREFDESA-N 0 2 312.263 0.781 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414779156 1005400974 /nfs/dbraw/zinc/40/09/74/1005400974.db2.gz BKPDHHBWAVNBDR-OIBJUYFYSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cnn(CCC(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001506064669 1016967811 /nfs/dbraw/zinc/96/78/11/1016967811.db2.gz APYBMYQDCGYDDU-LLVKDONJSA-N 0 2 321.385 0.042 20 0 DCADLN Cc1cnn(CCC(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001506064669 1016967820 /nfs/dbraw/zinc/96/78/20/1016967820.db2.gz APYBMYQDCGYDDU-LLVKDONJSA-N 0 2 321.385 0.042 20 0 DCADLN C[C@H](CNC(=O)c1cccc(=O)[nH]1)NC(=O)C(F)C(F)(F)F ZINC001415137222 1005466855 /nfs/dbraw/zinc/46/68/55/1005466855.db2.gz RWZAYLKEZKDFJU-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)c1cccc(=O)[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001415137222 1005466857 /nfs/dbraw/zinc/46/68/57/1005466857.db2.gz RWZAYLKEZKDFJU-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001415232853 1005486412 /nfs/dbraw/zinc/48/64/12/1005486412.db2.gz MFJMHNFLWILJBE-VIFPVBQESA-N 0 2 318.381 0.481 20 0 DCADLN CC[C@@H](CNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001416289423 1005682126 /nfs/dbraw/zinc/68/21/26/1005682126.db2.gz LBSUWJMZHVFJAC-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001417577596 1005845951 /nfs/dbraw/zinc/84/59/51/1005845951.db2.gz PBQKNQOEFQELNH-NHCYSSNCSA-N 0 2 317.349 0.145 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cccnn1 ZINC001506095691 1017010215 /nfs/dbraw/zinc/01/02/15/1017010215.db2.gz MGAKHGNVHSHUOB-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1cccnn1 ZINC001506095691 1017010224 /nfs/dbraw/zinc/01/02/24/1017010224.db2.gz MGAKHGNVHSHUOB-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN Cc1nnc(C[NH2+]C[C@@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001438638767 1005975596 /nfs/dbraw/zinc/97/55/96/1005975596.db2.gz BCERRTTZGBILTD-SSDOTTSWSA-N 0 2 307.358 0.101 20 0 DCADLN O=C(N[C@H]1CCn2ccnc21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434808219 1005995912 /nfs/dbraw/zinc/99/59/12/1005995912.db2.gz WVCCXKIZKCZMGA-UWVGGRQHSA-N 0 2 317.353 0.741 20 0 DCADLN CCOc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001437471342 1007006988 /nfs/dbraw/zinc/00/69/88/1007006988.db2.gz MWTAEBQIISPHBH-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CCOc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001437471342 1007007003 /nfs/dbraw/zinc/00/70/03/1007007003.db2.gz MWTAEBQIISPHBH-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CC[C@@H](CNC(=O)CCc2c[nH+]cn2C)C1 ZINC001437743058 1007343792 /nfs/dbraw/zinc/34/37/92/1007343792.db2.gz UDSFDEDZITWMOF-GXTWGEPZSA-N 0 2 321.425 0.055 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCO1 ZINC001437811247 1007425237 /nfs/dbraw/zinc/42/52/37/1007425237.db2.gz MSOSJMUVMJCROG-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCO1 ZINC001437811247 1007425247 /nfs/dbraw/zinc/42/52/47/1007425247.db2.gz MSOSJMUVMJCROG-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN CSc1ncc(C(=O)NCCC[NH+]2CCOCC2)c(=O)[nH]1 ZINC001440518767 1007856147 /nfs/dbraw/zinc/85/61/47/1007856147.db2.gz HLXWRTWYWPRLFN-UHFFFAOYSA-N 0 2 312.395 0.356 20 0 DCADLN C[C@@H](C(=O)N(C)C)[N@H+](C)C1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001441389364 1008343086 /nfs/dbraw/zinc/34/30/86/1008343086.db2.gz RQOUULJPYDJJKW-LBPRGKRZSA-N 0 2 321.425 0.352 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCCO1)C(=O)C(F)C(F)(F)F ZINC001433145611 1008544902 /nfs/dbraw/zinc/54/49/02/1008544902.db2.gz MCUBXSHODWTWFX-DTWKUNHWSA-N 0 2 314.279 0.983 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCCO1)C(=O)[C@@H](F)C(F)(F)F ZINC001433145611 1008544905 /nfs/dbraw/zinc/54/49/05/1008544905.db2.gz MCUBXSHODWTWFX-DTWKUNHWSA-N 0 2 314.279 0.983 20 0 DCADLN Cn1nccc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001433422911 1008770697 /nfs/dbraw/zinc/77/06/97/1008770697.db2.gz QTFCYNGSNAWOHP-JTQLQIEISA-N 0 2 308.279 0.965 20 0 DCADLN Cn1nccc1CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001433422911 1008770709 /nfs/dbraw/zinc/77/07/09/1008770709.db2.gz QTFCYNGSNAWOHP-JTQLQIEISA-N 0 2 308.279 0.965 20 0 DCADLN Cc1c[nH]cc1C(=O)NC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001433468851 1008819684 /nfs/dbraw/zinc/81/96/84/1008819684.db2.gz RVKQLRBZCIONMA-SNVBAGLBSA-N 0 2 303.366 0.832 20 0 DCADLN CC(C)(C)OC(=O)NC1(C(=O)NCc2n[nH]c(=O)[nH]2)CCC1 ZINC001433768335 1009160815 /nfs/dbraw/zinc/16/08/15/1009160815.db2.gz BZUJLGCLYRDOAP-UHFFFAOYSA-N 0 2 311.342 0.574 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)C(C)(F)F)CC1 ZINC001433955021 1009394327 /nfs/dbraw/zinc/39/43/27/1009394327.db2.gz VRAHQDAKMYLTCT-UHFFFAOYSA-N 0 2 300.309 0.681 20 0 DCADLN Cc1nocc1C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001433954354 1009396629 /nfs/dbraw/zinc/39/66/29/1009396629.db2.gz QCNXLISUTVVFEV-UHFFFAOYSA-N 0 2 317.349 0.636 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1Cc2ccc(F)cc21)Cc1n[nH]c(=O)[n-]1 ZINC001442510523 1009428767 /nfs/dbraw/zinc/42/87/67/1009428767.db2.gz VOHPMZTZNUEXDN-LBPRGKRZSA-N 0 2 319.340 0.537 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1Cc2ccc(F)cc21)Cc1n[nH]c(=O)[n-]1 ZINC001442510523 1009428775 /nfs/dbraw/zinc/42/87/75/1009428775.db2.gz VOHPMZTZNUEXDN-LBPRGKRZSA-N 0 2 319.340 0.537 20 0 DCADLN Cc1ncc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001422152275 1009561822 /nfs/dbraw/zinc/56/18/22/1009561822.db2.gz JODGFRGXZRTDGQ-UHFFFAOYSA-N 0 2 310.383 0.479 20 0 DCADLN Cc1ncc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001422152275 1009561829 /nfs/dbraw/zinc/56/18/29/1009561829.db2.gz JODGFRGXZRTDGQ-UHFFFAOYSA-N 0 2 310.383 0.479 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H](CCO)CC1 ZINC001434100669 1009564292 /nfs/dbraw/zinc/56/42/92/1009564292.db2.gz VLSMSNVBKLGRFM-NSHDSACASA-N 0 2 319.365 0.082 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC[C@@H]1CCOC1 ZINC001422155808 1009569624 /nfs/dbraw/zinc/56/96/24/1009569624.db2.gz RBYRLAVTODVMGQ-LLVKDONJSA-N 0 2 311.386 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC[C@@H]1CCOC1 ZINC001422155808 1009569632 /nfs/dbraw/zinc/56/96/32/1009569632.db2.gz RBYRLAVTODVMGQ-LLVKDONJSA-N 0 2 311.386 0.217 20 0 DCADLN CO[C@H]1C[C@H](CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001455303705 1009642655 /nfs/dbraw/zinc/64/26/55/1009642655.db2.gz NVMGCVHGLKDIQV-OTCDBFHCSA-N 0 2 318.333 0.421 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1COc2ccc(O)cc2O1)c1nn(C)cc1O ZINC001434407539 1009914405 /nfs/dbraw/zinc/91/44/05/1009914405.db2.gz PBWGCERNKZJSDA-OQPBUACISA-N 0 2 319.317 0.849 20 0 DCADLN CSCC[C@H](O)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001456252025 1010148164 /nfs/dbraw/zinc/14/81/64/1010148164.db2.gz PQHNNCLDGMRRCM-VIFPVBQESA-N 0 2 315.395 0.311 20 0 DCADLN C[C@@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)n1cncn1 ZINC001456284897 1010169143 /nfs/dbraw/zinc/16/91/43/1010169143.db2.gz LXCFHHHTKCHGEK-XPUUQOCRSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@@H](C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1)n1cncn1 ZINC001456284897 1010169148 /nfs/dbraw/zinc/16/91/48/1010169148.db2.gz LXCFHHHTKCHGEK-XPUUQOCRSA-N 0 2 323.250 0.067 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001422537480 1010259702 /nfs/dbraw/zinc/25/97/02/1010259702.db2.gz NLYLTUMPVVMXJN-SNVBAGLBSA-N 0 2 318.381 0.772 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001422537480 1010259706 /nfs/dbraw/zinc/25/97/06/1010259706.db2.gz NLYLTUMPVVMXJN-SNVBAGLBSA-N 0 2 318.381 0.772 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001444205272 1010904120 /nfs/dbraw/zinc/90/41/20/1010904120.db2.gz CUVGVJRCSPPKCH-SFYZADRCSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001444205272 1010904124 /nfs/dbraw/zinc/90/41/24/1010904124.db2.gz CUVGVJRCSPPKCH-SFYZADRCSA-N 0 2 311.235 0.122 20 0 DCADLN CCn1ncc(C[NH2+]C[C@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])n1 ZINC001444584977 1011075321 /nfs/dbraw/zinc/07/53/21/1011075321.db2.gz MTQWUJKGRLWSQF-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cnon1 ZINC001533536028 1011437039 /nfs/dbraw/zinc/43/70/39/1011437039.db2.gz YISCAKCYVXSSSN-TWJVDONLSA-N 0 2 310.207 0.372 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1cnon1 ZINC001533536028 1011437049 /nfs/dbraw/zinc/43/70/49/1011437049.db2.gz YISCAKCYVXSSSN-TWJVDONLSA-N 0 2 310.207 0.372 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1cnon1)C(F)C(F)(F)F ZINC001457218304 1011613453 /nfs/dbraw/zinc/61/34/53/1011613453.db2.gz RMWXRUXWWWPBLZ-SVRRBLITSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1cnon1)[C@H](F)C(F)(F)F ZINC001457218304 1011613455 /nfs/dbraw/zinc/61/34/55/1011613455.db2.gz RMWXRUXWWWPBLZ-SVRRBLITSA-N 0 2 324.234 0.691 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CNC(=O)c1ccccn1 ZINC001431875700 1011738208 /nfs/dbraw/zinc/73/82/08/1011738208.db2.gz KSOGCONLCNLLDU-JTQLQIEISA-N 0 2 301.350 0.590 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccnc2[nH]c(CC3CC3)nc21 ZINC001445417823 1011748811 /nfs/dbraw/zinc/74/88/11/1011748811.db2.gz VLEGKRBEVJDZHT-UHFFFAOYSA-N 0 2 313.321 0.664 20 0 DCADLN COCC[C@@H](C)C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001535697500 1011962682 /nfs/dbraw/zinc/96/26/82/1011962682.db2.gz QZLGZGNNOQFXLB-LLVKDONJSA-N 0 2 322.409 0.698 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NCC2(NC(=O)Cc3[nH]cc[nH+]3)CC2)C1 ZINC001535991008 1012011420 /nfs/dbraw/zinc/01/14/20/1012011420.db2.gz SMDJRNKJTMHXKP-GFCCVEGCSA-N 0 2 319.409 0.059 20 0 DCADLN CC1(C(=O)N2C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C2)CC1 ZINC001445646118 1012058447 /nfs/dbraw/zinc/05/84/47/1012058447.db2.gz MSZWAGZRUKRCHN-CSMHCCOUSA-N 0 2 312.263 0.375 20 0 DCADLN CC1(C(=O)N2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C2)CC1 ZINC001445646118 1012058462 /nfs/dbraw/zinc/05/84/62/1012058462.db2.gz MSZWAGZRUKRCHN-CSMHCCOUSA-N 0 2 312.263 0.375 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001536351374 1012092938 /nfs/dbraw/zinc/09/29/38/1012092938.db2.gz CPPAPFCEFBBGJL-RKDXNWHRSA-N 0 2 314.279 0.573 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001536351374 1012092954 /nfs/dbraw/zinc/09/29/54/1012092954.db2.gz CPPAPFCEFBBGJL-RKDXNWHRSA-N 0 2 314.279 0.573 20 0 DCADLN CO[C@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001432261527 1012219326 /nfs/dbraw/zinc/21/93/26/1012219326.db2.gz WKTVUTKMYQWPPL-IUCAKERBSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@H](C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1)C1CC1 ZINC001432261527 1012219342 /nfs/dbraw/zinc/21/93/42/1012219342.db2.gz WKTVUTKMYQWPPL-IUCAKERBSA-N 0 2 312.263 0.639 20 0 DCADLN C[C@H](CCCCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001556706965 1012219465 /nfs/dbraw/zinc/21/94/65/1012219465.db2.gz PCYOHPMZERBUNN-SNVBAGLBSA-N 0 2 320.349 0.547 20 0 DCADLN COC(=O)CC(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001557910362 1012302671 /nfs/dbraw/zinc/30/26/71/1012302671.db2.gz BVWNGAPDHMUYSL-JTQLQIEISA-N 0 2 304.306 0.473 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)cn1 ZINC001458735019 1012330878 /nfs/dbraw/zinc/33/08/78/1012330878.db2.gz YQTWDCAADVHURE-RKDXNWHRSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001458735019 1012330894 /nfs/dbraw/zinc/33/08/94/1012330894.db2.gz YQTWDCAADVHURE-RKDXNWHRSA-N 0 2 322.262 0.651 20 0 DCADLN C[C@H](NC(=O)c1ccc2ncn(C)c2n1)c1nn(C)cc1O ZINC001558683589 1012378223 /nfs/dbraw/zinc/37/82/23/1012378223.db2.gz IONJNABBWLYRDZ-QMMMGPOBSA-N 0 2 300.322 0.898 20 0 DCADLN O=C(CCC1CC1)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001424358195 1012423056 /nfs/dbraw/zinc/42/30/56/1012423056.db2.gz OTJSUAPRYMECKX-LLVKDONJSA-N 0 2 321.381 0.160 20 0 DCADLN CCOCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001459227682 1012638639 /nfs/dbraw/zinc/63/86/39/1012638639.db2.gz WGFJZJDHVGBZHA-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN CCOCC(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001459227682 1012638648 /nfs/dbraw/zinc/63/86/48/1012638648.db2.gz WGFJZJDHVGBZHA-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN CC(=O)N1CCC[C@@H](N(CCO)C(=O)C(F)C(F)(F)F)C1 ZINC001520064600 1017244546 /nfs/dbraw/zinc/24/45/46/1017244546.db2.gz SZUNRYQOIATQRT-NXEZZACHSA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N1CCC[C@@H](N(CCO)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001520064600 1017244554 /nfs/dbraw/zinc/24/45/54/1017244554.db2.gz SZUNRYQOIATQRT-NXEZZACHSA-N 0 2 314.279 0.719 20 0 DCADLN CCCC[C@@H](C[NH2+]Cc1cn(C)nn1)NC(=O)c1nnc[nH]1 ZINC001506550255 1017355376 /nfs/dbraw/zinc/35/53/76/1017355376.db2.gz XZFVKUVYMNJEQX-JTQLQIEISA-N 0 2 306.374 0.012 20 0 DCADLN CC12CC(C1)CN2C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001479428794 1017554429 /nfs/dbraw/zinc/55/44/29/1017554429.db2.gz PBMVFTRFTCOJHC-UHFFFAOYSA-N 0 2 313.383 0.043 20 0 DCADLN CCn1ncnc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001494555623 1017784972 /nfs/dbraw/zinc/78/49/72/1017784972.db2.gz FNWWFBZKCNYRKN-SNVBAGLBSA-N 0 2 323.294 0.746 20 0 DCADLN CCn1ncnc1CN1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001494555623 1017784987 /nfs/dbraw/zinc/78/49/87/1017784987.db2.gz FNWWFBZKCNYRKN-SNVBAGLBSA-N 0 2 323.294 0.746 20 0 DCADLN O=C(Cc1ccccc1F)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001494621568 1017872467 /nfs/dbraw/zinc/87/24/67/1017872467.db2.gz QSBPGSYQMVECFL-UHFFFAOYSA-N 0 2 319.340 0.440 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCOCC(C)C)c1[O-] ZINC001494650598 1017913856 /nfs/dbraw/zinc/91/38/56/1017913856.db2.gz NORHODRNOGTYOU-STQMWFEESA-N 0 2 322.409 0.995 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCOCC(C)C)c1[O-] ZINC001494650598 1017913870 /nfs/dbraw/zinc/91/38/70/1017913870.db2.gz NORHODRNOGTYOU-STQMWFEESA-N 0 2 322.409 0.995 20 0 DCADLN NC(=O)CONC(=O)CSCC(=O)c1ccc(Cl)cc1 ZINC001546261906 1018590630 /nfs/dbraw/zinc/59/06/30/1018590630.db2.gz WGOAIZUJSLKSLC-UHFFFAOYSA-N 0 2 316.766 0.789 20 0 DCADLN O=C(NC1CN(C(=O)c2cccc(=O)[nH]2)C1)C(F)C(F)(F)F ZINC001498223074 1018772395 /nfs/dbraw/zinc/77/23/95/1018772395.db2.gz JRXRITHYFDIHGI-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)c2cccc(=O)[nH]2)C1)[C@H](F)C(F)(F)F ZINC001498223074 1018772411 /nfs/dbraw/zinc/77/24/11/1018772411.db2.gz JRXRITHYFDIHGI-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCOCC1 ZINC001498267292 1018872248 /nfs/dbraw/zinc/87/22/48/1018872248.db2.gz WWLIKPDUDXHVSY-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCOCC1 ZINC001498267292 1018872266 /nfs/dbraw/zinc/87/22/66/1018872266.db2.gz WWLIKPDUDXHVSY-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001493148918 1019145866 /nfs/dbraw/zinc/14/58/66/1019145866.db2.gz UERBCUZFQUNCMU-HZMBPMFUSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001493148918 1019145876 /nfs/dbraw/zinc/14/58/76/1019145876.db2.gz UERBCUZFQUNCMU-HZMBPMFUSA-N 0 2 309.370 0.018 20 0 DCADLN COCCCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001498482472 1019161608 /nfs/dbraw/zinc/16/16/08/1019161608.db2.gz WWCKGEYHDIRQOC-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN COCCCC(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001498482472 1019161617 /nfs/dbraw/zinc/16/16/17/1019161617.db2.gz WWCKGEYHDIRQOC-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@H](CNC(=O)c1cc[n+]([O-])cc1)NC(=O)C(F)C(F)(F)F ZINC001502361112 1019836352 /nfs/dbraw/zinc/83/63/52/1019836352.db2.gz FNYKYFOZTOQPNS-APPZFPTMSA-N 0 2 323.246 0.455 20 0 DCADLN C[C@H](CNC(=O)c1cc[n+]([O-])cc1)NC(=O)[C@H](F)C(F)(F)F ZINC001502361112 1019836372 /nfs/dbraw/zinc/83/63/72/1019836372.db2.gz FNYKYFOZTOQPNS-APPZFPTMSA-N 0 2 323.246 0.455 20 0 DCADLN CCCN(C(=O)C[NH+]1CCCC1)[C@H]1CC[N@@H+](CC(=O)NCC)C1 ZINC001493975988 1019870930 /nfs/dbraw/zinc/87/09/30/1019870930.db2.gz ADFPOUYBXQDXFR-HNNXBMFYSA-N 0 2 324.469 0.531 20 0 DCADLN Cc1[nH]c(C[N@@H+]2CC[C@H](CS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001607494000 1170467397 /nfs/dbraw/zinc/46/73/97/1170467397.db2.gz JJUFKAZWLGVJQB-JTQLQIEISA-N 0 2 300.380 0.888 20 0 DCADLN Cc1[nH]c(C[N@H+]2CC[C@H](CS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001607494000 1170467401 /nfs/dbraw/zinc/46/74/01/1170467401.db2.gz JJUFKAZWLGVJQB-JTQLQIEISA-N 0 2 300.380 0.888 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1nc(Cl)cs1 ZINC001608403980 1170670449 /nfs/dbraw/zinc/67/04/49/1170670449.db2.gz VYDSWELDZUNIAU-LURJTMIESA-N 0 2 300.727 0.876 20 0 DCADLN COC(=O)c1ccc(C[NH2+][C@@](C)(Cn2cccn2)C(=O)[O-])o1 ZINC001606588715 1126231924 /nfs/dbraw/zinc/23/19/24/1126231924.db2.gz DOUICTSAWTYTQI-AWEZNQCLSA-N 0 2 307.306 0.896 20 0 DCADLN O=S(=O)(N[C@@H]1CCCC1(F)F)c1ccc(-c2nn[nH]n2)o1 ZINC001609594187 1171034360 /nfs/dbraw/zinc/03/43/60/1171034360.db2.gz YNGOXWCFERZGPF-SSDOTTSWSA-N 0 2 319.293 0.926 20 0 DCADLN CCOc1ccccc1-n1nnnc1SCc1n[nH]c(=O)[nH]1 ZINC000092869847 185347456 /nfs/dbraw/zinc/34/74/56/185347456.db2.gz LUVDQWGELFLQAD-UHFFFAOYSA-N 0 2 319.350 0.765 20 0 DCADLN CN1C(=O)CN(NC(=O)c2ccc(OC(C)(C)C)cn2)C1=O ZINC000294677985 530043775 /nfs/dbraw/zinc/04/37/75/530043775.db2.gz UQSXILJNRWQZGI-UHFFFAOYSA-N 0 2 306.322 0.798 20 0 DCADLN O=S(=O)(CC(F)(F)F)NCC1(N2CCOCC2)CC1 ZINC000331403951 233333332 /nfs/dbraw/zinc/33/33/32/233333332.db2.gz AUJKDXDQFIFXKP-UHFFFAOYSA-N 0 2 302.318 0.333 20 0 DCADLN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccsc1 ZINC000274152667 186438851 /nfs/dbraw/zinc/43/88/51/186438851.db2.gz YJQAJFGKORTSOZ-VIFPVBQESA-N 0 2 303.361 0.160 20 0 DCADLN CCCCN(CC(N)=O)C(=O)c1cnc2scc(C)n2c1=O ZINC000271939638 186410371 /nfs/dbraw/zinc/41/03/71/186410371.db2.gz YNOGNCBSDDOKPI-UHFFFAOYSA-N 0 2 322.390 0.792 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@@H]1CCCN(c2ccnn2C)C1=O ZINC000331751849 234082311 /nfs/dbraw/zinc/08/23/11/234082311.db2.gz KJAMMHFBPQAZFW-LLVKDONJSA-N 0 2 317.349 0.950 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@H]1CCCN(c2ccnn2C)C1=O ZINC000331751850 234082720 /nfs/dbraw/zinc/08/27/20/234082720.db2.gz KJAMMHFBPQAZFW-NSHDSACASA-N 0 2 317.349 0.950 20 0 DCADLN COC(C)(C)C[C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000174145084 198297750 /nfs/dbraw/zinc/29/77/50/198297750.db2.gz WMNNPOBRTDPYSS-QMMMGPOBSA-N 0 2 320.349 0.545 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N(C[C@H]1CCOC1)C1CC1 ZINC000192014917 237457272 /nfs/dbraw/zinc/45/72/72/237457272.db2.gz FRMULJKCWAZZHC-MRVPVSSYSA-N 0 2 302.318 0.884 20 0 DCADLN CS[C@@H](CO)[C@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000427443068 240358964 /nfs/dbraw/zinc/35/89/64/240358964.db2.gz SFIGGTOGNMOHJV-KWQFWETISA-N 0 2 323.374 0.872 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2cncc(F)c2)cn1 ZINC000281298793 216222199 /nfs/dbraw/zinc/22/21/99/216222199.db2.gz HLSHIKFPAKFZAB-UHFFFAOYSA-N 0 2 300.315 0.864 20 0 DCADLN CC(C)(C)N1CC[C@H](NS(=O)(=O)NCC(F)(F)F)C1=O ZINC000566166423 291276059 /nfs/dbraw/zinc/27/60/59/291276059.db2.gz GEYDNDWAQYSILX-ZETCQYMHSA-N 0 2 317.333 0.372 20 0 DCADLN C[C@@H](NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-])C(=O)N1CCCCC1 ZINC000262182745 296048052 /nfs/dbraw/zinc/04/80/52/296048052.db2.gz WXVSHUSVMHRUSX-VXGBXAGGSA-N 0 2 311.382 0.053 20 0 DCADLN C[C@@H](NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-])C(=O)N1CCCCC1 ZINC000262182745 296048054 /nfs/dbraw/zinc/04/80/54/296048054.db2.gz WXVSHUSVMHRUSX-VXGBXAGGSA-N 0 2 311.382 0.053 20 0 DCADLN COCc1cccc(S(=O)(=O)N[C@H](C)C(=O)NCCF)c1 ZINC000281886958 216610369 /nfs/dbraw/zinc/61/03/69/216610369.db2.gz DNZNGJAECMULPF-SNVBAGLBSA-N 0 2 318.370 0.586 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2nc(C)n(C)n2)c1 ZINC000567443274 291355037 /nfs/dbraw/zinc/35/50/37/291355037.db2.gz RMWBUOIBAKEUMN-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN O=C(CNC(=O)OCC(F)(F)F)NOCc1cccnc1 ZINC000493940036 241180822 /nfs/dbraw/zinc/18/08/22/241180822.db2.gz ACNROPQVJNVXCY-UHFFFAOYSA-N 0 2 307.228 0.918 20 0 DCADLN C[C@@H](CO[N-]C(=O)[C@H]1COCC[N@@H+]1C)NC(=O)OC(C)(C)C ZINC000495366644 241214437 /nfs/dbraw/zinc/21/44/37/241214437.db2.gz GNYLEVLDDFITGR-WDEREUQCSA-N 0 2 317.386 0.278 20 0 DCADLN C[C@@H](CO[N-]C(=O)[C@H]1COCC[N@H+]1C)NC(=O)OC(C)(C)C ZINC000495366644 241214438 /nfs/dbraw/zinc/21/44/38/241214438.db2.gz GNYLEVLDDFITGR-WDEREUQCSA-N 0 2 317.386 0.278 20 0 DCADLN COc1cccc(CCC(=O)N2CC[N@H+](C)C[C@@H]2C[NH3+])c1F ZINC000567829817 291382142 /nfs/dbraw/zinc/38/21/42/291382142.db2.gz SUQBLZGOBLFVDA-ZDUSSCGKSA-N 0 2 309.385 0.868 20 0 DCADLN Cc1[nH+]c2ccccc2n1CCC(=O)NC[C@@](C)(O)C(=O)[O-] ZINC000567862623 291385715 /nfs/dbraw/zinc/38/57/15/291385715.db2.gz MMOFGESWEGRNQP-OAHLLOKOSA-N 0 2 305.334 0.687 20 0 DCADLN O=C(c1ccc(O)cc1O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000567957608 291393875 /nfs/dbraw/zinc/39/38/75/291393875.db2.gz JSXKHBXQAVAECQ-QMMMGPOBSA-N 0 2 304.306 0.941 20 0 DCADLN CCOc1ccc(NC(=O)CSc2n[nH]c(=O)[nH]2)cc1CO ZINC000275092775 212115645 /nfs/dbraw/zinc/11/56/45/212115645.db2.gz HCFUSWXFGJRQAP-UHFFFAOYSA-N 0 2 324.362 0.720 20 0 DCADLN O=C(C[N@@H+]1CC(=O)N[C@H]2CCCC[C@@H]21)[N-]OCc1ccccc1 ZINC000093189436 193213700 /nfs/dbraw/zinc/21/37/00/193213700.db2.gz YJTHCTACTUCXLL-GJZGRUSLSA-N 0 2 317.389 0.977 20 0 DCADLN O=C(C[N@H+]1CC(=O)N[C@H]2CCCC[C@@H]21)[N-]OCc1ccccc1 ZINC000093189436 193213701 /nfs/dbraw/zinc/21/37/01/193213701.db2.gz YJTHCTACTUCXLL-GJZGRUSLSA-N 0 2 317.389 0.977 20 0 DCADLN COc1cccc(CCNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000274904223 212021934 /nfs/dbraw/zinc/02/19/34/212021934.db2.gz QTZFURLWDOSVRR-UHFFFAOYSA-N 0 2 308.363 0.558 20 0 DCADLN Cc1ccc2c(c1)CN(C(=O)CSc1n[nH]c(=O)[nH]1)CCO2 ZINC000288216610 220023580 /nfs/dbraw/zinc/02/35/80/220023580.db2.gz DKVFCQAZJUPQLL-UHFFFAOYSA-N 0 2 320.374 0.920 20 0 DCADLN COc1cc(NC(=O)CSc2n[nH]c(=O)[nH]2)cc(OC)c1 ZINC000274891832 212013869 /nfs/dbraw/zinc/01/38/69/212013869.db2.gz MCWLOMRJNQBXTJ-UHFFFAOYSA-N 0 2 310.335 0.846 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2F)CC1 ZINC000021812503 182292648 /nfs/dbraw/zinc/29/26/48/182292648.db2.gz DSBRKHQMWWATTP-UHFFFAOYSA-N 0 2 316.354 0.850 20 0 DCADLN O=C([O-])c1nccnc1C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000040803762 183136363 /nfs/dbraw/zinc/13/63/63/183136363.db2.gz VHUPOFXYYBWFEP-UHFFFAOYSA-N 0 2 313.317 0.532 20 0 DCADLN Cc1cccnc1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000104565538 194016673 /nfs/dbraw/zinc/01/66/73/194016673.db2.gz RDMNYBMMLMKLLR-UHFFFAOYSA-N 0 2 311.301 0.245 20 0 DCADLN COC(=O)c1cccc(C(=O)N2C[C@@H](C)S(=O)[C@H](C)C2)n1 ZINC000331113672 252648836 /nfs/dbraw/zinc/64/88/36/252648836.db2.gz JTKZVPHNYBHLPU-NXEZZACHSA-N 0 2 310.375 0.850 20 0 DCADLN COC(=O)CN(C)S(=O)(=O)[C@H]1CCCC[C@H]1C(=O)OC ZINC000331043022 252648976 /nfs/dbraw/zinc/64/89/76/252648976.db2.gz DKNFYXAWFJWFQW-ZJUUUORDSA-N 0 2 307.368 0.153 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2cc(F)c(F)c(O)c2F)CCO1 ZINC000316581850 532982822 /nfs/dbraw/zinc/98/28/22/532982822.db2.gz JLDWHRQAICWZFR-QMMMGPOBSA-N 0 2 319.235 0.824 20 0 DCADLN CCc1nn(C)cc1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000337056697 252831440 /nfs/dbraw/zinc/83/14/40/252831440.db2.gz COMYEAGDDXTZMT-UHFFFAOYSA-N 0 2 314.305 0.984 20 0 DCADLN CC1(C)CCC[C@]1(O)CNS(=O)(=O)NCC(F)(F)F ZINC000339019364 253021882 /nfs/dbraw/zinc/02/18/82/253021882.db2.gz DXEBUTIOWZKCEW-VIFPVBQESA-N 0 2 304.334 0.914 20 0 DCADLN O=C(NCc1ccn2cc[nH+]c2c1)c1c[n-]n2c1nccc2=O ZINC000339223824 253042871 /nfs/dbraw/zinc/04/28/71/253042871.db2.gz CYBCPRUDCFPPML-UHFFFAOYSA-N 0 2 308.301 0.600 20 0 DCADLN COC1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCC1 ZINC000273019732 210399914 /nfs/dbraw/zinc/39/99/14/210399914.db2.gz SSCGZTUUEWQJOV-UHFFFAOYSA-N 0 2 306.369 0.417 20 0 DCADLN NC(=O)c1[nH]nc2ccc(NS(=O)(=O)c3cn[nH]c3)cc21 ZINC000340946216 253325848 /nfs/dbraw/zinc/32/58/48/253325848.db2.gz ACNZDZAOVGBADP-UHFFFAOYSA-N 0 2 306.307 0.186 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc(Cl)cc1F ZINC000342044551 253449447 /nfs/dbraw/zinc/44/94/47/253449447.db2.gz LMVBYLXJSMIYMQ-UHFFFAOYSA-N 0 2 313.676 0.558 20 0 DCADLN Cc1nnc([C@H](C)NC(=O)C(C)(C)NC(=O)C(F)(F)F)[nH]1 ZINC000286481161 219012542 /nfs/dbraw/zinc/01/25/42/219012542.db2.gz UZSFWNAMORWDIF-YFKPBYRVSA-N 0 2 307.276 0.747 20 0 DCADLN Cc1nc([C@H](C)NC(=O)C(C)(C)NC(=O)C(F)(F)F)n[nH]1 ZINC000286481161 219012543 /nfs/dbraw/zinc/01/25/43/219012543.db2.gz UZSFWNAMORWDIF-YFKPBYRVSA-N 0 2 307.276 0.747 20 0 DCADLN COC(=O)C1(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CC1 ZINC000288696369 220353516 /nfs/dbraw/zinc/35/35/16/220353516.db2.gz BAMWUBNEEKOIDC-UHFFFAOYSA-N 0 2 302.290 0.657 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cnn(CC(=O)N(C)C)c2)cn1 ZINC000349988199 254307316 /nfs/dbraw/zinc/30/73/16/254307316.db2.gz CVEOUANCJZCCDN-UHFFFAOYSA-N 0 2 323.378 0.476 20 0 DCADLN COCCS(=O)(=O)[N-]c1ccc([NH+](C)C)cc1C(N)=O ZINC000350299506 254315542 /nfs/dbraw/zinc/31/55/42/254315542.db2.gz HCGDUDBXEONBJO-UHFFFAOYSA-N 0 2 301.368 0.240 20 0 DCADLN COc1cc(OC)nc(NS(=O)(=O)c2cncc(F)c2)n1 ZINC000351698627 254359798 /nfs/dbraw/zinc/35/97/98/254359798.db2.gz BRTVKIFSACQEQH-UHFFFAOYSA-N 0 2 314.298 0.829 20 0 DCADLN CC(C)(CF)NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000351755589 254365931 /nfs/dbraw/zinc/36/59/31/254365931.db2.gz IVQRSFNKSDWYKI-UHFFFAOYSA-N 0 2 316.314 0.462 20 0 DCADLN C[C@H]1CCC[N@H+](CCNC(=O)C(=O)NCCCn2cc[nH+]c2)C1 ZINC000284415952 131078994 /nfs/dbraw/zinc/07/89/94/131078994.db2.gz XNACJUFJZCPAEA-AWEZNQCLSA-N 0 2 321.425 0.238 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1c(F)cccc1Br ZINC000085382838 395700541 /nfs/dbraw/zinc/70/05/41/395700541.db2.gz NEHXCOFKRGUKKU-UHFFFAOYSA-N 0 2 315.102 0.930 20 0 DCADLN CNC(=O)[C@@H](C)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000084409655 395697176 /nfs/dbraw/zinc/69/71/76/395697176.db2.gz KASQIWVRMTUDIA-MRVPVSSYSA-N 0 2 306.297 0.581 20 0 DCADLN Cc1ccc(S(N)(=O)=O)cc1NS(=O)(=O)c1cn[nH]c1 ZINC000040384431 395733583 /nfs/dbraw/zinc/73/35/83/395733583.db2.gz HPIGYTWWKIYUFT-UHFFFAOYSA-N 0 2 316.364 0.166 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cnn(C[C@H]2CCCO2)c1 ZINC000040829942 395735271 /nfs/dbraw/zinc/73/52/71/395735271.db2.gz ALPKZNVDLMITGE-LLVKDONJSA-N 0 2 311.367 0.894 20 0 DCADLN COC(=O)CC[C@@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccccc1 ZINC000106291509 395781896 /nfs/dbraw/zinc/78/18/96/395781896.db2.gz BVOJEAYWPYHBEX-SNVBAGLBSA-N 0 2 304.306 0.522 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C=C[C@H](CO)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000270782985 395808100 /nfs/dbraw/zinc/80/81/00/395808100.db2.gz YIRPXTZMMDDLKP-DTWKUNHWSA-N 0 2 316.317 0.411 20 0 DCADLN C[C@H]1C[C@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000271266824 395852664 /nfs/dbraw/zinc/85/26/64/395852664.db2.gz MEWUSVMIEXGXBK-IONNQARKSA-N 0 2 304.306 0.733 20 0 DCADLN C[C@@H]1C[C@H](CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000271310403 395857210 /nfs/dbraw/zinc/85/72/10/395857210.db2.gz INDBWEIZRCNVOW-RKDXNWHRSA-N 0 2 318.333 0.981 20 0 DCADLN Cc1ccc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)cn1 ZINC000053801606 395889131 /nfs/dbraw/zinc/88/91/31/395889131.db2.gz YPOJWOBLZDWYHW-UHFFFAOYSA-N 0 2 311.301 0.657 20 0 DCADLN COC(=O)Cc1ccc(NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000055581000 395920061 /nfs/dbraw/zinc/92/00/61/395920061.db2.gz RAKFVXRRJSNTMO-UHFFFAOYSA-N 0 2 309.347 0.936 20 0 DCADLN COc1ccc(NC(=O)CSc2n[nH]c(=O)[nH]2)cc1OC ZINC000274872671 395983690 /nfs/dbraw/zinc/98/36/90/395983690.db2.gz FLWAITITOOUXEF-UHFFFAOYSA-N 0 2 310.335 0.846 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)Nc1nc(C(N)=O)cs1 ZINC000276974761 396040752 /nfs/dbraw/zinc/04/07/52/396040752.db2.gz FYVODNILODDLBH-UHFFFAOYSA-N 0 2 324.284 0.638 20 0 DCADLN COc1ccc(NC(=O)NC(=O)C[N@@H+]2CCC[C@@H]2C(=O)[O-])cc1 ZINC000262107357 396099579 /nfs/dbraw/zinc/09/95/79/396099579.db2.gz JXGZZTHPSLLRPJ-GFCCVEGCSA-N 0 2 321.333 0.892 20 0 DCADLN COc1ccc(NC(=O)NC(=O)C[N@H+]2CCC[C@@H]2C(=O)[O-])cc1 ZINC000262107357 396099582 /nfs/dbraw/zinc/09/95/82/396099582.db2.gz JXGZZTHPSLLRPJ-GFCCVEGCSA-N 0 2 321.333 0.892 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000262633427 396165393 /nfs/dbraw/zinc/16/53/93/396165393.db2.gz HMFDFNGBCCJVDZ-MRVPVSSYSA-N 0 2 323.305 0.468 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000262633427 396165394 /nfs/dbraw/zinc/16/53/94/396165394.db2.gz HMFDFNGBCCJVDZ-MRVPVSSYSA-N 0 2 323.305 0.468 20 0 DCADLN C[C@@H]1OCC[C@]1(O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000279479821 396177813 /nfs/dbraw/zinc/17/78/13/396177813.db2.gz MMDMFQPHCMIVOY-ZBEGNZNMSA-N 0 2 317.345 0.848 20 0 DCADLN CC(C)Nc1nc(N2CC[C@H](C(=O)[O-])C2)nc(NCCO)[nH+]1 ZINC000263456452 396217579 /nfs/dbraw/zinc/21/75/79/396217579.db2.gz AWIAZWCMDQIUMN-VIFPVBQESA-N 0 2 310.358 0.007 20 0 DCADLN CC(C)Nc1nc(NCCO)nc(N2CC[C@H](C(=O)[O-])C2)[nH+]1 ZINC000263456452 396217583 /nfs/dbraw/zinc/21/75/83/396217583.db2.gz AWIAZWCMDQIUMN-VIFPVBQESA-N 0 2 310.358 0.007 20 0 DCADLN CN1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)c2ccc(F)cc21 ZINC000185760326 396334588 /nfs/dbraw/zinc/33/45/88/396334588.db2.gz CASKJHAFVQAFTI-UHFFFAOYSA-N 0 2 323.353 0.812 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc3c(cc2C)OCO3)c1O ZINC000285912074 396362348 /nfs/dbraw/zinc/36/23/48/396362348.db2.gz IERKPGWKRJJPKJ-NSHDSACASA-N 0 2 306.274 0.712 20 0 DCADLN CCO[C@@H]1C[C@@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C12CCC2 ZINC000187129655 396363661 /nfs/dbraw/zinc/36/36/61/396363661.db2.gz YQGKTQXFUCHTHV-RKDXNWHRSA-N 0 2 312.395 0.654 20 0 DCADLN CCS[C@H]1CCC[C@H]1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000187165816 396364549 /nfs/dbraw/zinc/36/45/49/396364549.db2.gz RKHXPFPKAKETNN-SFYZADRCSA-N 0 2 302.425 0.981 20 0 DCADLN COCCCONC(=O)Cn1cc(Br)ccc1=O ZINC000287788261 396423353 /nfs/dbraw/zinc/42/33/53/396423353.db2.gz KXHLRSRRGATUFA-UHFFFAOYSA-N 0 2 319.155 0.695 20 0 DCADLN COC(=O)CCCONC(=O)CNC(=O)c1cccc(OC)c1 ZINC000290679894 396469782 /nfs/dbraw/zinc/46/97/82/396469782.db2.gz PCTJETILZILESQ-UHFFFAOYSA-N 0 2 324.333 0.426 20 0 DCADLN CCCc1nnc(SCC(=O)NO[C@H]2CCCCO2)n1N ZINC000291452602 396491793 /nfs/dbraw/zinc/49/17/93/396491793.db2.gz HHYBZNQLJLIXRT-NSHDSACASA-N 0 2 315.399 0.611 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2cnn(C)c2C)cn1C ZINC000292003348 396524746 /nfs/dbraw/zinc/52/47/46/396524746.db2.gz XUYZOEWRIXARPL-UHFFFAOYSA-N 0 2 312.351 0.654 20 0 DCADLN C[C@H]1OCC[C@@]1(O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000581528732 396562228 /nfs/dbraw/zinc/56/22/28/396562228.db2.gz RURUFTMCQVCGPN-RFAUZJTJSA-N 0 2 319.317 0.300 20 0 DCADLN O=C(N[C@@H]1C=C[C@H](CO)C1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000531119234 396589804 /nfs/dbraw/zinc/58/98/04/396589804.db2.gz QALUDOCNHXGQAC-JOYOIKCWSA-N 0 2 301.302 0.697 20 0 DCADLN CCC[C@H](CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)OC ZINC000295320529 396691779 /nfs/dbraw/zinc/69/17/79/396691779.db2.gz DDCRADOZTXNYJE-SECBINFHSA-N 0 2 306.322 0.981 20 0 DCADLN C[C@@H](C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@@H]1CCC(=O)N1 ZINC000625131048 396779557 /nfs/dbraw/zinc/77/95/57/396779557.db2.gz ZNKNZTXSEGXWBN-KCJUWKMLSA-N 0 2 316.317 0.883 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ccc(-c2nn[nH]n2)cc1 ZINC000375642899 396787964 /nfs/dbraw/zinc/78/79/64/396787964.db2.gz PTFCKUKNBLQDNY-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc(-n3cnnn3)c(C)c2)c[nH]1 ZINC000315348725 396788817 /nfs/dbraw/zinc/78/88/17/396788817.db2.gz GULNTCASIWRQIN-UHFFFAOYSA-N 0 2 319.350 0.803 20 0 DCADLN COC(=O)[C@]1(C)CCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000617636222 396764925 /nfs/dbraw/zinc/76/49/25/396764925.db2.gz YFMXRLIJVUSZDV-NSHDSACASA-N 0 2 300.340 0.157 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@H]2CCN(C)C(=O)C2)s[nH]1 ZINC000634154060 396797973 /nfs/dbraw/zinc/79/79/73/396797973.db2.gz XQDZZTGCVUUTBM-MRVPVSSYSA-N 0 2 312.395 0.648 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328778823 396832617 /nfs/dbraw/zinc/83/26/17/396832617.db2.gz TZFKIBKJXDVJKA-TXEJJXNPSA-N 0 2 317.301 0.270 20 0 DCADLN COC(=O)COCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000600392975 396817072 /nfs/dbraw/zinc/81/70/72/396817072.db2.gz RCPAWFUIOFYRHE-UHFFFAOYSA-N 0 2 319.317 0.497 20 0 DCADLN CC1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCOCC1 ZINC000591152605 396881281 /nfs/dbraw/zinc/88/12/81/396881281.db2.gz ZDUVRLCSZBAGMT-UHFFFAOYSA-N 0 2 320.396 0.665 20 0 DCADLN CC(=O)N[C@@H]1C(C)=NN(c2ncc(Br)cn2)C1=O ZINC000634595985 396889387 /nfs/dbraw/zinc/88/93/87/396889387.db2.gz SKNJJFKGHRTTIB-MRVPVSSYSA-N 0 2 312.127 0.466 20 0 DCADLN C[C@@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)[C@H]1CCO ZINC000618018398 396892825 /nfs/dbraw/zinc/89/28/25/396892825.db2.gz HKKAXQFQDHREMO-BDAKNGLRSA-N 0 2 300.384 0.612 20 0 DCADLN COC(=O)c1ccc(C)c(NS(=O)(=O)c2cnnn2C)c1 ZINC000600633669 396904011 /nfs/dbraw/zinc/90/40/11/396904011.db2.gz CHVPALGIFAQASI-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COC(=O)c1[nH]c(C)cc1NS(=O)(=O)c1cnc(C)n1C ZINC000600647106 396905298 /nfs/dbraw/zinc/90/52/98/396905298.db2.gz GNAAJPWRYQDMRD-UHFFFAOYSA-N 0 2 312.351 0.952 20 0 DCADLN COc1cc(-c2noc(C[N@H+](C)C(C)(C)C(=O)[O-])n2)ncn1 ZINC000571570831 396967539 /nfs/dbraw/zinc/96/75/39/396967539.db2.gz KCODYRBSYAXWRG-UHFFFAOYSA-N 0 2 307.310 0.830 20 0 DCADLN COc1cc(-c2noc(C[N@@H+](C)C(C)(C)C(=O)[O-])n2)ncn1 ZINC000571570831 396967543 /nfs/dbraw/zinc/96/75/43/396967543.db2.gz KCODYRBSYAXWRG-UHFFFAOYSA-N 0 2 307.310 0.830 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)NCCc1cccc(C(=O)[O-])c1 ZINC000630158127 396949837 /nfs/dbraw/zinc/94/98/37/396949837.db2.gz MVXPYQWBWQJOOI-AWEZNQCLSA-N 0 2 306.362 0.764 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)NCCc1cccc(C(=O)[O-])c1 ZINC000630158127 396949844 /nfs/dbraw/zinc/94/98/44/396949844.db2.gz MVXPYQWBWQJOOI-AWEZNQCLSA-N 0 2 306.362 0.764 20 0 DCADLN CN1CCC[C@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1=O ZINC000611946199 396955663 /nfs/dbraw/zinc/95/56/63/396955663.db2.gz XMFHBESEFZANQP-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN O=C(NCCSCCCO)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597382838 396958233 /nfs/dbraw/zinc/95/82/33/396958233.db2.gz GDOFFRVLEPGWCW-UHFFFAOYSA-N 0 2 323.374 0.875 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCNC(=O)C[C@H]2C)c1 ZINC000591767322 397001388 /nfs/dbraw/zinc/00/13/88/397001388.db2.gz KLFNLEBPVFAVQU-XFNZEKPQSA-N 0 2 324.402 0.540 20 0 DCADLN COC[C@@](C)([NH2+]Cc1cn(-c2ccc(F)cc2)nn1)C(=O)[O-] ZINC000630455123 397025252 /nfs/dbraw/zinc/02/52/52/397025252.db2.gz ANRJEUGWEPMGEA-CQSZACIVSA-N 0 2 308.313 0.986 20 0 DCADLN C[C@H]1CO[C@H](CO)CN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612508354 397075953 /nfs/dbraw/zinc/07/59/53/397075953.db2.gz RYUPLMRFROHHKO-CABZTGNLSA-N 0 2 319.317 0.252 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)CC(=O)N3CCC3)n[nH]2)cc1 ZINC000573410467 397164801 /nfs/dbraw/zinc/16/48/01/397164801.db2.gz VECWLDXWJDGLLO-UHFFFAOYSA-N 0 2 320.374 0.786 20 0 DCADLN CCOC(=O)C[N@@H+]1CCCN(c2ncccc2C(=O)[O-])CC1 ZINC000592426698 397172535 /nfs/dbraw/zinc/17/25/35/397172535.db2.gz JJHHNYJJSWAFIH-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN CCOC(=O)C[N@H+]1CCCN(c2ncccc2C(=O)[O-])CC1 ZINC000592426698 397172540 /nfs/dbraw/zinc/17/25/40/397172540.db2.gz JJHHNYJJSWAFIH-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN Cc1cccc(-c2nsc(NCCN3C(=O)CNC3=O)n2)c1 ZINC000631118074 397173498 /nfs/dbraw/zinc/17/34/98/397173498.db2.gz UOFIKSMDXDYSSQ-UHFFFAOYSA-N 0 2 317.374 0.899 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCOC[C@@H]2C(=O)OC(C)(C)C)c1O ZINC000597847900 397122131 /nfs/dbraw/zinc/12/21/31/397122131.db2.gz UENHRCMXJWXPAP-SECBINFHSA-N 0 2 311.338 0.606 20 0 DCADLN O=C(N[C@H]1CCC(=O)N[C@H]1[C@@H]1CCCO1)[C@H](F)C(F)(F)F ZINC000378019027 397181012 /nfs/dbraw/zinc/18/10/12/397181012.db2.gz PTRCIDGYEWQAQO-MFDQJXRNSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1CCC(=O)N[C@H]1[C@@H]1CCCO1)C(F)C(F)(F)F ZINC000378019027 397181021 /nfs/dbraw/zinc/18/10/21/397181021.db2.gz PTRCIDGYEWQAQO-MFDQJXRNSA-N 0 2 312.263 0.829 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@H](O)CCSC)s[nH]1 ZINC000601795955 397246301 /nfs/dbraw/zinc/24/63/01/397246301.db2.gz NKVIJIQLGLAGID-SSDOTTSWSA-N 0 2 305.425 0.894 20 0 DCADLN COC(=O)C[C@@H](C)C(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000601796577 397246439 /nfs/dbraw/zinc/24/64/39/397246439.db2.gz PYMFTUPJPBITOE-SSDOTTSWSA-N 0 2 301.368 0.979 20 0 DCADLN C[C@H](O)C[N@@H+]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)[C@@H](C)C1 ZINC000613657053 397295938 /nfs/dbraw/zinc/29/59/38/397295938.db2.gz YWTMPLDJVVJDBM-IGQOVBAYSA-N 0 2 306.410 0.437 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@@H](CO)[C@@H]2CCOC2)cn1 ZINC000577561072 397340608 /nfs/dbraw/zinc/34/06/08/397340608.db2.gz MDIARUPUGASUDF-PWSUYJOCSA-N 0 2 319.365 0.185 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)CC2)c1[O-] ZINC000614437599 397437748 /nfs/dbraw/zinc/43/77/48/397437748.db2.gz ILXMZDALJZRBMY-GFCCVEGCSA-N 0 2 308.382 0.751 20 0 DCADLN COC(=O)C[C@H]1C(=O)NCC[N@H+]1Cc1cccc(C(=O)[O-])c1 ZINC000578656034 397466818 /nfs/dbraw/zinc/46/68/18/397466818.db2.gz FYCRVEVIQYZXMZ-LBPRGKRZSA-N 0 2 306.318 0.248 20 0 DCADLN COC(=O)C[C@H]1C(=O)NCC[N@@H+]1Cc1cccc(C(=O)[O-])c1 ZINC000578656034 397466822 /nfs/dbraw/zinc/46/68/22/397466822.db2.gz FYCRVEVIQYZXMZ-LBPRGKRZSA-N 0 2 306.318 0.248 20 0 DCADLN Cc1sc(=O)n(CCC(=O)N2CC[N@@H+](C)C[C@@H]2C[NH3+])c1C ZINC000579107859 397523161 /nfs/dbraw/zinc/52/31/61/397523161.db2.gz HUSRDQVTAHFSKR-LBPRGKRZSA-N 0 2 312.439 0.018 20 0 DCADLN C[C@@H]1CC(=O)NCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000608464004 397732480 /nfs/dbraw/zinc/73/24/80/397732480.db2.gz LNYVVOSXZUYTSV-LLVKDONJSA-N 0 2 314.345 0.929 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1CCS(=O)CC1 ZINC000084389198 158088773 /nfs/dbraw/zinc/08/87/73/158088773.db2.gz UVRGMUOPPMVREH-UHFFFAOYSA-N 0 2 323.349 0.922 20 0 DCADLN C[NH+](C)[C@@H]1CCCN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C1 ZINC000171675647 158385098 /nfs/dbraw/zinc/38/50/98/158385098.db2.gz WBOCIRUNRSZBKI-CQSZACIVSA-N 0 2 312.458 0.833 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)C[C@H]3CCOC3)C2)[nH]1 ZINC000328840694 159033763 /nfs/dbraw/zinc/03/37/63/159033763.db2.gz OJBCGUFFVPMCLQ-VHSXEESVSA-N 0 2 316.383 0.056 20 0 DCADLN Cn1c(=O)cccc1C(=O)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000329197258 159072773 /nfs/dbraw/zinc/07/27/73/159072773.db2.gz VETGWISYIJVHMD-SECBINFHSA-N 0 2 303.322 0.576 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)[C@H]2COCCO2)[nH]1 ZINC000329541251 159100704 /nfs/dbraw/zinc/10/07/04/159100704.db2.gz DHZQYPZPXWHKEK-GHMZBOCLSA-N 0 2 310.354 0.420 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)Cc2cnn(C)c2)[nH]1 ZINC000329595542 159105829 /nfs/dbraw/zinc/10/58/29/159105829.db2.gz IFDROKNINLTGLY-LBPRGKRZSA-N 0 2 318.381 0.981 20 0 DCADLN Cc1nc(-c2ccc(C(=O)NC[C@H]3C[N@H+](C)CCO3)cc2)n[nH]1 ZINC000329786239 159119589 /nfs/dbraw/zinc/11/95/89/159119589.db2.gz XSKQKUFUKFAKFJ-AWEZNQCLSA-N 0 2 315.377 0.841 20 0 DCADLN CN(Cc1cn2c([nH+]1)CCCC2)C(=O)CSc1n[nH]c(=O)[n-]1 ZINC000330237589 159153139 /nfs/dbraw/zinc/15/31/39/159153139.db2.gz AOABTCGRTUQMTM-UHFFFAOYSA-N 0 2 322.394 0.794 20 0 DCADLN CC(C)(C)C(=O)NCC(=O)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000330607128 159202049 /nfs/dbraw/zinc/20/20/49/159202049.db2.gz JKFFFQCEGXXLJJ-VIFPVBQESA-N 0 2 309.370 0.726 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)N[C@H]2CCCc3cn[nH]c32)co1 ZINC000367021973 159305397 /nfs/dbraw/zinc/30/53/97/159305397.db2.gz RUOGZNMJHGHJDU-VIFPVBQESA-N 0 2 310.335 0.458 20 0 DCADLN C[NH+](C)CCn1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000368396450 159392236 /nfs/dbraw/zinc/39/22/36/159392236.db2.gz CYBUACGGFTWPHL-OAHLLOKOSA-N 0 2 316.409 0.808 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)CC[C@@H]1CC[C@@H](C)O1 ZINC000408446340 160109593 /nfs/dbraw/zinc/10/95/93/160109593.db2.gz JZXBBDREWIYMPP-KOLCDFICSA-N 0 2 315.395 0.881 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(NC(=O)C2CC2)c1 ZINC000128688277 286983868 /nfs/dbraw/zinc/98/38/68/286983868.db2.gz HBTJBAVIJHLBNF-UHFFFAOYSA-N 0 2 316.321 0.768 20 0 DCADLN CC[C@@H]1C[C@@H](C[N@@H+]2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])CCO1 ZINC000333606530 287283403 /nfs/dbraw/zinc/28/34/03/287283403.db2.gz RGNLLRJHRWWNIV-HBNTYKKESA-N 0 2 310.354 0.458 20 0 DCADLN CC[C@@H]1C[C@@H](C[N@H+]2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])CCO1 ZINC000333606530 287283404 /nfs/dbraw/zinc/28/34/04/287283404.db2.gz RGNLLRJHRWWNIV-HBNTYKKESA-N 0 2 310.354 0.458 20 0 DCADLN CN=c1[nH]nc(CC(=O)Nc2ccn(-c3ccccc3)n2)s1 ZINC000352429262 415173362 /nfs/dbraw/zinc/17/33/62/415173362.db2.gz AXRVBFQHXPCKDC-UHFFFAOYSA-N 0 2 314.374 0.791 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@]3(O)CCOC3)c[nH]c2n1 ZINC000332105517 415247695 /nfs/dbraw/zinc/24/76/95/415247695.db2.gz HUBWMNHNXYYFGZ-OAHLLOKOSA-N 0 2 303.318 0.525 20 0 DCADLN COCCS(=O)(=O)NCC(F)(F)c1cccc(OC)n1 ZINC000352639756 415261221 /nfs/dbraw/zinc/26/12/21/415261221.db2.gz IYGZGWCPJRECMN-UHFFFAOYSA-N 0 2 310.322 0.748 20 0 DCADLN CCCCOCCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000048323789 415292979 /nfs/dbraw/zinc/29/29/79/415292979.db2.gz PSNHLWDILSUOKD-UHFFFAOYSA-N 0 2 320.349 0.960 20 0 DCADLN COc1cccc(OCC[NH+]2CCN(C(=O)C(=O)[O-])CC2)c1 ZINC000274141494 415327409 /nfs/dbraw/zinc/32/74/09/415327409.db2.gz GZROHQOALWYZLO-UHFFFAOYSA-N 0 2 308.334 0.303 20 0 DCADLN CC[C@@H]1C[C@H](C[N@@H+]2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])CCO1 ZINC000333606528 287304471 /nfs/dbraw/zinc/30/44/71/287304471.db2.gz RGNLLRJHRWWNIV-GMTAPVOTSA-N 0 2 310.354 0.458 20 0 DCADLN CC[C@@H]1C[C@H](C[N@H+]2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])CCO1 ZINC000333606528 287304473 /nfs/dbraw/zinc/30/44/73/287304473.db2.gz RGNLLRJHRWWNIV-GMTAPVOTSA-N 0 2 310.354 0.458 20 0 DCADLN COc1ccc(CN(C)C(=O)CSc2n[nH]c(=O)[nH]2)cc1O ZINC000274940268 415364036 /nfs/dbraw/zinc/36/40/36/415364036.db2.gz FIVRCBVQZRYBDL-UHFFFAOYSA-N 0 2 324.362 0.563 20 0 DCADLN CN1c2cc(NC(=O)C(N)C(F)(F)F)ccc2OCC1=O ZINC000352917982 415369534 /nfs/dbraw/zinc/36/95/34/415369534.db2.gz UOJNJGPQGZKJJC-JTQLQIEISA-N 0 2 303.240 0.870 20 0 DCADLN CN1c2cc(NC(=O)[C@H](N)C(F)(F)F)ccc2OCC1=O ZINC000352917982 415369550 /nfs/dbraw/zinc/36/95/50/415369550.db2.gz UOJNJGPQGZKJJC-JTQLQIEISA-N 0 2 303.240 0.870 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NCCc2nc(N)no2)s[nH]1 ZINC000352932035 415372921 /nfs/dbraw/zinc/37/29/21/415372921.db2.gz RTCODONXKXDGPQ-UHFFFAOYSA-N 0 2 311.371 0.587 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CC(=O)N(Cc3ccccn3)C2)n1 ZINC000103256437 415612648 /nfs/dbraw/zinc/61/26/48/415612648.db2.gz MVGQIMKYHQUACM-JTQLQIEISA-N 0 2 300.322 0.495 20 0 DCADLN CN1CC[N@H+](C)[C@H](CNC(=O)NC[C@@H]2CCCC[NH+]2C2CC2)C1 ZINC000333419700 415644085 /nfs/dbraw/zinc/64/40/85/415644085.db2.gz IGZKNVJTIUSSBI-JKSUJKDBSA-N 0 2 323.485 0.548 20 0 DCADLN NC(=O)c1cc(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)co1 ZINC000353758897 415646300 /nfs/dbraw/zinc/64/63/00/415646300.db2.gz BDXJRABQMRHJGK-UHFFFAOYSA-N 0 2 314.257 0.974 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N[C@@H]3C[C@H]4C[C@H]4C3)cc-2c(=O)[nH]1 ZINC000333486040 415665430 /nfs/dbraw/zinc/66/54/30/415665430.db2.gz QCCHKZZHBDAUST-RNLVFQAGSA-N 0 2 322.346 0.513 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCCCNC2=O)c1 ZINC000108064566 415676679 /nfs/dbraw/zinc/67/66/79/415676679.db2.gz RIFWELQBGNJAKG-XMHCIUCPSA-N 0 2 324.402 0.588 20 0 DCADLN CCSc1nnc(NC(=O)NCc2n[nH]c(=O)[nH]2)s1 ZINC000354045958 415728565 /nfs/dbraw/zinc/72/85/65/415728565.db2.gz XJLBRENEQHCJTQ-UHFFFAOYSA-N 0 2 301.357 0.796 20 0 DCADLN CNS(=O)(=O)c1ccc(C(=O)Nc2n[nH]c(SC)n2)o1 ZINC000354128027 415761196 /nfs/dbraw/zinc/76/11/96/415761196.db2.gz HHWIBIOWHAVYSC-UHFFFAOYSA-N 0 2 317.352 0.280 20 0 DCADLN CNS(=O)(=O)c1ccc(C(=O)Nc2nc(SC)n[nH]2)o1 ZINC000354128027 415761202 /nfs/dbraw/zinc/76/12/02/415761202.db2.gz HHWIBIOWHAVYSC-UHFFFAOYSA-N 0 2 317.352 0.280 20 0 DCADLN O=C(NCC1(C2CC2)CCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000120380086 415767732 /nfs/dbraw/zinc/76/77/32/415767732.db2.gz YBWOWOLUXKJHHK-UHFFFAOYSA-N 0 2 314.345 0.922 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCCO1)[N@@H+]1CCCc2c(cnn2C)C1 ZINC000334025300 415788727 /nfs/dbraw/zinc/78/87/27/415788727.db2.gz GPJYVWNJFKCQHN-OCCSQVGLSA-N 0 2 306.410 0.852 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCCO1)N1CCCc2c(cnn2C)C1 ZINC000334025300 415788743 /nfs/dbraw/zinc/78/87/43/415788743.db2.gz GPJYVWNJFKCQHN-OCCSQVGLSA-N 0 2 306.410 0.852 20 0 DCADLN Nc1nsc(N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC000290334712 415793641 /nfs/dbraw/zinc/79/36/41/415793641.db2.gz HYSGYNDZUAQZPI-RXMQYKEDSA-N 0 2 313.280 0.669 20 0 DCADLN Nc1nsc(N2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC000290334712 415793647 /nfs/dbraw/zinc/79/36/47/415793647.db2.gz HYSGYNDZUAQZPI-RXMQYKEDSA-N 0 2 313.280 0.669 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCOC(F)(F)F)c2=O ZINC000356831543 415994036 /nfs/dbraw/zinc/99/40/36/415994036.db2.gz XSNNUUSGHVXXEE-UHFFFAOYSA-N 0 2 304.228 0.940 20 0 DCADLN CSC[C@@H](CCO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000344868636 416000069 /nfs/dbraw/zinc/00/00/69/416000069.db2.gz WRDCFDGUCPJBDJ-LLVKDONJSA-N 0 2 323.374 0.874 20 0 DCADLN Cc1cc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)ccc1C1CC1 ZINC000357174154 416041439 /nfs/dbraw/zinc/04/14/39/416041439.db2.gz RZPPBASKJVMCDH-UHFFFAOYSA-N 0 2 315.333 0.951 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)ccc1F ZINC000357192294 416043255 /nfs/dbraw/zinc/04/32/55/416043255.db2.gz UEMSVYMUIQTXGB-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN Cn1cnnc1-c1cncc(NS(=O)(=O)c2ccnn2C)c1 ZINC000338203212 416057291 /nfs/dbraw/zinc/05/72/91/416057291.db2.gz FWAFLTCISAZDFF-UHFFFAOYSA-N 0 2 319.350 0.411 20 0 DCADLN COc1cncc(S(=O)(=O)Nc2cc(C)nc3ncnn32)c1 ZINC000357369333 416069535 /nfs/dbraw/zinc/06/95/35/416069535.db2.gz KBUAVMPLQACODL-UHFFFAOYSA-N 0 2 320.334 0.637 20 0 DCADLN O=C(NCCCOC(F)F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000338285897 416071127 /nfs/dbraw/zinc/07/11/27/416071127.db2.gz UJPNBNNROQVJSN-UHFFFAOYSA-N 0 2 314.248 0.795 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N(CCO)CC(F)F ZINC000357418476 416077148 /nfs/dbraw/zinc/07/71/48/416077148.db2.gz CPRZTIBWHVVOST-UHFFFAOYSA-N 0 2 314.248 0.136 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H](O)Cc1c(F)cccc1Cl ZINC000356907846 416003433 /nfs/dbraw/zinc/00/34/33/416003433.db2.gz VDGMVROBPUDAAC-SECBINFHSA-N 0 2 314.704 0.523 20 0 DCADLN Cc1ccc(-c2noc(N[C@H]3CCCN(CCO)C3=O)n2)cc1 ZINC000337865744 416017386 /nfs/dbraw/zinc/01/73/86/416017386.db2.gz KRJOHPYYCRXYPG-ZDUSSCGKSA-N 0 2 316.361 1.440 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1[nH]nnc1-c1ccccc1 ZINC000338392794 416089513 /nfs/dbraw/zinc/08/95/13/416089513.db2.gz VTMCKUDVQVDOGV-UHFFFAOYSA-N 0 2 313.321 0.658 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1n[nH]nc1-c1ccccc1 ZINC000338392794 416089517 /nfs/dbraw/zinc/08/95/17/416089517.db2.gz VTMCKUDVQVDOGV-UHFFFAOYSA-N 0 2 313.321 0.658 20 0 DCADLN O=C(CCn1ccnn1)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000338387176 416090925 /nfs/dbraw/zinc/09/09/25/416090925.db2.gz PLTBVJYPSGJDHH-UHFFFAOYSA-N 0 2 300.278 0.650 20 0 DCADLN COC[C@H](O)CNC(=O)N=c1nc(-c2ccsc2)[nH]s1 ZINC000338426971 416096294 /nfs/dbraw/zinc/09/62/94/416096294.db2.gz RMCRAVTVOVEPFH-MRVPVSSYSA-N 0 2 314.392 0.817 20 0 DCADLN C[C@H](C1CC1)N(C)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000175349676 416104097 /nfs/dbraw/zinc/10/40/97/416104097.db2.gz VNTQDTDMVMBNCT-SSDOTTSWSA-N 0 2 324.362 0.030 20 0 DCADLN Cc1ccccc1CNC(=O)C[N@@H+](C)C1CC[NH+](CCO)CC1 ZINC000357648472 416112147 /nfs/dbraw/zinc/11/21/47/416112147.db2.gz MDJGKUNGYZOBDW-UHFFFAOYSA-N 0 2 319.449 1.000 20 0 DCADLN CC(C)(C)CC[C@H](CO)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000357676923 416114378 /nfs/dbraw/zinc/11/43/78/416114378.db2.gz RJEGSPZOTQYSQT-MRVPVSSYSA-N 0 2 302.400 0.906 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)NCCCCn2cc[nH+]c2)C1 ZINC000323979307 416121571 /nfs/dbraw/zinc/12/15/71/416121571.db2.gz JSHFENQGKUUMMC-HNNXBMFYSA-N 0 2 324.381 0.796 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)Cc2cccc(C)c2)cn1 ZINC000345684665 416133665 /nfs/dbraw/zinc/13/36/65/416133665.db2.gz SEOAHDAZSWJUOH-UHFFFAOYSA-N 0 2 321.358 1.514 20 0 DCADLN CN(C)C(=O)c1cccc(S(=O)(=O)Nc2cccnn2)c1 ZINC000345683584 416133807 /nfs/dbraw/zinc/13/38/07/416133807.db2.gz ORSLBCCANYCRKI-UHFFFAOYSA-N 0 2 306.347 0.979 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N[C@H](C)C(N)=O)=N1 ZINC000177708561 416151153 /nfs/dbraw/zinc/15/11/53/416151153.db2.gz KIEBUVYQMQKQDZ-MRVPVSSYSA-N 0 2 306.297 0.629 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)NC(C)(C)C ZINC000179453682 416187296 /nfs/dbraw/zinc/18/72/96/416187296.db2.gz QFBRYQJLUSTPCM-MRVPVSSYSA-N 0 2 319.365 0.707 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)Cc2ccccc2C)n1 ZINC000358151665 416202731 /nfs/dbraw/zinc/20/27/31/416202731.db2.gz BSXAUHSMFPOGAV-UHFFFAOYSA-N 0 2 324.362 0.701 20 0 DCADLN CC(C)NC(=O)Nc1cccc(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000358358611 416228132 /nfs/dbraw/zinc/22/81/32/416228132.db2.gz PZYFFCAHTSORMG-UHFFFAOYSA-N 0 2 318.337 0.970 20 0 DCADLN Nc1ncncc1CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000339724119 416162899 /nfs/dbraw/zinc/16/28/99/416162899.db2.gz VPZAPYOKHWSHRS-UHFFFAOYSA-N 0 2 312.289 0.332 20 0 DCADLN O=S(=O)(NCc1cccc2c1OCO2)NCC(F)(F)F ZINC000192017167 416297312 /nfs/dbraw/zinc/29/73/12/416297312.db2.gz HALVKBMWHFAINY-UHFFFAOYSA-N 0 2 312.269 0.902 20 0 DCADLN O=C(NCCCNS(=O)(=O)NCC(F)(F)F)C1CCC1 ZINC000195335292 416326252 /nfs/dbraw/zinc/32/62/52/416326252.db2.gz HXBPUPILXUSGJR-UHFFFAOYSA-N 0 2 317.333 0.279 20 0 DCADLN CC(C)NS(=O)(=O)[C@H]1CCN(c2cccc(C(=O)[O-])[nH+]2)C1 ZINC000416412197 416368563 /nfs/dbraw/zinc/36/85/63/416368563.db2.gz MHQWZGLJANDFLK-JTQLQIEISA-N 0 2 313.379 0.686 20 0 DCADLN COC[C@@H]1C[C@H](O)CN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000416815107 416377622 /nfs/dbraw/zinc/37/76/22/416377622.db2.gz NHGCWSQFKGAYAQ-IUCAKERBSA-N 0 2 314.411 0.871 20 0 DCADLN CCC[C@H](O)[C@@H](CO)NC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000416819299 416378078 /nfs/dbraw/zinc/37/80/78/416378078.db2.gz REKYCCZQQAVZJV-BDAKNGLRSA-N 0 2 316.427 0.901 20 0 DCADLN COc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1-n1cnnn1 ZINC000360229964 416380813 /nfs/dbraw/zinc/38/08/13/416380813.db2.gz HYOXMEKZAMSDAJ-UHFFFAOYSA-N 0 2 321.322 0.195 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C[C@@H](C)S1=O ZINC000360725261 416406435 /nfs/dbraw/zinc/40/64/35/416406435.db2.gz JKZJCHBZTHFMLL-RKDXNWHRSA-N 0 2 322.390 0.655 20 0 DCADLN O=C([C@@H](O)Cc1ccccc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000582208008 416448738 /nfs/dbraw/zinc/44/87/38/416448738.db2.gz CPFFGPFEHAGGMX-OLZOCXBDSA-N 0 2 316.361 0.820 20 0 DCADLN O=C(N[C@H]1CCO[C@H]1C1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000362063988 416554955 /nfs/dbraw/zinc/55/49/55/416554955.db2.gz GPTDEDUCTOEOBK-QWRGUYRKSA-N 0 2 316.317 0.733 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2cc(C)ccc2C)n1 ZINC000437323663 416582388 /nfs/dbraw/zinc/58/23/88/416582388.db2.gz OODWRRDGYVAJAI-UHFFFAOYSA-N 0 2 324.362 0.869 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cc(C(=O)OC)n(C)c2)cn1 ZINC000439572067 416618480 /nfs/dbraw/zinc/61/84/80/416618480.db2.gz MRICDJVZFGPKLZ-UHFFFAOYSA-N 0 2 312.351 0.829 20 0 DCADLN CCC(CC)(CNC(=O)C(=O)NCCCn1cc[nH+]c1)C(=O)[O-] ZINC000424476445 416653974 /nfs/dbraw/zinc/65/39/74/416653974.db2.gz ZUFXJXZRBQJKJX-UHFFFAOYSA-N 0 2 324.381 0.397 20 0 DCADLN C[N@@H+]1C[C@@H]2CCCN(C(=O)NCC(C)(C)[NH+]3CCOCC3)[C@@H]2C1 ZINC000425643454 416717967 /nfs/dbraw/zinc/71/79/67/416717967.db2.gz IJZNSRQAUATWAW-LSDHHAIUSA-N 0 2 324.469 0.833 20 0 DCADLN CCC(=O)N[C@H]1CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000442986312 416724228 /nfs/dbraw/zinc/72/42/28/416724228.db2.gz ZHZHOWYHCQXTAN-QMMMGPOBSA-N 0 2 317.333 0.374 20 0 DCADLN C/C=C\C[C@@H](NS(=O)(=O)NCC(F)(F)F)C(=O)OCC ZINC000443040387 416728138 /nfs/dbraw/zinc/72/81/38/416728138.db2.gz JUKYKNFQRSTSCX-QIUOEGRZSA-N 0 2 318.317 0.871 20 0 DCADLN CCO[C@H]1COCC[C@H]1NS(=O)(=O)NCC(F)(F)F ZINC000443053568 416729819 /nfs/dbraw/zinc/72/98/19/416729819.db2.gz UYBDVCCWBOWLSC-SFYZADRCSA-N 0 2 306.306 0.167 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2C[C@@H](C)CC(C)(C)C2)n1 ZINC000557631051 416740916 /nfs/dbraw/zinc/74/09/16/416740916.db2.gz BUGHJFVKIFKINE-LBPRGKRZSA-N 0 2 322.457 0.812 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2cnccc2C)cn1 ZINC000443374904 416757731 /nfs/dbraw/zinc/75/77/31/416757731.db2.gz QMNUARGZJVAYGB-UHFFFAOYSA-N 0 2 310.335 0.560 20 0 DCADLN CCS(=O)(=O)c1ccccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000443507551 416763749 /nfs/dbraw/zinc/76/37/49/416763749.db2.gz BOEBGBLFBCEFGX-UHFFFAOYSA-N 0 2 310.335 0.234 20 0 DCADLN CC(C)(C)C(=O)Nc1nccnc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000443522486 416766728 /nfs/dbraw/zinc/76/67/28/416766728.db2.gz MHDLKUCMPRCJJD-UHFFFAOYSA-N 0 2 319.325 0.215 20 0 DCADLN CO[C@@H](C)c1nsc(NC[C@@H](CO)Cc2cnn(C)c2)n1 ZINC000641646424 416960287 /nfs/dbraw/zinc/96/02/87/416960287.db2.gz HSJJGWIRVGHLDW-ONGXEEELSA-N 0 2 311.411 0.664 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@H](O)C[NH2+]CCNC(=O)C(C)(C)C)C[C@H](C)O1 ZINC000521227540 416998779 /nfs/dbraw/zinc/99/87/79/416998779.db2.gz OYXNYWZCXKVZSB-HZSPNIEDSA-N 0 2 315.458 0.208 20 0 DCADLN CCC(=O)N1CC[C@@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000565660559 417002627 /nfs/dbraw/zinc/00/26/27/417002627.db2.gz LHPAKWUJNPUESV-WDEREUQCSA-N 0 2 321.381 0.475 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1CCC(=O)c2cccn21 ZINC000448316099 417070603 /nfs/dbraw/zinc/07/06/03/417070603.db2.gz OQVHBIFABRQYAB-JTQLQIEISA-N 0 2 303.322 0.578 20 0 DCADLN CC(=O)c1cn(CC(=O)N=c2nc(C(C)(C)C)[nH]s2)nn1 ZINC000448896402 417104466 /nfs/dbraw/zinc/10/44/66/417104466.db2.gz QJJJYTBXOMFHGQ-UHFFFAOYSA-N 0 2 308.367 0.690 20 0 DCADLN CN=c1[nH]nc(CC(=O)N=c2cc(CCC(C)C)[nH][nH]2)s1 ZINC000616820849 417119103 /nfs/dbraw/zinc/11/91/03/417119103.db2.gz XNNNDJASHSPDSB-UHFFFAOYSA-N 0 2 308.411 0.917 20 0 DCADLN CC(C)[NH+]1CCN([C@@H]2CC[N@H+](Cc3cc(=O)c(O)co3)C2)CC1 ZINC000525172901 417126969 /nfs/dbraw/zinc/12/69/69/417126969.db2.gz OPDWVIUZOFQJNJ-CQSZACIVSA-N 0 2 321.421 0.946 20 0 DCADLN Cc1cc(C)cc(OCCNC(=O)N2CC[N@@H+](C)C[C@@H]2C[NH3+])c1 ZINC000568974645 417198345 /nfs/dbraw/zinc/19/83/45/417198345.db2.gz KEPQUDRAIABBAH-HNNXBMFYSA-N 0 2 320.437 0.967 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)S(=O)(=O)c1nc2ccccc2[nH]1 ZINC000414208494 417266539 /nfs/dbraw/zinc/26/65/39/417266539.db2.gz LRRMNDCTOUZVOV-QMMMGPOBSA-N 0 2 324.362 0.571 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)Cc1cn2ccccc2[nH+]1 ZINC000643852735 417399262 /nfs/dbraw/zinc/39/92/62/417399262.db2.gz KJOYCTPOOSRQQO-MRXNPFEDSA-N 0 2 317.345 0.969 20 0 DCADLN CCn1cc([C@@H](C)NS(=O)(=O)NCC(F)(F)F)cn1 ZINC000452006956 417431551 /nfs/dbraw/zinc/43/15/51/417431551.db2.gz PGOBHSBESXMXGW-SSDOTTSWSA-N 0 2 300.306 0.950 20 0 DCADLN COC(=O)[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C1CC1 ZINC000439103879 287381406 /nfs/dbraw/zinc/38/14/06/287381406.db2.gz XWEMVZCTUIXUOE-LLVKDONJSA-N 0 2 317.301 0.711 20 0 DCADLN COc1cccc2c1[nH+]cc(C(=O)[O-])c2N1C[C@H](O)[C@H](CO)C1 ZINC000574991890 417498980 /nfs/dbraw/zinc/49/89/80/417498980.db2.gz NPGOQCMUSDDESG-CABZTGNLSA-N 0 2 318.329 0.731 20 0 DCADLN C[C@H]1CCN(C(=O)NCCOCC(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000635523010 417688341 /nfs/dbraw/zinc/68/83/41/417688341.db2.gz IOBJCLHHYFMCQL-NWDGAFQWSA-N 0 2 310.354 0.577 20 0 DCADLN CC(C)Nc1nc(NCCO)nc(NC[C@@H](C)CC(F)F)[nH+]1 ZINC000645306291 417728209 /nfs/dbraw/zinc/72/82/09/417728209.db2.gz FZUPKXPVSMHQFQ-VIFPVBQESA-N 0 2 318.372 0.065 20 0 DCADLN O=C(N=c1[n-]nc(C2CC2)s1)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000652049087 417839587 /nfs/dbraw/zinc/83/95/87/417839587.db2.gz BDGWQZCQKHJCRV-PHIMTYICSA-N 0 2 323.422 0.822 20 0 DCADLN O=C(N=c1[n-]nc(C2CC2)s1)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000652049087 417839595 /nfs/dbraw/zinc/83/95/95/417839595.db2.gz BDGWQZCQKHJCRV-PHIMTYICSA-N 0 2 323.422 0.822 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)OCCCO2 ZINC000629284808 417771732 /nfs/dbraw/zinc/77/17/32/417771732.db2.gz LQZSHBDRLFRNKG-UHFFFAOYSA-N 0 2 310.335 0.777 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1CCC(OC[C@H]2CCCO2)CC1 ZINC000645692448 417777633 /nfs/dbraw/zinc/77/76/33/417777633.db2.gz XMMOIAAOFJXWMN-HTAVTVPLSA-N 0 2 324.381 0.881 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cc(C)cs2)[nH]1 ZINC000657104521 417811577 /nfs/dbraw/zinc/81/15/77/417811577.db2.gz NRDYQJMVCLAEFQ-UHFFFAOYSA-N 0 2 302.381 0.800 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2nc(C)c(C)s2)[nH]1 ZINC000657104460 417811845 /nfs/dbraw/zinc/81/18/45/417811845.db2.gz QBIPTYHWVUNHJX-UHFFFAOYSA-N 0 2 317.396 0.503 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cccc(C)c2F)[nH]1 ZINC000657103938 417812393 /nfs/dbraw/zinc/81/23/93/417812393.db2.gz KDDLYUGVIPABIR-UHFFFAOYSA-N 0 2 314.342 0.877 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N2CCCC[C@@H]2C)[nH]1 ZINC000657104467 417812658 /nfs/dbraw/zinc/81/26/58/417812658.db2.gz QIRHXSWIJLMOHJ-VIFPVBQESA-N 0 2 303.388 0.160 20 0 DCADLN COc1cncc(N2CCN(C(=O)c3n[nH]c(C)c3O)CC2)c1 ZINC000636276497 417920911 /nfs/dbraw/zinc/92/09/11/417920911.db2.gz ULUQPYGTEFWAHE-UHFFFAOYSA-N 0 2 317.349 0.790 20 0 DCADLN CC(C)c1nc(N2C[C@@H](C)O[C@@H](C(=O)[O-])C2)nc(N(C)C)[nH+]1 ZINC000652536936 417928631 /nfs/dbraw/zinc/92/86/31/417928631.db2.gz SAGAUYPQQVUITR-NXEZZACHSA-N 0 2 309.370 0.739 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(c1cc(NCC3CC3)[nH+]cn1)C2 ZINC000662883645 417937211 /nfs/dbraw/zinc/93/72/11/417937211.db2.gz NVPVCPSSEXKKMX-IAQYHMDHSA-N 0 2 304.350 0.836 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(c1cc(NCC3CC3)nc[nH+]1)C2 ZINC000662883645 417937217 /nfs/dbraw/zinc/93/72/17/417937217.db2.gz NVPVCPSSEXKKMX-IAQYHMDHSA-N 0 2 304.350 0.836 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(c1cc(-n3cc[nH+]c3)ncn1)C2 ZINC000662886021 417938774 /nfs/dbraw/zinc/93/87/74/417938774.db2.gz RMWQKHZASCRXHK-QMTHXVAHSA-N 0 2 301.306 0.200 20 0 DCADLN COc1ccc(CNC(=O)[C@@H]2CC[C@H](C(=O)[O-])[N@@H+]2C2CC2)nc1 ZINC000663226250 418002475 /nfs/dbraw/zinc/00/24/75/418002475.db2.gz JNHXARJMSBUKFV-UONOGXRCSA-N 0 2 319.361 0.786 20 0 DCADLN COc1ccc(CNC(=O)[C@@H]2CC[C@H](C(=O)[O-])[N@H+]2C2CC2)nc1 ZINC000663226250 418002479 /nfs/dbraw/zinc/00/24/79/418002479.db2.gz JNHXARJMSBUKFV-UONOGXRCSA-N 0 2 319.361 0.786 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)C[C@@H](OC)C(C)C)nc1 ZINC000647274504 418005337 /nfs/dbraw/zinc/00/53/37/418005337.db2.gz VVDFBXUPRQEFFC-LLVKDONJSA-N 0 2 315.395 0.854 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CN(C(=O)N2CCSCC2)C[C@H]1C(=O)[O-] ZINC000647625598 418034542 /nfs/dbraw/zinc/03/45/42/418034542.db2.gz RNJKYXXOIRQEOB-GHMZBOCLSA-N 0 2 324.406 0.689 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000663108427 417991279 /nfs/dbraw/zinc/99/12/79/417991279.db2.gz SPMXZROAGQACKB-OAHLLOKOSA-N 0 2 323.349 0.024 20 0 DCADLN O=C(NCCN1CCOCC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665132225 418179209 /nfs/dbraw/zinc/17/92/09/418179209.db2.gz SMRMUVHHMYMYJE-UHFFFAOYSA-N 0 2 318.333 0.092 20 0 DCADLN O=C(NC/C=C/CO)NCc1nnc(COc2ccccc2)[nH]1 ZINC000664699032 418146253 /nfs/dbraw/zinc/14/62/53/418146253.db2.gz LCNHVQFQEVKOOE-SNAWJCMRSA-N 0 2 317.349 0.731 20 0 DCADLN O=C(c1cnc2[nH]cnc2c1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000648888023 418170431 /nfs/dbraw/zinc/17/04/31/418170431.db2.gz PGYBUKUYEUBOFG-UHFFFAOYSA-N 0 2 313.321 0.801 20 0 DCADLN Cc1ncc(C[NH+]2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)s1 ZINC000649453615 418254375 /nfs/dbraw/zinc/25/43/75/418254375.db2.gz UYKCLKNIQYDXPV-VXGBXAGGSA-N 0 2 309.391 0.816 20 0 DCADLN CO[C@@H]1C[C@@H](CC(=O)[O-])N(c2cc(NC[C@@H](C)O)[nH+]cn2)C1 ZINC000649406822 418250957 /nfs/dbraw/zinc/25/09/57/418250957.db2.gz REPQZKKGSWYGFS-OUAUKWLOSA-N 0 2 310.354 0.338 20 0 DCADLN CO[C@@H]1C[C@@H](CC(=O)[O-])N(c2cc(NC[C@@H](C)O)nc[nH+]2)C1 ZINC000649406822 418250958 /nfs/dbraw/zinc/25/09/58/418250958.db2.gz REPQZKKGSWYGFS-OUAUKWLOSA-N 0 2 310.354 0.338 20 0 DCADLN CCCOc1ccc(C(=O)N=c2cc(C(N)=O)[nH][nH]2)c(OC)c1 ZINC000649178867 418206227 /nfs/dbraw/zinc/20/62/27/418206227.db2.gz SWZNXPHZNBVHJM-UHFFFAOYSA-N 0 2 318.333 0.980 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C1(C(N)=O)CC1 ZINC000655050517 418220666 /nfs/dbraw/zinc/22/06/66/418220666.db2.gz BZSHTUWSASTSAC-UHFFFAOYSA-N 0 2 301.306 0.690 20 0 DCADLN CCN1C[C@H](CNC(=O)N=c2ncn(C(C)(C)C)[nH]2)CC1=O ZINC000650123954 418294591 /nfs/dbraw/zinc/29/45/91/418294591.db2.gz BBYJCWASRGUTMU-JTQLQIEISA-N 0 2 308.386 0.445 20 0 DCADLN CC(C)[C@H](CNC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000655896919 418297966 /nfs/dbraw/zinc/29/79/66/418297966.db2.gz JLPYWIIYQVJNBA-STQMWFEESA-N 0 2 315.414 0.705 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](CCc2noc3ccccc32)CC1 ZINC000655926112 418301938 /nfs/dbraw/zinc/30/19/38/418301938.db2.gz CBRXNLMRAKEREM-UHFFFAOYSA-N 0 2 303.318 0.599 20 0 DCADLN CC(C)NS(=O)(=O)NCCNc1cc[nH+]c(C(=O)[O-])c1 ZINC000650769046 418323766 /nfs/dbraw/zinc/32/37/66/418323766.db2.gz NIDTXUYQZPMWIR-UHFFFAOYSA-N 0 2 302.356 0.024 20 0 DCADLN Cn1cc(N2CC[C@H](Nc3cc[nH+]c(C(=O)[O-])c3)C2=O)cn1 ZINC000650767324 418323874 /nfs/dbraw/zinc/32/38/74/418323874.db2.gz QNMSMNKOAKYITL-NSHDSACASA-N 0 2 301.306 0.153 20 0 DCADLN CCCCn1nnnc1CN1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC000649534946 418260126 /nfs/dbraw/zinc/26/01/26/418260126.db2.gz RHPUZXLTSNMOAM-SNVBAGLBSA-N 0 2 307.358 0.941 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)COc2cc(C)ccc2C)[nH]n1 ZINC000650814446 418326181 /nfs/dbraw/zinc/32/61/81/418326181.db2.gz PPIKIZHJOOPHAL-UHFFFAOYSA-N 0 2 314.345 0.892 20 0 DCADLN C[C@@H]1CCCN(C(=O)C(=O)N=c2nc3n([nH]2)CCCC3)CC1 ZINC000651622481 418398403 /nfs/dbraw/zinc/39/84/03/418398403.db2.gz GIHMDERGSWGGDE-LLVKDONJSA-N 0 2 305.382 0.623 20 0 DCADLN COc1cc(NS(=O)(=O)C[C@H](OC)[C@@H]2CCOC2)nn1C ZINC000656629937 418367313 /nfs/dbraw/zinc/36/73/13/418367313.db2.gz ZEKUEVGLSFAQDG-ZJUUUORDSA-N 0 2 319.383 0.222 20 0 DCADLN CCn1ccc(NS(=O)(=O)c2cc(C(=O)NC)n(C)c2)n1 ZINC000656634591 418367834 /nfs/dbraw/zinc/36/78/34/418367834.db2.gz ABJBMYLPGPJQCH-UHFFFAOYSA-N 0 2 311.367 0.402 20 0 DCADLN CO[C@H](CS(=O)(=O)NCC(F)(F)CO)C1CCCC1 ZINC000656962451 418393497 /nfs/dbraw/zinc/39/34/97/418393497.db2.gz CZXBMZDFANQVCC-SNVBAGLBSA-N 0 2 301.355 0.739 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)CCc2cccs2)[nH]1 ZINC000651593059 418395606 /nfs/dbraw/zinc/39/56/06/418395606.db2.gz XUMYGARYYQRHSU-UHFFFAOYSA-N 0 2 321.406 0.982 20 0 DCADLN CO[C@H](CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C(C)(C)C ZINC000651595990 418395873 /nfs/dbraw/zinc/39/58/73/418395873.db2.gz YCTZBYANNLEZTL-SNVBAGLBSA-N 0 2 311.386 0.397 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H](CO)CC(C)(C)C)[nH]1 ZINC000651630873 418399135 /nfs/dbraw/zinc/39/91/35/418399135.db2.gz SXSBOVWLCVRFRI-SNVBAGLBSA-N 0 2 311.386 0.133 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCSCC[C@@H]2C)[nH]1 ZINC000651749359 418408495 /nfs/dbraw/zinc/40/84/95/418408495.db2.gz SSZJZMSOWOQZAT-JTQLQIEISA-N 0 2 311.411 0.573 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCc3ccc(F)cc32)[nH]1 ZINC000651753944 418409113 /nfs/dbraw/zinc/40/91/13/418409113.db2.gz MSFRTHWHRYWDGI-UHFFFAOYSA-N 0 2 317.324 0.948 20 0 DCADLN CO[C@@H]1CCC[C@H]1CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651653951 418401123 /nfs/dbraw/zinc/40/11/23/418401123.db2.gz YJLXWEBNYOPGKG-WDEREUQCSA-N 0 2 309.370 0.151 20 0 DCADLN COCCO[C@@H]1CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000193893924 261143854 /nfs/dbraw/zinc/14/38/54/261143854.db2.gz SFYKLWUMTBBKAM-SECBINFHSA-N 0 2 320.333 0.511 20 0 DCADLN CN(C)C(=O)c1ccc(S(=O)(=O)Nc2ccnn2C)cc1 ZINC000194788518 261148189 /nfs/dbraw/zinc/14/81/89/261148189.db2.gz INTQGLOADRDVJG-UHFFFAOYSA-N 0 2 308.363 0.923 20 0 DCADLN Cc1nonc1NC(=O)c1ccc(S(=O)(=O)N(C)C)o1 ZINC000263929567 261167918 /nfs/dbraw/zinc/16/79/18/261167918.db2.gz PSVJPUKOYCPBRL-UHFFFAOYSA-N 0 2 300.296 0.474 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCCO[C@H]1CCOC1)c2=O ZINC000355863852 261371712 /nfs/dbraw/zinc/37/17/12/261371712.db2.gz VLXGIOTXBJFUAQ-JTQLQIEISA-N 0 2 320.349 0.599 20 0 DCADLN Cn1ccc2ccc(NC(=O)C(=O)NCc3n[nH]c(=O)[nH]3)cc21 ZINC000355981237 261380953 /nfs/dbraw/zinc/38/09/53/261380953.db2.gz CORAQGLWWXVFHB-UHFFFAOYSA-N 0 2 314.305 0.257 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cc(F)cc(Cl)c1 ZINC000355982213 261381385 /nfs/dbraw/zinc/38/13/85/261381385.db2.gz DQBUPRSWQXNPAC-UHFFFAOYSA-N 0 2 313.676 0.558 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1ccc(C(=O)NC)cn1)OC ZINC000421252057 262392899 /nfs/dbraw/zinc/39/28/99/262392899.db2.gz RXBGNSVZRTWJNY-JTQLQIEISA-N 0 2 301.368 0.608 20 0 DCADLN CNC(=O)OCCOC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000497152270 287641928 /nfs/dbraw/zinc/64/19/28/287641928.db2.gz UOLWIHPTDRSLKD-UHFFFAOYSA-N 0 2 300.233 0.343 20 0 DCADLN CCN(Cc1cn(C)nn1)S(=O)(=O)NCC(F)(F)F ZINC000443039312 271694544 /nfs/dbraw/zinc/69/45/44/271694544.db2.gz QTNLAXYXSVNERT-UHFFFAOYSA-N 0 2 301.294 0.034 20 0 DCADLN CO[C@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C[C@@H]1OC ZINC000489241083 272058422 /nfs/dbraw/zinc/05/84/22/272058422.db2.gz PKHVEOODXDNVES-QWRGUYRKSA-N 0 2 306.322 0.160 20 0 DCADLN C[C@@](O)(CNC(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC000492655084 272140051 /nfs/dbraw/zinc/14/00/51/272140051.db2.gz YFIGACZPUVFCJB-IEXSPKMKSA-N 0 2 315.329 0.837 20 0 DCADLN CNC(=O)c1ccc(/C=C\C(=O)N2CC[N@H+](C)C[C@H]2C[NH3+])cc1 ZINC000493185543 272174159 /nfs/dbraw/zinc/17/41/59/272174159.db2.gz JADFTIGJTZYVQD-GTBONMDNSA-N 0 2 316.405 0.161 20 0 DCADLN COC(=O)Nc1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)cc1O ZINC000494401328 272220749 /nfs/dbraw/zinc/22/07/49/272220749.db2.gz ZNXGZDTZRYXARI-UHFFFAOYSA-N 0 2 308.250 0.751 20 0 DCADLN O=C(CN1CCCNC1=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000526946100 272595119 /nfs/dbraw/zinc/59/51/19/272595119.db2.gz GKXLAADISHSYHV-UHFFFAOYSA-N 0 2 317.305 0.384 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC2=NCC(=O)N2)=N1 ZINC000530748598 287757100 /nfs/dbraw/zinc/75/71/00/287757100.db2.gz KBFNQDGAQSELQP-UHFFFAOYSA-N 0 2 313.317 0.356 20 0 DCADLN COCCN(CCO)C(=O)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000539323621 287890032 /nfs/dbraw/zinc/89/00/32/287890032.db2.gz KGRGDCGZZVJQQR-CYBMUJFWSA-N 0 2 312.410 0.635 20 0 DCADLN CC(=O)N[C@H]1CC[C@H](C(F)(F)F)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC000352093490 278181743 /nfs/dbraw/zinc/18/17/43/278181743.db2.gz QOKDZGSQXCJXBH-JGVFFNPUSA-N 0 2 307.276 0.542 20 0 DCADLN O=c1cc(CN2CCOC[C@@H]2[C@H]2CCCO2)nc2cc[nH]n21 ZINC000552138062 288266038 /nfs/dbraw/zinc/26/60/38/288266038.db2.gz NHPSRIALTBKPJE-CHWSQXEVSA-N 0 2 304.350 0.402 20 0 DCADLN CC(C)(CCNC(=O)C(=O)NCCCCn1cc[nH+]c1)C(=O)[O-] ZINC000562982208 288612129 /nfs/dbraw/zinc/61/21/29/288612129.db2.gz YDZUQGLFLCISHB-UHFFFAOYSA-N 0 2 324.381 0.397 20 0 DCADLN CN(CCCS(C)(=O)=O)Cc1nc(=O)c2sccc2[nH]1 ZINC000122759572 289633460 /nfs/dbraw/zinc/63/34/60/289633460.db2.gz SXGCZXFGSJRSDD-UHFFFAOYSA-N 0 2 315.420 0.851 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)CN(C)C(C)=O)[nH]1 ZINC000330875247 293336226 /nfs/dbraw/zinc/33/62/26/293336226.db2.gz IZHNAFDXFZDKCK-LLVKDONJSA-N 0 2 309.370 0.483 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N(C)CCC(N)=O)=N2)cc1 ZINC000286175117 295050703 /nfs/dbraw/zinc/05/07/03/295050703.db2.gz IKRXGVZUSIVJMD-UHFFFAOYSA-N 0 2 318.333 0.534 20 0 DCADLN C[C@]1(NC(=O)c2cc(F)c(O)c(F)c2)CCS(=O)(=O)C1 ZINC000177015304 300830574 /nfs/dbraw/zinc/83/05/74/300830574.db2.gz WCJZUAAETWGWAO-LBPRGKRZSA-N 0 2 305.302 0.977 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)Nc2nn[nH]n2)=N1 ZINC000176098677 301309609 /nfs/dbraw/zinc/30/96/09/301309609.db2.gz PEZHOLGJFLIGKZ-UHFFFAOYSA-N 0 2 303.257 0.791 20 0 DCADLN Cn1cc(N2CCN(c3cccc(C(=O)[O-])[nH+]3)CC2=O)cn1 ZINC000263383788 302914684 /nfs/dbraw/zinc/91/46/84/302914684.db2.gz DEYKULBECGUPMU-UHFFFAOYSA-N 0 2 301.306 0.367 20 0 DCADLN O=c1nc2[nH]cc(-c3nc(-c4ccncc4)no3)cc-2c(=O)[nH]1 ZINC000113740473 304813730 /nfs/dbraw/zinc/81/37/30/304813730.db2.gz SGAAQOWUGKADQQ-UHFFFAOYSA-N 0 2 308.257 0.723 20 0 DCADLN C[C@@]1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCCOC1 ZINC001742496854 1187994455 /nfs/dbraw/zinc/99/44/55/1187994455.db2.gz GQQMXAOIXSGNQF-LDYMZIIASA-N 0 2 314.279 0.936 20 0 DCADLN C[C@@]1(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)CCCOC1 ZINC001742496854 1187994466 /nfs/dbraw/zinc/99/44/66/1187994466.db2.gz GQQMXAOIXSGNQF-LDYMZIIASA-N 0 2 314.279 0.936 20 0 DCADLN CC(C)(C(N)=O)c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000158230236 518545940 /nfs/dbraw/zinc/54/59/40/518545940.db2.gz JTSRFTWOGVEXNZ-UHFFFAOYSA-N 0 2 308.363 0.973 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)C[C@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266889246 524093591 /nfs/dbraw/zinc/09/35/91/524093591.db2.gz LVISPAMTLPOMIC-APPZFPTMSA-N 0 2 320.349 0.881 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)C[C@@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266889249 524094454 /nfs/dbraw/zinc/09/44/54/524094454.db2.gz LVISPAMTLPOMIC-VXNVDRBHSA-N 0 2 320.349 0.881 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CCOCC1 ZINC000162170023 525371408 /nfs/dbraw/zinc/37/14/08/525371408.db2.gz YAWYFPWVPJZEDS-MRVPVSSYSA-N 0 2 318.333 0.156 20 0 DCADLN Cc1nn(C)c2ncc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc12 ZINC000137080957 545807916 /nfs/dbraw/zinc/80/79/16/545807916.db2.gz OWNAOUFEKXOLHQ-UHFFFAOYSA-N 0 2 302.298 0.010 20 0 DCADLN Cc1nc(=NC(=O)C(=O)N2CC[C@@H](OCCC(C)C)C2)[nH]n1C ZINC000340101833 546111169 /nfs/dbraw/zinc/11/11/69/546111169.db2.gz BPDQXCKKMFVKGH-GFCCVEGCSA-N 0 2 323.397 0.148 20 0 DCADLN COc1ncnc(N(C)C)c1NS(=O)(=O)c1cccn1C ZINC000414448164 546235404 /nfs/dbraw/zinc/23/54/04/546235404.db2.gz ZKBGYOJIELACDE-UHFFFAOYSA-N 0 2 311.367 0.691 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCC[C@H](CO)C1 ZINC000666191007 546435097 /nfs/dbraw/zinc/43/50/97/546435097.db2.gz TVBUKHNARGILGH-JTQLQIEISA-N 0 2 303.318 0.874 20 0 DCADLN Cc1ccc2[nH+]c(CNC(=O)N3CCO[C@H](C(=O)[O-])C3)cn2c1 ZINC000666520147 546479756 /nfs/dbraw/zinc/47/97/56/546479756.db2.gz LOLLPYMGFJDPRR-LBPRGKRZSA-N 0 2 318.333 0.638 20 0 DCADLN CO[C@H]1CC[C@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)C1 ZINC000666974287 546536964 /nfs/dbraw/zinc/53/69/64/546536964.db2.gz MPGMVBVNMRGRLK-BQBZGAKWSA-N 0 2 320.396 0.663 20 0 DCADLN CN1C(=O)CC[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000671854854 547299411 /nfs/dbraw/zinc/29/94/11/547299411.db2.gz CXQGKOCLHCLBMV-SNVBAGLBSA-N 0 2 301.306 0.737 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000675278977 547672101 /nfs/dbraw/zinc/67/21/01/547672101.db2.gz FOMWTQGOBWPAKY-QMMMGPOBSA-N 0 2 311.244 0.047 20 0 DCADLN CCc1[nH+]c2ccccc2n1CC(=O)N1CCOC[C@H]1C(=O)[O-] ZINC000675996493 547725628 /nfs/dbraw/zinc/72/56/28/547725628.db2.gz CJYYFWBLZKHWKB-ZDUSSCGKSA-N 0 2 317.345 0.911 20 0 DCADLN O=C(NCc1cc[nH+]c(N2CCCC2)c1)c1n[nH]c(=O)[n-]c1=O ZINC000677949604 547927194 /nfs/dbraw/zinc/92/71/94/547927194.db2.gz UDYUPGHJKXMXDL-UHFFFAOYSA-N 0 2 316.321 0.208 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCc3nn[nH]n3)=N2)cc1 ZINC000679408544 548053775 /nfs/dbraw/zinc/05/37/75/548053775.db2.gz IYGXWVHQPMYNOX-UHFFFAOYSA-N 0 2 315.293 0.030 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000679409336 548054548 /nfs/dbraw/zinc/05/45/48/548054548.db2.gz ZFWDAFNVBARPFR-RNCFNFMXSA-N 0 2 302.334 0.548 20 0 DCADLN CN(CC(=O)[O-])C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000679550228 548065899 /nfs/dbraw/zinc/06/58/99/548065899.db2.gz ORTYXYBUJOYMLS-UHFFFAOYSA-N 0 2 308.338 0.144 20 0 DCADLN COc1cc(F)c(S(=O)(=O)NCC(F)(F)CO)c(F)c1 ZINC000681015162 548193600 /nfs/dbraw/zinc/19/36/00/548193600.db2.gz DULFEUXMYUKOGE-UHFFFAOYSA-N 0 2 317.260 0.879 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)CCC(=O)N2 ZINC000682832781 548429507 /nfs/dbraw/zinc/42/95/07/548429507.db2.gz IQMWSUNAEGQMTL-UHFFFAOYSA-N 0 2 307.335 0.501 20 0 DCADLN CC[C@]1(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCOC1 ZINC000682979876 548444260 /nfs/dbraw/zinc/44/42/60/548444260.db2.gz WCLBEIINVOLFLW-AWEZNQCLSA-N 0 2 304.306 0.735 20 0 DCADLN CC(C)(CNC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000825735449 603650566 /nfs/dbraw/zinc/65/05/66/603650566.db2.gz YQXOVCHOUSCNEB-UHFFFAOYSA-N 0 2 301.387 0.507 20 0 DCADLN CC(C)(CNC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000825735449 603650567 /nfs/dbraw/zinc/65/05/67/603650567.db2.gz YQXOVCHOUSCNEB-UHFFFAOYSA-N 0 2 301.387 0.507 20 0 DCADLN COc1cccc(CN(C)C(=O)C[N@H+](C)CC(=O)[O-])c1OC ZINC000739946340 596907093 /nfs/dbraw/zinc/90/70/93/596907093.db2.gz KFCICOQAUREZQT-UHFFFAOYSA-N 0 2 310.350 0.679 20 0 DCADLN COc1cccc(CN(C)C(=O)C[N@@H+](C)CC(=O)[O-])c1OC ZINC000739946340 596907095 /nfs/dbraw/zinc/90/70/95/596907095.db2.gz KFCICOQAUREZQT-UHFFFAOYSA-N 0 2 310.350 0.679 20 0 DCADLN CC[C@H]1CN(C(=O)CSCC(=O)[O-])C[C@@H]1[NH+]1CCOCC1 ZINC000739267907 597127721 /nfs/dbraw/zinc/12/77/21/597127721.db2.gz REWHBDRBVZEVRD-RYUDHWBXSA-N 0 2 316.423 0.373 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000320923086 597213569 /nfs/dbraw/zinc/21/35/69/597213569.db2.gz VVBSSUWCOHEHMQ-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2ccccc2CC(=O)[O-])C1 ZINC000317765247 597322581 /nfs/dbraw/zinc/32/25/81/597322581.db2.gz UYVCPGYHYQYIGJ-LBPRGKRZSA-N 0 2 307.350 0.766 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2ccccc2CC(=O)[O-])C1 ZINC000317765247 597322584 /nfs/dbraw/zinc/32/25/84/597322584.db2.gz UYVCPGYHYQYIGJ-LBPRGKRZSA-N 0 2 307.350 0.766 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc(C(=O)[O-])c1 ZINC000820673071 598153719 /nfs/dbraw/zinc/15/37/19/598153719.db2.gz OCFOJTQVWZCVHD-LBPRGKRZSA-N 0 2 317.301 0.622 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc(C(=O)[O-])c1 ZINC000820673071 598153720 /nfs/dbraw/zinc/15/37/20/598153720.db2.gz OCFOJTQVWZCVHD-LBPRGKRZSA-N 0 2 317.301 0.622 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)NC[C@H](O)C[NH+]1CCOCC1 ZINC000821415361 598179166 /nfs/dbraw/zinc/17/91/66/598179166.db2.gz JZWIMWMKYGGWQM-NSHDSACASA-N 0 2 323.349 0.199 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC000736730479 598438482 /nfs/dbraw/zinc/43/84/82/598438482.db2.gz GEWVBGPCRUKCAB-UHFFFAOYSA-N 0 2 319.350 0.884 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)COc1ccccc1F ZINC000314124125 599777058 /nfs/dbraw/zinc/77/70/58/599777058.db2.gz MGPOSJSHNIHTLS-NSHDSACASA-N 0 2 307.281 0.740 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)COc1ccccc1F ZINC000314124125 599777061 /nfs/dbraw/zinc/77/70/61/599777061.db2.gz MGPOSJSHNIHTLS-NSHDSACASA-N 0 2 307.281 0.740 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)NCCc2ccc(C(=O)[O-])cc2)C1 ZINC000321147681 599805718 /nfs/dbraw/zinc/80/57/18/599805718.db2.gz FXCRZUXDBNPIGV-CQSZACIVSA-N 0 2 321.377 0.557 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)NCCc2ccc(C(=O)[O-])cc2)C1 ZINC000321147681 599805720 /nfs/dbraw/zinc/80/57/20/599805720.db2.gz FXCRZUXDBNPIGV-CQSZACIVSA-N 0 2 321.377 0.557 20 0 DCADLN O=C([O-])CNC(=O)CN1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000739809791 600011034 /nfs/dbraw/zinc/01/10/34/600011034.db2.gz ZXLBEBMRRCUBLJ-DAXSKMNVSA-N 0 2 317.389 0.518 20 0 DCADLN COC(=O)[C@@H]1CCC[N@@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737584075 600439693 /nfs/dbraw/zinc/43/96/93/600439693.db2.gz ITMVKKNANVWPJI-JSGCOSHPSA-N 0 2 323.345 0.762 20 0 DCADLN COC(=O)[C@@H]1CCC[N@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737584075 600439696 /nfs/dbraw/zinc/43/96/96/600439696.db2.gz ITMVKKNANVWPJI-JSGCOSHPSA-N 0 2 323.345 0.762 20 0 DCADLN O=C([O-])c1c(NC(=O)C[N@H+]2CC[C@H](O)C2)sc2c1CCC2 ZINC000738464384 600443444 /nfs/dbraw/zinc/44/34/44/600443444.db2.gz AWVPUBIVRLVSCR-QMMMGPOBSA-N 0 2 310.375 0.940 20 0 DCADLN O=C([O-])c1c(NC(=O)C[N@@H+]2CC[C@H](O)C2)sc2c1CCC2 ZINC000738464384 600443447 /nfs/dbraw/zinc/44/34/47/600443447.db2.gz AWVPUBIVRLVSCR-QMMMGPOBSA-N 0 2 310.375 0.940 20 0 DCADLN CN(CC[NH+]1CCOCC1)Cc1noc(CCCC(=O)[O-])n1 ZINC000737440637 600508883 /nfs/dbraw/zinc/50/88/83/600508883.db2.gz FWMPTIUCXIQPSI-UHFFFAOYSA-N 0 2 312.370 0.241 20 0 DCADLN CC[N@H+](C)[C@@H](C(=O)O[C@H](C)C(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608787 600682903 /nfs/dbraw/zinc/68/29/03/600682903.db2.gz POKCLMMGDRAMPB-BXUZGUMPSA-N 0 2 322.361 0.812 20 0 DCADLN CC[N@@H+](C)[C@@H](C(=O)O[C@H](C)C(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608787 600682905 /nfs/dbraw/zinc/68/29/05/600682905.db2.gz POKCLMMGDRAMPB-BXUZGUMPSA-N 0 2 322.361 0.812 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@H]2C[C@H]2C(=O)[O-])nc[nH+]1 ZINC000831042518 600932023 /nfs/dbraw/zinc/93/20/23/600932023.db2.gz WBDGSNBRFWVOAL-OUAUKWLOSA-N 0 2 319.365 0.324 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@H]2C[C@H]2C(=O)[O-])[nH+]cn1 ZINC000831042518 600932025 /nfs/dbraw/zinc/93/20/25/600932025.db2.gz WBDGSNBRFWVOAL-OUAUKWLOSA-N 0 2 319.365 0.324 20 0 DCADLN CC[N@H+](CC(=O)NC1(C(=O)[O-])CCCCC1)CC(=O)N(C)C ZINC000737067015 600955249 /nfs/dbraw/zinc/95/52/49/600955249.db2.gz FTMCDAWBRFPWAZ-UHFFFAOYSA-N 0 2 313.398 0.300 20 0 DCADLN CC[N@@H+](CC(=O)NC1(C(=O)[O-])CCCCC1)CC(=O)N(C)C ZINC000737067015 600955250 /nfs/dbraw/zinc/95/52/50/600955250.db2.gz FTMCDAWBRFPWAZ-UHFFFAOYSA-N 0 2 313.398 0.300 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCN2CCCC[C@H]2C1 ZINC000825977965 601170877 /nfs/dbraw/zinc/17/08/77/601170877.db2.gz TYKGVHWRGMMJKU-HIFRSBDPSA-N 0 2 323.437 0.868 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCN2CCCC[C@H]2C1 ZINC000825977965 601170879 /nfs/dbraw/zinc/17/08/79/601170879.db2.gz TYKGVHWRGMMJKU-HIFRSBDPSA-N 0 2 323.437 0.868 20 0 DCADLN C/C(=C\C[N@@H+]1CCO[C@H](CN(C)c2cccnn2)C1)C(=O)[O-] ZINC000825747670 601177939 /nfs/dbraw/zinc/17/79/39/601177939.db2.gz WPBJTWXXFCIPJI-YQJFHSMKSA-N 0 2 306.366 0.645 20 0 DCADLN C/C(=C\C[N@H+]1CCO[C@H](CN(C)c2cccnn2)C1)C(=O)[O-] ZINC000825747670 601177942 /nfs/dbraw/zinc/17/79/42/601177942.db2.gz WPBJTWXXFCIPJI-YQJFHSMKSA-N 0 2 306.366 0.645 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CCN(c2cccc[nH+]2)CC1)C(=O)[O-] ZINC000827545738 601272329 /nfs/dbraw/zinc/27/23/29/601272329.db2.gz DMOYFHLMILVHBC-NSHDSACASA-N 0 2 313.379 0.254 20 0 DCADLN C[C@H](NC(=O)c1ccnc(C(=O)[O-])c1)[C@H](C)[NH+]1CCOCC1 ZINC000827710244 601284415 /nfs/dbraw/zinc/28/44/15/601284415.db2.gz PBGRFOFXWURQDK-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H](C(=O)OCC(=O)NCC(=O)[O-])[N@H+](C)Cc1ccccc1 ZINC000826064664 601403285 /nfs/dbraw/zinc/40/32/85/601403285.db2.gz OBKXBTJBFXFUEY-LLVKDONJSA-N 0 2 308.334 0.251 20 0 DCADLN C[C@H](C(=O)OCC(=O)NCC(=O)[O-])[N@@H+](C)Cc1ccccc1 ZINC000826064664 601403286 /nfs/dbraw/zinc/40/32/86/601403286.db2.gz OBKXBTJBFXFUEY-LLVKDONJSA-N 0 2 308.334 0.251 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccccc2CC(=O)[O-])CC1 ZINC000818207405 601468273 /nfs/dbraw/zinc/46/82/73/601468273.db2.gz GPYNXGZOKNGIDM-UHFFFAOYSA-N 0 2 319.361 0.416 20 0 DCADLN C[C@@H]1CN(C(=O)NCC(C)(C)[NH+]2CCOCC2)C[C@@H]1C(=O)[O-] ZINC000828355572 601641142 /nfs/dbraw/zinc/64/11/42/601641142.db2.gz YRTVDZQAVCIGKA-NEPJUHHUSA-N 0 2 313.398 0.459 20 0 DCADLN Cc1cc(NC(=O)C[N@@H+]2CCSC[C@@H]2CC(=O)[O-])n(C)n1 ZINC000832893199 601886666 /nfs/dbraw/zinc/88/66/66/601886666.db2.gz RBPXNKYTGAARMX-JTQLQIEISA-N 0 2 312.395 0.559 20 0 DCADLN Cc1cc(NC(=O)C[N@H+]2CCSC[C@@H]2CC(=O)[O-])n(C)n1 ZINC000832893199 601886668 /nfs/dbraw/zinc/88/66/68/601886668.db2.gz RBPXNKYTGAARMX-JTQLQIEISA-N 0 2 312.395 0.559 20 0 DCADLN O=C([O-])c1cnc(NC[C@@]2([NH+]3CCOCC3)CCSC2)cn1 ZINC000833337203 601931888 /nfs/dbraw/zinc/93/18/88/601931888.db2.gz BCZJIDUCFSPERW-AWEZNQCLSA-N 0 2 324.406 0.795 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2CCN(C(=O)[O-])CC2)CCO1 ZINC000736735019 602153885 /nfs/dbraw/zinc/15/38/85/602153885.db2.gz CSMXWPJRSGRTSR-UHFFFAOYSA-N 0 2 314.386 0.102 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2CCN(C(=O)[O-])CC2)CCO1 ZINC000736735019 602153886 /nfs/dbraw/zinc/15/38/86/602153886.db2.gz CSMXWPJRSGRTSR-UHFFFAOYSA-N 0 2 314.386 0.102 20 0 DCADLN C[C@@H](CC(=O)[O-])C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000827317009 602155955 /nfs/dbraw/zinc/15/59/55/602155955.db2.gz HPCOTQWBOLXIDW-NSHDSACASA-N 0 2 310.354 0.265 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)CCNC(=O)[O-])CC1 ZINC000738374639 602758443 /nfs/dbraw/zinc/75/84/43/602758443.db2.gz BJDDBRDMBOMZOM-UHFFFAOYSA-N 0 2 323.397 0.339 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1CCCN1C(=O)[O-])[NH+]1CCN(C)CC1 ZINC000824922123 602927147 /nfs/dbraw/zinc/92/71/47/602927147.db2.gz LZRJLCJQGGUBPX-OLZOCXBDSA-N 0 2 312.414 0.271 20 0 DCADLN C[C@H](CNC(=O)CN(CC1CC1)C(=O)[O-])[NH+]1CCN(C)CC1 ZINC000824921059 602927284 /nfs/dbraw/zinc/92/72/84/602927284.db2.gz BUQZBRIJRRYBTG-GFCCVEGCSA-N 0 2 312.414 0.129 20 0 DCADLN COc1ccccc1CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000829619454 603504525 /nfs/dbraw/zinc/50/45/25/603504525.db2.gz QKUXMDHUTLYVCF-ZDUSSCGKSA-N 0 2 321.377 0.648 20 0 DCADLN COc1ccccc1CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000829619454 603504531 /nfs/dbraw/zinc/50/45/31/603504531.db2.gz QKUXMDHUTLYVCF-ZDUSSCGKSA-N 0 2 321.377 0.648 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@@H]1CC[C@@H](NC(=O)[O-])C1)[NH+]1CCOCC1 ZINC000825084806 603573663 /nfs/dbraw/zinc/57/36/63/603573663.db2.gz VUNJLTRSYTZNHG-UMSGYPCISA-N 0 2 313.398 0.648 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000831689414 603694545 /nfs/dbraw/zinc/69/45/45/603694545.db2.gz QRBBYLXEEYIHFM-ZIAGYGMSSA-N 0 2 319.361 0.232 20 0 DCADLN C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000826102132 603797247 /nfs/dbraw/zinc/79/72/47/603797247.db2.gz URJRCALEDLPRJC-GXSJLCMTSA-N 0 2 308.338 0.770 20 0 DCADLN C[C@H](CNC(=O)[O-])[N@H+](C)CC(=O)Nc1nnc(-c2ccco2)o1 ZINC000824968018 603920806 /nfs/dbraw/zinc/92/08/06/603920806.db2.gz YLBOMOGUBMQIQS-MRVPVSSYSA-N 0 2 323.309 0.856 20 0 DCADLN C[C@H](CNC(=O)[O-])[N@@H+](C)CC(=O)Nc1nnc(-c2ccco2)o1 ZINC000824968018 603920811 /nfs/dbraw/zinc/92/08/11/603920811.db2.gz YLBOMOGUBMQIQS-MRVPVSSYSA-N 0 2 323.309 0.856 20 0 DCADLN O=C([O-])N1CC(OCC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)C1 ZINC000831858881 604000698 /nfs/dbraw/zinc/00/06/98/604000698.db2.gz QAWNMVFEIYSDOH-LLVKDONJSA-N 0 2 308.338 0.425 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CCC[N@H+](Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC000825262935 604258938 /nfs/dbraw/zinc/25/89/38/604258938.db2.gz DCZWAYXLKWLXOD-QWRGUYRKSA-N 0 2 319.365 0.891 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CCC[N@@H+](Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC000825262935 604258939 /nfs/dbraw/zinc/25/89/39/604258939.db2.gz DCZWAYXLKWLXOD-QWRGUYRKSA-N 0 2 319.365 0.891 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@@H]2COCCN2C(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000825939733 604326375 /nfs/dbraw/zinc/32/63/75/604326375.db2.gz WVMCJODGWRRPJU-AGIUHOORSA-N 0 2 322.365 0.671 20 0 DCADLN CCO[C@H](CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])C(C)C ZINC000827372753 604401059 /nfs/dbraw/zinc/40/10/59/604401059.db2.gz SQEHASSYOUVVMO-CHWSQXEVSA-N 0 2 315.414 0.848 20 0 DCADLN CCO[C@H](CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])C(C)C ZINC000827372753 604401062 /nfs/dbraw/zinc/40/10/62/604401062.db2.gz SQEHASSYOUVVMO-CHWSQXEVSA-N 0 2 315.414 0.848 20 0 DCADLN C[C@H](CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])c1ccncc1 ZINC000824591552 604405386 /nfs/dbraw/zinc/40/53/86/604405386.db2.gz PJEGVBQKVOGASU-OCCSQVGLSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])c1ccncc1 ZINC000824591552 604405387 /nfs/dbraw/zinc/40/53/87/604405387.db2.gz PJEGVBQKVOGASU-OCCSQVGLSA-N 0 2 320.393 0.985 20 0 DCADLN COc1cccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000829537140 604407126 /nfs/dbraw/zinc/40/71/26/604407126.db2.gz GKKPTXDRJHRNPX-SNVBAGLBSA-N 0 2 308.338 0.114 20 0 DCADLN COc1cccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000829537140 604407127 /nfs/dbraw/zinc/40/71/27/604407127.db2.gz GKKPTXDRJHRNPX-SNVBAGLBSA-N 0 2 308.338 0.114 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(Cl)ccn2)[C@@H](CNC(=O)[O-])C1 ZINC000828506364 604410483 /nfs/dbraw/zinc/41/04/83/604410483.db2.gz GPEFPTNAXTYBAF-JTQLQIEISA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(Cl)ccn2)[C@@H](CNC(=O)[O-])C1 ZINC000828506364 604410485 /nfs/dbraw/zinc/41/04/85/604410485.db2.gz GPEFPTNAXTYBAF-JTQLQIEISA-N 0 2 312.757 0.759 20 0 DCADLN C[C@H](Oc1ccc(C[NH+]2CCN(CC(N)=O)CC2)cc1)C(=O)[O-] ZINC000833538512 604525716 /nfs/dbraw/zinc/52/57/16/604525716.db2.gz DATBYQCBINNORA-LBPRGKRZSA-N 0 2 321.377 0.141 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCCSCC(=O)[O-])C1 ZINC000833759820 604577140 /nfs/dbraw/zinc/57/71/40/604577140.db2.gz IWESLEZYHUVUKR-SNVBAGLBSA-N 0 2 312.395 0.737 20 0 DCADLN C[C@H](Oc1ccc(C[N@@H+]2CCO[C@H](C(N)=O)C2)cc1)C(=O)[O-] ZINC000833538741 604622884 /nfs/dbraw/zinc/62/28/84/604622884.db2.gz FOTMHVQXVVSSTQ-GWCFXTLKSA-N 0 2 308.334 0.225 20 0 DCADLN C[C@H](Oc1ccc(C[N@H+]2CCO[C@H](C(N)=O)C2)cc1)C(=O)[O-] ZINC000833538741 604622890 /nfs/dbraw/zinc/62/28/90/604622890.db2.gz FOTMHVQXVVSSTQ-GWCFXTLKSA-N 0 2 308.334 0.225 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000830781024 604654877 /nfs/dbraw/zinc/65/48/77/604654877.db2.gz CGICJGOZARURAN-UHFFFAOYSA-N 0 2 312.351 0.620 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN(Cc2ccc(C(=O)[O-])cc2F)CC1 ZINC000833419797 604761443 /nfs/dbraw/zinc/76/14/43/604761443.db2.gz WNTDPLSHTNRHBL-UHFFFAOYSA-N 0 2 323.368 0.905 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)C1 ZINC000832050474 604831077 /nfs/dbraw/zinc/83/10/77/604831077.db2.gz QGQSOPHKRSAEOR-NWDGAFQWSA-N 0 2 308.338 0.425 20 0 DCADLN CC(=O)N[C@@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C1CCCC1 ZINC000833394956 604899086 /nfs/dbraw/zinc/89/90/86/604899086.db2.gz IASSAZNILCYHNF-QWHCGFSZSA-N 0 2 322.365 0.217 20 0 DCADLN CC(=O)N[C@@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C1CCCC1 ZINC000833394956 604899090 /nfs/dbraw/zinc/89/90/90/604899090.db2.gz IASSAZNILCYHNF-QWHCGFSZSA-N 0 2 322.365 0.217 20 0 DCADLN C[C@H](C(=O)Nc1ncccn1)[N@@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000833412744 604918862 /nfs/dbraw/zinc/91/88/62/604918862.db2.gz XSMRSIBJBBSWOT-ZJUUUORDSA-N 0 2 310.379 0.696 20 0 DCADLN C[C@H](C(=O)Nc1ncccn1)[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000833412744 604918863 /nfs/dbraw/zinc/91/88/63/604918863.db2.gz XSMRSIBJBBSWOT-ZJUUUORDSA-N 0 2 310.379 0.696 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)o1 ZINC000832985975 604929889 /nfs/dbraw/zinc/92/98/89/604929889.db2.gz SJNSPDIHGUPHJD-UTUOFQBUSA-N 0 2 307.350 0.736 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)o1 ZINC000832985975 604929892 /nfs/dbraw/zinc/92/98/92/604929892.db2.gz SJNSPDIHGUPHJD-UTUOFQBUSA-N 0 2 307.350 0.736 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)n1 ZINC000833327721 604935574 /nfs/dbraw/zinc/93/55/74/604935574.db2.gz XGQRUABBNRDICL-LLVKDONJSA-N 0 2 305.334 0.327 20 0 DCADLN O=C(C[C@@H]1CN(C(=O)[O-])CCO1)NCCCNc1cccc[nH+]1 ZINC000831383875 604939454 /nfs/dbraw/zinc/93/94/54/604939454.db2.gz YASVIJBBOOIPMB-GFCCVEGCSA-N 0 2 322.365 0.769 20 0 DCADLN C[C@@]1(O)CCN(c2nc[nH+]c(N3CC[C@](C)(O)C3)c2C(=O)[O-])C1 ZINC000833571851 605004540 /nfs/dbraw/zinc/00/45/40/605004540.db2.gz MYUPPVKUHBWIEG-GASCZTMLSA-N 0 2 322.365 0.097 20 0 DCADLN C[C@@]1(O)CCN(c2[nH+]cnc(N3CC[C@](C)(O)C3)c2C(=O)[O-])C1 ZINC000833571851 605004542 /nfs/dbraw/zinc/00/45/42/605004542.db2.gz MYUPPVKUHBWIEG-GASCZTMLSA-N 0 2 322.365 0.097 20 0 DCADLN O=C([O-])N1CC(OCCC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC000834074859 605312593 /nfs/dbraw/zinc/31/25/93/605312593.db2.gz USOHSWSAXIFWIY-UHFFFAOYSA-N 0 2 322.365 0.885 20 0 DCADLN O=C([O-])N1CC(OCCC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC000834074859 605312598 /nfs/dbraw/zinc/31/25/98/605312598.db2.gz USOHSWSAXIFWIY-UHFFFAOYSA-N 0 2 322.365 0.885 20 0 DCADLN Cc1cnc(NC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])s1 ZINC000830634087 605453796 /nfs/dbraw/zinc/45/37/96/605453796.db2.gz JIMSWQSKKCOREG-VIFPVBQESA-N 0 2 313.383 0.867 20 0 DCADLN Cc1cnc(NC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])s1 ZINC000830634087 605453797 /nfs/dbraw/zinc/45/37/97/605453797.db2.gz JIMSWQSKKCOREG-VIFPVBQESA-N 0 2 313.383 0.867 20 0 DCADLN C[C@H](C(=O)Nc1ccc(C(N)=O)cc1)[N@H+](C)CCN(C)C(=O)[O-] ZINC000833789231 605525253 /nfs/dbraw/zinc/52/52/53/605525253.db2.gz IABKRCHMIHFXKC-SNVBAGLBSA-N 0 2 322.365 0.654 20 0 DCADLN C[C@H](C(=O)Nc1ccc(C(N)=O)cc1)[N@@H+](C)CCN(C)C(=O)[O-] ZINC000833789231 605525255 /nfs/dbraw/zinc/52/52/55/605525255.db2.gz IABKRCHMIHFXKC-SNVBAGLBSA-N 0 2 322.365 0.654 20 0 DCADLN O=C([O-])NC[C@H]1CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000834224810 605527391 /nfs/dbraw/zinc/52/73/91/605527391.db2.gz HBMQPPCXSRBKDL-LLVKDONJSA-N 0 2 315.333 0.997 20 0 DCADLN O=C([O-])N1CC[C@H]([NH+]2CCN(C(=O)c3ccccc3O)CC2)C1 ZINC000834084818 605585181 /nfs/dbraw/zinc/58/51/81/605585181.db2.gz PPOIJDSEJBERJI-LBPRGKRZSA-N 0 2 319.361 0.902 20 0 DCADLN O=C(C[C@H]1CSCCN1C(=O)[O-])N[C@@H]1CCc2[nH+]ccn2C1 ZINC000831408034 605638074 /nfs/dbraw/zinc/63/80/74/605638074.db2.gz KWGUAUDKXBAVJY-MNOVXSKESA-N 0 2 324.406 0.800 20 0 DCADLN O=C([O-])Nc1cccc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)c1 ZINC000834262149 605652906 /nfs/dbraw/zinc/65/29/06/605652906.db2.gz HRQJQEJWRRHDMB-ZDUSSCGKSA-N 0 2 304.350 0.506 20 0 DCADLN CC[C@@](C)(CNC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000833842757 605694689 /nfs/dbraw/zinc/69/46/89/605694689.db2.gz YCHKZEHJDQARCP-HNNXBMFYSA-N 0 2 315.414 0.897 20 0 DCADLN CC[C@@](C)(CNC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000833842757 605694690 /nfs/dbraw/zinc/69/46/90/605694690.db2.gz YCHKZEHJDQARCP-HNNXBMFYSA-N 0 2 315.414 0.897 20 0 DCADLN O=C([O-])N1CC(CNC(=O)NCc2cn3c([nH+]2)CCCC3)C1 ZINC000834047730 605734936 /nfs/dbraw/zinc/73/49/36/605734936.db2.gz HDWPKWBQBMVCQG-UHFFFAOYSA-N 0 2 307.354 0.628 20 0 DCADLN Cc1ccc(NC(=O)[C@@H]2CN(C(=O)[O-])CCO2)c(N(C)C)[nH+]1 ZINC000833905277 605829772 /nfs/dbraw/zinc/82/97/72/605829772.db2.gz MPJCGMCBWUFSOH-NSHDSACASA-N 0 2 308.338 0.773 20 0 DCADLN Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@H](CNC(=O)[O-])C1CC1)C2 ZINC000833917545 605856564 /nfs/dbraw/zinc/85/65/64/605856564.db2.gz ZWTPKTBVLOHTHF-ZWNOBZJWSA-N 0 2 306.366 0.985 20 0 DCADLN Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@H](CNC(=O)[O-])C1CC1)CC2 ZINC000833917545 605856566 /nfs/dbraw/zinc/85/65/66/605856566.db2.gz ZWTPKTBVLOHTHF-ZWNOBZJWSA-N 0 2 306.366 0.985 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)[C@H]2COCCN2C(=O)[O-])C1 ZINC000833954370 606081890 /nfs/dbraw/zinc/08/18/90/606081890.db2.gz HWBVYWAZVNYHSN-WDEREUQCSA-N 0 2 308.338 0.115 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)[C@@]2(F)CCN(C(=O)[O-])C2)C1 ZINC000833956221 606084087 /nfs/dbraw/zinc/08/40/87/606084087.db2.gz YEPFKOLEHPRHSV-IINYFYTJSA-N 0 2 310.329 0.828 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)C[C@@H]2CN(C(=O)[O-])CCO2)C1 ZINC000833955660 606084267 /nfs/dbraw/zinc/08/42/67/606084267.db2.gz TXOUUIHUCADXEA-NWDGAFQWSA-N 0 2 322.365 0.505 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2cccc(-c3nn[nH]n3)c2)o1 ZINC000822399814 606637072 /nfs/dbraw/zinc/63/70/72/606637072.db2.gz NEVDHNWVVMRJMJ-UHFFFAOYSA-N 0 2 306.307 0.964 20 0 DCADLN CC[C@H]1CC[C@H](C)N1c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000825195849 608237334 /nfs/dbraw/zinc/23/73/34/608237334.db2.gz ZFBOTFSWGZVIEY-IUCAKERBSA-N 0 2 319.369 0.031 20 0 DCADLN Cc1noc(C)c1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027747719 660688372 /nfs/dbraw/zinc/68/83/72/660688372.db2.gz SURFFLDLHRJCIZ-SNVBAGLBSA-N 0 2 320.353 0.510 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1cscn1 ZINC001027780983 660723109 /nfs/dbraw/zinc/72/31/09/660723109.db2.gz BNOQILGDGKPURZ-QMMMGPOBSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010126478 660907027 /nfs/dbraw/zinc/90/70/27/660907027.db2.gz URTSSBCDBJGTDM-VIFPVBQESA-N 0 2 306.326 0.119 20 0 DCADLN Cc1noc(C[NH2+]C[C@@H]2C[C@H](C)N(C(=O)Cc3ccn[nH]3)C2)n1 ZINC000980460287 660944825 /nfs/dbraw/zinc/94/48/25/660944825.db2.gz HVHVZDTVUIJZDY-JQWIXIFHSA-N 0 2 318.381 0.670 20 0 DCADLN Cc1ccc(CC(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000980997130 661059861 /nfs/dbraw/zinc/05/98/61/661059861.db2.gz RIQKCLSDRQEVMO-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN CC1(C)CC(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000981089000 661076572 /nfs/dbraw/zinc/07/65/72/661076572.db2.gz OJVGEKHOOOIRJM-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1nc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001038849556 661090747 /nfs/dbraw/zinc/09/07/47/661090747.db2.gz MYDCVFRHCNCFFY-SECBINFHSA-N 0 2 306.326 0.119 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981371326 661139360 /nfs/dbraw/zinc/13/93/60/661139360.db2.gz IYIUCCJYTSRIAY-YPMHNXCESA-N 0 2 323.397 0.360 20 0 DCADLN Cc1ncccc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981849724 661233001 /nfs/dbraw/zinc/23/30/01/661233001.db2.gz UFXKJFFQBBMAHY-UHFFFAOYSA-N 0 2 316.365 0.562 20 0 DCADLN CC[C@H](C[N@@H+]1CCCN(C(=O)C2=NC(=O)N(C)C2)CC1)OC ZINC000981880034 661240783 /nfs/dbraw/zinc/24/07/83/661240783.db2.gz RVJAUDHDFNXPCO-GFCCVEGCSA-N 0 2 310.398 0.699 20 0 DCADLN Cc1cc(C[N@H+]2CCC[C@H]2CNC(=O)c2nnc[nH]2)sn1 ZINC001028211473 661279853 /nfs/dbraw/zinc/27/98/53/661279853.db2.gz RIGSSTBPHXJBON-JTQLQIEISA-N 0 2 306.395 0.964 20 0 DCADLN Cc1cc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)c(C)[nH]1 ZINC000982041143 661282955 /nfs/dbraw/zinc/28/29/55/661282955.db2.gz KUDJVXUFPMFULT-UHFFFAOYSA-N 0 2 318.381 0.803 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccn1 ZINC001006786330 657533290 /nfs/dbraw/zinc/53/32/90/657533290.db2.gz CXFJFMLSYXTMGW-QWRGUYRKSA-N 0 2 319.369 0.049 20 0 DCADLN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC000811464559 657557440 /nfs/dbraw/zinc/55/74/40/657557440.db2.gz HAFNQFDUCUKTLA-DSYKOEDSSA-N 0 2 316.251 0.459 20 0 DCADLN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC000811464559 657557446 /nfs/dbraw/zinc/55/74/46/657557446.db2.gz HAFNQFDUCUKTLA-DSYKOEDSSA-N 0 2 316.251 0.459 20 0 DCADLN C[C@H](NC(=O)c1ccccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969221548 657591557 /nfs/dbraw/zinc/59/15/57/657591557.db2.gz FWEOAESJCKHIKM-VIFPVBQESA-N 0 2 319.340 0.900 20 0 DCADLN CCN(C(=O)c1ccccn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949563991 657636045 /nfs/dbraw/zinc/63/60/45/657636045.db2.gz YTBZCKJARPOFNX-UHFFFAOYSA-N 0 2 302.338 0.252 20 0 DCADLN C[C@H](NC(=O)c1ccsc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969265340 657639986 /nfs/dbraw/zinc/63/99/86/657639986.db2.gz DNTWPXCYPAAHIW-QMMMGPOBSA-N 0 2 307.379 0.822 20 0 DCADLN Cc1ccoc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969305880 657679991 /nfs/dbraw/zinc/67/99/91/657679991.db2.gz RVXLKCPHTHBUDD-SECBINFHSA-N 0 2 305.338 0.662 20 0 DCADLN Cc1ccoc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969305883 657680517 /nfs/dbraw/zinc/68/05/17/657680517.db2.gz RVXLKCPHTHBUDD-VIFPVBQESA-N 0 2 305.338 0.662 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC000969316340 657694728 /nfs/dbraw/zinc/69/47/28/657694728.db2.gz QQCMDVKWWBABKH-SNVBAGLBSA-N 0 2 316.365 0.464 20 0 DCADLN C[C@]12CSC[C@H]1CN(C(=O)Cn1[nH]cc3c(=O)ncnc1-3)C2 ZINC000891190035 657905044 /nfs/dbraw/zinc/90/50/44/657905044.db2.gz WGZQSNVDUVHHSL-OTYXRUKQSA-N 0 2 319.390 0.283 20 0 DCADLN Cc1conc1C[NH+]1CC([C@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000969500273 657937109 /nfs/dbraw/zinc/93/71/09/657937109.db2.gz KYMWDPLVZXILDT-VIFPVBQESA-N 0 2 319.365 0.970 20 0 DCADLN CC(C)(C)OC(=O)CCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742704562 657961025 /nfs/dbraw/zinc/96/10/25/657961025.db2.gz LANLPYPQXLNIDK-ZETCQYMHSA-N 0 2 301.368 0.391 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970872267 658005534 /nfs/dbraw/zinc/00/55/34/658005534.db2.gz MINVBNHFPURDLC-VIFPVBQESA-N 0 2 304.354 0.397 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)N[C@@H](C)C1C[NH+](CC(=O)NC)C1 ZINC000970930355 658089665 /nfs/dbraw/zinc/08/96/65/658089665.db2.gz YNQSNHQAEOPQRM-JSGCOSHPSA-N 0 2 310.442 0.043 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000263423550 658221124 /nfs/dbraw/zinc/22/11/24/658221124.db2.gz QHFBJROLVWCBTM-UHFFFAOYSA-N 0 2 307.354 0.056 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000263423550 658221129 /nfs/dbraw/zinc/22/11/29/658221129.db2.gz QHFBJROLVWCBTM-UHFFFAOYSA-N 0 2 307.354 0.056 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1c(F)cncc1F ZINC001038297677 658394471 /nfs/dbraw/zinc/39/44/71/658394471.db2.gz QDEXDUVCSLNJNC-ZETCQYMHSA-N 0 2 324.291 0.188 20 0 DCADLN Cn1ccc(C[NH+](C)[C@H]2CCN(C(=O)[C@H]3CCC[N@H+]3C)C2)n1 ZINC000971206090 658407488 /nfs/dbraw/zinc/40/74/88/658407488.db2.gz ZREKONYUHZIWKJ-LSDHHAIUSA-N 0 2 305.426 0.547 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N=S1(=O)CCOCC1 ZINC000891367133 658486678 /nfs/dbraw/zinc/48/66/78/658486678.db2.gz CCTQGKOXDOXANI-UHFFFAOYSA-N 0 2 316.301 0.468 20 0 DCADLN COC[C@H](C)[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972297492 658535277 /nfs/dbraw/zinc/53/52/77/658535277.db2.gz JYRNOBQZZMDABK-LRDDRELGSA-N 0 2 322.409 0.670 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cncs1 ZINC001024351154 658585944 /nfs/dbraw/zinc/58/59/44/658585944.db2.gz SYAHOBLZIRBCTN-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN Cc1cc[nH]c1C(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024422885 658609804 /nfs/dbraw/zinc/60/98/04/658609804.db2.gz TVQKLHWFYSRTDX-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN Cc1cc[nH]c1C(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024422885 658609809 /nfs/dbraw/zinc/60/98/09/658609809.db2.gz TVQKLHWFYSRTDX-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN C[C@H](O)C[N@H+]1CCCC[C@H]1CNC(=O)c1c[nH]cc2ncnc1-2 ZINC001024452583 658625334 /nfs/dbraw/zinc/62/53/34/658625334.db2.gz NNKIKLKCCAWYEJ-RYUDHWBXSA-N 0 2 317.393 0.923 20 0 DCADLN Cn1cncc1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024536712 658698008 /nfs/dbraw/zinc/69/80/08/658698008.db2.gz SJGWGZOOHYAMMQ-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN CN(Cc1nnnn1C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575323 658825256 /nfs/dbraw/zinc/82/52/56/658825256.db2.gz DEEAXPNEHSBYBS-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN CN(Cc1nnnn1C)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000971575323 658825266 /nfs/dbraw/zinc/82/52/66/658825266.db2.gz DEEAXPNEHSBYBS-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCC1(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970296927 658833138 /nfs/dbraw/zinc/83/31/38/658833138.db2.gz AUSNOZINNYNUJA-MWLCHTKSSA-N 0 2 307.398 0.883 20 0 DCADLN CN(C(=O)NCC(C)(C)[NH+]1CCOCC1)[C@@H]1CCC[N@H+](C)C1 ZINC000891512451 658900956 /nfs/dbraw/zinc/90/09/56/658900956.db2.gz PCOXJGTVHRMTSQ-CQSZACIVSA-N 0 2 312.458 0.833 20 0 DCADLN CCC[N@@H+]1CCC[C@@H]1C(=O)N[C@H]1CCC[NH+](CC(=O)N(C)C)C1 ZINC001007456955 659111430 /nfs/dbraw/zinc/11/14/30/659111430.db2.gz ICFHWUYCPQZHHB-LSDHHAIUSA-N 0 2 324.469 0.530 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccc(=O)[nH]c1 ZINC000999569668 665658244 /nfs/dbraw/zinc/65/82/44/665658244.db2.gz DYRCCFSUASBZPA-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccc(=O)[nH]c1 ZINC000999569668 665658245 /nfs/dbraw/zinc/65/82/45/665658245.db2.gz DYRCCFSUASBZPA-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN CC[C@@H](C(=O)N[C@@](C)(COC)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000909365651 659468427 /nfs/dbraw/zinc/46/84/27/659468427.db2.gz SPBPLRPDVQTXJE-XUJVJEKNSA-N 0 2 316.398 0.482 20 0 DCADLN CC[C@@H](C(=O)N[C@@](C)(COC)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000909365651 659468428 /nfs/dbraw/zinc/46/84/28/659468428.db2.gz SPBPLRPDVQTXJE-XUJVJEKNSA-N 0 2 316.398 0.482 20 0 DCADLN Cn1cncc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000995027809 665680192 /nfs/dbraw/zinc/68/01/92/665680192.db2.gz YRCQYLWMWLKGOP-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cncc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000995027809 665680194 /nfs/dbraw/zinc/68/01/94/665680194.db2.gz YRCQYLWMWLKGOP-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCOCC1 ZINC000974500983 659533834 /nfs/dbraw/zinc/53/38/34/659533834.db2.gz ODNWOWFKJYGUAO-NSHDSACASA-N 0 2 321.381 0.184 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCOCC1 ZINC000974500983 659533837 /nfs/dbraw/zinc/53/38/37/659533837.db2.gz ODNWOWFKJYGUAO-NSHDSACASA-N 0 2 321.381 0.184 20 0 DCADLN CCC[NH+]1CCC[C@H]1C(=O)N[C@H]1C[N@@H+](CC(N)=O)CC1(C)C ZINC000974662047 659606892 /nfs/dbraw/zinc/60/68/92/659606892.db2.gz ZQGGUJOCOOIJPR-STQMWFEESA-N 0 2 310.442 0.173 20 0 DCADLN O=C([O-])CNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000312003463 659808459 /nfs/dbraw/zinc/80/84/59/659808459.db2.gz HHUCSYKEVXWVMO-CYBMUJFWSA-N 0 2 307.350 0.271 20 0 DCADLN O=C([O-])CNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000312003463 659808464 /nfs/dbraw/zinc/80/84/64/659808464.db2.gz HHUCSYKEVXWVMO-CYBMUJFWSA-N 0 2 307.350 0.271 20 0 DCADLN Cn1nncc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000940233941 665726254 /nfs/dbraw/zinc/72/62/54/665726254.db2.gz DRNKWYIALCGRIB-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000940233941 665726256 /nfs/dbraw/zinc/72/62/56/665726256.db2.gz DRNKWYIALCGRIB-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1cnoc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028239230 661334381 /nfs/dbraw/zinc/33/43/81/661334381.db2.gz HRLSZPVGVFSRQH-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN CNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C[NH+]2CCC(C)CC2)CC1 ZINC000982705525 661631227 /nfs/dbraw/zinc/63/12/27/661631227.db2.gz CCAKABIEKKXVFR-HNNXBMFYSA-N 0 2 324.469 0.387 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]1 ZINC001038421602 661930275 /nfs/dbraw/zinc/93/02/75/661930275.db2.gz GGJKXCMCSXFWHT-SNVBAGLBSA-N 0 2 304.354 0.460 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029227037 662057484 /nfs/dbraw/zinc/05/74/84/662057484.db2.gz SAWAXPPMWCJLOZ-USZNOCQGSA-N 0 2 321.381 0.111 20 0 DCADLN O=C([C@H]1CCCOC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029237814 662063231 /nfs/dbraw/zinc/06/32/31/662063231.db2.gz GPXPMIIVDATJLV-SDDRHHMPSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@H]1CO[C@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)C1 ZINC001029399508 662139958 /nfs/dbraw/zinc/13/99/58/662139958.db2.gz QOSVFDJSRWXMHR-WYUUTHIRSA-N 0 2 321.381 0.111 20 0 DCADLN CC(C)[C@@H](F)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029431609 662174375 /nfs/dbraw/zinc/17/43/75/662174375.db2.gz RFHQOVYNCSPHQK-JFGNBEQYSA-N 0 2 311.361 0.680 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N(C)[C@H]1CCC[N@H+](C)C1 ZINC000896633313 662301342 /nfs/dbraw/zinc/30/13/42/662301342.db2.gz SPQVQUNSWJMLBZ-GJZGRUSLSA-N 0 2 312.458 0.833 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cn[nH]c2)ccc1S(N)(=O)=O ZINC000728184662 662364414 /nfs/dbraw/zinc/36/44/14/662364414.db2.gz KKNDQPIPHUHCCP-UHFFFAOYSA-N 0 2 316.364 0.166 20 0 DCADLN O=C([O-])[C@@H](CC(F)(F)F)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000909667059 662630767 /nfs/dbraw/zinc/63/07/67/662630767.db2.gz PYOTVCPNWNHWSY-HTQZYQBOSA-N 0 2 305.256 0.967 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC000937628830 662743461 /nfs/dbraw/zinc/74/34/61/662743461.db2.gz CUWMXACYGKZOON-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnccn1 ZINC000937628830 662743463 /nfs/dbraw/zinc/74/34/63/662743463.db2.gz CUWMXACYGKZOON-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(CN1CCN(C(=O)C(F)C(F)(F)F)CC1)NC1CC1 ZINC000763951920 668427061 /nfs/dbraw/zinc/42/70/61/668427061.db2.gz ISUWYRRMYACQEZ-JTQLQIEISA-N 0 2 311.279 0.310 20 0 DCADLN O=C(CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1)NC1CC1 ZINC000763951920 668427074 /nfs/dbraw/zinc/42/70/74/668427074.db2.gz ISUWYRRMYACQEZ-JTQLQIEISA-N 0 2 311.279 0.310 20 0 DCADLN CN(C(=O)CC1CC(F)(F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043126473 665906056 /nfs/dbraw/zinc/90/60/56/665906056.db2.gz QSICWDUPMJRTBV-UHFFFAOYSA-N 0 2 315.324 0.588 20 0 DCADLN Cc1nc(C[NH2+][C@H]2C[C@H](C)N(C(=O)CCc3c[nH]nn3)C2)co1 ZINC000989461140 662946472 /nfs/dbraw/zinc/94/64/72/662946472.db2.gz JEKPYQQCGQEARP-GWCFXTLKSA-N 0 2 318.381 0.813 20 0 DCADLN O=C(Cn1cccn1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990240829 663022393 /nfs/dbraw/zinc/02/23/93/663022393.db2.gz CFAOGNBTTFFVIP-VIFPVBQESA-N 0 2 308.235 0.111 20 0 DCADLN O=C(Cn1cccn1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990240829 663022394 /nfs/dbraw/zinc/02/23/94/663022394.db2.gz CFAOGNBTTFFVIP-VIFPVBQESA-N 0 2 308.235 0.111 20 0 DCADLN CS(=O)(=O)[N-]c1ccc(C(=O)N2CC([NH+]3CCCC3)C2)cn1 ZINC000898598753 663097514 /nfs/dbraw/zinc/09/75/14/663097514.db2.gz VERPGLCNUHEZIZ-UHFFFAOYSA-N 0 2 324.406 0.373 20 0 DCADLN CN(C)[C@H](C(=O)NCc1nc(O)cc(=O)[nH]1)c1ccccc1 ZINC000898750260 663106147 /nfs/dbraw/zinc/10/61/47/663106147.db2.gz GCLDHRJUOAPYSS-AWEZNQCLSA-N 0 2 302.334 0.807 20 0 DCADLN Cc1cccc(CO[N-]C(=O)C[N@@H+](C)CC(=O)NC(C)C)n1 ZINC000898936377 663114790 /nfs/dbraw/zinc/11/47/90/663114790.db2.gz RMNLWFOLELRLMQ-UHFFFAOYSA-N 0 2 308.382 0.394 20 0 DCADLN Cc1cccc(CO[N-]C(=O)C[N@H+](C)CC(=O)NC(C)C)n1 ZINC000898936377 663114791 /nfs/dbraw/zinc/11/47/91/663114791.db2.gz RMNLWFOLELRLMQ-UHFFFAOYSA-N 0 2 308.382 0.394 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cnn3c2C[C@@H](C)CC3)C(=O)N1C ZINC000899039367 663120216 /nfs/dbraw/zinc/12/02/16/663120216.db2.gz DIDVXUAHYSQNAQ-DTWKUNHWSA-N 0 2 305.338 0.393 20 0 DCADLN O=C(N[C@H]1CC[C@@H](C[NH2+]Cc2csnn2)C1)c1nnc[nH]1 ZINC000995128044 665936681 /nfs/dbraw/zinc/93/66/81/665936681.db2.gz COCMRPILVOUXSN-BDAKNGLRSA-N 0 2 307.383 0.345 20 0 DCADLN O=C(N[C@H]1CC[C@@H](C[NH2+]Cc2csnn2)C1)c1ncn[nH]1 ZINC000995128044 665936685 /nfs/dbraw/zinc/93/66/85/665936685.db2.gz COCMRPILVOUXSN-BDAKNGLRSA-N 0 2 307.383 0.345 20 0 DCADLN CN(C)c1nc(N2CCOC[C@@H]2C(=O)[O-])[nH+]c2ccccc21 ZINC000900946002 663294444 /nfs/dbraw/zinc/29/44/44/663294444.db2.gz NKTVMDKUXXVWAG-GFCCVEGCSA-N 0 2 302.334 0.986 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)Nc1ccn(CC(F)(F)F)n1 ZINC000901643015 663358541 /nfs/dbraw/zinc/35/85/41/663358541.db2.gz XAOLEAMDHOIXCV-UHFFFAOYSA-N 0 2 320.318 0.830 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000901798627 663368573 /nfs/dbraw/zinc/36/85/73/663368573.db2.gz RILHMXZKGRQTFI-CQSZACIVSA-N 0 2 322.361 0.309 20 0 DCADLN COc1cccc2c1OCC[C@H]2[NH2+]Cc1cn(CC(=O)[O-])nn1 ZINC000902204668 663395479 /nfs/dbraw/zinc/39/54/79/663395479.db2.gz VVQDQBXSXFIXHY-GFCCVEGCSA-N 0 2 318.333 0.985 20 0 DCADLN COc1ccccc1N1CC[C@H](ON=C(N)CN(C)C)C1=O ZINC000902613049 663422171 /nfs/dbraw/zinc/42/21/71/663422171.db2.gz PMVLEXXVCKNDGM-ZDUSSCGKSA-N 0 2 306.366 0.861 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]Cc2cnn(CC3CCC3)c2)nn1 ZINC000905771710 663580874 /nfs/dbraw/zinc/58/08/74/663580874.db2.gz WLJITWRFYWOWMW-UHFFFAOYSA-N 0 2 304.354 0.649 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1occc1Cl ZINC001031950361 665995833 /nfs/dbraw/zinc/99/58/33/665995833.db2.gz FFNMUTZZSMQNJN-UHFFFAOYSA-N 0 2 311.729 0.619 20 0 DCADLN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)NCCCc1cc(=O)[nH][nH]1 ZINC000908233115 663745179 /nfs/dbraw/zinc/74/51/79/663745179.db2.gz UHQFWZCLUFUCBW-YMTOWFKASA-N 0 2 303.366 0.848 20 0 DCADLN CC[C@](C)(NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000908747818 663767899 /nfs/dbraw/zinc/76/78/99/663767899.db2.gz QSWIPKHUPRZECO-AWEZNQCLSA-N 0 2 301.387 0.650 20 0 DCADLN C/C=C/C[C@@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913804 663774013 /nfs/dbraw/zinc/77/40/13/663774013.db2.gz IZJIGJQNROYSMI-ZYOFXKKJSA-N 0 2 313.398 0.816 20 0 DCADLN C/C=C/C[C@@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913804 663774014 /nfs/dbraw/zinc/77/40/14/663774014.db2.gz IZJIGJQNROYSMI-ZYOFXKKJSA-N 0 2 313.398 0.816 20 0 DCADLN CCCN(CC(=O)[O-])C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000908949486 663776016 /nfs/dbraw/zinc/77/60/16/663776016.db2.gz PANLUZKTCGPYLO-OLZOCXBDSA-N 0 2 314.382 0.189 20 0 DCADLN O=C([O-])C[C@@H]1CSCCN1C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000909009282 663777312 /nfs/dbraw/zinc/77/73/12/663777312.db2.gz HANYZEMBQPUWOB-CMPLNLGQSA-N 0 2 309.391 0.864 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000909083003 663780543 /nfs/dbraw/zinc/78/05/43/663780543.db2.gz SBILDIFJOSDYHQ-MNOVXSKESA-N 0 2 310.329 0.772 20 0 DCADLN O=C([O-])COc1ccc(CCNC(=O)Cn2cc[nH+]c2)cc1 ZINC000909302198 663793541 /nfs/dbraw/zinc/79/35/41/663793541.db2.gz JLYJRRARIIKVAF-UHFFFAOYSA-N 0 2 303.318 0.705 20 0 DCADLN O=C([O-])c1csc(CCNC(=O)[C@H]2CCn3cc[nH+]c3C2)n1 ZINC000909797025 663802456 /nfs/dbraw/zinc/80/24/56/663802456.db2.gz WSQYZGNANBUDIN-VIFPVBQESA-N 0 2 320.374 0.959 20 0 DCADLN COC1(C[C@H](NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C(=O)[O-])CCC1 ZINC000909894081 663817660 /nfs/dbraw/zinc/81/76/60/663817660.db2.gz QHGSBLLKSJKJMM-YPMHNXCESA-N 0 2 321.377 0.974 20 0 DCADLN O=C([O-])[C@H]1CSCCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000910148354 663849964 /nfs/dbraw/zinc/84/99/64/663849964.db2.gz ATNRADJIMZUVLG-GHMZBOCLSA-N 0 2 309.391 0.722 20 0 DCADLN C[C@]1(C(=O)[O-])CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CCO1 ZINC000910324185 663873171 /nfs/dbraw/zinc/87/31/71/663873171.db2.gz UGJQFEGZBSPZAL-OAHLLOKOSA-N 0 2 316.317 0.583 20 0 DCADLN C[C@@]1(C(=O)[O-])CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CCO1 ZINC000910324184 663873385 /nfs/dbraw/zinc/87/33/85/663873385.db2.gz UGJQFEGZBSPZAL-HNNXBMFYSA-N 0 2 316.317 0.583 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000910396231 663879068 /nfs/dbraw/zinc/87/90/68/663879068.db2.gz VMXADHNHOKYFAC-QJPTWQEYSA-N 0 2 306.366 0.737 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000910396231 663879070 /nfs/dbraw/zinc/87/90/70/663879070.db2.gz VMXADHNHOKYFAC-QJPTWQEYSA-N 0 2 306.366 0.737 20 0 DCADLN CC1(O)CCN(c2cc(N3CCC[C@@H]3C(=O)[O-])[nH+]cn2)CC1 ZINC000910394838 663879100 /nfs/dbraw/zinc/87/91/00/663879100.db2.gz CVUUQHGPEOLXGU-LLVKDONJSA-N 0 2 306.366 0.881 20 0 DCADLN CC1(O)CCN(c2cc(N3CCC[C@@H]3C(=O)[O-])nc[nH+]2)CC1 ZINC000910394838 663879103 /nfs/dbraw/zinc/87/91/03/663879103.db2.gz CVUUQHGPEOLXGU-LLVKDONJSA-N 0 2 306.366 0.881 20 0 DCADLN O=C([O-])C12CC(C1)CN2c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000910467246 663888140 /nfs/dbraw/zinc/88/81/40/663888140.db2.gz USGJPWVRZGDBED-OPGSHWCFSA-N 0 2 318.377 0.739 20 0 DCADLN O=C([O-])C12CC(C1)CN2c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000910467246 663888142 /nfs/dbraw/zinc/88/81/42/663888142.db2.gz USGJPWVRZGDBED-OPGSHWCFSA-N 0 2 318.377 0.739 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)[C@H]3COCC[N@@H+]3C3CCCC3)C[C@@H]21 ZINC000910850755 663944647 /nfs/dbraw/zinc/94/46/47/663944647.db2.gz IYAWZONCAROCBQ-LXTVHRRPSA-N 0 2 322.405 0.809 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)[C@H]3COCC[N@H+]3C3CCCC3)C[C@@H]21 ZINC000910850755 663944648 /nfs/dbraw/zinc/94/46/48/663944648.db2.gz IYAWZONCAROCBQ-LXTVHRRPSA-N 0 2 322.405 0.809 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(F)(F)F ZINC000911161113 663995240 /nfs/dbraw/zinc/99/52/40/663995240.db2.gz KGSBOMCFYAVNAZ-IONNQARKSA-N 0 2 305.256 0.967 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(F)(F)F ZINC000911161114 663995412 /nfs/dbraw/zinc/99/54/12/663995412.db2.gz KGSBOMCFYAVNAZ-VXNVDRBHSA-N 0 2 305.256 0.967 20 0 DCADLN CC(C)[C@H](O)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911168111 664000417 /nfs/dbraw/zinc/00/04/17/664000417.db2.gz LETLIFSBPGDLDF-ZDUSSCGKSA-N 0 2 307.350 0.445 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)c3cccnn3)CC2)[nH+]c1 ZINC000911169989 664000857 /nfs/dbraw/zinc/00/08/57/664000857.db2.gz MNCRDCMQXHFHDR-UHFFFAOYSA-N 0 2 313.317 0.532 20 0 DCADLN CC(C)OCC(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171747 664001044 /nfs/dbraw/zinc/00/10/44/664001044.db2.gz KUWQBMDGMDATQI-UHFFFAOYSA-N 0 2 307.350 0.853 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC000911256608 664016318 /nfs/dbraw/zinc/01/63/18/664016318.db2.gz BBSGPJOWAIBFML-UONOGXRCSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC000911256608 664016320 /nfs/dbraw/zinc/01/63/20/664016320.db2.gz BBSGPJOWAIBFML-UONOGXRCSA-N 0 2 324.352 0.742 20 0 DCADLN C[C@H](NC(=O)c1cc(C(=O)[O-])ccn1)[C@H](C)[NH+]1CCOCC1 ZINC000911351115 664034228 /nfs/dbraw/zinc/03/42/28/664034228.db2.gz AKLYZBFMRGLXHR-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)Nc1nccc(C2CC2)n1 ZINC000912563272 664185797 /nfs/dbraw/zinc/18/57/97/664185797.db2.gz VUPJHBDXYGGFJT-UHFFFAOYSA-N 0 2 323.334 0.665 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)Nc1ccc[n+]([O-])c1 ZINC000912549947 664186858 /nfs/dbraw/zinc/18/68/58/664186858.db2.gz ZUVGFIMCYHBEPW-VIFPVBQESA-N 0 2 305.256 0.962 20 0 DCADLN O=C(NCc1n[nH]c(CO)n1)C(F)(F)c1c(F)cccc1F ZINC000912942116 664248264 /nfs/dbraw/zinc/24/82/64/664248264.db2.gz FDZMYJOZPYLOOB-UHFFFAOYSA-N 0 2 318.230 0.983 20 0 DCADLN O=C(CCNc1nc(Cl)nc2[nH]cnc21)N1CCOCC1 ZINC000228604382 664568942 /nfs/dbraw/zinc/56/89/42/664568942.db2.gz CDEHWRSHKRNAMY-UHFFFAOYSA-N 0 2 310.745 0.667 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2ccccn2c1 ZINC001031042454 664770735 /nfs/dbraw/zinc/77/07/35/664770735.db2.gz FFGPPXWEOPGXIW-UHFFFAOYSA-N 0 2 312.333 0.377 20 0 DCADLN COc1ccccc1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730513050 664783411 /nfs/dbraw/zinc/78/34/11/664783411.db2.gz VCVHEDSHPMAFOX-UHFFFAOYSA-N 0 2 313.317 0.396 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(F)cc1F ZINC000730515331 664783722 /nfs/dbraw/zinc/78/37/22/664783722.db2.gz SNSPZMBQUJQXNP-UHFFFAOYSA-N 0 2 305.244 0.988 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]1c1ccc[nH]1 ZINC000730525791 664785284 /nfs/dbraw/zinc/78/52/84/664785284.db2.gz ZOUSNZVBWVHGFY-LBPRGKRZSA-N 0 2 312.333 0.763 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cccnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992971308 664787559 /nfs/dbraw/zinc/78/75/59/664787559.db2.gz XXIYWCDAVGVLLU-JQWIXIFHSA-N 0 2 316.365 0.688 20 0 DCADLN CN(Cc1cccc(O)c1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730590505 664795905 /nfs/dbraw/zinc/79/59/05/664795905.db2.gz ORADROXHGLZKRJ-UHFFFAOYSA-N 0 2 313.317 0.435 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccon2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993097385 664807742 /nfs/dbraw/zinc/80/77/42/664807742.db2.gz STMGGRINLQGMNZ-IUCAKERBSA-N 0 2 306.326 0.281 20 0 DCADLN Cc1ccc(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000732148479 664906135 /nfs/dbraw/zinc/90/61/35/664906135.db2.gz SHUIWIDVXMNHAW-UHFFFAOYSA-N 0 2 312.333 0.134 20 0 DCADLN CC(C)c1scnc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031240985 664933096 /nfs/dbraw/zinc/93/30/96/664933096.db2.gz LIBCBJAALOUIOI-UHFFFAOYSA-N 0 2 322.394 0.704 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031257824 664956637 /nfs/dbraw/zinc/95/66/37/664956637.db2.gz GHDAFAWVAONETO-SECBINFHSA-N 0 2 321.406 0.984 20 0 DCADLN CC(C)Cc1c[nH]c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031268835 664960724 /nfs/dbraw/zinc/96/07/24/664960724.db2.gz WUBCWGQFNOFBQH-UHFFFAOYSA-N 0 2 318.381 0.651 20 0 DCADLN Cc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)n1C ZINC001031547138 665193611 /nfs/dbraw/zinc/19/36/11/665193611.db2.gz GRMTUCYSHPYPSA-UHFFFAOYSA-N 0 2 304.354 0.019 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2C[NH+](Cc3ccns3)C2)c1[O-] ZINC001031615994 665232964 /nfs/dbraw/zinc/23/29/64/665232964.db2.gz JZUPYQVSCULLNK-UHFFFAOYSA-N 0 2 307.379 0.742 20 0 DCADLN Cc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC001031639124 665255141 /nfs/dbraw/zinc/25/51/41/665255141.db2.gz WADHWWBCDFFKPJ-UHFFFAOYSA-N 0 2 308.367 0.137 20 0 DCADLN CC[C@@H]1OCCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031721657 665329356 /nfs/dbraw/zinc/32/93/56/665329356.db2.gz HDHUCYZAVUXMTK-RYUDHWBXSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)CC[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032579059 665368543 /nfs/dbraw/zinc/36/85/43/665368543.db2.gz UTMHPTFWQBCMRM-DCAQKATOSA-N 0 2 305.382 0.732 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938486176 665370523 /nfs/dbraw/zinc/37/05/23/665370523.db2.gz RMRYKQLRCGEYEB-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938486176 665370525 /nfs/dbraw/zinc/37/05/25/665370525.db2.gz RMRYKQLRCGEYEB-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cnc(C2CC2)o1 ZINC001031739207 665392910 /nfs/dbraw/zinc/39/29/10/665392910.db2.gz BOGYAPQLIVXVSM-UHFFFAOYSA-N 0 2 318.337 0.238 20 0 DCADLN Cc1cc(C[NH+]2CCC(CNC(=O)c3nnc[nH]3)CC2)no1 ZINC001001952901 666114502 /nfs/dbraw/zinc/11/45/02/666114502.db2.gz NZBMKCBTSOWXAC-UHFFFAOYSA-N 0 2 304.354 0.743 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1=COCCC1 ZINC001002453374 666177400 /nfs/dbraw/zinc/17/74/00/666177400.db2.gz TVSODOYKJCZSCW-UHFFFAOYSA-N 0 2 307.354 0.285 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccc(F)cn1 ZINC001002647873 666201922 /nfs/dbraw/zinc/20/19/22/666201922.db2.gz SEXQXRZGGSAPBP-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN CO[C@H]1CCC[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003207104 666282750 /nfs/dbraw/zinc/28/27/50/666282750.db2.gz HMUOATBHNRSGTB-NEPJUHHUSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)cs1 ZINC001003496183 666318894 /nfs/dbraw/zinc/31/88/94/666318894.db2.gz VRXKBPADSINVGZ-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN CC[C@@H]1C[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001032110078 666332332 /nfs/dbraw/zinc/33/23/32/666332332.db2.gz DPCLZDKUMHXUSI-VXGBXAGGSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(NC1CC[NH+](CCn2cc(Cl)cn2)CC1)c1nc[nH]n1 ZINC001003813788 666366249 /nfs/dbraw/zinc/36/62/49/666366249.db2.gz LNGINVWLLKRPNM-UHFFFAOYSA-N 0 2 323.788 0.549 20 0 DCADLN CCc1cc(C)c(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001032131559 666367623 /nfs/dbraw/zinc/36/76/23/666367623.db2.gz YNCUOYIHFUEJMJ-UHFFFAOYSA-N 0 2 319.365 0.836 20 0 DCADLN Cc1noc(C)c1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032895853 666377735 /nfs/dbraw/zinc/37/77/35/666377735.db2.gz NBLNYIKWVLYFAS-SNVBAGLBSA-N 0 2 320.353 0.462 20 0 DCADLN CN(C(=O)C[C@@H]1CCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032919122 666388774 /nfs/dbraw/zinc/38/87/74/666388774.db2.gz LDVMJSXDKOTKSN-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN CN(C(=O)C[C@@H]1CCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032919122 666388776 /nfs/dbraw/zinc/38/87/76/666388776.db2.gz LDVMJSXDKOTKSN-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN Cc1ncsc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032933495 666400032 /nfs/dbraw/zinc/40/00/32/666400032.db2.gz YBPSJRRZIKLPKA-SECBINFHSA-N 0 2 322.394 0.622 20 0 DCADLN CN(C(=O)C1(C2CC2)CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033176608 666589980 /nfs/dbraw/zinc/58/99/80/666589980.db2.gz LSYFHGJWENMSNC-LLVKDONJSA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)C1(C2CC2)CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033176608 666589981 /nfs/dbraw/zinc/58/99/81/666589981.db2.gz LSYFHGJWENMSNC-LLVKDONJSA-N 0 2 305.382 0.733 20 0 DCADLN O=C(C=Cc1ccco1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032254449 666599911 /nfs/dbraw/zinc/59/99/11/666599911.db2.gz HAYHNISLMKWUHX-WZQADMAKSA-N 0 2 315.333 0.602 20 0 DCADLN Cc1ccccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032259471 666610328 /nfs/dbraw/zinc/61/03/28/666610328.db2.gz TXZPXMRTAWHVBX-RYUDHWBXSA-N 0 2 313.361 0.918 20 0 DCADLN CN(C(=O)c1ccoc1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005113059 666681591 /nfs/dbraw/zinc/68/15/91/666681591.db2.gz JGKPVJZVAGCMBD-UHFFFAOYSA-N 0 2 305.338 0.840 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001034087233 666914262 /nfs/dbraw/zinc/91/42/62/666914262.db2.gz HXLJLIKVXJGDHD-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccoc1 ZINC001034106937 666922861 /nfs/dbraw/zinc/92/28/61/666922861.db2.gz PTKIOYQKQNFCSU-NSHDSACASA-N 0 2 305.338 0.888 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccoc1 ZINC001034106937 666922862 /nfs/dbraw/zinc/92/28/62/666922862.db2.gz PTKIOYQKQNFCSU-NSHDSACASA-N 0 2 305.338 0.888 20 0 DCADLN CN(CCCO)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861529093 666939150 /nfs/dbraw/zinc/93/91/50/666939150.db2.gz GYMVERLXWUSJPS-UHFFFAOYSA-N 0 2 308.256 0.913 20 0 DCADLN CNC(=O)C[NH+]1CCCC[C@@H](NC(=O)C[N@@H+]2CCC[C@@H]2C)C1 ZINC001034398170 667013371 /nfs/dbraw/zinc/01/33/71/667013371.db2.gz MZBVYKJRYWEEPY-UONOGXRCSA-N 0 2 310.442 0.187 20 0 DCADLN C[C@]1(C(=O)N[C@@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001034466780 667031010 /nfs/dbraw/zinc/03/10/10/667031010.db2.gz IBTWREMRTBMLIK-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(C(=O)N[C@@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001034466780 667031016 /nfs/dbraw/zinc/03/10/16/667031016.db2.gz IBTWREMRTBMLIK-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC(Cc2ccco2)C1 ZINC000862863763 667087678 /nfs/dbraw/zinc/08/76/78/667087678.db2.gz BFKSVLAYOZEZRB-UHFFFAOYSA-N 0 2 313.317 0.365 20 0 DCADLN C[C@@H](CC(=O)OCc1ccccc1)NC(=O)c1nc(=O)[nH][nH]1 ZINC000119181069 667094081 /nfs/dbraw/zinc/09/40/81/667094081.db2.gz DQUKJTQCRBHEIN-VIFPVBQESA-N 0 2 304.306 0.350 20 0 DCADLN O=C([C@H]1CCCc2c[nH]nc21)N1C[C@@H]2C[C@H]1C[N@@H+]2CCCO ZINC001032405165 667179604 /nfs/dbraw/zinc/17/96/04/667179604.db2.gz CGUVAJVYLBPFOH-IHRRRGAJSA-N 0 2 304.394 0.497 20 0 DCADLN Cn1cc(CN2C[C@@H]3C[C@H]2CN3C(=O)C(F)C(F)(F)F)nn1 ZINC001032416841 667217321 /nfs/dbraw/zinc/21/73/21/667217321.db2.gz NJYBPFFKHGDGSB-LPEHRKFASA-N 0 2 321.278 0.501 20 0 DCADLN Cn1cc(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001032416841 667217322 /nfs/dbraw/zinc/21/73/22/667217322.db2.gz NJYBPFFKHGDGSB-LPEHRKFASA-N 0 2 321.278 0.501 20 0 DCADLN CCCn1cc[nH+]c1CCCN(C)C(=O)N=c1nn[n-]n1CC ZINC000888328626 667295420 /nfs/dbraw/zinc/29/54/20/667295420.db2.gz UNZYPDVDTSTOIM-UHFFFAOYSA-N 0 2 320.401 0.818 20 0 DCADLN CCc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)o1 ZINC001032481529 667305088 /nfs/dbraw/zinc/30/50/88/667305088.db2.gz OJQLAXUVYKIPCQ-UWVGGRQHSA-N 0 2 317.349 0.765 20 0 DCADLN CC1(C)[C@H]2[C@H](CCCN2C(=O)C[C@@H]2SC(=N)NC2=O)[C@@H]1O ZINC000869828637 667686331 /nfs/dbraw/zinc/68/63/31/667686331.db2.gz AVRUYLCBIKIEHM-HLFFCZDVSA-N 0 2 311.407 0.551 20 0 DCADLN CC1(NC(=O)c2ccc(CNC(=O)C(F)(F)F)o2)COC1 ZINC000870665335 667750546 /nfs/dbraw/zinc/75/05/46/667750546.db2.gz QTARCGKGUBESJC-UHFFFAOYSA-N 0 2 306.240 0.977 20 0 DCADLN O=C(CNC(=O)c1cccc(O)c1)NCCc1n[nH]c(=S)o1 ZINC000788148396 667751138 /nfs/dbraw/zinc/75/11/38/667751138.db2.gz PGZIAAXFSGHTEO-UHFFFAOYSA-N 0 2 322.346 0.153 20 0 DCADLN CO[C@H]1CC[C@@H](C)N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000870716553 667753443 /nfs/dbraw/zinc/75/34/43/667753443.db2.gz WFJUHXGDHQNKNR-ZJUUUORDSA-N 0 2 305.338 0.097 20 0 DCADLN CNC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1C ZINC000742537229 667793093 /nfs/dbraw/zinc/79/30/93/667793093.db2.gz IXYMPMYVKHLHNM-JTQLQIEISA-N 0 2 320.374 0.850 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2CCn3c4ccccc4nc32)S1 ZINC000742522765 667793171 /nfs/dbraw/zinc/79/31/71/667793171.db2.gz FCJUCHPOEHUPPX-SNVBAGLBSA-N 0 2 315.358 0.939 20 0 DCADLN Cc1noc([C@@H]2CCCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)n1 ZINC000742568228 667793578 /nfs/dbraw/zinc/79/35/78/667793578.db2.gz XZHWHLSIRPXGJN-BDAKNGLRSA-N 0 2 323.378 0.640 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOC[C@H]2c2ccco2)S1 ZINC000742684990 667797367 /nfs/dbraw/zinc/79/73/67/667797367.db2.gz LEIZTYAADVWTIU-WCBMZHEXSA-N 0 2 309.347 0.736 20 0 DCADLN CC(C)CC[C@](C)(O)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000743775458 667816226 /nfs/dbraw/zinc/81/62/26/667816226.db2.gz MSEAXTMUEBOHMY-ZANVPECISA-N 0 2 301.412 0.846 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCC(F)(F)C(F)F ZINC000744988241 667838179 /nfs/dbraw/zinc/83/81/79/667838179.db2.gz VEAFHFVLZCBSQF-UHFFFAOYSA-N 0 2 308.191 0.515 20 0 DCADLN COc1ccc(OC)c(CNC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000745421401 667847330 /nfs/dbraw/zinc/84/73/30/667847330.db2.gz KVPOAHAKBIUSQT-LLVKDONJSA-N 0 2 323.374 0.876 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)Nn1nnc2c1CCCC2 ZINC000887220469 667859690 /nfs/dbraw/zinc/85/96/90/667859690.db2.gz RKIDDQUWVDEFSJ-UHFFFAOYSA-N 0 2 319.287 0.684 20 0 DCADLN CC(C)c1nncn1CCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000746285056 667870428 /nfs/dbraw/zinc/87/04/28/667870428.db2.gz XGOTWYHGHTXTMB-MRVPVSSYSA-N 0 2 310.383 0.074 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCOCC1 ZINC000746362683 667873724 /nfs/dbraw/zinc/87/37/24/667873724.db2.gz VJNCPAPEFJQDDV-GFCCVEGCSA-N 0 2 319.365 0.393 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)N[C@H](CO)CC(F)F ZINC000872479766 667901292 /nfs/dbraw/zinc/90/12/92/667901292.db2.gz PQMBWSZOEPOBPC-VIIUKITBSA-N 0 2 322.399 0.733 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)NCc3cn[nH]n3)cc2[nH]c1=S ZINC000748057630 667917770 /nfs/dbraw/zinc/91/77/70/667917770.db2.gz PJYVOUFNHVCZCE-UHFFFAOYSA-N 0 2 316.346 0.270 20 0 DCADLN C[C@](O)(CNC(=O)C[C@H]1SC(=N)NC1=O)c1cccs1 ZINC000748556211 667932382 /nfs/dbraw/zinc/93/23/82/667932382.db2.gz RPYWMBVZNYHLSK-KRTXAFLBSA-N 0 2 313.404 0.628 20 0 DCADLN C[C@]1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCC[C@H]1O ZINC000748580299 667933123 /nfs/dbraw/zinc/93/31/23/667933123.db2.gz BNTVZKFSBDRQRK-IAQYHMDHSA-N 0 2 319.365 0.129 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)c2cnc([O-])n(C)c2=O)c1C ZINC000751573602 668004498 /nfs/dbraw/zinc/00/44/98/668004498.db2.gz QSTISXNPBVCYPM-UHFFFAOYSA-N 0 2 318.333 0.436 20 0 DCADLN Cc1nc(N2CCN(C(=O)N[C@H]3C[C@H](C(=O)[O-])C3)CC2)cc[nH+]1 ZINC000874428921 668186191 /nfs/dbraw/zinc/18/61/91/668186191.db2.gz VAMVMXYSHSRCPC-HAQNSBGRSA-N 0 2 319.365 0.480 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Oc1ccc2nccnc2c1 ZINC000760755342 668285573 /nfs/dbraw/zinc/28/55/73/668285573.db2.gz XHXVQKOHCYRAJA-UHFFFAOYSA-N 0 2 322.284 0.620 20 0 DCADLN Cc1nnc([C@H]2CCCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)[nH]1 ZINC000761062945 668302541 /nfs/dbraw/zinc/30/25/41/668302541.db2.gz AOYCFDVEQMKXAK-IUCAKERBSA-N 0 2 322.394 0.376 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000926780744 668429850 /nfs/dbraw/zinc/42/98/50/668429850.db2.gz GPBWMIKNZDPBJX-NILFDRSVSA-N 0 2 321.340 0.526 20 0 DCADLN Cc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc2n[nH]cc21 ZINC000767117371 668560200 /nfs/dbraw/zinc/56/02/00/668560200.db2.gz DUXUKXNRPGZMTO-UHFFFAOYSA-N 0 2 323.316 0.895 20 0 DCADLN Cn1ccc([C@H]2CCCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)n1 ZINC000928194956 668565371 /nfs/dbraw/zinc/56/53/71/668565371.db2.gz ZVODBTDJOZLRIE-GXSJLCMTSA-N 0 2 321.406 0.683 20 0 DCADLN Cn1ccc(C2CCN(C(=O)C[C@H]3SC(=N)NC3=O)CC2)n1 ZINC000928212154 668567134 /nfs/dbraw/zinc/56/71/34/668567134.db2.gz RGESIMJXBKJVIV-LLVKDONJSA-N 0 2 321.406 0.683 20 0 DCADLN COc1ccc(F)cc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000768712989 668619129 /nfs/dbraw/zinc/61/91/29/668619129.db2.gz CEECDLDVGRXFBP-UHFFFAOYSA-N 0 2 317.280 0.858 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)C[C@H](OC)C3)c1O ZINC000772122828 668764391 /nfs/dbraw/zinc/76/43/91/668764391.db2.gz HGOVTZYZEWYOCR-CHWSQXEVSA-N 0 2 318.329 0.788 20 0 DCADLN CC(C)[C@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000772491280 668774640 /nfs/dbraw/zinc/77/46/40/668774640.db2.gz SOBOUHNDDDCJNB-ZYHUDNBSSA-N 0 2 319.365 0.391 20 0 DCADLN NC(=O)N[C@H](CC(=O)OCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000774899560 668850320 /nfs/dbraw/zinc/85/03/20/668850320.db2.gz ZNFPIAZYQAZATM-SECBINFHSA-N 0 2 305.294 0.353 20 0 DCADLN Cn1cc(Br)cc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774910929 668851015 /nfs/dbraw/zinc/85/10/15/668851015.db2.gz ZUHOMUPFMQUDLS-UHFFFAOYSA-N 0 2 301.100 0.968 20 0 DCADLN CC(C)(C)CC(=O)N1CCC[C@@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774933243 668851854 /nfs/dbraw/zinc/85/18/54/668851854.db2.gz JEBFSRXNLJQCDF-SECBINFHSA-N 0 2 310.354 0.981 20 0 DCADLN CC(=O)N1c2ccccc2C[C@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774940503 668851941 /nfs/dbraw/zinc/85/19/41/668851941.db2.gz CPGITYPRWCECCD-NSHDSACASA-N 0 2 302.290 0.531 20 0 DCADLN COC(=O)COc1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)cc1 ZINC000774931118 668852053 /nfs/dbraw/zinc/85/20/53/668852053.db2.gz HITPZEXXDRIZGZ-UHFFFAOYSA-N 0 2 307.262 0.419 20 0 DCADLN Cc1[nH]nc(C(=O)OCc2n[nH]c(=O)[nH]2)c1Br ZINC000774972430 668853044 /nfs/dbraw/zinc/85/30/44/668853044.db2.gz LFJPXPTXACBJSC-UHFFFAOYSA-N 0 2 302.088 0.661 20 0 DCADLN COc1ccccc1CO[N-]C(=O)C(=O)NCCn1cc[nH+]c1 ZINC000775098705 668855963 /nfs/dbraw/zinc/85/59/63/668855963.db2.gz DGXZKUZBOJUAPO-UHFFFAOYSA-N 0 2 318.333 0.256 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cc(-c3cccs3)on2)C1=O ZINC000776240148 668873764 /nfs/dbraw/zinc/87/37/64/668873764.db2.gz BBEQQBIJJYHZMH-UHFFFAOYSA-N 0 2 306.303 0.942 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCCCCCO ZINC000776384154 668875890 /nfs/dbraw/zinc/87/58/90/668875890.db2.gz CXRQIKNGDKBHIV-UHFFFAOYSA-N 0 2 307.350 0.969 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cc(OCC(F)F)ccn1 ZINC000778180343 668894934 /nfs/dbraw/zinc/89/49/34/668894934.db2.gz SUJJQZFNXDKLKT-UHFFFAOYSA-N 0 2 300.221 0.906 20 0 DCADLN Cc1cnn(-c2c(C(=O)OCc3n[nH]c(=O)[nH]3)c(C)nn2C)c1 ZINC000778548858 668901248 /nfs/dbraw/zinc/90/12/48/668901248.db2.gz ZPIIBQYCMXYLOI-UHFFFAOYSA-N 0 2 317.309 0.403 20 0 DCADLN CC[C@H]1C(=O)N(CC)CCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000779339217 668910078 /nfs/dbraw/zinc/91/00/78/668910078.db2.gz KKRIHKSHWPJDDK-IUCAKERBSA-N 0 2 312.395 0.012 20 0 DCADLN CC(C)CN(CC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000780341484 668980367 /nfs/dbraw/zinc/98/03/67/668980367.db2.gz NFOFPSOBBSKTNA-UHFFFAOYSA-N 0 2 315.414 0.849 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000780694441 669005938 /nfs/dbraw/zinc/00/59/38/669005938.db2.gz BPJHIRKLKDALPW-RYUDHWBXSA-N 0 2 320.349 0.155 20 0 DCADLN C[N@@H+](Cc1n[nH]c(=O)[n-]1)C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000880080661 669156105 /nfs/dbraw/zinc/15/61/05/669156105.db2.gz ZPCHNOALATVROX-NSHDSACASA-N 0 2 303.322 0.968 20 0 DCADLN C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000880080661 669156109 /nfs/dbraw/zinc/15/61/09/669156109.db2.gz ZPCHNOALATVROX-NSHDSACASA-N 0 2 303.322 0.968 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC([C@H]3CCCO3)CC2)S1 ZINC000784617316 669215316 /nfs/dbraw/zinc/21/53/16/669215316.db2.gz PUVVUOQYDARSJK-MNOVXSKESA-N 0 2 311.407 0.960 20 0 DCADLN O=C(NCc1cn(CCCN2CCOC2=O)nn1)C(F)(F)F ZINC000881272078 669316074 /nfs/dbraw/zinc/31/60/74/669316074.db2.gz LMPYWXBOUKWTPX-UHFFFAOYSA-N 0 2 321.259 0.299 20 0 DCADLN COC(=O)[C@H](NC(=O)C(F)C(F)(F)F)C1CCOCC1 ZINC000881761392 669378172 /nfs/dbraw/zinc/37/81/72/669378172.db2.gz PTVRZKYMIHDCEU-HTQZYQBOSA-N 0 2 301.236 0.971 20 0 DCADLN COC(=O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CCOCC1 ZINC000881761392 669378181 /nfs/dbraw/zinc/37/81/81/669378181.db2.gz PTVRZKYMIHDCEU-HTQZYQBOSA-N 0 2 301.236 0.971 20 0 DCADLN Cn1ncnc1C1(O)CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000881952230 669427229 /nfs/dbraw/zinc/42/72/29/669427229.db2.gz GRAOPOQKVQATCI-UHFFFAOYSA-N 0 2 310.260 0.143 20 0 DCADLN CC(=O)Nc1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)cn1 ZINC000790081407 669536842 /nfs/dbraw/zinc/53/68/42/669536842.db2.gz NTOVZBICYOLHBE-SECBINFHSA-N 0 2 321.362 0.213 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC2(CO)CC3(CCC3)C2)S1 ZINC000790089773 669537403 /nfs/dbraw/zinc/53/74/03/669537403.db2.gz JWJJSVNYXNALGL-VIFPVBQESA-N 0 2 311.407 0.602 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[N@H+](CCC(=O)OC)CC(=O)[O-] ZINC000883414338 669681157 /nfs/dbraw/zinc/68/11/57/669681157.db2.gz UBTNUMOOLOROQR-JTQLQIEISA-N 0 2 302.371 0.629 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[N@@H+](CCC(=O)OC)CC(=O)[O-] ZINC000883414338 669681160 /nfs/dbraw/zinc/68/11/60/669681160.db2.gz UBTNUMOOLOROQR-JTQLQIEISA-N 0 2 302.371 0.629 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)N(C(C)C)C(C)C ZINC000883414175 669681501 /nfs/dbraw/zinc/68/15/01/669681501.db2.gz NZZFRTNUFXPNMX-LBPRGKRZSA-N 0 2 316.398 0.970 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)N(C(C)C)C(C)C ZINC000883414175 669681505 /nfs/dbraw/zinc/68/15/05/669681505.db2.gz NZZFRTNUFXPNMX-LBPRGKRZSA-N 0 2 316.398 0.970 20 0 DCADLN CON(C)C(=O)c1cc(F)ccc1NS(=O)(=O)N1CCC1 ZINC000795360926 669885195 /nfs/dbraw/zinc/88/51/95/669885195.db2.gz DAIVGDAONKMBQV-UHFFFAOYSA-N 0 2 317.342 0.822 20 0 DCADLN O=C(CC1CC(F)(F)C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948929467 670218209 /nfs/dbraw/zinc/21/82/09/670218209.db2.gz WDZGDPKJGCPQEZ-UHFFFAOYSA-N 0 2 315.324 0.590 20 0 DCADLN Cn1cnc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)c1 ZINC000949408713 670335950 /nfs/dbraw/zinc/33/59/50/670335950.db2.gz ZTQACZRDLBSZDX-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)NC[C@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)c1 ZINC000949408713 670335953 /nfs/dbraw/zinc/33/59/53/670335953.db2.gz ZTQACZRDLBSZDX-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000949764224 670425792 /nfs/dbraw/zinc/42/57/92/670425792.db2.gz QJSWIIRQTYUEKW-BQBZGAKWSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)NC[C@@H]2CCN2C(=O)[C@H](F)C(F)(F)F)n1 ZINC000949764224 670425793 /nfs/dbraw/zinc/42/57/93/670425793.db2.gz QJSWIIRQTYUEKW-BQBZGAKWSA-N 0 2 323.250 0.046 20 0 DCADLN COC1(CCOC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC000809048470 670438438 /nfs/dbraw/zinc/43/84/38/670438438.db2.gz PWAHAUBGUIFEKY-UHFFFAOYSA-N 0 2 306.322 0.574 20 0 DCADLN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000810113911 670476820 /nfs/dbraw/zinc/47/68/20/670476820.db2.gz HVTMQOMTTZBIOU-BQBZGAKWSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000810113911 670476823 /nfs/dbraw/zinc/47/68/23/670476823.db2.gz HVTMQOMTTZBIOU-BQBZGAKWSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC000810515786 670488219 /nfs/dbraw/zinc/48/82/19/670488219.db2.gz UWNUODCJWIZZTA-XLPZGREQSA-N 0 2 320.308 0.721 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC000810515786 670488220 /nfs/dbraw/zinc/48/82/20/670488220.db2.gz UWNUODCJWIZZTA-XLPZGREQSA-N 0 2 320.308 0.721 20 0 DCADLN COC(=O)C(C)(C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000811222454 670517774 /nfs/dbraw/zinc/51/77/74/670517774.db2.gz QPZBHAIFHHPNQQ-QMMMGPOBSA-N 0 2 314.279 0.983 20 0 DCADLN COC(=O)C(C)(C)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000811222454 670517777 /nfs/dbraw/zinc/51/77/77/670517777.db2.gz QPZBHAIFHHPNQQ-QMMMGPOBSA-N 0 2 314.279 0.983 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N(CCO)C2CCSCC2)S1 ZINC000848022727 670621695 /nfs/dbraw/zinc/62/16/95/670621695.db2.gz GITSIJYSRYBTJX-SECBINFHSA-N 0 2 317.436 0.259 20 0 DCADLN COCC1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCC1 ZINC000855217221 670687567 /nfs/dbraw/zinc/68/75/67/670687567.db2.gz RFCLMSBLDKYMHW-UHFFFAOYSA-N 0 2 305.338 0.147 20 0 DCADLN COC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C1CCOCC1 ZINC000855289928 670695817 /nfs/dbraw/zinc/69/58/17/670695817.db2.gz QOTNYYLVZHSFRU-UWVGGRQHSA-N 0 2 315.395 0.101 20 0 DCADLN C[C@@]1(C2CC2)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000855298200 670696640 /nfs/dbraw/zinc/69/66/40/670696640.db2.gz ZVVLYQSFTYWXIO-HNNXBMFYSA-N 0 2 317.349 0.099 20 0 DCADLN C[C@@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H]1CCO ZINC000855538985 670728985 /nfs/dbraw/zinc/72/89/85/670728985.db2.gz XBQCJNPWCAAADH-ZYHUDNBSSA-N 0 2 319.365 0.081 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2C[C@H](O)c3ccccc32)S1 ZINC000855718926 670751481 /nfs/dbraw/zinc/75/14/81/670751481.db2.gz JJYJGEXBPJCQJD-DCAQKATOSA-N 0 2 305.359 0.837 20 0 DCADLN C[C@@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](C)O1 ZINC000855929408 670769684 /nfs/dbraw/zinc/76/96/84/670769684.db2.gz OINNYSMGICESTP-ZJUUUORDSA-N 0 2 305.338 0.097 20 0 DCADLN O=C([C@@H]1CCCC12OCCO2)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000816472295 670813937 /nfs/dbraw/zinc/81/39/37/670813937.db2.gz ZFPLSVUPGPBCDR-NSHDSACASA-N 0 2 322.365 0.760 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2C[C@]34COC[C@@]3(C2)CCCC4)S1 ZINC000856423858 670818886 /nfs/dbraw/zinc/81/88/86/670818886.db2.gz OVAQDXNMWCRBSJ-KMUNFCNLSA-N 0 2 323.418 0.962 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCCOCCF ZINC000856472547 670823894 /nfs/dbraw/zinc/82/38/94/670823894.db2.gz CCUCKILPCJPMMB-QMMMGPOBSA-N 0 2 302.268 0.792 20 0 DCADLN C[C@@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@@](C)(C(F)F)O1 ZINC000856486640 670825143 /nfs/dbraw/zinc/82/51/43/670825143.db2.gz KAPCQGOUEZRUHQ-LTMDPNIMSA-N 0 2 321.349 0.814 20 0 DCADLN CN(C[C@@H](O)Cc1ccccc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000817220454 670906196 /nfs/dbraw/zinc/90/61/96/670906196.db2.gz IESGOECUEMFWBE-RYUDHWBXSA-N 0 2 321.402 0.605 20 0 DCADLN CCOC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCC1 ZINC000818270394 670998937 /nfs/dbraw/zinc/99/89/37/670998937.db2.gz NRAAGGNPGOKJAF-UHFFFAOYSA-N 0 2 319.365 0.537 20 0 DCADLN Cn1nc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1Cl ZINC000859550355 671125146 /nfs/dbraw/zinc/12/51/46/671125146.db2.gz ICZNQKUVWHWDLU-UHFFFAOYSA-N 0 2 322.712 0.202 20 0 DCADLN O=C(NC[C@@H](O)C1CC1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860044314 671172175 /nfs/dbraw/zinc/17/21/75/671172175.db2.gz AGHLJPUHANTSDZ-SECBINFHSA-N 0 2 320.267 0.959 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OC[C@@]1(C)COC(=O)N1 ZINC000861002750 671258685 /nfs/dbraw/zinc/25/86/85/671258685.db2.gz JHGNJXOPLOPHQM-JTQLQIEISA-N 0 2 312.244 0.485 20 0 DCADLN COCCS(=O)(=O)[N-]C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000821624191 671286166 /nfs/dbraw/zinc/28/61/66/671286166.db2.gz BEXAIPJROPERJS-UHFFFAOYSA-N 0 2 323.374 0.637 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000827890491 671539021 /nfs/dbraw/zinc/53/90/21/671539021.db2.gz RDIVRTQUDQJDEG-NSHDSACASA-N 0 2 324.381 0.883 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000827890491 671539022 /nfs/dbraw/zinc/53/90/22/671539022.db2.gz RDIVRTQUDQJDEG-NSHDSACASA-N 0 2 324.381 0.883 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+](CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)C1 ZINC000827890958 671539093 /nfs/dbraw/zinc/53/90/93/671539093.db2.gz XUGBSMVCIXZLQC-UTUOFQBUSA-N 0 2 307.350 0.579 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+](CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)C1 ZINC000827890958 671539094 /nfs/dbraw/zinc/53/90/94/671539094.db2.gz XUGBSMVCIXZLQC-UTUOFQBUSA-N 0 2 307.350 0.579 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)CC[NH+]2CC3(C2)CCOCC3)c1[O-] ZINC000829257170 671571983 /nfs/dbraw/zinc/57/19/83/671571983.db2.gz HBUUJTUTZIDFDS-UHFFFAOYSA-N 0 2 308.382 0.608 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000831271203 671662443 /nfs/dbraw/zinc/66/24/43/671662443.db2.gz AWLGDZMHPCQBRO-WOPDTQHZSA-N 0 2 308.338 0.160 20 0 DCADLN Cc1nccn1C[C@@H]1CCC[N@@H+]1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833918885 671750892 /nfs/dbraw/zinc/75/08/92/671750892.db2.gz QYQULVVOUDMKHG-OLZOCXBDSA-N 0 2 321.381 0.482 20 0 DCADLN Cc1nccn1C[C@@H]1CCC[N@H+]1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833918885 671750894 /nfs/dbraw/zinc/75/08/94/671750894.db2.gz QYQULVVOUDMKHG-OLZOCXBDSA-N 0 2 321.381 0.482 20 0 DCADLN Cc1[nH+]ccn1C[C@@H]1CCCN1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833918885 671750895 /nfs/dbraw/zinc/75/08/95/671750895.db2.gz QYQULVVOUDMKHG-OLZOCXBDSA-N 0 2 321.381 0.482 20 0 DCADLN COc1cc(CCO)ccc1NC(=O)CC1SC(=N)NC1=O ZINC000834522676 671769278 /nfs/dbraw/zinc/76/92/78/671769278.db2.gz RXILTAIJTRDIQP-NSHDSACASA-N 0 2 323.374 0.725 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000834679195 671776062 /nfs/dbraw/zinc/77/60/62/671776062.db2.gz XQJSPKSXUCLHGV-IUCAKERBSA-N 0 2 307.379 0.472 20 0 DCADLN CC(C)OC(=O)[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(C)C ZINC000836841865 671833937 /nfs/dbraw/zinc/83/39/37/671833937.db2.gz SZNKJQVOSADPEK-SCZZXKLOSA-N 0 2 315.395 0.635 20 0 DCADLN CC(C)[C@H](CO)ONC(=O)CSCC(=O)N1CCCCC1 ZINC000836902184 671838732 /nfs/dbraw/zinc/83/87/32/671838732.db2.gz MGMPRPJTLAGSQG-LBPRGKRZSA-N 0 2 318.439 0.797 20 0 DCADLN COC(=O)C1(COC(=O)C(C)(C)NC(=O)C(F)(F)F)CC1 ZINC000837669362 671872052 /nfs/dbraw/zinc/87/20/52/671872052.db2.gz COSAJTHZMIQGLB-UHFFFAOYSA-N 0 2 311.256 0.940 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCOCC1CCC1 ZINC000842075651 671980942 /nfs/dbraw/zinc/98/09/42/671980942.db2.gz GUEVIAMJPIEDCQ-UHFFFAOYSA-N 0 2 306.322 0.431 20 0 DCADLN Cn1cnc(CCCNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000843661788 672115174 /nfs/dbraw/zinc/11/51/74/672115174.db2.gz VVSBXDOPZWCTOU-UHFFFAOYSA-N 0 2 321.303 0.321 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NC1(CF)CCOCC1 ZINC000867332904 672119210 /nfs/dbraw/zinc/11/92/10/672119210.db2.gz FTIHRYOMBBNYRV-KRWDZBQOSA-N 0 2 302.393 0.457 20 0 DCADLN O=C(N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C1(O)CCSCC1 ZINC000843878849 672127198 /nfs/dbraw/zinc/12/71/98/672127198.db2.gz QOLNKBZRVWEIDV-VIFPVBQESA-N 0 2 312.395 0.474 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCO[C@@]3(CCSC3)C2)S1 ZINC000843946224 672130281 /nfs/dbraw/zinc/13/02/81/672130281.db2.gz MJGCGWJMSGNAII-PELKAZGASA-N 0 2 315.420 0.277 20 0 DCADLN COc1ccccc1C[NH+]1CCN(C(=O)COCC(=O)[O-])CC1 ZINC000844252038 672168711 /nfs/dbraw/zinc/16/87/11/672168711.db2.gz GEILJRILIXQDET-UHFFFAOYSA-N 0 2 322.361 0.441 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)[N-]C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845554647 672260737 /nfs/dbraw/zinc/26/07/37/672260737.db2.gz JHDLJOLGIUGTKF-ZETCQYMHSA-N 0 2 313.339 0.218 20 0 DCADLN C[S@@](=O)(=NS(=O)(=O)NC[C@@H]1COCO1)c1ccccc1 ZINC000867396548 672275082 /nfs/dbraw/zinc/27/50/82/672275082.db2.gz YTGPECKYOHAWJX-DGIBIBHMSA-N 0 2 320.392 0.351 20 0 DCADLN CCO[N-]C(=O)CNC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000846490429 672317658 /nfs/dbraw/zinc/31/76/58/672317658.db2.gz LACZZYOBOALDSN-UHFFFAOYSA-N 0 2 302.334 0.729 20 0 DCADLN CC(C)OCC(C)(C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000846655409 672333689 /nfs/dbraw/zinc/33/36/89/672333689.db2.gz MKXOWVJQXCEMMI-UHFFFAOYSA-N 0 2 307.354 0.391 20 0 DCADLN CN(C(=O)Cc1ccon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953929734 685904353 /nfs/dbraw/zinc/90/43/53/685904353.db2.gz JSOJFYOHFMWOAA-JTQLQIEISA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)Cc1ccon1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953929734 685904356 /nfs/dbraw/zinc/90/43/56/685904356.db2.gz JSOJFYOHFMWOAA-JTQLQIEISA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)Cc1cnoc1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955066403 686248598 /nfs/dbraw/zinc/24/85/98/686248598.db2.gz AKCLMPBMKFPBCB-SNVBAGLBSA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)Cc1cnoc1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000955066403 686248604 /nfs/dbraw/zinc/24/86/04/686248604.db2.gz AKCLMPBMKFPBCB-SNVBAGLBSA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)Cc1ncc[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955110753 686278193 /nfs/dbraw/zinc/27/81/93/686278193.db2.gz LCBQNUZIEWWELP-SNVBAGLBSA-N 0 2 322.262 0.522 20 0 DCADLN O=C(CC[C@@H]1CCCOC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956952661 686920318 /nfs/dbraw/zinc/92/03/18/686920318.db2.gz KUQMALFKVGQDDO-LBPRGKRZSA-N 0 2 323.397 0.361 20 0 DCADLN CCc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038414351 694073647 /nfs/dbraw/zinc/07/36/47/694073647.db2.gz ZNCBXNIPWCTYDA-SECBINFHSA-N 0 2 305.338 0.670 20 0 DCADLN CCc1cccnc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038439142 694080242 /nfs/dbraw/zinc/08/02/42/694080242.db2.gz MXWRXDSTFWOWPC-LLVKDONJSA-N 0 2 316.365 0.472 20 0 DCADLN CO[C@@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCCC1 ZINC000957485594 687069967 /nfs/dbraw/zinc/06/99/67/687069967.db2.gz QGIBCHITFCFWQS-CYBMUJFWSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cnc([C@@H](C)[N@@H+]2CC[C@@H]2CNC(=O)c2cnn[n-]2)cn1 ZINC001038453678 694086871 /nfs/dbraw/zinc/08/68/71/694086871.db2.gz UZHDXDOAMZMQAP-GHMZBOCLSA-N 0 2 301.354 0.468 20 0 DCADLN Cc1cnc([C@@H](C)[N@H+]2CC[C@@H]2CNC(=O)c2cnn[n-]2)cn1 ZINC001038453678 694086876 /nfs/dbraw/zinc/08/68/76/694086876.db2.gz UZHDXDOAMZMQAP-GHMZBOCLSA-N 0 2 301.354 0.468 20 0 DCADLN O=C(CC(C1CC1)C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957790069 687189796 /nfs/dbraw/zinc/18/97/96/687189796.db2.gz IGHCVPWZFQBRSI-UHFFFAOYSA-N 0 2 319.409 0.981 20 0 DCADLN O=C([C@@H]1CC2CCC1CC2)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957809404 687201322 /nfs/dbraw/zinc/20/13/22/687201322.db2.gz WZBAOEURQDODNF-WXRRBKDZSA-N 0 2 319.409 0.981 20 0 DCADLN Cc1occc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958188379 687419423 /nfs/dbraw/zinc/41/94/23/687419423.db2.gz AZTKLFHZTNBRGR-IAZYJMLFSA-N 0 2 303.322 0.272 20 0 DCADLN Cc1occc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958188379 687419427 /nfs/dbraw/zinc/41/94/27/687419427.db2.gz AZTKLFHZTNBRGR-IAZYJMLFSA-N 0 2 303.322 0.272 20 0 DCADLN CCn1nc(C)cc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038734185 694177455 /nfs/dbraw/zinc/17/74/55/694177455.db2.gz UMMRQPSRJBEHGS-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C(F)C(F)(F)F)C1CC1 ZINC000964051847 689132904 /nfs/dbraw/zinc/13/29/04/689132904.db2.gz WLRXRPBUIYVYPD-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC000964051847 689132906 /nfs/dbraw/zinc/13/29/06/689132906.db2.gz WLRXRPBUIYVYPD-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccon1 ZINC000965329844 689414210 /nfs/dbraw/zinc/41/42/10/689414210.db2.gz XYSHBFHQHGRVOU-SCZZXKLOSA-N 0 2 306.326 0.139 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cscn1 ZINC000965503788 689459522 /nfs/dbraw/zinc/45/95/22/689459522.db2.gz VTSGSRRXNYFYAR-DTWKUNHWSA-N 0 2 322.394 0.607 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)s1 ZINC001039071844 694293436 /nfs/dbraw/zinc/29/34/36/694293436.db2.gz NHEOSHQKNOZBGB-SSDOTTSWSA-N 0 2 311.342 0.715 20 0 DCADLN CC1(C(=O)N[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2O)CC1 ZINC000965915524 689580442 /nfs/dbraw/zinc/58/04/42/689580442.db2.gz MWLVDLPYLDIBMN-GJMOJQLCSA-N 0 2 312.263 0.375 20 0 DCADLN CC1(C(=O)N[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]2O)CC1 ZINC000965915524 689580446 /nfs/dbraw/zinc/58/04/46/689580446.db2.gz MWLVDLPYLDIBMN-GJMOJQLCSA-N 0 2 312.263 0.375 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000967631805 690033959 /nfs/dbraw/zinc/03/39/59/690033959.db2.gz VLAXICQIYQBKCJ-LDYMZIIASA-N 0 2 319.369 0.182 20 0 DCADLN CCN(C(=O)c1cnon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000968272448 690218141 /nfs/dbraw/zinc/21/81/41/690218141.db2.gz SPBXKYLIBIOQJR-MRVPVSSYSA-N 0 2 324.234 0.643 20 0 DCADLN CCN(C(=O)c1cnon1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000968272448 690218145 /nfs/dbraw/zinc/21/81/45/690218145.db2.gz SPBXKYLIBIOQJR-MRVPVSSYSA-N 0 2 324.234 0.643 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000968501469 690294910 /nfs/dbraw/zinc/29/49/10/690294910.db2.gz VRAHTPKNSCCJAD-WCBMZHEXSA-N 0 2 320.353 0.447 20 0 DCADLN O=C(C[C@@H]1CCOC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006979090 690614688 /nfs/dbraw/zinc/61/46/88/690614688.db2.gz CNCFNOSOYOYNPH-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cn1 ZINC001007172535 690636466 /nfs/dbraw/zinc/63/64/66/690636466.db2.gz JJMZZEFWLZYSTQ-JTQLQIEISA-N 0 2 320.328 0.439 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)nn1 ZINC001007314322 690663316 /nfs/dbraw/zinc/66/33/16/690663316.db2.gz BPVYVJYEJOOHFH-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1ncc(CC(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001007489999 690687976 /nfs/dbraw/zinc/68/79/76/690687976.db2.gz XADXATUBHWIVBO-JTQLQIEISA-N 0 2 320.353 0.130 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001008510139 690879015 /nfs/dbraw/zinc/87/90/15/690879015.db2.gz HQBVMISIHBUDDL-MNOVXSKESA-N 0 2 319.365 0.988 20 0 DCADLN CCn1ccc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001008752190 690920263 /nfs/dbraw/zinc/92/02/63/690920263.db2.gz FWNDOBRLTKLSJL-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN O=C(c1cnccn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001009991276 691141722 /nfs/dbraw/zinc/14/17/22/691141722.db2.gz FRUGMBQKXYKCCI-VIFPVBQESA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1cnccn1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001009991276 691141725 /nfs/dbraw/zinc/14/17/25/691141725.db2.gz FRUGMBQKXYKCCI-VIFPVBQESA-N 0 2 320.246 0.661 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001010216512 691190115 /nfs/dbraw/zinc/19/01/15/691190115.db2.gz DCMANKSMSDBTLM-NSHDSACASA-N 0 2 302.338 0.218 20 0 DCADLN CCc1cc(C(=O)N2CC[C@@H](NC(=O)c3cnn[nH]3)[C@@H]2C)[nH]n1 ZINC001011522477 691557672 /nfs/dbraw/zinc/55/76/72/691557672.db2.gz OUMFKEPADJNTQQ-WCBMZHEXSA-N 0 2 317.353 0.123 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1sccc1F ZINC001014357171 691996343 /nfs/dbraw/zinc/99/63/43/691996343.db2.gz NJDAWROTSSSVIA-SSDOTTSWSA-N 0 2 311.342 0.715 20 0 DCADLN CCn1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001015594614 692356197 /nfs/dbraw/zinc/35/61/97/692356197.db2.gz XUTGCOHLNKIYRN-NSHDSACASA-N 0 2 318.381 0.644 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001015850371 692469651 /nfs/dbraw/zinc/46/96/51/692469651.db2.gz IGPBCCXVDCFAIM-SNVBAGLBSA-N 0 2 307.379 0.885 20 0 DCADLN O=C(c1ccncc1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075489081 694953878 /nfs/dbraw/zinc/95/38/78/694953878.db2.gz UDSFYDGZFXXSJW-NWDGAFQWSA-N 0 2 314.349 0.252 20 0 DCADLN O=C(c1ccncc1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075489081 694953879 /nfs/dbraw/zinc/95/38/79/694953879.db2.gz UDSFYDGZFXXSJW-NWDGAFQWSA-N 0 2 314.349 0.252 20 0 DCADLN C[C@@H](CCNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001075864987 694982113 /nfs/dbraw/zinc/98/21/13/694982113.db2.gz YYNJLKLQPTYFLJ-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1ccnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001075864987 694982114 /nfs/dbraw/zinc/98/21/14/694982114.db2.gz YYNJLKLQPTYFLJ-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001076856831 695077668 /nfs/dbraw/zinc/07/76/68/695077668.db2.gz FDSCXXFDEDSYTC-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001076856831 695077671 /nfs/dbraw/zinc/07/76/71/695077671.db2.gz FDSCXXFDEDSYTC-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H]1C[NH+](C)[C@@H](C)CN1S(=O)(=O)C[C@@]12CC[N@H+](C1)CCC2 ZINC000914317346 1158471235 /nfs/dbraw/zinc/47/12/35/1158471235.db2.gz VFKSIFKSKMGTDN-KKUMJFAQSA-N 0 2 315.483 0.827 20 0 DCADLN Cc1cn(C(C)(C)C(=O)NC[C@@H](C(=O)[O-])[C@H]2CCOC2)c[nH+]1 ZINC001649257665 1158482499 /nfs/dbraw/zinc/48/24/99/1158482499.db2.gz QKMFADFWIQIVFO-NWDGAFQWSA-N 0 2 309.366 0.780 20 0 DCADLN CC[C@H](SC)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367505559 1158623065 /nfs/dbraw/zinc/62/30/65/1158623065.db2.gz DIVSUYVUOABTBQ-VIFPVBQESA-N 0 2 301.416 0.542 20 0 DCADLN CC[C@H](SC)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367505559 1158623067 /nfs/dbraw/zinc/62/30/67/1158623067.db2.gz DIVSUYVUOABTBQ-VIFPVBQESA-N 0 2 301.416 0.542 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCCO1)C(F)C(F)(F)F ZINC001720063712 1158790041 /nfs/dbraw/zinc/79/00/41/1158790041.db2.gz DYYMIMOTKRQEND-HTQZYQBOSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCCO1)[C@@H](F)C(F)(F)F ZINC001720063712 1158790048 /nfs/dbraw/zinc/79/00/48/1158790048.db2.gz DYYMIMOTKRQEND-HTQZYQBOSA-N 0 2 300.252 0.688 20 0 DCADLN Cc1cnc([C@H](C)[N@@H+](C)[C@H](C)CNC(=O)c2ncn[nH]2)cn1 ZINC001448106460 1159718137 /nfs/dbraw/zinc/71/81/37/1159718137.db2.gz UIZPQONCQFHFEV-MNOVXSKESA-N 0 2 303.370 0.714 20 0 DCADLN Cc1cnc([C@H](C)[N@H+](C)[C@H](C)CNC(=O)c2ncn[nH]2)cn1 ZINC001448106460 1159718140 /nfs/dbraw/zinc/71/81/40/1159718140.db2.gz UIZPQONCQFHFEV-MNOVXSKESA-N 0 2 303.370 0.714 20 0 DCADLN C[C@H](C(=O)N[C@@H](C(=O)[O-])[C@@H](O)c1cccnc1)n1cc[nH+]c1 ZINC001573400100 1163425998 /nfs/dbraw/zinc/42/59/98/1163425998.db2.gz SURMFTGTVYPHHG-JLLWLGSASA-N 0 2 304.306 0.142 20 0 DCADLN C[NH+](C)Cc1nc(CNS(=O)(=O)C2(CC(=O)[O-])CC2)co1 ZINC001573863844 1163571472 /nfs/dbraw/zinc/57/14/72/1163571472.db2.gz NWKHCSPDEVUHFF-UHFFFAOYSA-N 0 2 317.367 0.163 20 0 DCADLN CNc1cc(CNC(=O)NCc2cc(C(=O)[O-])no2)cc[nH+]1 ZINC001573910539 1163582262 /nfs/dbraw/zinc/58/22/62/1163582262.db2.gz PTNZUUQDLJYRTO-UHFFFAOYSA-N 0 2 305.294 0.809 20 0 DCADLN COCc1cc(C[NH+]2CCC(C(=O)NCC(=O)[O-])CC2)no1 ZINC001573990977 1163619497 /nfs/dbraw/zinc/61/94/97/1163619497.db2.gz OVWWCULDLAWNRU-UHFFFAOYSA-N 0 2 311.338 0.234 20 0 DCADLN Cc1nc(C(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)ccc1C(=O)[O-] ZINC001574181392 1163654264 /nfs/dbraw/zinc/65/42/64/1163654264.db2.gz WNTNETPOAMBQCF-JTQLQIEISA-N 0 2 304.306 0.145 20 0 DCADLN Cc1nc(C(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)ccc1C(=O)[O-] ZINC001574181392 1163654269 /nfs/dbraw/zinc/65/42/69/1163654269.db2.gz WNTNETPOAMBQCF-JTQLQIEISA-N 0 2 304.306 0.145 20 0 DCADLN O=C([O-])[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](O)c1cccnc1 ZINC001574299299 1163689990 /nfs/dbraw/zinc/68/99/90/1163689990.db2.gz CRVALJNKUHNKDG-QWHCGFSZSA-N 0 2 304.306 0.040 20 0 DCADLN O=C([O-])[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](O)c1cccnc1 ZINC001574299299 1163689994 /nfs/dbraw/zinc/68/99/94/1163689994.db2.gz CRVALJNKUHNKDG-QWHCGFSZSA-N 0 2 304.306 0.040 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c(C)nn1 ZINC001575254451 1163956480 /nfs/dbraw/zinc/95/64/80/1163956480.db2.gz ISXSVBJFYHRLRV-VIFPVBQESA-N 0 2 322.262 0.840 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c(C)nn1 ZINC001575254451 1163956493 /nfs/dbraw/zinc/95/64/93/1163956493.db2.gz ISXSVBJFYHRLRV-VIFPVBQESA-N 0 2 322.262 0.840 20 0 DCADLN CC(C)(C)[C@H](C[NH2+]Cc1c[nH]nn1)NC(=O)c1cc[n+]([O-])cc1 ZINC001575262017 1163957647 /nfs/dbraw/zinc/95/76/47/1163957647.db2.gz IYGCKRSWGFMALM-ZDUSSCGKSA-N 0 2 318.381 0.372 20 0 DCADLN CC(C)(C)[C@H](C[NH2+]Cc1cn[nH]n1)NC(=O)c1cc[n+]([O-])cc1 ZINC001575262017 1163957655 /nfs/dbraw/zinc/95/76/55/1163957655.db2.gz IYGCKRSWGFMALM-ZDUSSCGKSA-N 0 2 318.381 0.372 20 0 DCADLN CC[C@@H]1CN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)CCO1 ZINC001577170114 1164618619 /nfs/dbraw/zinc/61/86/19/1164618619.db2.gz UZGWUIQJROCBCX-SECBINFHSA-N 0 2 318.337 0.527 20 0 DCADLN CCN(C[C@@H](C)OC)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001577874484 1164821802 /nfs/dbraw/zinc/82/18/02/1164821802.db2.gz VPGWCJFDYHWWEC-SECBINFHSA-N 0 2 320.353 0.773 20 0 DCADLN O=C(Cc1cscn1)NC[C@H]1CCCN(Cc2cn[nH]n2)C1 ZINC001577965637 1164865303 /nfs/dbraw/zinc/86/53/03/1164865303.db2.gz GKDFFTPQMFJYEW-LLVKDONJSA-N 0 2 320.422 0.832 20 0 DCADLN O=C(Cc1cscn1)NC[C@H]1CCCN(Cc2c[nH]nn2)C1 ZINC001577965637 1164865306 /nfs/dbraw/zinc/86/53/06/1164865306.db2.gz GKDFFTPQMFJYEW-LLVKDONJSA-N 0 2 320.422 0.832 20 0 DCADLN COC(=O)c1cc(Cn2cnc(-c3nn[nH]n3)cc2=O)oc1C ZINC001579479782 1165326306 /nfs/dbraw/zinc/32/63/06/1165326306.db2.gz SONNVRHQPGRQGM-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN COc1ccc(OC)c(Cn2cnc(-c3nn[nH]n3)cc2=O)c1 ZINC001580048049 1165486279 /nfs/dbraw/zinc/48/62/79/1165486279.db2.gz CNNDDDZBUXTRHF-UHFFFAOYSA-N 0 2 314.305 0.489 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC(O)(C(C)C)C1 ZINC001580598214 1165649557 /nfs/dbraw/zinc/64/95/57/1165649557.db2.gz UVNBYUHBKOVJNS-UHFFFAOYSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@@H]1[C@H](C)O ZINC001580596690 1165649597 /nfs/dbraw/zinc/64/95/97/1165649597.db2.gz DIGNHEYEWADOSB-WCBMZHEXSA-N 0 2 318.337 0.261 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1C[C@H](O)C1(C)C ZINC001580600453 1165650987 /nfs/dbraw/zinc/65/09/87/1165650987.db2.gz DJMJFTUVCNMMEI-IUCAKERBSA-N 0 2 318.337 0.165 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC001580601194 1165651395 /nfs/dbraw/zinc/65/13/95/1165651395.db2.gz JQFWZEKEXHBBJS-KHQFGBGNSA-N 0 2 318.337 0.571 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC001580600722 1165651720 /nfs/dbraw/zinc/65/17/20/1165651720.db2.gz OYXUQWRBWAKETF-DTORHVGOSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1nocc1CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001580605755 1165653989 /nfs/dbraw/zinc/65/39/89/1165653989.db2.gz HQWDUGRQENGAJR-UHFFFAOYSA-N 0 2 315.293 0.502 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc(-c2nn[nH]n2)cs1 ZINC001582561007 1166047261 /nfs/dbraw/zinc/04/72/61/1166047261.db2.gz PMKGEIRBOSMPPA-UHFFFAOYSA-N 0 2 319.306 0.059 20 0 DCADLN O=C(c1coc(-c2nn[nH]n2)c1)N1CCC[C@H](c2nc[nH]n2)C1 ZINC001582742331 1166072773 /nfs/dbraw/zinc/07/27/73/1166072773.db2.gz URSJFCCSFMXUFN-QMMMGPOBSA-N 0 2 314.309 0.598 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1ncccn1 ZINC001583391896 1166249540 /nfs/dbraw/zinc/24/95/40/1166249540.db2.gz UCRWMYPKVWFTQD-FHKCNRDCSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1ncccn1 ZINC001583391896 1166249545 /nfs/dbraw/zinc/24/95/45/1166249545.db2.gz UCRWMYPKVWFTQD-FHKCNRDCSA-N 0 2 320.246 0.779 20 0 DCADLN CC(C)SCCNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589761518 1166683904 /nfs/dbraw/zinc/68/39/04/1166683904.db2.gz VRPRUBBLHFKSHW-UHFFFAOYSA-N 0 2 311.371 0.220 20 0 DCADLN C[C@@H](CCC1CC1)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589805090 1166691028 /nfs/dbraw/zinc/69/10/28/1166691028.db2.gz PSDJLPUAEWNCID-ZETCQYMHSA-N 0 2 305.342 0.657 20 0 DCADLN C[C@H](NC(=O)c1cccc(-c2nn[nH]n2)n1)C1=NN(C)CC1=O ZINC001589946262 1166727790 /nfs/dbraw/zinc/72/77/90/1166727790.db2.gz NDYSRXUDTNOEJF-ZETCQYMHSA-N 0 2 314.309 0.192 20 0 DCADLN C[C@H]1CCC[C@H](C)N1C(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001590243118 1166826934 /nfs/dbraw/zinc/82/69/34/1166826934.db2.gz IZSIYBSKKADWSQ-UWVGGRQHSA-N 0 2 317.353 0.213 20 0 DCADLN CC[C@H](CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)OC ZINC001590442265 1166904841 /nfs/dbraw/zinc/90/48/41/1166904841.db2.gz VVZQSDZCFWPCEO-MRVPVSSYSA-N 0 2 306.326 0.431 20 0 DCADLN CCC[C@@H](NC(=O)c1ccnc(-c2nn[nH]n2)c1)c1nn[nH]n1 ZINC001590559356 1166941310 /nfs/dbraw/zinc/94/13/10/1166941310.db2.gz APPVSOCBTJNGEP-MRVPVSSYSA-N 0 2 314.313 0.046 20 0 DCADLN CCc1nc([C@H](C)NC(=O)c2ccnc(-c3nn[nH]n3)c2)n[nH]1 ZINC001590910464 1167048683 /nfs/dbraw/zinc/04/86/83/1167048683.db2.gz KZRLQWUUDQPEBU-ZETCQYMHSA-N 0 2 313.325 0.433 20 0 DCADLN CCc1nn(C[C@@H]2CC(C)=NO2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929218 1167053578 /nfs/dbraw/zinc/05/35/78/1167053578.db2.gz APEZFDHKZMHFAD-VIFPVBQESA-N 0 2 317.353 0.713 20 0 DCADLN CCc1nn(CC(=O)[C@H]2C[C@H]2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929567 1167054099 /nfs/dbraw/zinc/05/40/99/1167054099.db2.gz WXCKQWTVOGKYLG-SCZZXKLOSA-N 0 2 316.365 0.773 20 0 DCADLN CCn1nc(C)c(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)n1 ZINC001590965306 1167061872 /nfs/dbraw/zinc/06/18/72/1167061872.db2.gz DKONGUQEXXBCJP-UHFFFAOYSA-N 0 2 300.326 0.305 20 0 DCADLN CO[C@H](Cc1ccccc1)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001591334164 1167220646 /nfs/dbraw/zinc/22/06/46/1167220646.db2.gz RCWHUWMZRVOYOI-GFCCVEGCSA-N 0 2 312.333 0.681 20 0 DCADLN COCc1nc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)cs1 ZINC001591459422 1167294213 /nfs/dbraw/zinc/29/42/13/1167294213.db2.gz HXSKDXDSGYMHDA-UHFFFAOYSA-N 0 2 318.362 0.988 20 0 DCADLN C[C@@H]1CN(CCN(C)c2nccnc2-c2nn[nH]n2)C[C@H](C)O1 ZINC001591773595 1167372328 /nfs/dbraw/zinc/37/23/28/1167372328.db2.gz YAQIPTVEBIATLF-PHIMTYICSA-N 0 2 318.385 0.202 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@H]2C[C@H]3C=C[C@@H]2C3)c(=O)n1C ZINC001591794085 1167381093 /nfs/dbraw/zinc/38/10/93/1167381093.db2.gz YALMUTKHIAHBNW-HBNTYKKESA-N 0 2 314.349 0.248 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](CCO)C1CC1 ZINC001591834945 1167390685 /nfs/dbraw/zinc/39/06/85/1167390685.db2.gz ZFCKHEVIOJTISI-SNVBAGLBSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1nn(Cc2ncc(Cl)n2C)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437850 1167523205 /nfs/dbraw/zinc/52/32/05/1167523205.db2.gz LOAWSWLGNUGSHM-UHFFFAOYSA-N 0 2 320.744 0.475 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1[C@@H](C)C[NH+](C)C[C@@H]1C ZINC001592496285 1167539234 /nfs/dbraw/zinc/53/92/34/1167539234.db2.gz CTYUZHHPOMNOSK-IUCAKERBSA-N 0 2 304.354 0.933 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1[C@@H](C)CN(C)C[C@@H]1C ZINC001592496285 1167539241 /nfs/dbraw/zinc/53/92/41/1167539241.db2.gz CTYUZHHPOMNOSK-IUCAKERBSA-N 0 2 304.354 0.933 20 0 DCADLN C[C@@](CCF)(NC(=O)c1cn2cc(C[NH3+])ccc2n1)C(=O)[O-] ZINC001592497350 1167539405 /nfs/dbraw/zinc/53/94/05/1167539405.db2.gz GSRYSTCJMNVGNO-AWEZNQCLSA-N 0 2 308.313 0.726 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC001592499938 1167539542 /nfs/dbraw/zinc/53/95/42/1167539542.db2.gz SFBZQYKOPPPSEZ-MRVPVSSYSA-N 0 2 318.293 0.045 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1[C@H](C)C(=O)N[C@@H](C)[C@H]1C ZINC001592496357 1167539552 /nfs/dbraw/zinc/53/95/52/1167539552.db2.gz DLYXTHFOTTWOML-XLPZGREQSA-N 0 2 318.337 0.506 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n([C@@H]2CCCC(C)(C)C2=O)c1=O ZINC001592558216 1167559305 /nfs/dbraw/zinc/55/93/05/1167559305.db2.gz VLWPCLPICZPZBC-SECBINFHSA-N 0 2 318.337 0.047 20 0 DCADLN FC1(F)C[C@@H]1OCCNCc1nc(-c2nn[nH]n2)cs1 ZINC001592630552 1167586391 /nfs/dbraw/zinc/58/63/91/1167586391.db2.gz OBDYGNBVNPIXEL-ZETCQYMHSA-N 0 2 302.310 0.837 20 0 DCADLN O=C(NC1CC1)N[C@H]1CCN(Cc2ccc(-c3nn[nH]n3)o2)C1 ZINC001592966915 1167676419 /nfs/dbraw/zinc/67/64/19/1167676419.db2.gz GKKMABKAZUXDFU-JTQLQIEISA-N 0 2 317.353 0.496 20 0 DCADLN O=C1N=NC(C2CCN(c3ccncc3-c3nn[nH]n3)CC2)O1 ZINC001593489870 1167873344 /nfs/dbraw/zinc/87/33/44/1167873344.db2.gz LTEXPBDXIORWEY-UHFFFAOYSA-N 0 2 314.309 0.734 20 0 DCADLN Cc1cc(C)c(CNS(=O)(=O)c2cc(C(=O)[O-])[nH]n2)c[nH+]1 ZINC001600161087 1168129842 /nfs/dbraw/zinc/12/98/42/1168129842.db2.gz FDMONKZXVRSIQX-UHFFFAOYSA-N 0 2 310.335 0.598 20 0 DCADLN Cc1csc(=O)n1CCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600646324 1168229477 /nfs/dbraw/zinc/22/94/77/1168229477.db2.gz JUWREEOZHKGZAO-JTQLQIEISA-N 0 2 324.362 0.144 20 0 DCADLN Cc1csc(=O)n1CCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600646324 1168229492 /nfs/dbraw/zinc/22/94/92/1168229492.db2.gz JUWREEOZHKGZAO-JTQLQIEISA-N 0 2 324.362 0.144 20 0 DCADLN Cc1csc(NC(=O)N2CC[NH+](CCO)CC2)c1C(=O)[O-] ZINC001600663914 1168234410 /nfs/dbraw/zinc/23/44/10/1168234410.db2.gz PKLLEVQSZHDVEA-UHFFFAOYSA-N 0 2 313.379 0.897 20 0 DCADLN Cc1nc(CCC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cs1 ZINC001600699355 1168256753 /nfs/dbraw/zinc/25/67/53/1168256753.db2.gz WIJCSBYPLGQYTN-NSHDSACASA-N 0 2 308.363 0.850 20 0 DCADLN Cn1ccnc(N2CC[NH+](Cc3ccc(C(=O)[O-])[nH]3)CC2)c1=O ZINC001600980152 1168542713 /nfs/dbraw/zinc/54/27/13/1168542713.db2.gz ANUDZJOYIHSNNV-UHFFFAOYSA-N 0 2 317.349 0.129 20 0 DCADLN Cn1cnc2c1nccc2C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600991790 1168549268 /nfs/dbraw/zinc/54/92/68/1168549268.db2.gz LLNSQILYZDPAQA-JTQLQIEISA-N 0 2 314.305 0.117 20 0 DCADLN Cn1cnc2c1nccc2C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600991790 1168549275 /nfs/dbraw/zinc/54/92/75/1168549275.db2.gz LLNSQILYZDPAQA-JTQLQIEISA-N 0 2 314.305 0.117 20 0 DCADLN [NH3+][C@H](C(=O)N1CCO[C@@H](C(=O)[O-])C1)c1c(F)cccc1F ZINC001601173418 1168621838 /nfs/dbraw/zinc/62/18/38/1168621838.db2.gz LRKMSZKIJOHHQY-KOLCDFICSA-N 0 2 300.261 0.277 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)N[C@H](C(=O)[O-])c1ccsc1 ZINC001601231646 1168671580 /nfs/dbraw/zinc/67/15/80/1168671580.db2.gz NAVFIHNOMSMFBC-FYDYADQUSA-N 0 2 310.375 0.878 20 0 DCADLN O=C(COCc1ocnc1C(=O)[O-])NCCCn1cc[nH+]c1 ZINC001601340567 1168714774 /nfs/dbraw/zinc/71/47/74/1168714774.db2.gz GWUOPFNKPSLALZ-UHFFFAOYSA-N 0 2 308.294 0.292 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCc2ccccc2O1 ZINC001601663607 1168809528 /nfs/dbraw/zinc/80/95/28/1168809528.db2.gz VQAAIELRUSUXET-TZMCWYRMSA-N 0 2 315.329 0.846 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001601775895 1168874663 /nfs/dbraw/zinc/87/46/63/1168874663.db2.gz NHSQBJRDCRPSDM-RYUDHWBXSA-N 0 2 316.361 0.232 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001601775895 1168874670 /nfs/dbraw/zinc/87/46/70/1168874670.db2.gz NHSQBJRDCRPSDM-RYUDHWBXSA-N 0 2 316.361 0.232 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC001601911541 1168932248 /nfs/dbraw/zinc/93/22/48/1168932248.db2.gz ZUWSBXXXGPYWKH-CYBMUJFWSA-N 0 2 303.318 0.367 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1CC[S@@](=O)c1ccccc1 ZINC001602068756 1168986257 /nfs/dbraw/zinc/98/62/57/1168986257.db2.gz VMLKJOXQEDTAJJ-MCMMXHMISA-N 0 2 324.402 0.459 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1CC[S@@](=O)c1ccccc1 ZINC001602068756 1168986263 /nfs/dbraw/zinc/98/62/63/1168986263.db2.gz VMLKJOXQEDTAJJ-MCMMXHMISA-N 0 2 324.402 0.459 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@H+]2CCC[C@H]2CCO)n1 ZINC001602150739 1169003332 /nfs/dbraw/zinc/00/33/32/1169003332.db2.gz MHNQUAKBAMKYCS-JTQLQIEISA-N 0 2 313.379 0.556 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@@H+]2CCC[C@H]2CCO)n1 ZINC001602150739 1169003338 /nfs/dbraw/zinc/00/33/38/1169003338.db2.gz MHNQUAKBAMKYCS-JTQLQIEISA-N 0 2 313.379 0.556 20 0 DCADLN O=C([O-])c1cc(C[NH+]2CCN(C(=O)N3CCOCC3)CC2)c[nH]1 ZINC001602256785 1169045437 /nfs/dbraw/zinc/04/54/37/1169045437.db2.gz HKBOQORJAXAZNL-UHFFFAOYSA-N 0 2 322.365 0.283 20 0 DCADLN CC(=O)c1[nH]c(CC(=O)NCCn2cc[nH+]c2)c(C(=O)[O-])c1C ZINC001603093125 1169245405 /nfs/dbraw/zinc/24/54/05/1169245405.db2.gz BACIFYJZVLUCRC-UHFFFAOYSA-N 0 2 318.333 0.779 20 0 DCADLN CC(=O)c1c(C)[nH]c(C(=O)N2CC[NH+](CC(=O)[O-])CC2)c1C ZINC001603097973 1169247035 /nfs/dbraw/zinc/24/70/35/1169247035.db2.gz SVGLJFGOYXJTGT-UHFFFAOYSA-N 0 2 307.350 0.677 20 0 DCADLN CC(C)(C)c1ncc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001603555764 1169321393 /nfs/dbraw/zinc/32/13/93/1169321393.db2.gz YJQLSXWGCUWTNI-NSHDSACASA-N 0 2 317.349 0.923 20 0 DCADLN CC(C)(C)c1ncc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001603555764 1169321398 /nfs/dbraw/zinc/32/13/98/1169321398.db2.gz YJQLSXWGCUWTNI-NSHDSACASA-N 0 2 317.349 0.923 20 0 DCADLN CCC(C)(C)CNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001603981872 1169462883 /nfs/dbraw/zinc/46/28/83/1169462883.db2.gz OWYAVJVCCSQGLO-UHFFFAOYSA-N 0 2 307.358 0.112 20 0 DCADLN CCC[C@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)CCO1 ZINC001604152104 1169508214 /nfs/dbraw/zinc/50/82/14/1169508214.db2.gz HYXTZNLRYCVEBN-LBPRGKRZSA-N 0 2 318.381 0.795 20 0 DCADLN C[C@@H](Nc1ncc(C(=O)[O-])cn1)[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001604277975 1169545264 /nfs/dbraw/zinc/54/52/64/1169545264.db2.gz UWCBIHJORPYWJV-VHSXEESVSA-N 0 2 318.337 0.377 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001604439790 1169595042 /nfs/dbraw/zinc/59/50/42/1169595042.db2.gz RCOQHSBQUHPUGU-YPMHNXCESA-N 0 2 303.318 0.920 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[NH+]1CCC(O)(COCCO)CC1 ZINC001604478929 1169599989 /nfs/dbraw/zinc/59/99/89/1169599989.db2.gz ZRSAKBBVQDDPTO-JTQLQIEISA-N 0 2 314.338 0.270 20 0 DCADLN CCc1nc([C@@H](C)NC(=O)c2cc(-c3nn[nH]n3)oc2C)n[nH]1 ZINC001604552099 1169615698 /nfs/dbraw/zinc/61/56/98/1169615698.db2.gz DNXJDHDOHLQZKO-ZCFIWIBFSA-N 0 2 316.325 0.940 20 0 DCADLN CC1(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC[NH+](Cc2ccon2)CC1 ZINC001604589611 1169625570 /nfs/dbraw/zinc/62/55/70/1169625570.db2.gz UTYBWBWNBRIEOH-RYUDHWBXSA-N 0 2 307.350 0.866 20 0 DCADLN CCc1oncc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)nc1 ZINC001604592387 1169626387 /nfs/dbraw/zinc/62/63/87/1169626387.db2.gz NEUXISGFKSNFAI-UHFFFAOYSA-N 0 2 321.322 0.613 20 0 DCADLN C[C@@H]1CCOCC[N@@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001604758404 1169670559 /nfs/dbraw/zinc/67/05/59/1169670559.db2.gz AJWCMMDUDNLSHC-YGRLFVJLSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@@H]1CCOCC[N@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001604758404 1169670564 /nfs/dbraw/zinc/67/05/64/1169670564.db2.gz AJWCMMDUDNLSHC-YGRLFVJLSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(/C=C/C(=O)[O-])o2)CC[N@@H+]1CCO ZINC001604780936 1169679271 /nfs/dbraw/zinc/67/92/71/1169679271.db2.gz QHRAXDMVEZDIHN-JOAKQRRISA-N 0 2 308.334 0.516 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(/C=C/C(=O)[O-])o2)CC[N@H+]1CCO ZINC001604780936 1169679273 /nfs/dbraw/zinc/67/92/73/1169679273.db2.gz QHRAXDMVEZDIHN-JOAKQRRISA-N 0 2 308.334 0.516 20 0 DCADLN C[C@@H]1C[N@H+](CCc2cn(CC(=O)[O-])nn2)C[C@@](C)(C(F)F)O1 ZINC001604796525 1169688294 /nfs/dbraw/zinc/68/82/94/1169688294.db2.gz DARQJOQNFDFTCX-RNCFNFMXSA-N 0 2 318.324 0.650 20 0 DCADLN C[C@@H]1C[N@@H+](CCc2cn(CC(=O)[O-])nn2)C[C@@](C)(C(F)F)O1 ZINC001604796525 1169688300 /nfs/dbraw/zinc/68/83/00/1169688300.db2.gz DARQJOQNFDFTCX-RNCFNFMXSA-N 0 2 318.324 0.650 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)CCc1ocnc1C(=O)[O-] ZINC001604819941 1169696659 /nfs/dbraw/zinc/69/66/59/1169696659.db2.gz LSEAASZZAFDMNW-SNVBAGLBSA-N 0 2 311.338 0.142 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)CCc1ocnc1C(=O)[O-] ZINC001604819941 1169696663 /nfs/dbraw/zinc/69/66/63/1169696663.db2.gz LSEAASZZAFDMNW-SNVBAGLBSA-N 0 2 311.338 0.142 20 0 DCADLN COc1ccc(OC)c(Cn2cncc(-c3nn[nH]n3)c2=O)c1 ZINC001605304388 1169891224 /nfs/dbraw/zinc/89/12/24/1169891224.db2.gz CGQKVDWUBFGFOD-UHFFFAOYSA-N 0 2 314.305 0.489 20 0 DCADLN CCCNC(=O)NC(=O)C[N@@H+]1CCc2cc(C(=O)[O-])ccc2C1 ZINC001605332196 1169896770 /nfs/dbraw/zinc/89/67/70/1169896770.db2.gz VSKLYUUFOCAJNT-UHFFFAOYSA-N 0 2 319.361 0.979 20 0 DCADLN CCCNC(=O)NC(=O)C[N@H+]1CCc2cc(C(=O)[O-])ccc2C1 ZINC001605332196 1169896773 /nfs/dbraw/zinc/89/67/73/1169896773.db2.gz VSKLYUUFOCAJNT-UHFFFAOYSA-N 0 2 319.361 0.979 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)NCc2ccccc2)c1 ZINC001605510426 1169943293 /nfs/dbraw/zinc/94/32/93/1169943293.db2.gz APOKJFAULQJDKD-UHFFFAOYSA-N 0 2 324.344 0.653 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1COC[C@@H]1C ZINC001605510613 1169943603 /nfs/dbraw/zinc/94/36/03/1169943603.db2.gz SBRWDZRNBTXJAI-CBAPKCEASA-N 0 2 304.310 0.040 20 0 DCADLN CCn1cc(CC[NH+]2CC(N(C)C(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)cn1 ZINC001605920354 1170026507 /nfs/dbraw/zinc/02/65/07/1170026507.db2.gz KZSBTEDXDKJLSJ-ZIAGYGMSSA-N 0 2 320.393 0.309 20 0 DCADLN Cc1ccn(C[C@@H]2C[C@H]3COC[C@@H]3O2)c(=O)c1-c1nn[nH]n1 ZINC001605950558 1170033159 /nfs/dbraw/zinc/03/31/59/1170033159.db2.gz YMCSBKPFKYGFMS-DCAQKATOSA-N 0 2 303.322 0.141 20 0 DCADLN Cc1ccn(CN2CCOC(C)(C)[C@H]2C)c(=O)c1-c1nn[nH]n1 ZINC001605952988 1170034017 /nfs/dbraw/zinc/03/40/17/1170034017.db2.gz SVUZORWOFTYFKA-LLVKDONJSA-N 0 2 318.381 0.794 20 0 DCADLN Cc1nc(Cn2cncc(-c3nn[nH]n3)c2=O)nc2ccccc12 ZINC001606044578 1170064415 /nfs/dbraw/zinc/06/44/15/1170064415.db2.gz KUTDBNSPVUJLFI-UHFFFAOYSA-N 0 2 320.316 0.723 20 0 DCADLN CN(C)c1cccc(C[NH+]2CC(NC(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)c1 ZINC001606059741 1170067741 /nfs/dbraw/zinc/06/77/41/1170067741.db2.gz NGSASXDGXFFFGP-HUUCEWRRSA-N 0 2 317.389 0.774 20 0 DCADLN Cc1noc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3)n1 ZINC001606134142 1170092169 /nfs/dbraw/zinc/09/21/69/1170092169.db2.gz YXGWCKZMNKEUHH-UHFFFAOYSA-N 0 2 313.321 0.647 20 0 DCADLN CN1C(=O)C(=O)N(C[N@H+](C)Cc2ccc(C(=O)[O-])cc2)C1=O ZINC001606154913 1170096572 /nfs/dbraw/zinc/09/65/72/1170096572.db2.gz VQQCBMCZVLTHID-UHFFFAOYSA-N 0 2 305.290 0.195 20 0 DCADLN CN1C(=O)C(=O)N(C[N@@H+](C)Cc2ccc(C(=O)[O-])cc2)C1=O ZINC001606154913 1170096582 /nfs/dbraw/zinc/09/65/82/1170096582.db2.gz VQQCBMCZVLTHID-UHFFFAOYSA-N 0 2 305.290 0.195 20 0 DCADLN Cn1c(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)nc2ccccc21 ZINC001606217591 1170118398 /nfs/dbraw/zinc/11/83/98/1170118398.db2.gz KZPGHFNQVUPHTP-UHFFFAOYSA-N 0 2 324.304 0.064 20 0 DCADLN C[N@@H+]1CCN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H](C(=O)[O-])C1 ZINC001606244510 1170133915 /nfs/dbraw/zinc/13/39/15/1170133915.db2.gz JWGXTLHDZQJLBK-VIFPVBQESA-N 0 2 322.390 0.845 20 0 DCADLN C[N@H+]1CCN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H](C(=O)[O-])C1 ZINC001606244510 1170133917 /nfs/dbraw/zinc/13/39/17/1170133917.db2.gz JWGXTLHDZQJLBK-VIFPVBQESA-N 0 2 322.390 0.845 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)CCCn1cc[nH+]c1 ZINC001606384121 1170177112 /nfs/dbraw/zinc/17/71/12/1170177112.db2.gz NBMBIMZXDQJGEQ-NEPJUHHUSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1CN(C)CCCn1cc[nH+]c1 ZINC001606538210 1170230110 /nfs/dbraw/zinc/23/01/10/1170230110.db2.gz KYZZUPRYUJEYPG-UHFFFAOYSA-N 0 2 321.337 0.544 20 0 DCADLN O=C(NCc1n[nH]c(C2CC2)n1)c1ccc(-c2nn[nH]n2)nc1 ZINC001606847057 1170312733 /nfs/dbraw/zinc/31/27/33/1170312733.db2.gz GTKWXNRSEQJTSH-UHFFFAOYSA-N 0 2 311.309 0.187 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1noc2c1CCCC2 ZINC001607088288 1170385953 /nfs/dbraw/zinc/38/59/53/1170385953.db2.gz HQCWRBNEVPAHPX-UHFFFAOYSA-N 0 2 315.293 0.044 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCC2(CCO2)CC1 ZINC001607091187 1170390769 /nfs/dbraw/zinc/39/07/69/1170390769.db2.gz AFJZEACMFWEAQJ-UHFFFAOYSA-N 0 2 302.338 0.241 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@@H+]2CC[C@@H](NC(N)=O)C2)c1 ZINC001607185490 1170413392 /nfs/dbraw/zinc/41/33/92/1170413392.db2.gz NUFNSAPPILYPDF-ZWNOBZJWSA-N 0 2 323.349 0.572 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](NC(N)=O)C2)c1 ZINC001607185490 1170413394 /nfs/dbraw/zinc/41/33/94/1170413394.db2.gz NUFNSAPPILYPDF-ZWNOBZJWSA-N 0 2 323.349 0.572 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN([C@H](C(=O)[O-])c2cccnc2)CC1 ZINC001607385854 1170443382 /nfs/dbraw/zinc/44/33/82/1170443382.db2.gz XDAWRZVWPGUQPE-YEJXKQKISA-N 0 2 311.407 0.203 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[N@@H+]2CCC[C@@H](S(N)(=O)=O)C2)n1C ZINC001607512051 1170471251 /nfs/dbraw/zinc/47/12/51/1170471251.db2.gz QIQQWURLSDOOQJ-LLVKDONJSA-N 0 2 315.395 0.285 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[N@H+]2CCC[C@@H](S(N)(=O)=O)C2)n1C ZINC001607512051 1170471254 /nfs/dbraw/zinc/47/12/54/1170471254.db2.gz QIQQWURLSDOOQJ-LLVKDONJSA-N 0 2 315.395 0.285 20 0 DCADLN Cc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)CC(=O)[O-])C2)cc1 ZINC001607781836 1170506259 /nfs/dbraw/zinc/50/62/59/1170506259.db2.gz YPTPJZYSKKKDSI-CQSZACIVSA-N 0 2 306.362 0.787 20 0 DCADLN Cc1ccc(C[N@H+]2CCO[C@H](CNC(=O)CC(=O)[O-])C2)cc1 ZINC001607781836 1170506263 /nfs/dbraw/zinc/50/62/63/1170506263.db2.gz YPTPJZYSKKKDSI-CQSZACIVSA-N 0 2 306.362 0.787 20 0 DCADLN Cc1nc(N(C)CCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])c(C)c(C)[nH+]1 ZINC001607947324 1170531215 /nfs/dbraw/zinc/53/12/15/1170531215.db2.gz KIHWJCWSFKQXDK-VXGBXAGGSA-N 0 2 306.366 0.675 20 0 DCADLN Cc1oc(S(=O)(=O)N2CC[NH2+]C[C@](C)(F)C2)cc1C(=O)[O-] ZINC001608053722 1170559350 /nfs/dbraw/zinc/55/93/50/1170559350.db2.gz DTJUKNPHQBJTOM-LBPRGKRZSA-N 0 2 320.342 0.608 20 0 DCADLN Cn1c(Cl)ncc1C[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001608086793 1170567218 /nfs/dbraw/zinc/56/72/18/1170567218.db2.gz HLIYZCBYRYMQLG-QMMMGPOBSA-N 0 2 310.745 0.810 20 0 DCADLN Cn1c(Cl)ncc1C[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001608086793 1170567223 /nfs/dbraw/zinc/56/72/23/1170567223.db2.gz HLIYZCBYRYMQLG-QMMMGPOBSA-N 0 2 310.745 0.810 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccccc1C(=O)[O-] ZINC001608137090 1170589587 /nfs/dbraw/zinc/58/95/87/1170589587.db2.gz SBAAWVKQAOVDTP-UHFFFAOYSA-N 0 2 302.290 0.373 20 0 DCADLN Cn1nnnc1C[N@@H+]1CCC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001608169818 1170607943 /nfs/dbraw/zinc/60/79/43/1170607943.db2.gz MOKBADGIWBCFHC-MRVPVSSYSA-N 0 2 308.367 0.744 20 0 DCADLN Cn1nnnc1C[N@H+]1CCC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001608169818 1170607949 /nfs/dbraw/zinc/60/79/49/1170607949.db2.gz MOKBADGIWBCFHC-MRVPVSSYSA-N 0 2 308.367 0.744 20 0 DCADLN [NH3+]CC(F)(F)CNS(=O)(=O)[C@@H]1CCCC[C@H]1C(=O)[O-] ZINC001608252359 1170632268 /nfs/dbraw/zinc/63/22/68/1170632268.db2.gz LPXMWJTZXOBEFG-HTQZYQBOSA-N 0 2 300.327 0.143 20 0 DCADLN O=C(CC[C@@H]1C[C@H](C(=O)[O-])CO1)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001608313492 1170648188 /nfs/dbraw/zinc/64/81/88/1170648188.db2.gz RQGKYUVBEVGBTM-QJPTWQEYSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])c1ccn(CC(=O)NCCCNc2cccc[nH+]2)n1 ZINC001608377965 1170663206 /nfs/dbraw/zinc/66/32/06/1170663206.db2.gz LDVMBJRJNJUAHM-UHFFFAOYSA-N 0 2 303.322 0.595 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNC(=O)NCCCCn1cc[nH+]c1 ZINC001608443264 1170680309 /nfs/dbraw/zinc/68/03/09/1170680309.db2.gz CFHJYFRNQQHWBN-VXGBXAGGSA-N 0 2 310.354 0.452 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1CNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC001608460596 1170685607 /nfs/dbraw/zinc/68/56/07/1170685607.db2.gz FBZJKMWXZQTRHR-GRYCIOLGSA-N 0 2 304.350 0.680 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]2C[C@H](NS(=O)(=O)CCn3cc[nH+]c3)[C@@H]2C1 ZINC001608651489 1170732235 /nfs/dbraw/zinc/73/22/35/1170732235.db2.gz ANIDIVDMKCLNLQ-KKOKHZNYSA-N 0 2 313.379 0.302 20 0 DCADLN O=C([O-])/C=C/c1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)o1 ZINC001608657871 1170733029 /nfs/dbraw/zinc/73/30/29/1170733029.db2.gz VJGSUIYXTLPBNB-FXMSTWTQSA-N 0 2 320.345 0.924 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC001608675941 1170734650 /nfs/dbraw/zinc/73/46/50/1170734650.db2.gz RTKOWIHEGXRDCV-CYBMUJFWSA-N 0 2 303.318 0.497 20 0 DCADLN O=C([O-])C[C@@H]1C[N@H+](CCOC(=O)Cc2ccccc2)CCO1 ZINC001608700359 1170739418 /nfs/dbraw/zinc/73/94/18/1170739418.db2.gz KDPJCFGNCFJLNV-CQSZACIVSA-N 0 2 307.346 0.948 20 0 DCADLN O=C([O-])C[C@@H]1C[N@@H+](CCOC(=O)Cc2ccccc2)CCO1 ZINC001608700359 1170739420 /nfs/dbraw/zinc/73/94/20/1170739420.db2.gz KDPJCFGNCFJLNV-CQSZACIVSA-N 0 2 307.346 0.948 20 0 DCADLN O=C([O-])c1ccnc(S(=O)(=O)NCCNc2cccc[nH+]2)c1 ZINC001608954172 1170774703 /nfs/dbraw/zinc/77/47/03/1170774703.db2.gz FUPWNQAPEPOGLJ-UHFFFAOYSA-N 0 2 322.346 0.565 20 0 DCADLN CC(C)(C)c1cnc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)o1 ZINC001609081303 1170801689 /nfs/dbraw/zinc/80/16/89/1170801689.db2.gz HWKOPPZMRRJOMI-UHFFFAOYSA-N 0 2 317.309 0.463 20 0 DCADLN CCc1nn(CC(=O)C(F)F)c(=O)c(-c2nn[nH]n2)c1CC ZINC001609255615 1170901276 /nfs/dbraw/zinc/90/12/76/1170901276.db2.gz NFEPZYYZABMCJS-UHFFFAOYSA-N 0 2 312.280 0.382 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[NH+]1CCN(c2ccc(O)cc2)CC1 ZINC001609635060 1171057515 /nfs/dbraw/zinc/05/75/15/1171057515.db2.gz PLTWSCCIOARNLX-NSHDSACASA-N 0 2 307.350 0.104 20 0 DCADLN CC(C)(C)N1C[C@@H](C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])CC1=O ZINC001609657204 1171061414 /nfs/dbraw/zinc/06/14/14/1171061414.db2.gz KBYYDYONMCDREI-WDEREUQCSA-N 0 2 322.365 0.100 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)C)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001609762436 1171080796 /nfs/dbraw/zinc/08/07/96/1171080796.db2.gz RLYGISGWSILQDP-ZJUUUORDSA-N 0 2 310.354 0.003 20 0 DCADLN CC[C@H](C(=O)N[C@@H](CCOC)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001609946163 1171125063 /nfs/dbraw/zinc/12/50/63/1171125063.db2.gz GWPZEZZJEXRCED-FRRDWIJNSA-N 0 2 316.398 0.482 20 0 DCADLN CC[C@H](C(=O)N[C@@H](CCOC)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001609946163 1171125066 /nfs/dbraw/zinc/12/50/66/1171125066.db2.gz GWPZEZZJEXRCED-FRRDWIJNSA-N 0 2 316.398 0.482 20 0 DCADLN COC(=O)CC(C)(C)CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001610175352 1171187325 /nfs/dbraw/zinc/18/73/25/1171187325.db2.gz JEYGSBLBXSWVND-SNVBAGLBSA-N 0 2 311.338 0.432 20 0 DCADLN COc1nc(Cl)c(C[NH+]2CCC([C@H](O)C(=O)[O-])CC2)n1C ZINC001610369439 1171224772 /nfs/dbraw/zinc/22/47/72/1171224772.db2.gz SWJCHDUKWPOBMH-JTQLQIEISA-N 0 2 317.773 0.740 20 0 DCADLN COc1nccnc1C[N@@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001610371944 1171226536 /nfs/dbraw/zinc/22/65/36/1171226536.db2.gz BTTACCNTAIPJQM-SNVBAGLBSA-N 0 2 318.337 0.612 20 0 DCADLN COc1nccnc1C[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001610371944 1171226539 /nfs/dbraw/zinc/22/65/39/1171226539.db2.gz BTTACCNTAIPJQM-SNVBAGLBSA-N 0 2 318.337 0.612 20 0 DCADLN Cc1c(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cnn1CC(C)C ZINC001610406597 1171232304 /nfs/dbraw/zinc/23/23/04/1171232304.db2.gz VCAGXQHCAYJNGB-CYBMUJFWSA-N 0 2 319.365 0.927 20 0 DCADLN Cc1ccc2[nH+]c(CSCC(=O)N[C@H](CO)C(=O)[O-])cn2c1 ZINC001610517706 1171245468 /nfs/dbraw/zinc/24/54/68/1171245468.db2.gz QZRHRJYCETXSOL-LLVKDONJSA-N 0 2 323.374 0.438 20 0 DCADLN Cc1ccn2ncc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])c2c1 ZINC001610535689 1171248751 /nfs/dbraw/zinc/24/87/51/1171248751.db2.gz VFASLXMKEPBIDO-GFCCVEGCSA-N 0 2 313.317 0.722 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CCCn1ccccc1=O ZINC001610657170 1171282737 /nfs/dbraw/zinc/28/27/37/1171282737.db2.gz SSOOPGSLXKBLJP-LBPRGKRZSA-N 0 2 318.333 0.164 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CCCn1ccccc1=O ZINC001610657170 1171282740 /nfs/dbraw/zinc/28/27/40/1171282740.db2.gz SSOOPGSLXKBLJP-LBPRGKRZSA-N 0 2 318.333 0.164 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCC[N@@H+]1CC(=O)NCCc1ccc(F)cc1 ZINC001610663687 1171284961 /nfs/dbraw/zinc/28/49/61/1171284961.db2.gz JBTPHXBUGSENBN-DZGCQCFKSA-N 0 2 324.352 0.394 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCC[N@H+]1CC(=O)NCCc1ccc(F)cc1 ZINC001610663687 1171284973 /nfs/dbraw/zinc/28/49/73/1171284973.db2.gz JBTPHXBUGSENBN-DZGCQCFKSA-N 0 2 324.352 0.394 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCC[N@@H+]1CC(=O)NCCC1=CCCCC1 ZINC001610663736 1171285170 /nfs/dbraw/zinc/28/51/70/1171285170.db2.gz KMYGNKZXHZHTRH-HIFRSBDPSA-N 0 2 310.394 0.903 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCC[N@H+]1CC(=O)NCCC1=CCCCC1 ZINC001610663736 1171285171 /nfs/dbraw/zinc/28/51/71/1171285171.db2.gz KMYGNKZXHZHTRH-HIFRSBDPSA-N 0 2 310.394 0.903 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cn(C2CCC2)nn1 ZINC001610680857 1171290335 /nfs/dbraw/zinc/29/03/35/1171290335.db2.gz AMZIXWPKPLWVTR-NSHDSACASA-N 0 2 304.310 0.083 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)C1SCCCS1 ZINC001610718000 1171297368 /nfs/dbraw/zinc/29/73/68/1171297368.db2.gz KHRCLVBXNCICDH-QMMMGPOBSA-N 0 2 301.393 0.649 20 0 DCADLN O=C([O-])C1(O)CC[NH+](Cc2nc(CC(F)(F)F)no2)CC1 ZINC001610730151 1171300803 /nfs/dbraw/zinc/30/08/03/1171300803.db2.gz RULWFBIVCRCBOE-UHFFFAOYSA-N 0 2 309.244 0.586 20 0 DCADLN Cc1n[nH]cc1C1CCN(C(=O)CC[C@@H]2NC(=O)NC2=O)CC1 ZINC001623917008 1171445461 /nfs/dbraw/zinc/44/54/61/1171445461.db2.gz WKILWWUGLILBMG-LBPRGKRZSA-N 0 2 319.365 0.412 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2coc(Br)c2)C(=O)N1C ZINC001629880969 1171560002 /nfs/dbraw/zinc/56/00/02/1171560002.db2.gz XKNRYAXTYYQLJQ-RXMQYKEDSA-N 0 2 316.111 0.969 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc(Cn2cccn2)o1 ZINC001630647715 1171577864 /nfs/dbraw/zinc/57/78/64/1171577864.db2.gz ZOGCYGRJCKVSEM-UHFFFAOYSA-N 0 2 315.289 0.656 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1ccc(Cn2cccn2)o1 ZINC001630647715 1171577870 /nfs/dbraw/zinc/57/78/70/1171577870.db2.gz ZOGCYGRJCKVSEM-UHFFFAOYSA-N 0 2 315.289 0.656 20 0 DCADLN CN(CCCNC(=O)C[C@H]1SC(=N)NC1=O)c1ccccn1 ZINC001636576986 1171741188 /nfs/dbraw/zinc/74/11/88/1171741188.db2.gz QQXKSLRWIHSNKD-SNVBAGLBSA-N 0 2 321.406 0.581 20 0 DCADLN CC(C)(CO)ONC(=O)c1ncc(Br)cc1O ZINC001636921009 1171753576 /nfs/dbraw/zinc/75/35/76/1171753576.db2.gz DPRRQZXNDUDSGP-UHFFFAOYSA-N 0 2 305.128 0.982 20 0 DCADLN O=C(NOC1CCCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001637280392 1171762237 /nfs/dbraw/zinc/76/22/37/1171762237.db2.gz BIJAFGBWHCZVHX-UHFFFAOYSA-N 0 2 304.306 0.870 20 0 DCADLN O=C(NCc1cc(O)nc(=S)[nH]1)c1cccn2c(O)nnc12 ZINC001639647852 1171846410 /nfs/dbraw/zinc/84/64/10/1171846410.db2.gz HSZMOKFYDPYYRU-UHFFFAOYSA-N 0 2 318.318 0.149 20 0 DCADLN O=C(CCCc1nn[nH]n1)Nc1cccc(Cc2nn[nH]n2)c1 ZINC001642173430 1171937564 /nfs/dbraw/zinc/93/75/64/1171937564.db2.gz PPZKVMODERHNLQ-UHFFFAOYSA-N 0 2 313.325 0.265 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(N3CCCC3=O)CC2)S1 ZINC001645577668 1172062159 /nfs/dbraw/zinc/06/21/59/1172062159.db2.gz WKUBRPBOZKSHPG-JTQLQIEISA-N 0 2 324.406 0.156 20 0 DCADLN Cn1nccc1[C@H]1CCCC[N@@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC001645953811 1172195328 /nfs/dbraw/zinc/19/53/28/1172195328.db2.gz QLFREPLXZWLDNA-OLZOCXBDSA-N 0 2 306.366 0.633 20 0 DCADLN Cn1nccc1[C@H]1CCCC[N@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC001645953811 1172195334 /nfs/dbraw/zinc/19/53/34/1172195334.db2.gz QLFREPLXZWLDNA-OLZOCXBDSA-N 0 2 306.366 0.633 20 0 DCADLN O=C(c1[nH]cnc1C(F)(F)F)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001645973958 1172202726 /nfs/dbraw/zinc/20/27/26/1172202726.db2.gz URCWVCSSMYAGDT-RXMQYKEDSA-N 0 2 317.231 0.155 20 0 DCADLN O=C(c1nc[nH]c1C(F)(F)F)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001645973958 1172202727 /nfs/dbraw/zinc/20/27/27/1172202727.db2.gz URCWVCSSMYAGDT-RXMQYKEDSA-N 0 2 317.231 0.155 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001646186420 1172282492 /nfs/dbraw/zinc/28/24/92/1172282492.db2.gz XXBLJJXXPIJEND-NSHDSACASA-N 0 2 318.385 0.335 20 0 DCADLN C[C@@H](C(=O)NCc1nc(C(=O)[O-])cs1)[NH+]1CCSCC1 ZINC001646254215 1172306756 /nfs/dbraw/zinc/30/67/56/1172306756.db2.gz WHNCFXADFUTPJY-QMMMGPOBSA-N 0 2 315.420 0.895 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(CC[C@@H]3CCS(=O)(=O)C3)C2)[nH]1 ZINC001647197223 1172734130 /nfs/dbraw/zinc/73/41/30/1172734130.db2.gz NAKUWFUJITTYEI-MNOVXSKESA-N 0 2 314.411 0.515 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@H+](CC[C@@H]3CCS(=O)(=O)C3)C2)n[nH]1 ZINC001647197223 1172734136 /nfs/dbraw/zinc/73/41/36/1172734136.db2.gz NAKUWFUJITTYEI-MNOVXSKESA-N 0 2 314.411 0.515 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@@H+](CC[C@@H]3CCS(=O)(=O)C3)C2)n[nH]1 ZINC001647197223 1172734142 /nfs/dbraw/zinc/73/41/42/1172734142.db2.gz NAKUWFUJITTYEI-MNOVXSKESA-N 0 2 314.411 0.515 20 0 DCADLN Nc1nc2nc(C[N@@H+]3CCOCC34CCCC4)cc(=O)n2[n-]1 ZINC001647303719 1172797166 /nfs/dbraw/zinc/79/71/66/1172797166.db2.gz DFNIERDIZOGILN-UHFFFAOYSA-N 0 2 304.354 0.145 20 0 DCADLN Nc1nc2nc(C[N@H+]3CCOCC34CCCC4)cc(=O)n2[n-]1 ZINC001647303719 1172797170 /nfs/dbraw/zinc/79/71/70/1172797170.db2.gz DFNIERDIZOGILN-UHFFFAOYSA-N 0 2 304.354 0.145 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001650026215 1173388152 /nfs/dbraw/zinc/38/81/52/1173388152.db2.gz JBKCTVNDNDJQDV-ZDUSSCGKSA-N 0 2 319.361 0.635 20 0 DCADLN C[C@]1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC=CCC1 ZINC001652701020 1173775126 /nfs/dbraw/zinc/77/51/26/1173775126.db2.gz VTDQIMSTXZBROW-ZDUSSCGKSA-N 0 2 312.395 0.712 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001668779393 1174836905 /nfs/dbraw/zinc/83/69/05/1174836905.db2.gz IUBNYDWPPHDNAK-MOVJSRMASA-N 0 2 320.353 0.752 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccc[nH]1 ZINC001669799801 1174952477 /nfs/dbraw/zinc/95/24/77/1174952477.db2.gz BJLOKLMDDXWXFI-PORFMDCZSA-N 0 2 304.354 0.488 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccc[nH]1 ZINC001669799801 1174952480 /nfs/dbraw/zinc/95/24/80/1174952480.db2.gz BJLOKLMDDXWXFI-PORFMDCZSA-N 0 2 304.354 0.488 20 0 DCADLN CC(C)(C)OC(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000092618410 1175601221 /nfs/dbraw/zinc/60/12/21/1175601221.db2.gz FITDIHPFROYFQV-SNVBAGLBSA-N 0 2 311.386 0.977 20 0 DCADLN CC(C)(C)OC(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000092618410 1175601224 /nfs/dbraw/zinc/60/12/24/1175601224.db2.gz FITDIHPFROYFQV-SNVBAGLBSA-N 0 2 311.386 0.977 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001680188737 1175785029 /nfs/dbraw/zinc/78/50/29/1175785029.db2.gz WYJFNIGHMNWEOH-CBAPKCEASA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001680188737 1175785032 /nfs/dbraw/zinc/78/50/32/1175785032.db2.gz WYJFNIGHMNWEOH-CBAPKCEASA-N 0 2 300.252 0.446 20 0 DCADLN O=C(C(F)=C1CCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001681651704 1175908252 /nfs/dbraw/zinc/90/82/52/1175908252.db2.gz GMWQSEYDKJNXOJ-UHFFFAOYSA-N 0 2 309.345 0.952 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)Cc1nnnn1C ZINC001689803920 1177059860 /nfs/dbraw/zinc/05/98/60/1177059860.db2.gz ZNSMAGXAKQZLTA-QMMMGPOBSA-N 0 2 312.271 0.049 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)Cc1nnnn1C ZINC001689803920 1177059863 /nfs/dbraw/zinc/05/98/63/1177059863.db2.gz ZNSMAGXAKQZLTA-QMMMGPOBSA-N 0 2 312.271 0.049 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720129940 1178646978 /nfs/dbraw/zinc/64/69/78/1178646978.db2.gz JOSGVFOBDLZINL-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720129940 1178646979 /nfs/dbraw/zinc/64/69/79/1178646979.db2.gz JOSGVFOBDLZINL-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN COCCN(CCNC(=O)C1(C)CC=CC1)Cc1n[nH]c(=O)[nH]1 ZINC001701002316 1178866182 /nfs/dbraw/zinc/86/61/82/1178866182.db2.gz ARYNRJGREUJNFN-UHFFFAOYSA-N 0 2 323.397 0.431 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001703222331 1179402559 /nfs/dbraw/zinc/40/25/59/1179402559.db2.gz QWEAZOCESXYSTK-ZDUSSCGKSA-N 0 2 321.425 0.018 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCNC(=O)CCCF)cn1 ZINC001703284476 1179442809 /nfs/dbraw/zinc/44/28/09/1179442809.db2.gz LNXFFLANVUIZHQ-UHFFFAOYSA-N 0 2 322.344 0.406 20 0 DCADLN Cc1nnccc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001703380602 1179511669 /nfs/dbraw/zinc/51/16/69/1179511669.db2.gz ANFWPIIYXLSKLE-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN Cc1nnccc1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703380602 1179511672 /nfs/dbraw/zinc/51/16/72/1179511672.db2.gz ANFWPIIYXLSKLE-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN CN(C[C@H]1CC[N@@H+]1CCOCC(F)F)C(=O)C[NH+]1CCCC1 ZINC001707062182 1180407798 /nfs/dbraw/zinc/40/77/98/1180407798.db2.gz LOWMWEJQBDDKMY-CYBMUJFWSA-N 0 2 319.396 0.897 20 0 DCADLN Cn1nncc1C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001721847095 1184076465 /nfs/dbraw/zinc/07/64/65/1184076465.db2.gz XKYICYDWWCEJRE-UFUPEUMYSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1nncc1C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001721847095 1184076473 /nfs/dbraw/zinc/07/64/73/1184076473.db2.gz XKYICYDWWCEJRE-UFUPEUMYSA-N 0 2 323.250 0.118 20 0 DCADLN COCCC[C@H](C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001723813099 1184350655 /nfs/dbraw/zinc/35/06/55/1184350655.db2.gz LPPNKIZTLPYUIZ-JTQLQIEISA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H](CC(=O)NCCCN(C)Cc1cnn(C)n1)n1ccnc1 ZINC001731269265 1185232874 /nfs/dbraw/zinc/23/28/74/1185232874.db2.gz ZKTIJFCSOPRSEH-CYBMUJFWSA-N 0 2 319.413 0.601 20 0 DCADLN CN(CCNC(=O)CC(F)(F)C(F)F)Cc1n[nH]c(=O)[nH]1 ZINC001731788267 1185487252 /nfs/dbraw/zinc/48/72/52/1185487252.db2.gz VNTFPSCEMBXIIT-UHFFFAOYSA-N 0 2 313.255 0.349 20 0 DCADLN C[N@H+](CCNC(=O)CC(F)(F)C(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001731788267 1185487255 /nfs/dbraw/zinc/48/72/55/1185487255.db2.gz VNTFPSCEMBXIIT-UHFFFAOYSA-N 0 2 313.255 0.349 20 0 DCADLN C[N@@H+](CCNC(=O)CC(F)(F)C(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001731788267 1185487257 /nfs/dbraw/zinc/48/72/57/1185487257.db2.gz VNTFPSCEMBXIIT-UHFFFAOYSA-N 0 2 313.255 0.349 20 0 DCADLN COCCCN1CCOC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001733701079 1186405420 /nfs/dbraw/zinc/40/54/20/1186405420.db2.gz FCFYPKZAAMBVLE-VHSXEESVSA-N 0 2 316.295 0.740 20 0 DCADLN O=C(Cc1ccoc1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734624116 1186709576 /nfs/dbraw/zinc/70/95/76/1186709576.db2.gz VGBDHAJLDCQZRJ-UHFFFAOYSA-N 0 2 317.349 0.594 20 0 DCADLN O=C(Cc1ccoc1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734624116 1186709580 /nfs/dbraw/zinc/70/95/80/1186709580.db2.gz VGBDHAJLDCQZRJ-UHFFFAOYSA-N 0 2 317.349 0.594 20 0 DCADLN Cn1cc(CC(=O)NCCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001736896625 1187165375 /nfs/dbraw/zinc/16/53/75/1187165375.db2.gz OMMVGGKSPIIANY-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN Cn1cc(CC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001736896625 1187165376 /nfs/dbraw/zinc/16/53/76/1187165376.db2.gz OMMVGGKSPIIANY-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001742375823 1187969775 /nfs/dbraw/zinc/96/97/75/1187969775.db2.gz KNYSXXTZEZJCMQ-SFYZADRCSA-N 0 2 300.252 0.544 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001742375823 1187969776 /nfs/dbraw/zinc/96/97/76/1187969776.db2.gz KNYSXXTZEZJCMQ-SFYZADRCSA-N 0 2 300.252 0.544 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001742375821 1187970438 /nfs/dbraw/zinc/97/04/38/1187970438.db2.gz KNYSXXTZEZJCMQ-HTQZYQBOSA-N 0 2 300.252 0.544 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001742375821 1187970443 /nfs/dbraw/zinc/97/04/43/1187970443.db2.gz KNYSXXTZEZJCMQ-HTQZYQBOSA-N 0 2 300.252 0.544 20 0 DCADLN CN(CCCNC(=O)Cc1c[nH]c[nH+]1)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001743759598 1188160030 /nfs/dbraw/zinc/16/00/30/1188160030.db2.gz LXHFMOCVDQXKRZ-ZDUSSCGKSA-N 0 2 307.398 0.011 20 0 DCADLN C[C@@H](CNC(=O)c1cc2occc2[nH]1)NCc1nc(=O)n(C)[nH]1 ZINC001752876791 1188453689 /nfs/dbraw/zinc/45/36/89/1188453689.db2.gz GQUKRQNHAQHXTH-QMMMGPOBSA-N 0 2 318.337 0.091 20 0 DCADLN COCCCC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001755454953 1189117027 /nfs/dbraw/zinc/11/70/27/1189117027.db2.gz WIMGEDSZYGIBMW-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN COCCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001755454953 1189117029 /nfs/dbraw/zinc/11/70/29/1189117029.db2.gz WIMGEDSZYGIBMW-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN CCn1nc(C)cc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001758027560 1189686119 /nfs/dbraw/zinc/68/61/19/1189686119.db2.gz IZAVTBROSMFCCM-SECBINFHSA-N 0 2 324.278 0.958 20 0 DCADLN CCn1nc(C)cc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758027560 1189686124 /nfs/dbraw/zinc/68/61/24/1189686124.db2.gz IZAVTBROSMFCCM-SECBINFHSA-N 0 2 324.278 0.958 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCCOC1)C(F)C(F)(F)F ZINC001758140820 1189748864 /nfs/dbraw/zinc/74/88/64/1189748864.db2.gz QVJWESOMFNMJTJ-RKDXNWHRSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCCOC1)[C@@H](F)C(F)(F)F ZINC001758140820 1189748867 /nfs/dbraw/zinc/74/88/67/1189748867.db2.gz QVJWESOMFNMJTJ-RKDXNWHRSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NCC=CCNC(=O)c2[nH]ncc2F)C1 ZINC001759751711 1190288840 /nfs/dbraw/zinc/28/88/40/1190288840.db2.gz DKMZIZLDWNVSFP-KXMPLOMGSA-N 0 2 323.372 0.293 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NCCC(C)(C)C(=O)[O-] ZINC000314679258 1190402807 /nfs/dbraw/zinc/40/28/07/1190402807.db2.gz ZWGLJPMVTSSGAP-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN COCCN(CCOC)c1nnc(-c2n[nH]c(Cl)n2)n1C ZINC001772151570 1190438110 /nfs/dbraw/zinc/43/81/10/1190438110.db2.gz VYDHSJKZIQQBNX-UHFFFAOYSA-N 0 2 315.765 0.353 20 0 DCADLN Cc1cnc(COCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001771752030 1190488539 /nfs/dbraw/zinc/48/85/39/1190488539.db2.gz AEKVUEJJNYJXEA-JTQLQIEISA-N 0 2 321.337 0.730 20 0 DCADLN C[C@H](C[C@@H](C)Nc1[nH+]cnc2nc[nH]c21)NC(=O)c1nnc[n-]1 ZINC001089374583 751367699 /nfs/dbraw/zinc/36/76/99/751367699.db2.gz KELDBKSGKRCONX-HTQZYQBOSA-N 0 2 315.341 0.480 20 0 DCADLN CN(C(=O)c1c(F)cncc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042808017 751924738 /nfs/dbraw/zinc/92/47/38/751924738.db2.gz URGFDTNIAQQIFG-UHFFFAOYSA-N 0 2 324.291 0.140 20 0 DCADLN Cc1[nH]c(CN2CC[NH+](CC(=O)N(C)C)CC2)c(C)c1C(=O)[O-] ZINC001140496198 747316897 /nfs/dbraw/zinc/31/68/97/747316897.db2.gz VUWDEFNRFOATHK-UHFFFAOYSA-N 0 2 322.409 0.536 20 0 DCADLN Nc1cc(CNc2ccc3c(c2)S(=O)(=O)[N-]C3=O)cc[nH+]1 ZINC001167617274 747430306 /nfs/dbraw/zinc/43/03/06/747430306.db2.gz YDHMGYRZCPPSEP-UHFFFAOYSA-N 0 2 304.331 0.708 20 0 DCADLN CO[C@@H](C)c1noc(C[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001125729370 747540921 /nfs/dbraw/zinc/54/09/21/747540921.db2.gz YOKULRLTVKRTFC-QMMMGPOBSA-N 0 2 324.341 0.034 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCc1ccccc1 ZINC001204638322 748016794 /nfs/dbraw/zinc/01/67/94/748016794.db2.gz KEYXOOCJGBEJNG-AWEZNQCLSA-N 0 2 315.391 0.792 20 0 DCADLN Cc1cc(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)ccc1[NH+](C)C ZINC001167861123 748444860 /nfs/dbraw/zinc/44/48/60/748444860.db2.gz DYIPBPHFBKOFCB-CABZTGNLSA-N 0 2 313.379 0.875 20 0 DCADLN O=C(CCCC(=O)Nc1ccccc1)NCc1n[nH]c(=O)[nH]1 ZINC001143567211 748794115 /nfs/dbraw/zinc/79/41/15/748794115.db2.gz QWIFTCLUZBFELA-UHFFFAOYSA-N 0 2 303.322 0.936 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccoc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087502088 748869822 /nfs/dbraw/zinc/86/98/22/748869822.db2.gz OFANEQMSLXICDE-WDEREUQCSA-N 0 2 305.338 0.886 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccoc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087502088 748869827 /nfs/dbraw/zinc/86/98/27/748869827.db2.gz OFANEQMSLXICDE-WDEREUQCSA-N 0 2 305.338 0.886 20 0 DCADLN Cc1ncncc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088477656 749484613 /nfs/dbraw/zinc/48/46/13/749484613.db2.gz LRKBVEUMWNGIQR-KOLCDFICSA-N 0 2 317.353 0.002 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)/C=C\C(C)(C)C ZINC001212048302 749757026 /nfs/dbraw/zinc/75/70/26/749757026.db2.gz YJKUQFONUIQERU-ADRPCIBZSA-N 0 2 323.397 0.428 20 0 DCADLN CCN(C)C(=O)CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001112868849 761984858 /nfs/dbraw/zinc/98/48/58/761984858.db2.gz ZULMPAWTOPGWFW-JTQLQIEISA-N 0 2 313.295 0.509 20 0 DCADLN CCN(C)C(=O)CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001112868849 761984861 /nfs/dbraw/zinc/98/48/61/761984861.db2.gz ZULMPAWTOPGWFW-JTQLQIEISA-N 0 2 313.295 0.509 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CCC2(F)F)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088650397 749829703 /nfs/dbraw/zinc/82/97/03/749829703.db2.gz RRRZROOHYWTYKV-HLTSFMKQSA-N 0 2 315.324 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CCC2(F)F)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088650397 749829708 /nfs/dbraw/zinc/82/97/08/749829708.db2.gz RRRZROOHYWTYKV-HLTSFMKQSA-N 0 2 315.324 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CCC2(F)F)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088650397 749829714 /nfs/dbraw/zinc/82/97/14/749829714.db2.gz RRRZROOHYWTYKV-HLTSFMKQSA-N 0 2 315.324 0.635 20 0 DCADLN Cc1nc(NC[C@@H](O)CNC(=O)[C@@H](C2CC2)[NH+](C)C)cc[nH+]1 ZINC001105995232 750631781 /nfs/dbraw/zinc/63/17/81/750631781.db2.gz QCSVIDGAJOFFNF-TZMCWYRMSA-N 0 2 307.398 0.014 20 0 DCADLN C[C@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)c1ccco1 ZINC001088852840 750650666 /nfs/dbraw/zinc/65/06/66/750650666.db2.gz CJYNCTAUCAEEIP-AXFHLTTASA-N 0 2 319.365 0.986 20 0 DCADLN C[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)c1ccco1 ZINC001088852840 750650671 /nfs/dbraw/zinc/65/06/71/750650671.db2.gz CJYNCTAUCAEEIP-AXFHLTTASA-N 0 2 319.365 0.986 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cc(F)c[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071693062 762065020 /nfs/dbraw/zinc/06/50/20/762065020.db2.gz LLFAZBIFSHESHL-WPRPVWTQSA-N 0 2 322.344 0.760 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)C[C@@]12CC[N@H+](C1)CCC2 ZINC000913926504 750957628 /nfs/dbraw/zinc/95/76/28/750957628.db2.gz FGENECVPGBLDES-KGLIPLIRSA-N 0 2 312.439 0.677 20 0 DCADLN Cc1nccc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142189 750979611 /nfs/dbraw/zinc/97/96/11/750979611.db2.gz MLONQELVEWSALB-VXNVDRBHSA-N 0 2 310.251 0.574 20 0 DCADLN O=C(NC[C@H](O)CNc1cc(F)ncn1)C(F)C(F)(F)F ZINC001106142466 750980428 /nfs/dbraw/zinc/98/04/28/750980428.db2.gz QIJUWPHKJALHRE-SVGQVSJJSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](O)CNc1cc(F)ncn1)[C@@H](F)C(F)(F)F ZINC001106142466 750980431 /nfs/dbraw/zinc/98/04/31/750980431.db2.gz QIJUWPHKJALHRE-SVGQVSJJSA-N 0 2 314.214 0.405 20 0 DCADLN C[C@@H]1CCC[C@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043696228 752352990 /nfs/dbraw/zinc/35/29/90/752352990.db2.gz IDLXURQXQFPTCW-MNOVXSKESA-N 0 2 307.398 0.979 20 0 DCADLN CCc1cc(C)c(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001044192213 752619344 /nfs/dbraw/zinc/61/93/44/752619344.db2.gz ZQOOYDQNCKDXMQ-UHFFFAOYSA-N 0 2 319.365 0.931 20 0 DCADLN CN(C(=O)c1ncccc1Cl)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044210358 752630683 /nfs/dbraw/zinc/63/06/83/752630683.db2.gz KAGYPVGVENBLQW-UHFFFAOYSA-N 0 2 322.756 0.515 20 0 DCADLN CN(C(=O)c1cc(F)cc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044268432 752655468 /nfs/dbraw/zinc/65/54/68/752655468.db2.gz VZUMXSGADWRGPS-UHFFFAOYSA-N 0 2 323.303 0.745 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001071886447 762202473 /nfs/dbraw/zinc/20/24/73/762202473.db2.gz DLDZQVSVQSFQJP-NXEZZACHSA-N 0 2 319.369 0.325 20 0 DCADLN O=C(N[C@@H]1C[C@H]([NH2+]Cc2csnn2)C12CCC2)c1cnn[nH]1 ZINC001078667616 753334662 /nfs/dbraw/zinc/33/46/62/753334662.db2.gz GUAKZZNFGZTYFZ-WDEREUQCSA-N 0 2 319.394 0.487 20 0 DCADLN CC(=O)N[C@@H]1CCN(Cc2nc(=O)c3sccc3[nH]2)C[C@H]1O ZINC001089976160 753445419 /nfs/dbraw/zinc/44/54/19/753445419.db2.gz NSUGIVGUJPEFQD-MWLCHTKSSA-N 0 2 322.390 0.468 20 0 DCADLN Cn1cccc1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046151150 753510128 /nfs/dbraw/zinc/51/01/28/753510128.db2.gz HMCBZHAMVPUWME-CQSZACIVSA-N 0 2 304.354 0.243 20 0 DCADLN Cn1cccc1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046151150 753510132 /nfs/dbraw/zinc/51/01/32/753510132.db2.gz HMCBZHAMVPUWME-CQSZACIVSA-N 0 2 304.354 0.243 20 0 DCADLN CCc1ocnc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046208810 753559724 /nfs/dbraw/zinc/55/97/24/753559724.db2.gz OSOIWTBFMMVOQM-CQSZACIVSA-N 0 2 320.353 0.455 20 0 DCADLN O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1ncccn1)C2)c1cnon1 ZINC001047164921 754114608 /nfs/dbraw/zinc/11/46/08/754114608.db2.gz BOBVGSFRXNJPRY-SRVKXCTJSA-N 0 2 314.349 0.643 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccn(C)n2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001072072405 762339130 /nfs/dbraw/zinc/33/91/30/762339130.db2.gz JWAKISBWDLIQNQ-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@H](CNc1nccn2nnnc12)NC(=O)C(F)C(F)(F)F ZINC001108170552 754867975 /nfs/dbraw/zinc/86/79/75/754867975.db2.gz IBRPVXOHALLZCI-RITPCOANSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@H](CNc1nccn2nnnc12)NC(=O)[C@H](F)C(F)(F)F ZINC001108170552 754867979 /nfs/dbraw/zinc/86/79/79/754867979.db2.gz IBRPVXOHALLZCI-RITPCOANSA-N 0 2 321.238 0.336 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001072080524 762344742 /nfs/dbraw/zinc/34/47/42/762344742.db2.gz WZGZESPKPFCVOZ-MNOVXSKESA-N 0 2 318.381 0.930 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001072080524 762344750 /nfs/dbraw/zinc/34/47/50/762344750.db2.gz WZGZESPKPFCVOZ-MNOVXSKESA-N 0 2 318.381 0.930 20 0 DCADLN CC(C)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001048956940 755039389 /nfs/dbraw/zinc/03/93/89/755039389.db2.gz AREBVOPPTZLAQG-XHNCKOQMSA-N 0 2 314.279 0.573 20 0 DCADLN CC(C)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001048956940 755039394 /nfs/dbraw/zinc/03/93/94/755039394.db2.gz AREBVOPPTZLAQG-XHNCKOQMSA-N 0 2 314.279 0.573 20 0 DCADLN O=C(c1ccon1)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049362790 755235364 /nfs/dbraw/zinc/23/53/64/755235364.db2.gz YOMRCGNQKLIQPE-QWRGUYRKSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1ccon1)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049362790 755235369 /nfs/dbraw/zinc/23/53/69/755235369.db2.gz YOMRCGNQKLIQPE-QWRGUYRKSA-N 0 2 318.337 0.377 20 0 DCADLN CCOCC(=O)N(CC)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001079255212 755759980 /nfs/dbraw/zinc/75/99/80/755759980.db2.gz OFCQBYZEIVRUQJ-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N(CC)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001079255212 755759978 /nfs/dbraw/zinc/75/99/78/755759978.db2.gz OFCQBYZEIVRUQJ-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079549461 755905354 /nfs/dbraw/zinc/90/53/54/755905354.db2.gz PGOALNUUBCBGTG-LDYMZIIASA-N 0 2 304.354 0.397 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079549461 755905358 /nfs/dbraw/zinc/90/53/58/755905358.db2.gz PGOALNUUBCBGTG-LDYMZIIASA-N 0 2 304.354 0.397 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079646234 755942088 /nfs/dbraw/zinc/94/20/88/755942088.db2.gz CKCZQTAFBOIYMS-NXEZZACHSA-N 0 2 304.354 0.397 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079646234 755942094 /nfs/dbraw/zinc/94/20/94/755942094.db2.gz CKCZQTAFBOIYMS-NXEZZACHSA-N 0 2 304.354 0.397 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@H]1CC1(F)F ZINC001079667032 755966374 /nfs/dbraw/zinc/96/63/74/755966374.db2.gz KNWOFRLRXLZUIK-GJMOJQLCSA-N 0 2 301.297 0.102 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CC1(F)F ZINC001079667032 755966379 /nfs/dbraw/zinc/96/63/79/755966379.db2.gz KNWOFRLRXLZUIK-GJMOJQLCSA-N 0 2 301.297 0.102 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CC1(F)F ZINC001079667032 755966383 /nfs/dbraw/zinc/96/63/83/755966383.db2.gz KNWOFRLRXLZUIK-GJMOJQLCSA-N 0 2 301.297 0.102 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001079901653 756033460 /nfs/dbraw/zinc/03/34/60/756033460.db2.gz UAZMSCOUWHFOLQ-QMMMGPOBSA-N 0 2 323.250 0.378 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001079901653 756033463 /nfs/dbraw/zinc/03/34/63/756033463.db2.gz UAZMSCOUWHFOLQ-QMMMGPOBSA-N 0 2 323.250 0.378 20 0 DCADLN CC(C)=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053775333 756197165 /nfs/dbraw/zinc/19/71/65/756197165.db2.gz UUEWSOZMDCTQQK-LBPRGKRZSA-N 0 2 320.393 0.963 20 0 DCADLN CO[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@H]1NC(C)=O ZINC001081236010 756532806 /nfs/dbraw/zinc/53/28/06/756532806.db2.gz PFHUUVZAKQSODN-GHMZBOCLSA-N 0 2 322.390 0.732 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@H]2C)[nH]1 ZINC001054566826 756544458 /nfs/dbraw/zinc/54/44/58/756544458.db2.gz BWXDIVUNGMMFPK-XCBNKYQSSA-N 0 2 319.369 0.101 20 0 DCADLN Cc1ccoc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084190257 757717473 /nfs/dbraw/zinc/71/74/73/757717473.db2.gz YGXCMQXHZOCUIN-GHMZBOCLSA-N 0 2 317.349 0.758 20 0 DCADLN CCC(CC)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084199976 757721436 /nfs/dbraw/zinc/72/14/36/757721436.db2.gz XSRLUERBTSCINM-VXGBXAGGSA-N 0 2 307.398 0.979 20 0 DCADLN O=C([C@@H]1CC12CC2)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084684391 757968741 /nfs/dbraw/zinc/96/87/41/757968741.db2.gz IALBPKJTNFVLCY-OUAUKWLOSA-N 0 2 303.366 0.343 20 0 DCADLN Cc1cnoc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085904390 759458277 /nfs/dbraw/zinc/45/82/77/759458277.db2.gz DAAAFAFTTYATSJ-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN O=C(NC[C@H](CO)Nc1cc(F)ncn1)C(F)C(F)(F)F ZINC001122759929 767869498 /nfs/dbraw/zinc/86/94/98/767869498.db2.gz FXTLKOVAUCCSLU-XRGYYRRGSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](CO)Nc1cc(F)ncn1)[C@H](F)C(F)(F)F ZINC001122759929 767869504 /nfs/dbraw/zinc/86/95/04/767869504.db2.gz FXTLKOVAUCCSLU-XRGYYRRGSA-N 0 2 314.214 0.405 20 0 DCADLN CC1(C(=O)NC[C@@]2(C)CN(CCF)CCO2)CCOCC1 ZINC001108282739 760273840 /nfs/dbraw/zinc/27/38/40/760273840.db2.gz ZIIZMYUKOKJUSW-HNNXBMFYSA-N 0 2 302.390 0.980 20 0 DCADLN NC(=O)c1cc(Cl)nc(NCCNC(=O)C(F)(F)F)n1 ZINC001156199892 760440915 /nfs/dbraw/zinc/44/09/15/760440915.db2.gz PDDIGOAWAOTGRP-UHFFFAOYSA-N 0 2 311.651 0.319 20 0 DCADLN C[C@@]1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)CCOC1 ZINC001098104775 761058771 /nfs/dbraw/zinc/05/87/71/761058771.db2.gz CFOQESQLNBRDNZ-YYHMBLRTSA-N 0 2 321.381 0.158 20 0 DCADLN CC(C)OCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109030359 761207420 /nfs/dbraw/zinc/20/74/20/761207420.db2.gz RSUYEFQHYIFGIV-VWYCJHECSA-N 0 2 309.370 0.157 20 0 DCADLN O=C(CCC1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109105040 761257473 /nfs/dbraw/zinc/25/74/73/761257473.db2.gz ZCRHSDVBTXKKQN-WOPDTQHZSA-N 0 2 305.382 0.922 20 0 DCADLN O=C(CCC1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109105040 761257480 /nfs/dbraw/zinc/25/74/80/761257480.db2.gz ZCRHSDVBTXKKQN-WOPDTQHZSA-N 0 2 305.382 0.922 20 0 DCADLN CO[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001109227262 761311834 /nfs/dbraw/zinc/31/18/34/761311834.db2.gz IYVWKYXOJRZMNR-BLFANLJRSA-N 0 2 321.381 0.157 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1(CCF)CC1 ZINC001109550623 761455627 /nfs/dbraw/zinc/45/56/27/761455627.db2.gz KUHOJLBHNBWDIH-VWYCJHECSA-N 0 2 323.372 0.872 20 0 DCADLN CC(C)C(=O)N1C[C@H](C)O[C@@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071138419 761646226 /nfs/dbraw/zinc/64/62/26/761646226.db2.gz DMKUSMUCLCGSDG-XHDPSFHLSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)C(=O)N1C[C@H](C)O[C@@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071138419 761646229 /nfs/dbraw/zinc/64/62/29/761646229.db2.gz DMKUSMUCLCGSDG-XHDPSFHLSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071410537 761827402 /nfs/dbraw/zinc/82/74/02/761827402.db2.gz CWSUKQAOALUQDO-MWLCHTKSSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071410537 761827406 /nfs/dbraw/zinc/82/74/06/761827406.db2.gz CWSUKQAOALUQDO-MWLCHTKSSA-N 0 2 318.381 0.930 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001131802054 764038488 /nfs/dbraw/zinc/03/84/88/764038488.db2.gz DZLSSESHCPAPBB-ZYHUDNBSSA-N 0 2 323.397 0.739 20 0 DCADLN COCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131853118 764080605 /nfs/dbraw/zinc/08/06/05/764080605.db2.gz RPKRYPFVXSLUJM-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN COCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131853118 764080610 /nfs/dbraw/zinc/08/06/10/764080610.db2.gz RPKRYPFVXSLUJM-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](CN(C)C(=O)C[C@H]1CCCO1)Nc1ccc2nnnn2n1 ZINC001115616030 765768922 /nfs/dbraw/zinc/76/89/22/765768922.db2.gz CPCRXOBFDKMVAY-WDEREUQCSA-N 0 2 319.369 0.347 20 0 DCADLN Cc1cnc(C[NH2+][C@@H]2CC[C@H](CNC(=O)c3ncn[nH]3)C2)nc1 ZINC001086831525 766268644 /nfs/dbraw/zinc/26/86/44/766268644.db2.gz CBFMCIQZBHCDFD-NWDGAFQWSA-N 0 2 315.381 0.591 20 0 DCADLN Cc1noc([C@H](C)[NH2+][C@@H]2CC[C@H](CNC(=O)c3nnc[nH]3)C2)n1 ZINC001086832574 766272597 /nfs/dbraw/zinc/27/25/97/766272597.db2.gz XXQJRSSYJXYUBL-INTQDDNPSA-N 0 2 319.369 0.745 20 0 DCADLN Cc1noc([C@H](C)[NH2+][C@@H]2CC[C@H](CNC(=O)c3ncn[nH]3)C2)n1 ZINC001086832574 766272599 /nfs/dbraw/zinc/27/25/99/766272599.db2.gz XXQJRSSYJXYUBL-INTQDDNPSA-N 0 2 319.369 0.745 20 0 DCADLN Cc1ccc(N2C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001090546300 766304114 /nfs/dbraw/zinc/30/41/14/766304114.db2.gz TZAKMPRZLBOQDD-MRTMQBJTSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1ccc(N2C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001090546300 766304120 /nfs/dbraw/zinc/30/41/20/766304120.db2.gz TZAKMPRZLBOQDD-MRTMQBJTSA-N 0 2 322.262 0.351 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC001118574338 766362562 /nfs/dbraw/zinc/36/25/62/766362562.db2.gz FYALKTPAMHTAJX-INIZCTEOSA-N 0 2 322.361 0.947 20 0 DCADLN C[C@H]1COCC[N@H+]1CCN1C(=O)N[C@H](C[NH+]2CCCCC2)C1=O ZINC001119693660 766777499 /nfs/dbraw/zinc/77/74/99/766777499.db2.gz LTYBKAJZKVLVKN-UONOGXRCSA-N 0 2 324.425 0.113 20 0 DCADLN C[C@H]1COCC[N@H+]1CCN1C(=O)N[C@@H](C[NH+]2CCCCC2)C1=O ZINC001119693658 766777727 /nfs/dbraw/zinc/77/77/27/766777727.db2.gz LTYBKAJZKVLVKN-KBPBESRZSA-N 0 2 324.425 0.113 20 0 DCADLN O=C(Cn1cnnn1)Nc1ccc(F)c(Br)c1O ZINC001142139533 768620541 /nfs/dbraw/zinc/62/05/41/768620541.db2.gz SKMYKSOGEHUVPD-UHFFFAOYSA-N 0 2 316.090 0.919 20 0 DCADLN CSc1n[nH]c(NC(=O)c2n[nH]c3ncccc32)c1C(N)=O ZINC001149396154 768743712 /nfs/dbraw/zinc/74/37/12/768743712.db2.gz PNNTZSVHKYPSCM-UHFFFAOYSA-N 0 2 317.334 0.754 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc2oc(=O)ccc2c1 ZINC001151000164 769235217 /nfs/dbraw/zinc/23/52/17/769235217.db2.gz VBTRXFCEXXZHOC-LBPRGKRZSA-N 0 2 304.283 0.832 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@H]2CC[N@H+]2CCC[C@@H](C)O)c1[O-] ZINC001233634305 769415532 /nfs/dbraw/zinc/41/55/32/769415532.db2.gz KHITUFLILJITAP-ZYHUDNBSSA-N 0 2 310.398 0.731 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@H]2CC[N@@H+]2CCC[C@@H](C)O)c1[O-] ZINC001233634305 769415537 /nfs/dbraw/zinc/41/55/37/769415537.db2.gz KHITUFLILJITAP-ZYHUDNBSSA-N 0 2 310.398 0.731 20 0 DCADLN CC[C@H](C)OCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233728817 769434139 /nfs/dbraw/zinc/43/41/39/769434139.db2.gz CQASGTINHUFZPV-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN O=C(C[C@H]1CCOC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095254703 769712844 /nfs/dbraw/zinc/71/28/44/769712844.db2.gz DODMOVOURLABQN-WYUUTHIRSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(C[C@H]1CCOC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095254703 769712857 /nfs/dbraw/zinc/71/28/57/769712857.db2.gz DODMOVOURLABQN-WYUUTHIRSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(NCCc1ccon1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001153249712 769762017 /nfs/dbraw/zinc/76/20/17/769762017.db2.gz RVXXWYUOINWBMK-UHFFFAOYSA-N 0 2 315.289 0.227 20 0 DCADLN COCCC1(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001235172262 769773022 /nfs/dbraw/zinc/77/30/22/769773022.db2.gz MKODEJODRAVGBP-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN COC(=O)[C@H]1CC[C@H](NC(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153861421 769969131 /nfs/dbraw/zinc/96/91/31/769969131.db2.gz BUEDEAWLNLCDKS-UWVGGRQHSA-N 0 2 315.329 0.995 20 0 DCADLN CCN(Cc1nnc(C(C)C)[nH]1)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001154134420 770084458 /nfs/dbraw/zinc/08/44/58/770084458.db2.gz DCPJWCPUTJDIEO-SNVBAGLBSA-N 0 2 320.401 0.687 20 0 DCADLN O=C(CCc1nc(-c2ccccn2)no1)NCc1n[nH]c(=O)[nH]1 ZINC001177193431 770380938 /nfs/dbraw/zinc/38/09/38/770380938.db2.gz WMRWHSJPWDILSO-UHFFFAOYSA-N 0 2 315.293 0.204 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H]1CCCN1Cc1ccco1 ZINC001177627589 770515102 /nfs/dbraw/zinc/51/51/02/770515102.db2.gz JJZLPBUSJAUVCT-BXKDBHETSA-N 0 2 309.347 0.669 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc2n(n1)CC1(CCC1)CO2 ZINC001156171702 770738068 /nfs/dbraw/zinc/73/80/68/770738068.db2.gz AGYMVJRPQXZZHK-UHFFFAOYSA-N 0 2 304.310 0.200 20 0 DCADLN C[C@@H]1CCC(=CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001179020668 770921403 /nfs/dbraw/zinc/92/14/03/770921403.db2.gz DMOBUWFOEQALAY-ZFZMKPAXSA-N 0 2 312.395 0.712 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=Cc2ccccc2)[nH]1 ZINC001157032537 771520771 /nfs/dbraw/zinc/52/07/71/771520771.db2.gz IGNJIPDMIHNKKR-BQYQJAHWSA-N 0 2 320.374 0.679 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@]1([C@H]2CCCCO2)CCCO1 ZINC001182832174 771563257 /nfs/dbraw/zinc/56/32/57/771563257.db2.gz FPFJZNMWYMXFTM-IAQYHMDHSA-N 0 2 324.381 0.677 20 0 DCADLN NC(=O)c1ncc(NS(=O)(=O)c2cccnc2Cl)cn1 ZINC001184836469 771833745 /nfs/dbraw/zinc/83/37/45/771833745.db2.gz WXVFVQJFSDRHFA-UHFFFAOYSA-N 0 2 313.726 0.425 20 0 DCADLN COc1ccc(OC)c(OCCC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001186218320 772022466 /nfs/dbraw/zinc/02/24/66/772022466.db2.gz ALHVPIRVSRBOIB-UHFFFAOYSA-N 0 2 322.321 0.613 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H](O)CCCc1ccccc1 ZINC001187056360 772127182 /nfs/dbraw/zinc/12/71/82/772127182.db2.gz JLRKXJQDVOPNNQ-ZWNOBZJWSA-N 0 2 308.359 0.796 20 0 DCADLN CCO[C@H](CC)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187108737 772132301 /nfs/dbraw/zinc/13/23/01/772132301.db2.gz SOIRFTMWJGUKSB-QJPTWQEYSA-N 0 2 323.397 0.358 20 0 DCADLN CCO[C@H](CC)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187108737 772132303 /nfs/dbraw/zinc/13/23/03/772132303.db2.gz SOIRFTMWJGUKSB-QJPTWQEYSA-N 0 2 323.397 0.358 20 0 DCADLN CCOCCS(=O)(=O)Nc1cnc(OCCOC)cn1 ZINC001187246644 772152932 /nfs/dbraw/zinc/15/29/32/772152932.db2.gz RTPOHHGKQZBLGR-UHFFFAOYSA-N 0 2 305.356 0.280 20 0 DCADLN CCOC(=O)c1[nH]cnc1NC(=O)c1n[nH]nc1C(F)(F)F ZINC001187758670 772224206 /nfs/dbraw/zinc/22/42/06/772224206.db2.gz BIJPUJCCUOHGAD-UHFFFAOYSA-N 0 2 318.215 0.976 20 0 DCADLN CCOC(=O)c1nc[nH]c1NC(=O)c1n[nH]nc1C(F)(F)F ZINC001187758670 772224210 /nfs/dbraw/zinc/22/42/10/772224210.db2.gz BIJPUJCCUOHGAD-UHFFFAOYSA-N 0 2 318.215 0.976 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc(-n2cccn2)nc1 ZINC001189969021 772564934 /nfs/dbraw/zinc/56/49/34/772564934.db2.gz IGJKLOKIBSDMFE-LLVKDONJSA-N 0 2 303.303 0.306 20 0 DCADLN COC(=O)CN1CCN(C(=O)c2c(F)ccc(F)c2O)CC1 ZINC001192703100 772948964 /nfs/dbraw/zinc/94/89/64/772948964.db2.gz OWLSMYSNOBBNOJ-UHFFFAOYSA-N 0 2 314.288 0.601 20 0 DCADLN O=C(NCCN1CCCS1(=O)=O)c1c(F)ccc(F)c1O ZINC001192718448 772953092 /nfs/dbraw/zinc/95/30/92/772953092.db2.gz YSBBDRIUAPFBQH-UHFFFAOYSA-N 0 2 320.317 0.436 20 0 DCADLN COC(=O)CN1CCN(C(=O)c2ccc(O)c(F)c2F)CC1 ZINC001192833251 772966014 /nfs/dbraw/zinc/96/60/14/772966014.db2.gz ONFJHOIIIQGXNQ-UHFFFAOYSA-N 0 2 314.288 0.601 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2ccccc2N)n(C)n1 ZINC001193895711 773126416 /nfs/dbraw/zinc/12/64/16/773126416.db2.gz HDAXJJCLZIULOC-UHFFFAOYSA-N 0 2 324.362 0.980 20 0 DCADLN C[C@@H]1CN(Cc2ncnn2C)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207023127 773163607 /nfs/dbraw/zinc/16/36/07/773163607.db2.gz QOEUUKMQQQRGCW-NQMVMOMDSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H]1CN(Cc2ncnn2C)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001207023127 773163608 /nfs/dbraw/zinc/16/36/08/773163608.db2.gz QOEUUKMQQQRGCW-NQMVMOMDSA-N 0 2 323.294 0.652 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccnc(NC(C)=O)c2)[nH]n1 ZINC001194283646 773175942 /nfs/dbraw/zinc/17/59/42/773175942.db2.gz OYSLUEZMCKGREP-UHFFFAOYSA-N 0 2 303.278 0.802 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccnc(NC(C)=O)c2)n[nH]1 ZINC001194283646 773175945 /nfs/dbraw/zinc/17/59/45/773175945.db2.gz OYSLUEZMCKGREP-UHFFFAOYSA-N 0 2 303.278 0.802 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccccc2-c2nn[nH]n2)[nH]n1 ZINC001194288624 773177639 /nfs/dbraw/zinc/17/76/39/773177639.db2.gz JGJXQSRLOIRIQZ-UHFFFAOYSA-N 0 2 313.277 0.629 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2cccc3nccnc32)S1 ZINC001194989644 773300955 /nfs/dbraw/zinc/30/09/55/773300955.db2.gz LVWJJVRWAKHQGH-JTQLQIEISA-N 0 2 324.343 0.217 20 0 DCADLN Cc1c(Br)n[nH]c1C(=O)Nc1ncnc2n[nH]nc21 ZINC001195591926 773439221 /nfs/dbraw/zinc/43/92/21/773439221.db2.gz RSNPBULWDCJMEO-UHFFFAOYSA-N 0 2 323.114 0.794 20 0 DCADLN CCOC(=O)c1ccncc1S(=O)(=O)Nc1nnc(C)o1 ZINC001195590636 773439369 /nfs/dbraw/zinc/43/93/69/773439369.db2.gz XZKDAAHDEJGCGF-UHFFFAOYSA-N 0 2 312.307 0.751 20 0 DCADLN Cc1nnc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(F)C(F)(F)F)o1 ZINC001114308852 773445949 /nfs/dbraw/zinc/44/59/49/773445949.db2.gz MWTGPMGLMQRKQP-GOZTYBTRSA-N 0 2 322.262 0.825 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(C)C ZINC001208502954 773481394 /nfs/dbraw/zinc/48/13/94/773481394.db2.gz AOJLCICRGDAQHW-CKYFFXLPSA-N 0 2 311.386 0.118 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(C)C ZINC001208502954 773481396 /nfs/dbraw/zinc/48/13/96/773481396.db2.gz AOJLCICRGDAQHW-CKYFFXLPSA-N 0 2 311.386 0.118 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1nc(OC)cnc1Cl ZINC001195942526 773499956 /nfs/dbraw/zinc/49/99/56/773499956.db2.gz PDUIWTWAQPMKRH-UHFFFAOYSA-N 0 2 309.731 0.443 20 0 DCADLN CCOC(=O)CCc1cccc(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001197547497 773765648 /nfs/dbraw/zinc/76/56/48/773765648.db2.gz CSPMBWDMHXARBG-UHFFFAOYSA-N 0 2 318.333 0.936 20 0 DCADLN CC(C)NC(=O)C[N@@H+]1CCCN(C(=O)C[NH+]2CCCC2)CC1 ZINC001198097440 773853776 /nfs/dbraw/zinc/85/37/76/773853776.db2.gz BFMXSTWYHGKEDM-UHFFFAOYSA-N 0 2 310.442 0.141 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cn(Cc2ccccn2)cn1 ZINC001198376334 773910234 /nfs/dbraw/zinc/91/02/34/773910234.db2.gz UZXXRWVXVNKVOV-LBPRGKRZSA-N 0 2 317.330 0.365 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cc(O)cc(C(N)=O)c2)n1C ZINC001198406522 773918043 /nfs/dbraw/zinc/91/80/43/773918043.db2.gz GJOVBTFSUGFSMM-UHFFFAOYSA-N 0 2 310.335 0.334 20 0 DCADLN O=C(CC1(O)CCC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110540578 774176186 /nfs/dbraw/zinc/17/61/86/774176186.db2.gz YQSHEUQNFAXFDH-MXWKQRLJSA-N 0 2 321.381 0.037 20 0 DCADLN O=C(CC1(O)CCC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110540578 774176189 /nfs/dbraw/zinc/17/61/89/774176189.db2.gz YQSHEUQNFAXFDH-MXWKQRLJSA-N 0 2 321.381 0.037 20 0 DCADLN O=C([O-])[C@@H](Cc1ccccc1)NC(=O)NCCn1cc[nH+]c1 ZINC000315317055 774815606 /nfs/dbraw/zinc/81/56/06/774815606.db2.gz KWNLEXSEZJDNCW-CYBMUJFWSA-N 0 2 302.334 0.878 20 0 DCADLN O=C(N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1(CCF)CC1 ZINC001221709026 775177783 /nfs/dbraw/zinc/17/77/83/775177783.db2.gz QQOSXGASQZEPMW-GHMZBOCLSA-N 0 2 323.372 0.683 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCc1ccncc1 ZINC001222123504 775279614 /nfs/dbraw/zinc/27/96/14/775279614.db2.gz LGBRYASKBRAPED-CYBMUJFWSA-N 0 2 316.379 0.187 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCC[C@H]1CCOC1 ZINC001224696359 775596764 /nfs/dbraw/zinc/59/67/64/775596764.db2.gz CTDGBJXZOBVPKH-CHWSQXEVSA-N 0 2 323.411 0.018 20 0 DCADLN CC(C)CNC(=O)C[NH+]1CCC(CNC(=O)c2ncn[nH]2)CC1 ZINC001224884214 775616720 /nfs/dbraw/zinc/61/67/20/775616720.db2.gz QKDFUEFHFHDLLM-UHFFFAOYSA-N 0 2 322.413 0.019 20 0 DCADLN CC(C)CNC(=O)C[NH+]1CCC(CNC(=O)c2nc[nH]n2)CC1 ZINC001224884214 775616725 /nfs/dbraw/zinc/61/67/25/775616725.db2.gz QKDFUEFHFHDLLM-UHFFFAOYSA-N 0 2 322.413 0.019 20 0 DCADLN Cc1cc(NCCN(CCO)C(=O)C(F)C(F)(F)F)ncn1 ZINC001111579551 775666081 /nfs/dbraw/zinc/66/60/81/775666081.db2.gz DOOOMSRIXIWDMT-JTQLQIEISA-N 0 2 324.278 0.918 20 0 DCADLN Cc1cc(NCCN(CCO)C(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001111579551 775666092 /nfs/dbraw/zinc/66/60/92/775666092.db2.gz DOOOMSRIXIWDMT-JTQLQIEISA-N 0 2 324.278 0.918 20 0 DCADLN CCOC(=O)[C@H](Oc1[nH]c(=O)nc2nccnc21)C(F)(F)F ZINC001226988853 775906680 /nfs/dbraw/zinc/90/66/80/775906680.db2.gz APKWRUPLISINAN-LURJTMIESA-N 0 2 318.211 0.998 20 0 DCADLN CCOC(=O)[C@H]1CC[C@H](Oc2[nH]c(=O)nc3nc[nH]c32)CO1 ZINC001227069744 775922545 /nfs/dbraw/zinc/92/25/45/775922545.db2.gz IVWSNULYNQTPAN-JGVFFNPUSA-N 0 2 308.294 0.548 20 0 DCADLN O=C(CCOCC1CC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001227352368 775965151 /nfs/dbraw/zinc/96/51/51/775965151.db2.gz AEOSUKXETJGINR-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN COCC(C)(C)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001228293716 776065899 /nfs/dbraw/zinc/06/58/99/776065899.db2.gz CYQHEVMJOGWGGZ-UHFFFAOYSA-N 0 2 311.386 0.264 20 0 DCADLN COC(=O)c1nc(O[C@@H]2C[C@@H]3[C@@H](OC(C)=O)C[C@H](C2)[N@H+]3C)n[n-]1 ZINC001228311686 776067814 /nfs/dbraw/zinc/06/78/14/776067814.db2.gz NGYZLAWNWNJCMH-MMWGEVLESA-N 0 2 324.337 0.137 20 0 DCADLN COC(=O)c1nc(O[C@@H]2C[C@@H]3[C@@H](OC(C)=O)C[C@H](C2)[N@@H+]3C)n[n-]1 ZINC001228311686 776067826 /nfs/dbraw/zinc/06/78/26/776067826.db2.gz NGYZLAWNWNJCMH-MMWGEVLESA-N 0 2 324.337 0.137 20 0 DCADLN COC(=O)c1c[nH]c(OC(COC(C)=O)COC(C)=O)n1 ZINC001230281942 776275884 /nfs/dbraw/zinc/27/58/84/776275884.db2.gz LXODOSOMXKKQIM-UHFFFAOYSA-N 0 2 300.267 0.070 20 0 DCADLN CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001230618549 776354520 /nfs/dbraw/zinc/35/45/20/776354520.db2.gz FNIKLCOLAWUIOJ-ZDUSSCGKSA-N 0 2 317.393 0.855 20 0 DCADLN CCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001230618549 776354534 /nfs/dbraw/zinc/35/45/34/776354534.db2.gz FNIKLCOLAWUIOJ-ZDUSSCGKSA-N 0 2 317.393 0.855 20 0 DCADLN CC(F)(F)C(=O)N1CC[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001041887523 777568643 /nfs/dbraw/zinc/56/86/43/777568643.db2.gz MBHFQWSGHYPPMW-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN O=C([O-])[C@@]1(NC(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCOC1 ZINC000902061732 939283227 /nfs/dbraw/zinc/28/32/27/939283227.db2.gz DOHFWJIUDQPQQD-LDYMZIIASA-N 0 2 321.255 0.737 20 0 DCADLN Cc1nc(C[NH2+]CCN(C)C(=O)CC[NH+]2CCOCC2)c(C)o1 ZINC001267442168 939457571 /nfs/dbraw/zinc/45/75/71/939457571.db2.gz ZEPVULYUFFNQJC-UHFFFAOYSA-N 0 2 324.425 0.562 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(F)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001480971713 939506356 /nfs/dbraw/zinc/50/63/56/939506356.db2.gz WWZGCFSWBHAGKM-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(F)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001480971713 939506357 /nfs/dbraw/zinc/50/63/57/939506357.db2.gz WWZGCFSWBHAGKM-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN O=C(C=Cc1ccco1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267620759 939594111 /nfs/dbraw/zinc/59/41/11/939594111.db2.gz GSFMKIBZBJZJFX-QRGHLMKCSA-N 0 2 317.349 0.897 20 0 DCADLN CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCCC[N@H+]2CC)C1 ZINC001409966838 945290190 /nfs/dbraw/zinc/29/01/90/945290190.db2.gz IRCXZLFNBSRGAA-ZFWWWQNUSA-N 0 2 324.469 0.433 20 0 DCADLN Cc1nnc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)o1 ZINC001481689375 940039207 /nfs/dbraw/zinc/03/92/07/940039207.db2.gz WJIYHWAEWPGSBK-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nnc(CN2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)o1 ZINC001481689375 940039213 /nfs/dbraw/zinc/03/92/13/940039213.db2.gz WJIYHWAEWPGSBK-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN C[C@@H](F)C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001272008930 941514830 /nfs/dbraw/zinc/51/48/30/941514830.db2.gz IXQODCHULSRHOR-ZCFIWIBFSA-N 0 2 309.257 0.553 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001409609705 942087486 /nfs/dbraw/zinc/08/74/86/942087486.db2.gz FAHIMAMEJHWFOW-SSDOTTSWSA-N 0 2 307.358 0.101 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001409609705 942087492 /nfs/dbraw/zinc/08/74/92/942087492.db2.gz FAHIMAMEJHWFOW-SSDOTTSWSA-N 0 2 307.358 0.101 20 0 DCADLN CC(C)c1oncc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409641679 942113811 /nfs/dbraw/zinc/11/38/11/942113811.db2.gz GNUOPBZDSZGDGZ-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1oncc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409641679 942113813 /nfs/dbraw/zinc/11/38/13/942113813.db2.gz GNUOPBZDSZGDGZ-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)CCC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001412875615 942120091 /nfs/dbraw/zinc/12/00/91/942120091.db2.gz MWFKMMSKTKPSEE-SCZZXKLOSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001412875615 942120095 /nfs/dbraw/zinc/12/00/95/942120095.db2.gz MWFKMMSKTKPSEE-SCZZXKLOSA-N 0 2 316.295 0.916 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1occ2c1CCC2 ZINC001483367526 942303288 /nfs/dbraw/zinc/30/32/88/942303288.db2.gz JIOXUHLUHQHDRO-VIFPVBQESA-N 0 2 319.365 0.842 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1occ2c1CCC2 ZINC001483367526 942303294 /nfs/dbraw/zinc/30/32/94/942303294.db2.gz JIOXUHLUHQHDRO-VIFPVBQESA-N 0 2 319.365 0.842 20 0 DCADLN COCC(=O)NC[C@H](CC(C)C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001409779096 942473497 /nfs/dbraw/zinc/47/34/97/942473497.db2.gz IKPGDRNUIUFJDL-LBPRGKRZSA-N 0 2 310.398 0.554 20 0 DCADLN Cc1cc(NC[C@@H](O)CNC(=O)[C@@H]2CCC[N@@H+]2C)nc(C)[nH+]1 ZINC001105751265 942530490 /nfs/dbraw/zinc/53/04/90/942530490.db2.gz YXTFKWARLMTXBF-OLZOCXBDSA-N 0 2 307.398 0.077 20 0 DCADLN COC(=O)c1cc(C)cc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001413657276 943553777 /nfs/dbraw/zinc/55/37/77/943553777.db2.gz UTFHSZMDDGCUSW-UHFFFAOYSA-N 0 2 305.294 0.927 20 0 DCADLN CC/C=C(/C)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001484793692 943579831 /nfs/dbraw/zinc/57/98/31/943579831.db2.gz XCFLOWDUALUNOF-FGUAACIASA-N 0 2 321.381 0.326 20 0 DCADLN C[C@H](NC(=O)[C@@H]1OCCO[C@@H]1C(F)(F)F)c1nn(C)cc1O ZINC001413759549 943669485 /nfs/dbraw/zinc/66/94/85/943669485.db2.gz JOSFEBIPKLSQHX-QUNWWBBNSA-N 0 2 323.271 0.649 20 0 DCADLN CCn1ncc(CN(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001442411550 944111589 /nfs/dbraw/zinc/11/15/89/944111589.db2.gz IPUCQKZKHLIZEW-SECBINFHSA-N 0 2 311.283 0.746 20 0 DCADLN CCn1ncc(CN(C)CCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001442411550 944111596 /nfs/dbraw/zinc/11/15/96/944111596.db2.gz IPUCQKZKHLIZEW-SECBINFHSA-N 0 2 311.283 0.746 20 0 DCADLN C[C@@H](NC(=O)[C@@H](C)C1CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409928273 944193264 /nfs/dbraw/zinc/19/32/64/944193264.db2.gz TZYHEIPDPYVITC-VHSXEESVSA-N 0 2 307.398 0.883 20 0 DCADLN O=C([O-])[C@@H]1CCN(C[C@H](O)C[NH+]2CCOCC2)c2ccccc21 ZINC000323951411 971065144 /nfs/dbraw/zinc/06/51/44/971065144.db2.gz DBMCXQNOLYLPIT-UKRRQHHQSA-N 0 2 320.389 0.758 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@@H](n2ccnc2)C1 ZINC001611488370 971075402 /nfs/dbraw/zinc/07/54/02/971075402.db2.gz GKHRZRKWAHZNEA-LLVKDONJSA-N 0 2 301.368 0.019 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@@H](n2ccnc2)C1 ZINC001611488370 971075408 /nfs/dbraw/zinc/07/54/08/971075408.db2.gz GKHRZRKWAHZNEA-LLVKDONJSA-N 0 2 301.368 0.019 20 0 DCADLN O=C([O-])CS(=O)(=O)CCN1CCC[C@@H](n2cc[nH+]c2)C1 ZINC001611488370 971075416 /nfs/dbraw/zinc/07/54/16/971075416.db2.gz GKHRZRKWAHZNEA-LLVKDONJSA-N 0 2 301.368 0.019 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH+]1CCC(OCC2CC2)CC1 ZINC001611488775 971080127 /nfs/dbraw/zinc/08/01/27/971080127.db2.gz ZOXHAIGAAAVKRZ-UHFFFAOYSA-N 0 2 305.396 0.377 20 0 DCADLN Nc1cnnc(NNS(=O)(=O)C[C@@H]2CCCCO2)c1Cl ZINC001319378821 945574777 /nfs/dbraw/zinc/57/47/77/945574777.db2.gz FFFNSCNPRPTTKY-ZETCQYMHSA-N 0 2 321.790 0.528 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001487186141 945584923 /nfs/dbraw/zinc/58/49/23/945584923.db2.gz IINWTYGIUNPLST-HTRCEHHLSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001487186141 945584940 /nfs/dbraw/zinc/58/49/40/945584940.db2.gz IINWTYGIUNPLST-HTRCEHHLSA-N 0 2 322.262 0.887 20 0 DCADLN CCC(=O)c1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001319938524 945698229 /nfs/dbraw/zinc/69/82/29/945698229.db2.gz WRYDZEQOOXRLKX-UHFFFAOYSA-N 0 2 317.305 0.358 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)cc1 ZINC001251889480 945809084 /nfs/dbraw/zinc/80/90/84/945809084.db2.gz NVXVGYOAVYLGOV-UHFFFAOYSA-N 0 2 308.319 0.385 20 0 DCADLN Cc1csc(=O)n1CCC(=O)NCCc1n[nH]c(=S)o1 ZINC001320441839 945877460 /nfs/dbraw/zinc/87/74/60/945877460.db2.gz YVMSSDCVCKWWGI-UHFFFAOYSA-N 0 2 314.392 0.639 20 0 DCADLN Cc1ccc(C(=O)NCC(=O)NCCCc2n[nH]c(=O)[nH]2)s1 ZINC001252537246 945957763 /nfs/dbraw/zinc/95/77/63/945957763.db2.gz HQHGPHKVVIESCU-UHFFFAOYSA-N 0 2 323.378 0.359 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[NH2+]C[C@@H](O)COCc1ccco1 ZINC001252653854 945976952 /nfs/dbraw/zinc/97/69/52/945976952.db2.gz HPIUXYWUZVMMAG-PWSUYJOCSA-N 0 2 315.322 0.153 20 0 DCADLN Cc1ncn(C)c1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001410216551 946048910 /nfs/dbraw/zinc/04/89/10/946048910.db2.gz FYKJFYPOUMOJHU-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncn(C)c1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001410216551 946048922 /nfs/dbraw/zinc/04/89/22/946048922.db2.gz FYKJFYPOUMOJHU-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN O=C(CCNS(=O)(=O)CC(F)(F)F)NC1CCCC1 ZINC001253210207 946093663 /nfs/dbraw/zinc/09/36/63/946093663.db2.gz GKKCJGVRMFTNDN-UHFFFAOYSA-N 0 2 302.318 0.917 20 0 DCADLN O=C1C=CC(=O)N1CCCCNS(=O)(=O)CC(F)(F)F ZINC001253255138 946102799 /nfs/dbraw/zinc/10/27/99/946102799.db2.gz PBXTZBYTFXCQOY-UHFFFAOYSA-N 0 2 314.285 0.173 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1nccnc1N ZINC001410346271 946228045 /nfs/dbraw/zinc/22/80/45/946228045.db2.gz IRTBFMHGFSPENF-FSPLSTOPSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1nccnc1N ZINC001410346271 946228058 /nfs/dbraw/zinc/22/80/58/946228058.db2.gz IRTBFMHGFSPENF-FSPLSTOPSA-N 0 2 323.250 0.194 20 0 DCADLN CC(C(=O)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)=C1CCC1 ZINC001278777376 946362596 /nfs/dbraw/zinc/36/25/96/946362596.db2.gz ZBUXWPNJESVNKO-OAHLLOKOSA-N 0 2 321.381 0.064 20 0 DCADLN CC(C(=O)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)=C1CCC1 ZINC001278777376 946362607 /nfs/dbraw/zinc/36/26/07/946362607.db2.gz ZBUXWPNJESVNKO-OAHLLOKOSA-N 0 2 321.381 0.064 20 0 DCADLN O=C(CCNC(=O)c1ccco1)NCCc1n[nH]c(=S)o1 ZINC001255223989 946482246 /nfs/dbraw/zinc/48/22/46/946482246.db2.gz LKVKNDBLMSIRIK-UHFFFAOYSA-N 0 2 310.335 0.430 20 0 DCADLN NC(=O)C(=O)Nc1cccc(NS(=O)(=O)c2ccoc2)c1 ZINC001322050239 946562058 /nfs/dbraw/zinc/56/20/58/946562058.db2.gz WHPNKSCNMVUVOR-UHFFFAOYSA-N 0 2 309.303 0.504 20 0 DCADLN COc1ccccc1S(=O)(=O)Nc1cncc(C(N)=O)c1 ZINC001259294729 946940126 /nfs/dbraw/zinc/94/01/26/946940126.db2.gz IEAWSFQWXUCZOZ-UHFFFAOYSA-N 0 2 307.331 0.990 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc3c(c2)CCO3)S1 ZINC001259357961 946948205 /nfs/dbraw/zinc/94/82/05/946948205.db2.gz PDAYAEWBYZWXMR-SNVBAGLBSA-N 0 2 314.344 0.209 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)N(C)C(=O)C3)cn1 ZINC001259826511 946990959 /nfs/dbraw/zinc/99/09/59/946990959.db2.gz WYQDZXRHOTUFNL-UHFFFAOYSA-N 0 2 306.347 0.740 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)NC(=O)C3=O)cn1 ZINC001259827109 946991342 /nfs/dbraw/zinc/99/13/42/946991342.db2.gz JHDBXUAXICILKR-UHFFFAOYSA-N 0 2 306.303 0.356 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)CC(=O)NC3)cn1 ZINC001259827929 946993016 /nfs/dbraw/zinc/99/30/16/946993016.db2.gz YBBSLVGIBMCYRI-UHFFFAOYSA-N 0 2 306.347 0.393 20 0 DCADLN COc1ccc(C)cc1S(=O)(=O)Nc1cnn(C)c1C(N)=O ZINC001260291908 947091752 /nfs/dbraw/zinc/09/17/52/947091752.db2.gz ZJYIINRYBGOVSI-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cc(O)c(F)c(F)c1 ZINC001260591662 947118971 /nfs/dbraw/zinc/11/89/71/947118971.db2.gz FLDAXPNIFQWSNC-UHFFFAOYSA-N 0 2 301.292 0.414 20 0 DCADLN CC(=O)N1CCC[C@@H](CNS(=O)(=O)CC(F)(F)F)C1 ZINC000360202300 947131524 /nfs/dbraw/zinc/13/15/24/947131524.db2.gz JKJHQENIEKYJCO-VIFPVBQESA-N 0 2 302.318 0.727 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)cn1 ZINC001260667006 947135888 /nfs/dbraw/zinc/13/58/88/947135888.db2.gz UQIFYRFMEGTGQO-UHFFFAOYSA-N 0 2 320.330 0.917 20 0 DCADLN Cc1cccc(S(=O)(=O)Nc2cnn(C)c2C(N)=O)c1C ZINC001260990795 947164098 /nfs/dbraw/zinc/16/40/98/947164098.db2.gz PWMBXVZJXCCUMM-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1cccc2c1CNC(=O)C2 ZINC001261031076 947166964 /nfs/dbraw/zinc/16/69/64/947166964.db2.gz RNNZOMCXVUHPCC-UHFFFAOYSA-N 0 2 320.374 1.000 20 0 DCADLN CCOCC(=O)NC1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001411293649 947600383 /nfs/dbraw/zinc/60/03/83/947600383.db2.gz QDAWZHFTMQVUNT-SFVIPPHHSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NC1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001411293649 947600391 /nfs/dbraw/zinc/60/03/91/947600391.db2.gz QDAWZHFTMQVUNT-SFVIPPHHSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)NCC1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001411314885 947694166 /nfs/dbraw/zinc/69/41/66/947694166.db2.gz ARZWRJJXDQKNCV-QXUHLLMWSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NCC1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001411314885 947694177 /nfs/dbraw/zinc/69/41/77/947694177.db2.gz ARZWRJJXDQKNCV-QXUHLLMWSA-N 0 2 300.252 0.544 20 0 DCADLN NC(=O)COc1ccccc1C(=O)NCCc1n[nH]c(=S)o1 ZINC001325835263 947943440 /nfs/dbraw/zinc/94/34/40/947943440.db2.gz DOHLZSYUMPPBBS-UHFFFAOYSA-N 0 2 322.346 0.195 20 0 DCADLN CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C(=O)[O-])C(C)C)CC1 ZINC001326582761 948207395 /nfs/dbraw/zinc/20/73/95/948207395.db2.gz QBVSGOYJGXNMGC-ZDUSSCGKSA-N 0 2 313.398 0.014 20 0 DCADLN CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C(=O)[O-])C(C)C)CC1 ZINC001326582761 948207401 /nfs/dbraw/zinc/20/74/01/948207401.db2.gz QBVSGOYJGXNMGC-ZDUSSCGKSA-N 0 2 313.398 0.014 20 0 DCADLN O=C(CC[C@@H]1NC(=O)NC1=O)Nc1cccc(-c2nc[nH]n2)c1 ZINC001328355409 948851658 /nfs/dbraw/zinc/85/16/58/948851658.db2.gz GDUBZMDXTHRLLN-JTQLQIEISA-N 0 2 314.305 0.398 20 0 DCADLN NC(=O)c1ccc(Cl)c(NS(=O)(=O)c2ncc[nH]2)c1 ZINC000388751006 949028613 /nfs/dbraw/zinc/02/86/13/949028613.db2.gz KGROYPABWGUCDV-UHFFFAOYSA-N 0 2 300.727 0.963 20 0 DCADLN C[C@@H](C(=O)N[C@H](Cc1ccncc1)C(=O)[O-])[NH+]1CCSCC1 ZINC001602789381 971543727 /nfs/dbraw/zinc/54/37/27/971543727.db2.gz RKHTXBLSCZKEEL-WCQYABFASA-N 0 2 323.418 0.631 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCc2nncn2CC1)c1nn(C)cc1O ZINC001364395552 949184254 /nfs/dbraw/zinc/18/42/54/949184254.db2.gz FQAAMXWNJUPUCM-ZJUUUORDSA-N 0 2 304.354 0.547 20 0 DCADLN Cc1c[nH]c(C2(NC(=O)C[C@H]3SC(=N)NC3=O)CCC2)n1 ZINC001364575829 949579193 /nfs/dbraw/zinc/57/91/93/949579193.db2.gz JYMZRMOFPLMYFS-MRVPVSSYSA-N 0 2 307.379 0.770 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@H](C(=O)NC)C(C)C ZINC001364826925 950024088 /nfs/dbraw/zinc/02/40/88/950024088.db2.gz KYDKTAORLVGBKL-VIFPVBQESA-N 0 2 313.445 0.099 20 0 DCADLN CC[C@@](COC)(NS(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC001364857926 950087812 /nfs/dbraw/zinc/08/78/12/950087812.db2.gz JZQUDOZKJAPQMX-LBPRGKRZSA-N 0 2 320.367 0.371 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001489839972 950146209 /nfs/dbraw/zinc/14/62/09/950146209.db2.gz ORJFQTSMPAUESW-BBVRLYRLSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC001489839972 950146221 /nfs/dbraw/zinc/14/62/21/950146221.db2.gz ORJFQTSMPAUESW-BBVRLYRLSA-N 0 2 324.234 0.595 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CCOC(C)(C)C)[nH]1 ZINC001364902256 950161638 /nfs/dbraw/zinc/16/16/38/950161638.db2.gz LFKFQLLTUWXTLI-UHFFFAOYSA-N 0 2 306.388 0.186 20 0 DCADLN CCOC(=O)c1nnc(C[NH2+]Cc2c(C3CC3)cnn2C)[n-]1 ZINC001364994444 950320931 /nfs/dbraw/zinc/32/09/31/950320931.db2.gz GYAXQMXSROBHOH-UHFFFAOYSA-N 0 2 304.354 0.882 20 0 DCADLN CCOC(=O)[C@@H](C)[NH+]1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001590058849 950781099 /nfs/dbraw/zinc/78/10/99/950781099.db2.gz SJMOOIAQLGVFKO-GHMZBOCLSA-N 0 2 312.366 0.336 20 0 DCADLN CC(C)(C)[C@H](Cn1cc[nH+]c1)NC(=O)c1cn(CC(=O)[O-])nn1 ZINC001602853007 971695844 /nfs/dbraw/zinc/69/58/44/971695844.db2.gz IFEWFKBTFCJZIF-NSHDSACASA-N 0 2 320.353 0.404 20 0 DCADLN Cc1nc(CNC(=O)NCc2nc(C(F)(F)F)n[nH]2)n[nH]1 ZINC001365379918 951043188 /nfs/dbraw/zinc/04/31/88/951043188.db2.gz NYUVYKRURODSJX-UHFFFAOYSA-N 0 2 304.236 0.249 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2ncc(C)cn2)c1[O-] ZINC001273957267 951140314 /nfs/dbraw/zinc/14/03/14/951140314.db2.gz OEWMAMVOVALCAB-ONEGZZNKSA-N 0 2 316.365 0.598 20 0 DCADLN O=C([O-])c1ccc(CN2C(=O)COCC23C[NH+](C2CC2)C3)cc1 ZINC001274007922 951320094 /nfs/dbraw/zinc/32/00/94/951320094.db2.gz AYBCPSVAWJQTMH-UHFFFAOYSA-N 0 2 316.357 0.960 20 0 DCADLN CC(C)[C@H](C(=O)NC/C=C\C[NH2+][C@@H](C)c1nnnn1C)[NH+](C)C ZINC001274338733 951570840 /nfs/dbraw/zinc/57/08/40/951570840.db2.gz IRFNIBQDJCBFLV-YMVSPQSISA-N 0 2 323.445 0.119 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2Cc3nc(C4CC4)[nH]c(=O)c3C2)C1=O ZINC001595074423 951631360 /nfs/dbraw/zinc/63/13/60/951631360.db2.gz ROSHOZTWIZWPIT-LLVKDONJSA-N 0 2 318.333 0.061 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2Cc3nc(C4CC4)[nH]c(=O)c3C2)C1=O ZINC001595074423 951631368 /nfs/dbraw/zinc/63/13/68/951631368.db2.gz ROSHOZTWIZWPIT-LLVKDONJSA-N 0 2 318.333 0.061 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001332710935 951772368 /nfs/dbraw/zinc/77/23/68/951772368.db2.gz GRPGYMRUKPVSMT-AWEZNQCLSA-N 0 2 320.393 0.620 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001332710935 951772372 /nfs/dbraw/zinc/77/23/72/951772372.db2.gz GRPGYMRUKPVSMT-AWEZNQCLSA-N 0 2 320.393 0.620 20 0 DCADLN O=C([O-])COCC(=O)NCCn1c[nH+]cc1-c1ccccc1 ZINC001595107304 951885496 /nfs/dbraw/zinc/88/54/96/951885496.db2.gz RPJHANMOFCKMPP-UHFFFAOYSA-N 0 2 303.318 0.768 20 0 DCADLN Cn1nc(C2CC2)cc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001411409819 952113891 /nfs/dbraw/zinc/11/38/91/952113891.db2.gz WYMNNQYVMBOQCI-UHFFFAOYSA-N 0 2 313.321 0.321 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)o1 ZINC000382675299 971829783 /nfs/dbraw/zinc/82/97/83/971829783.db2.gz YLWHWPQAKCDBPV-NSHDSACASA-N 0 2 308.334 0.915 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1COCC[C@@H]1c1ccccc1 ZINC001411878306 952497979 /nfs/dbraw/zinc/49/79/79/952497979.db2.gz CGICSHZPSPWIMV-VXGBXAGGSA-N 0 2 302.334 0.947 20 0 DCADLN C[C@@H](Cc1ccco1)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001575203377 952521218 /nfs/dbraw/zinc/52/12/18/952521218.db2.gz VEZZVPFPIIRYMN-ZETCQYMHSA-N 0 2 317.309 0.302 20 0 DCADLN CC(C)N1C(=O)CC[C@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001412062072 952625790 /nfs/dbraw/zinc/62/57/90/952625790.db2.gz BDUZCEVNUSOSSJ-MNOVXSKESA-N 0 2 321.381 0.616 20 0 DCADLN CC[C@]1(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCOC1 ZINC001412102802 952645937 /nfs/dbraw/zinc/64/59/37/952645937.db2.gz KEXALHDVMWMJCB-HNNXBMFYSA-N 0 2 318.333 0.565 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001412194903 952688338 /nfs/dbraw/zinc/68/83/38/952688338.db2.gz QMALZNBWGSAAQP-ZXFLCMHBSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001412194903 952688340 /nfs/dbraw/zinc/68/83/40/952688340.db2.gz QMALZNBWGSAAQP-ZXFLCMHBSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@H]1C[C@@H](O)CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001412246014 952714163 /nfs/dbraw/zinc/71/41/63/952714163.db2.gz ZWZCAMAWMZUSBJ-JOYOIKCWSA-N 0 2 318.333 0.109 20 0 DCADLN Cc1oc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)cc1CO ZINC001412677045 952984989 /nfs/dbraw/zinc/98/49/89/952984989.db2.gz JRGMDCUYSFCKHL-UHFFFAOYSA-N 0 2 321.333 0.905 20 0 DCADLN C[C@H](NC(=O)c1ccc(S(C)(=O)=O)nc1)c1nn(C)cc1O ZINC001412773852 953084782 /nfs/dbraw/zinc/08/47/82/953084782.db2.gz VSLPLESOJGKZCT-QMMMGPOBSA-N 0 2 324.362 0.415 20 0 DCADLN O=C([O-])CSCCNC(=O)[C@H]1CCC[N@@H+]1Cc1ccccn1 ZINC001339509780 953099403 /nfs/dbraw/zinc/09/94/03/953099403.db2.gz PFXUSDOTNXGSGH-CYBMUJFWSA-N 0 2 323.418 0.980 20 0 DCADLN O=C([O-])CSCCNC(=O)[C@H]1CCC[N@H+]1Cc1ccccn1 ZINC001339509780 953099405 /nfs/dbraw/zinc/09/94/05/953099405.db2.gz PFXUSDOTNXGSGH-CYBMUJFWSA-N 0 2 323.418 0.980 20 0 DCADLN CC[N@H+](CCNC(=O)CCCc1nn[n-]n1)CCC(F)(F)F ZINC001412829410 953125812 /nfs/dbraw/zinc/12/58/12/953125812.db2.gz ZZKQQCZHVNXUNT-UHFFFAOYSA-N 0 2 322.335 0.913 20 0 DCADLN CC[N@@H+](CCNC(=O)CCCc1nn[n-]n1)CCC(F)(F)F ZINC001412829410 953125814 /nfs/dbraw/zinc/12/58/14/953125814.db2.gz ZZKQQCZHVNXUNT-UHFFFAOYSA-N 0 2 322.335 0.913 20 0 DCADLN CC(C)(CNC(=O)CSCCC(=O)[O-])[NH+]1CCOCC1 ZINC001602944271 971915811 /nfs/dbraw/zinc/91/58/11/971915811.db2.gz OGXOWPCKSFDZLJ-UHFFFAOYSA-N 0 2 304.412 0.421 20 0 DCADLN O=C([O-])C1(CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CCC1 ZINC001594742125 953366200 /nfs/dbraw/zinc/36/62/00/953366200.db2.gz GUEHOLORVJRVDU-GFCCVEGCSA-N 0 2 311.382 0.357 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])C[N@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589280315 953495720 /nfs/dbraw/zinc/49/57/20/953495720.db2.gz CQMKGYISOVVYIN-RKDXNWHRSA-N 0 2 304.306 0.073 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])C[N@@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589280315 953495730 /nfs/dbraw/zinc/49/57/30/953495730.db2.gz CQMKGYISOVVYIN-RKDXNWHRSA-N 0 2 304.306 0.073 20 0 DCADLN CN(C(=O)CSCC(=O)[O-])C(C)(C)C[NH+]1CCOCC1 ZINC001593677785 953943246 /nfs/dbraw/zinc/94/32/46/953943246.db2.gz SAKIKAWALYQHKM-UHFFFAOYSA-N 0 2 304.412 0.373 20 0 DCADLN O=C(NCCNC(=O)[C@]1(F)CCOC1)C(F)C(F)(F)F ZINC001283056711 953963049 /nfs/dbraw/zinc/96/30/49/953963049.db2.gz FDUPYHFHJITJBG-MUWHJKNJSA-N 0 2 304.215 0.248 20 0 DCADLN O=C(NCCNC(=O)[C@]1(F)CCOC1)[C@@H](F)C(F)(F)F ZINC001283056711 953963055 /nfs/dbraw/zinc/96/30/55/953963055.db2.gz FDUPYHFHJITJBG-MUWHJKNJSA-N 0 2 304.215 0.248 20 0 DCADLN COCCOCC[NH+]1CCN(C(=O)C2(C(=O)[O-])CCC2)CC1 ZINC001347171190 954037797 /nfs/dbraw/zinc/03/77/97/954037797.db2.gz PNWOZFIVDBSQLV-UHFFFAOYSA-N 0 2 314.382 0.049 20 0 DCADLN CCCC(=O)NCCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001283166693 954089439 /nfs/dbraw/zinc/08/94/39/954089439.db2.gz IMRCACDBUQYNBZ-UHFFFAOYSA-N 0 2 318.381 0.846 20 0 DCADLN CCc1cccc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001366542324 954387506 /nfs/dbraw/zinc/38/75/06/954387506.db2.gz HAFXQGUCBRMUQQ-UHFFFAOYSA-N 0 2 317.393 0.863 20 0 DCADLN CCc1cccc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001366542324 954387520 /nfs/dbraw/zinc/38/75/20/954387520.db2.gz HAFXQGUCBRMUQQ-UHFFFAOYSA-N 0 2 317.393 0.863 20 0 DCADLN C[N@H+](CCS(=O)(=O)CC(=O)[O-])C[C@@](C)(O)c1ccccc1 ZINC001593754494 954437530 /nfs/dbraw/zinc/43/75/30/954437530.db2.gz HXEWBJMBZJGZNU-CQSZACIVSA-N 0 2 315.391 0.325 20 0 DCADLN C[N@@H+](CCS(=O)(=O)CC(=O)[O-])C[C@@](C)(O)c1ccccc1 ZINC001593754494 954437536 /nfs/dbraw/zinc/43/75/36/954437536.db2.gz HXEWBJMBZJGZNU-CQSZACIVSA-N 0 2 315.391 0.325 20 0 DCADLN O=C([O-])C1=NO[C@@H](C(=O)N2CCC(Cc3c[nH]c[nH+]3)CC2)C1 ZINC001594885128 954456853 /nfs/dbraw/zinc/45/68/53/954456853.db2.gz GNYLDSIGEIRKEM-GFCCVEGCSA-N 0 2 306.322 0.420 20 0 DCADLN O=C(C[C@H]1CCOC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001366650127 954585134 /nfs/dbraw/zinc/58/51/34/954585134.db2.gz DSUCOTMOHHPCNX-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN O=C(C[C@H]1CCOC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001366650127 954585145 /nfs/dbraw/zinc/58/51/45/954585145.db2.gz DSUCOTMOHHPCNX-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC001593786479 954702952 /nfs/dbraw/zinc/70/29/52/954702952.db2.gz FSRCPQVSQDRTBU-TXEJJXNPSA-N 0 2 324.402 0.816 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC001593786479 954702959 /nfs/dbraw/zinc/70/29/59/954702959.db2.gz FSRCPQVSQDRTBU-TXEJJXNPSA-N 0 2 324.402 0.816 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC001593788089 954734537 /nfs/dbraw/zinc/73/45/37/954734537.db2.gz IACMOJGVNDPARA-CYBMUJFWSA-N 0 2 319.361 0.222 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC001593788089 954734548 /nfs/dbraw/zinc/73/45/48/954734548.db2.gz IACMOJGVNDPARA-CYBMUJFWSA-N 0 2 319.361 0.222 20 0 DCADLN CCO[C@H](CC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001366995118 955170181 /nfs/dbraw/zinc/17/01/81/955170181.db2.gz ZCXNABFJTHVDMG-GARJFASQSA-N 0 2 309.370 0.111 20 0 DCADLN Cn1cc[nH+]c1CCNC(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001594555795 955757907 /nfs/dbraw/zinc/75/79/07/955757907.db2.gz LXZIRPOANKOMLV-UHFFFAOYSA-N 0 2 303.318 0.856 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)[NH2+]Cc2nc(C(C)C)no2)c1[O-] ZINC001480446637 955927258 /nfs/dbraw/zinc/92/72/58/955927258.db2.gz IRTFENRUVKRGBT-QMMMGPOBSA-N 0 2 322.369 0.838 20 0 DCADLN CO[C@@]1(C(F)(F)F)CC[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001593941845 956283227 /nfs/dbraw/zinc/28/32/27/956283227.db2.gz KDHUAWKEOHNUFQ-KWQFWETISA-N 0 2 310.272 0.325 20 0 DCADLN CO[C@@]1(C(F)(F)F)CC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001593941845 956283237 /nfs/dbraw/zinc/28/32/37/956283237.db2.gz KDHUAWKEOHNUFQ-KWQFWETISA-N 0 2 310.272 0.325 20 0 DCADLN COC(OC)C(=O)N1CC[NH+](Cc2cccc(C(=O)[O-])c2)CC1 ZINC001593944415 956315063 /nfs/dbraw/zinc/31/50/63/956315063.db2.gz HRLKKXFVHWYSDS-UHFFFAOYSA-N 0 2 322.361 0.648 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(C(=O)[O-])s1 ZINC000388551656 972177078 /nfs/dbraw/zinc/17/70/78/972177078.db2.gz QHJKIPRNWLQXBB-UHFFFAOYSA-N 0 2 301.349 0.658 20 0 DCADLN C[C@H](c1ncccn1)[NH+]1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001589181649 956526527 /nfs/dbraw/zinc/52/65/27/956526527.db2.gz AUTCVFRTVUZMLH-NEPJUHHUSA-N 0 2 318.377 0.935 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](CC(=O)N(C(C)C)C(C)C)CCO1 ZINC001593984871 956757750 /nfs/dbraw/zinc/75/77/50/956757750.db2.gz CFGHPDUUHSZCBO-HNNXBMFYSA-N 0 2 316.398 0.434 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](CC(=O)N(C(C)C)C(C)C)CCO1 ZINC001593984871 956757757 /nfs/dbraw/zinc/75/77/57/956757757.db2.gz CFGHPDUUHSZCBO-HNNXBMFYSA-N 0 2 316.398 0.434 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2cccnc2N(C)C)CCO1 ZINC001593985383 956766793 /nfs/dbraw/zinc/76/67/93/956766793.db2.gz YGMQNKSVQTXEBS-OAHLLOKOSA-N 0 2 309.366 0.450 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2cccnc2N(C)C)CCO1 ZINC001593985383 956766798 /nfs/dbraw/zinc/76/67/98/956766798.db2.gz YGMQNKSVQTXEBS-OAHLLOKOSA-N 0 2 309.366 0.450 20 0 DCADLN Cc1n[nH]c(NC(=O)c2c[nH]nc2I)n1 ZINC001292792381 957153816 /nfs/dbraw/zinc/15/38/16/957153816.db2.gz LFHPZRAHBAMTFP-UHFFFAOYSA-N 0 2 318.078 0.693 20 0 DCADLN COCCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001413993530 957491526 /nfs/dbraw/zinc/49/15/26/957491526.db2.gz BBFCMTXORGSHNS-NQMVMOMDSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C ZINC001413993530 957491529 /nfs/dbraw/zinc/49/15/29/957491529.db2.gz BBFCMTXORGSHNS-NQMVMOMDSA-N 0 2 314.279 0.886 20 0 DCADLN NC(=O)c1ccc(C=CC(=O)NCCc2n[nH]c(=S)o2)o1 ZINC001296735375 958044460 /nfs/dbraw/zinc/04/44/60/958044460.db2.gz YEMFYGHIPXQIHE-DUXPYHPUSA-N 0 2 308.319 0.422 20 0 DCADLN Cn1nccc1[C@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CC(=O)N1C ZINC001548831987 1013171150 /nfs/dbraw/zinc/17/11/50/1013171150.db2.gz SGSTZWMOKSJEIS-WQGWLQIFSA-N 0 2 322.262 0.709 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cccc2[nH]ccc21 ZINC001361734702 958271045 /nfs/dbraw/zinc/27/10/45/958271045.db2.gz CYBKQKYSZWSVPB-UHFFFAOYSA-N 0 2 322.328 0.869 20 0 DCADLN Cn1nccc1[C@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CC(=O)N1C ZINC001548831987 1013171140 /nfs/dbraw/zinc/17/11/40/1013171140.db2.gz SGSTZWMOKSJEIS-WQGWLQIFSA-N 0 2 322.262 0.709 20 0 DCADLN Cn1nccc1[C@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CC(=O)N1C ZINC001548831986 1013171419 /nfs/dbraw/zinc/17/14/19/1013171419.db2.gz SGSTZWMOKSJEIS-QUNWWBBNSA-N 0 2 322.262 0.709 20 0 DCADLN Cn1nccc1[C@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CC(=O)N1C ZINC001548831986 1013171428 /nfs/dbraw/zinc/17/14/28/1013171428.db2.gz SGSTZWMOKSJEIS-QUNWWBBNSA-N 0 2 322.262 0.709 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@H](C)CO1 ZINC001361794332 958338083 /nfs/dbraw/zinc/33/80/83/958338083.db2.gz BWLURLQEXUBQIM-NXEZZACHSA-N 0 2 318.333 0.516 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)NCC[NH+]1Cc2ccccc2C1 ZINC001362000513 958591201 /nfs/dbraw/zinc/59/12/01/958591201.db2.gz LXBFYHBHBQSAKA-UHFFFAOYSA-N 0 2 319.390 0.734 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(F)C(F)(F)F ZINC001298435820 958602716 /nfs/dbraw/zinc/60/27/16/958602716.db2.gz LOMVTHSZCQTLQC-JYPZRUEWSA-N 0 2 324.274 0.568 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@@H](F)C(F)(F)F ZINC001298435820 958602723 /nfs/dbraw/zinc/60/27/23/958602723.db2.gz LOMVTHSZCQTLQC-JYPZRUEWSA-N 0 2 324.274 0.568 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+]([C@H](C)C(=O)[O-])C2CC2)C1 ZINC001588501611 958705886 /nfs/dbraw/zinc/70/58/86/958705886.db2.gz DHEOOVOYOWYPEC-ZYHUDNBSSA-N 0 2 311.382 0.051 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+]([C@H](C)C(=O)[O-])C2CC2)C1 ZINC001588501611 958705901 /nfs/dbraw/zinc/70/59/01/958705901.db2.gz DHEOOVOYOWYPEC-ZYHUDNBSSA-N 0 2 311.382 0.051 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001367938892 958910039 /nfs/dbraw/zinc/91/00/39/958910039.db2.gz JLKSSUCACCFVFN-SNVBAGLBSA-N 0 2 305.338 0.345 20 0 DCADLN Cc1sccc1CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367960222 958962983 /nfs/dbraw/zinc/96/29/83/958962983.db2.gz IZDOXBOYKUOHKJ-LLVKDONJSA-N 0 2 321.406 0.813 20 0 DCADLN O=C(Cc1ccc(O)c(Cl)c1)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001362245691 958994285 /nfs/dbraw/zinc/99/42/85/958994285.db2.gz MCLAYKIWFGWMDP-LLVKDONJSA-N 0 2 323.740 0.701 20 0 DCADLN COC(=O)Cc1nc(NC(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)n[nH]1 ZINC001362259777 959022706 /nfs/dbraw/zinc/02/27/06/959022706.db2.gz WLKZNQMLAAPNER-VXNVDRBHSA-N 0 2 318.337 0.548 20 0 DCADLN COC[C@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1CC1 ZINC001362348227 959187412 /nfs/dbraw/zinc/18/74/12/959187412.db2.gz AFENQRLOMKQJAX-LBPRGKRZSA-N 0 2 318.333 0.421 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@H]1COC(=O)N1 ZINC001362368314 959226783 /nfs/dbraw/zinc/22/67/83/959226783.db2.gz CMTXWJZNKJLEQK-SNVBAGLBSA-N 0 2 317.305 0.108 20 0 DCADLN Cc1c(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)cnn1C ZINC001377023846 959334617 /nfs/dbraw/zinc/33/46/17/959334617.db2.gz CVDXRYUGRRVNLJ-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1c(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)cnn1C ZINC001377023846 959334630 /nfs/dbraw/zinc/33/46/30/959334630.db2.gz CVDXRYUGRRVNLJ-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1nc([C@H]2CCC[N@@H+](Cc3c(C(=O)[O-])cnn3C)C2)n[nH]1 ZINC001594452241 959387713 /nfs/dbraw/zinc/38/77/13/959387713.db2.gz ZEDAKOIXXLVDHB-JTQLQIEISA-N 0 2 304.354 0.924 20 0 DCADLN Cc1nc([C@H]2CCC[N@H+](Cc3c(C(=O)[O-])cnn3C)C2)n[nH]1 ZINC001594452241 959387724 /nfs/dbraw/zinc/38/77/24/959387724.db2.gz ZEDAKOIXXLVDHB-JTQLQIEISA-N 0 2 304.354 0.924 20 0 DCADLN O=C([O-])C1(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC001605761110 972425071 /nfs/dbraw/zinc/42/50/71/972425071.db2.gz KGHNNDIUMMQIRI-LLVKDONJSA-N 0 2 307.350 0.443 20 0 DCADLN CC(C)(C(=O)[O-])n1ccc(NC(=O)/C=C/C[NH+]2CCOCC2)n1 ZINC001588621381 959544170 /nfs/dbraw/zinc/54/41/70/959544170.db2.gz GPKVRVZGTASDJJ-ONEGZZNKSA-N 0 2 322.365 0.530 20 0 DCADLN Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)CCCC(=O)[O-])cc[nH+]1 ZINC001594470645 959554342 /nfs/dbraw/zinc/55/43/42/959554342.db2.gz GAQLSLOGRZKDQF-NWDGAFQWSA-N 0 2 322.365 0.726 20 0 DCADLN O=C([C@H]1CCc2nc[nH]c2C1)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC001362562138 959600279 /nfs/dbraw/zinc/60/02/79/959600279.db2.gz LMDZAULFLIUKJY-VHSXEESVSA-N 0 2 301.354 0.434 20 0 DCADLN CC[C@@H](F)CN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001368296479 959633400 /nfs/dbraw/zinc/63/34/00/959633400.db2.gz ZYEMSOBGYDQKIJ-SFYZADRCSA-N 0 2 304.259 0.798 20 0 DCADLN CC[C@@H](F)CN1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001368296479 959633405 /nfs/dbraw/zinc/63/34/05/959633405.db2.gz ZYEMSOBGYDQKIJ-SFYZADRCSA-N 0 2 304.259 0.798 20 0 DCADLN O=C(C1=C[S@@](=O)CCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362589389 959651525 /nfs/dbraw/zinc/65/15/25/959651525.db2.gz ZLNJBYCCSROVFT-BTKVJGODSA-N 0 2 310.379 0.643 20 0 DCADLN C[N@H+](CCNC(=O)c1occ2c1CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001377206281 959689433 /nfs/dbraw/zinc/68/94/33/959689433.db2.gz UALDKYYSYAXQOS-UHFFFAOYSA-N 0 2 305.338 0.454 20 0 DCADLN C[N@@H+](CCNC(=O)c1occ2c1CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001377206281 959689441 /nfs/dbraw/zinc/68/94/41/959689441.db2.gz UALDKYYSYAXQOS-UHFFFAOYSA-N 0 2 305.338 0.454 20 0 DCADLN CCc1ccccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377214597 959707688 /nfs/dbraw/zinc/70/76/88/959707688.db2.gz IMRATZJWTVBDTI-UHFFFAOYSA-N 0 2 303.366 0.935 20 0 DCADLN CCc1ccccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377214597 959707701 /nfs/dbraw/zinc/70/77/01/959707701.db2.gz IMRATZJWTVBDTI-UHFFFAOYSA-N 0 2 303.366 0.935 20 0 DCADLN O=C(N[C@]1(CO)CCCN(CCF)C1)C(F)C(F)(F)F ZINC001368385035 959784876 /nfs/dbraw/zinc/78/48/76/959784876.db2.gz VFRWOXSRWMJTNT-WCBMZHEXSA-N 0 2 304.259 0.799 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001492384717 959899027 /nfs/dbraw/zinc/89/90/27/959899027.db2.gz NBPSQFSBPIPSBB-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001492384717 959899028 /nfs/dbraw/zinc/89/90/28/959899028.db2.gz NBPSQFSBPIPSBB-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H](C)Cc1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001377352813 959967440 /nfs/dbraw/zinc/96/74/40/959967440.db2.gz XRBJHUDDVWTCGW-LLVKDONJSA-N 0 2 321.381 0.920 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H](C)Cc1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001377352813 959967449 /nfs/dbraw/zinc/96/74/49/959967449.db2.gz XRBJHUDDVWTCGW-LLVKDONJSA-N 0 2 321.381 0.920 20 0 DCADLN CC(C)(C)OCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377378103 959998346 /nfs/dbraw/zinc/99/83/46/959998346.db2.gz GGOKQLLJWQSMMO-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)(C)OCC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377378103 959998350 /nfs/dbraw/zinc/99/83/50/959998350.db2.gz GGOKQLLJWQSMMO-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN COC[C@@H](C)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377411214 960050692 /nfs/dbraw/zinc/05/06/92/960050692.db2.gz NFJVFLGTABFXIH-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@@H](C)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377411214 960050702 /nfs/dbraw/zinc/05/07/02/960050702.db2.gz NFJVFLGTABFXIH-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=Cc2ccco2)[nH]1 ZINC001304084209 960076257 /nfs/dbraw/zinc/07/62/57/960076257.db2.gz BHKPWUANFGILCG-PLNGDYQASA-N 0 2 310.335 0.272 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)c1nccnc1C(N)=O ZINC001306922611 960136760 /nfs/dbraw/zinc/13/67/60/960136760.db2.gz QNPSUOYZBKAKSH-UHFFFAOYSA-N 0 2 316.346 0.922 20 0 DCADLN C[C@@](CO)(NC(=O)C[C@@H]1SC(=N)NC1=O)c1ccc(O)cc1 ZINC001362960529 960230236 /nfs/dbraw/zinc/23/02/36/960230236.db2.gz VKYOYFCQYFZRQB-HZMBPMFUSA-N 0 2 323.374 0.272 20 0 DCADLN COc1cnc(C2(NC(=O)c3ncn[nH]3)CCCC2)[nH]c1=O ZINC001363005950 960289323 /nfs/dbraw/zinc/28/93/23/960289323.db2.gz SJYILEHVTYNSSN-UHFFFAOYSA-N 0 2 304.310 0.508 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC2(CC(N)=O)CCCCC2)S1 ZINC001363041377 960334367 /nfs/dbraw/zinc/33/43/67/960334367.db2.gz GONJFNMKZLQHGD-MRVPVSSYSA-N 0 2 312.395 0.237 20 0 DCADLN COc1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001363057299 960352913 /nfs/dbraw/zinc/35/29/13/960352913.db2.gz VRDSBIFSEYJCFO-MRVPVSSYSA-N 0 2 304.310 0.329 20 0 DCADLN C[C@@H](CC(=O)Nc1nccc(Br)c1O)NC(N)=O ZINC001363078281 960378062 /nfs/dbraw/zinc/37/80/62/960378062.db2.gz JKUHIEGSYSVMTD-YFKPBYRVSA-N 0 2 317.143 0.935 20 0 DCADLN Cc1n[nH]c(C)c1[C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001363386919 961041014 /nfs/dbraw/zinc/04/10/14/961041014.db2.gz OWWCKLYQLKBEBV-SSDOTTSWSA-N 0 2 315.337 0.289 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+](C)CCn2cnnc2)c(C)c1C(=O)[O-] ZINC001571088371 961095741 /nfs/dbraw/zinc/09/57/41/961095741.db2.gz XKMRDTLDFZJOTG-UHFFFAOYSA-N 0 2 305.338 0.736 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+](C)CCn2cnnc2)c(C)c1C(=O)[O-] ZINC001571088371 961095758 /nfs/dbraw/zinc/09/57/58/961095758.db2.gz XKMRDTLDFZJOTG-UHFFFAOYSA-N 0 2 305.338 0.736 20 0 DCADLN C[C@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CS1 ZINC001363443321 961162115 /nfs/dbraw/zinc/16/21/15/961162115.db2.gz DYJBFXRMWRHUNA-WPRPVWTQSA-N 0 2 320.374 0.890 20 0 DCADLN O=C(CC1OCCO1)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001363508400 961305072 /nfs/dbraw/zinc/30/50/72/961305072.db2.gz HWFQRMULFCZIAD-NSHDSACASA-N 0 2 318.333 0.673 20 0 DCADLN Cn1nncc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001378060953 961334352 /nfs/dbraw/zinc/33/43/52/961334352.db2.gz FQCYLCMOCPCRIG-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN Cn1nncc1CN1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001378060953 961334371 /nfs/dbraw/zinc/33/43/71/961334371.db2.gz FQCYLCMOCPCRIG-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN CCOC(=O)c1c(C)n[nH]c1C[N@H+](CCOC)CCC(=O)[O-] ZINC001573901090 961425627 /nfs/dbraw/zinc/42/56/27/961425627.db2.gz LNVGNWXKYXTAPS-UHFFFAOYSA-N 0 2 313.354 0.818 20 0 DCADLN CCOC(=O)c1c(C)n[nH]c1C[N@@H+](CCOC)CCC(=O)[O-] ZINC001573901090 961425634 /nfs/dbraw/zinc/42/56/34/961425634.db2.gz LNVGNWXKYXTAPS-UHFFFAOYSA-N 0 2 313.354 0.818 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)[C@H](C)C1 ZINC001363608532 961539209 /nfs/dbraw/zinc/53/92/09/961539209.db2.gz PGFSELYFNDIWGU-HLTSFMKQSA-N 0 2 313.379 0.343 20 0 DCADLN CO[C@H](C)CCC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378158498 961552095 /nfs/dbraw/zinc/55/20/95/961552095.db2.gz YCQLFHNVIHHVTB-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN CO[C@H](C)CCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378158498 961552112 /nfs/dbraw/zinc/55/21/12/961552112.db2.gz YCQLFHNVIHHVTB-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN Cc1cccn2cc(CC(=O)NC[C@@]3(C(=O)[O-])CCOC3)[nH+]c12 ZINC001571133519 961594388 /nfs/dbraw/zinc/59/43/88/961594388.db2.gz REWJUGPDNDXZJR-INIZCTEOSA-N 0 2 317.345 0.793 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ncccc1F ZINC001379722660 961859040 /nfs/dbraw/zinc/85/90/40/961859040.db2.gz INZVDZAAHSQVDB-VIFPVBQESA-N 0 2 322.344 0.224 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ncccc1F ZINC001379722660 961859060 /nfs/dbraw/zinc/85/90/60/961859060.db2.gz INZVDZAAHSQVDB-VIFPVBQESA-N 0 2 322.344 0.224 20 0 DCADLN Cc1nonc1C[NH+]1CCC(N(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001571155965 961867634 /nfs/dbraw/zinc/86/76/34/961867634.db2.gz IXSUPLLLSLQRCL-RYUDHWBXSA-N 0 2 322.365 0.522 20 0 DCADLN Cc1nnc2n1C[C@H](C(=O)N[C@@H](C)c1nn(C)cc1O)CC2 ZINC001363836097 961989004 /nfs/dbraw/zinc/98/90/04/961989004.db2.gz WTJFCCLGYTUVTG-WCBMZHEXSA-N 0 2 304.354 0.465 20 0 DCADLN C[C@@H](NC(=O)c1coc(S(C)(=O)=O)c1)c1nn(C)cc1O ZINC001363853365 962025562 /nfs/dbraw/zinc/02/55/62/962025562.db2.gz RBBPOOPGIKSRKM-SSDOTTSWSA-N 0 2 313.335 0.613 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)[C@H]1CCCO1 ZINC001379097654 962095792 /nfs/dbraw/zinc/09/57/92/962095792.db2.gz ZKYMEZUKRKNDQX-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)[C@H]1CCCO1 ZINC001379097654 962095810 /nfs/dbraw/zinc/09/58/10/962095810.db2.gz ZKYMEZUKRKNDQX-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001380027369 962525018 /nfs/dbraw/zinc/52/50/18/962525018.db2.gz YZVHHTOPGUDOMO-GHMZBOCLSA-N 0 2 319.365 0.906 20 0 DCADLN C/C(=C/C(=O)NC[C@@H](CC(=O)[O-])C1CC1)C[NH+]1CCOCC1 ZINC001354053172 962707058 /nfs/dbraw/zinc/70/70/58/962707058.db2.gz YLGVGLWEYRMRHP-ICDLMJIXSA-N 0 2 310.394 0.882 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)Cc2ccon2)C1 ZINC001379361176 962726525 /nfs/dbraw/zinc/72/65/25/962726525.db2.gz ABLJIUUFFIDUCJ-JTQLQIEISA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)Cc2ccon2)C1 ZINC001379361176 962726529 /nfs/dbraw/zinc/72/65/29/962726529.db2.gz ABLJIUUFFIDUCJ-JTQLQIEISA-N 0 2 323.246 0.787 20 0 DCADLN O=C(NC1CC(CNC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001380263769 962947951 /nfs/dbraw/zinc/94/79/51/962947951.db2.gz QMALZNBWGSAAQP-IEIXJENWSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC1CC(CNC(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001380263769 962947960 /nfs/dbraw/zinc/94/79/60/962947960.db2.gz QMALZNBWGSAAQP-IEIXJENWSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@@]1(NC(=O)C2(F)CCCC2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380357864 963097805 /nfs/dbraw/zinc/09/78/05/963097805.db2.gz QCRUAWBGNAXFQN-CYBMUJFWSA-N 0 2 311.361 0.873 20 0 DCADLN C[C@]1(NC(=O)Cc2ccc[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380378907 963128544 /nfs/dbraw/zinc/12/85/44/963128544.db2.gz QFVQQEXXVQBFDR-AWEZNQCLSA-N 0 2 304.354 0.162 20 0 DCADLN C[C@]1(NC(=O)Cc2ccc[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380378907 963128550 /nfs/dbraw/zinc/12/85/50/963128550.db2.gz QFVQQEXXVQBFDR-AWEZNQCLSA-N 0 2 304.354 0.162 20 0 DCADLN C[C@H](CN(C)Cc1nncn1C)NC(=O)C(F)C(F)(F)F ZINC001379690108 963390041 /nfs/dbraw/zinc/39/00/41/963390041.db2.gz ZWGYBZHZXBUZGD-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CN(C)Cc1nncn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001379690108 963390048 /nfs/dbraw/zinc/39/00/48/963390048.db2.gz ZWGYBZHZXBUZGD-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN Cc1cnc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001379702890 963404472 /nfs/dbraw/zinc/40/44/72/963404472.db2.gz ANBDWFNNXWKXFX-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cnc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001379702890 963404476 /nfs/dbraw/zinc/40/44/76/963404476.db2.gz ANBDWFNNXWKXFX-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN Cn1c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccc1[N+](=O)[O-] ZINC000314104546 963711715 /nfs/dbraw/zinc/71/17/15/963711715.db2.gz MGCQSSPYUUGVSL-QMMMGPOBSA-N 0 2 307.266 0.082 20 0 DCADLN Cn1c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccc1[N+](=O)[O-] ZINC000314104546 963711717 /nfs/dbraw/zinc/71/17/17/963711717.db2.gz MGCQSSPYUUGVSL-QMMMGPOBSA-N 0 2 307.266 0.082 20 0 DCADLN Cc1ccccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001381071013 963794909 /nfs/dbraw/zinc/79/49/09/963794909.db2.gz LEZBQTPSUKZTGJ-UHFFFAOYSA-N 0 2 301.350 0.777 20 0 DCADLN O=C(C[C@H]1CCCCO1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001381080487 963799631 /nfs/dbraw/zinc/79/96/31/963799631.db2.gz BKBOZDHHZOPEMD-LLVKDONJSA-N 0 2 309.370 0.114 20 0 DCADLN COc1cccc(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000314749657 963803038 /nfs/dbraw/zinc/80/30/38/963803038.db2.gz MJTNFOADWBTTKB-CYBMUJFWSA-N 0 2 303.318 0.773 20 0 DCADLN COc1cccc(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000314749657 963803043 /nfs/dbraw/zinc/80/30/43/963803043.db2.gz MJTNFOADWBTTKB-CYBMUJFWSA-N 0 2 303.318 0.773 20 0 DCADLN C[C@H](CNS(=O)(=O)c1cc(C(=O)[O-])no1)[NH+]1CCCCC1 ZINC000316004272 963963823 /nfs/dbraw/zinc/96/38/23/963963823.db2.gz RDUHLODAEPMPFR-SECBINFHSA-N 0 2 317.367 0.526 20 0 DCADLN CSC[C@@H](C)C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368934720 964149396 /nfs/dbraw/zinc/14/93/96/964149396.db2.gz QSUWKBLIFGMMRW-RKDXNWHRSA-N 0 2 301.416 0.446 20 0 DCADLN CSC[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368934720 964149406 /nfs/dbraw/zinc/14/94/06/964149406.db2.gz QSUWKBLIFGMMRW-RKDXNWHRSA-N 0 2 301.416 0.446 20 0 DCADLN Cc1coc(C)c1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368946367 964175555 /nfs/dbraw/zinc/17/55/55/964175555.db2.gz KBCSHMBDEJGGGI-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1coc(C)c1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368946367 964175570 /nfs/dbraw/zinc/17/55/70/964175570.db2.gz KBCSHMBDEJGGGI-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN C[C@@H](CNC(=O)CCc1cscn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013903 964298475 /nfs/dbraw/zinc/29/84/75/964298475.db2.gz WYKFKHWFNBJHPV-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN C[C@@H](CNC(=O)CCc1cscn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013903 964298484 /nfs/dbraw/zinc/29/84/84/964298484.db2.gz WYKFKHWFNBJHPV-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN C[C@H](CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001373646946 964418650 /nfs/dbraw/zinc/41/86/50/964418650.db2.gz YYJPPBBOAVEKCJ-VXGBXAGGSA-N 0 2 318.381 0.654 20 0 DCADLN CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+]Cc1cnsn1 ZINC001373744595 964562767 /nfs/dbraw/zinc/56/27/67/964562767.db2.gz VMFNDBRQPSFPIJ-MRVPVSSYSA-N 0 2 310.383 0.573 20 0 DCADLN C[C@@]1(NC(=O)C[C@H]2CCCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375820053 964866664 /nfs/dbraw/zinc/86/66/64/964866664.db2.gz KIGMPAXBWQTHNW-IAQYHMDHSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@@]1(NC(=O)C[C@H]2CCCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375820053 964866671 /nfs/dbraw/zinc/86/66/71/964866671.db2.gz KIGMPAXBWQTHNW-IAQYHMDHSA-N 0 2 323.397 0.550 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cnn(C)c1 ZINC001369511121 965115442 /nfs/dbraw/zinc/11/54/42/965115442.db2.gz DRMVAUSXACMKTB-UHFFFAOYSA-N 0 2 321.385 0.226 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cnn(C)c1 ZINC001369511121 965115450 /nfs/dbraw/zinc/11/54/50/965115450.db2.gz DRMVAUSXACMKTB-UHFFFAOYSA-N 0 2 321.385 0.226 20 0 DCADLN Cc1noc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001376123250 965236111 /nfs/dbraw/zinc/23/61/11/965236111.db2.gz IQQMPGMFEDMGNY-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN Cc1noc(CN2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001376123250 965236115 /nfs/dbraw/zinc/23/61/15/965236115.db2.gz IQQMPGMFEDMGNY-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN CCc1noc([C@@H](C)[NH2+]CC[C@H](C)NC(=O)c2nnc[nH]2)n1 ZINC001374709597 965790482 /nfs/dbraw/zinc/79/04/82/965790482.db2.gz XBYFDPXKUFGVRI-DTWKUNHWSA-N 0 2 307.358 0.609 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001430589238 1013609895 /nfs/dbraw/zinc/60/98/95/1013609895.db2.gz RVVVIDIOMYSRHG-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001430589238 1013609898 /nfs/dbraw/zinc/60/98/98/1013609898.db2.gz RVVVIDIOMYSRHG-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN CCc1nc(C)c(C[NH2+]C[C@@H](C)NC(=O)CCc2cnn[nH]2)o1 ZINC001374814595 965968197 /nfs/dbraw/zinc/96/81/97/965968197.db2.gz QGQVMMMUWPPVAT-SNVBAGLBSA-N 0 2 320.397 0.891 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375026080 966251188 /nfs/dbraw/zinc/25/11/88/966251188.db2.gz DHDRLXGSISFPLV-JTQLQIEISA-N 0 2 306.370 0.408 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375026080 966251195 /nfs/dbraw/zinc/25/11/95/966251195.db2.gz DHDRLXGSISFPLV-JTQLQIEISA-N 0 2 306.370 0.408 20 0 DCADLN CCc1nc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001375032490 966263714 /nfs/dbraw/zinc/26/37/14/966263714.db2.gz LVCLWPYHGKXLOD-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1nc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001375032490 966263720 /nfs/dbraw/zinc/26/37/20/966263720.db2.gz LVCLWPYHGKXLOD-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)on1 ZINC001375076400 966323169 /nfs/dbraw/zinc/32/31/69/966323169.db2.gz ALTZNJDBDBNIDV-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)on1 ZINC001375076400 966323180 /nfs/dbraw/zinc/32/31/80/966323180.db2.gz ALTZNJDBDBNIDV-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001382066410 966909156 /nfs/dbraw/zinc/90/91/56/966909156.db2.gz KOHNBFHYTLABDN-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cncn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001382066410 966909162 /nfs/dbraw/zinc/90/91/62/966909162.db2.gz KOHNBFHYTLABDN-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN CC(=O)CCCC(=O)N1CCC[C@H](N(C)Cc2n[nH]c(=O)[nH]2)C1 ZINC001371663446 967217812 /nfs/dbraw/zinc/21/78/12/967217812.db2.gz FOKKNDJAZURSDF-LBPRGKRZSA-N 0 2 323.397 0.692 20 0 DCADLN Cc1cnccc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448016435 1013711617 /nfs/dbraw/zinc/71/16/17/1013711617.db2.gz UGNVHTPXSBGHNP-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cnccc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448016435 1013711622 /nfs/dbraw/zinc/71/16/22/1013711622.db2.gz UGNVHTPXSBGHNP-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN CN(CCNC(=O)c1cc(F)ccc1F)Cc1n[nH]c(=O)[nH]1 ZINC001371999288 967531794 /nfs/dbraw/zinc/53/17/94/967531794.db2.gz QHXSYBUJUQRFAC-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[N@H+](CCNC(=O)c1cc(F)ccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001371999288 967531802 /nfs/dbraw/zinc/53/18/02/967531802.db2.gz QHXSYBUJUQRFAC-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc(F)ccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001371999288 967531813 /nfs/dbraw/zinc/53/18/13/967531813.db2.gz QHXSYBUJUQRFAC-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN CC[N@H+](CCNC(=O)c1ncoc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001372449073 967998605 /nfs/dbraw/zinc/99/86/05/967998605.db2.gz ONSIOVHIJAFVFK-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ncoc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001372449073 967998612 /nfs/dbraw/zinc/99/86/12/967998612.db2.gz ONSIOVHIJAFVFK-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN O=C([O-])CS(=O)(=O)CCN1CC[NH+](C2CCCC2)CC1 ZINC000380293336 968080936 /nfs/dbraw/zinc/08/09/36/968080936.db2.gz DVQKQRCJFRUHDF-UHFFFAOYSA-N 0 2 304.412 0.046 20 0 DCADLN Cc1ccnn1CC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001431245539 1013964869 /nfs/dbraw/zinc/96/48/69/1013964869.db2.gz MMVVQQMITSOMNP-SNVBAGLBSA-N 0 2 324.278 0.667 20 0 DCADLN Cc1ccnn1CC(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001431245539 1013964873 /nfs/dbraw/zinc/96/48/73/1013964873.db2.gz MMVVQQMITSOMNP-SNVBAGLBSA-N 0 2 324.278 0.667 20 0 DCADLN O=C([O-])c1ncccc1NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001606546899 970317160 /nfs/dbraw/zinc/31/71/60/970317160.db2.gz ZYYMOPWWPVHYMJ-NSHDSACASA-N 0 2 320.349 0.718 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]n1 ZINC001431345984 1014009126 /nfs/dbraw/zinc/00/91/26/1014009126.db2.gz XVHOPEBFFPQPQN-MRVPVSSYSA-N 0 2 310.251 0.841 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ccn[nH]1 ZINC001431345984 1014009132 /nfs/dbraw/zinc/00/91/32/1014009132.db2.gz XVHOPEBFFPQPQN-MRVPVSSYSA-N 0 2 310.251 0.841 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1COc2ccccc2C1 ZINC000320656639 970693491 /nfs/dbraw/zinc/69/34/91/970693491.db2.gz KXQYHMVCLYNFTN-DGCLKSJQSA-N 0 2 315.329 0.773 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1COc2ccccc2C1 ZINC000320656639 970693497 /nfs/dbraw/zinc/69/34/97/970693497.db2.gz KXQYHMVCLYNFTN-DGCLKSJQSA-N 0 2 315.329 0.773 20 0 DCADLN C[N@H+](Cc1ccc([S@](C)=O)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001604188858 972621429 /nfs/dbraw/zinc/62/14/29/972621429.db2.gz YCCDWUUBGPLTHT-XMHCIUCPSA-N 0 2 324.402 0.541 20 0 DCADLN C[N@@H+](Cc1ccc([S@](C)=O)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001604188858 972621435 /nfs/dbraw/zinc/62/14/35/972621435.db2.gz YCCDWUUBGPLTHT-XMHCIUCPSA-N 0 2 324.402 0.541 20 0 DCADLN Cc1cc(CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F)no1 ZINC001448824517 1014058884 /nfs/dbraw/zinc/05/88/84/1014058884.db2.gz PUISHCHJZDSWPP-BDAKNGLRSA-N 0 2 313.251 0.450 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(c2nnc([C@@H]3C[C@H](O)C[N@@H+]3C)n2C)C1 ZINC001603371680 972899893 /nfs/dbraw/zinc/89/98/93/972899893.db2.gz IPOGKTQECGDLMG-RHYQMDGZSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(c2nnc([C@@H]3C[C@H](O)C[N@H+]3C)n2C)C1 ZINC001603371680 972899900 /nfs/dbraw/zinc/89/99/00/972899900.db2.gz IPOGKTQECGDLMG-RHYQMDGZSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccnc(C(=O)[O-])c1 ZINC001603474349 973355693 /nfs/dbraw/zinc/35/56/93/973355693.db2.gz ULCYZEGJJHYYGG-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccnc(C(=O)[O-])c1 ZINC001603474349 973355703 /nfs/dbraw/zinc/35/57/03/973355703.db2.gz ULCYZEGJJHYYGG-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC000401882606 973489856 /nfs/dbraw/zinc/48/98/56/973489856.db2.gz MWJNGQRHENWJJT-WCBMZHEXSA-N 0 2 314.288 0.671 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC000401882606 973489860 /nfs/dbraw/zinc/48/98/60/973489860.db2.gz MWJNGQRHENWJJT-WCBMZHEXSA-N 0 2 314.288 0.671 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCCO1)C(=O)C(F)C(F)(F)F ZINC001527898060 1014131394 /nfs/dbraw/zinc/13/13/94/1014131394.db2.gz HATGQLRXBNNZAY-JGVFFNPUSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCCO1)C(=O)[C@@H](F)C(F)(F)F ZINC001527898060 1014131398 /nfs/dbraw/zinc/13/13/98/1014131398.db2.gz HATGQLRXBNNZAY-JGVFFNPUSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1nc[nH]c1CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001528248027 1014238149 /nfs/dbraw/zinc/23/81/49/1014238149.db2.gz JVMNMJJGRZTBLO-SNVBAGLBSA-N 0 2 324.278 0.736 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H]1CCCOC1 ZINC001528249005 1014238858 /nfs/dbraw/zinc/23/88/58/1014238858.db2.gz QHTRYKCAHAEMMS-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@@H]1CCCOC1 ZINC001528249005 1014238867 /nfs/dbraw/zinc/23/88/67/1014238867.db2.gz QHTRYKCAHAEMMS-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H]1CCCOC1 ZINC001528249008 1014239301 /nfs/dbraw/zinc/23/93/01/1014239301.db2.gz QHTRYKCAHAEMMS-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@@H]1CCCOC1 ZINC001528249008 1014239311 /nfs/dbraw/zinc/23/93/11/1014239311.db2.gz QHTRYKCAHAEMMS-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN COCC1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CC1 ZINC001522686367 1014298111 /nfs/dbraw/zinc/29/81/11/1014298111.db2.gz FECCUZQRMRSXNL-ZETCQYMHSA-N 0 2 300.252 0.546 20 0 DCADLN COCC1(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001522686367 1014298116 /nfs/dbraw/zinc/29/81/16/1014298116.db2.gz FECCUZQRMRSXNL-ZETCQYMHSA-N 0 2 300.252 0.546 20 0 DCADLN C[C@H](CC(=O)N1CCC[C@H]1C(=O)NCC(=O)[O-])n1cc[nH+]c1 ZINC001592233577 977433088 /nfs/dbraw/zinc/43/30/88/977433088.db2.gz IXDPOBUPMMVBFR-MNOVXSKESA-N 0 2 308.338 0.026 20 0 DCADLN NC(=O)CCCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001522935223 1014478519 /nfs/dbraw/zinc/47/85/19/1014478519.db2.gz JGHRYTSHZQHCQW-VIFPVBQESA-N 0 2 315.267 0.165 20 0 DCADLN NC(=O)CCCC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001522935223 1014478533 /nfs/dbraw/zinc/47/85/33/1014478533.db2.gz JGHRYTSHZQHCQW-VIFPVBQESA-N 0 2 315.267 0.165 20 0 DCADLN C[C@@H](CN(C)c1ncc(C(=O)[O-])cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001592593023 978523555 /nfs/dbraw/zinc/52/35/55/978523555.db2.gz BUJHSQJBAZIGRC-VIFPVBQESA-N 0 2 318.337 0.082 20 0 DCADLN C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)CNc1ncc(C(=O)[O-])cn1 ZINC001592655278 978638524 /nfs/dbraw/zinc/63/85/24/978638524.db2.gz QOAJZFUNSAUFOY-VIFPVBQESA-N 0 2 318.337 0.305 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001595131645 979556097 /nfs/dbraw/zinc/55/60/97/979556097.db2.gz ZMHFURDRYZRGCA-YNEHKIRRSA-N 0 2 313.398 0.650 20 0 DCADLN Cc1c(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cnn1C ZINC001530483804 1014767804 /nfs/dbraw/zinc/76/78/04/1014767804.db2.gz FOMVOATZPDXIJB-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1c(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)cnn1C ZINC001530483804 1014767810 /nfs/dbraw/zinc/76/78/10/1014767810.db2.gz FOMVOATZPDXIJB-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCCN(C(C)=O)CC1)C(=O)[O-] ZINC001594894527 982323727 /nfs/dbraw/zinc/32/37/27/982323727.db2.gz UCMBFAGTUOZYKW-FZMZJTMJSA-N 0 2 313.398 0.156 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCCN(C(C)=O)CC1)C(=O)[O-] ZINC001594894527 982323732 /nfs/dbraw/zinc/32/37/32/982323732.db2.gz UCMBFAGTUOZYKW-FZMZJTMJSA-N 0 2 313.398 0.156 20 0 DCADLN CCCCOC(=O)C[NH+]1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001595764424 982482121 /nfs/dbraw/zinc/48/21/21/982482121.db2.gz QAHAECIRVGVHKQ-UHFFFAOYSA-N 0 2 310.354 0.957 20 0 DCADLN C[C@H](CNC(=O)c1cnon1)N(C)C(=O)C(F)C(F)(F)F ZINC001450069415 1014886004 /nfs/dbraw/zinc/88/60/04/1014886004.db2.gz SVBTWSPFYOGURQ-VDTYLAMSSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CNC(=O)c1cnon1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001450069415 1014886016 /nfs/dbraw/zinc/88/60/16/1014886016.db2.gz SVBTWSPFYOGURQ-VDTYLAMSSA-N 0 2 312.223 0.547 20 0 DCADLN CCC(=O)N(C)[C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001450100446 1014906971 /nfs/dbraw/zinc/90/69/71/1014906971.db2.gz ABAZOKSMKQHEQL-SNVBAGLBSA-N 0 2 318.381 0.797 20 0 DCADLN CC[N@H+](CC(=O)NC[C@H](O)C(=O)[O-])Cc1ccccc1Cl ZINC001596206896 983593521 /nfs/dbraw/zinc/59/35/21/983593521.db2.gz KISLTSOKEREUNY-LBPRGKRZSA-N 0 2 314.769 0.724 20 0 DCADLN CC[N@@H+](CC(=O)NC[C@H](O)C(=O)[O-])Cc1ccccc1Cl ZINC001596206896 983593523 /nfs/dbraw/zinc/59/35/23/983593523.db2.gz KISLTSOKEREUNY-LBPRGKRZSA-N 0 2 314.769 0.724 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1cc(OC)no1 ZINC001596332099 983769627 /nfs/dbraw/zinc/76/96/27/983769627.db2.gz GHLMPVLPARQKFW-QWRGUYRKSA-N 0 2 311.338 0.342 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1cc(OC)no1 ZINC001596332099 983769629 /nfs/dbraw/zinc/76/96/29/983769629.db2.gz GHLMPVLPARQKFW-QWRGUYRKSA-N 0 2 311.338 0.342 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001383728296 985047752 /nfs/dbraw/zinc/04/77/52/985047752.db2.gz NVQFNAIHFIZWSL-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001383728296 985047764 /nfs/dbraw/zinc/04/77/64/985047764.db2.gz NVQFNAIHFIZWSL-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN CCn1ccnc1C(=O)N(C)C[C@@H]1OCC[C@@H]1c1n[nH]c(C)n1 ZINC001551459735 1015093676 /nfs/dbraw/zinc/09/36/76/1015093676.db2.gz LBKFKHRZEQNBRF-RYUDHWBXSA-N 0 2 318.381 0.974 20 0 DCADLN C[C@H]1CN(c2nnc([C@H]3C[C@@H](O)C[N@@H+]3C)n2C)CC[C@H]1C(=O)[O-] ZINC001599936006 985395739 /nfs/dbraw/zinc/39/57/39/985395739.db2.gz VCVQOUVLJLSGPJ-IRCOFANPSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@H]1CN(c2nnc([C@H]3C[C@@H](O)C[N@H+]3C)n2C)CC[C@H]1C(=O)[O-] ZINC001599936006 985395749 /nfs/dbraw/zinc/39/57/49/985395749.db2.gz VCVQOUVLJLSGPJ-IRCOFANPSA-N 0 2 323.397 0.100 20 0 DCADLN CCO[C@@H]1C[C@@](NC(=O)C[C@H]([NH3+])C(F)F)(C(=O)[O-])C1(C)C ZINC001596818147 985401190 /nfs/dbraw/zinc/40/11/90/985401190.db2.gz KMDSQPBTZQOCKQ-HHURGBBESA-N 0 2 308.325 0.744 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H](C(=O)[O-])[C@@H](C)O)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001594503459 985698627 /nfs/dbraw/zinc/69/86/27/985698627.db2.gz YUOUZHZUYQHZIU-FHUSYTEZSA-N 0 2 316.398 0.070 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H](C(=O)[O-])[C@@H](C)O)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001594503459 985698631 /nfs/dbraw/zinc/69/86/31/985698631.db2.gz YUOUZHZUYQHZIU-FHUSYTEZSA-N 0 2 316.398 0.070 20 0 DCADLN CCOC[C@H](C)CS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1 ZINC001596889303 985803047 /nfs/dbraw/zinc/80/30/47/985803047.db2.gz KJNAFDVXCVZRRC-LBPRGKRZSA-N 0 2 322.427 0.081 20 0 DCADLN CCn1ccc(C(=O)N(C)C[C@H]2OCC[C@H]2c2n[nH]c(C)n2)n1 ZINC001551660026 1015166032 /nfs/dbraw/zinc/16/60/32/1015166032.db2.gz NRGWGZOOFKPYOP-DGCLKSJQSA-N 0 2 318.381 0.974 20 0 DCADLN Cc1cnn(CC(=O)N(C)C[C@H]2OCC[C@@H]2c2n[nH]c(C)n2)c1 ZINC001551662560 1015167797 /nfs/dbraw/zinc/16/77/97/1015167797.db2.gz FOFSIESCOPUXFY-QWHCGFSZSA-N 0 2 318.381 0.649 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2CO[C@@H](C)C2)n[nH]1 ZINC001551669083 1015171225 /nfs/dbraw/zinc/17/12/25/1015171225.db2.gz IQTJIWGCWIFSKJ-FTYKPCCVSA-N 0 2 308.382 0.869 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cn[nH]c1)C(=O)C(F)C(F)(F)F ZINC001384882533 985923806 /nfs/dbraw/zinc/92/38/06/985923806.db2.gz PXMFLXFJUUMVON-RKDXNWHRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cn[nH]c1)C(=O)[C@@H](F)C(F)(F)F ZINC001384882533 985923812 /nfs/dbraw/zinc/92/38/12/985923812.db2.gz PXMFLXFJUUMVON-RKDXNWHRSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2nccc(C)n2)n[nH]1 ZINC001551666613 1015171730 /nfs/dbraw/zinc/17/17/30/1015171730.db2.gz GPXRGCQSRKATJQ-NEPJUHHUSA-N 0 2 316.365 0.856 20 0 DCADLN CCOCCNC(=O)C[NH2+][C@@](C)(C(=O)[O-])c1ccc(F)cc1 ZINC001596929749 986023190 /nfs/dbraw/zinc/02/31/90/986023190.db2.gz WGMHEUZRXWXSQQ-OAHLLOKOSA-N 0 2 312.341 0.868 20 0 DCADLN CC(=O)c1cccc(NC(=O)[C@H](C)[N@@H+]2CC[C@@](O)(C(=O)[O-])C2)c1 ZINC001589308963 986076146 /nfs/dbraw/zinc/07/61/46/986076146.db2.gz CDBXVCKRXJDBBH-QFYYESIMSA-N 0 2 320.345 0.738 20 0 DCADLN CC(=O)c1cccc(NC(=O)[C@H](C)[N@H+]2CC[C@@](O)(C(=O)[O-])C2)c1 ZINC001589308963 986076149 /nfs/dbraw/zinc/07/61/49/986076149.db2.gz CDBXVCKRXJDBBH-QFYYESIMSA-N 0 2 320.345 0.738 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)/C=C\c2cc(C(=O)[O-])co2)CCO1 ZINC001594527632 986082984 /nfs/dbraw/zinc/08/29/84/986082984.db2.gz NGXDAMFULFAROE-QUCGXOGASA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)/C=C\c2cc(C(=O)[O-])co2)CCO1 ZINC001594527632 986082998 /nfs/dbraw/zinc/08/29/98/986082998.db2.gz NGXDAMFULFAROE-QUCGXOGASA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])N(C)CC(F)(F)F ZINC001589424120 986687480 /nfs/dbraw/zinc/68/74/80/986687480.db2.gz YJMWZZOQTSWONJ-VXNVDRBHSA-N 0 2 322.287 0.404 20 0 DCADLN C[C@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])N(C)CC(F)(F)F ZINC001589424120 986687483 /nfs/dbraw/zinc/68/74/83/986687483.db2.gz YJMWZZOQTSWONJ-VXNVDRBHSA-N 0 2 322.287 0.404 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001450625938 1015258178 /nfs/dbraw/zinc/25/81/78/1015258178.db2.gz JDDCKGJOIJKPKK-BDAKNGLRSA-N 0 2 312.263 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H]2CCOC2)C1)[C@H](F)C(F)(F)F ZINC001450625938 1015258185 /nfs/dbraw/zinc/25/81/85/1015258185.db2.gz JDDCKGJOIJKPKK-BDAKNGLRSA-N 0 2 312.263 0.498 20 0 DCADLN C[C@H](CNC(=O)C1=COCCO1)NC(=O)C(F)C(F)(F)F ZINC001386166398 986906886 /nfs/dbraw/zinc/90/68/86/986906886.db2.gz ZSXXXNNBAXWSDL-HTRCEHHLSA-N 0 2 314.235 0.396 20 0 DCADLN C[C@H](CNC(=O)C1=COCCO1)NC(=O)[C@@H](F)C(F)(F)F ZINC001386166398 986906890 /nfs/dbraw/zinc/90/68/90/986906890.db2.gz ZSXXXNNBAXWSDL-HTRCEHHLSA-N 0 2 314.235 0.396 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1=COCCO1 ZINC001386305075 987057593 /nfs/dbraw/zinc/05/75/93/987057593.db2.gz PAWVUJRVXNDPJG-SVRRBLITSA-N 0 2 314.235 0.396 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C1=COCCO1 ZINC001386305075 987057603 /nfs/dbraw/zinc/05/76/03/987057603.db2.gz PAWVUJRVXNDPJG-SVRRBLITSA-N 0 2 314.235 0.396 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccc(=O)[nH]n1 ZINC001386933592 987512202 /nfs/dbraw/zinc/51/22/02/987512202.db2.gz JAYUUBLNAXHIFA-QMMMGPOBSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccc(=O)[nH]n1 ZINC001386933592 987512205 /nfs/dbraw/zinc/51/22/05/987512205.db2.gz JAYUUBLNAXHIFA-QMMMGPOBSA-N 0 2 324.234 0.271 20 0 DCADLN Cc1nn[nH]c1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001387031185 987578995 /nfs/dbraw/zinc/57/89/95/987578995.db2.gz NLELUIYNDHKRGZ-ZETCQYMHSA-N 0 2 311.239 0.202 20 0 DCADLN Cc1nn[nH]c1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001387031185 987579000 /nfs/dbraw/zinc/57/90/00/987579000.db2.gz NLELUIYNDHKRGZ-ZETCQYMHSA-N 0 2 311.239 0.202 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnon1 ZINC001388302776 988194753 /nfs/dbraw/zinc/19/47/53/988194753.db2.gz UJHQISQQRXQHKN-ZETCQYMHSA-N 0 2 312.223 0.548 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnon1 ZINC001388302776 988194756 /nfs/dbraw/zinc/19/47/56/988194756.db2.gz UJHQISQQRXQHKN-ZETCQYMHSA-N 0 2 312.223 0.548 20 0 DCADLN COCC(=O)NC[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001388352044 988200851 /nfs/dbraw/zinc/20/08/51/988200851.db2.gz VTJWLLBBTWFSAO-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)NC[C@H]1CCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001388352044 988200869 /nfs/dbraw/zinc/20/08/69/988200869.db2.gz VTJWLLBBTWFSAO-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CC(C)(C)OC(=O)C[N@H+](CCC(=O)[O-])C[C@@H]1COCCO1 ZINC001589883205 988558584 /nfs/dbraw/zinc/55/85/84/988558584.db2.gz LMJULSGILYLLDH-LLVKDONJSA-N 0 2 303.355 0.520 20 0 DCADLN CC(C)(C)OC(=O)C[N@@H+](CCC(=O)[O-])C[C@@H]1COCCO1 ZINC001589883205 988558594 /nfs/dbraw/zinc/55/85/94/988558594.db2.gz LMJULSGILYLLDH-LLVKDONJSA-N 0 2 303.355 0.520 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001389046926 988758744 /nfs/dbraw/zinc/75/87/44/988758744.db2.gz SGGGSWXTJOCLTM-VXNVDRBHSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccccn1 ZINC001389046926 988758750 /nfs/dbraw/zinc/75/87/50/988758750.db2.gz SGGGSWXTJOCLTM-VXNVDRBHSA-N 0 2 323.246 0.189 20 0 DCADLN CCn1nncc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001389463146 989287414 /nfs/dbraw/zinc/28/74/14/989287414.db2.gz PORYZXOKPRPXCY-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1nncc1CN1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001389463146 989287421 /nfs/dbraw/zinc/28/74/21/989287421.db2.gz PORYZXOKPRPXCY-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN CN(C(=O)CC(C)(C)O)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001390053524 989780282 /nfs/dbraw/zinc/78/02/82/989780282.db2.gz HKZOUSDUBQCNMJ-SECBINFHSA-N 0 2 314.279 0.717 20 0 DCADLN CN(C(=O)CC(C)(C)O)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001390053524 989780285 /nfs/dbraw/zinc/78/02/85/989780285.db2.gz HKZOUSDUBQCNMJ-SECBINFHSA-N 0 2 314.279 0.717 20 0 DCADLN CCn1nc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1C1CC1 ZINC001597927042 989872535 /nfs/dbraw/zinc/87/25/35/989872535.db2.gz XBAGCOPCVLXTCS-LBPRGKRZSA-N 0 2 317.349 0.860 20 0 DCADLN C[N@H+](CCNC(=O)COCC1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001390764448 990366549 /nfs/dbraw/zinc/36/65/49/990366549.db2.gz GOTLJOSHPZOLGH-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN C[N@@H+](CCNC(=O)COCC1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001390764448 990366559 /nfs/dbraw/zinc/36/65/59/990366559.db2.gz GOTLJOSHPZOLGH-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN CC(C)([NH2+]CC(=O)NC1CCOCC1)c1nocc1C(=O)[O-] ZINC001590866598 990759493 /nfs/dbraw/zinc/75/94/93/990759493.db2.gz IFVXUDUCFUGYNY-UHFFFAOYSA-N 0 2 311.338 0.493 20 0 DCADLN COc1ccccc1CN(C)C(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001599708814 991335936 /nfs/dbraw/zinc/33/59/36/991335936.db2.gz DSTHWYMBQOBFIG-CHWSQXEVSA-N 0 2 322.361 0.173 20 0 DCADLN COc1ccccc1CN(C)C(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001599708814 991335943 /nfs/dbraw/zinc/33/59/43/991335943.db2.gz DSTHWYMBQOBFIG-CHWSQXEVSA-N 0 2 322.361 0.173 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccncn1)NC(=O)C(F)C(F)(F)F ZINC001451203730 1015641699 /nfs/dbraw/zinc/64/16/99/1015641699.db2.gz RAUYLUKIMFYBJC-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccncn1)NC(=O)[C@H](F)C(F)(F)F ZINC001451203730 1015641705 /nfs/dbraw/zinc/64/17/05/1015641705.db2.gz RAUYLUKIMFYBJC-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN COc1cccnc1CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001599719806 991517897 /nfs/dbraw/zinc/51/78/97/991517897.db2.gz NXELEHNZQZQHKZ-LLVKDONJSA-N 0 2 304.306 0.099 20 0 DCADLN COc1ncccc1C[N@H+](C)CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001599737047 991790308 /nfs/dbraw/zinc/79/03/08/991790308.db2.gz AQMXFZNCELLLDV-RYUDHWBXSA-N 0 2 307.350 0.359 20 0 DCADLN COc1ncccc1C[N@@H+](C)CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001599737047 991790321 /nfs/dbraw/zinc/79/03/21/991790321.db2.gz AQMXFZNCELLLDV-RYUDHWBXSA-N 0 2 307.350 0.359 20 0 DCADLN CC(C)C[C@]1(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCNC1=O ZINC001591193314 992009994 /nfs/dbraw/zinc/00/99/94/992009994.db2.gz XNIWXBDSQLWJFU-IAQYHMDHSA-N 0 2 322.365 0.074 20 0 DCADLN CC(C)C[C@]1(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCNC1=O ZINC001591193314 992010002 /nfs/dbraw/zinc/01/00/02/992010002.db2.gz XNIWXBDSQLWJFU-IAQYHMDHSA-N 0 2 322.365 0.074 20 0 DCADLN CC(C)CCn1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])nn1 ZINC001591274349 992193323 /nfs/dbraw/zinc/19/33/23/992193323.db2.gz IDXYANJNBRSMQI-LBPRGKRZSA-N 0 2 320.353 0.404 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392672617 992243235 /nfs/dbraw/zinc/24/32/35/992243235.db2.gz NLRVUQYEPYRZDB-AXFHLTTASA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392672617 992243242 /nfs/dbraw/zinc/24/32/42/992243242.db2.gz NLRVUQYEPYRZDB-AXFHLTTASA-N 0 2 311.386 0.262 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1cc(C2CC2)no1 ZINC001598489465 992264920 /nfs/dbraw/zinc/26/49/20/992264920.db2.gz QITHORPYRWGIJJ-VXGBXAGGSA-N 0 2 307.350 0.821 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1cc(C2CC2)no1 ZINC001598489465 992264929 /nfs/dbraw/zinc/26/49/29/992264929.db2.gz QITHORPYRWGIJJ-VXGBXAGGSA-N 0 2 307.350 0.821 20 0 DCADLN CC(C)CC(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001392812263 992417309 /nfs/dbraw/zinc/41/73/09/992417309.db2.gz KPMNEWMMJRGACH-CYBMUJFWSA-N 0 2 317.393 0.759 20 0 DCADLN CN1C(=O)NC2(CCN(c3cc(CC(=O)[O-])cc[nH+]3)CC2)C1=O ZINC001598557037 993067274 /nfs/dbraw/zinc/06/72/74/993067274.db2.gz RMACAGVCIMNROS-UHFFFAOYSA-N 0 2 318.333 0.229 20 0 DCADLN CCn1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001394101367 993479330 /nfs/dbraw/zinc/47/93/30/993479330.db2.gz XYBOOQDTVFCWRZ-SNVBAGLBSA-N 0 2 320.397 0.890 20 0 DCADLN CCn1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001394101367 993479333 /nfs/dbraw/zinc/47/93/33/993479333.db2.gz XYBOOQDTVFCWRZ-SNVBAGLBSA-N 0 2 320.397 0.890 20 0 DCADLN CN1CC[N@H+](C)[C@H](CNC(=O)/C=C\c2cc(C(=O)[O-])co2)C1 ZINC001598611352 993781619 /nfs/dbraw/zinc/78/16/19/993781619.db2.gz JVFNVWHKRUSPAB-VSQXVHSFSA-N 0 2 307.350 0.353 20 0 DCADLN CN1CC[N@@H+](C)[C@H](CNC(=O)/C=C\c2cc(C(=O)[O-])co2)C1 ZINC001598611352 993781627 /nfs/dbraw/zinc/78/16/27/993781627.db2.gz JVFNVWHKRUSPAB-VSQXVHSFSA-N 0 2 307.350 0.353 20 0 DCADLN CC(C)N(C)C(=O)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCC[NH+]1C ZINC001399819222 993810051 /nfs/dbraw/zinc/81/00/51/993810051.db2.gz CECATXMPHFZMLQ-GJZGRUSLSA-N 0 2 324.469 0.528 20 0 DCADLN C[NH+]1CCC[C@@H]1C(=O)NCC[N@H+](CC(=O)N1CCCC1)C1CC1 ZINC001399999957 994037265 /nfs/dbraw/zinc/03/72/65/994037265.db2.gz VIMSMMWMTWKAKY-OAHLLOKOSA-N 0 2 322.453 0.284 20 0 DCADLN C[C@H]1OCC[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400051776 994106339 /nfs/dbraw/zinc/10/63/39/994106339.db2.gz JXYSWHSXQQDATB-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1OCC[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400051776 994106340 /nfs/dbraw/zinc/10/63/40/994106340.db2.gz JXYSWHSXQQDATB-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H](CNC(=O)c1ccn[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001395051069 994382201 /nfs/dbraw/zinc/38/22/01/994382201.db2.gz WSPMXSHQWFZLCN-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)c1ccn[nH]1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001395051069 994382215 /nfs/dbraw/zinc/38/22/15/994382215.db2.gz WSPMXSHQWFZLCN-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccn(C)n1 ZINC001395099721 994431174 /nfs/dbraw/zinc/43/11/74/994431174.db2.gz RIIAZYAFAWUUSO-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ccn(C)n1 ZINC001395099721 994431178 /nfs/dbraw/zinc/43/11/78/994431178.db2.gz RIIAZYAFAWUUSO-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001598653325 994480260 /nfs/dbraw/zinc/48/02/60/994480260.db2.gz OQXIHKHTIQAOQP-LBPRGKRZSA-N 0 2 320.349 0.327 20 0 DCADLN CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001598653325 994480265 /nfs/dbraw/zinc/48/02/65/994480265.db2.gz OQXIHKHTIQAOQP-LBPRGKRZSA-N 0 2 320.349 0.327 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCC[N@@H+]1[C@@H](C(=O)[O-])c1ccsc1 ZINC001598671131 994759099 /nfs/dbraw/zinc/75/90/99/994759099.db2.gz MWTDMDDVDIFIAV-GHMZBOCLSA-N 0 2 318.420 0.887 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCC[N@H+]1[C@@H](C(=O)[O-])c1ccsc1 ZINC001598671131 994759110 /nfs/dbraw/zinc/75/91/10/994759110.db2.gz MWTDMDDVDIFIAV-GHMZBOCLSA-N 0 2 318.420 0.887 20 0 DCADLN C[C@@H]([NH2+]Cc1ncc(C(=O)[O-])s1)C(=O)NCC(F)(F)F ZINC001593315694 994805507 /nfs/dbraw/zinc/80/55/07/994805507.db2.gz AKYXGEGDIOJGGK-RXMQYKEDSA-N 0 2 311.285 0.998 20 0 DCADLN CO[C@H](C)CC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001396723391 995562921 /nfs/dbraw/zinc/56/29/21/995562921.db2.gz QPYOLOFYWKNYIP-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CO[C@H](C)CC(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001396723391 995562929 /nfs/dbraw/zinc/56/29/29/995562929.db2.gz QPYOLOFYWKNYIP-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001598746744 995602412 /nfs/dbraw/zinc/60/24/12/995602412.db2.gz SSMFSCADOBAIKF-ONGXEEELSA-N 0 2 309.322 0.125 20 0 DCADLN COC(=O)[C@H]1C[C@H](C)CC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001598758049 995744469 /nfs/dbraw/zinc/74/44/69/995744469.db2.gz RRCUPKJVHVTRMC-ZYHUDNBSSA-N 0 2 310.354 0.179 20 0 DCADLN COC(=O)[C@H]1C[C@H](C)CC[N@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001598758049 995744479 /nfs/dbraw/zinc/74/44/79/995744479.db2.gz RRCUPKJVHVTRMC-ZYHUDNBSSA-N 0 2 310.354 0.179 20 0 DCADLN CCOCCN(CC)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001464944998 1015972759 /nfs/dbraw/zinc/97/27/59/1015972759.db2.gz BCYQAKPPGUAFJH-UHFFFAOYSA-N 0 2 320.349 0.765 20 0 DCADLN O=C(CCC(=O)N1CCC(O)CC1)Nc1ccc2nn[nH]c2c1 ZINC001465667322 1016017685 /nfs/dbraw/zinc/01/76/85/1016017685.db2.gz VTFGOFUNGWGHBJ-UHFFFAOYSA-N 0 2 317.349 0.660 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2cc(C(F)(F)F)n[nH]2)C[C@H]1C(=O)[O-] ZINC001598830738 996434005 /nfs/dbraw/zinc/43/40/05/996434005.db2.gz YNAGLFKAZKOUGP-SFYZADRCSA-N 0 2 321.255 0.734 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2cc(C(F)(F)F)n[nH]2)C[C@H]1C(=O)[O-] ZINC001598830738 996434010 /nfs/dbraw/zinc/43/40/10/996434010.db2.gz YNAGLFKAZKOUGP-SFYZADRCSA-N 0 2 321.255 0.734 20 0 DCADLN CCCNC(=O)CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001398300498 996458549 /nfs/dbraw/zinc/45/85/49/996458549.db2.gz KMKWBQVIFIOFNJ-PSASIEDQSA-N 0 2 313.295 0.604 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001398741257 996666858 /nfs/dbraw/zinc/66/68/58/996666858.db2.gz XZNDBWOEOKYOAA-WCQYABFASA-N 0 2 323.397 0.406 20 0 DCADLN COc1nccc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001466300641 1016067079 /nfs/dbraw/zinc/06/70/79/1016067079.db2.gz DJWJMGBJVZVXOH-QMMMGPOBSA-N 0 2 319.325 0.720 20 0 DCADLN COC1(CC(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001404224703 996831218 /nfs/dbraw/zinc/83/12/18/996831218.db2.gz RGCDQYFFMBXHGD-UHFFFAOYSA-N 0 2 323.397 0.550 20 0 DCADLN COC1(CC(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001404224703 996831229 /nfs/dbraw/zinc/83/12/29/996831229.db2.gz RGCDQYFFMBXHGD-UHFFFAOYSA-N 0 2 323.397 0.550 20 0 DCADLN CO[C@@]1(CNc2ccc(C)c(C(=O)[O-])[nH+]2)CCS(=O)(=O)C1 ZINC001599090641 997185952 /nfs/dbraw/zinc/18/59/52/997185952.db2.gz JUCYHXLDGWYPBT-CYBMUJFWSA-N 0 2 314.363 0.704 20 0 DCADLN Cc1ccc(CCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001399511715 997463171 /nfs/dbraw/zinc/46/31/71/997463171.db2.gz YEDODDYCEOTSTR-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN Cc1ccc(CCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001399511715 997463180 /nfs/dbraw/zinc/46/31/80/997463180.db2.gz YEDODDYCEOTSTR-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001405013688 997685632 /nfs/dbraw/zinc/68/56/32/997685632.db2.gz WVAXTMRAOHWPIG-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001405013688 997685637 /nfs/dbraw/zinc/68/56/37/997685637.db2.gz WVAXTMRAOHWPIG-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN O=C(COc1ccsc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405033401 997708972 /nfs/dbraw/zinc/70/89/72/997708972.db2.gz SFSBENNASHTHSC-VIFPVBQESA-N 0 2 323.378 0.341 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001405063368 997746879 /nfs/dbraw/zinc/74/68/79/997746879.db2.gz YUKQMQHFUPTPGB-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001405063368 997746889 /nfs/dbraw/zinc/74/68/89/997746889.db2.gz YUKQMQHFUPTPGB-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN COCCN1CCC[N@H+](Cc2cc(C(=O)[O-])oc2C)CC1=O ZINC001599240436 998031142 /nfs/dbraw/zinc/03/11/42/998031142.db2.gz MOISOAWQSUFPKM-UHFFFAOYSA-N 0 2 310.350 0.967 20 0 DCADLN COCCN1CCC[N@@H+](Cc2cc(C(=O)[O-])oc2C)CC1=O ZINC001599240436 998031152 /nfs/dbraw/zinc/03/11/52/998031152.db2.gz MOISOAWQSUFPKM-UHFFFAOYSA-N 0 2 310.350 0.967 20 0 DCADLN CC(C)(C)CC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405293816 998049190 /nfs/dbraw/zinc/04/91/90/998049190.db2.gz ONIONDDUOAVLMS-IONNQARKSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001405293816 998049197 /nfs/dbraw/zinc/04/91/97/998049197.db2.gz ONIONDDUOAVLMS-IONNQARKSA-N 0 2 316.295 0.916 20 0 DCADLN COc1cc(S(=O)(=O)NC[C@H]2CC[N@@H+]2C)ccc1C(=O)[O-] ZINC001599458915 998237823 /nfs/dbraw/zinc/23/78/23/998237823.db2.gz QVLLNVCGXJCBJX-SECBINFHSA-N 0 2 314.363 0.376 20 0 DCADLN COc1cc(S(=O)(=O)NC[C@H]2CC[N@H+]2C)ccc1C(=O)[O-] ZINC001599458915 998237832 /nfs/dbraw/zinc/23/78/32/998237832.db2.gz QVLLNVCGXJCBJX-SECBINFHSA-N 0 2 314.363 0.376 20 0 DCADLN O=C(NCCCn1cccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001467837517 1016203233 /nfs/dbraw/zinc/20/32/33/1016203233.db2.gz SNWIUYHHTJJYEC-NSHDSACASA-N 0 2 319.369 0.686 20 0 DCADLN CN(C[C@H](O)CNC(=O)CC1CC1)C(=O)C(F)C(F)(F)F ZINC001405606755 998383768 /nfs/dbraw/zinc/38/37/68/998383768.db2.gz ACEAJOVZJOOKIL-PSASIEDQSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)CC1CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001405606755 998383772 /nfs/dbraw/zinc/38/37/72/998383772.db2.gz ACEAJOVZJOOKIL-PSASIEDQSA-N 0 2 314.279 0.622 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CCN1C(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC001594137865 998396642 /nfs/dbraw/zinc/39/66/42/998396642.db2.gz YLNVIBHUSDDDEP-RYUDHWBXSA-N 0 2 322.365 0.053 20 0 DCADLN C[C@@H]1C[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C[N@@H+]1C1CC1 ZINC001594264892 999023810 /nfs/dbraw/zinc/02/38/10/999023810.db2.gz XUBWOSGTDZUTEL-HTQZYQBOSA-N 0 2 315.351 0.276 20 0 DCADLN C[C@@H]1C[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C[N@H+]1C1CC1 ZINC001594264892 999023816 /nfs/dbraw/zinc/02/38/16/999023816.db2.gz XUBWOSGTDZUTEL-HTQZYQBOSA-N 0 2 315.351 0.276 20 0 DCADLN CSCC(=O)NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001511548860 1016346829 /nfs/dbraw/zinc/34/68/29/1016346829.db2.gz LLIWZFUSRRMYFC-HTRCEHHLSA-N 0 2 320.308 0.185 20 0 DCADLN CSCC(=O)NC[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001511548860 1016346840 /nfs/dbraw/zinc/34/68/40/1016346840.db2.gz LLIWZFUSRRMYFC-HTRCEHHLSA-N 0 2 320.308 0.185 20 0 DCADLN COCc1nc(CNC(=O)NCc2nnc(C(C)C)[nH]2)n[nH]1 ZINC001470300139 1016407672 /nfs/dbraw/zinc/40/76/72/1016407672.db2.gz YCSGQDQJMMUURM-UHFFFAOYSA-N 0 2 308.346 0.192 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1s[n-]c(=O)c1Cl ZINC001418610194 1000388325 /nfs/dbraw/zinc/38/83/25/1000388325.db2.gz CWNXLBHCTDSNER-RXMQYKEDSA-N 0 2 302.743 0.699 20 0 DCADLN CC(=O)Nc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)nc1 ZINC001418621718 1000401079 /nfs/dbraw/zinc/40/10/79/1000401079.db2.gz LQRLDVRICGVOTF-UHFFFAOYSA-N 0 2 303.278 0.171 20 0 DCADLN C[C@H](CN(C)Cc1cnn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001401749446 1000687072 /nfs/dbraw/zinc/68/70/72/1000687072.db2.gz KKYFZUKXBPCFBG-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CN(C)Cc1cnn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001401749446 1000687075 /nfs/dbraw/zinc/68/70/75/1000687075.db2.gz KKYFZUKXBPCFBG-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN CN(C(=O)COC1CCCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419118610 1000788739 /nfs/dbraw/zinc/78/87/39/1000788739.db2.gz FOTSIPAQHPUDPO-LLVKDONJSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)COC1CCCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419118610 1000788744 /nfs/dbraw/zinc/78/87/44/1000788744.db2.gz FOTSIPAQHPUDPO-LLVKDONJSA-N 0 2 323.397 0.502 20 0 DCADLN CCCC[C@H](C(N)=O)[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001401887556 1000821552 /nfs/dbraw/zinc/82/15/52/1000821552.db2.gz GOQKJTWGLJHVKW-GHMZBOCLSA-N 0 2 323.397 0.272 20 0 DCADLN CCCC[C@H](C(N)=O)[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001401887556 1000821559 /nfs/dbraw/zinc/82/15/59/1000821559.db2.gz GOQKJTWGLJHVKW-GHMZBOCLSA-N 0 2 323.397 0.272 20 0 DCADLN CCO[C@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001401890827 1000824812 /nfs/dbraw/zinc/82/48/12/1000824812.db2.gz GRIGTPMJHAVXJM-PWSUYJOCSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1cnc(C[NH2+]CC2(NC(=O)c3ncn[nH]3)CCCC2)o1 ZINC001419312717 1000968002 /nfs/dbraw/zinc/96/80/02/1000968002.db2.gz VCJIQUBNXIIGQZ-UHFFFAOYSA-N 0 2 304.354 0.934 20 0 DCADLN O=C(N[C@H](CO)[C@H](O)c1cccnc1)c1cc(F)c(O)c(F)c1 ZINC001471071123 1016521310 /nfs/dbraw/zinc/52/13/10/1016521310.db2.gz VSKISTTVEGJURC-CHWSQXEVSA-N 0 2 324.283 0.890 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CC[C@@H]1CCOC1 ZINC001424833604 1002074952 /nfs/dbraw/zinc/07/49/52/1002074952.db2.gz WUIXCSYNKZCRAH-QMMMGPOBSA-N 0 2 301.311 0.141 20 0 DCADLN C[N@@H+](CCNC(=O)c1ncc(F)cc1F)Cc1n[nH]c(=O)[n-]1 ZINC001420250642 1002113925 /nfs/dbraw/zinc/11/39/25/1002113925.db2.gz GTAJKEDGOGVYNR-UHFFFAOYSA-N 0 2 312.280 0.045 20 0 DCADLN CN(CCNC(=O)c1ncc(F)cc1F)Cc1n[nH]c(=O)[nH]1 ZINC001420250642 1002113914 /nfs/dbraw/zinc/11/39/14/1002113914.db2.gz GTAJKEDGOGVYNR-UHFFFAOYSA-N 0 2 312.280 0.045 20 0 DCADLN C[N@H+](CCNC(=O)c1ncc(F)cc1F)Cc1n[nH]c(=O)[n-]1 ZINC001420250642 1002113920 /nfs/dbraw/zinc/11/39/20/1002113920.db2.gz GTAJKEDGOGVYNR-UHFFFAOYSA-N 0 2 312.280 0.045 20 0 DCADLN C[C@H](NC(=O)CNC(=O)c1cccs1)c1nn(C)cc1O ZINC001420416820 1002388259 /nfs/dbraw/zinc/38/82/59/1002388259.db2.gz RMZBTQZXTFDQBW-QMMMGPOBSA-N 0 2 308.363 0.794 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2)c1nn(C)cc1O ZINC001420438636 1002418919 /nfs/dbraw/zinc/41/89/19/1002418919.db2.gz KEZHHSDUNOVHSE-YEFHITBRSA-N 0 2 321.333 0.024 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425243765 1002472811 /nfs/dbraw/zinc/47/28/11/1002472811.db2.gz FUMBQUJHYXHCMG-VHSXEESVSA-N 0 2 311.386 0.119 20 0 DCADLN Cc1ccc(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)nc1 ZINC001425520271 1002760360 /nfs/dbraw/zinc/76/03/60/1002760360.db2.gz UCJJICQRIUNSAC-UHFFFAOYSA-N 0 2 324.366 0.374 20 0 DCADLN CC[C@@H](C)OCC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403983815 1002846726 /nfs/dbraw/zinc/84/67/26/1002846726.db2.gz NOZQQNDVPUFGAU-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@@H](C)OCC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403983815 1002846744 /nfs/dbraw/zinc/84/67/44/1002846744.db2.gz NOZQQNDVPUFGAU-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001404058521 1002953717 /nfs/dbraw/zinc/95/37/17/1002953717.db2.gz ZYKXUYXMOLISIT-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCCN1C(=O)[C@H](F)C(F)(F)F ZINC001404058521 1002953723 /nfs/dbraw/zinc/95/37/23/1002953723.db2.gz ZYKXUYXMOLISIT-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CC[C@H](C)C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001420810255 1002971320 /nfs/dbraw/zinc/97/13/20/1002971320.db2.gz HAJCESFMEQFBIQ-WCQYABFASA-N 0 2 317.393 0.759 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@H]2CCC[C@@H](F)C2)[nH]1 ZINC001472084748 1016724681 /nfs/dbraw/zinc/72/46/81/1016724681.db2.gz IIZDBSBIFYRDTN-DTWKUNHWSA-N 0 2 318.374 0.494 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cccc(NC(=O)C3CC3)c2)n1 ZINC001472098543 1016731150 /nfs/dbraw/zinc/73/11/50/1016731150.db2.gz CWRDMBNXKWAFME-UHFFFAOYSA-N 0 2 322.350 0.359 20 0 DCADLN CCCn1nnnc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001421028600 1003246930 /nfs/dbraw/zinc/24/69/30/1003246930.db2.gz FXPCLGARZWVDHR-SECBINFHSA-N 0 2 324.282 0.284 20 0 DCADLN CCCn1nnnc1CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001421028600 1003246950 /nfs/dbraw/zinc/24/69/50/1003246950.db2.gz FXPCLGARZWVDHR-SECBINFHSA-N 0 2 324.282 0.284 20 0 DCADLN CCOCC1(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001505947858 1016753218 /nfs/dbraw/zinc/75/32/18/1016753218.db2.gz CJFKPJQELXIBRR-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CCOCC1(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001505947858 1016753225 /nfs/dbraw/zinc/75/32/25/1016753225.db2.gz CJFKPJQELXIBRR-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428092463 1003696846 /nfs/dbraw/zinc/69/68/46/1003696846.db2.gz RBMUTBUPWCGPIM-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001428092463 1003696847 /nfs/dbraw/zinc/69/68/47/1003696847.db2.gz RBMUTBUPWCGPIM-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCCOC1 ZINC001406699721 1004017531 /nfs/dbraw/zinc/01/75/31/1004017531.db2.gz FDRUYUYMSLSEQN-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCCOC1 ZINC001406699721 1004017540 /nfs/dbraw/zinc/01/75/40/1004017540.db2.gz FDRUYUYMSLSEQN-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506005538 1016866566 /nfs/dbraw/zinc/86/65/66/1016866566.db2.gz CMYVUBXDBQCOPQ-DBIOUOCHSA-N 0 2 309.370 0.014 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506005538 1016866579 /nfs/dbraw/zinc/86/65/79/1016866579.db2.gz CMYVUBXDBQCOPQ-DBIOUOCHSA-N 0 2 309.370 0.014 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)nn1C ZINC001429305007 1004518978 /nfs/dbraw/zinc/51/89/78/1004518978.db2.gz SGPPEMKXDTVMAS-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)nn1C ZINC001429305007 1004518981 /nfs/dbraw/zinc/51/89/81/1004518981.db2.gz SGPPEMKXDTVMAS-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001427760966 1004588855 /nfs/dbraw/zinc/58/88/55/1004588855.db2.gz SZQIWVFXHJPALM-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001427760966 1004588859 /nfs/dbraw/zinc/58/88/59/1004588859.db2.gz SZQIWVFXHJPALM-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN CCCCC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001427824260 1004630535 /nfs/dbraw/zinc/63/05/35/1004630535.db2.gz KBGROLMINOWDBP-APPZFPTMSA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001427824260 1004630540 /nfs/dbraw/zinc/63/05/40/1004630540.db2.gz KBGROLMINOWDBP-APPZFPTMSA-N 0 2 302.268 0.670 20 0 DCADLN COCc1nnc(CNC(=O)N[C@@H](C)Cc2cccnc2)[nH]1 ZINC001427941786 1004694456 /nfs/dbraw/zinc/69/44/56/1004694456.db2.gz CXWPRMSQTOUREB-JTQLQIEISA-N 0 2 304.354 0.777 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC001407835310 1004892051 /nfs/dbraw/zinc/89/20/51/1004892051.db2.gz RACNOYUJDUSALG-FXQIFTODSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ncccn1 ZINC001407835310 1004892056 /nfs/dbraw/zinc/89/20/56/1004892056.db2.gz RACNOYUJDUSALG-FXQIFTODSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001407899285 1004931580 /nfs/dbraw/zinc/93/15/80/1004931580.db2.gz LGQWZSFEXHDRKP-BFHQHQDPSA-N 0 2 310.207 0.347 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC001407899285 1004931584 /nfs/dbraw/zinc/93/15/84/1004931584.db2.gz LGQWZSFEXHDRKP-BFHQHQDPSA-N 0 2 310.207 0.347 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cocn3)C[C@H]21)C(F)C(F)(F)F ZINC001408010889 1004983600 /nfs/dbraw/zinc/98/36/00/1004983600.db2.gz VKWGVRVQLLVJTC-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cocn3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001408010889 1004983601 /nfs/dbraw/zinc/98/36/01/1004983601.db2.gz VKWGVRVQLLVJTC-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN Cn1cc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)nn1 ZINC001506038699 1016931596 /nfs/dbraw/zinc/93/15/96/1016931596.db2.gz NWPZQHKQHGAUNW-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1cc(C(=O)NCC2(NC(=O)[C@@H](F)C(F)(F)F)CC2)nn1 ZINC001506038699 1016931618 /nfs/dbraw/zinc/93/16/18/1016931618.db2.gz NWPZQHKQHGAUNW-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN Cc1ncccc1CNC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001414512558 1005350123 /nfs/dbraw/zinc/35/01/23/1005350123.db2.gz IZTQOAVPYADWJO-UHFFFAOYSA-N 0 2 315.337 0.722 20 0 DCADLN CC(=O)N1CC[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001414704130 1005386324 /nfs/dbraw/zinc/38/63/24/1005386324.db2.gz QYFWLYFSDDQNNN-GHMZBOCLSA-N 0 2 322.369 0.020 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001414779491 1005400972 /nfs/dbraw/zinc/40/09/72/1005400972.db2.gz HOLJGVDWYMFHGS-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC001414779491 1005400973 /nfs/dbraw/zinc/40/09/73/1005400973.db2.gz HOLJGVDWYMFHGS-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN C[C@H](CNC(=O)CCc1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001415173755 1005475575 /nfs/dbraw/zinc/47/55/75/1005475575.db2.gz MDMCIJIBNYUKRH-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CNC(=O)CCc1cn[nH]c1)NC(=O)[C@H](F)C(F)(F)F ZINC001415173755 1005475576 /nfs/dbraw/zinc/47/55/76/1005475576.db2.gz MDMCIJIBNYUKRH-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN CCOCC(=O)N1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001415289731 1005496290 /nfs/dbraw/zinc/49/62/90/1005496290.db2.gz UIGKQWGSKWLFLI-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN CCOCC(=O)N1CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001415289731 1005496291 /nfs/dbraw/zinc/49/62/91/1005496291.db2.gz UIGKQWGSKWLFLI-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN COCCC(=O)N[C@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001415393449 1005517102 /nfs/dbraw/zinc/51/71/02/1005517102.db2.gz BLCUKWAIZYBSML-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN COCCC(=O)N[C@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001415393449 1005517103 /nfs/dbraw/zinc/51/71/03/1005517103.db2.gz BLCUKWAIZYBSML-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN Cn1nncc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001415837712 1005621210 /nfs/dbraw/zinc/62/12/10/1005621210.db2.gz YZMKHAIWEWMAGZ-SECBINFHSA-N 0 2 309.267 0.360 20 0 DCADLN Cn1nncc1CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001415837712 1005621211 /nfs/dbraw/zinc/62/12/11/1005621211.db2.gz YZMKHAIWEWMAGZ-SECBINFHSA-N 0 2 309.267 0.360 20 0 DCADLN Cc1cc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001416029435 1005652362 /nfs/dbraw/zinc/65/23/62/1005652362.db2.gz LCLMVEJOBLRGEL-SNVBAGLBSA-N 0 2 322.262 0.488 20 0 DCADLN Cc1cc(CC(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)[nH]n1 ZINC001416029435 1005652363 /nfs/dbraw/zinc/65/23/63/1005652363.db2.gz LCLMVEJOBLRGEL-SNVBAGLBSA-N 0 2 322.262 0.488 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1(C(F)(F)F)CCC1 ZINC001416058762 1005657209 /nfs/dbraw/zinc/65/72/09/1005657209.db2.gz OQRTZHAURYXIGS-UHFFFAOYSA-N 0 2 315.255 0.672 20 0 DCADLN O=C(NCC[NH+]1Cc2ccccc2C1)c1n[nH]c(=O)[n-]c1=O ZINC001417625046 1005854486 /nfs/dbraw/zinc/85/44/86/1005854486.db2.gz KGVKBOIQJPWEOK-UHFFFAOYSA-N 0 2 301.306 0.028 20 0 DCADLN CN1C[C@@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)NC1=O ZINC001417792112 1005891797 /nfs/dbraw/zinc/89/17/97/1005891797.db2.gz SXSAIPGQBMVOLP-VIFPVBQESA-N 0 2 302.294 0.139 20 0 DCADLN CC[C@@H]1OCC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417949148 1005937580 /nfs/dbraw/zinc/93/75/80/1005937580.db2.gz QLSZUAXZRHNFMR-NEPJUHHUSA-N 0 2 318.333 0.564 20 0 DCADLN O=C(NCC1(NC(=O)[C@]23C[C@H]2COC3)CC1)C(F)C(F)(F)F ZINC001506095811 1017010808 /nfs/dbraw/zinc/01/08/08/1017010808.db2.gz QCRROOXDFPLFII-SXMVTHIZSA-N 0 2 324.274 0.688 20 0 DCADLN O=C(NCC1(NC(=O)[C@]23C[C@H]2COC3)CC1)[C@@H](F)C(F)(F)F ZINC001506095811 1017010821 /nfs/dbraw/zinc/01/08/21/1017010821.db2.gz QCRROOXDFPLFII-SXMVTHIZSA-N 0 2 324.274 0.688 20 0 DCADLN Cc1cnc(C[NH2+]C[C@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])o1 ZINC001438647428 1005987005 /nfs/dbraw/zinc/98/70/05/1005987005.db2.gz QTTTWBHZTDCHEJ-QMMMGPOBSA-N 0 2 307.354 0.970 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2COCc3ccccc32)S1 ZINC001418071644 1006003293 /nfs/dbraw/zinc/00/32/93/1006003293.db2.gz ICSCKLDJCNGSKM-GHMZBOCLSA-N 0 2 305.359 0.931 20 0 DCADLN O=C(CCC1CC1)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001451420338 1006164047 /nfs/dbraw/zinc/16/40/47/1006164047.db2.gz SKTRVRWMRBPFDV-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001451420338 1006164052 /nfs/dbraw/zinc/16/40/52/1006164052.db2.gz SKTRVRWMRBPFDV-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(F)F ZINC001451786643 1006411575 /nfs/dbraw/zinc/41/15/75/1006411575.db2.gz WPMYIZHEVYNDDL-NTSWFWBYSA-N 0 2 324.221 0.478 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(C)(F)F ZINC001451786643 1006411583 /nfs/dbraw/zinc/41/15/83/1006411583.db2.gz WPMYIZHEVYNDDL-NTSWFWBYSA-N 0 2 324.221 0.478 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCC(C)(F)F ZINC001439337697 1006723908 /nfs/dbraw/zinc/72/39/08/1006723908.db2.gz HQLDEPUIFUBADI-QMMMGPOBSA-N 0 2 305.329 0.882 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCC(C)(F)F ZINC001439337697 1006723920 /nfs/dbraw/zinc/72/39/20/1006723920.db2.gz HQLDEPUIFUBADI-QMMMGPOBSA-N 0 2 305.329 0.882 20 0 DCADLN CC[C@H](CC(F)F)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437481862 1007025661 /nfs/dbraw/zinc/02/56/61/1007025661.db2.gz XZFRXGZBXZEJQZ-MRVPVSSYSA-N 0 2 305.329 0.740 20 0 DCADLN CC[C@H](CC(F)F)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437481862 1007025670 /nfs/dbraw/zinc/02/56/70/1007025670.db2.gz XZFRXGZBXZEJQZ-MRVPVSSYSA-N 0 2 305.329 0.740 20 0 DCADLN CCc1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc(=O)[nH]1 ZINC001437496907 1007045211 /nfs/dbraw/zinc/04/52/11/1007045211.db2.gz XVSGZJBKPGJMKK-UHFFFAOYSA-N 0 2 320.353 0.035 20 0 DCADLN CCc1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc(=O)[nH]1 ZINC001437496907 1007045225 /nfs/dbraw/zinc/04/52/25/1007045225.db2.gz XVSGZJBKPGJMKK-UHFFFAOYSA-N 0 2 320.353 0.035 20 0 DCADLN C[C@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001440239386 1007602671 /nfs/dbraw/zinc/60/26/71/1007602671.db2.gz KPHFTQCZDCBTFS-RKDXNWHRSA-N 0 2 317.340 0.834 20 0 DCADLN CC(=O)CCCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441137534 1008235756 /nfs/dbraw/zinc/23/57/56/1008235756.db2.gz NCHXXSHAQNADMP-SNVBAGLBSA-N 0 2 309.370 0.206 20 0 DCADLN O=C(CC1CC1)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433229123 1008620603 /nfs/dbraw/zinc/62/06/03/1008620603.db2.gz XETURPUGVNWMCU-VXNVDRBHSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001433229123 1008620610 /nfs/dbraw/zinc/62/06/10/1008620610.db2.gz XETURPUGVNWMCU-VXNVDRBHSA-N 0 2 300.252 0.280 20 0 DCADLN CN(C[C@@H](O)C[NH+](C)Cc1ccon1)C(=O)C[N@H+](C)C1CCC1 ZINC001442052665 1008888034 /nfs/dbraw/zinc/88/80/34/1008888034.db2.gz OWXBOQPVHRDZRH-HNNXBMFYSA-N 0 2 324.425 0.410 20 0 DCADLN C[C@H]1CCn2ncc(C(=O)NCc3nc(O)cc(=O)[nH]3)c2C1 ZINC001454193314 1008991820 /nfs/dbraw/zinc/99/18/20/1008991820.db2.gz XSMYSELSZRPUCR-QMMMGPOBSA-N 0 2 303.322 0.597 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)N1CCO[C@H](C[NH2+]Cc2ncccn2)C1 ZINC001421818061 1009130108 /nfs/dbraw/zinc/13/01/08/1009130108.db2.gz AKNMHMDUTYGHIN-CQSZACIVSA-N 0 2 321.425 0.134 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001421829672 1009142105 /nfs/dbraw/zinc/14/21/05/1009142105.db2.gz TZGATJRPLYVINF-LBPRGKRZSA-N 0 2 323.397 0.740 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001421829672 1009142108 /nfs/dbraw/zinc/14/21/08/1009142108.db2.gz TZGATJRPLYVINF-LBPRGKRZSA-N 0 2 323.397 0.740 20 0 DCADLN O=C(C[C@@H]1C(=O)Nc2c1cccc2F)NCc1n[nH]c(=O)[nH]1 ZINC001433769596 1009163111 /nfs/dbraw/zinc/16/31/11/1009163111.db2.gz FAWCYEDGXWJQPY-ZETCQYMHSA-N 0 2 305.269 0.392 20 0 DCADLN CS[C@H](C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433831293 1009226437 /nfs/dbraw/zinc/22/64/37/1009226437.db2.gz DXFKWUAQQOYMEL-MRVPVSSYSA-N 0 2 308.363 0.748 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001454638237 1009237288 /nfs/dbraw/zinc/23/72/88/1009237288.db2.gz GCNJAUFMCVRJOC-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001454638237 1009237299 /nfs/dbraw/zinc/23/72/99/1009237299.db2.gz GCNJAUFMCVRJOC-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN Cc1c[nH]c(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001433901876 1009327135 /nfs/dbraw/zinc/32/71/35/1009327135.db2.gz FSZXEAPTFRTFHH-SSDOTTSWSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1c[nH]c(C(=O)NC2(CNC(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001433901876 1009327149 /nfs/dbraw/zinc/32/71/49/1009327149.db2.gz FSZXEAPTFRTFHH-SSDOTTSWSA-N 0 2 322.262 0.997 20 0 DCADLN CC[N@H+]1CCCC[C@@H]1C(=O)NCC[NH+](C)[C@H](C)C(=O)NC1CC1 ZINC001442620540 1009527720 /nfs/dbraw/zinc/52/77/20/1009527720.db2.gz OLJVULBCJDPNSV-UKRRQHHQSA-N 0 2 324.469 0.576 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C(C)C ZINC001442627803 1009539943 /nfs/dbraw/zinc/53/99/43/1009539943.db2.gz OGVDWPXINYANOJ-UHFFFAOYSA-N 0 2 321.385 0.458 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C(C)C ZINC001442627803 1009539954 /nfs/dbraw/zinc/53/99/54/1009539954.db2.gz OGVDWPXINYANOJ-UHFFFAOYSA-N 0 2 321.385 0.458 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC[C@@H](O)[C@H](CO)C1 ZINC001434099815 1009561985 /nfs/dbraw/zinc/56/19/85/1009561985.db2.gz MWUXQEQDLPBXDO-WCQYABFASA-N 0 2 317.345 0.393 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)Cc2cc(C)ncn2)c1[O-] ZINC001422158062 1009578077 /nfs/dbraw/zinc/57/80/77/1009578077.db2.gz XJDBPXQRJLKYOA-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)Cc2cc(C)ncn2)c1[O-] ZINC001422158062 1009578087 /nfs/dbraw/zinc/57/80/87/1009578087.db2.gz XJDBPXQRJLKYOA-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN CN(CCNC(=O)c1ccn(C)n1)C(=O)C(F)C(F)(F)F ZINC001455246663 1009610978 /nfs/dbraw/zinc/61/09/78/1009610978.db2.gz BLEYPXLNPPVRCK-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)c1ccn(C)n1)C(=O)[C@H](F)C(F)(F)F ZINC001455246663 1009610990 /nfs/dbraw/zinc/61/09/90/1009610990.db2.gz BLEYPXLNPPVRCK-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN C[C@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2nc[nH]n2)C1 ZINC001434176561 1009638454 /nfs/dbraw/zinc/63/84/54/1009638454.db2.gz DAYRURQBUVWKAT-LDWIPMOCSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@]1(NC(=O)[C@@H](F)C(F)(F)F)CCN(C(=O)c2nc[nH]n2)C1 ZINC001434176561 1009638459 /nfs/dbraw/zinc/63/84/59/1009638459.db2.gz DAYRURQBUVWKAT-LDWIPMOCSA-N 0 2 323.250 0.426 20 0 DCADLN COc1ccc(C(F)(F)C(=O)NCc2nnc(CO)[nH]2)cc1 ZINC001434202239 1009656230 /nfs/dbraw/zinc/65/62/30/1009656230.db2.gz HXNISMFIAJQNAF-UHFFFAOYSA-N 0 2 312.276 0.714 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC[S@@](C)(=O)=NC)cn1 ZINC001434222958 1009673938 /nfs/dbraw/zinc/67/39/38/1009673938.db2.gz SAGXFUVKAFZBGC-JOCHJYFZSA-N 0 2 324.410 0.751 20 0 DCADLN Cc1cnn(CCC(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)c1 ZINC001434391065 1009887498 /nfs/dbraw/zinc/88/74/98/1009887498.db2.gz XRLCLKGJMDWVAL-UHFFFAOYSA-N 0 2 319.365 0.792 20 0 DCADLN Cn1nc(C2CC2)cc1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC001434390956 1009887962 /nfs/dbraw/zinc/88/79/62/1009887962.db2.gz PQCPIQLICRSNNC-JTQLQIEISA-N 0 2 307.379 0.470 20 0 DCADLN Cc1nsc(C)c1C[NH2+]C[C@H](O)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001422370821 1009965722 /nfs/dbraw/zinc/96/57/22/1009965722.db2.gz OKMZIQYIXNERID-LBPRGKRZSA-N 0 2 323.422 0.292 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(Cc3ncon3)CC2)S1 ZINC001456025918 1010070411 /nfs/dbraw/zinc/07/04/11/1010070411.db2.gz RJRWYUVLLAMNSH-VIFPVBQESA-N 0 2 323.378 0.407 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)c1ncc[nH]1)c1ccccc1F ZINC001434558009 1010085798 /nfs/dbraw/zinc/08/57/98/1010085798.db2.gz QYYSFZSQPAONTA-SNVBAGLBSA-N 0 2 313.310 0.741 20 0 DCADLN C[C@@H]1CCC[C@@H]1CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456306113 1010183812 /nfs/dbraw/zinc/18/38/12/1010183812.db2.gz CCFVRNUQOLRSQA-NXEZZACHSA-N 0 2 314.411 0.792 20 0 DCADLN COc1ccnc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422544449 1010273869 /nfs/dbraw/zinc/27/38/69/1010273869.db2.gz CHIICJCTWSPULE-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1ccnc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422544449 1010273873 /nfs/dbraw/zinc/27/38/73/1010273873.db2.gz CHIICJCTWSPULE-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN CO[C@H](CC(C)C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001443610351 1010533813 /nfs/dbraw/zinc/53/38/13/1010533813.db2.gz AORANCAHYMXQTO-LLVKDONJSA-N 0 2 311.386 0.119 20 0 DCADLN CNC(=O)[C@@H](C)[N@H+](C)C[C@@H](C)NC(=O)CCCn1cc[nH+]c1C ZINC001422903789 1010724194 /nfs/dbraw/zinc/72/41/94/1010724194.db2.gz LQZYPENZHFUQNN-CHWSQXEVSA-N 0 2 323.441 0.543 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccc(F)c1 ZINC001422919348 1010738675 /nfs/dbraw/zinc/73/86/75/1010738675.db2.gz VWEXWYIOURTBHY-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccc(F)c1 ZINC001422919348 1010738680 /nfs/dbraw/zinc/73/86/80/1010738680.db2.gz VWEXWYIOURTBHY-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN O=C(CCc1cncs1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423126532 1010910064 /nfs/dbraw/zinc/91/00/64/1010910064.db2.gz LEVUGUZNKYDOAW-SECBINFHSA-N 0 2 322.394 0.290 20 0 DCADLN O=C(Cc1ncc[nH]1)NCC1(NCc2cc3n(n2)CCC3)CC1 ZINC001423283888 1011046142 /nfs/dbraw/zinc/04/61/42/1011046142.db2.gz YNRLWQBNCDTOOH-UHFFFAOYSA-N 0 2 314.393 0.534 20 0 DCADLN Cc1nnc(C[NH2+]C[C@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])s1 ZINC001444574071 1011068364 /nfs/dbraw/zinc/06/83/64/1011068364.db2.gz ASSBCKFWXRFIDV-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN C[C@H]1[C@@H](NC(=O)CC(F)(F)F)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423373542 1011108262 /nfs/dbraw/zinc/10/82/62/1011108262.db2.gz LGJZNKSKWNYKFM-YUMQZZPRSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@H]1[C@@H](NC(=O)CC(F)(F)F)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423373542 1011108266 /nfs/dbraw/zinc/10/82/66/1011108266.db2.gz LGJZNKSKWNYKFM-YUMQZZPRSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@H]1[C@@H](NC(=O)CC(F)(F)F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001423373542 1011108269 /nfs/dbraw/zinc/10/82/69/1011108269.db2.gz LGJZNKSKWNYKFM-YUMQZZPRSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(F)(F)F)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423373537 1011108973 /nfs/dbraw/zinc/10/89/73/1011108973.db2.gz LGJZNKSKWNYKFM-SFYZADRCSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(F)(F)F)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423373537 1011108975 /nfs/dbraw/zinc/10/89/75/1011108975.db2.gz LGJZNKSKWNYKFM-SFYZADRCSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(F)(F)F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001423373537 1011108978 /nfs/dbraw/zinc/10/89/78/1011108978.db2.gz LGJZNKSKWNYKFM-SFYZADRCSA-N 0 2 321.303 0.932 20 0 DCADLN CCOC(=O)c1cn(C)cc1NC(=O)CC1SC(=N)NC1=O ZINC001456397710 1011240228 /nfs/dbraw/zinc/24/02/28/1011240228.db2.gz OESBFJGXWJVYMU-SECBINFHSA-N 0 2 324.362 0.697 20 0 DCADLN C[C@H](NC(=O)CCc1nn[nH]n1)c1nc(C(F)(F)F)n[nH]1 ZINC001456401652 1011242223 /nfs/dbraw/zinc/24/22/23/1011242223.db2.gz UUHPFVPIFCNMFM-BYPYZUCNSA-N 0 2 304.236 0.147 20 0 DCADLN C[C@@H](NC(=O)CSc1cccc[n+]1[O-])c1nn(C)cc1O ZINC001456788651 1011461434 /nfs/dbraw/zinc/46/14/34/1011461434.db2.gz IYISBWLIGGUHPW-SECBINFHSA-N 0 2 308.363 0.729 20 0 DCADLN C[C@H](C[NH2+]CC(=O)NC1CCCC1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001445377996 1011703921 /nfs/dbraw/zinc/70/39/21/1011703921.db2.gz BGCAAQCOPHWDAJ-GFCCVEGCSA-N 0 2 321.425 0.495 20 0 DCADLN O=C(Cc1ncc[nH]1)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458799390 1012381428 /nfs/dbraw/zinc/38/14/28/1012381428.db2.gz KTOIXINQBYVKPJ-XVKPBYJWSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1ncc[nH]1)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458799386 1012381524 /nfs/dbraw/zinc/38/15/24/1012381524.db2.gz KTOIXINQBYVKPJ-XCBNKYQSSA-N 0 2 322.262 0.570 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)Cc2cnoc2)C1 ZINC001432400667 1012436826 /nfs/dbraw/zinc/43/68/26/1012436826.db2.gz SEPKKBXJXVEWLA-SNVBAGLBSA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)Cc2cnoc2)C1 ZINC001432400667 1012436834 /nfs/dbraw/zinc/43/68/34/1012436834.db2.gz SEPKKBXJXVEWLA-SNVBAGLBSA-N 0 2 323.246 0.787 20 0 DCADLN Cc1nc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC001432426787 1012472363 /nfs/dbraw/zinc/47/23/63/1012472363.db2.gz FCJOAGVLXGWEES-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN Cc1nc(C(=O)N2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)c[nH]1 ZINC001432426787 1012472373 /nfs/dbraw/zinc/47/23/73/1012472373.db2.gz FCJOAGVLXGWEES-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN O=C(NCCOCCF)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001565141682 1012543588 /nfs/dbraw/zinc/54/35/88/1012543588.db2.gz NCGKNKWBIQKLTI-SECBINFHSA-N 0 2 301.322 0.385 20 0 DCADLN C[C@]1(CCCC(=O)Nc2ccc3nn[nH]c3c2)NC(=O)NC1=O ZINC001545240357 1012559884 /nfs/dbraw/zinc/55/98/84/1012559884.db2.gz SKMPFLUGIMCTNC-CQSZACIVSA-N 0 2 316.321 0.665 20 0 DCADLN NC(=O)N[C@@H](CO)C(=O)Nc1cc(Cl)c(O)c(Cl)c1 ZINC001547465472 1012582730 /nfs/dbraw/zinc/58/27/30/1012582730.db2.gz UIKMFUSWBZANBN-ZETCQYMHSA-N 0 2 308.121 0.667 20 0 DCADLN CCOCC(=O)NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001429935589 1012966569 /nfs/dbraw/zinc/96/65/69/1012966569.db2.gz WDRQFTWDAPUSDC-SCZZXKLOSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001429935589 1012966576 /nfs/dbraw/zinc/96/65/76/1012966576.db2.gz WDRQFTWDAPUSDC-SCZZXKLOSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1noc([C@@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001497565957 1018228942 /nfs/dbraw/zinc/22/89/42/1018228942.db2.gz WHATZRFZFSPSKI-XRVBUDJMSA-N 0 2 320.353 0.752 20 0 DCADLN O=C(CC1=CCOCC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498222564 1018771072 /nfs/dbraw/zinc/77/10/72/1018771072.db2.gz HDEGWWGQOSJMIV-LLVKDONJSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(CC1=CCOCC1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001498222564 1018771084 /nfs/dbraw/zinc/77/10/84/1018771084.db2.gz HDEGWWGQOSJMIV-LLVKDONJSA-N 0 2 324.274 0.951 20 0 DCADLN CN(CCNC(=O)Cc1cc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001495927240 1018879510 /nfs/dbraw/zinc/87/95/10/1018879510.db2.gz JRULDPHDAYHSCP-SECBINFHSA-N 0 2 310.251 0.427 20 0 DCADLN CN(CCNC(=O)Cc1cc[nH]n1)C(=O)[C@@H](F)C(F)(F)F ZINC001495927240 1018879531 /nfs/dbraw/zinc/87/95/31/1018879531.db2.gz JRULDPHDAYHSCP-SECBINFHSA-N 0 2 310.251 0.427 20 0 DCADLN CCc1c(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001498380875 1019032472 /nfs/dbraw/zinc/03/24/72/1019032472.db2.gz KYGZGTCPDOXDCQ-JTQLQIEISA-N 0 2 320.397 0.662 20 0 DCADLN CCc1c(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001498380875 1019032487 /nfs/dbraw/zinc/03/24/87/1019032487.db2.gz KYGZGTCPDOXDCQ-JTQLQIEISA-N 0 2 320.397 0.662 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)CCC[NH2+]Cc1ncc(C)cn1 ZINC001498653699 1019301278 /nfs/dbraw/zinc/30/12/78/1019301278.db2.gz RRDGXAMATVICQW-UHFFFAOYSA-N 0 2 316.409 0.928 20 0 DCADLN CCC[N@H+](C)CC(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001494242954 1020093798 /nfs/dbraw/zinc/09/37/98/1020093798.db2.gz OHVYIFVGIDOOQE-TXEJJXNPSA-N 0 2 307.398 0.057 20 0 DCADLN CCOCC(=O)NC1CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001494336467 1020136892 /nfs/dbraw/zinc/13/68/92/1020136892.db2.gz SHGVHGCEWYIVIO-UHFFFAOYSA-N 0 2 322.390 0.734 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cc[nH+]cc1C(=O)[O-])C1CC1 ZINC001606683287 1170261421 /nfs/dbraw/zinc/26/14/21/1170261421.db2.gz RHPFLXCCDXXROO-NSHDSACASA-N 0 2 300.336 0.368 20 0 DCADLN CNS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001610146790 1171176264 /nfs/dbraw/zinc/17/62/64/1171176264.db2.gz UVUNPCXBWAGXNU-LLVKDONJSA-N 0 2 316.354 0.647 20 0 DCADLN CNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001610146790 1171176270 /nfs/dbraw/zinc/17/62/70/1171176270.db2.gz UVUNPCXBWAGXNU-LLVKDONJSA-N 0 2 316.354 0.647 20 0 DCADLN CC[C@@]1(O)CCN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000331983086 529570436 /nfs/dbraw/zinc/57/04/36/529570436.db2.gz MOZSMALELSSZJB-OAHLLOKOSA-N 0 2 318.333 0.731 20 0 DCADLN O=C(Nn1cn[nH]c1=O)c1[nH]nc(C2CC2)c1Br ZINC000272828397 210228067 /nfs/dbraw/zinc/22/80/67/210228067.db2.gz GIWDKYCEZKLTJF-UHFFFAOYSA-N 0 2 313.115 0.318 20 0 DCADLN O=C(c1ccc(F)cc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092616778 185329477 /nfs/dbraw/zinc/32/94/77/185329477.db2.gz KHDHTFFNHNPLEQ-UHFFFAOYSA-N 0 2 305.313 0.195 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)Nc1ccc(F)c(F)c1 ZINC000049607495 545653443 /nfs/dbraw/zinc/65/34/43/545653443.db2.gz YEKYTFIDYFZTSU-UHFFFAOYSA-N 0 2 302.277 0.936 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)Nc1ccc(F)c(F)c1 ZINC000049607495 545653450 /nfs/dbraw/zinc/65/34/50/545653450.db2.gz YEKYTFIDYFZTSU-UHFFFAOYSA-N 0 2 302.277 0.936 20 0 DCADLN O=C(NN1C(=O)NC2(CCCCC2)C1=O)c1cnn(CCF)c1 ZINC000286970458 219250222 /nfs/dbraw/zinc/25/02/22/219250222.db2.gz DUGWRTKDCNJWME-UHFFFAOYSA-N 0 2 323.328 0.752 20 0 DCADLN CCn1cc(C(=O)C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000331794156 234129591 /nfs/dbraw/zinc/12/95/91/234129591.db2.gz OPSABJCIFBRXRO-VIFPVBQESA-N 0 2 318.337 0.316 20 0 DCADLN Cn1c2ccc(S(=O)(=O)Nc3cn[nH]c3)cc2n(C)c1=O ZINC000161788380 237102225 /nfs/dbraw/zinc/10/22/25/237102225.db2.gz XQLIQTUBGIBHRY-UHFFFAOYSA-N 0 2 307.335 0.401 20 0 DCADLN O=C([O-])C[C@H]1CN(C(=O)Cc2cn3ccccc3[nH+]2)CCO1 ZINC000238052953 304084075 /nfs/dbraw/zinc/08/40/75/304084075.db2.gz BEVZVMLLEKWYCS-LBPRGKRZSA-N 0 2 303.318 0.579 20 0 DCADLN C[C@@H]1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C[C@@H](CO)O1 ZINC000187913330 200175858 /nfs/dbraw/zinc/17/58/58/200175858.db2.gz JBWCQDNDXMASBL-YPMHNXCESA-N 0 2 317.345 0.800 20 0 DCADLN C[C@H]1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C[C@@H](CO)O1 ZINC000187913357 200176287 /nfs/dbraw/zinc/17/62/87/200176287.db2.gz JBWCQDNDXMASBL-AAEUAGOBSA-N 0 2 317.345 0.800 20 0 DCADLN Cc1cccc(NC(=O)C(=O)NCC2(S(C)(=O)=O)CC2)c1C ZINC000281091466 216074071 /nfs/dbraw/zinc/07/40/71/216074071.db2.gz CUZMBLMYFUTPIW-UHFFFAOYSA-N 0 2 324.402 0.935 20 0 DCADLN COc1cc(Cl)c(C(=O)NCc2n[nH]c(=O)[nH]2)cc1OC ZINC000157332476 291228161 /nfs/dbraw/zinc/22/81/61/291228161.db2.gz WYINRZWNHGGHDB-UHFFFAOYSA-N 0 2 312.713 0.699 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCO1 ZINC000271882724 209293861 /nfs/dbraw/zinc/29/38/61/209293861.db2.gz RDUNBEMLSBEFTJ-RQJHMYQMSA-N 0 2 320.396 0.663 20 0 DCADLN O=C(CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)Nc1ccncc1 ZINC000566642975 291316412 /nfs/dbraw/zinc/31/64/12/291316412.db2.gz UCQRIYZPGPACJB-JTQLQIEISA-N 0 2 302.338 0.145 20 0 DCADLN COCCN(CCO)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000271801519 209229619 /nfs/dbraw/zinc/22/96/19/209229619.db2.gz PJXNKUNPDOXUQU-UHFFFAOYSA-N 0 2 305.334 0.659 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1cccc(C(C)=O)c1 ZINC000421816076 240105191 /nfs/dbraw/zinc/10/51/91/240105191.db2.gz WHAPTSJMSYPGKY-CYBMUJFWSA-N 0 2 317.301 0.493 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C\c1ncccc1C ZINC000493765703 241153145 /nfs/dbraw/zinc/15/31/45/241153145.db2.gz ZALZTRMJIWGPEL-SREVYHEPSA-N 0 2 320.374 0.950 20 0 DCADLN CCS(=O)(=O)CCN(C)CC(=O)NOCc1ccccc1 ZINC000093217003 193217131 /nfs/dbraw/zinc/21/71/31/193217131.db2.gz GFNWUIIBUKBXSR-UHFFFAOYSA-N 0 2 314.407 0.601 20 0 DCADLN CCCc1cc(=O)n2nc(NS(=O)(=O)c3ccoc3)[nH]c2n1 ZINC000288473697 220166146 /nfs/dbraw/zinc/16/61/46/220166146.db2.gz BIVNISYOIIKGQB-UHFFFAOYSA-N 0 2 323.334 0.764 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1cccc(C(N)=O)c1 ZINC000018996039 182103026 /nfs/dbraw/zinc/10/30/26/182103026.db2.gz BKAPAHOLBGLKIC-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN CC(C)[C@H](NC(=O)c1cncnc1)c1nc(-c2nc[nH]n2)no1 ZINC000273724767 211007739 /nfs/dbraw/zinc/00/77/39/211007739.db2.gz NBBVCNXYJIJSII-VIFPVBQESA-N 0 2 314.309 0.772 20 0 DCADLN COC[C@@H](C)CS(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000338991718 253019614 /nfs/dbraw/zinc/01/96/14/253019614.db2.gz DDLWZIADETUGMP-ZJUUUORDSA-N 0 2 318.399 0.302 20 0 DCADLN CCC[C@@H](C)c1cc(=NC(=O)C(=O)N=c2nc(C)n(C)[nH]2)[nH][nH]1 ZINC000340371823 253243999 /nfs/dbraw/zinc/24/39/99/253243999.db2.gz QLCKEYYGCXKIIH-MRVPVSSYSA-N 0 2 319.369 0.171 20 0 DCADLN CC(C)(C)[C@@H](O)C[C@H](CO)NS(=O)(=O)NCC(F)(F)F ZINC000341106973 253344789 /nfs/dbraw/zinc/34/47/89/253344789.db2.gz MWPLUBFZVJRHNT-SFYZADRCSA-N 0 2 322.349 0.131 20 0 DCADLN C[C@H](CNC(=O)C(=O)N1C[C@@H](C)O[C@H](C)C1)c1nccs1 ZINC000288648903 220321049 /nfs/dbraw/zinc/32/10/49/220321049.db2.gz ABRQTQDDNJBKEC-GMTAPVOTSA-N 0 2 311.407 0.999 20 0 DCADLN Cc1cc(N2CCC(NC(=O)C[C@@H]3CCCC(=O)N3)CC2)n[nH]1 ZINC000288650280 220321562 /nfs/dbraw/zinc/32/15/62/220321562.db2.gz DYTBMCOYAVSRED-ZDUSSCGKSA-N 0 2 319.409 0.862 20 0 DCADLN CCCNC(=O)CCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342210694 253468943 /nfs/dbraw/zinc/46/89/43/253468943.db2.gz XNKQBIVZLAHWOK-UHFFFAOYSA-N 0 2 319.321 0.082 20 0 DCADLN O=C([O-])[C@H]1CCC[N@@H+]1CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000346333808 254038171 /nfs/dbraw/zinc/03/81/71/254038171.db2.gz OOVNSMGZFKNXHC-NWDGAFQWSA-N 0 2 320.345 0.492 20 0 DCADLN O=C([O-])[C@H]1CCC[N@H+]1CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000346333808 254038174 /nfs/dbraw/zinc/03/81/74/254038174.db2.gz OOVNSMGZFKNXHC-NWDGAFQWSA-N 0 2 320.345 0.492 20 0 DCADLN CCOC(=O)c1cnc(C)c(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000348550539 254243747 /nfs/dbraw/zinc/24/37/47/254243747.db2.gz BXQLYMVQSKRINZ-UHFFFAOYSA-N 0 2 305.294 0.320 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccn([C@@H](C)COC)n2)c1O ZINC000348596569 254246758 /nfs/dbraw/zinc/24/67/58/254246758.db2.gz FAKXJAFGYACVAR-WCBMZHEXSA-N 0 2 310.310 0.078 20 0 DCADLN C[C@H](C(=O)N1CCN(c2cccc[nH+]2)CC1)[N@H+]1C[C@@H](C)[C@@H](O)C1 ZINC000351775168 254366796 /nfs/dbraw/zinc/36/67/96/254366796.db2.gz RBRMZVBBBZWUGB-KFWWJZLASA-N 0 2 318.421 0.431 20 0 DCADLN C[C@H](C(=O)N1CCN(c2cccc[nH+]2)CC1)[N@H+]1C[C@@H](C)[C@H](O)C1 ZINC000351775170 254367014 /nfs/dbraw/zinc/36/70/14/254367014.db2.gz RBRMZVBBBZWUGB-RBSFLKMASA-N 0 2 318.421 0.431 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cnc(C)nc2)cn1C ZINC000351982633 254387034 /nfs/dbraw/zinc/38/70/34/254387034.db2.gz OHHIWSOBOJMICQ-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN CNS(=O)(=O)c1cccc(NC(=O)C(N)C(F)(F)F)c1 ZINC000353155393 254445941 /nfs/dbraw/zinc/44/59/41/254445941.db2.gz AVYFSJXZHRDVNK-MRVPVSSYSA-N 0 2 311.285 0.423 20 0 DCADLN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](N)C(F)(F)F)c1 ZINC000353155393 254445942 /nfs/dbraw/zinc/44/59/42/254445942.db2.gz AVYFSJXZHRDVNK-MRVPVSSYSA-N 0 2 311.285 0.423 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCNC(=O)C2CC2)cn1 ZINC000275532093 130330926 /nfs/dbraw/zinc/33/09/26/130330926.db2.gz QUHYCNUJIPDOHX-UHFFFAOYSA-N 0 2 302.338 0.066 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCCO[C@@H]2CCOC2)cn1 ZINC000275612908 130349195 /nfs/dbraw/zinc/34/91/95/130349195.db2.gz HMBXGUULYHBHNA-GFCCVEGCSA-N 0 2 319.365 0.736 20 0 DCADLN CSCCO[N-]C(=O)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000284294448 131067499 /nfs/dbraw/zinc/06/74/99/131067499.db2.gz JBEMTKPRDSPIRN-UHFFFAOYSA-N 0 2 322.390 0.404 20 0 DCADLN Cc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cc1 ZINC000091597843 395726641 /nfs/dbraw/zinc/72/66/41/395726641.db2.gz RMUAYTAKVZIILO-UHFFFAOYSA-N 0 2 301.350 0.364 20 0 DCADLN COC(=O)Cn1cc(NS(=O)(=O)c2cccs2)cn1 ZINC000038030591 395731096 /nfs/dbraw/zinc/73/10/96/395731096.db2.gz NITAXTOSRSEDOE-UHFFFAOYSA-N 0 2 301.349 0.918 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccccc2S(C)(=O)=O)cn1 ZINC000097285536 395756464 /nfs/dbraw/zinc/75/64/64/395756464.db2.gz ZCLMOCNGQYBGNU-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN CCNC(=O)CS(=O)(=O)c1n[nH]c(-c2ccccc2F)n1 ZINC000195127100 395817080 /nfs/dbraw/zinc/81/70/80/395817080.db2.gz JMXXTHWOHIMKAJ-UHFFFAOYSA-N 0 2 312.326 0.521 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2ccc(C)s2)cn1 ZINC000048655612 395821104 /nfs/dbraw/zinc/82/11/04/395821104.db2.gz ZYHBRKHUODHEQL-UHFFFAOYSA-N 0 2 314.392 0.800 20 0 DCADLN C[N@H+](CCN1CCOCC1)Cc1nc(=O)c2sccc2[n-]1 ZINC000114464420 395834452 /nfs/dbraw/zinc/83/44/52/395834452.db2.gz BWSXMKKMIVUFAA-UHFFFAOYSA-N 0 2 308.407 0.749 20 0 DCADLN C[N@@H+](CCN1CCOCC1)Cc1nc(=O)c2sccc2[n-]1 ZINC000114464420 395834453 /nfs/dbraw/zinc/83/44/53/395834453.db2.gz BWSXMKKMIVUFAA-UHFFFAOYSA-N 0 2 308.407 0.749 20 0 DCADLN O=C1C[C@H]([NH2+]C[C@@H]2CCC=CO2)CN1CC[NH+]1CCOCC1 ZINC000194564518 395799504 /nfs/dbraw/zinc/79/95/04/395799504.db2.gz DTLHTQBCVNCIJH-GJZGRUSLSA-N 0 2 309.410 0.202 20 0 DCADLN CS(=O)(=O)NCCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000273359677 395915342 /nfs/dbraw/zinc/91/53/42/395915342.db2.gz JKESEFVEHVVPGW-UHFFFAOYSA-N 0 2 312.269 0.089 20 0 DCADLN CNC(=O)Nc1cccc(NS(=O)(=O)c2cnn(C)c2)c1 ZINC000055580829 395920236 /nfs/dbraw/zinc/92/02/36/395920236.db2.gz WUTJBZMFUWEEAL-UHFFFAOYSA-N 0 2 309.351 0.972 20 0 DCADLN CCOc1ncccc1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000055636048 395920992 /nfs/dbraw/zinc/92/09/92/395920992.db2.gz KDUMMWBKNHHXHD-UHFFFAOYSA-N 0 2 324.362 0.719 20 0 DCADLN CC[C@@](C)(CCO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000272180527 395875912 /nfs/dbraw/zinc/87/59/12/395875912.db2.gz LKVCQMFIKGNYBI-AWEZNQCLSA-N 0 2 306.322 0.717 20 0 DCADLN Cn1nc(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)ccc1=O ZINC000057753721 395947948 /nfs/dbraw/zinc/94/79/48/395947948.db2.gz WWBPTKBYLGXYTF-UHFFFAOYSA-N 0 2 313.273 0.788 20 0 DCADLN Cc1nnc(NNC(=O)[C@@H](C)NC(=O)OC(C)(C)C)[nH]c1=O ZINC000063465651 395977902 /nfs/dbraw/zinc/97/79/02/395977902.db2.gz HYHPEYJJDGYNHD-ZCFIWIBFSA-N 0 2 312.330 0.242 20 0 DCADLN Cn1cc(S(=O)(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)cn1 ZINC000151139372 395979491 /nfs/dbraw/zinc/97/94/91/395979491.db2.gz VSQMYJKVICBYAV-GFCCVEGCSA-N 0 2 308.363 0.657 20 0 DCADLN Cc1ccc([C@@H]2COCCN2C(=O)CSc2n[nH]c(=O)[nH]2)o1 ZINC000274987097 395984123 /nfs/dbraw/zinc/98/41/23/395984123.db2.gz QXXGKIGCZUEMKX-VIFPVBQESA-N 0 2 324.362 0.692 20 0 DCADLN NC(=O)C1=NO[C@H](CNC(=O)c2cc(F)cc(Cl)c2O)C1 ZINC000276665771 396019485 /nfs/dbraw/zinc/01/94/85/396019485.db2.gz UEUMPRMFFBDPKU-LURJTMIESA-N 0 2 315.688 0.545 20 0 DCADLN CCC[C@@]1(CO)CCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000277898611 396093957 /nfs/dbraw/zinc/09/39/57/396093957.db2.gz GCTGOHQYEAILIF-LBPRGKRZSA-N 0 2 300.384 0.344 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C(=O)OC)cc2)c1O ZINC000278243566 396114873 /nfs/dbraw/zinc/11/48/73/396114873.db2.gz WDTSQQXMFJWPCK-SNVBAGLBSA-N 0 2 306.274 0.461 20 0 DCADLN C[C@H]1C(=O)NCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000175927148 396146292 /nfs/dbraw/zinc/14/62/92/396146292.db2.gz JUSVLGBYQSQOLY-JTQLQIEISA-N 0 2 300.318 0.538 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)N[C@H](C)c1nnc[nH]1 ZINC000176200087 396151470 /nfs/dbraw/zinc/15/14/70/396151470.db2.gz IHGDHPCTNSFCPP-MRVPVSSYSA-N 0 2 315.337 0.177 20 0 DCADLN COCCOc1ccc(CNC(=O)C[N@H+](C)[C@H](C)C(=O)[O-])cc1 ZINC000262608912 396161205 /nfs/dbraw/zinc/16/12/05/396161205.db2.gz BIGQSNCMCQKEQD-GFCCVEGCSA-N 0 2 324.377 0.733 20 0 DCADLN COCCOc1ccc(CNC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])cc1 ZINC000262608912 396161207 /nfs/dbraw/zinc/16/12/07/396161207.db2.gz BIGQSNCMCQKEQD-GFCCVEGCSA-N 0 2 324.377 0.733 20 0 DCADLN CC(C)Cn1cnc(S(=O)(=O)Nc2nc3ncccn3n2)c1 ZINC000177068752 396165576 /nfs/dbraw/zinc/16/55/76/396165576.db2.gz ANWAYNKRBZNFFI-UHFFFAOYSA-N 0 2 321.366 0.778 20 0 DCADLN CCc1ccccc1NC(=O)CNC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-] ZINC000262653991 396168267 /nfs/dbraw/zinc/16/82/67/396168267.db2.gz LYCASANDXWYFLS-NSHDSACASA-N 0 2 321.377 0.709 20 0 DCADLN CCc1ccccc1NC(=O)CNC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-] ZINC000262653991 396168270 /nfs/dbraw/zinc/16/82/70/396168270.db2.gz LYCASANDXWYFLS-NSHDSACASA-N 0 2 321.377 0.709 20 0 DCADLN CN(C)C(=O)Cc1ccc(NS(=O)(=O)c2ccnn2C)cc1 ZINC000263850194 396236944 /nfs/dbraw/zinc/23/69/44/396236944.db2.gz NAMVFQHLSNYLGZ-UHFFFAOYSA-N 0 2 322.390 0.852 20 0 DCADLN O=C(NCCN1CCOCC1)c1cc(F)c(F)c(O)c1F ZINC000183300188 396266286 /nfs/dbraw/zinc/26/62/86/396266286.db2.gz CRNCZZOEEOVFAC-UHFFFAOYSA-N 0 2 304.268 0.872 20 0 DCADLN Nc1nsc(N2CCN(c3cccc(C(=O)[O-])[nH+]3)CC2)n1 ZINC000263433999 396216337 /nfs/dbraw/zinc/21/63/37/396216337.db2.gz UNZXTIOVDFUKDG-UHFFFAOYSA-N 0 2 306.351 0.540 20 0 DCADLN Cn1c(=O)oc2cc(S(=O)(=O)Nc3nncs3)ccc21 ZINC000179222040 396224565 /nfs/dbraw/zinc/22/45/65/396224565.db2.gz JXKKGFVGOHPEJH-UHFFFAOYSA-N 0 2 312.332 0.784 20 0 DCADLN CC[C@@](C)(OC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000280943051 396231503 /nfs/dbraw/zinc/23/15/03/396231503.db2.gz ZJZKLBNQQAJILA-SECBINFHSA-N 0 2 308.385 0.663 20 0 DCADLN CS(=O)(=O)NCCCNC(=O)c1cc(F)c(O)c(F)c1 ZINC000186564073 396352638 /nfs/dbraw/zinc/35/26/38/396352638.db2.gz UAVRSMZBICBQPT-UHFFFAOYSA-N 0 2 308.306 0.340 20 0 DCADLN CCC[C@@H](O)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268608606 396361527 /nfs/dbraw/zinc/36/15/27/396361527.db2.gz NTHWBXXNHUODDK-MRVPVSSYSA-N 0 2 306.322 0.635 20 0 DCADLN CCn1cc(CNc2nc(NC(C)C)nc(NCCO)[nH+]2)cn1 ZINC000286258183 396383955 /nfs/dbraw/zinc/38/39/55/396383955.db2.gz FJQHJTGKLOWOEA-UHFFFAOYSA-N 0 2 320.401 0.925 20 0 DCADLN COCCCONC(=O)CNC(=O)N1CCc2ccccc2C1 ZINC000287999919 396436477 /nfs/dbraw/zinc/43/64/77/396436477.db2.gz ZVKQCSBCRDOERI-UHFFFAOYSA-N 0 2 321.377 0.839 20 0 DCADLN CCNc1nc(NC(C)(C)C)nc(NCCS(C)(=O)=O)[nH+]1 ZINC000286161415 396378139 /nfs/dbraw/zinc/37/81/39/396378139.db2.gz CCEVESSLKWRYJJ-UHFFFAOYSA-N 0 2 316.431 0.970 20 0 DCADLN CCN1C[C@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CC1=O ZINC000289095478 396454769 /nfs/dbraw/zinc/45/47/69/396454769.db2.gz RCHVOYBBATUMGF-SNVBAGLBSA-N 0 2 315.333 0.572 20 0 DCADLN O=C(c1ccc(Cl)cc1O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000371460173 396467611 /nfs/dbraw/zinc/46/76/11/396467611.db2.gz XBGSHFQMBSTYRI-SNVBAGLBSA-N 0 2 309.713 0.772 20 0 DCADLN COC(=O)c1cccc(CS(=O)(=O)Nc2nc(C)n(C)n2)c1 ZINC000292436995 396550765 /nfs/dbraw/zinc/55/07/65/396550765.db2.gz JKSLILTZQGYYTN-UHFFFAOYSA-N 0 2 324.362 0.852 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nc(C)n(C)n2)o1 ZINC000292480868 396552521 /nfs/dbraw/zinc/55/25/21/396552521.db2.gz NMGBKONXFYAQQO-UHFFFAOYSA-N 0 2 300.296 0.304 20 0 DCADLN COC(=O)[C@H](CNC(=O)C1=NN(c2ccccc2)CC1=O)OC ZINC000293980007 396645715 /nfs/dbraw/zinc/64/57/15/396645715.db2.gz KWJRKMNUWAUNJH-LBPRGKRZSA-N 0 2 319.317 0.496 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NCCc3ncn(C)n3)c[nH]c2n1 ZINC000531522648 396597048 /nfs/dbraw/zinc/59/70/48/396597048.db2.gz CKZQJRMPTDDPRR-UHFFFAOYSA-N 0 2 312.333 0.745 20 0 DCADLN CN1CC[NH2+]C[C@H]1C[NH2+]Cc1ccc(-n2cncn2)c(F)c1 ZINC000562748077 396677689 /nfs/dbraw/zinc/67/76/89/396677689.db2.gz MAAZCOTXMQPKFC-ZDUSSCGKSA-N 0 2 304.373 0.400 20 0 DCADLN COC(=O)COCC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000588341569 396684919 /nfs/dbraw/zinc/68/49/19/396684919.db2.gz JGRPCOIKPHHPHP-UHFFFAOYSA-N 0 2 307.262 0.158 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(-c2cccnc2)on1 ZINC000375072455 396709500 /nfs/dbraw/zinc/70/95/00/396709500.db2.gz VWUYHGIWOJXNQE-SECBINFHSA-N 0 2 324.362 0.955 20 0 DCADLN Cc1[nH]ncc1CNC(=O)c1c(C)oc(C)c1S(N)(=O)=O ZINC000590471773 396787233 /nfs/dbraw/zinc/78/72/33/396787233.db2.gz RCDHRHCFTOPAOJ-UHFFFAOYSA-N 0 2 312.351 0.505 20 0 DCADLN [NH3+][C@@H]1C[C@H]2C[N@H+](Cc3cnc([C@@H]4CCCO4)s3)CCN2C1=O ZINC000563430425 396733594 /nfs/dbraw/zinc/73/35/94/396733594.db2.gz BHJSTISEIRBCSR-UHTWSYAYSA-N 0 2 322.434 0.738 20 0 DCADLN O=C(CN1CCCC1=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000590961533 396859407 /nfs/dbraw/zinc/85/94/07/396859407.db2.gz BVFSNDPJAUBOTN-UHFFFAOYSA-N 0 2 302.290 0.591 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CCC(C(=O)[O-])CC3)[nH+]cn2)C1 ZINC000564089944 396809078 /nfs/dbraw/zinc/80/90/78/396809078.db2.gz KTUFGRPSDKJKPY-DGCLKSJQSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CCC(C(=O)[O-])CC3)nc[nH+]2)C1 ZINC000564089944 396809083 /nfs/dbraw/zinc/80/90/83/396809083.db2.gz KTUFGRPSDKJKPY-DGCLKSJQSA-N 0 2 320.393 0.985 20 0 DCADLN CO[C@@H]1C[N@H+](CC(=O)NCc2cccnc2)[C@@](C)(C(=O)[O-])C1 ZINC000634693838 396898562 /nfs/dbraw/zinc/89/85/62/396898562.db2.gz IPJZAJCBQGRUGO-SWLSCSKDSA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@@H]1C[N@@H+](CC(=O)NCc2cccnc2)[C@@](C)(C(=O)[O-])C1 ZINC000634693838 396898569 /nfs/dbraw/zinc/89/85/69/396898569.db2.gz IPJZAJCBQGRUGO-SWLSCSKDSA-N 0 2 307.350 0.262 20 0 DCADLN O=C(NCC1(O)CCOCC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000611674299 396906989 /nfs/dbraw/zinc/90/69/89/396906989.db2.gz IKFMQXBQMNCNNH-UHFFFAOYSA-N 0 2 319.317 0.301 20 0 DCADLN CC(C)(O)[C@H](Oc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000611860256 396940438 /nfs/dbraw/zinc/94/04/38/396940438.db2.gz FTMMNBPATBKNEV-LLVKDONJSA-N 0 2 306.322 0.345 20 0 DCADLN O=C([O-])CSCCNC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000600866403 396958179 /nfs/dbraw/zinc/95/81/79/396958179.db2.gz AVUJTCTYUIZQFI-LBPRGKRZSA-N 0 2 316.423 0.564 20 0 DCADLN O=C([O-])CSCCNC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000600866403 396958184 /nfs/dbraw/zinc/95/81/84/396958184.db2.gz AVUJTCTYUIZQFI-LBPRGKRZSA-N 0 2 316.423 0.564 20 0 DCADLN CN(CCC(N)=O)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000343474721 396996202 /nfs/dbraw/zinc/99/62/02/396996202.db2.gz FPHOPYQEGOZPRU-UHFFFAOYSA-N 0 2 306.297 0.664 20 0 DCADLN C[C@H](NC(=O)NCc1cc[nH+]c(N2CCSCC2)c1)C(=O)[O-] ZINC000630501184 397032552 /nfs/dbraw/zinc/03/25/52/397032552.db2.gz PGFFRMCRKUAICE-JTQLQIEISA-N 0 2 324.406 0.907 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](C[C@H]1CCCOC1)C(=O)[O-] ZINC000630267196 396976291 /nfs/dbraw/zinc/97/62/91/396976291.db2.gz HKZNDTQYVRWDAW-NQBHXWOUSA-N 0 2 309.366 0.974 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](C[C@H]1CCCOC1)C(=O)[O-] ZINC000630267196 396976298 /nfs/dbraw/zinc/97/62/98/396976298.db2.gz HKZNDTQYVRWDAW-NQBHXWOUSA-N 0 2 309.366 0.974 20 0 DCADLN C[C@H]1CO[C@@H](CO)CN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612508355 397076023 /nfs/dbraw/zinc/07/60/23/397076023.db2.gz RYUPLMRFROHHKO-JOYOIKCWSA-N 0 2 319.317 0.252 20 0 DCADLN C(C[N@H+]1C[C@H]2CC[C@@H](C1)O2)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000572421290 397057021 /nfs/dbraw/zinc/05/70/21/397057021.db2.gz ZTEDPBXSSZSIBK-ZACQAIPSSA-N 0 2 309.454 0.646 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NC2CC(CO)(CO)C2)s[nH]1 ZINC000626360137 397145710 /nfs/dbraw/zinc/14/57/10/397145710.db2.gz HUZQXMRGRPVBBL-UHFFFAOYSA-N 0 2 314.411 0.512 20 0 DCADLN COC(=O)c1n[nH]c2c1CN(c1[nH+]cccc1C(=O)[O-])CC2 ZINC000592420584 397172296 /nfs/dbraw/zinc/17/22/96/397172296.db2.gz DTVSFCILGRSOKO-UHFFFAOYSA-N 0 2 302.290 0.852 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@H]1CCNC1=O ZINC000613596803 397285358 /nfs/dbraw/zinc/28/53/58/397285358.db2.gz LMYBKRAFGVIHLL-NSHDSACASA-N 0 2 315.333 0.136 20 0 DCADLN CN1CC[N@H+](C)C[C@@H]1C[NH2+]C[C@H](O)COc1ccc(F)cc1 ZINC000387074166 397291978 /nfs/dbraw/zinc/29/19/78/397291978.db2.gz NTPVTZQRNFTEPH-GJZGRUSLSA-N 0 2 311.401 0.401 20 0 DCADLN O=C(NC[C@H]1CC[C@H](O)C1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613891283 397342992 /nfs/dbraw/zinc/34/29/92/397342992.db2.gz ZZPZOJXTQKUKAE-CABZTGNLSA-N 0 2 303.318 0.921 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC2(CCC2)[C@H]2COC[C@H]21 ZINC000416537793 397371519 /nfs/dbraw/zinc/37/15/19/397371519.db2.gz PKNSQFIIOYOOFW-DTWKUNHWSA-N 0 2 314.329 0.884 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)[C@H]([NH3+])CCC(C)C)[C@@H](C)C1 ZINC000602514258 397424679 /nfs/dbraw/zinc/42/46/79/397424679.db2.gz RWGULNSSTUKYQS-UONOGXRCSA-N 0 2 313.442 0.846 20 0 DCADLN CCc1nsc(NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)n1 ZINC000370206153 397455575 /nfs/dbraw/zinc/45/55/75/397455575.db2.gz JYCWOESBQYDBII-OQPBUACISA-N 0 2 309.395 0.655 20 0 DCADLN C[C@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@H](C)S1(=O)=O ZINC000578178223 397400627 /nfs/dbraw/zinc/40/06/27/397400627.db2.gz ICLZZTLZHOMOBO-UWVGGRQHSA-N 0 2 310.379 0.030 20 0 DCADLN COC(=O)[C@@H]1CCCN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000594424059 397547223 /nfs/dbraw/zinc/54/72/23/397547223.db2.gz RPCGBZRHAVNKOO-NSHDSACASA-N 0 2 317.301 0.808 20 0 DCADLN Cc1cc(C(=O)NC2C[NH+](C(C)C)C2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000579680840 397587561 /nfs/dbraw/zinc/58/75/61/397587561.db2.gz HBDRKFIGVGPQIE-UHFFFAOYSA-N 0 2 317.349 0.567 20 0 DCADLN CC[NH+]1CCN(C[C@H](C)CNC(=O)[C@@H]2CCCC[N@@H+]2C)CC1 ZINC000606371697 397679656 /nfs/dbraw/zinc/67/96/56/397679656.db2.gz QLXBATAUCAPYES-CVEARBPZSA-N 0 2 310.486 0.861 20 0 DCADLN CC(C)[C@H](CNC(=O)[C@H]1CCCC[N@@H+]1C)[NH+]1CCN(C)CC1 ZINC000606371352 397679770 /nfs/dbraw/zinc/67/97/70/397679770.db2.gz NLOLXQDCFWWXND-CVEARBPZSA-N 0 2 310.486 0.859 20 0 DCADLN Cc1nn2cccnc2c1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000608762780 397754266 /nfs/dbraw/zinc/75/42/66/397754266.db2.gz TYSWWHOTVMEXQP-UHFFFAOYSA-N 0 2 301.310 0.224 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2ncccn2)cc1 ZINC000074936871 158060827 /nfs/dbraw/zinc/06/08/27/158060827.db2.gz NEYAQWFEXVODRA-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN O=S(=O)(NCCc1nnc[nH]1)c1c(F)cc(F)cc1F ZINC000080623743 158081442 /nfs/dbraw/zinc/08/14/42/158081442.db2.gz VAJLNWZQEXPTNU-UHFFFAOYSA-N 0 2 306.269 0.743 20 0 DCADLN O=S(=O)(NCCc1nc[nH]n1)c1c(F)cc(F)cc1F ZINC000080623743 158081446 /nfs/dbraw/zinc/08/14/46/158081446.db2.gz VAJLNWZQEXPTNU-UHFFFAOYSA-N 0 2 306.269 0.743 20 0 DCADLN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)Nc1cn[nH]c1 ZINC000090230829 158103031 /nfs/dbraw/zinc/10/30/31/158103031.db2.gz HCYKLNZLEHWFDL-UHFFFAOYSA-N 0 2 315.376 0.922 20 0 DCADLN CCc1cc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n(C)n1 ZINC000116218881 158164837 /nfs/dbraw/zinc/16/48/37/158164837.db2.gz RLUPMDCBHCYTPY-UHFFFAOYSA-N 0 2 314.305 0.160 20 0 DCADLN CN(C)C(=O)CCCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119178332 158183728 /nfs/dbraw/zinc/18/37/28/158183728.db2.gz CBOISYNHXOAWHY-UHFFFAOYSA-N 0 2 305.338 0.272 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cc(F)ccc2O)cc1C(N)=O ZINC000125185347 158252442 /nfs/dbraw/zinc/25/24/42/158252442.db2.gz SZSXALKIYLFSJO-UHFFFAOYSA-N 0 2 313.310 0.770 20 0 DCADLN CCn1cc(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)cn1 ZINC000172633371 158397693 /nfs/dbraw/zinc/39/76/93/158397693.db2.gz ULLJHLPUNXWVMI-UHFFFAOYSA-N 0 2 314.305 0.801 20 0 DCADLN CN(C)c1ncc(NS(=O)(=O)c2c(Cl)cnn2C)cn1 ZINC000330141270 159144832 /nfs/dbraw/zinc/14/48/32/159144832.db2.gz XMZWGTQIEMPJST-UHFFFAOYSA-N 0 2 316.774 0.730 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N(C)CC(F)(F)F ZINC000330618854 159204339 /nfs/dbraw/zinc/20/43/39/159204339.db2.gz YTQBGJVDIYGSLV-UHFFFAOYSA-N 0 2 302.256 0.894 20 0 DCADLN CCOC(=O)CN1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000368091370 159375457 /nfs/dbraw/zinc/37/54/57/159375457.db2.gz JWAQTZULXUJZCF-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN CCOC(=O)CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000368091370 159375459 /nfs/dbraw/zinc/37/54/59/159375459.db2.gz JWAQTZULXUJZCF-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN O=C(NC[C@H]1C[NH+](C2CC2)CCO1)N1CC[N@H+]2CCC[C@H]2C1 ZINC000368167365 159379189 /nfs/dbraw/zinc/37/91/89/159379189.db2.gz QHJRVYBRTIZJKR-GJZGRUSLSA-N 0 2 308.426 0.339 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)cn1 ZINC000408495091 160119670 /nfs/dbraw/zinc/11/96/70/160119670.db2.gz FLHNAFVQLZDPLY-IEBDPFPHSA-N 0 2 312.347 0.463 20 0 DCADLN CN(CCNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000186803147 287057899 /nfs/dbraw/zinc/05/78/99/287057899.db2.gz RUNIYBDOTXEGEM-UHFFFAOYSA-N 0 2 307.379 0.443 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N(C)[C@H]1CC[N@@H+](C)C[C@@H]1C ZINC000246366329 287082240 /nfs/dbraw/zinc/08/22/40/287082240.db2.gz QMSFRZLRDCRFQQ-ZNMIVQPWSA-N 0 2 312.458 0.689 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCCN(C)C1=O)c2=O ZINC000358389748 287207737 /nfs/dbraw/zinc/20/77/37/287207737.db2.gz AQQHGPFCOBGWGN-SNVBAGLBSA-N 0 2 303.322 0.025 20 0 DCADLN C[C@@H](CO[C@@H]1CCOC1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358401295 287209314 /nfs/dbraw/zinc/20/93/14/287209314.db2.gz RJVIUWRDAPEKKG-VHSXEESVSA-N 0 2 320.349 0.598 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nc2ccnn2C)c1 ZINC000342567222 415214496 /nfs/dbraw/zinc/21/44/96/415214496.db2.gz BZEBZKFZBDCBDC-UHFFFAOYSA-N 0 2 324.362 0.628 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@H]1CC(=O)N(C)C1)c2=O ZINC000332201420 415294402 /nfs/dbraw/zinc/29/44/02/415294402.db2.gz XITGOZVXBVDTNX-VIFPVBQESA-N 0 2 300.318 0.604 20 0 DCADLN CC(=O)c1ccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)cc1 ZINC000342780226 415295504 /nfs/dbraw/zinc/29/55/04/415295504.db2.gz FPUXFXKQFGTPNU-UHFFFAOYSA-N 0 2 322.346 0.372 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N(C)C[C@H]2CC=CCC2)n1 ZINC000342842678 415312656 /nfs/dbraw/zinc/31/26/56/415312656.db2.gz QGVQVTYMUVKEDN-ZDUSSCGKSA-N 0 2 320.441 0.732 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H](C)[C@@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000275963881 415402217 /nfs/dbraw/zinc/40/22/17/415402217.db2.gz HVVWMVARAFIGDZ-XCBNKYQSSA-N 0 2 318.333 0.587 20 0 DCADLN COC(=O)c1cn(CCN=c2nc(C(C)(C)C)[nH]s2)nn1 ZINC000343067454 415431160 /nfs/dbraw/zinc/43/11/60/415431160.db2.gz AFEJMIQQXMKCIS-UHFFFAOYSA-N 0 2 310.383 0.748 20 0 DCADLN COC(=O)c1cn(CCNc2nc(C(C)(C)C)ns2)nn1 ZINC000343067454 415431167 /nfs/dbraw/zinc/43/11/67/415431167.db2.gz AFEJMIQQXMKCIS-UHFFFAOYSA-N 0 2 310.383 0.748 20 0 DCADLN O=C([O-])[C@@H]1CC[C@@H](C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)O1 ZINC000276187051 415447021 /nfs/dbraw/zinc/44/70/21/415447021.db2.gz TWJLZICBUSFSHO-SRVKXCTJSA-N 0 2 305.334 0.409 20 0 DCADLN COc1cccc(S([O-])=CC(=O)NCc2[nH+]ccn2C)c1 ZINC000424648968 287312201 /nfs/dbraw/zinc/31/22/01/287312201.db2.gz SFJMUMMPIPBADS-NRFANRHFSA-N 0 2 307.375 0.853 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2noc(C3CC3)n2)cn1 ZINC000353778636 415652865 /nfs/dbraw/zinc/65/28/65/415652865.db2.gz GMNLNCZWZLNZCZ-UHFFFAOYSA-N 0 2 313.339 0.591 20 0 DCADLN COC[C@@H]1CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000353931402 415695528 /nfs/dbraw/zinc/69/55/28/415695528.db2.gz ZIGCGZJJOTXVDP-SECBINFHSA-N 0 2 318.333 0.935 20 0 DCADLN CN(CCC[N@H+](C)Cc1cc(=O)n2[nH]ccc2n1)CC[NH+](C)C ZINC000344065473 415832195 /nfs/dbraw/zinc/83/21/95/415832195.db2.gz OKKSAZIVYIFGMI-UHFFFAOYSA-N 0 2 320.441 0.338 20 0 DCADLN CN(CCC[N@@H+](C)Cc1cc(=O)n2[nH]ccc2n1)CC[NH+](C)C ZINC000344065473 415832199 /nfs/dbraw/zinc/83/21/99/415832199.db2.gz OKKSAZIVYIFGMI-UHFFFAOYSA-N 0 2 320.441 0.338 20 0 DCADLN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N[C@@H](C)C[C@H](C)O ZINC000334036344 415789502 /nfs/dbraw/zinc/78/95/02/415789502.db2.gz MIGWXBRMWQUKKO-IUCAKERBSA-N 0 2 318.395 0.728 20 0 DCADLN Cc1cc(C[C@H](C)NC(=O)N[C@@H](C)CN2CC[NH+](C)CC2)n[nH]1 ZINC000121554200 415809999 /nfs/dbraw/zinc/80/99/99/415809999.db2.gz BJXRSHKGVVFZEA-JSGCOSHPSA-N 0 2 322.457 0.584 20 0 DCADLN CCOC(=O)c1ccc(S(=O)(=O)Nc2ncn(C)n2)cc1 ZINC000295170588 415886936 /nfs/dbraw/zinc/88/69/36/415886936.db2.gz GHZHHCSPCBNPMH-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN CC(C)[N@@H+]1CCCN(C(=O)NCC[NH+]2CCOC[C@H]2C)CC1 ZINC000356351516 415904104 /nfs/dbraw/zinc/90/41/04/415904104.db2.gz IHUJMZPJPPGLOC-OAHLLOKOSA-N 0 2 312.458 0.833 20 0 DCADLN CCCCn1c(=O)[nH]nc1S(=O)(=O)Cc1n[nH]c(CC)n1 ZINC000125109853 415857930 /nfs/dbraw/zinc/85/79/30/415857930.db2.gz PKHVTEFZHIJMPF-UHFFFAOYSA-N 0 2 314.371 0.438 20 0 DCADLN COc1ccc(-c2nnc(CNC(=O)[C@@H]3CSC[NH2+]3)[nH]2)cc1 ZINC000158716254 416001616 /nfs/dbraw/zinc/00/16/16/416001616.db2.gz CGSASTYNSXZWCP-NSHDSACASA-N 0 2 319.390 0.759 20 0 DCADLN C[C@@H](CS(C)(=O)=O)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000173569810 416061434 /nfs/dbraw/zinc/06/14/34/416061434.db2.gz FDGLAGWULCUVBC-JTQLQIEISA-N 0 2 323.374 0.741 20 0 DCADLN COc1ccc(NS(=O)(=O)c2ccc(N(C)C)nc2)nn1 ZINC000357364422 416067913 /nfs/dbraw/zinc/06/79/13/416067913.db2.gz ARSYDADPBRRANW-UHFFFAOYSA-N 0 2 309.351 0.747 20 0 DCADLN Cc1ccc(C)c(S(=O)(=O)Nc2ncn(C)c2C(N)=O)c1 ZINC000344938273 416011970 /nfs/dbraw/zinc/01/19/70/416011970.db2.gz UBLBAVHLGJPNIU-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCC2=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000356965852 416012640 /nfs/dbraw/zinc/01/26/40/416012640.db2.gz FJNPLORDWRWYJM-QMMMGPOBSA-N 0 2 316.317 0.843 20 0 DCADLN CO[C@@H](C)c1nsc(=NC[C@@](C)(O)C[NH+]2CCOCC2)[n-]1 ZINC000337865853 416016980 /nfs/dbraw/zinc/01/69/80/416016980.db2.gz KVZQMPMATXYFQN-GXFFZTMASA-N 0 2 316.427 0.163 20 0 DCADLN CO[C@@H](C)c1nc(=NC[C@@](C)(O)C[NH+]2CCOCC2)s[n-]1 ZINC000337865853 416016982 /nfs/dbraw/zinc/01/69/82/416016982.db2.gz KVZQMPMATXYFQN-GXFFZTMASA-N 0 2 316.427 0.163 20 0 DCADLN CCN(C)C(=O)[C@@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000357047536 416025996 /nfs/dbraw/zinc/02/59/96/416025996.db2.gz FUYDTFIKZWZHMI-SECBINFHSA-N 0 2 318.333 0.627 20 0 DCADLN CCOC(=O)c1nnc2ccccc2c1NCc1n[nH]c(=O)[nH]1 ZINC000301778810 416091879 /nfs/dbraw/zinc/09/18/79/416091879.db2.gz MKYUBDBCHOKSKP-UHFFFAOYSA-N 0 2 314.305 0.830 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2ccc(-n3cnnn3)cc2)c1 ZINC000357545703 416095183 /nfs/dbraw/zinc/09/51/83/416095183.db2.gz ZPUJYNLMMJHGAE-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN Cc1cc(NC(=O)[C@@H](C)[N@H+](C)C2CC[NH+](CCO)CC2)on1 ZINC000357653013 416111310 /nfs/dbraw/zinc/11/13/10/416111310.db2.gz VPVCUVZRYRLDPH-GFCCVEGCSA-N 0 2 310.398 0.699 20 0 DCADLN Cc1ocnc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000338684660 416126718 /nfs/dbraw/zinc/12/67/18/416126718.db2.gz RJCRSPADSIBHMG-UHFFFAOYSA-N 0 2 303.325 0.458 20 0 DCADLN CC(C)N(C1CC1)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000176667731 416130599 /nfs/dbraw/zinc/13/05/99/416130599.db2.gz KSTDHVYBRCSNSJ-UHFFFAOYSA-N 0 2 324.362 0.173 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1nncn1-c1ccccc1 ZINC000340644645 416191511 /nfs/dbraw/zinc/19/15/11/416191511.db2.gz MOXBGJHQESPLGL-SNVBAGLBSA-N 0 2 323.378 0.486 20 0 DCADLN CC[C@@](NC(=O)c1c[nH]c2c(cnn2C)c1=O)(C(N)=O)C(C)C ZINC000180273397 416194938 /nfs/dbraw/zinc/19/49/38/416194938.db2.gz CWHILMHHIKEGGO-HNNXBMFYSA-N 0 2 319.365 0.694 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000358277074 416219381 /nfs/dbraw/zinc/21/93/81/416219381.db2.gz OZZRRGFKZKMKHJ-MRVPVSSYSA-N 0 2 304.306 0.309 20 0 DCADLN CC(=O)N[C@H](CC(=O)NCc1n[nH]c(=O)[nH]1)c1ccc(C)cc1 ZINC000358367678 416229258 /nfs/dbraw/zinc/22/92/58/416229258.db2.gz KLEGYSMWBVUGDE-GFCCVEGCSA-N 0 2 317.349 0.702 20 0 DCADLN CCc1[nH]c(C(=O)NCc2n[nH]c(=O)[nH]2)c(C)c1C(=O)OC ZINC000358366854 416230181 /nfs/dbraw/zinc/23/01/81/416230181.db2.gz QYNIYMSDGYQYRE-UHFFFAOYSA-N 0 2 307.310 0.426 20 0 DCADLN O=C(C1=NN(c2ccc(Cl)cc2)CC1=O)N1CCNC(=O)C1 ZINC000193683116 416319450 /nfs/dbraw/zinc/31/94/50/416319450.db2.gz NDRLZRWIOIQHKS-UHFFFAOYSA-N 0 2 320.736 0.803 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1n[nH]c2cccc(F)c21 ZINC000331193183 416283736 /nfs/dbraw/zinc/28/37/36/416283736.db2.gz RVBMLEGDLLOAAK-ZETCQYMHSA-N 0 2 314.342 0.920 20 0 DCADLN COCc1ccccc1S(=O)(=O)Nc1nc2ncccn2n1 ZINC000352099712 416286493 /nfs/dbraw/zinc/28/64/93/416286493.db2.gz AVFVDTJETQPZRR-UHFFFAOYSA-N 0 2 319.346 1.072 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCc1cnn2ccccc12 ZINC000342165151 416288586 /nfs/dbraw/zinc/28/85/86/416288586.db2.gz QIKYBIKLTDSVPD-UHFFFAOYSA-N 0 2 304.335 0.567 20 0 DCADLN CCOC(=O)c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)nc1C ZINC000358944292 416294815 /nfs/dbraw/zinc/29/48/15/416294815.db2.gz CXIRVEMQZMRUHM-UHFFFAOYSA-N 0 2 305.294 0.320 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N(C[C@@H]1CCOC1)C1CC1 ZINC000192014910 416296802 /nfs/dbraw/zinc/29/68/02/416296802.db2.gz FRMULJKCWAZZHC-QMMMGPOBSA-N 0 2 302.318 0.884 20 0 DCADLN O=C(CCCNS(=O)(=O)NCC(F)(F)F)NC1CC1 ZINC000195101306 416325220 /nfs/dbraw/zinc/32/52/20/416325220.db2.gz OOFWVCMXDNRPNW-UHFFFAOYSA-N 0 2 303.306 0.032 20 0 DCADLN O=C(CNS(=O)(=O)NCC(F)(F)F)Nc1ccccc1 ZINC000195203059 416325319 /nfs/dbraw/zinc/32/53/19/416325319.db2.gz NFKDCRHPQNHMAZ-UHFFFAOYSA-N 0 2 311.285 0.611 20 0 DCADLN Cc1nn(C)cc1[C@@H](C)NS(=O)(=O)NCC(F)(F)F ZINC000195215562 416325391 /nfs/dbraw/zinc/32/53/91/416325391.db2.gz UPPIUEZVNHWNOA-SSDOTTSWSA-N 0 2 300.306 0.776 20 0 DCADLN COC[C@H](NS(=O)(=O)NCC(F)(F)F)c1ccco1 ZINC000195328816 416326061 /nfs/dbraw/zinc/32/60/61/416326061.db2.gz HDIQPPYFKQSLOC-ZETCQYMHSA-N 0 2 302.274 0.953 20 0 DCADLN COC(=O)[C@H]1CCN(S(=O)(=O)NCC(F)(F)F)C[C@H]1C ZINC000195469402 416326412 /nfs/dbraw/zinc/32/64/12/416326412.db2.gz ZDBVVXLSBSUWNP-SFYZADRCSA-N 0 2 318.317 0.514 20 0 DCADLN CCCc1nsc(NC2CCN(CC(=O)N(C)C)CC2)n1 ZINC000360086626 416369634 /nfs/dbraw/zinc/36/96/34/416369634.db2.gz ZGJBGKYEEBWPAF-UHFFFAOYSA-N 0 2 311.455 0.877 20 0 DCADLN CC(C)[C@H](NC(=O)NC(C)(C)C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000359832508 416361548 /nfs/dbraw/zinc/36/15/48/416361548.db2.gz DMQAGWDCRRNCPU-VIFPVBQESA-N 0 2 312.374 0.249 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1cncc(N2CCCC2)n1)OC ZINC000421251447 416420996 /nfs/dbraw/zinc/42/09/96/416420996.db2.gz PQDKDMGZSJBUBB-NSHDSACASA-N 0 2 314.411 1.244 20 0 DCADLN Cc1cccn2cc(CNC(=O)CSc3n[nH]c(=O)[n-]3)[nH+]c12 ZINC000361875806 416515237 /nfs/dbraw/zinc/51/52/37/416515237.db2.gz BFKZUFQQHFOMSU-UHFFFAOYSA-N 0 2 318.362 0.875 20 0 DCADLN O=c1[nH]nc(CN2CCNC(=O)[C@H]2c2ccccc2Cl)[nH]1 ZINC000541392043 416606841 /nfs/dbraw/zinc/60/68/41/416606841.db2.gz DGVIPHLJLUHCGL-LLVKDONJSA-N 0 2 307.741 0.837 20 0 DCADLN O=C(CSc1nc(-c2ccccc2F)n[nH]1)Nc1nn[nH]n1 ZINC000439267611 416609633 /nfs/dbraw/zinc/60/96/33/416609633.db2.gz CXNLVFKPEVJWKH-UHFFFAOYSA-N 0 2 320.313 0.855 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2ccc(C)s2)n1 ZINC000437314437 416582200 /nfs/dbraw/zinc/58/22/00/416582200.db2.gz NKLXHAFQLBADSW-UHFFFAOYSA-N 0 2 316.364 0.622 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc(COCCO)cc1 ZINC000425064651 416682412 /nfs/dbraw/zinc/68/24/12/416682412.db2.gz BSEBRQJOZQNZOF-UHFFFAOYSA-N 0 2 324.362 0.750 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C\c1ncccc1C ZINC000493002838 416639729 /nfs/dbraw/zinc/63/97/29/416639729.db2.gz GEQBCUUZRCVXPJ-WAYWQWQTSA-N 0 2 322.346 0.787 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(C(F)(F)F)cc[nH+]2)CCS1(=O)=O ZINC000424479963 416655665 /nfs/dbraw/zinc/65/56/65/416655665.db2.gz YAIOOSUJYDDIAM-QMMMGPOBSA-N 0 2 324.280 0.788 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@H]3CNC(=O)C3)=N2)cc1 ZINC000544536751 416655921 /nfs/dbraw/zinc/65/59/21/416655921.db2.gz UJVJBBNYVMWXQH-SECBINFHSA-N 0 2 316.317 0.205 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC[C@@H]2C(N)=O)c1 ZINC000424556899 416664206 /nfs/dbraw/zinc/66/42/06/416664206.db2.gz HTOZFRXAGVWUDO-GTJPDFRWSA-N 0 2 310.375 0.279 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H](C(N)=O)C(C)C)c1 ZINC000424668075 416666890 /nfs/dbraw/zinc/66/68/90/416666890.db2.gz WFUXKTOCKYLIRL-ZSEKCTLFSA-N 0 2 312.391 0.429 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@]2(CO)CCOC2)c1 ZINC000424784682 416669085 /nfs/dbraw/zinc/66/90/85/416669085.db2.gz RIJGPOCFHPFJJW-SZNDQCEHSA-N 0 2 313.375 0.070 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2COC[C@H]2OC)c1 ZINC000424893339 416673339 /nfs/dbraw/zinc/67/33/39/416673339.db2.gz MPTNGQOBHCECIK-QRQYBIJMSA-N 0 2 313.375 0.333 20 0 DCADLN O=S(=O)(NC[C@H]1Cc2ccccc2O1)NCC(F)(F)F ZINC000442905730 416718946 /nfs/dbraw/zinc/71/89/46/416718946.db2.gz WARGFXRYGPMOQU-SECBINFHSA-N 0 2 310.297 0.976 20 0 DCADLN NC(=O)C[C@@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000614905493 416722564 /nfs/dbraw/zinc/72/25/64/416722564.db2.gz GFONQKOJUPYGDQ-VIFPVBQESA-N 0 2 316.317 0.367 20 0 DCADLN NC(=O)CC[C@H]1CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000442987475 416724484 /nfs/dbraw/zinc/72/44/84/416724484.db2.gz KOZIZUIJVXJMDG-MRVPVSSYSA-N 0 2 317.333 0.361 20 0 DCADLN COC(=O)[C@]1(NS(=O)(=O)NCC(F)(F)F)CCSC1 ZINC000443050379 416727395 /nfs/dbraw/zinc/72/73/95/416727395.db2.gz RCHSZQRFTHOKIC-ZETCQYMHSA-N 0 2 322.330 0.021 20 0 DCADLN CC[C@H](C)C[C@H](NS(=O)(=O)NCC(F)(F)F)C(=O)OC ZINC000516924918 416758379 /nfs/dbraw/zinc/75/83/79/416758379.db2.gz YEGHCTVSVVVZCD-YUMQZZPRSA-N 0 2 320.333 0.951 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000517741553 416822402 /nfs/dbraw/zinc/82/24/02/416822402.db2.gz AMKZBOLXRJTJFJ-CJNGLKHVSA-N 0 2 310.394 0.953 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000517741553 416822409 /nfs/dbraw/zinc/82/24/09/416822409.db2.gz AMKZBOLXRJTJFJ-CJNGLKHVSA-N 0 2 310.394 0.953 20 0 DCADLN COC[C@H](C)CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000446009490 416908084 /nfs/dbraw/zinc/90/80/84/416908084.db2.gz GSSKLPKYIUFDMX-ZCFIWIBFSA-N 0 2 308.385 0.521 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1c[nH]nc1-c1nccs1 ZINC000446389712 416928068 /nfs/dbraw/zinc/92/80/68/416928068.db2.gz CBOJIZDNZOIPTB-UHFFFAOYSA-N 0 2 306.311 0.679 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)nnc1C ZINC000446822591 416952710 /nfs/dbraw/zinc/95/27/10/416952710.db2.gz FSOPMKCNORGPHL-UHFFFAOYSA-N 0 2 309.351 0.332 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C[N@H+]1CCC(C)(C)C1 ZINC000568202272 417098080 /nfs/dbraw/zinc/09/80/80/417098080.db2.gz IDBCIQFTPYINNM-LBPRGKRZSA-N 0 2 308.382 0.342 20 0 DCADLN C[N@H+]1CC[C@@](O)(CNC(=O)c2ccc(-c3c[nH]c[nH+]3)cc2)C1 ZINC000632472837 417108889 /nfs/dbraw/zinc/10/88/89/417108889.db2.gz HWEADWVQOUQOLC-MRXNPFEDSA-N 0 2 300.362 0.873 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@@H+]1CCC(=O)N1CCc2ccccc21 ZINC000643054291 417149942 /nfs/dbraw/zinc/14/99/42/417149942.db2.gz CPEFRNWMCOQZCU-AWEZNQCLSA-N 0 2 304.346 0.751 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@H+]1CCC(=O)N1CCc2ccccc21 ZINC000643054291 417149948 /nfs/dbraw/zinc/14/99/48/417149948.db2.gz CPEFRNWMCOQZCU-AWEZNQCLSA-N 0 2 304.346 0.751 20 0 DCADLN CCOC(=O)C(NC(=O)c1cccc2[nH]nnc21)C(=O)OCC ZINC000525865029 417157916 /nfs/dbraw/zinc/15/79/16/417157916.db2.gz IIJDJSNJPKMZBG-UHFFFAOYSA-N 0 2 320.305 0.183 20 0 DCADLN Cc1cc(C(=O)NCCC2CC(O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000432464651 417181229 /nfs/dbraw/zinc/18/12/29/417181229.db2.gz ZNBUVJGHPIHUOR-UHFFFAOYSA-N 0 2 318.333 0.635 20 0 DCADLN COCCO[C@H]1COCC[C@@H]1NC(=O)c1cccc2[nH]nnc21 ZINC000526122100 417185795 /nfs/dbraw/zinc/18/57/95/417185795.db2.gz AJVWTIKSIMDQFU-AAEUAGOBSA-N 0 2 320.349 0.508 20 0 DCADLN CN(C)c1nc(N2CC[NH+](CC[C@@H]3CCNC3=O)CC2)cc[nH+]1 ZINC000569618822 417275267 /nfs/dbraw/zinc/27/52/67/417275267.db2.gz LWHLHIYIAHBIAV-ZDUSSCGKSA-N 0 2 318.425 0.191 20 0 DCADLN Cc1nc([C@H](C)NC(=O)c2ccc(NS(C)(=O)=O)nc2)n[nH]1 ZINC000627206512 417279849 /nfs/dbraw/zinc/27/98/49/417279849.db2.gz GKYMXCIKUYBMOT-ZETCQYMHSA-N 0 2 324.366 0.371 20 0 DCADLN COc1cc(C(N)=O)ccc1NS(=O)(=O)CCOC(C)C ZINC000451116054 417297833 /nfs/dbraw/zinc/29/78/33/417297833.db2.gz PIAXJUDVTDTTQR-UHFFFAOYSA-N 0 2 316.379 0.961 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000621894242 417338283 /nfs/dbraw/zinc/33/82/83/417338283.db2.gz ITMBPPVOPCUAJK-OUAUKWLOSA-N 0 2 321.381 0.254 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)c1csc(-c2cnn(C)c2)n1 ZINC000622118473 417400409 /nfs/dbraw/zinc/40/04/09/417400409.db2.gz XZMRZDKOLLRJLS-UHFFFAOYSA-N 0 2 320.334 0.904 20 0 DCADLN CCN(CC)C(=O)C[N@H+](C)[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC000643872043 417410003 /nfs/dbraw/zinc/41/00/03/417410003.db2.gz PRHOZPNQCBEOFT-LBPRGKRZSA-N 0 2 313.398 0.252 20 0 DCADLN CCN(CC)C(=O)C[N@@H+](C)[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC000643872043 417410009 /nfs/dbraw/zinc/41/00/09/417410009.db2.gz PRHOZPNQCBEOFT-LBPRGKRZSA-N 0 2 313.398 0.252 20 0 DCADLN CC(C)[C@@H]1C[NH2+]CCN1C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000529309475 417434239 /nfs/dbraw/zinc/43/42/39/417434239.db2.gz XBEKQCJTOTXJSY-KKUMJFAQSA-N 0 2 312.458 0.735 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC[C@H](CO)[C@@H](O)C1 ZINC000574950978 417496558 /nfs/dbraw/zinc/49/65/58/417496558.db2.gz RPXFSUGJYGPFNL-YPMHNXCESA-N 0 2 317.345 0.393 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2nncs2)c1 ZINC000622503813 417452916 /nfs/dbraw/zinc/45/29/16/417452916.db2.gz JLUHINJCCHTERE-UHFFFAOYSA-N 0 2 300.321 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC(=O)N(CCC(F)(F)F)C2)c1O ZINC000622643136 417472593 /nfs/dbraw/zinc/47/25/93/417472593.db2.gz IYGGSAVKXMPWKC-UHFFFAOYSA-N 0 2 306.244 0.618 20 0 DCADLN CC[C@@H](NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)[C@@H](C)O ZINC000456206171 417633150 /nfs/dbraw/zinc/63/31/50/417633150.db2.gz GKTPXCMRYWUTFG-HTQZYQBOSA-N 0 2 306.322 0.634 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000635510895 417682952 /nfs/dbraw/zinc/68/29/52/417682952.db2.gz VCWPTURDTOVPFO-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000635510895 417682955 /nfs/dbraw/zinc/68/29/55/417682955.db2.gz VCWPTURDTOVPFO-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000635514687 417683739 /nfs/dbraw/zinc/68/37/39/417683739.db2.gz MARGYCYAXSPBHI-XHDPSFHLSA-N 0 2 322.365 0.331 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C2=CCS(=O)(=O)C2)s[nH]1 ZINC000635487848 417675841 /nfs/dbraw/zinc/67/58/41/417675841.db2.gz SCSROKWDMMFAMV-UHFFFAOYSA-N 0 2 301.393 0.551 20 0 DCADLN Cc1[nH]ncc1C[N@H+](C)C[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000639971221 417771662 /nfs/dbraw/zinc/77/16/62/417771662.db2.gz XZUPMVPZTGJNLR-LBPRGKRZSA-N 0 2 300.428 0.822 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)CCCC(=O)N2 ZINC000629288522 417772381 /nfs/dbraw/zinc/77/23/81/417772381.db2.gz RPSJISKTKHXSST-UHFFFAOYSA-N 0 2 321.362 0.891 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cc(C(=O)N(C)C)ccn2)n1C ZINC000629349531 417785285 /nfs/dbraw/zinc/78/52/85/417785285.db2.gz MFCKCLSRMCOZHC-UHFFFAOYSA-N 0 2 323.378 0.626 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccnc(C(=O)N(C)C)c2)n1C ZINC000629348986 417785863 /nfs/dbraw/zinc/78/58/63/417785863.db2.gz FXNQQHCSLOZYFW-UHFFFAOYSA-N 0 2 323.378 0.048 20 0 DCADLN COc1ccnc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC000646131051 417787957 /nfs/dbraw/zinc/78/79/57/417787957.db2.gz CIYOEWZDTWRXCE-VIFPVBQESA-N 0 2 303.322 0.934 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cnc(C)s2)[nH]1 ZINC000657104475 417812482 /nfs/dbraw/zinc/81/24/82/417812482.db2.gz QLJNRAYBQYVOBU-UHFFFAOYSA-N 0 2 303.369 0.195 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1CCC12CCCC2 ZINC000651912830 417814471 /nfs/dbraw/zinc/81/44/71/417814471.db2.gz FWSSDTPLJRJXPI-UHFFFAOYSA-N 0 2 303.366 0.520 20 0 DCADLN CO[C@H]1C[C@H](CC(=O)[O-])N(C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000652072246 417843024 /nfs/dbraw/zinc/84/30/24/417843024.db2.gz REDPKWNJVWZIHS-OLZOCXBDSA-N 0 2 321.377 0.853 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000646803467 417915918 /nfs/dbraw/zinc/91/59/18/417915918.db2.gz YCRNBJCMLGOGNP-SNVBAGLBSA-N 0 2 319.365 0.294 20 0 DCADLN CC(C)(C)n1ncc2c1nc(NC[C@@](C)(O)C(=O)[O-])[nH+]c2N ZINC000662880256 417937638 /nfs/dbraw/zinc/93/76/38/417937638.db2.gz UUNLTHZPHBVMNR-CYBMUJFWSA-N 0 2 308.342 0.411 20 0 DCADLN CC(C)c1[nH][nH]c(=O)c1C(=O)Nc1ccc2c(c1)C(=O)NC2=O ZINC000663220019 418001471 /nfs/dbraw/zinc/00/14/71/418001471.db2.gz WCUARLWEEHWGSR-JTQLQIEISA-N 0 2 314.301 0.267 20 0 DCADLN CC(C)NC(=O)C[NH+]1CCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000647448576 418010290 /nfs/dbraw/zinc/01/02/90/418010290.db2.gz QMGRXIWXXMGXGT-UHFFFAOYSA-N 0 2 306.366 0.426 20 0 DCADLN O=C(NCC[C@@]1(O)CCOC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000653039445 418015606 /nfs/dbraw/zinc/01/56/06/418015606.db2.gz FBUFMXFWXWKWSN-OAHLLOKOSA-N 0 2 319.317 0.301 20 0 DCADLN O=C([O-])[C@@H](C(=O)N1CC[NH+](Cc2ccncc2)CC1)C1CC1 ZINC000663040355 417980760 /nfs/dbraw/zinc/98/07/60/417980760.db2.gz YLHNIYXLDLIDKJ-CQSZACIVSA-N 0 2 303.362 0.837 20 0 DCADLN Cn1cc[nH+]c1CN1CCN(C(=O)[C@H](C(=O)[O-])C(C)(C)C)CC1 ZINC000663041880 417981055 /nfs/dbraw/zinc/98/10/55/417981055.db2.gz PBJJFDWKQUNERT-CYBMUJFWSA-N 0 2 322.409 0.811 20 0 DCADLN Cn1cc(C[NH+]2CCN(C(=O)[C@H](C(=O)[O-])C(C)(C)C)CC2)cn1 ZINC000663063548 417984485 /nfs/dbraw/zinc/98/44/85/417984485.db2.gz XFUREWDJFBXACF-CYBMUJFWSA-N 0 2 322.409 0.811 20 0 DCADLN O=C([O-])[C@H](C(=O)NCc1cc[nH+]c(N2CCOCC2)c1)C1CC1 ZINC000663104794 417991302 /nfs/dbraw/zinc/99/13/02/417991302.db2.gz NFUCGLUHFVZMFC-AWEZNQCLSA-N 0 2 319.361 0.645 20 0 DCADLN Cc1cccn2cc(CC(=O)N[C@@]3(C(=O)[O-])CCOC3)[nH+]c12 ZINC000663130720 417995020 /nfs/dbraw/zinc/99/50/20/417995020.db2.gz MYQJRLIYADBKKT-HNNXBMFYSA-N 0 2 303.318 0.545 20 0 DCADLN C[C@@H](NC(=O)c1ccc(C(=O)[O-])nc1)[C@H](C)[NH+]1CCOCC1 ZINC000653308116 418056054 /nfs/dbraw/zinc/05/60/54/418056054.db2.gz BBECFQBBSNRYQX-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN Cc1cc(CNC(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)cc(C)[nH+]1 ZINC000659408387 418093880 /nfs/dbraw/zinc/09/38/80/418093880.db2.gz ROPUDYMKQJAYRZ-CZUORRHYSA-N 0 2 319.361 0.941 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000664240721 418102186 /nfs/dbraw/zinc/10/21/86/418102186.db2.gz QCXUZWRWZZJIHQ-ZIAGYGMSSA-N 0 2 316.409 0.914 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000664240721 418102190 /nfs/dbraw/zinc/10/21/90/418102190.db2.gz QCXUZWRWZZJIHQ-ZIAGYGMSSA-N 0 2 316.409 0.914 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)c1ccc3[nH+]ccn3c1)C2 ZINC000659779956 418181208 /nfs/dbraw/zinc/18/12/08/418181208.db2.gz VRVAILOOEIAJAC-IAQYHMDHSA-N 0 2 301.302 0.508 20 0 DCADLN CN(C)c1cnc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000648888002 418170446 /nfs/dbraw/zinc/17/04/46/418170446.db2.gz KIVIAOIBLMYMLR-UHFFFAOYSA-N 0 2 317.353 0.386 20 0 DCADLN CCN1CC[C@H]([N@@H+]2CC[C@@H](N3CC[NH+](C)CC3)[C@@H](C)C2)C1=O ZINC000660152951 418238164 /nfs/dbraw/zinc/23/81/64/418238164.db2.gz DGYLFPCUOCPXOS-XHSDSOJGSA-N 0 2 308.470 0.565 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000649399763 418250234 /nfs/dbraw/zinc/25/02/34/418250234.db2.gz JVNUYFDABDJXLG-RWMBFGLXSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000649399763 418250237 /nfs/dbraw/zinc/25/02/37/418250237.db2.gz JVNUYFDABDJXLG-RWMBFGLXSA-N 0 2 320.393 0.985 20 0 DCADLN CO[C@H]1C[C@H](CC(=O)[O-])N(c2nc3c(cnn3C)c(N)[nH+]2)C1 ZINC000649406726 418250813 /nfs/dbraw/zinc/25/08/13/418250813.db2.gz BXKIWJWNCLZINE-SFYZADRCSA-N 0 2 306.326 0.014 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cnc(C)nc2C(C)C)[nH]n1 ZINC000650817340 418326683 /nfs/dbraw/zinc/32/66/83/418326683.db2.gz ZSYMWNUXJSEGIS-UHFFFAOYSA-N 0 2 314.349 0.732 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000655866932 418294137 /nfs/dbraw/zinc/29/41/37/418294137.db2.gz UJPDWESNBZOFBK-GFCCVEGCSA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000655866932 418294139 /nfs/dbraw/zinc/29/41/39/418294139.db2.gz UJPDWESNBZOFBK-GFCCVEGCSA-N 0 2 315.414 0.753 20 0 DCADLN C[C@H](CCO)N(C)C(=O)N=c1ccc(-c2cnn(C)c2)n[nH]1 ZINC000650167520 418296486 /nfs/dbraw/zinc/29/64/86/418296486.db2.gz SWNFOVWEGRUAAF-SNVBAGLBSA-N 0 2 304.354 0.534 20 0 DCADLN O=C([O-])c1cc(NCCS(=O)(=O)NCC2CCC2)cc[nH+]1 ZINC000650767555 418323782 /nfs/dbraw/zinc/32/37/82/418323782.db2.gz ZFXDXSUGKRUVGP-UHFFFAOYSA-N 0 2 313.379 0.911 20 0 DCADLN C[C@@H](O)CNc1cc(N[C@@H](Cc2ccncc2)C(=O)[O-])[nH+]cn1 ZINC000656092744 418327712 /nfs/dbraw/zinc/32/77/12/418327712.db2.gz PUXNQOVPBFWXCI-PWSUYJOCSA-N 0 2 317.349 0.772 20 0 DCADLN C[C@@H](O)CNc1cc(N[C@@H](Cc2ccncc2)C(=O)[O-])nc[nH+]1 ZINC000656092744 418327715 /nfs/dbraw/zinc/32/77/15/418327715.db2.gz PUXNQOVPBFWXCI-PWSUYJOCSA-N 0 2 317.349 0.772 20 0 DCADLN COc1nn(C)cc1NS(=O)(=O)C[C@H](OC)[C@@H]1CCOC1 ZINC000656629194 418367354 /nfs/dbraw/zinc/36/73/54/418367354.db2.gz UCMQCPVEQQRXPT-KOLCDFICSA-N 0 2 319.383 0.222 20 0 DCADLN CC(C)Cc1nsc(N[C@H]2CCN(S(C)(=O)=O)C2)n1 ZINC000651400759 418374755 /nfs/dbraw/zinc/37/47/55/418374755.db2.gz VVFRDRCIEGAZSF-VIFPVBQESA-N 0 2 304.441 0.604 20 0 DCADLN COCc1nccc(NS(=O)(=O)c2ccc(OC)nc2)n1 ZINC000656742456 418375003 /nfs/dbraw/zinc/37/50/03/418375003.db2.gz QRZNMZFXXWVODK-UHFFFAOYSA-N 0 2 310.335 0.827 20 0 DCADLN CO[C@@H](CS(=O)(=O)NCC(F)(F)C(F)F)[C@@H]1CCOC1 ZINC000656733673 418375089 /nfs/dbraw/zinc/37/50/89/418375089.db2.gz MGUNGECKQAVWPE-SFYZADRCSA-N 0 2 323.308 0.858 20 0 DCADLN CCO[C@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651535515 418387906 /nfs/dbraw/zinc/38/79/06/418387906.db2.gz SJDIQCSVSZLZEH-NSHDSACASA-N 0 2 309.370 0.247 20 0 DCADLN Cc1cccc(N2CC[NH+]([C@H]3CCN(CC(=O)[O-])C3=O)CC2)c1 ZINC000662203526 418388137 /nfs/dbraw/zinc/38/81/37/418388137.db2.gz FHCJWDGTCMIXPY-HNNXBMFYSA-N 0 2 317.389 0.803 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCS[C@H](C)[C@H]2C)[nH]1 ZINC000651576720 418393114 /nfs/dbraw/zinc/39/31/14/418393114.db2.gz PEQBZHWEVPBNCY-NXEZZACHSA-N 0 2 311.411 0.572 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@H]([C@@H]3CCOC3)C2)[nH]1 ZINC000651633242 418399497 /nfs/dbraw/zinc/39/94/97/418399497.db2.gz JLNDERYATVECKG-NWDGAFQWSA-N 0 2 321.381 0.104 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1ccc(CC(N)=O)cc1 ZINC000032096967 261105489 /nfs/dbraw/zinc/10/54/89/261105489.db2.gz LZWFPJUBICNIOY-UHFFFAOYSA-N 0 2 308.363 0.855 20 0 DCADLN Cc1cc(C(F)(F)F)nn1CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000354909342 261285827 /nfs/dbraw/zinc/28/58/27/261285827.db2.gz AMGZJBVHYUCXIG-UHFFFAOYSA-N 0 2 304.232 0.350 20 0 DCADLN CC[C@H](C)[C@H](OC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000355038789 261299837 /nfs/dbraw/zinc/29/98/37/261299837.db2.gz NOZHDKWJZANCAJ-BQBZGAKWSA-N 0 2 322.412 0.909 20 0 DCADLN C[C@@H](Cn1cccn1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355700899 261356979 /nfs/dbraw/zinc/35/69/79/261356979.db2.gz GMXVUZAYBJWNEZ-VIFPVBQESA-N 0 2 300.322 0.689 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NCC2=CCCOC2)s[nH]1 ZINC000358001278 261614668 /nfs/dbraw/zinc/61/46/68/261614668.db2.gz FJPZIUMLERWHLY-UHFFFAOYSA-N 0 2 324.406 0.659 20 0 DCADLN C[C@@H](CC[S@@](C)=O)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000359451313 261746027 /nfs/dbraw/zinc/74/60/27/261746027.db2.gz YSYJSLLSYJGXAZ-GTUYJWLHSA-N 0 2 323.374 0.917 20 0 DCADLN Cc1cc(C(=O)N(C)CC[C@@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000362353670 262024953 /nfs/dbraw/zinc/02/49/53/262024953.db2.gz SEMMNVUFWYZIBX-MRVPVSSYSA-N 0 2 306.322 0.587 20 0 DCADLN O=S(=O)(Nc1cncnc1-n1cccn1)c1cncc(F)c1 ZINC000362386602 262028747 /nfs/dbraw/zinc/02/87/47/262028747.db2.gz HBSGELXNTVTXPU-UHFFFAOYSA-N 0 2 320.309 0.997 20 0 DCADLN Cc1ccc(C)c(OCC[NH+]2CCN(C(=O)C(=O)[O-])CC2)c1 ZINC000396648263 262163289 /nfs/dbraw/zinc/16/32/89/262163289.db2.gz KZOSSXNXDXFKFR-UHFFFAOYSA-N 0 2 306.362 0.911 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N[C@H](CO)[C@@H](C)O)=N1 ZINC000412418677 262188094 /nfs/dbraw/zinc/18/80/94/262188094.db2.gz DBVFOFLZKCKLSN-ZYHUDNBSSA-N 0 2 319.361 0.612 20 0 DCADLN Cn1nccc1-c1ccc(=NC(=O)N=c2cc(C3CC3)[nH][nH]2)[nH]n1 ZINC000417297142 262249483 /nfs/dbraw/zinc/24/94/83/262249483.db2.gz IGJMTPQZYNPBMV-UHFFFAOYSA-N 0 2 324.348 0.965 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2C[C@@H](C)[C@H](CO)C2)c1 ZINC000424795543 262507340 /nfs/dbraw/zinc/50/73/40/262507340.db2.gz CIJUHSSYIRKJCO-SMDDFHAHSA-N 0 2 311.403 0.890 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)cc1 ZINC000359001910 271088117 /nfs/dbraw/zinc/08/81/17/271088117.db2.gz NHKVKSJQHWJDPT-UHFFFAOYSA-N 0 2 310.335 0.178 20 0 DCADLN COC[C@H](C)[C@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000360032621 271160888 /nfs/dbraw/zinc/16/08/88/271160888.db2.gz KUXCRLGGICUCGZ-BQBZGAKWSA-N 0 2 322.412 0.767 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N2CC[C@](C)(C(=O)[O-])C2)cc(C)[nH+]1 ZINC000422704359 271378512 /nfs/dbraw/zinc/37/85/12/271378512.db2.gz PLYMWAWDPWGTKO-INIZCTEOSA-N 0 2 319.361 0.638 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cn[nH]c2)cc1C(=O)N(C)C ZINC000443281421 271706323 /nfs/dbraw/zinc/70/63/23/271706323.db2.gz DPOKHQNPWYYUFJ-UHFFFAOYSA-N 0 2 324.362 0.921 20 0 DCADLN Cn1nccc1S(=O)(=O)NC(=O)/C=C/c1cncc(F)c1 ZINC000492588814 272136175 /nfs/dbraw/zinc/13/61/75/272136175.db2.gz IVRGJNXGMSZWFB-NSCUHMNNSA-N 0 2 310.310 0.473 20 0 DCADLN COc1ncc(/C=C\C(=O)NS(=O)(=O)c2cccnc2)cn1 ZINC000492738305 272146638 /nfs/dbraw/zinc/14/66/38/272146638.db2.gz QTIXUFBFQYJYDW-PLNGDYQASA-N 0 2 320.330 0.399 20 0 DCADLN O=C(C=Cc1ccncn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000493499689 272193339 /nfs/dbraw/zinc/19/33/39/272193339.db2.gz ZTVZWZHWFMFHIO-UPHRSURJSA-N 0 2 300.322 0.720 20 0 DCADLN C[C@@H](CONC(=O)c1ccc(=O)[nH]n1)NC(=O)OC(C)(C)C ZINC000494102084 272209225 /nfs/dbraw/zinc/20/92/25/272209225.db2.gz KGJZNRYTSACNMT-QMMMGPOBSA-N 0 2 312.326 0.757 20 0 DCADLN COC(=O)N1CCC(NS(=O)(=O)NCC(F)(F)F)CC1 ZINC000496412190 272312308 /nfs/dbraw/zinc/31/23/08/272312308.db2.gz AKMIKNGZZORCJK-UHFFFAOYSA-N 0 2 319.305 0.204 20 0 DCADLN COCCN(CC(=O)NOCC(C)(C)O)C(=O)OC(C)(C)C ZINC000496992854 272360768 /nfs/dbraw/zinc/36/07/68/272360768.db2.gz ZWEUGENOMVYCKZ-UHFFFAOYSA-N 0 2 320.386 0.689 20 0 DCADLN O=C(Cc1cncc(O)c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000514679115 272404456 /nfs/dbraw/zinc/40/44/56/272404456.db2.gz HZHXSWQNDXDKPV-SNVBAGLBSA-N 0 2 303.322 0.560 20 0 DCADLN NC(=O)c1cc(CNC(=O)c2cc(F)c(F)c(O)c2F)on1 ZINC000544546552 287977232 /nfs/dbraw/zinc/97/72/32/287977232.db2.gz AEULCSWXRGIJJK-UHFFFAOYSA-N 0 2 315.207 0.826 20 0 DCADLN Cc1[nH][nH]c(=O)c1CCNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000547459177 288048011 /nfs/dbraw/zinc/04/80/11/288048011.db2.gz JHUDUGGXTDMMOE-GFCCVEGCSA-N 0 2 312.333 0.714 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000547970759 288097216 /nfs/dbraw/zinc/09/72/16/288097216.db2.gz YMRAIXDCJAVGGN-NSHDSACASA-N 0 2 321.358 0.875 20 0 DCADLN CC1(C)CCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000083996799 281092376 /nfs/dbraw/zinc/09/23/76/281092376.db2.gz CZDJTDIOCFXDOO-UHFFFAOYSA-N 0 2 324.362 0.444 20 0 DCADLN C[C@@H]1CN(C(=O)C[N@@H+]2CCC(C)(C)C2)C[C@H]1[NH+]1CCOCC1 ZINC000553493022 288300476 /nfs/dbraw/zinc/30/04/76/288300476.db2.gz NOKDFKPEXNXZRR-HUUCEWRRSA-N 0 2 309.454 0.897 20 0 DCADLN COC(=O)[C@H]1CCCC[C@@H]1S(=O)(=O)NCc1cn[nH]c1C ZINC000553799949 288308045 /nfs/dbraw/zinc/30/80/45/288308045.db2.gz UHHRCQMTNVPAAA-RYUDHWBXSA-N 0 2 315.395 0.869 20 0 DCADLN Cc1nn2c([n-]nc2SCC[NH+]2C[C@@H](C)O[C@H](C)C2)nc1=O ZINC000580216748 333016939 /nfs/dbraw/zinc/01/69/39/333016939.db2.gz GKVMDGKVJKTBRG-RKDXNWHRSA-N 0 2 324.410 0.322 20 0 DCADLN O=C(CN1CCCCCC1=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000582243628 336392541 /nfs/dbraw/zinc/39/25/41/336392541.db2.gz SXRCICZGOLSYSK-LLVKDONJSA-N 0 2 321.381 0.619 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCOC[C@H]1C1CCC1 ZINC000577218677 341898039 /nfs/dbraw/zinc/89/80/39/341898039.db2.gz GQZMAUOFNIRWPN-VIFPVBQESA-N 0 2 302.318 0.884 20 0 DCADLN C[C@H](NC(=O)C(C)(C)S(=O)(=O)C1CC1)c1nn(C)cc1O ZINC001456799609 1159762121 /nfs/dbraw/zinc/76/21/21/1159762121.db2.gz WRJOWGCXBYSCLA-QMMMGPOBSA-N 0 2 315.395 0.659 20 0 DCADLN COC(=O)c1cn([C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC000365686035 522327827 /nfs/dbraw/zinc/32/78/27/522327827.db2.gz IQHKBIHAQZCDRP-SVRRBLITSA-N 0 2 324.234 0.739 20 0 DCADLN COC(=O)c1cn([C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000365686035 522327842 /nfs/dbraw/zinc/32/78/42/522327842.db2.gz IQHKBIHAQZCDRP-SVRRBLITSA-N 0 2 324.234 0.739 20 0 DCADLN CCc1ccc(NC(=O)c2c(CC(=O)OC)[nH][nH]c2=O)cc1 ZINC000421811986 536189111 /nfs/dbraw/zinc/18/91/11/536189111.db2.gz JPFRFRBSFNZWIY-CYBMUJFWSA-N 0 2 303.318 0.853 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)[C@@H]2CCN(C)C2=O)c1 ZINC000424682148 536916267 /nfs/dbraw/zinc/91/62/67/536916267.db2.gz ORJGIZQKKKAZLE-DMZKTXOQSA-N 0 2 324.402 0.492 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCc1ccccc1OC ZINC000314891725 546025081 /nfs/dbraw/zinc/02/50/81/546025081.db2.gz BJNSUYPZLRSXAF-UHFFFAOYSA-N 0 2 310.350 0.344 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCc1ccccc1OC ZINC000314891725 546025086 /nfs/dbraw/zinc/02/50/86/546025086.db2.gz BJNSUYPZLRSXAF-UHFFFAOYSA-N 0 2 310.350 0.344 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N1CCCc2ccccc21 ZINC000314613725 546025487 /nfs/dbraw/zinc/02/54/87/546025487.db2.gz JCUKCXRNBMSFOP-UHFFFAOYSA-N 0 2 306.362 0.999 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N1CCCc2ccccc21 ZINC000314613725 546025493 /nfs/dbraw/zinc/02/54/93/546025493.db2.gz JCUKCXRNBMSFOP-UHFFFAOYSA-N 0 2 306.362 0.999 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CC(=O)N2CCC[C@@H]3CCCC[C@@H]32)CCO1 ZINC000315412800 546026515 /nfs/dbraw/zinc/02/65/15/546026515.db2.gz UMHWVRLLCIOEEF-IHRRRGAJSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CC(=O)N2CCC[C@@H]3CCCC[C@@H]32)CCO1 ZINC000315412800 546026518 /nfs/dbraw/zinc/02/65/18/546026518.db2.gz UMHWVRLLCIOEEF-IHRRRGAJSA-N 0 2 310.394 0.953 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1cnn(C2CCOCC2)c1 ZINC000333028565 546070290 /nfs/dbraw/zinc/07/02/90/546070290.db2.gz BSJITLOBEYPZJZ-UHFFFAOYSA-N 0 2 311.367 0.769 20 0 DCADLN Cc1nc(NC(=O)c2ccc(C(=O)Nc3n[nH]c(C)n3)o2)n[nH]1 ZINC000352583286 546170271 /nfs/dbraw/zinc/17/02/71/546170271.db2.gz IQCLJMHCVRGNLW-UHFFFAOYSA-N 0 2 316.281 0.637 20 0 DCADLN Cc1nnc(NC(=O)c2ccc(C(=O)Nc3n[nH]c(C)n3)o2)[nH]1 ZINC000352583286 546170277 /nfs/dbraw/zinc/17/02/77/546170277.db2.gz IQCLJMHCVRGNLW-UHFFFAOYSA-N 0 2 316.281 0.637 20 0 DCADLN O=C(N[C@@H]1CCCCNC1=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666292784 546450003 /nfs/dbraw/zinc/45/00/03/546450003.db2.gz YUHOSCIVPGHAOW-LLVKDONJSA-N 0 2 316.317 0.428 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCO1 ZINC000666538429 546482530 /nfs/dbraw/zinc/48/25/30/546482530.db2.gz DFGGFXCFOFPPSB-NWDGAFQWSA-N 0 2 320.349 0.155 20 0 DCADLN CCC[C@@H](NC(N)=O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000670410725 547081183 /nfs/dbraw/zinc/08/11/83/547081183.db2.gz KPRSUZHGQPLMGK-RKDXNWHRSA-N 0 2 310.358 0.053 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnnn1-c1ccccc1Cl ZINC000673948921 547545169 /nfs/dbraw/zinc/54/51/69/547545169.db2.gz FXTGSRAGPMSWJW-UHFFFAOYSA-N 0 2 319.712 0.674 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCO[C@H](C(=O)[O-])C3)[nH+]c12 ZINC000676212797 547744296 /nfs/dbraw/zinc/74/42/96/547744296.db2.gz IHHACCOKYIBCNN-LBPRGKRZSA-N 0 2 303.318 0.497 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1O ZINC000676697365 547805383 /nfs/dbraw/zinc/80/53/83/547805383.db2.gz ISMUYUCRPAOVPV-UHFFFAOYSA-N 0 2 304.354 0.115 20 0 DCADLN CCN(CC)C(=O)[C@@H]1CSCN1C(=O)c1n[nH]c(C)c1O ZINC000676706851 547806577 /nfs/dbraw/zinc/80/65/77/547806577.db2.gz DPDQUFXEGWDBBC-VIFPVBQESA-N 0 2 312.395 0.807 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@@H](OCC[NH+]3CCCC3)C2)c1[O-] ZINC000676905001 547832485 /nfs/dbraw/zinc/83/24/85/547832485.db2.gz OQEZNNWJPCQUTK-GFCCVEGCSA-N 0 2 308.382 0.751 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)c1cnc2n[nH]nc2c1 ZINC000679173450 548030803 /nfs/dbraw/zinc/03/08/03/548030803.db2.gz GFOKEAYRTWZICQ-UHFFFAOYSA-N 0 2 323.272 0.949 20 0 DCADLN CN(CC(=O)[O-])C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000679540706 548065446 /nfs/dbraw/zinc/06/54/46/548065446.db2.gz OOOBEOQEYIFCLT-AWEZNQCLSA-N 0 2 321.377 0.786 20 0 DCADLN CC[N@@H+]1CCO[C@@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC000684735109 548643623 /nfs/dbraw/zinc/64/36/23/548643623.db2.gz KDUATMMBGSQGNC-ZDUSSCGKSA-N 0 2 315.377 0.922 20 0 DCADLN CC[N@H+]1CCO[C@@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC000684735109 548643628 /nfs/dbraw/zinc/64/36/28/548643628.db2.gz KDUATMMBGSQGNC-ZDUSSCGKSA-N 0 2 315.377 0.922 20 0 DCADLN Cc1[nH][nH]c(=O)c1CCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC000685736126 548730877 /nfs/dbraw/zinc/73/08/77/548730877.db2.gz UJAALSBVOIZVFK-JTQLQIEISA-N 0 2 312.333 0.714 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCCOC2)c1 ZINC000821666990 607258319 /nfs/dbraw/zinc/25/83/19/607258319.db2.gz PAEJNSWBZZJLON-VIFPVBQESA-N 0 2 319.321 0.242 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCCCCCCO)c1=O ZINC000821565251 599123280 /nfs/dbraw/zinc/12/32/80/599123280.db2.gz KRGPOVNCUDPNCX-UHFFFAOYSA-N 0 2 322.369 0.153 20 0 DCADLN C[C@H](CNC(=O)N1CCC[C@H](CC(=O)[O-])C1)[NH+]1CCOCC1 ZINC000818008701 597056204 /nfs/dbraw/zinc/05/62/04/597056204.db2.gz JYOVCNAXYSZIPT-CHWSQXEVSA-N 0 2 313.398 0.603 20 0 DCADLN CC[C@H]1CN(C(=O)CSCC(=O)[O-])C[C@H]1[NH+]1CCOCC1 ZINC000739267906 597127278 /nfs/dbraw/zinc/12/72/78/597127278.db2.gz REWHBDRBVZEVRD-NWDGAFQWSA-N 0 2 316.423 0.373 20 0 DCADLN CCc1nn(CCCCC(N)=O)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705306 598640311 /nfs/dbraw/zinc/64/03/11/598640311.db2.gz FQKOGAOKXJKBAU-UHFFFAOYSA-N 0 2 319.369 0.204 20 0 DCADLN CC(=O)c1[nH]c(CC(=O)N2CC[NH+](C)CC2)c(C(=O)[O-])c1C ZINC000736180882 599736990 /nfs/dbraw/zinc/73/69/90/599736990.db2.gz JWYDDGZGVZJQKS-UHFFFAOYSA-N 0 2 307.350 0.540 20 0 DCADLN CC[NH+]1CCN(C(=O)Cc2[nH]c(C(C)=O)c(C)c2C(=O)[O-])CC1 ZINC000737116470 599737202 /nfs/dbraw/zinc/73/72/02/599737202.db2.gz WWEKLOBMKILYCU-UHFFFAOYSA-N 0 2 321.377 0.931 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NCCCCCC(=O)[O-] ZINC000736821379 599831558 /nfs/dbraw/zinc/83/15/58/599831558.db2.gz LMOZMAUXHGVGSV-GFCCVEGCSA-N 0 2 301.387 0.651 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NCCCCCC(=O)[O-] ZINC000736821379 599831560 /nfs/dbraw/zinc/83/15/60/599831560.db2.gz LMOZMAUXHGVGSV-GFCCVEGCSA-N 0 2 301.387 0.651 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NCCCCCC(=O)[O-] ZINC000736821381 599831758 /nfs/dbraw/zinc/83/17/58/599831758.db2.gz LMOZMAUXHGVGSV-LBPRGKRZSA-N 0 2 301.387 0.651 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NCCCCCC(=O)[O-] ZINC000736821381 599831759 /nfs/dbraw/zinc/83/17/59/599831759.db2.gz LMOZMAUXHGVGSV-LBPRGKRZSA-N 0 2 301.387 0.651 20 0 DCADLN CCCNC(=O)NC(=O)C[NH2+][C@H](C(=O)[O-])c1ccc(OC)cc1 ZINC000737025566 599859802 /nfs/dbraw/zinc/85/98/02/599859802.db2.gz YMXPAQLEGRMFHT-ZDUSSCGKSA-N 0 2 323.349 0.646 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NC1CCC(C(=O)[O-])CC1 ZINC000736823562 600023662 /nfs/dbraw/zinc/02/36/62/600023662.db2.gz XQCXMPYLPRHRJX-PNESKVBLSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NC1CCC(C(=O)[O-])CC1 ZINC000736823562 600023665 /nfs/dbraw/zinc/02/36/65/600023665.db2.gz XQCXMPYLPRHRJX-PNESKVBLSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000739537772 600114524 /nfs/dbraw/zinc/11/45/24/600114524.db2.gz BWXSRLZJOXCQGI-MISXGVKJSA-N 0 2 314.407 0.031 20 0 DCADLN C[C@@H](NC(=O)C[N@H+](C)C(C)(C)C(=O)[O-])C(=O)N1CCCCC1 ZINC000736642010 600137028 /nfs/dbraw/zinc/13/70/28/600137028.db2.gz WNEHSXZRJOBPRY-LLVKDONJSA-N 0 2 313.398 0.299 20 0 DCADLN C[C@@H](NC(=O)C[N@@H+](C)C(C)(C)C(=O)[O-])C(=O)N1CCCCC1 ZINC000736642010 600137030 /nfs/dbraw/zinc/13/70/30/600137030.db2.gz WNEHSXZRJOBPRY-LLVKDONJSA-N 0 2 313.398 0.299 20 0 DCADLN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000262622086 600221708 /nfs/dbraw/zinc/22/17/08/600221708.db2.gz FHHQVUVTIYBFPH-SRVKXCTJSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000262622086 600221709 /nfs/dbraw/zinc/22/17/09/600221709.db2.gz FHHQVUVTIYBFPH-SRVKXCTJSA-N 0 2 311.382 0.940 20 0 DCADLN CC(C)CCC[C@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000253093126 600230244 /nfs/dbraw/zinc/23/02/44/600230244.db2.gz LYIUYDKNIVMIEI-XQQFMLRXSA-N 0 2 300.399 0.837 20 0 DCADLN CC(C)CCC[C@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000253093126 600230246 /nfs/dbraw/zinc/23/02/46/600230246.db2.gz LYIUYDKNIVMIEI-XQQFMLRXSA-N 0 2 300.399 0.837 20 0 DCADLN CN1CC[N@H+](C)[C@H](CNc2nc(Cl)c(C(=O)[O-])s2)C1 ZINC000388958419 600240031 /nfs/dbraw/zinc/24/00/31/600240031.db2.gz MVQYEDLRXDNXSV-SSDOTTSWSA-N 0 2 304.803 0.574 20 0 DCADLN CN1CC[N@@H+](C)[C@H](CNc2nc(Cl)c(C(=O)[O-])s2)C1 ZINC000388958419 600240033 /nfs/dbraw/zinc/24/00/33/600240033.db2.gz MVQYEDLRXDNXSV-SSDOTTSWSA-N 0 2 304.803 0.574 20 0 DCADLN COC(=O)[C@@H](CC(=O)[O-])NC(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000737563828 600241894 /nfs/dbraw/zinc/24/18/94/600241894.db2.gz DZHSTPRIEKKBKE-LLVKDONJSA-N 0 2 319.317 0.318 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)N2CCC3(C[C@@H]3C(=O)[O-])CC2)C1 ZINC000405346340 600352322 /nfs/dbraw/zinc/35/23/22/600352322.db2.gz URVQOOFIINOSMI-VXGBXAGGSA-N 0 2 311.382 0.213 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)N2CCC3(C[C@@H]3C(=O)[O-])CC2)C1 ZINC000405346340 600352325 /nfs/dbraw/zinc/35/23/25/600352325.db2.gz URVQOOFIINOSMI-VXGBXAGGSA-N 0 2 311.382 0.213 20 0 DCADLN O=C([O-])CCCc1nnc(NC(=O)NCCn2cc[nH+]c2)s1 ZINC000739707630 600631819 /nfs/dbraw/zinc/63/18/19/600631819.db2.gz CVTVBVDECUOABR-UHFFFAOYSA-N 0 2 324.366 0.964 20 0 DCADLN O=C([O-])CC[N@H+](Cn1nc2ccccn2c1=O)C1CCOCC1 ZINC000833235787 600721037 /nfs/dbraw/zinc/72/10/37/600721037.db2.gz CUNAHDTWUOPEHF-UHFFFAOYSA-N 0 2 320.349 0.409 20 0 DCADLN O=C([O-])CC[N@@H+](Cn1nc2ccccn2c1=O)C1CCOCC1 ZINC000833235787 600721039 /nfs/dbraw/zinc/72/10/39/600721039.db2.gz CUNAHDTWUOPEHF-UHFFFAOYSA-N 0 2 320.349 0.409 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000037000783 600770879 /nfs/dbraw/zinc/77/08/79/600770879.db2.gz PGJVEWJHCSPGTM-VIFPVBQESA-N 0 2 312.351 0.287 20 0 DCADLN NC(=[NH+]OCC(=O)NCC(F)(F)F)c1cccc(C(=O)[O-])c1 ZINC000833078169 600809222 /nfs/dbraw/zinc/80/92/22/600809222.db2.gz FRSQDAWHRFTNRZ-UHFFFAOYSA-N 0 2 319.239 0.910 20 0 DCADLN CCc1ccc(N2CC[NH+](CC(=O)NCCC(=O)[O-])CC2)cc1 ZINC000830253844 600850912 /nfs/dbraw/zinc/85/09/12/600850912.db2.gz NXWIPCFPXKVITP-UHFFFAOYSA-N 0 2 319.405 0.962 20 0 DCADLN O=C([O-])CCCNC(=S)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000739677726 600993314 /nfs/dbraw/zinc/99/33/14/600993314.db2.gz KEYYSPZBCSPFGN-NSHDSACASA-N 0 2 301.412 0.132 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+](C)[C@@H]1CCCCNC1=O)C(=O)[O-] ZINC000828707658 600995263 /nfs/dbraw/zinc/99/52/63/600995263.db2.gz HRHBUCVSBUNGKN-DMDPSCGWSA-N 0 2 313.398 0.202 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+](C)[C@@H]1CCCCNC1=O)C(=O)[O-] ZINC000828707658 600995264 /nfs/dbraw/zinc/99/52/64/600995264.db2.gz HRHBUCVSBUNGKN-DMDPSCGWSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+](C)Cc1ccc([S@](C)=O)cc1 ZINC000826000490 601385146 /nfs/dbraw/zinc/38/51/46/601385146.db2.gz PNYQWXWBOCDXCR-CWKPULSASA-N 0 2 312.391 0.445 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+](C)Cc1ccc([S@](C)=O)cc1 ZINC000826000490 601385149 /nfs/dbraw/zinc/38/51/49/601385149.db2.gz PNYQWXWBOCDXCR-CWKPULSASA-N 0 2 312.391 0.445 20 0 DCADLN O=C([O-])Cn1cc(S(=O)(=O)N2CCc3c[nH+]ccc32)cn1 ZINC000833274147 601521532 /nfs/dbraw/zinc/52/15/32/601521532.db2.gz NMQDPITZLULJHP-UHFFFAOYSA-N 0 2 308.319 0.114 20 0 DCADLN COC(=O)CCCc1nc(C[N@@H+]2C[C@H](C)[C@H](C(=O)[O-])C2)no1 ZINC000831156070 601543595 /nfs/dbraw/zinc/54/35/95/601543595.db2.gz WNZOIQNEBSRBAN-VHSXEESVSA-N 0 2 311.338 0.718 20 0 DCADLN COC(=O)CCCc1nc(C[N@H+]2C[C@H](C)[C@H](C(=O)[O-])C2)no1 ZINC000831156070 601543596 /nfs/dbraw/zinc/54/35/96/601543596.db2.gz WNZOIQNEBSRBAN-VHSXEESVSA-N 0 2 311.338 0.718 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2CCc3ccccc3[C@H]2C(=O)[O-])n1 ZINC000833091483 601548220 /nfs/dbraw/zinc/54/82/20/601548220.db2.gz RATSEAAPGMYFDE-NSHDSACASA-N 0 2 300.322 0.220 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2CCc3ccccc3[C@H]2C(=O)[O-])n1 ZINC000833091483 601548221 /nfs/dbraw/zinc/54/82/21/601548221.db2.gz RATSEAAPGMYFDE-NSHDSACASA-N 0 2 300.322 0.220 20 0 DCADLN Cc1cc(=O)n2nc(C[N@@H+]3CCCC[C@@H]3C(=O)[O-])sc2n1 ZINC000035297904 601574996 /nfs/dbraw/zinc/57/49/96/601574996.db2.gz VQQMJHFZJZGDOL-SECBINFHSA-N 0 2 308.363 0.899 20 0 DCADLN Cc1cc(=O)n2nc(C[N@H+]3CCCC[C@@H]3C(=O)[O-])sc2n1 ZINC000035297904 601574999 /nfs/dbraw/zinc/57/49/99/601574999.db2.gz VQQMJHFZJZGDOL-SECBINFHSA-N 0 2 308.363 0.899 20 0 DCADLN COCC[N@H+](C)CN1C(=O)CN(c2cccc(C(=O)[O-])c2)C1=O ZINC000831709982 601875658 /nfs/dbraw/zinc/87/56/58/601875658.db2.gz LTABGXMKHUALKH-UHFFFAOYSA-N 0 2 321.333 0.689 20 0 DCADLN COCC[N@@H+](C)CN1C(=O)CN(c2cccc(C(=O)[O-])c2)C1=O ZINC000831709982 601875661 /nfs/dbraw/zinc/87/56/61/601875661.db2.gz LTABGXMKHUALKH-UHFFFAOYSA-N 0 2 321.333 0.689 20 0 DCADLN O=C([O-])c1ncn(CN2CC[NH+](Cc3cccc(F)c3)CC2)n1 ZINC000833344946 602205353 /nfs/dbraw/zinc/20/53/53/602205353.db2.gz NAJGBPSUFDTLGE-UHFFFAOYSA-N 0 2 319.340 0.891 20 0 DCADLN Cc1nc2sccn2c1C[N@@H+](C)[C@H](C)C(=O)NCC(=O)[O-] ZINC000833006931 602324996 /nfs/dbraw/zinc/32/49/96/602324996.db2.gz KFCUKNCPEDWJOF-SECBINFHSA-N 0 2 310.379 0.725 20 0 DCADLN Cc1nc2sccn2c1C[N@H+](C)[C@H](C)C(=O)NCC(=O)[O-] ZINC000833006931 602325000 /nfs/dbraw/zinc/32/50/00/602325000.db2.gz KFCUKNCPEDWJOF-SECBINFHSA-N 0 2 310.379 0.725 20 0 DCADLN C[C@H](NC(=O)Nc1cc(C(=O)[O-])n(C)c1)[C@H](C)[NH+]1CCOCC1 ZINC000827671462 602373435 /nfs/dbraw/zinc/37/34/35/602373435.db2.gz IEPZTFZERNYMSC-QWRGUYRKSA-N 0 2 324.381 0.954 20 0 DCADLN Cn1cc(NC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)cc1C(=O)[O-] ZINC000833047066 602376492 /nfs/dbraw/zinc/37/64/92/602376492.db2.gz HJBQMDGTEOLAIH-GFCCVEGCSA-N 0 2 322.365 0.662 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@H](C)NC(=O)[O-])nc[nH+]1 ZINC000828593824 603092494 /nfs/dbraw/zinc/09/24/94/603092494.db2.gz KDMDNVIJKUPYAO-NXEZZACHSA-N 0 2 322.369 0.259 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@H](C)NC(=O)[O-])[nH+]cn1 ZINC000828593824 603092497 /nfs/dbraw/zinc/09/24/97/603092497.db2.gz KDMDNVIJKUPYAO-NXEZZACHSA-N 0 2 322.369 0.259 20 0 DCADLN C[C@H]1CC[C@@H](CCC(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)O1 ZINC000825871202 603494941 /nfs/dbraw/zinc/49/49/41/603494941.db2.gz CMUXLRJRTWMNAQ-STQMWFEESA-N 0 2 313.398 0.746 20 0 DCADLN CCCCO[C@H](C)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826842903 603506461 /nfs/dbraw/zinc/50/64/61/603506461.db2.gz ZLKAYHILADPLMA-NEPJUHHUSA-N 0 2 301.387 0.602 20 0 DCADLN CCCCO[C@H](C)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826842903 603506463 /nfs/dbraw/zinc/50/64/63/603506463.db2.gz ZLKAYHILADPLMA-NEPJUHHUSA-N 0 2 301.387 0.602 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])[nH]n1 ZINC000826950261 603509434 /nfs/dbraw/zinc/50/94/34/603509434.db2.gz YEZKTDCWXVCIOF-NSHDSACASA-N 0 2 309.370 0.386 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])[nH]n1 ZINC000826950261 603509437 /nfs/dbraw/zinc/50/94/37/603509437.db2.gz YEZKTDCWXVCIOF-NSHDSACASA-N 0 2 309.370 0.386 20 0 DCADLN Cc1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])c(=O)[nH]1 ZINC000830199445 603514728 /nfs/dbraw/zinc/51/47/28/603514728.db2.gz YJTPIUQFPZQBJW-JTQLQIEISA-N 0 2 308.338 0.119 20 0 DCADLN Cc1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])c(=O)[nH]1 ZINC000830199445 603514729 /nfs/dbraw/zinc/51/47/29/603514729.db2.gz YJTPIUQFPZQBJW-JTQLQIEISA-N 0 2 308.338 0.119 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(C)(C)N1CCN(C(=O)[O-])CC1 ZINC000825055707 603661248 /nfs/dbraw/zinc/66/12/48/603661248.db2.gz MLBKZYGBVYLGPQ-GFCCVEGCSA-N 0 2 323.397 0.462 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)[C@@H](O)c1ccccc1 ZINC000828312874 603754413 /nfs/dbraw/zinc/75/44/13/603754413.db2.gz SFPFYVDPPOPLSM-AWEZNQCLSA-N 0 2 321.377 0.474 20 0 DCADLN O=C([O-])N[C@H]1CCC[N@H+](CN2CC3(CC2=O)CCOCC3)C1 ZINC000832365459 603874500 /nfs/dbraw/zinc/87/45/00/603874500.db2.gz JQXAHZIZFLDGQC-LBPRGKRZSA-N 0 2 311.382 0.705 20 0 DCADLN O=C([O-])N[C@H]1CCC[N@@H+](CN2CC3(CC2=O)CCOCC3)C1 ZINC000832365459 603874504 /nfs/dbraw/zinc/87/45/04/603874504.db2.gz JQXAHZIZFLDGQC-LBPRGKRZSA-N 0 2 311.382 0.705 20 0 DCADLN O=C([O-])NC[C@H]1CCC[N@@H+]1[C@H]1CCN(CC(F)(F)F)C1=O ZINC000832567648 604085864 /nfs/dbraw/zinc/08/58/64/604085864.db2.gz GIBWTZIFONWKJL-BDAKNGLRSA-N 0 2 309.288 0.882 20 0 DCADLN O=C([O-])NC[C@H]1CCC[N@H+]1[C@H]1CCN(CC(F)(F)F)C1=O ZINC000832567648 604085866 /nfs/dbraw/zinc/08/58/66/604085866.db2.gz GIBWTZIFONWKJL-BDAKNGLRSA-N 0 2 309.288 0.882 20 0 DCADLN C[C@@]1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CCN(C(=O)[O-])C1 ZINC000825656939 604344889 /nfs/dbraw/zinc/34/48/89/604344889.db2.gz NKIDYTSXLSXDQQ-SWLSCSKDSA-N 0 2 311.382 0.310 20 0 DCADLN C[N@@H+]1CCN(C(=O)COC2CCCCC2)[C@H](CNC(=O)[O-])C1 ZINC000828500226 604398334 /nfs/dbraw/zinc/39/83/34/604398334.db2.gz HMNQAYUSHVZUAE-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN C[N@H+]1CCN(C(=O)COC2CCCCC2)[C@H](CNC(=O)[O-])C1 ZINC000828500226 604398337 /nfs/dbraw/zinc/39/83/37/604398337.db2.gz HMNQAYUSHVZUAE-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@H](C[N@H+](Cn1nc(CO)n(C)c1=S)C1CC1)C(=O)[O-] ZINC000827456217 604399001 /nfs/dbraw/zinc/39/90/01/604399001.db2.gz SUKHGYGRYDGXEF-MRVPVSSYSA-N 0 2 300.384 0.586 20 0 DCADLN C[C@H](C[N@@H+](Cn1nc(CO)n(C)c1=S)C1CC1)C(=O)[O-] ZINC000827456217 604399003 /nfs/dbraw/zinc/39/90/03/604399003.db2.gz SUKHGYGRYDGXEF-MRVPVSSYSA-N 0 2 300.384 0.586 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc(Cl)n2)[C@H](CNC(=O)[O-])C1 ZINC000828513586 604399918 /nfs/dbraw/zinc/39/99/18/604399918.db2.gz MNEIRWQKHIWWFP-SECBINFHSA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc(Cl)n2)[C@H](CNC(=O)[O-])C1 ZINC000828513586 604399921 /nfs/dbraw/zinc/39/99/21/604399921.db2.gz MNEIRWQKHIWWFP-SECBINFHSA-N 0 2 312.757 0.759 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824267578 604402455 /nfs/dbraw/zinc/40/24/55/604402455.db2.gz CMTFQJLGBWUXNP-VXGBXAGGSA-N 0 2 301.387 0.458 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824267578 604402456 /nfs/dbraw/zinc/40/24/56/604402456.db2.gz CMTFQJLGBWUXNP-VXGBXAGGSA-N 0 2 301.387 0.458 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3c(c2)CCO3)[C@H](CNC(=O)[O-])C1 ZINC000828513190 604409132 /nfs/dbraw/zinc/40/91/32/604409132.db2.gz GTSWGLUXWWBTLP-CYBMUJFWSA-N 0 2 319.361 0.645 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3c(c2)CCO3)[C@H](CNC(=O)[O-])C1 ZINC000828513190 604409134 /nfs/dbraw/zinc/40/91/34/604409134.db2.gz GTSWGLUXWWBTLP-CYBMUJFWSA-N 0 2 319.361 0.645 20 0 DCADLN O=C([O-])[C@]1([NH2+]CC(=O)Nc2cc(F)ccc2F)CCOC1 ZINC000833764190 604488472 /nfs/dbraw/zinc/48/84/72/604488472.db2.gz AYFGKYWXRQMZMR-ZDUSSCGKSA-N 0 2 300.261 0.737 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000826000076 604504146 /nfs/dbraw/zinc/50/41/46/604504146.db2.gz MBWBOBHKFLQUSU-LBPRGKRZSA-N 0 2 323.368 0.533 20 0 DCADLN CC[C@@H](O)CCNC(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000826626238 604517918 /nfs/dbraw/zinc/51/79/18/604517918.db2.gz SYKWMFQQTFUBKM-LLVKDONJSA-N 0 2 301.387 0.338 20 0 DCADLN CC(=O)c1c(C)[nH]c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1C ZINC000833400919 604898690 /nfs/dbraw/zinc/89/86/90/604898690.db2.gz PGQRYVLCEOPFBR-NSHDSACASA-N 0 2 318.333 0.983 20 0 DCADLN CC(=O)c1c(C)[nH]c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1C ZINC000833400919 604898693 /nfs/dbraw/zinc/89/86/93/604898693.db2.gz PGQRYVLCEOPFBR-NSHDSACASA-N 0 2 318.333 0.983 20 0 DCADLN C[C@H](OC[C@H]1CCCO1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833534944 604900336 /nfs/dbraw/zinc/90/03/36/604900336.db2.gz ASHXVUXKQNSYKR-WCQGTBRESA-N 0 2 311.338 0.106 20 0 DCADLN C[C@H](OC[C@H]1CCCO1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833534944 604900339 /nfs/dbraw/zinc/90/03/39/604900339.db2.gz ASHXVUXKQNSYKR-WCQGTBRESA-N 0 2 311.338 0.106 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)o1 ZINC000832985973 604929677 /nfs/dbraw/zinc/92/96/77/604929677.db2.gz SJNSPDIHGUPHJD-IJLUTSLNSA-N 0 2 307.350 0.736 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)o1 ZINC000832985973 604929679 /nfs/dbraw/zinc/92/96/79/604929679.db2.gz SJNSPDIHGUPHJD-IJLUTSLNSA-N 0 2 307.350 0.736 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cn1 ZINC000833309985 604935614 /nfs/dbraw/zinc/93/56/14/604935614.db2.gz PXHKDCGTMDPZEI-LBPRGKRZSA-N 0 2 305.334 0.327 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)[C@@H]1CN(C(=O)[O-])CCO1)C2 ZINC000830800390 604945165 /nfs/dbraw/zinc/94/51/65/604945165.db2.gz YQUWMKIXDNQZJN-NSHDSACASA-N 0 2 308.338 0.221 20 0 DCADLN CN(C)S(=O)(=O)c1cnn(C[N@@H+]2CCC[C@H]2CC(=O)[O-])c1 ZINC000830631653 604980190 /nfs/dbraw/zinc/98/01/90/604980190.db2.gz VQCSIQUHFIBGRW-JTQLQIEISA-N 0 2 316.383 0.030 20 0 DCADLN CN(C)S(=O)(=O)c1cnn(C[N@H+]2CCC[C@H]2CC(=O)[O-])c1 ZINC000830631653 604980193 /nfs/dbraw/zinc/98/01/93/604980193.db2.gz VQCSIQUHFIBGRW-JTQLQIEISA-N 0 2 316.383 0.030 20 0 DCADLN O=C([O-])N1CC(OCCC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)C1 ZINC000834073013 605306904 /nfs/dbraw/zinc/30/69/04/605306904.db2.gz JELNSSZYOJPEEA-GFCCVEGCSA-N 0 2 322.365 0.816 20 0 DCADLN Cn1cncc1[C@H]1CC(=O)N(C[NH+]2CCC(NC(=O)[O-])CC2)C1 ZINC000833959454 605318213 /nfs/dbraw/zinc/31/82/13/605318213.db2.gz VALLETFXHWQIKT-NSHDSACASA-N 0 2 321.381 0.426 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CCC[C@@H](NC(=O)[O-])C2)C1 ZINC000833958710 605318969 /nfs/dbraw/zinc/31/89/69/605318969.db2.gz IHFFFOATXLFUBM-VXGBXAGGSA-N 0 2 321.381 0.426 20 0 DCADLN Cc1cccnc1NC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830595821 605453834 /nfs/dbraw/zinc/45/38/34/605453834.db2.gz VBTRBNPPCBGNLU-LLVKDONJSA-N 0 2 307.354 0.806 20 0 DCADLN Cc1cccnc1NC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830595821 605453836 /nfs/dbraw/zinc/45/38/36/605453836.db2.gz VBTRBNPPCBGNLU-LLVKDONJSA-N 0 2 307.354 0.806 20 0 DCADLN O=C([O-])N1CC[C@@H]([NH+]2CCN(C(=O)c3ccncc3)CC2)C1 ZINC000834084796 605582557 /nfs/dbraw/zinc/58/25/57/605582557.db2.gz OQSCIQOZTSYTAC-CYBMUJFWSA-N 0 2 304.350 0.592 20 0 DCADLN O=C(C[C@@H]1CSCCN1C(=O)[O-])N[C@H]1CCc2[nH+]ccn2C1 ZINC000831408037 605638065 /nfs/dbraw/zinc/63/80/65/605638065.db2.gz KWGUAUDKXBAVJY-WDEREUQCSA-N 0 2 324.406 0.800 20 0 DCADLN NC(=O)C[C@@H](NC(=O)[O-])C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000833982842 605767207 /nfs/dbraw/zinc/76/72/07/605767207.db2.gz OHXVYMUGNPKWAZ-SNVBAGLBSA-N 0 2 317.305 0.527 20 0 DCADLN O=C([O-])N1CC[C@H]([N@H+](CC(=O)Nc2nncs2)C2CC2)C1 ZINC000834004194 605774764 /nfs/dbraw/zinc/77/47/64/605774764.db2.gz QXNHTSPPTADNGH-VIFPVBQESA-N 0 2 311.367 0.693 20 0 DCADLN O=C([O-])N1CC[C@H]([N@@H+](CC(=O)Nc2nncs2)C2CC2)C1 ZINC000834004194 605774767 /nfs/dbraw/zinc/77/47/67/605774767.db2.gz QXNHTSPPTADNGH-VIFPVBQESA-N 0 2 311.367 0.693 20 0 DCADLN C[C@@H](CNC(=O)[O-])CNC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000833808457 605788537 /nfs/dbraw/zinc/78/85/37/605788537.db2.gz OQDUYNCMIANDPC-LLVKDONJSA-N 0 2 316.402 0.300 20 0 DCADLN O=C([O-])N1CCC[C@H](CNC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000834031712 605841892 /nfs/dbraw/zinc/84/18/92/605841892.db2.gz VGXSUABXMGMHBY-NEPJUHHUSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CC[C@H](CCNC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000834039715 605844348 /nfs/dbraw/zinc/84/43/48/605844348.db2.gz WMCWDWCXCCXFHD-NWDGAFQWSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CC[C@@H](C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834017134 605975466 /nfs/dbraw/zinc/97/54/66/605975466.db2.gz ICTWYIFLJAIMAV-VXGBXAGGSA-N 0 2 304.350 0.776 20 0 DCADLN C[C@]1(NC(=O)[O-])CCCC[C@@H]1C(=O)NC[C@H]1C[NH+]2CCN1CC2 ZINC000833824716 606077049 /nfs/dbraw/zinc/07/70/49/606077049.db2.gz HMNAXTGXLNSSFZ-ZENOOKHLSA-N 0 2 324.425 0.319 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)C[C@H]2CN(C(=O)[O-])CCO2)C1 ZINC000833955659 606084335 /nfs/dbraw/zinc/08/43/35/606084335.db2.gz TXOUUIHUCADXEA-NEPJUHHUSA-N 0 2 322.365 0.505 20 0 DCADLN Cc1noc(C)c1CC[N@@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000833932391 606093050 /nfs/dbraw/zinc/09/30/50/606093050.db2.gz YVFGJSANZJZZLO-UHFFFAOYSA-N 0 2 324.381 0.588 20 0 DCADLN Cc1noc(C)c1CC[N@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000833932391 606093054 /nfs/dbraw/zinc/09/30/54/606093054.db2.gz YVFGJSANZJZZLO-UHFFFAOYSA-N 0 2 324.381 0.588 20 0 DCADLN CCC[C@H](NC(=O)c1ccc(-c2nn[nH]n2)nc1)c1nn[nH]n1 ZINC000821020569 606912512 /nfs/dbraw/zinc/91/25/12/606912512.db2.gz FFVRGYBVBJQUSO-VIFPVBQESA-N 0 2 314.313 0.046 20 0 DCADLN CN(C(=O)c1cnon1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646273 665405936 /nfs/dbraw/zinc/40/59/36/665405936.db2.gz BRAYJSNSVGYGRM-POYBYMJQSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C(=O)c1cnon1)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938646273 665405937 /nfs/dbraw/zinc/40/59/37/665405937.db2.gz BRAYJSNSVGYGRM-POYBYMJQSA-N 0 2 324.234 0.643 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001027727987 660659508 /nfs/dbraw/zinc/65/95/08/660659508.db2.gz RKKCOLVENLUTBL-GFCCVEGCSA-N 0 2 301.350 0.905 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001027727987 660659509 /nfs/dbraw/zinc/65/95/09/660659509.db2.gz RKKCOLVENLUTBL-GFCCVEGCSA-N 0 2 301.350 0.905 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cccc(=O)[nH]1 ZINC001027810668 660766513 /nfs/dbraw/zinc/76/65/13/660766513.db2.gz QXLNZWJTNTVZHC-SECBINFHSA-N 0 2 318.337 0.005 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cccc(=O)[nH]1 ZINC001027810668 660766517 /nfs/dbraw/zinc/76/65/17/660766517.db2.gz QXLNZWJTNTVZHC-SECBINFHSA-N 0 2 318.337 0.005 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[C@H]3C[N@H+](CC(N)=O)C[C@H]3C2)c1C ZINC000979602856 660786409 /nfs/dbraw/zinc/78/64/09/660786409.db2.gz PJCSMGCZOGPQTR-YNEHKIRRSA-N 0 2 319.409 0.342 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1C=CC=CC=C1 ZINC001027912846 660911370 /nfs/dbraw/zinc/91/13/70/660911370.db2.gz YWIYQRQGAIKFMT-ZDUSSCGKSA-N 0 2 315.377 0.889 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1C=CC=CC=C1 ZINC001027912846 660911371 /nfs/dbraw/zinc/91/13/71/660911371.db2.gz YWIYQRQGAIKFMT-ZDUSSCGKSA-N 0 2 315.377 0.889 20 0 DCADLN O=S(=O)(Cc1noc2c1CCCC2)NCC(F)(F)CO ZINC000914382022 664775520 /nfs/dbraw/zinc/77/55/20/664775520.db2.gz BOUTURYBHBLJGN-UHFFFAOYSA-N 0 2 310.322 0.600 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1CCC2 ZINC001028038750 661093098 /nfs/dbraw/zinc/09/30/98/661093098.db2.gz BYHODZKZIUFSOF-JRPNMDOOSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1CCC2 ZINC001028038750 661093101 /nfs/dbraw/zinc/09/31/01/661093101.db2.gz BYHODZKZIUFSOF-JRPNMDOOSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCCN([C@@H]2CCNC2=O)CC1 ZINC000981209470 661103709 /nfs/dbraw/zinc/10/37/09/661103709.db2.gz IGRSHJQOYKUGQX-RKDXNWHRSA-N 0 2 311.279 0.310 20 0 DCADLN Cc1ncccc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028107264 661181943 /nfs/dbraw/zinc/18/19/43/661181943.db2.gz MUPFKKPPTJMXBK-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ncccc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028107264 661181945 /nfs/dbraw/zinc/18/19/45/661181945.db2.gz MUPFKKPPTJMXBK-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1coc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000981793761 661218789 /nfs/dbraw/zinc/21/87/89/661218789.db2.gz ALNOZQFZCSRDSB-UHFFFAOYSA-N 0 2 305.338 0.760 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC2(CC2)C1 ZINC001028128833 661224910 /nfs/dbraw/zinc/22/49/10/661224910.db2.gz ZWIOUKRKZMNRLF-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC2(CC2)C1 ZINC001028128833 661224912 /nfs/dbraw/zinc/22/49/12/661224912.db2.gz ZWIOUKRKZMNRLF-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@H]1CCC[N@H+]1CC(=O)N1CCC[NH+](Cc2ccnn2C)CC1 ZINC000981953294 661254534 /nfs/dbraw/zinc/25/45/34/661254534.db2.gz LVYMZCZFUJJVQY-HNNXBMFYSA-N 0 2 319.453 0.939 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)N2CCC[N@@H+]([C@H]3CCN(C)C3=O)CC2)C1 ZINC000981957642 661255712 /nfs/dbraw/zinc/25/57/12/661255712.db2.gz ONZLETDKKLWVLB-GJZGRUSLSA-N 0 2 322.453 0.093 20 0 DCADLN C[C@H]1C[C@@H]1CC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981986570 661266764 /nfs/dbraw/zinc/26/67/64/661266764.db2.gz MFHMRNUAUIRKHZ-NWDGAFQWSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@H]1C[C@@H]1CC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981986570 661266766 /nfs/dbraw/zinc/26/67/66/661266766.db2.gz MFHMRNUAUIRKHZ-NWDGAFQWSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@H](NC(=O)c1ccccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969224969 657597375 /nfs/dbraw/zinc/59/73/75/657597375.db2.gz PDLKDIKHPHYMRV-JTQLQIEISA-N 0 2 301.350 0.761 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC001031788633 665500955 /nfs/dbraw/zinc/50/09/55/665500955.db2.gz QBOLDDPUSIIOMO-TUAOUCFPSA-N 0 2 305.382 0.495 20 0 DCADLN Cn1ccnc1C[NH+]1CCC[C@@H](CNC(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001023273549 657689748 /nfs/dbraw/zinc/68/97/48/657689748.db2.gz NTXZNWSLDHMVDN-LSDHHAIUSA-N 0 2 319.453 0.843 20 0 DCADLN Cc1cnoc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970681163 657781229 /nfs/dbraw/zinc/78/12/29/657781229.db2.gz IPQILRPBCMAJIL-MRVPVSSYSA-N 0 2 306.326 0.057 20 0 DCADLN C[C@@H](NC(=O)c1cncc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969486409 657926141 /nfs/dbraw/zinc/92/61/41/657926141.db2.gz HEEHKTDMURWTQH-MRVPVSSYSA-N 0 2 320.328 0.295 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC000969544089 657971468 /nfs/dbraw/zinc/97/14/68/657971468.db2.gz UZAJNGOTRPCVSK-VIFPVBQESA-N 0 2 305.338 0.662 20 0 DCADLN CC[C@H]1CCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031953343 666005708 /nfs/dbraw/zinc/00/57/08/666005708.db2.gz IUPMQEBWPIUZBC-RYUDHWBXSA-N 0 2 307.398 0.885 20 0 DCADLN CCc1cnc(C[NH+]2CC([C@H](C)NC(=O)c3cnn[n-]3)C2)o1 ZINC000970023513 658371142 /nfs/dbraw/zinc/37/11/42/658371142.db2.gz IQQZLDCQZGNBHX-VIFPVBQESA-N 0 2 304.354 0.605 20 0 DCADLN CCc1cnc(CN2CC([C@H](C)NC(=O)c3cnn[nH]3)C2)o1 ZINC000970023513 658371153 /nfs/dbraw/zinc/37/11/53/658371153.db2.gz IQQZLDCQZGNBHX-VIFPVBQESA-N 0 2 304.354 0.605 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cnns1 ZINC001024349227 658581951 /nfs/dbraw/zinc/58/19/51/658581951.db2.gz ZCRVHFXTUDMDIR-MRVPVSSYSA-N 0 2 323.382 0.146 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cnns1 ZINC001024349228 658582468 /nfs/dbraw/zinc/58/24/68/658582468.db2.gz ZCRVHFXTUDMDIR-QMMMGPOBSA-N 0 2 323.382 0.146 20 0 DCADLN O=C([C@@H]1CC12CCC2)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032653705 665591982 /nfs/dbraw/zinc/59/19/82/665591982.db2.gz CEGXIFWDYRGWJH-DCAQKATOSA-N 0 2 303.366 0.486 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@]3(C2)C[N@H+](CC(C)C)CCO3)c1[O-] ZINC000972342157 658593937 /nfs/dbraw/zinc/59/39/37/658593937.db2.gz KHUNQERCXMAMKU-MRXNPFEDSA-N 0 2 322.409 0.997 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@]3(C2)C[N@@H+](CC(C)C)CCO3)c1[O-] ZINC000972342157 658593940 /nfs/dbraw/zinc/59/39/40/658593940.db2.gz KHUNQERCXMAMKU-MRXNPFEDSA-N 0 2 322.409 0.997 20 0 DCADLN Cn1ccc(C(=O)NC[C@@H]2CCCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001024395210 658600135 /nfs/dbraw/zinc/60/01/35/658600135.db2.gz SEOOGSCOBPPWSU-LBPRGKRZSA-N 0 2 318.381 0.633 20 0 DCADLN Cn1ccc(C(=O)NC[C@@H]2CCCC[N@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001024395210 658600140 /nfs/dbraw/zinc/60/01/40/658600140.db2.gz SEOOGSCOBPPWSU-LBPRGKRZSA-N 0 2 318.381 0.633 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1nccs1 ZINC001024726312 658782160 /nfs/dbraw/zinc/78/21/60/658782160.db2.gz QNQFWBXVMUBKLR-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN CN(Cc1cn(C)nn1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575558 658824553 /nfs/dbraw/zinc/82/45/53/658824553.db2.gz FGOCPYGCRJMMDY-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN Cc1cc(F)ccc1S(=O)(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC000035680390 659081217 /nfs/dbraw/zinc/08/12/17/659081217.db2.gz WGBHUSPOTFPXGI-UHFFFAOYSA-N 0 2 316.354 0.525 20 0 DCADLN CC[C@@H](C(=O)N[C@](C)(COC)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000909365650 659468680 /nfs/dbraw/zinc/46/86/80/659468680.db2.gz SPBPLRPDVQTXJE-TYNCELHUSA-N 0 2 316.398 0.482 20 0 DCADLN CC[C@@H](C(=O)N[C@](C)(COC)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000909365650 659468683 /nfs/dbraw/zinc/46/86/83/659468683.db2.gz SPBPLRPDVQTXJE-TYNCELHUSA-N 0 2 316.398 0.482 20 0 DCADLN Cc1cc(C[NH2+]C[C@H]2CCN(C(=O)[C@@H]3C[N@@H+](C)CCO3)C2)no1 ZINC001026749264 659504737 /nfs/dbraw/zinc/50/47/37/659504737.db2.gz DUGCFZBWPKYRNB-HIFRSBDPSA-N 0 2 322.409 0.252 20 0 DCADLN CC1(C)C[N@H+](CCCO)C[C@@H]1NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000974755742 659659752 /nfs/dbraw/zinc/65/97/52/659659752.db2.gz WZXMLKHJLOAPEE-HIFRSBDPSA-N 0 2 320.437 0.655 20 0 DCADLN Cc1nnc([C@H](C)N2C[C@H](NC(=O)c3cnn[nH]3)C(C)(C)C2)[nH]1 ZINC000974788964 659671160 /nfs/dbraw/zinc/67/11/60/659671160.db2.gz XOJUIQCVVAJKSA-KWQFWETISA-N 0 2 318.385 0.433 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1csnn1 ZINC000974902601 659726612 /nfs/dbraw/zinc/72/66/12/659726612.db2.gz KQRUSYQTKHBETF-MRVPVSSYSA-N 0 2 323.382 0.002 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1csnn1 ZINC000974902604 659726770 /nfs/dbraw/zinc/72/67/70/659726770.db2.gz KQRUSYQTKHBETF-QMMMGPOBSA-N 0 2 323.382 0.002 20 0 DCADLN O=C([O-])C1(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)CCSCC1 ZINC000909346006 659799826 /nfs/dbraw/zinc/79/98/26/659799826.db2.gz CMNBFUQHCKVGSP-SNVBAGLBSA-N 0 2 309.391 0.912 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(Cl)no1 ZINC001038945048 661317446 /nfs/dbraw/zinc/31/74/46/661317446.db2.gz OSFPUFKHPBIXOF-LURJTMIESA-N 0 2 312.717 0.156 20 0 DCADLN CCCc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001031888799 665770824 /nfs/dbraw/zinc/77/08/24/665770824.db2.gz MWCAYLPMRXILGJ-UHFFFAOYSA-N 0 2 319.369 0.048 20 0 DCADLN C/C=C(/C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001042449584 661679123 /nfs/dbraw/zinc/67/91/23/661679123.db2.gz GQDCFYCXLPIDJD-LSFDQVSLSA-N 0 2 312.263 0.543 20 0 DCADLN C/C=C(/C)C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001042449584 661679125 /nfs/dbraw/zinc/67/91/25/661679125.db2.gz GQDCFYCXLPIDJD-LSFDQVSLSA-N 0 2 312.263 0.543 20 0 DCADLN O=C(NC[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C1)c1nc[nH]n1 ZINC001028876062 661860458 /nfs/dbraw/zinc/86/04/58/661860458.db2.gz PNENZTFCXPEPNO-SNVBAGLBSA-N 0 2 304.329 0.591 20 0 DCADLN O=C(NC[C@H]1CC[N@H+](Cc2ccc(F)cn2)C1)c1nc[nH]n1 ZINC001028876062 661860461 /nfs/dbraw/zinc/86/04/61/661860461.db2.gz PNENZTFCXPEPNO-SNVBAGLBSA-N 0 2 304.329 0.591 20 0 DCADLN CC(C)NC(=O)CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000788266763 661975109 /nfs/dbraw/zinc/97/51/09/661975109.db2.gz QFJGTJPRYRSBAW-SNVBAGLBSA-N 0 2 313.295 0.556 20 0 DCADLN CC(C)NC(=O)CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000788266763 661975111 /nfs/dbraw/zinc/97/51/11/661975111.db2.gz QFJGTJPRYRSBAW-SNVBAGLBSA-N 0 2 313.295 0.556 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CCCC1 ZINC001000370199 665829317 /nfs/dbraw/zinc/82/93/17/665829317.db2.gz LXQUYODLNBSAPF-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CCCC1 ZINC001000370199 665829320 /nfs/dbraw/zinc/82/93/20/665829320.db2.gz LXQUYODLNBSAPF-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN C/C(=C\C(=O)N(C[C@@H](C)C(=O)[O-])C1CC1)C[NH+]1CCOCC1 ZINC000909453072 662112194 /nfs/dbraw/zinc/11/21/94/662112194.db2.gz CEESTJMWQNCPHW-CNELAYHGSA-N 0 2 310.394 0.977 20 0 DCADLN Cc1cc(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)no1 ZINC001000422530 665836923 /nfs/dbraw/zinc/83/69/23/665836923.db2.gz GKCDAVXSXDTLLF-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN Cc1cc(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)no1 ZINC001000422530 665836924 /nfs/dbraw/zinc/83/69/24/665836924.db2.gz GKCDAVXSXDTLLF-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cccnn1 ZINC000951801394 665843452 /nfs/dbraw/zinc/84/34/52/665843452.db2.gz LXHBKCLNWRCHLY-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cccnn1 ZINC000951801394 665843457 /nfs/dbraw/zinc/84/34/57/665843457.db2.gz LXHBKCLNWRCHLY-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000485651 665858057 /nfs/dbraw/zinc/85/80/57/665858057.db2.gz ZVXJTPMAWIXESB-VXGBXAGGSA-N 0 2 321.381 0.184 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000485651 665858058 /nfs/dbraw/zinc/85/80/58/665858058.db2.gz ZVXJTPMAWIXESB-VXGBXAGGSA-N 0 2 321.381 0.184 20 0 DCADLN CCO[C@@H]1C[C@](NC(=O)Cc2c[nH+]cn2C)(C(=O)[O-])C1(C)C ZINC000909603666 662475834 /nfs/dbraw/zinc/47/58/34/662475834.db2.gz PTYOQBAYZVVCOX-ABAIWWIYSA-N 0 2 309.366 0.737 20 0 DCADLN C[C@@H]1[C@H]([NH2+]Cc2cncn2C)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC000986215907 662571140 /nfs/dbraw/zinc/57/11/40/662571140.db2.gz UUIAONCDXAXJQD-TZMCWYRMSA-N 0 2 316.409 0.855 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[N@H+](C2CC2)C[C@@H]1C ZINC000866953259 662662270 /nfs/dbraw/zinc/66/22/70/662662270.db2.gz IWODOIHZODJOAP-CMPLNLGQSA-N 0 2 323.484 0.811 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC000866953261 662662153 /nfs/dbraw/zinc/66/21/53/662662153.db2.gz IWODOIHZODJOAP-PWSUYJOCSA-N 0 2 323.484 0.811 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[N@H+](C2CC2)C[C@H]1C ZINC000866953261 662662154 /nfs/dbraw/zinc/66/21/54/662662154.db2.gz IWODOIHZODJOAP-PWSUYJOCSA-N 0 2 323.484 0.811 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[N@@H+](C2CC2)C[C@@H]1C ZINC000866953259 662662269 /nfs/dbraw/zinc/66/22/69/662662269.db2.gz IWODOIHZODJOAP-CMPLNLGQSA-N 0 2 323.484 0.811 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3nc[nH]n3)C[C@@H]2C)ncn1 ZINC000947829365 662709866 /nfs/dbraw/zinc/70/98/66/662709866.db2.gz UMTCLLOOCSQTCQ-RYUDHWBXSA-N 0 2 315.381 0.686 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC000988631939 662808040 /nfs/dbraw/zinc/80/80/40/662808040.db2.gz IXNBMWNTCNFJEO-OIBJUYFYSA-N 0 2 319.369 0.243 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937774074 662821705 /nfs/dbraw/zinc/82/17/05/662821705.db2.gz KYGRQTIQEXPXAY-WCBMZHEXSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000937774074 662821706 /nfs/dbraw/zinc/82/17/06/662821706.db2.gz KYGRQTIQEXPXAY-WCBMZHEXSA-N 0 2 322.262 0.570 20 0 DCADLN COc1cccc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1OC ZINC000899027789 663118449 /nfs/dbraw/zinc/11/84/49/663118449.db2.gz ZBOIGETXVUAIHD-QMMMGPOBSA-N 0 2 307.306 0.631 20 0 DCADLN COc1cccc(OC)c1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC000899028836 663118633 /nfs/dbraw/zinc/11/86/33/663118633.db2.gz IWNWTILLCDSQHV-QMMMGPOBSA-N 0 2 307.306 0.631 20 0 DCADLN O=C(NCCN1CCNC1=O)C1=NN(c2ccccc2)CC1=O ZINC000899473154 663147070 /nfs/dbraw/zinc/14/70/70/663147070.db2.gz NPJVONMDLCVEKC-UHFFFAOYSA-N 0 2 315.333 0.333 20 0 DCADLN C[C@@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@H]1CN(C)CC[N@@H+]1C ZINC000900811686 663283416 /nfs/dbraw/zinc/28/34/16/663283416.db2.gz NBTNCEAYNBZSPR-MWLCHTKSSA-N 0 2 319.365 0.293 20 0 DCADLN C[C@@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@H]1CN(C)CC[N@H+]1C ZINC000900811686 663283417 /nfs/dbraw/zinc/28/34/17/663283417.db2.gz NBTNCEAYNBZSPR-MWLCHTKSSA-N 0 2 319.365 0.293 20 0 DCADLN COCC[C@@H]([NH3+])C(=O)[N-]S(=O)(=O)C1=Cc2ccccc2CC1 ZINC000901014844 663301621 /nfs/dbraw/zinc/30/16/21/663301621.db2.gz WUHGIAABMDTZSL-CQSZACIVSA-N 0 2 324.402 0.784 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)c1cccn(CC(=O)[O-])c1=O ZINC000901622487 663356525 /nfs/dbraw/zinc/35/65/25/663356525.db2.gz OCFQUQHFLJCBGD-UHFFFAOYSA-N 0 2 318.333 0.292 20 0 DCADLN Cc1cn(C(C)(C)C(=O)NCC2(C(=O)[O-])CCOCC2)c[nH+]1 ZINC000901703450 663361799 /nfs/dbraw/zinc/36/17/99/663361799.db2.gz UOFWDLGJCUPZQM-UHFFFAOYSA-N 0 2 309.366 0.924 20 0 DCADLN C[C@H](NC(=O)[C@H](C)[NH2+]Cc1ccn(-c2ccccc2)n1)C(=O)[O-] ZINC000901743483 663365908 /nfs/dbraw/zinc/36/59/08/663365908.db2.gz MOYKFSNNBJIULH-RYUDHWBXSA-N 0 2 316.361 0.940 20 0 DCADLN COc1cccc(C[NH2+]Cc2cn(CC(=O)[O-])nn2)c1OC ZINC000901804334 663368465 /nfs/dbraw/zinc/36/84/65/663368465.db2.gz UTWUNPVACLMYLP-UHFFFAOYSA-N 0 2 306.322 0.670 20 0 DCADLN COCCOCCS(=O)(=O)Nc1nn(C)c2ccccc21 ZINC000901985572 663376846 /nfs/dbraw/zinc/37/68/46/663376846.db2.gz ATQFWPVMWKJPOF-UHFFFAOYSA-N 0 2 313.379 0.978 20 0 DCADLN CN(C)CC(N)=NOCC(=O)NCc1ccc2c(c1)OCO2 ZINC000902613368 663422410 /nfs/dbraw/zinc/42/24/10/663422410.db2.gz QJBUXIYTHYJZPZ-UHFFFAOYSA-N 0 2 308.338 0.092 20 0 DCADLN C[C@@H]1CCCC[C@H]1OCCNC(=O)CON=C(N)CN(C)C ZINC000902612963 663422412 /nfs/dbraw/zinc/42/24/12/663422412.db2.gz MAUCETVLGQDTIP-CHWSQXEVSA-N 0 2 314.430 0.758 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C(=O)[O-])nc1 ZINC000902840788 663436687 /nfs/dbraw/zinc/43/66/87/663436687.db2.gz GYXUYBPCIKDUHF-LBPRGKRZSA-N 0 2 307.350 0.620 20 0 DCADLN CCc1cnc(NS(=O)(=O)N=[S@](C)(=O)N(C)C)s1 ZINC000903605523 663469074 /nfs/dbraw/zinc/46/90/74/663469074.db2.gz PFYQHLULMAJGKU-KRWDZBQOSA-N 0 2 312.442 0.937 20 0 DCADLN CCOC(=O)[C@@H]1C[C@H]1C[N@H+]1CCC[C@H](N2CC[NH2+]CC2=O)C1 ZINC000903744946 663479703 /nfs/dbraw/zinc/47/97/03/663479703.db2.gz ZVTHWOANBXNSEF-MELADBBJSA-N 0 2 309.410 0.082 20 0 DCADLN O=C([O-])[C@]1(O)CCN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC000906150001 663602196 /nfs/dbraw/zinc/60/21/96/663602196.db2.gz IXNZYSVVRKGEOF-ZDUSSCGKSA-N 0 2 310.379 0.056 20 0 DCADLN O=C([O-])[C@]1(O)CCN(c2cc(N3CCSCC3)nc[nH+]2)C1 ZINC000906150001 663602198 /nfs/dbraw/zinc/60/21/98/663602198.db2.gz IXNZYSVVRKGEOF-ZDUSSCGKSA-N 0 2 310.379 0.056 20 0 DCADLN Cn1cc(S(=O)(=O)N2CC[N@H+](C)CC2(C)C)cc1C(=O)[O-] ZINC000906913837 663650908 /nfs/dbraw/zinc/65/09/08/663650908.db2.gz LPVUGODSAPKASR-UHFFFAOYSA-N 0 2 315.395 0.438 20 0 DCADLN Cn1cc(S(=O)(=O)N2CC[N@@H+](C)CC2(C)C)cc1C(=O)[O-] ZINC000906913837 663650910 /nfs/dbraw/zinc/65/09/10/663650910.db2.gz LPVUGODSAPKASR-UHFFFAOYSA-N 0 2 315.395 0.438 20 0 DCADLN C[C@H]1CCc2n[nH]c(C(=O)NCCCc3cc(=O)[nH][nH]3)c2C1 ZINC000908230916 663744932 /nfs/dbraw/zinc/74/49/32/663744932.db2.gz DFWHXPVKCLJUQM-VIFPVBQESA-N 0 2 303.366 0.920 20 0 DCADLN CO[C@@H]1CCN(C(=O)NCCCCn2cc[nH+]c2)[C@@H](C(=O)[O-])C1 ZINC000908862503 663772597 /nfs/dbraw/zinc/77/25/97/663772597.db2.gz NYTBQVKTQYEMPK-CHWSQXEVSA-N 0 2 324.381 0.937 20 0 DCADLN C/C(=C\C(=O)N(CCC(=O)[O-])CC1CC1)C[NH+]1CCOCC1 ZINC000908876932 663773297 /nfs/dbraw/zinc/77/32/97/663773297.db2.gz GTVZJECTZRJLDT-JLHYYAGUSA-N 0 2 310.394 0.978 20 0 DCADLN C[C@@H](C(=O)[O-])N(C(=O)NCC[N@@H+]1CCOCC1(C)C)C1CC1 ZINC000908937536 663775602 /nfs/dbraw/zinc/77/56/02/663775602.db2.gz UGUYBCQBNUZBIT-NSHDSACASA-N 0 2 313.398 0.744 20 0 DCADLN C[C@@H](C(=O)[O-])N(C(=O)NCC[N@H+]1CCOCC1(C)C)C1CC1 ZINC000908937536 663775603 /nfs/dbraw/zinc/77/56/03/663775603.db2.gz UGUYBCQBNUZBIT-NSHDSACASA-N 0 2 313.398 0.744 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)CNc1cccc[nH+]1 ZINC000908989848 663778027 /nfs/dbraw/zinc/77/80/27/663778027.db2.gz RXPBFEKZRQTXPT-LBPRGKRZSA-N 0 2 307.350 0.976 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000909059730 663780294 /nfs/dbraw/zinc/78/02/94/663780294.db2.gz OVYLVKRIFOPSDE-CQSZACIVSA-N 0 2 315.329 0.727 20 0 DCADLN CC[C@@H](C(=O)N1CC[C@@](F)(C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000909310170 663793906 /nfs/dbraw/zinc/79/39/06/663793906.db2.gz XLTOLGGGJKPPGV-XUJVJEKNSA-N 0 2 316.373 0.901 20 0 DCADLN CC[C@@H](C(=O)N1CC[C@@](F)(C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000909310170 663793907 /nfs/dbraw/zinc/79/39/07/663793907.db2.gz XLTOLGGGJKPPGV-XUJVJEKNSA-N 0 2 316.373 0.901 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)[C@@H]2CCn3cc[nH+]c3C2)CCCOC1 ZINC000909691340 663796639 /nfs/dbraw/zinc/79/66/39/663796639.db2.gz GTRRDAJCZAQHEU-ABAIWWIYSA-N 0 2 307.350 0.586 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC000909792088 663805585 /nfs/dbraw/zinc/80/55/85/663805585.db2.gz HDLNSRKVTIJVFZ-HNNXBMFYSA-N 0 2 315.329 0.840 20 0 DCADLN COc1cccc(C[C@H](NC(=O)Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC000910208669 663857300 /nfs/dbraw/zinc/85/73/00/663857300.db2.gz FZABNADXJCKZTA-ZDUSSCGKSA-N 0 2 303.318 0.704 20 0 DCADLN C/C(=C\C(=O)N1C[C@@H](C)[C@](C)(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC000910260096 663857770 /nfs/dbraw/zinc/85/77/70/663857770.db2.gz HPBIYIVRBCISAV-VJNRTPOASA-N 0 2 310.394 0.834 20 0 DCADLN O=C([O-])CS[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C(F)(F)F ZINC000910350228 663876293 /nfs/dbraw/zinc/87/62/93/663876293.db2.gz OGBUHYPSMIGZBC-ZETCQYMHSA-N 0 2 311.285 0.817 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1CNc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000910472010 663888990 /nfs/dbraw/zinc/88/89/90/663888990.db2.gz WHFJASDTVFGQLG-WOPDTQHZSA-N 0 2 306.366 0.818 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1CNc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000910472010 663888993 /nfs/dbraw/zinc/88/89/93/663888993.db2.gz WHFJASDTVFGQLG-WOPDTQHZSA-N 0 2 306.366 0.818 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)Nc1cc(CO)ccn1 ZINC000911240063 664009730 /nfs/dbraw/zinc/00/97/30/664009730.db2.gz OBIWOYNPPLXJRE-UHFFFAOYSA-N 0 2 305.256 0.970 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000911334635 664030132 /nfs/dbraw/zinc/03/01/32/664030132.db2.gz GSHNNKAKEFLZMP-SNVBAGLBSA-N 0 2 303.322 0.792 20 0 DCADLN O=C([O-])c1ccn(CC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)n1 ZINC000911334629 664030260 /nfs/dbraw/zinc/03/02/60/664030260.db2.gz GMXFUGSRKUVMMA-NSHDSACASA-N 0 2 303.322 0.642 20 0 DCADLN CS(=O)(=O)CC1(NC(=O)c2cccc(Cl)c2O)COC1 ZINC000912259887 664155229 /nfs/dbraw/zinc/15/52/29/664155229.db2.gz ZGYSPTCCKXAOQN-UHFFFAOYSA-N 0 2 319.766 0.589 20 0 DCADLN CN1CCO[C@@H](C(=O)Nc2nccc(Br)c2O)C1 ZINC000913026668 664255936 /nfs/dbraw/zinc/25/59/36/664255936.db2.gz YYNUIEYBOAGCBY-MRVPVSSYSA-N 0 2 316.155 0.819 20 0 DCADLN CCn1cc(CC[NH+]2CC(NC(=O)c3[nH]nc(C)c3[O-])C2)cn1 ZINC001030222229 664479079 /nfs/dbraw/zinc/47/90/79/664479079.db2.gz KVRKZKDEWRYCMZ-UHFFFAOYSA-N 0 2 318.381 0.297 20 0 DCADLN Cn1c[nH+]cc1CN1CC(NC(=O)C(C)(C)[NH+]2CCCCC2)C1 ZINC001030309413 664508527 /nfs/dbraw/zinc/50/85/27/664508527.db2.gz JKGXZSITSDNOAB-UHFFFAOYSA-N 0 2 319.453 0.985 20 0 DCADLN CCC[N@@H+]1CCC[C@H]1C(=O)NC1CN(Cc2c[nH+]cn2C)C1 ZINC001030400924 664530330 /nfs/dbraw/zinc/53/03/30/664530330.db2.gz IVHPPGUGXLPLCT-HNNXBMFYSA-N 0 2 305.426 0.595 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC=CCCC1 ZINC001030512365 664573215 /nfs/dbraw/zinc/57/32/15/664573215.db2.gz UICAWCNWJBLPNZ-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1c1ccsc1 ZINC001030523610 664577085 /nfs/dbraw/zinc/57/70/85/664577085.db2.gz OLDUQGSSXCJGKW-WDEREUQCSA-N 0 2 319.390 0.676 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCCC1(F)F ZINC001030741236 664651891 /nfs/dbraw/zinc/65/18/91/664651891.db2.gz VIHDKCROTQTGIV-SECBINFHSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCCc1ccccc1 ZINC000730512572 664783432 /nfs/dbraw/zinc/78/34/32/664783432.db2.gz NFWFERMKIHOMRO-UHFFFAOYSA-N 0 2 311.345 0.820 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001031068614 664783982 /nfs/dbraw/zinc/78/39/82/664783982.db2.gz LWXPIEFCUKIMEO-SECBINFHSA-N 0 2 305.338 0.516 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCOC1CCCCC1 ZINC000730537724 664790841 /nfs/dbraw/zinc/79/08/41/664790841.db2.gz NVEPLOSXTSMBHT-UHFFFAOYSA-N 0 2 319.365 0.537 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]1C1CCC1 ZINC000730544780 664791475 /nfs/dbraw/zinc/79/14/75/664791475.db2.gz ZHPXZWJAFZKTEO-LBPRGKRZSA-N 0 2 301.350 0.862 20 0 DCADLN C[C@@H]1C[C@@H]1c1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]1 ZINC000730587805 664795309 /nfs/dbraw/zinc/79/53/09/664795309.db2.gz DXFMBZZLAIEDJP-SFYZADRCSA-N 0 2 313.321 0.556 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccon2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993097382 664807542 /nfs/dbraw/zinc/80/75/42/664807542.db2.gz STMGGRINLQGMNZ-DTWKUNHWSA-N 0 2 306.326 0.281 20 0 DCADLN CC[C@H](NC(=O)C(F)C(F)(F)F)C(=O)N1CCOCC1 ZINC000764616121 664874908 /nfs/dbraw/zinc/87/49/08/664874908.db2.gz ZUQCCGXMQLHAFO-YUMQZZPRSA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C(=O)N1CCOCC1 ZINC000764616121 664874911 /nfs/dbraw/zinc/87/49/11/664874911.db2.gz ZUQCCGXMQLHAFO-YUMQZZPRSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccnnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993881548 665012732 /nfs/dbraw/zinc/01/27/32/665012732.db2.gz VXDVIYXJJBUFEA-KOLCDFICSA-N 0 2 317.353 0.083 20 0 DCADLN CCc1nc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001007159191 665075578 /nfs/dbraw/zinc/07/55/78/665075578.db2.gz AQKNOYQQNRXLEN-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccc[nH]2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994462995 665110565 /nfs/dbraw/zinc/11/05/65/665110565.db2.gz LOLPJKQMVJMRAA-PWSUYJOCSA-N 0 2 318.381 0.550 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccc[nH]2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994462995 665110567 /nfs/dbraw/zinc/11/05/67/665110567.db2.gz LOLPJKQMVJMRAA-PWSUYJOCSA-N 0 2 318.381 0.550 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccsn1 ZINC000994483983 665115630 /nfs/dbraw/zinc/11/56/30/665115630.db2.gz KHFCJXVVHFDSMZ-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccsn1 ZINC000994483983 665115632 /nfs/dbraw/zinc/11/56/32/665115632.db2.gz KHFCJXVVHFDSMZ-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN Cc1[nH]nc(C(=O)NCC2C[NH+](Cc3ccnn3C)C2)c1C ZINC001031589188 665215661 /nfs/dbraw/zinc/21/56/61/665215661.db2.gz KMPJUFMHQKRHQI-UHFFFAOYSA-N 0 2 302.382 0.622 20 0 DCADLN O=c1[nH]c2ccc(S(=O)(=O)Nc3cnn(CCO)c3)cc2[nH]1 ZINC000920245046 665264958 /nfs/dbraw/zinc/26/49/58/665264958.db2.gz KOFISZQPSBJWAA-UHFFFAOYSA-N 0 2 323.334 0.258 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC000938501475 665380319 /nfs/dbraw/zinc/38/03/19/665380319.db2.gz HDXPNSYIJIQFJV-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccncn1 ZINC000938501475 665380321 /nfs/dbraw/zinc/38/03/21/665380321.db2.gz HDXPNSYIJIQFJV-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN CC(C)[NH+]1CCO[C@H](C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCCF)C1 ZINC001032587866 665380773 /nfs/dbraw/zinc/38/07/73/665380773.db2.gz WYFCHZJUJKCCTI-KKUMJFAQSA-N 0 2 313.417 0.740 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC(F)CC1 ZINC001031951828 666003480 /nfs/dbraw/zinc/00/34/80/666003480.db2.gz PUPXTHPKBXKGDB-UHFFFAOYSA-N 0 2 311.361 0.587 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC001001536213 666069413 /nfs/dbraw/zinc/06/94/13/666069413.db2.gz GVDOATRPITVXOM-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cncnc1 ZINC001001536213 666069415 /nfs/dbraw/zinc/06/94/15/666069415.db2.gz GVDOATRPITVXOM-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN Cc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(F)c1 ZINC001032002495 666126924 /nfs/dbraw/zinc/12/69/24/666126924.db2.gz MKAZXVQTDTWQNM-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN C[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)n1cccn1 ZINC001002370054 666161262 /nfs/dbraw/zinc/16/12/62/666161262.db2.gz HBCHNFIQILCSHN-SNVBAGLBSA-N 0 2 319.369 0.049 20 0 DCADLN CCn1nccc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002672230 666203655 /nfs/dbraw/zinc/20/36/55/666203655.db2.gz WVLPCZMDSSVRMY-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN C[C@@H]1OCCC[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002690065 666206521 /nfs/dbraw/zinc/20/65/21/666206521.db2.gz PULNYWUHAVBZTG-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc[nH]c1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001003132616 666276830 /nfs/dbraw/zinc/27/68/30/666276830.db2.gz HCZKUOAZKYMDBF-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1nc[nH]c1C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001003132616 666276831 /nfs/dbraw/zinc/27/68/31/666276831.db2.gz HCZKUOAZKYMDBF-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN CN(C(=O)C1CC(C)(C)C1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033052189 666466598 /nfs/dbraw/zinc/46/65/98/666466598.db2.gz UCAJWMAYEAIZFD-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)C1CC(C)(C)C1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033052189 666466600 /nfs/dbraw/zinc/46/66/00/666466600.db2.gz UCAJWMAYEAIZFD-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)N1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000945398735 666608366 /nfs/dbraw/zinc/60/83/66/666608366.db2.gz JUBXUAPZPNLWAO-ZDUSSCGKSA-N 0 2 319.409 0.154 20 0 DCADLN CO[C@@H](C)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001033219124 666632429 /nfs/dbraw/zinc/63/24/29/666632429.db2.gz FSRRTERXTNYENO-STQMWFEESA-N 0 2 324.425 0.917 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033442652 666715941 /nfs/dbraw/zinc/71/59/41/666715941.db2.gz PWRQGAPZPBJRGQ-KKOKHZNYSA-N 0 2 321.381 0.111 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033442652 666715943 /nfs/dbraw/zinc/71/59/43/666715943.db2.gz PWRQGAPZPBJRGQ-KKOKHZNYSA-N 0 2 321.381 0.111 20 0 DCADLN Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC001033520075 666740214 /nfs/dbraw/zinc/74/02/14/666740214.db2.gz AJVXUXJMLIRBTH-LBPRGKRZSA-N 0 2 316.365 0.560 20 0 DCADLN Cc1nc(C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001005284460 666796937 /nfs/dbraw/zinc/79/69/37/666796937.db2.gz DCXLSVMCIWIAFV-UHFFFAOYSA-N 0 2 320.353 0.543 20 0 DCADLN CCn1cnc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000996492161 666800668 /nfs/dbraw/zinc/80/06/68/666800668.db2.gz MUUAGVGMVWLBEK-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CCn1cnc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC000996492161 666800671 /nfs/dbraw/zinc/80/06/71/666800671.db2.gz MUUAGVGMVWLBEK-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CN(C(=O)Cc1ccoc1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005299950 666804723 /nfs/dbraw/zinc/80/47/23/666804723.db2.gz NFLPGRMGLKZCPV-UHFFFAOYSA-N 0 2 319.365 0.769 20 0 DCADLN CCN(C(=O)c1cc[nH]c1C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033798140 666829275 /nfs/dbraw/zinc/82/92/75/666829275.db2.gz IMMXIIGRKJUBEY-LLVKDONJSA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)c1cc[nH]c1C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033798140 666829277 /nfs/dbraw/zinc/82/92/77/666829277.db2.gz IMMXIIGRKJUBEY-LLVKDONJSA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)c1nc(C)c[nH]1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033980080 666885124 /nfs/dbraw/zinc/88/51/24/666885124.db2.gz PJPZUZPFWJGTGH-SNVBAGLBSA-N 0 2 319.369 0.278 20 0 DCADLN O=C(NC[C@@H]1COCO1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861983856 667006391 /nfs/dbraw/zinc/00/63/91/667006391.db2.gz VJEAPKOKPYUYFR-MRVPVSSYSA-N 0 2 322.239 0.561 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001034525640 667054755 /nfs/dbraw/zinc/05/47/55/667054755.db2.gz NPIBSOCKKIOHOX-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001034525640 667054759 /nfs/dbraw/zinc/05/47/59/667054759.db2.gz NPIBSOCKKIOHOX-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1(C(F)F)CC1 ZINC001032403081 667176733 /nfs/dbraw/zinc/17/67/33/667176733.db2.gz NFFQKQFIDREQBO-YUMQZZPRSA-N 0 2 313.308 0.341 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccc(Cl)cn1 ZINC000736480784 667180660 /nfs/dbraw/zinc/18/06/60/667180660.db2.gz ALGSCSUMALSNSD-UHFFFAOYSA-N 0 2 318.724 0.783 20 0 DCADLN O=C(CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F)N1CCC1 ZINC001032416888 667217354 /nfs/dbraw/zinc/21/73/54/667217354.db2.gz OQWARXZZDVTVBJ-QXEWZRGKSA-N 0 2 323.290 0.404 20 0 DCADLN O=C(CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)C(F)(F)F)N1CCC1 ZINC001032416888 667217357 /nfs/dbraw/zinc/21/73/57/667217357.db2.gz OQWARXZZDVTVBJ-QXEWZRGKSA-N 0 2 323.290 0.404 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000888328188 667295276 /nfs/dbraw/zinc/29/52/76/667295276.db2.gz GDERUASOQCSJED-JTQLQIEISA-N 0 2 304.358 0.260 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000888332692 667298738 /nfs/dbraw/zinc/29/87/38/667298738.db2.gz GGQPKEIPDOODGI-WDEREUQCSA-N 0 2 304.358 0.426 20 0 DCADLN O=C(/C=C/CN1CCOCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000868093285 667533732 /nfs/dbraw/zinc/53/37/32/667533732.db2.gz DKAUNYRORMNWQX-KMOQFTEPSA-N 0 2 321.381 0.105 20 0 DCADLN O=S(=O)(NC(CF)CF)c1nc[nH]c1Br ZINC000885413135 667674050 /nfs/dbraw/zinc/67/40/50/667674050.db2.gz FFFOIEZLNXEOBU-UHFFFAOYSA-N 0 2 304.116 0.758 20 0 DCADLN COc1cc(NS(=O)(=O)c2ccc(N(C)C)nc2)n(C)n1 ZINC000886447292 667768766 /nfs/dbraw/zinc/76/87/66/667768766.db2.gz DWIJOLAZWMOEIU-UHFFFAOYSA-N 0 2 311.367 0.691 20 0 DCADLN C[C@]1(c2ccccc2)C[C@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000741643718 667774370 /nfs/dbraw/zinc/77/43/70/667774370.db2.gz VNEVOZFHTGVGKH-CXAGYDPISA-N 0 2 323.356 0.918 20 0 DCADLN Cc1ncc2c(n1)CC[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C2 ZINC000742521172 667793311 /nfs/dbraw/zinc/79/33/11/667793311.db2.gz DCUSEYJWNIKQNM-KOLCDFICSA-N 0 2 319.390 0.315 20 0 DCADLN COC(C)(C)CCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000871255600 667805159 /nfs/dbraw/zinc/80/51/59/667805159.db2.gz CKHDCGGMDGBQPE-UHFFFAOYSA-N 0 2 306.366 0.805 20 0 DCADLN CC(C)CC[C@@](C)(O)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000743775455 667816312 /nfs/dbraw/zinc/81/63/12/667816312.db2.gz MSEAXTMUEBOHMY-NOZJJQNGSA-N 0 2 301.412 0.846 20 0 DCADLN CO[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)(C)C ZINC000744698765 667831190 /nfs/dbraw/zinc/83/11/90/667831190.db2.gz XGTKILJKJOHZBY-SNVBAGLBSA-N 0 2 307.354 0.249 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc(NC(N)=O)cc2)S1 ZINC000745421780 667847088 /nfs/dbraw/zinc/84/70/88/667847088.db2.gz TZSFDDUCEBLWGS-SECBINFHSA-N 0 2 321.362 0.350 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccnc2-n2cccn2)S1 ZINC000745427854 667847825 /nfs/dbraw/zinc/84/78/25/667847825.db2.gz NCPUOYAOYKWKSB-VIFPVBQESA-N 0 2 316.346 0.762 20 0 DCADLN COC[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1ccc(C)o1 ZINC000746641360 667884574 /nfs/dbraw/zinc/88/45/74/667884574.db2.gz QFZROMWNHVMENP-PSASIEDQSA-N 0 2 311.363 0.948 20 0 DCADLN COC1(CS(=O)(=O)Nc2ccn(CCF)n2)CCOCC1 ZINC000872404610 667893739 /nfs/dbraw/zinc/89/37/39/667893739.db2.gz ARZKCKHCMPHEAQ-UHFFFAOYSA-N 0 2 321.374 0.790 20 0 DCADLN COC(C)(C)CS(=O)(=O)Nc1cc2n(n1)CCN(C)C2 ZINC000872411651 667894604 /nfs/dbraw/zinc/89/46/04/667894604.db2.gz NFKUYMLCBROYHN-UHFFFAOYSA-N 0 2 302.400 0.495 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NC[C@](C)(O)C1CC1 ZINC000872452633 667898073 /nfs/dbraw/zinc/89/80/73/667898073.db2.gz BYSWUPHTMRCLSH-VOJFVSQTSA-N 0 2 312.457 0.878 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CC2CC3(CCC3)C2)[nH]1 ZINC000872504723 667904447 /nfs/dbraw/zinc/90/44/47/667904447.db2.gz HZLWBUYOKFJEDF-UHFFFAOYSA-N 0 2 314.411 0.951 20 0 DCADLN CCC(CC)(CC(=O)OC)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000749445490 667955306 /nfs/dbraw/zinc/95/53/06/667955306.db2.gz GSPKVFDJTUVLHQ-MRVPVSSYSA-N 0 2 315.395 0.781 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000750138001 667972442 /nfs/dbraw/zinc/97/24/42/667972442.db2.gz NEAIRYWKGTWFDV-PHIMTYICSA-N 0 2 301.350 0.720 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc(Cl)n1 ZINC000751285999 667999229 /nfs/dbraw/zinc/99/92/29/667999229.db2.gz SXNQQYNGXAQKHB-UHFFFAOYSA-N 0 2 304.697 0.758 20 0 DCADLN COC(=O)C(=O)[C@H](C)OC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000759124116 668207760 /nfs/dbraw/zinc/20/77/60/668207760.db2.gz URRZMEQXCZJHSL-YFKPBYRVSA-N 0 2 313.228 0.117 20 0 DCADLN O=C(OCCN1C(=O)CNC1=O)c1cc(F)c(O)c(F)c1 ZINC000759541034 668224458 /nfs/dbraw/zinc/22/44/58/668224458.db2.gz OLHVYZCRPQGXLY-UHFFFAOYSA-N 0 2 300.217 0.379 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c1nncn1C ZINC000760125583 668258375 /nfs/dbraw/zinc/25/83/75/668258375.db2.gz FKANSWGIXAHTHO-ZCFIWIBFSA-N 0 2 307.276 0.449 20 0 DCADLN Cn1cc([C@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC000760474514 668273764 /nfs/dbraw/zinc/27/37/64/668273764.db2.gz ZGCOEGRDYPHNLW-QMMMGPOBSA-N 0 2 322.287 0.027 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NN2CCc3ccccc3C2=O)S1 ZINC000762651088 668370971 /nfs/dbraw/zinc/37/09/71/668370971.db2.gz AFGGIURBQJVGOA-SNVBAGLBSA-N 0 2 318.358 0.273 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(Br)nc1 ZINC000766165521 668526617 /nfs/dbraw/zinc/52/66/17/668526617.db2.gz UJESKYZWZASUSP-UHFFFAOYSA-N 0 2 313.115 0.990 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2CN(c3ccccc3)C2=O)S1 ZINC000768413134 668607991 /nfs/dbraw/zinc/60/79/91/668607991.db2.gz LCEALTZUZBLJKH-NXEZZACHSA-N 0 2 318.358 0.074 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCS[C@H]3COCC[C@@H]32)S1 ZINC000769343655 668644455 /nfs/dbraw/zinc/64/44/55/668644455.db2.gz KIRBZMVIPUPRNR-CIUDSAMLSA-N 0 2 315.420 0.276 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cncc(COC)c2)C1=O ZINC000769832061 668665196 /nfs/dbraw/zinc/66/51/96/668665196.db2.gz IRNYHMNWTVHOCZ-CQSZACIVSA-N 0 2 306.322 0.593 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2ccc(C)nc2OC)C1=O ZINC000769856136 668667169 /nfs/dbraw/zinc/66/71/69/668667169.db2.gz SSYHKCBMMUVYKV-AWEZNQCLSA-N 0 2 306.322 0.764 20 0 DCADLN Cc1ccccc1[C@@H](CO)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000771212300 668734550 /nfs/dbraw/zinc/73/45/50/668734550.db2.gz OEXNYPIYOQJAGN-MNOVXSKESA-N 0 2 307.375 0.701 20 0 DCADLN O=c1ncnc2n(Cc3nc(-c4cncs4)no3)[nH]cc1-2 ZINC000771413565 668739696 /nfs/dbraw/zinc/73/96/96/668739696.db2.gz NGSPSYJOTIRRPR-UHFFFAOYSA-N 0 2 301.291 0.626 20 0 DCADLN C[C@@H](OC[C@@H]1CCCO1)C(=O)NCCc1n[nH]c(=S)o1 ZINC000773088747 668793028 /nfs/dbraw/zinc/79/30/28/668793028.db2.gz OQVAUXHPBAQDRV-BDAKNGLRSA-N 0 2 301.368 0.601 20 0 DCADLN C[C@@H](OC[C@H]1CCCO1)C(=O)NCCc1n[nH]c(=S)o1 ZINC000773088757 668793086 /nfs/dbraw/zinc/79/30/86/668793086.db2.gz OQVAUXHPBAQDRV-RKDXNWHRSA-N 0 2 301.368 0.601 20 0 DCADLN COCC(COC)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000839802406 668798066 /nfs/dbraw/zinc/79/80/66/668798066.db2.gz SIPQTUKCHQKWCU-JTQLQIEISA-N 0 2 316.295 0.692 20 0 DCADLN C[C@@H](C(=O)OCc1n[nH]c(=O)[nH]1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000774903714 668850290 /nfs/dbraw/zinc/85/02/90/668850290.db2.gz ZEGWPYZRKWNONV-DJLDLDEBSA-N 0 2 322.321 0.117 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccnc(OCC(F)F)c1 ZINC000774992173 668853646 /nfs/dbraw/zinc/85/36/46/668853646.db2.gz OKHNYAMMLVKHFC-UHFFFAOYSA-N 0 2 300.221 0.906 20 0 DCADLN CS(=O)(=O)c1csc(C(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000775008578 668854127 /nfs/dbraw/zinc/85/41/27/668854127.db2.gz KCWHCQXPQLYMGE-UHFFFAOYSA-N 0 2 303.321 0.332 20 0 DCADLN CN(CCO)c1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000777429308 668887187 /nfs/dbraw/zinc/88/71/87/668887187.db2.gz IGRGFLWZDRXNIZ-NSHDSACASA-N 0 2 322.390 0.610 20 0 DCADLN C[C@@](O)(CCNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccc1 ZINC000779347361 668910043 /nfs/dbraw/zinc/91/00/43/668910043.db2.gz PUSCAWHMWWGOEO-XHDPSFHLSA-N 0 2 321.402 0.957 20 0 DCADLN CCCc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000780083482 668966019 /nfs/dbraw/zinc/96/60/19/668966019.db2.gz JZPPUEJNHUHLQS-UHFFFAOYSA-N 0 2 303.322 0.718 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NC[C@H](C)O)cc2[nH]c1=S ZINC000127619819 669004985 /nfs/dbraw/zinc/00/49/85/669004985.db2.gz RXARKUQDMQKHQD-QMMMGPOBSA-N 0 2 307.375 0.816 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCCN(C(=O)C3CC3)CC2)S1 ZINC000781117982 669030699 /nfs/dbraw/zinc/03/06/99/669030699.db2.gz DYBHOLHTWKFODK-SNVBAGLBSA-N 0 2 324.406 0.014 20 0 DCADLN Cc1nc([C@@H](C)n2cc(CNC(=O)C(F)(F)F)nn2)no1 ZINC000881368748 669330054 /nfs/dbraw/zinc/33/00/54/669330054.db2.gz ASBFLMFAHAJGJZ-RXMQYKEDSA-N 0 2 304.232 0.757 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)Cc1cc(F)ccc1OC ZINC000882198049 669474133 /nfs/dbraw/zinc/47/41/33/669474133.db2.gz PMTVYHVTGIHCKQ-JTQLQIEISA-N 0 2 323.317 0.765 20 0 DCADLN CCOC1CC(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000789687857 669505195 /nfs/dbraw/zinc/50/51/95/669505195.db2.gz CXBDLPUOPLJOHV-UHFFFAOYSA-N 0 2 319.365 0.393 20 0 DCADLN CC(C)(C)OC(=O)N1CC2(C[C@H]2C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000789805745 669511164 /nfs/dbraw/zinc/51/11/64/669511164.db2.gz INALQEXBNQEQQG-QMMMGPOBSA-N 0 2 323.353 0.384 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)[N-]N2CCCC2=O)C1 ZINC000882473309 669527117 /nfs/dbraw/zinc/52/71/17/669527117.db2.gz RFMZDFQUXURDKW-UHFFFAOYSA-N 0 2 309.391 0.490 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)[N-]N2CCCC2=O)C1 ZINC000882473309 669527122 /nfs/dbraw/zinc/52/71/22/669527122.db2.gz RFMZDFQUXURDKW-UHFFFAOYSA-N 0 2 309.391 0.490 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2C[C@H](O)Cc3ccccc32)S1 ZINC000790158032 669540879 /nfs/dbraw/zinc/54/08/79/669540879.db2.gz UEVBXALZIQHZLI-MWLCHTKSSA-N 0 2 305.359 0.493 20 0 DCADLN O=c1[nH]nc(CN2CCCS(=O)(=O)C[C@H]2c2ccccc2)[nH]1 ZINC000933981989 669558226 /nfs/dbraw/zinc/55/82/26/669558226.db2.gz DFUONECEGKNQPS-LBPRGKRZSA-N 0 2 322.390 0.872 20 0 DCADLN O=S(=O)(Nc1ccnc2ncnn21)c1ccc(Cl)nc1 ZINC000790634699 669563124 /nfs/dbraw/zinc/56/31/24/669563124.db2.gz FEKXCFKGFFVFRJ-UHFFFAOYSA-N 0 2 310.726 0.974 20 0 DCADLN CC1(C)OCC(CO)(NC(=O)c2cc(F)c(O)c(F)c2)CO1 ZINC000791240095 669598976 /nfs/dbraw/zinc/59/89/76/669598976.db2.gz KOJCKSDSGDKSOM-UHFFFAOYSA-N 0 2 317.288 0.914 20 0 DCADLN COC(=O)[C@@]1(O)CCN(C(=O)c2cc(F)cc(Cl)c2O)C1 ZINC000882850903 669604317 /nfs/dbraw/zinc/60/43/17/669604317.db2.gz WCTGIUYLDIDDNQ-CYBMUJFWSA-N 0 2 317.700 0.935 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)NCc1ccccc1 ZINC000883413808 669681210 /nfs/dbraw/zinc/68/12/10/669681210.db2.gz CJDPIBWTKHXRJL-LBPRGKRZSA-N 0 2 322.361 0.641 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)NCc1ccccc1 ZINC000883413808 669681215 /nfs/dbraw/zinc/68/12/15/669681215.db2.gz CJDPIBWTKHXRJL-LBPRGKRZSA-N 0 2 322.361 0.641 20 0 DCADLN Cn1cnc(C=CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC000935844216 669783288 /nfs/dbraw/zinc/78/32/88/669783288.db2.gz GVJSFJJFXJRHBJ-ORAHPGNNSA-N 0 2 302.338 0.663 20 0 DCADLN C[C@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)c1ccco1 ZINC000950451890 670029692 /nfs/dbraw/zinc/02/96/92/670029692.db2.gz IRMMNLDREOBLJU-JTQLQIEISA-N 0 2 305.338 0.551 20 0 DCADLN CCc1c(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)ccn1C ZINC000950498844 670039396 /nfs/dbraw/zinc/03/93/96/670039396.db2.gz LPOHAJMBLQXGMZ-UHFFFAOYSA-N 0 2 318.381 0.369 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](CCO)Cc2ccccc2)S1 ZINC000799800556 670073128 /nfs/dbraw/zinc/07/31/28/670073128.db2.gz QFLLXEWPUWYOPF-VXGBXAGGSA-N 0 2 321.402 0.653 20 0 DCADLN COC[C@H]1C[N@H+](CC(=O)[N-]OCc2ccccc2)C[C@@H](C)O1 ZINC000189373229 670116603 /nfs/dbraw/zinc/11/66/03/670116603.db2.gz KRBQDUKUVQFGOB-UKRRQHHQSA-N 0 2 308.378 0.970 20 0 DCADLN COC[C@H]1C[N@@H+](CC(=O)[N-]OCc2ccccc2)C[C@@H](C)O1 ZINC000189373229 670116607 /nfs/dbraw/zinc/11/66/07/670116607.db2.gz KRBQDUKUVQFGOB-UKRRQHHQSA-N 0 2 308.378 0.970 20 0 DCADLN Cc1nonc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000950837428 670137612 /nfs/dbraw/zinc/13/76/12/670137612.db2.gz XVNCEVOUUAWDBL-XPUUQOCRSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000950837428 670137614 /nfs/dbraw/zinc/13/76/14/670137614.db2.gz XVNCEVOUUAWDBL-XPUUQOCRSA-N 0 2 324.234 0.609 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000948603984 670139502 /nfs/dbraw/zinc/13/95/02/670139502.db2.gz RBOPVFSRWCWCRQ-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN CC[N@@H+]1CCO[C@@H](C(=O)N[C@H](c2nnn[n-]2)c2ccccc2)C1 ZINC000806545727 670320986 /nfs/dbraw/zinc/32/09/86/670320986.db2.gz DIEODMRBWRGQNH-OLZOCXBDSA-N 0 2 316.365 0.126 20 0 DCADLN CC[N@H+]1CCO[C@@H](C(=O)N[C@H](c2nnn[n-]2)c2ccccc2)C1 ZINC000806545727 670320991 /nfs/dbraw/zinc/32/09/91/670320991.db2.gz DIEODMRBWRGQNH-OLZOCXBDSA-N 0 2 316.365 0.126 20 0 DCADLN CCN(C(=O)c1ccccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949588057 670377946 /nfs/dbraw/zinc/37/79/46/670377946.db2.gz BYSJPOKOSQDDSR-UHFFFAOYSA-N 0 2 319.340 0.996 20 0 DCADLN CS(=O)(=O)N1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC000810007353 670471925 /nfs/dbraw/zinc/47/19/25/670471925.db2.gz IMHPBKAIVSWWHR-SSDOTTSWSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N1CCC(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000810007353 670471927 /nfs/dbraw/zinc/47/19/27/670471927.db2.gz IMHPBKAIVSWWHR-SSDOTTSWSA-N 0 2 306.281 0.427 20 0 DCADLN CC(C)n1cc(OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000847131198 670519824 /nfs/dbraw/zinc/51/98/24/670519824.db2.gz RZXRYJQISCUBNJ-UHFFFAOYSA-N 0 2 302.294 0.454 20 0 DCADLN COC(=O)CCCONC(=O)CSc1nnnn1C1CC1 ZINC000811559950 670536302 /nfs/dbraw/zinc/53/63/02/670536302.db2.gz FLQXJNROLCEVMO-UHFFFAOYSA-N 0 2 315.355 0.101 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H]1C(F)(F)F ZINC000854521559 670581047 /nfs/dbraw/zinc/58/10/47/670581047.db2.gz VTLALPLRAXUDQU-ZETCQYMHSA-N 0 2 301.228 0.234 20 0 DCADLN CCO[C@H]1C[C@H](OC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC000847920373 670612247 /nfs/dbraw/zinc/61/22/47/670612247.db2.gz OKZGSMAZHWGBNN-XYPYZODXSA-N 0 2 305.334 0.984 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H](O)c1ccc(Cl)cc1F ZINC000813470546 670629347 /nfs/dbraw/zinc/62/93/47/670629347.db2.gz LTOLLTXRIALFMP-VIFPVBQESA-N 0 2 300.677 0.653 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2noc3c2CCCC3)S1 ZINC000813820515 670654531 /nfs/dbraw/zinc/65/45/31/670654531.db2.gz GYOJSIKJMFGPQZ-JTQLQIEISA-N 0 2 308.363 0.726 20 0 DCADLN CCc1nc(C)c(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)o1 ZINC000814068673 670674281 /nfs/dbraw/zinc/67/42/81/670674281.db2.gz NHUBSHMEFJKXDB-UHFFFAOYSA-N 0 2 317.305 0.673 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000815097399 670715865 /nfs/dbraw/zinc/71/58/65/670715865.db2.gz BWDOBSGBEBYWLC-UHFFFAOYSA-N 0 2 312.355 0.110 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@]1(c2ccccc2)CCC(=O)NC1 ZINC000848784658 670726619 /nfs/dbraw/zinc/72/66/19/670726619.db2.gz CUXZSWSIQQHVQK-OAHLLOKOSA-N 0 2 316.317 0.402 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@]1(c2ccccc2)CCC(=O)NC1 ZINC000848784657 670726838 /nfs/dbraw/zinc/72/68/38/670726838.db2.gz CUXZSWSIQQHVQK-HNNXBMFYSA-N 0 2 316.317 0.402 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(n2)OCCO3)S1 ZINC000855571459 670730031 /nfs/dbraw/zinc/73/00/31/670730031.db2.gz DALHNVQMGUKCEE-ZETCQYMHSA-N 0 2 308.319 0.348 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NN2CCc3ccccc3C2)S1 ZINC000855710988 670750644 /nfs/dbraw/zinc/75/06/44/670750644.db2.gz GCIHUYAEZBAUMV-NSHDSACASA-N 0 2 304.375 0.632 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2COc3cc(F)ccc3C2)S1 ZINC000855808954 670757418 /nfs/dbraw/zinc/75/74/18/670757418.db2.gz RWYWGCZCKDOREF-KOLCDFICSA-N 0 2 323.349 0.802 20 0 DCADLN CC(C)(C)OC(=O)N1[C@@H]2C[C@@H]2C[C@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000815745956 670759628 /nfs/dbraw/zinc/75/96/28/670759628.db2.gz SECGWUBVYOAJLQ-HLTSFMKQSA-N 0 2 323.353 0.524 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOC[C@@H](C3CCC3)C2)S1 ZINC000817042104 670890733 /nfs/dbraw/zinc/89/07/33/670890733.db2.gz SNXGSEXJLZVVAM-WDEREUQCSA-N 0 2 311.407 0.818 20 0 DCADLN CCO[C@@H]1COCC[C@@H]1CC(=O)NCCc1n[nH]c(=S)o1 ZINC000867484041 670951966 /nfs/dbraw/zinc/95/19/66/670951966.db2.gz PXYJCXRBBHQZRN-NXEZZACHSA-N 0 2 315.395 0.849 20 0 DCADLN NC(=O)CCCNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858483639 671009136 /nfs/dbraw/zinc/00/91/36/671009136.db2.gz VADRYMOFWXDIHD-UHFFFAOYSA-N 0 2 321.255 0.453 20 0 DCADLN O=C(N1CCC(c2n[nH]c(=O)[nH]2)CC1)C(F)(F)C1(O)CCC1 ZINC000819581339 671127275 /nfs/dbraw/zinc/12/72/75/671127275.db2.gz VPKOTQRSXSSQMS-UHFFFAOYSA-N 0 2 316.308 0.767 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](NC(=O)C(F)(F)F)CCC[N@@H+]1CCCF ZINC000852736126 671154555 /nfs/dbraw/zinc/15/45/55/671154555.db2.gz LFAIISGWAWKMAV-YUMQZZPRSA-N 0 2 300.252 0.942 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](NC(=O)C(F)(F)F)CCC[N@H+]1CCCF ZINC000852736126 671154558 /nfs/dbraw/zinc/15/45/58/671154558.db2.gz LFAIISGWAWKMAV-YUMQZZPRSA-N 0 2 300.252 0.942 20 0 DCADLN Cc1nn(CC(=O)OCC(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC000826334388 671483642 /nfs/dbraw/zinc/48/36/42/671483642.db2.gz SKTTWWPRGFDARY-UHFFFAOYSA-N 0 2 306.326 0.239 20 0 DCADLN Cc1onc(CC(=O)NOCc2ccccc2)c1-c1nn[nH]n1 ZINC000826348664 671486603 /nfs/dbraw/zinc/48/66/03/671486603.db2.gz XTCRQZJIHLJFLW-UHFFFAOYSA-N 0 2 314.305 0.954 20 0 DCADLN C[NH+]1Cc2ccccc2[C@@H]1CNC(=O)[C@H]1C[N@@H+]2CCN1CCC2 ZINC000826710905 671497846 /nfs/dbraw/zinc/49/78/46/671497846.db2.gz VUQFEBNXPIJPGR-DLBZAZTESA-N 0 2 314.433 0.679 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1CC[C@@H](O)[C@@H](O)C1 ZINC000827600497 671533458 /nfs/dbraw/zinc/53/34/58/671533458.db2.gz XCZHZKIFKCUURO-NEPJUHHUSA-N 0 2 321.308 0.285 20 0 DCADLN COCCN(C(=O)C[C@H]1SC(=N)NC1=O)C1CCOCC1 ZINC000829771067 671589056 /nfs/dbraw/zinc/58/90/56/671589056.db2.gz KOYYXEHWNFCOTP-SNVBAGLBSA-N 0 2 315.395 0.197 20 0 DCADLN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)COCC(F)F)C1 ZINC000830790673 671640936 /nfs/dbraw/zinc/64/09/36/671640936.db2.gz ZNYJJQVRURGJBU-JTQLQIEISA-N 0 2 318.242 0.938 20 0 DCADLN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2csnn2)C1 ZINC000830833715 671643125 /nfs/dbraw/zinc/64/31/25/671643125.db2.gz SQNIZYSYRPGKAV-VIFPVBQESA-N 0 2 308.285 0.821 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC000832762513 671740072 /nfs/dbraw/zinc/74/00/72/671740072.db2.gz VTHPUMBAIGSION-CQSZACIVSA-N 0 2 323.368 0.974 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC000832762513 671740073 /nfs/dbraw/zinc/74/00/73/671740073.db2.gz VTHPUMBAIGSION-CQSZACIVSA-N 0 2 323.368 0.974 20 0 DCADLN CCO[C@@H]1C[C@H](NS(=O)(=O)N=[S@@](C)(=O)CC)[C@H]1OC ZINC000867107457 671751978 /nfs/dbraw/zinc/75/19/78/671751978.db2.gz XJGVTXYHGKBZRR-AGNGFGCDSA-N 0 2 314.429 0.131 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@@H+]2CCS[C@H]3COCC[C@H]32)C1 ZINC000834156279 671752020 /nfs/dbraw/zinc/75/20/20/671752020.db2.gz ADYFGTKLSRITNV-MXWKQRLJSA-N 0 2 315.395 0.019 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@H+]2CCS[C@H]3COCC[C@H]32)C1 ZINC000834156279 671752022 /nfs/dbraw/zinc/75/20/22/671752022.db2.gz ADYFGTKLSRITNV-MXWKQRLJSA-N 0 2 315.395 0.019 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NC[C@@]1(O)CCCC1(C)C ZINC000867308184 672044289 /nfs/dbraw/zinc/04/42/89/672044289.db2.gz GGGWQRYXXZXWKP-VOJFVSQTSA-N 0 2 312.457 0.880 20 0 DCADLN C[C@H]1CCC[C@@]1(O)CNS(=O)(=O)N=S1(=O)CCCC1 ZINC000867313582 672050648 /nfs/dbraw/zinc/05/06/48/672050648.db2.gz PLCCFSWWTWFZAW-WDEREUQCSA-N 0 2 310.441 0.634 20 0 DCADLN CC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000844090881 672147716 /nfs/dbraw/zinc/14/77/16/672147716.db2.gz APYWMHBBTWILJD-YUMQZZPRSA-N 0 2 315.395 0.779 20 0 DCADLN O=C([O-])c1n[nH+]c2ccccc2c1N1C[C@@H]2COC[C@H](C1)O2 ZINC000844217747 672164204 /nfs/dbraw/zinc/16/42/04/672164204.db2.gz LYGBDDVHZHKXFB-AOOOYVTPSA-N 0 2 301.302 0.932 20 0 DCADLN CCN(C)S(=O)(=O)[N-]C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845474547 672251582 /nfs/dbraw/zinc/25/15/82/672251582.db2.gz BCGSHFOZAHKRST-UHFFFAOYSA-N 0 2 300.384 0.075 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)[C@@H]1CCCOC1)n1cc[nH+]c1 ZINC000845510024 672254481 /nfs/dbraw/zinc/25/44/81/672254481.db2.gz VFPGHLLBCYCTKP-GHMZBOCLSA-N 0 2 301.368 0.459 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)C[C@H]1CCCOC1)n1cc[nH+]c1 ZINC000845566802 672263398 /nfs/dbraw/zinc/26/33/98/672263398.db2.gz PUWZNHZGUCMYHM-NEPJUHHUSA-N 0 2 315.395 0.707 20 0 DCADLN Cc1c(S(=O)(=O)[N-]C(=O)C[C@@H](C)n2cc[nH+]c2)cnn1C ZINC000845576727 672264668 /nfs/dbraw/zinc/26/46/68/672264668.db2.gz QXIICQIKRALJHX-SECBINFHSA-N 0 2 311.367 0.381 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ncccn1 ZINC001024722385 693822944 /nfs/dbraw/zinc/82/29/44/693822944.db2.gz QVNGBQJMIMVZHQ-JTQLQIEISA-N 0 2 317.353 0.085 20 0 DCADLN NS(=O)(=O)c1cnc(NC[C@@H]2CC2(Cl)Cl)s1 ZINC000381581742 685239369 /nfs/dbraw/zinc/23/93/69/685239369.db2.gz FNWACAZQLBHGSY-BYPYZUCNSA-N 0 2 302.208 0.818 20 0 DCADLN CN(C(=O)c1cnccn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953588253 685744493 /nfs/dbraw/zinc/74/44/93/685744493.db2.gz QHNOLGUSDHKQKJ-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1cnccn1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953588253 685744496 /nfs/dbraw/zinc/74/44/96/685744496.db2.gz QHNOLGUSDHKQKJ-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN Cc1c[nH]nc1C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954235892 685976472 /nfs/dbraw/zinc/97/64/72/685976472.db2.gz ORSYOUWRSYKMRA-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN Cc1c[nH]nc1C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954235892 685976474 /nfs/dbraw/zinc/97/64/74/685976474.db2.gz ORSYOUWRSYKMRA-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN Cc1c[nH]c(C(=O)N(C)C2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000954382712 686007678 /nfs/dbraw/zinc/00/76/78/686007678.db2.gz IXCRIQNZSPMAOX-QMMMGPOBSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1c[nH]c(C(=O)N(C)C2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000954382712 686007680 /nfs/dbraw/zinc/00/76/80/686007680.db2.gz IXCRIQNZSPMAOX-QMMMGPOBSA-N 0 2 322.262 0.901 20 0 DCADLN CCc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038414353 694073777 /nfs/dbraw/zinc/07/37/77/694073777.db2.gz ZNCBXNIPWCTYDA-VIFPVBQESA-N 0 2 305.338 0.670 20 0 DCADLN CC[C@H]1C[C@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CCO1 ZINC000957102780 686961245 /nfs/dbraw/zinc/96/12/45/686961245.db2.gz JKEJGRNEIBATSK-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCC12CC2 ZINC001038398677 694070337 /nfs/dbraw/zinc/07/03/37/694070337.db2.gz IBVMYKWIVZYCLB-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN O=C(COc1ccsc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957337340 687036103 /nfs/dbraw/zinc/03/61/03/687036103.db2.gz WHCBORKNONZXTJ-UHFFFAOYSA-N 0 2 323.378 0.295 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCO[C@H]1C1CC1 ZINC001038546474 694111893 /nfs/dbraw/zinc/11/18/93/694111893.db2.gz PCJMJNRHFDSSCE-LOWVWBTDSA-N 0 2 321.381 0.016 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC12CCCC2 ZINC001038883993 694229982 /nfs/dbraw/zinc/22/99/82/694229982.db2.gz FNRATGZWNPNDGC-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN CCc1cc(C)c(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038921012 694240855 /nfs/dbraw/zinc/24/08/55/694240855.db2.gz KQAGOIJQSULUJA-JTQLQIEISA-N 0 2 319.365 0.978 20 0 DCADLN COc1ccccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038945828 694251018 /nfs/dbraw/zinc/25/10/18/694251018.db2.gz VZIIGQNVFHNCKG-SNVBAGLBSA-N 0 2 317.349 0.523 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccco1 ZINC000964653062 689280806 /nfs/dbraw/zinc/28/08/06/689280806.db2.gz BZGZSPJUPOLPSL-VHSXEESVSA-N 0 2 305.338 0.744 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC=CCC1 ZINC001039023887 694276428 /nfs/dbraw/zinc/27/64/28/694276428.db2.gz OKGQQINQJCJOCZ-LBPRGKRZSA-N 0 2 305.382 0.947 20 0 DCADLN CCC(=O)N[C@@H]1COC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000965581814 689487736 /nfs/dbraw/zinc/48/77/36/689487736.db2.gz XIYGTNZISIGIDF-CBAPKCEASA-N 0 2 312.263 0.783 20 0 DCADLN CCC(=O)N[C@@H]1COC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC000965581814 689487738 /nfs/dbraw/zinc/48/77/38/689487738.db2.gz XIYGTNZISIGIDF-CBAPKCEASA-N 0 2 312.263 0.783 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000967967443 690137912 /nfs/dbraw/zinc/13/79/12/690137912.db2.gz MUMQUAMRQCQUOJ-NAWOPXAZSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000967967443 690137914 /nfs/dbraw/zinc/13/79/14/690137914.db2.gz MUMQUAMRQCQUOJ-NAWOPXAZSA-N 0 2 319.409 0.883 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([NH2+][C@@H](C)c3ncccn3)C2)c1[O-] ZINC000968416373 690258262 /nfs/dbraw/zinc/25/82/62/690258262.db2.gz YULXKPCXNLXDEK-WDEREUQCSA-N 0 2 316.365 0.779 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC000968416165 690258345 /nfs/dbraw/zinc/25/83/45/690258345.db2.gz RWFLQHYCRJNAJH-PSASIEDQSA-N 0 2 319.369 0.416 20 0 DCADLN CCn1nccc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007202032 690641138 /nfs/dbraw/zinc/64/11/38/690641138.db2.gz YBMABWAMYPPGHT-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccsn1 ZINC001007597507 690712503 /nfs/dbraw/zinc/71/25/03/690712503.db2.gz KVDDYBMYPPYBNA-QMMMGPOBSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1nnc([C@H](C)N2CCC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001007763441 690744337 /nfs/dbraw/zinc/74/43/37/690744337.db2.gz YINZYKCLBQSFPJ-WPRPVWTQSA-N 0 2 304.358 0.187 20 0 DCADLN Cc1c(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001008456910 690871373 /nfs/dbraw/zinc/87/13/73/690871373.db2.gz KIMYWENGCONOJH-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC(F)(F)C1 ZINC001010494171 691293227 /nfs/dbraw/zinc/29/32/27/691293227.db2.gz BELVAERRTWHFBW-QMMMGPOBSA-N 0 2 301.297 0.246 20 0 DCADLN Cn1nccc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010629674 691330958 /nfs/dbraw/zinc/33/09/58/691330958.db2.gz PFYXZCBEWSWLIC-VIFPVBQESA-N 0 2 322.262 0.605 20 0 DCADLN Cn1nccc1C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010629674 691330962 /nfs/dbraw/zinc/33/09/62/691330962.db2.gz PFYXZCBEWSWLIC-VIFPVBQESA-N 0 2 322.262 0.605 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC001010772486 691375871 /nfs/dbraw/zinc/37/58/71/691375871.db2.gz HFGOIIDJXXDJGQ-QMMMGPOBSA-N 0 2 308.367 0.280 20 0 DCADLN Cc1cnccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014381912 692002983 /nfs/dbraw/zinc/00/29/83/692002983.db2.gz QTHICBPDVZLAGV-SNVBAGLBSA-N 0 2 302.338 0.218 20 0 DCADLN CCOC1CC(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001015596070 692356550 /nfs/dbraw/zinc/35/65/50/692356550.db2.gz QILZZBCWEWFBIR-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1c(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001015671219 692389862 /nfs/dbraw/zinc/38/98/62/692389862.db2.gz VUUQGUNKNDNDGT-SNVBAGLBSA-N 0 2 304.354 0.162 20 0 DCADLN Cc1cc(F)cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015746292 692425115 /nfs/dbraw/zinc/42/51/15/692425115.db2.gz OKQHIJXLFMRPLX-GFCCVEGCSA-N 0 2 319.340 0.962 20 0 DCADLN COc1ccsc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016051231 692557469 /nfs/dbraw/zinc/55/74/69/692557469.db2.gz AUPQZPQHSUUPMC-QMMMGPOBSA-N 0 2 323.378 0.585 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)s1 ZINC001016390334 692670467 /nfs/dbraw/zinc/67/04/67/692670467.db2.gz XPHNWHQHHUICFW-SSDOTTSWSA-N 0 2 311.342 0.715 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)c1ccoc1 ZINC001073535636 694738093 /nfs/dbraw/zinc/73/80/93/694738093.db2.gz RWFKIZFDSYVCEE-LLVKDONJSA-N 0 2 321.337 0.124 20 0 DCADLN CC(C)(C)C(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074389326 694884730 /nfs/dbraw/zinc/88/47/30/694884730.db2.gz FOJHENZFFICCAV-WDEREUQCSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(C[C@@H]1C=CCC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075532864 694957212 /nfs/dbraw/zinc/95/72/12/694957212.db2.gz SNAJTANICWPDTE-FRRDWIJNSA-N 0 2 317.393 0.899 20 0 DCADLN O=C(C[C@@H]1C=CCC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075532864 694957213 /nfs/dbraw/zinc/95/72/13/694957213.db2.gz SNAJTANICWPDTE-FRRDWIJNSA-N 0 2 317.393 0.899 20 0 DCADLN CC(C)N(CCCNC(=O)C(N)=O)C(=O)C(F)C(F)(F)F ZINC001745623581 1158057603 /nfs/dbraw/zinc/05/76/03/1158057603.db2.gz TYTGKRRYVGQUJM-ZETCQYMHSA-N 0 2 315.267 0.115 20 0 DCADLN CC(C)N(CCCNC(=O)C(N)=O)C(=O)[C@H](F)C(F)(F)F ZINC001745623581 1158057610 /nfs/dbraw/zinc/05/76/10/1158057610.db2.gz TYTGKRRYVGQUJM-ZETCQYMHSA-N 0 2 315.267 0.115 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000397029801 1158371249 /nfs/dbraw/zinc/37/12/49/1158371249.db2.gz OGGZRYFVUQWJIJ-FRRDWIJNSA-N 0 2 313.398 0.602 20 0 DCADLN O=C(NCCNS(=O)(=O)CC(F)(F)F)c1ccccc1 ZINC000349452620 1158980551 /nfs/dbraw/zinc/98/05/51/1158980551.db2.gz WJTZYHKKGCAUJI-UHFFFAOYSA-N 0 2 310.297 0.898 20 0 DCADLN O=C(NCCCNC(=O)c1cccc(O)c1)c1[nH]ncc1F ZINC001445971165 1159326895 /nfs/dbraw/zinc/32/68/95/1159326895.db2.gz SUOHOEXSKXQVEF-UHFFFAOYSA-N 0 2 306.297 0.804 20 0 DCADLN C[C@@H](CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001446127337 1159348498 /nfs/dbraw/zinc/34/84/98/1159348498.db2.gz OTSHUGFQAPQVRX-RYUDHWBXSA-N 0 2 318.381 0.654 20 0 DCADLN Cn1ncc2cc(C(=O)NCCc3n[nH]c(=S)o3)cnc21 ZINC001567852216 1160500887 /nfs/dbraw/zinc/50/08/87/1160500887.db2.gz BQBLRSPPQQGEIS-UHFFFAOYSA-N 0 2 304.335 0.613 20 0 DCADLN C[C@H](NC(=O)c1ccccc1)C(=O)NCCc1n[nH]c(=S)o1 ZINC001567853277 1160502808 /nfs/dbraw/zinc/50/28/08/1160502808.db2.gz VEGJGGZWGVOJOA-VIFPVBQESA-N 0 2 320.374 0.836 20 0 DCADLN CN(CCC[NH2+]Cc1noc(C2CC2)n1)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001569188795 1160969925 /nfs/dbraw/zinc/96/99/25/1160969925.db2.gz MDSGWHWVQFGJSS-ZDUSSCGKSA-N 0 2 321.425 0.979 20 0 DCADLN COC(=O)c1cc(C[NH2+][C@@H](C)c2nc(C(=O)[O-])n[nH]2)n(C)c1C ZINC001573937714 1163592645 /nfs/dbraw/zinc/59/26/45/1163592645.db2.gz DFMDOXPEEQNCIZ-ZETCQYMHSA-N 0 2 321.337 0.787 20 0 DCADLN C[S@@](=O)C1(C(=O)[O-])CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001574059906 1163632253 /nfs/dbraw/zinc/63/22/53/1163632253.db2.gz SSZNBLIDEVZUOL-OAQYLSRUSA-N 0 2 313.379 0.098 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@H](C(=O)[O-])[C@H](O)c1cccnc1 ZINC001574220753 1163668118 /nfs/dbraw/zinc/66/81/18/1163668118.db2.gz HFKWPFLOZBOUCU-UONOGXRCSA-N 0 2 318.333 0.051 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@H](C(=O)[O-])[C@H](O)c1ccncc1 ZINC001574221681 1163668354 /nfs/dbraw/zinc/66/83/54/1163668354.db2.gz VQIYOOLOCNQNAS-UONOGXRCSA-N 0 2 318.333 0.051 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCc2cc(C(=O)[O-])no2)C1 ZINC001574221735 1163668721 /nfs/dbraw/zinc/66/87/21/1163668721.db2.gz XRANSTPUYBCPDP-VIFPVBQESA-N 0 2 319.321 0.805 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)[C@@H](O)c1ccncc1 ZINC001574352865 1163701388 /nfs/dbraw/zinc/70/13/88/1163701388.db2.gz KKGWQPOOLBUXQJ-WFFHOREQSA-N 0 2 316.317 0.211 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)[C@@H](O)c1ccncc1 ZINC001574352865 1163701397 /nfs/dbraw/zinc/70/13/97/1163701397.db2.gz KKGWQPOOLBUXQJ-WFFHOREQSA-N 0 2 316.317 0.211 20 0 DCADLN O=C([O-])c1cc(C[NH2+]Cc2ccc3c(c2)NC(=O)CO3)on1 ZINC001574431463 1163722968 /nfs/dbraw/zinc/72/29/68/1163722968.db2.gz XZBUUNDCWOFACR-UHFFFAOYSA-N 0 2 303.274 0.994 20 0 DCADLN Cc1cc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)no1 ZINC001574978442 1163828613 /nfs/dbraw/zinc/82/86/13/1163828613.db2.gz QUFNBVXASORIGV-VIFPVBQESA-N 0 2 311.235 0.658 20 0 DCADLN Cc1cc(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)no1 ZINC001574978442 1163828622 /nfs/dbraw/zinc/82/86/22/1163828622.db2.gz QUFNBVXASORIGV-VIFPVBQESA-N 0 2 311.235 0.658 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)C1=CCOCC1 ZINC001575794996 1164154625 /nfs/dbraw/zinc/15/46/25/1164154625.db2.gz PEBQIJRRMHJUQR-VIFPVBQESA-N 0 2 312.263 0.856 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)C1=CCOCC1 ZINC001575794996 1164154630 /nfs/dbraw/zinc/15/46/30/1164154630.db2.gz PEBQIJRRMHJUQR-VIFPVBQESA-N 0 2 312.263 0.856 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(F)C(F)(F)F ZINC001575902555 1164180962 /nfs/dbraw/zinc/18/09/62/1164180962.db2.gz XIZSIUSCQYUETJ-KDXUFGMBSA-N 0 2 312.263 0.402 20 0 DCADLN Cc1cnoc1C(=O)NC[C@H]1CCCC[N@@H+]1CCc1c[nH]nn1 ZINC001577502828 1164707834 /nfs/dbraw/zinc/70/78/34/1164707834.db2.gz JUOPUNIASVFKOH-CYBMUJFWSA-N 0 2 318.381 0.928 20 0 DCADLN COC(=O)/C(C)=C\Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001579431675 1165308474 /nfs/dbraw/zinc/30/84/74/1165308474.db2.gz HBDKHIQCLTXBGE-TWGQIWQCSA-N 0 2 315.333 0.636 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](C)[C@H]1CCOC1 ZINC001580601295 1165651737 /nfs/dbraw/zinc/65/17/37/1165651737.db2.gz UXSLJMPUOJOGFT-BDAKNGLRSA-N 0 2 318.337 0.431 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H](C)CCCO ZINC001580605380 1165654341 /nfs/dbraw/zinc/65/43/41/1165654341.db2.gz YRDQSYRXRBZJJC-MRVPVSSYSA-N 0 2 320.353 0.414 20 0 DCADLN Cc1nnc(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)s1 ZINC001581612695 1165851687 /nfs/dbraw/zinc/85/16/87/1165851687.db2.gz TYWUNAFRRBZZLL-UHFFFAOYSA-N 0 2 318.322 0.688 20 0 DCADLN O=C(c1[nH]c2ccccc2c1-c1nn[nH]n1)N1CC[C@@]2(O)C[C@H]2C1 ZINC001582665006 1166062897 /nfs/dbraw/zinc/06/28/97/1166062897.db2.gz KZXOPSDMVXMMQS-XXFAHNHDSA-N 0 2 324.344 0.945 20 0 DCADLN CC(C)(CO)ONC(=O)C1(c2ccc(-c3nn[nH]n3)cc2)CC1 ZINC001589299342 1166643887 /nfs/dbraw/zinc/64/38/87/1166643887.db2.gz KQCASRPTOWXNGE-UHFFFAOYSA-N 0 2 317.349 0.717 20 0 DCADLN CC(C)[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCO1 ZINC001589516974 1166665499 /nfs/dbraw/zinc/66/54/99/1166665499.db2.gz JLUGPDRSYGFQLV-LBPRGKRZSA-N 0 2 304.354 0.343 20 0 DCADLN CCCC[C@@H](COC)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590581619 1166946624 /nfs/dbraw/zinc/94/66/24/1166946624.db2.gz MMHOYSHZCIALJX-QMMMGPOBSA-N 0 2 323.357 0.283 20 0 DCADLN CN(Cc1n[nH]c(=O)o1)C(=O)c1ccc(-c2nn[nH]n2)s1 ZINC001591152087 1167116574 /nfs/dbraw/zinc/11/65/74/1167116574.db2.gz PVDBYECXAIZNIL-UHFFFAOYSA-N 0 2 307.295 0.289 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)N1CC=CC1 ZINC001591794422 1167380899 /nfs/dbraw/zinc/38/08/99/1167380899.db2.gz TYPKSRVNWOIESC-UHFFFAOYSA-N 0 2 314.349 0.915 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CC2CCC(C)CC2)c(=O)n1C ZINC001591793991 1167381278 /nfs/dbraw/zinc/38/12/78/1167381278.db2.gz SRBULKKXZRFWFN-UHFFFAOYSA-N 0 2 318.381 0.862 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)N1CC(C)C1 ZINC001591793031 1167381501 /nfs/dbraw/zinc/38/15/01/1167381501.db2.gz GDAFAEYKSIMHOZ-UHFFFAOYSA-N 0 2 316.365 0.995 20 0 DCADLN Cc1nc(C(C)(C)NCn2cccc(-c3nn[nH]n3)c2=O)no1 ZINC001592352927 1167500879 /nfs/dbraw/zinc/50/08/79/1167500879.db2.gz XZTKRFROAXQOFV-UHFFFAOYSA-N 0 2 316.325 0.202 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC[C@@H]2CC[C@@H](O)[C@@H]21 ZINC001592498913 1167539547 /nfs/dbraw/zinc/53/95/47/1167539547.db2.gz DQGVRNBFHGSTJM-MKPLZMMCSA-N 0 2 303.322 0.754 20 0 DCADLN Cn1c(=O)[nH]c(NC2CCC=CCC2)c(-c2nn[nH]n2)c1=O ZINC001592546125 1167550268 /nfs/dbraw/zinc/55/02/68/1167550268.db2.gz KPTXFWXYKVOWIV-UHFFFAOYSA-N 0 2 303.326 0.577 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(C/C=C/c2ccccc2)c1=O ZINC001592558114 1167557982 /nfs/dbraw/zinc/55/79/82/1167557982.db2.gz OQRSWEIIUVPERN-VMPITWQZSA-N 0 2 310.317 0.441 20 0 DCADLN O=C1OCC[C@@H]1CCn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001593414066 1167825422 /nfs/dbraw/zinc/82/54/22/1167825422.db2.gz COKNMLQHOANVPZ-VIFPVBQESA-N 0 2 315.333 0.470 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cc(F)cc(F)c1 ZINC001593489413 1167870582 /nfs/dbraw/zinc/87/05/82/1167870582.db2.gz LUIJUOYYSBSRFB-UHFFFAOYSA-N 0 2 306.232 0.456 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cc3cc[nH]c(=O)c3s2)C1=O ZINC001596444376 1167993077 /nfs/dbraw/zinc/99/30/77/1167993077.db2.gz DQVIMRDJJIAHRC-UHFFFAOYSA-N 0 2 306.303 0.128 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@@H](CO)[C@H](O)C1 ZINC001600355341 1168171882 /nfs/dbraw/zinc/17/18/82/1168171882.db2.gz SGEKIIXBCYOTRD-GXTWGEPZSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@@H](CO)[C@H](O)C1 ZINC001600355341 1168171886 /nfs/dbraw/zinc/17/18/86/1168171886.db2.gz SGEKIIXBCYOTRD-GXTWGEPZSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(O[C@H]2CC[N@H+](Cc3cn(CC(=O)[O-])nn3)C2)nc1 ZINC001600468829 1168188285 /nfs/dbraw/zinc/18/82/85/1168188285.db2.gz BREACQQRHSBRNM-ZDUSSCGKSA-N 0 2 317.349 0.719 20 0 DCADLN Cc1ccc(O[C@H]2CC[N@@H+](Cc3cn(CC(=O)[O-])nn3)C2)nc1 ZINC001600468829 1168188288 /nfs/dbraw/zinc/18/82/88/1168188288.db2.gz BREACQQRHSBRNM-ZDUSSCGKSA-N 0 2 317.349 0.719 20 0 DCADLN Cc1cccc2c1O[C@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])C2 ZINC001600559352 1168199024 /nfs/dbraw/zinc/19/90/24/1168199024.db2.gz ZKCVOSWRMNSXCZ-OLZOCXBDSA-N 0 2 315.329 0.765 20 0 DCADLN Cc1ccccc1OCC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600584836 1168204438 /nfs/dbraw/zinc/20/44/38/1168204438.db2.gz GAJHPCMBTQRPOX-LBPRGKRZSA-N 0 2 303.318 0.840 20 0 DCADLN Cc1cnc(C[NH2+]C2(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)nc1 ZINC001600620846 1168216691 /nfs/dbraw/zinc/21/66/91/1168216691.db2.gz BVSLWYIRIMKKPN-WDEREUQCSA-N 0 2 304.350 0.244 20 0 DCADLN Cc1nc(N2CC[NH+](Cc3cccc(C(=O)[O-])n3)CC2)n[nH]1 ZINC001600671985 1168241586 /nfs/dbraw/zinc/24/15/86/1168241586.db2.gz LRECBBGUFRQOKK-UHFFFAOYSA-N 0 2 302.338 0.529 20 0 DCADLN Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@H]2C(=O)[O-])co1 ZINC001600700762 1168255610 /nfs/dbraw/zinc/25/56/10/1168255610.db2.gz AQXLLPQNTVVZDP-KVSVUVNWSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@H]2C(=O)[O-])co1 ZINC001600700762 1168255618 /nfs/dbraw/zinc/25/56/18/1168255618.db2.gz AQXLLPQNTVVZDP-KVSVUVNWSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1nnc(N2CC[NH+](Cc3cccc(C(=O)[O-])n3)CC2)n1C ZINC001600797931 1168299375 /nfs/dbraw/zinc/29/93/75/1168299375.db2.gz PTKIBLGGCCBRHC-UHFFFAOYSA-N 0 2 316.365 0.539 20 0 DCADLN Cc1nonc1C[NH+]1CCC(NC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001600818401 1168475134 /nfs/dbraw/zinc/47/51/34/1168475134.db2.gz FMXSBQIVJGWWIC-WDEREUQCSA-N 0 2 308.338 0.179 20 0 DCADLN Cc1nonc1C[N@@H+]1CCC2(CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001600818248 1168475143 /nfs/dbraw/zinc/47/51/43/1168475143.db2.gz CDNAVAUWPYAKGN-WDEREUQCSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1nonc1C[N@H+]1CCC2(CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001600818248 1168475148 /nfs/dbraw/zinc/47/51/48/1168475148.db2.gz CDNAVAUWPYAKGN-WDEREUQCSA-N 0 2 320.349 0.133 20 0 DCADLN Cn1c(C[N@@H+]2CCCN(S(C)(=O)=O)CC2)ccc1C(=O)[O-] ZINC001600915004 1168508359 /nfs/dbraw/zinc/50/83/59/1168508359.db2.gz RGNZSZIIJGKIPW-UHFFFAOYSA-N 0 2 315.395 0.191 20 0 DCADLN Cn1c(C[N@H+]2CCCN(S(C)(=O)=O)CC2)ccc1C(=O)[O-] ZINC001600915004 1168508371 /nfs/dbraw/zinc/50/83/71/1168508371.db2.gz RGNZSZIIJGKIPW-UHFFFAOYSA-N 0 2 315.395 0.191 20 0 DCADLN Cn1ncc(C[N@H+](CCCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])C2CC2)n1 ZINC001601026146 1168569206 /nfs/dbraw/zinc/56/92/06/1168569206.db2.gz HSWSEOIQYAIRNS-CHWSQXEVSA-N 0 2 321.381 0.007 20 0 DCADLN Cn1ncc(C[N@@H+](CCCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])C2CC2)n1 ZINC001601026146 1168569215 /nfs/dbraw/zinc/56/92/15/1168569215.db2.gz HSWSEOIQYAIRNS-CHWSQXEVSA-N 0 2 321.381 0.007 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001601025630 1168569379 /nfs/dbraw/zinc/56/93/79/1168569379.db2.gz YDWWXNOJHDYTJQ-QMMMGPOBSA-N 0 2 304.310 0.451 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CCCOc1cccnc1 ZINC001601269690 1168684869 /nfs/dbraw/zinc/68/48/69/1168684869.db2.gz ACKVUAJBYDROEA-ZDUSSCGKSA-N 0 2 318.333 0.776 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CCCOc1cccnc1 ZINC001601269690 1168684877 /nfs/dbraw/zinc/68/48/77/1168684877.db2.gz ACKVUAJBYDROEA-ZDUSSCGKSA-N 0 2 318.333 0.776 20 0 DCADLN O=C([O-])c1cnc(NCCCNC(=O)CCc2c[nH]c[nH+]2)nc1 ZINC001601286831 1168691774 /nfs/dbraw/zinc/69/17/74/1168691774.db2.gz TZFUKZGHCKTSAQ-UHFFFAOYSA-N 0 2 318.337 0.449 20 0 DCADLN O=C([O-])c1cnc(NCCCNC(=O)CCc2c[nH+]c[nH]2)nc1 ZINC001601286831 1168691776 /nfs/dbraw/zinc/69/17/76/1168691776.db2.gz TZFUKZGHCKTSAQ-UHFFFAOYSA-N 0 2 318.337 0.449 20 0 DCADLN O=C([O-])C1=NOC2(C1)CC[NH+](CC(=O)Nc1nccs1)CC2 ZINC001601308540 1168699988 /nfs/dbraw/zinc/69/99/88/1168699988.db2.gz UAWFYCCMWOAGDL-UHFFFAOYSA-N 0 2 324.362 0.777 20 0 DCADLN O=C([O-])c1sccc1NC(=O)C[NH+]1[C@@H](CO)CC[C@@H]1CO ZINC001601319216 1168706442 /nfs/dbraw/zinc/70/64/42/1168706442.db2.gz GUMZLLKEBMYRFC-RKDXNWHRSA-N 0 2 314.363 0.202 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc2n[nH]cc2c1 ZINC001601360940 1168717959 /nfs/dbraw/zinc/71/79/59/1168717959.db2.gz FYGMZDBXLYEXIZ-ZDUSSCGKSA-N 0 2 313.317 0.572 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C2CC2)[nH]c1=O ZINC001601565323 1168783241 /nfs/dbraw/zinc/78/32/41/1168783241.db2.gz SDBNCJUDRCRVGJ-LBPRGKRZSA-N 0 2 316.317 0.813 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C2CC2)[nH]c1=O ZINC001601565323 1168783246 /nfs/dbraw/zinc/78/32/46/1168783246.db2.gz SDBNCJUDRCRVGJ-LBPRGKRZSA-N 0 2 316.317 0.813 20 0 DCADLN O=C([O-])C1(O)C[NH+](C[C@H](O)COc2cccc3ccccc32)C1 ZINC001601723081 1168834368 /nfs/dbraw/zinc/83/43/68/1168834368.db2.gz VIXOLOSTGQGLNY-ZDUSSCGKSA-N 0 2 317.341 0.711 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001601767340 1168865803 /nfs/dbraw/zinc/86/58/03/1168865803.db2.gz DGMVLFHYNNCMJN-BQBZGAKWSA-N 0 2 309.244 0.442 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001601767340 1168865817 /nfs/dbraw/zinc/86/58/17/1168865817.db2.gz DGMVLFHYNNCMJN-BQBZGAKWSA-N 0 2 309.244 0.442 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001601777399 1168878724 /nfs/dbraw/zinc/87/87/24/1168878724.db2.gz CWGXHVYSVAAAQN-STQMWFEESA-N 0 2 320.349 0.429 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001601777399 1168878734 /nfs/dbraw/zinc/87/87/34/1168878734.db2.gz CWGXHVYSVAAAQN-STQMWFEESA-N 0 2 320.349 0.429 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC/C=C/C[NH2+]Cc1nnc(C2CC2)o1 ZINC001601780736 1168887621 /nfs/dbraw/zinc/88/76/21/1168887621.db2.gz MQFHGZMBTUASFU-COUIAZAYSA-N 0 2 320.349 0.430 20 0 DCADLN O=C([O-])c1cc(C[N@@H+]2CCC[C@@H]3OCCNC(=O)[C@@H]32)cs1 ZINC001602255546 1169044959 /nfs/dbraw/zinc/04/49/59/1169044959.db2.gz LLVFROCZYNOBNE-CMPLNLGQSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1cc(C[N@H+]2CCC[C@@H]3OCCNC(=O)[C@@H]32)cs1 ZINC001602255546 1169044967 /nfs/dbraw/zinc/04/49/67/1169044967.db2.gz LLVFROCZYNOBNE-CMPLNLGQSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1ccoc1S(=O)(=O)N1CC[NH+](CC2CC2)CC1 ZINC001602487675 1169088407 /nfs/dbraw/zinc/08/84/07/1169088407.db2.gz LPFJTHDWTSCIAM-UHFFFAOYSA-N 0 2 314.363 0.694 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCC[C@H](n3cc(CO)nn3)C2)s1 ZINC001602520057 1169105138 /nfs/dbraw/zinc/10/51/38/1169105138.db2.gz IDDUMNZLXFPTLK-JTQLQIEISA-N 0 2 323.378 0.762 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCC[C@H](n3cc(CO)nn3)C2)s1 ZINC001602520057 1169105143 /nfs/dbraw/zinc/10/51/43/1169105143.db2.gz IDDUMNZLXFPTLK-JTQLQIEISA-N 0 2 323.378 0.762 20 0 DCADLN O=Cc1cccc(OCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001602668061 1169155897 /nfs/dbraw/zinc/15/58/97/1169155897.db2.gz CPLRLUBAGXGQNC-CYBMUJFWSA-N 0 2 317.301 0.413 20 0 DCADLN O=Cc1cccc(OCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001602668061 1169155900 /nfs/dbraw/zinc/15/59/00/1169155900.db2.gz CPLRLUBAGXGQNC-CYBMUJFWSA-N 0 2 317.301 0.413 20 0 DCADLN CC(=O)CCCCCn1c(=O)c(-c2nn[nH]n2)c(C)n(C)c1=O ZINC001602913961 1169204373 /nfs/dbraw/zinc/20/43/73/1169204373.db2.gz JIDGEXHXZONTAW-UHFFFAOYSA-N 0 2 320.353 0.185 20 0 DCADLN CC(C)(CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1)CC(=O)[O-] ZINC001603632361 1169338366 /nfs/dbraw/zinc/33/83/66/1169338366.db2.gz WOTZQRVXUPPOTJ-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN CC(C)(CNC(=O)NCC[N@H+]1CCOC(C)(C)C1)CC(=O)[O-] ZINC001603632361 1169338375 /nfs/dbraw/zinc/33/83/75/1169338375.db2.gz WOTZQRVXUPPOTJ-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+](C)[C@H](C)C[S@](C)=O)C(=O)[O-] ZINC001603775017 1169384301 /nfs/dbraw/zinc/38/43/01/1169384301.db2.gz CWCBDVYUQIRQEL-NLQQUQSOSA-N 0 2 306.428 0.301 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+](C)[C@H](C)C[S@](C)=O)C(=O)[O-] ZINC001603775017 1169384305 /nfs/dbraw/zinc/38/43/05/1169384305.db2.gz CWCBDVYUQIRQEL-NLQQUQSOSA-N 0 2 306.428 0.301 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc(C(=O)[O-])cn1 ZINC001604166612 1169510268 /nfs/dbraw/zinc/51/02/68/1169510268.db2.gz DULBZYUCWSESSM-LLVKDONJSA-N 0 2 307.350 0.573 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)OC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001604169348 1169512838 /nfs/dbraw/zinc/51/28/38/1169512838.db2.gz RBKCKJSSVGZIOK-RWMBFGLXSA-N 0 2 315.366 0.520 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccc(C(=O)[O-])nc1)[NH+]1CCN(C)CC1 ZINC001604173981 1169513763 /nfs/dbraw/zinc/51/37/63/1169513763.db2.gz GWLMQTUPHXAIDK-LBPRGKRZSA-N 0 2 320.393 0.074 20 0 DCADLN C[C@@H](Oc1ccccn1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001604449437 1169597133 /nfs/dbraw/zinc/59/71/33/1169597133.db2.gz RDQXTSUDQSBKTF-MWLCHTKSSA-N 0 2 304.306 0.384 20 0 DCADLN C[C@@H](Oc1ccccn1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001604449437 1169597139 /nfs/dbraw/zinc/59/71/39/1169597139.db2.gz RDQXTSUDQSBKTF-MWLCHTKSSA-N 0 2 304.306 0.384 20 0 DCADLN CCc1nn(C[C@H]2CCO[C@@H]2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604580010 1169622232 /nfs/dbraw/zinc/62/22/32/1169622232.db2.gz UAZIJSIZRMDGAP-NXEZZACHSA-N 0 2 318.381 0.973 20 0 DCADLN COC(=O)CCCn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001604938136 1169756896 /nfs/dbraw/zinc/75/68/96/1169756896.db2.gz LSOUESOFCGKJBB-UHFFFAOYSA-N 0 2 317.349 0.860 20 0 DCADLN CC[C@](COC)(NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC001605049716 1169794922 /nfs/dbraw/zinc/79/49/22/1169794922.db2.gz RFWQWKIVYQNDDN-ZWNOBZJWSA-N 0 2 304.412 0.420 20 0 DCADLN COCCCN(C)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605087618 1169815454 /nfs/dbraw/zinc/81/54/54/1169815454.db2.gz OLHMEGVFKAMAQY-UHFFFAOYSA-N 0 2 306.326 0.384 20 0 DCADLN COCCONC(=O)C1(c2cc(F)cc(-c3nn[nH]n3)c2)CC1 ZINC001605114148 1169832832 /nfs/dbraw/zinc/83/28/32/1169832832.db2.gz YZDIKFLNLKJAKZ-UHFFFAOYSA-N 0 2 321.312 0.732 20 0 DCADLN CCCCNC(=O)C1CC[NH+](Cn2cnc(C(=O)[O-])n2)CC1 ZINC001605281025 1169885593 /nfs/dbraw/zinc/88/55/93/1169885593.db2.gz MGSRUTJCJZUITP-UHFFFAOYSA-N 0 2 309.370 0.562 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@H+](CCC(=O)[O-])[C@H](C)C2)n[nH]1 ZINC001605370235 1169906832 /nfs/dbraw/zinc/90/68/32/1169906832.db2.gz WRIJMIWZUFTSNW-LLVKDONJSA-N 0 2 308.382 0.983 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@@H+](CCC(=O)[O-])[C@H](C)C2)n[nH]1 ZINC001605370235 1169906834 /nfs/dbraw/zinc/90/68/34/1169906834.db2.gz WRIJMIWZUFTSNW-LLVKDONJSA-N 0 2 308.382 0.983 20 0 DCADLN CC[N@@H+]1CC[C@H]1C(=O)Nc1ccc(C(=O)NCCC(=O)[O-])cc1 ZINC001605474534 1169933365 /nfs/dbraw/zinc/93/33/65/1169933365.db2.gz ITDLTWOIAKCCIW-ZDUSSCGKSA-N 0 2 319.361 0.924 20 0 DCADLN CC[N@H+]1CC[C@H]1C(=O)Nc1ccc(C(=O)NCCC(=O)[O-])cc1 ZINC001605474534 1169933368 /nfs/dbraw/zinc/93/33/68/1169933368.db2.gz ITDLTWOIAKCCIW-ZDUSSCGKSA-N 0 2 319.361 0.924 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCCOC[C@@H]1C ZINC001605506566 1169941289 /nfs/dbraw/zinc/94/12/89/1169941289.db2.gz FAUAQSLHWLDIMP-VIFPVBQESA-N 0 2 318.337 0.527 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@H]2CC[C@@H](C1)O2 ZINC001605507252 1169941382 /nfs/dbraw/zinc/94/13/82/1169941382.db2.gz WHEZCPFJHNQYAT-DTORHVGOSA-N 0 2 316.321 0.279 20 0 DCADLN Cc1cc(C(=O)Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)on1 ZINC001605589141 1169967523 /nfs/dbraw/zinc/96/75/23/1169967523.db2.gz MZOVNQOXMYGGDL-UHFFFAOYSA-N 0 2 315.293 0.220 20 0 DCADLN CCOc1cccnc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001605715811 1169991296 /nfs/dbraw/zinc/99/12/96/1169991296.db2.gz XZIZGTQNXWDSIU-JTQLQIEISA-N 0 2 304.306 0.629 20 0 DCADLN CCOc1cccnc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001605715811 1169991300 /nfs/dbraw/zinc/99/13/00/1169991300.db2.gz XZIZGTQNXWDSIU-JTQLQIEISA-N 0 2 304.306 0.629 20 0 DCADLN CN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)[C@@H](COC1CCC1)C(=O)[O-] ZINC001605956827 1170035769 /nfs/dbraw/zinc/03/57/69/1170035769.db2.gz YENJVAUTQHGEMS-RISCZKNCSA-N 0 2 321.377 0.926 20 0 DCADLN CC[C@]1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCNC1=O ZINC001626188994 1170087251 /nfs/dbraw/zinc/08/72/51/1170087251.db2.gz MQLRLVTUEIMRNI-OTYXRUKQSA-N 0 2 307.354 0.133 20 0 DCADLN CNC(=O)[C@H]1C[N@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC001606266642 1170142542 /nfs/dbraw/zinc/14/25/42/1170142542.db2.gz YCGVUYCZFFOVHE-CYBMUJFWSA-N 0 2 308.334 0.097 20 0 DCADLN CNC(=O)[C@H]1C[N@@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC001606266642 1170142543 /nfs/dbraw/zinc/14/25/43/1170142543.db2.gz YCGVUYCZFFOVHE-CYBMUJFWSA-N 0 2 308.334 0.097 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN([C@H](C)c2nc(C(=O)[O-])co2)CC1 ZINC001606270993 1170144145 /nfs/dbraw/zinc/14/41/45/1170144145.db2.gz LRNZZAKUOMTRFR-SNVBAGLBSA-N 0 2 310.354 0.188 20 0 DCADLN CNC(=O)C[N@H+]1CCCN([C@H](C)c2nc(C(=O)[O-])co2)CC1 ZINC001606270993 1170144149 /nfs/dbraw/zinc/14/41/49/1170144149.db2.gz LRNZZAKUOMTRFR-SNVBAGLBSA-N 0 2 310.354 0.188 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001606299147 1170154285 /nfs/dbraw/zinc/15/42/85/1170154285.db2.gz UJJPRDXSXONRQM-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001606299147 1170154290 /nfs/dbraw/zinc/15/42/90/1170154290.db2.gz UJJPRDXSXONRQM-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC001606334670 1170162505 /nfs/dbraw/zinc/16/25/05/1170162505.db2.gz ZAWYOMMCXUZSKA-GZMMTYOYSA-N 0 2 313.272 0.640 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC001606334670 1170162509 /nfs/dbraw/zinc/16/25/09/1170162509.db2.gz ZAWYOMMCXUZSKA-GZMMTYOYSA-N 0 2 313.272 0.640 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001606470892 1170216276 /nfs/dbraw/zinc/21/62/76/1170216276.db2.gz MGOAGWMELIUHOX-GFCCVEGCSA-N 0 2 321.333 0.033 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001606470892 1170216278 /nfs/dbraw/zinc/21/62/78/1170216278.db2.gz MGOAGWMELIUHOX-GFCCVEGCSA-N 0 2 321.333 0.033 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1ccc(F)cc1 ZINC001606623452 1170246241 /nfs/dbraw/zinc/24/62/41/1170246241.db2.gz LDTSSKYXMQLNMI-UHFFFAOYSA-N 0 2 300.253 0.445 20 0 DCADLN CO[C@H](CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])C(F)(F)F ZINC001606670125 1170257330 /nfs/dbraw/zinc/25/73/30/1170257330.db2.gz NTKKTCBQCLSMTN-HTQZYQBOSA-N 0 2 309.244 0.420 20 0 DCADLN O=C(c1coc(-c2nn[nH]n2)c1)N1CCC[C@H]1c1nnc[nH]1 ZINC001607032537 1170359881 /nfs/dbraw/zinc/35/98/81/1170359881.db2.gz IINYLZKARNLQQW-QMMMGPOBSA-N 0 2 300.282 0.555 20 0 DCADLN O=S(=O)(Nc1ncccn1)c1ccc(-c2nn[nH]n2)cc1F ZINC001607069981 1170378633 /nfs/dbraw/zinc/37/86/33/1170378633.db2.gz PNGMHJZOSUZFMW-UHFFFAOYSA-N 0 2 321.297 0.597 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1ccn(C2CCCC2)n1 ZINC001607089610 1170386368 /nfs/dbraw/zinc/38/63/68/1170386368.db2.gz CTXRCFZAQYVMFL-UHFFFAOYSA-N 0 2 312.337 0.783 20 0 DCADLN c1ncc(-c2nn[nH]n2)nc1NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001607139179 1170408917 /nfs/dbraw/zinc/40/89/17/1170408917.db2.gz XHAFIIPHVXECIW-MRVPVSSYSA-N 0 2 314.313 0.238 20 0 DCADLN COc1ccc(CC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])nc1 ZINC001607241958 1170417536 /nfs/dbraw/zinc/41/75/36/1170417536.db2.gz QBDURRVGTLXMBV-LBPRGKRZSA-N 0 2 304.306 0.099 20 0 DCADLN COc1cccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1OC ZINC001607304622 1170424888 /nfs/dbraw/zinc/42/48/88/1170424888.db2.gz HICZCAMZAWWGQP-NSHDSACASA-N 0 2 319.317 0.784 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[N@@H+]2CCC[C@H](S(N)(=O)=O)C2)n1C ZINC001607512052 1170471085 /nfs/dbraw/zinc/47/10/85/1170471085.db2.gz QIQQWURLSDOOQJ-NSHDSACASA-N 0 2 315.395 0.285 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[N@H+]2CCC[C@H](S(N)(=O)=O)C2)n1C ZINC001607512052 1170471086 /nfs/dbraw/zinc/47/10/86/1170471086.db2.gz QIQQWURLSDOOQJ-NSHDSACASA-N 0 2 315.395 0.285 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NCC2(C(=O)[O-])CC2)c(N(C)C)[nH+]1 ZINC001607797838 1170508216 /nfs/dbraw/zinc/50/82/16/1170508216.db2.gz VLZYWXQMFIXMKI-UHFFFAOYSA-N 0 2 320.349 0.376 20 0 DCADLN Cc1ncccc1C=CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001607988947 1170541280 /nfs/dbraw/zinc/54/12/80/1170541280.db2.gz PBLVCEMMWAVXAU-ZFDPJTLLSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1oc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)cc1C(=O)[O-] ZINC001608053543 1170559973 /nfs/dbraw/zinc/55/99/73/1170559973.db2.gz WTWXDKBWKISDML-UHFFFAOYSA-N 0 2 320.349 0.883 20 0 DCADLN NC(=O)c1cc(C[NH2+]Cc2cc(C(=O)[O-])c3n2CCCC3)on1 ZINC001608241686 1170626827 /nfs/dbraw/zinc/62/68/27/1170626827.db2.gz OBCYMCBVHZXSSQ-UHFFFAOYSA-N 0 2 318.333 0.899 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1cccnc1Cl ZINC001608368231 1170660164 /nfs/dbraw/zinc/66/01/64/1170660164.db2.gz KMJZXPXKMGZRNR-JTQLQIEISA-N 0 2 308.725 0.813 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1cccnc1Cl ZINC001608368231 1170660168 /nfs/dbraw/zinc/66/01/68/1170660168.db2.gz KMJZXPXKMGZRNR-JTQLQIEISA-N 0 2 308.725 0.813 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cn1cc(C2CC2)cn1 ZINC001608377310 1170663417 /nfs/dbraw/zinc/66/34/17/1170663417.db2.gz NKSMDOOUNIXLCZ-LBPRGKRZSA-N 0 2 303.322 0.227 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1CNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC001608455090 1170682530 /nfs/dbraw/zinc/68/25/30/1170682530.db2.gz YTMUVPRECKOMGY-ZYHUDNBSSA-N 0 2 306.366 0.782 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)[C@@H](c1ccccc1)[NH+]1CCC(O)CC1 ZINC001608536401 1170698432 /nfs/dbraw/zinc/69/84/32/1170698432.db2.gz OMYFRTAKEWSMGC-UONOGXRCSA-N 0 2 324.352 0.723 20 0 DCADLN O=C([O-])C1=NOC2(C1)CCN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)CC2 ZINC001608590373 1170709562 /nfs/dbraw/zinc/70/95/62/1170709562.db2.gz MZTQYXDGCWXLOV-NXEZZACHSA-N 0 2 318.333 0.735 20 0 DCADLN O=C([O-])C1=NOC2(C1)CCN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)CC2 ZINC001608590373 1170709567 /nfs/dbraw/zinc/70/95/67/1170709567.db2.gz MZTQYXDGCWXLOV-NXEZZACHSA-N 0 2 318.333 0.735 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](Cc2nncn2-c2ccccc2)C1 ZINC001608601779 1170712882 /nfs/dbraw/zinc/71/28/82/1170712882.db2.gz NAOKQIGWTAOFFO-AAEUAGOBSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](Cc2nncn2-c2ccccc2)C1 ZINC001608601779 1170712888 /nfs/dbraw/zinc/71/28/88/1170712888.db2.gz NAOKQIGWTAOFFO-AAEUAGOBSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2cc(C3CC3)no2)C1 ZINC001608610005 1170718076 /nfs/dbraw/zinc/71/80/76/1170718076.db2.gz HAMKCOLYPZBMKT-CHWSQXEVSA-N 0 2 319.361 0.821 20 0 DCADLN O=C([O-])[C@@H]1CCN2C(=O)N(CCCCn3cc[nH+]c3)C(=O)[C@H]2C1 ZINC001608640774 1170728323 /nfs/dbraw/zinc/72/83/23/1170728323.db2.gz ZIRQOLVLEMSURZ-VXGBXAGGSA-N 0 2 320.349 0.791 20 0 DCADLN O=C([O-])CSCCC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC001608766042 1170752540 /nfs/dbraw/zinc/75/25/40/1170752540.db2.gz KSJHJTVZQNKJCG-GFCCVEGCSA-N 0 2 316.423 0.518 20 0 DCADLN O=C([O-])Cn1ccc(NC(=O)N[C@H]2CCn3cc[nH+]c3C2)n1 ZINC001608798929 1170756382 /nfs/dbraw/zinc/75/63/82/1170756382.db2.gz FQINVFREAVRZQH-VIFPVBQESA-N 0 2 304.310 0.301 20 0 DCADLN O=C([O-])c1cnc(C[NH+]2CCC(N3CN=NC3=O)CC2)s1 ZINC001608971830 1170778536 /nfs/dbraw/zinc/77/85/36/1170778536.db2.gz YXFKTOOLTFKEDC-UHFFFAOYSA-N 0 2 309.351 0.976 20 0 DCADLN C[C@H](Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O)C1CCCCC1 ZINC001609116380 1170816765 /nfs/dbraw/zinc/81/67/65/1170816765.db2.gz JUDLMUPGOJMPJU-SNVBAGLBSA-N 0 2 318.381 0.944 20 0 DCADLN C[C@H]1CCC[N@@H+]1Cn1cc2c(c(-c3nnn[n-]3)c1=O)CCC2 ZINC001609166890 1170833954 /nfs/dbraw/zinc/83/39/54/1170833954.db2.gz JUHKDXOBIJZIFV-JTQLQIEISA-N 0 2 300.366 0.959 20 0 DCADLN C[C@H]1CCC[N@H+]1Cn1cc2c(c(-c3nnn[n-]3)c1=O)CCC2 ZINC001609166890 1170833961 /nfs/dbraw/zinc/83/39/61/1170833961.db2.gz JUHKDXOBIJZIFV-JTQLQIEISA-N 0 2 300.366 0.959 20 0 DCADLN CC1CCC(Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)CC1 ZINC001609161814 1170834013 /nfs/dbraw/zinc/83/40/13/1170834013.db2.gz VGWGZFOBDSCBMO-UHFFFAOYSA-N 0 2 304.354 0.553 20 0 DCADLN C[C@@H]1CCC[N@@H+]1Cn1cc2c(c(-c3nnn[n-]3)c1=O)CCC2 ZINC001609166894 1170834619 /nfs/dbraw/zinc/83/46/19/1170834619.db2.gz JUHKDXOBIJZIFV-SNVBAGLBSA-N 0 2 300.366 0.959 20 0 DCADLN C[C@@H]1CCC[N@H+]1Cn1cc2c(c(-c3nnn[n-]3)c1=O)CCC2 ZINC001609166894 1170834623 /nfs/dbraw/zinc/83/46/23/1170834623.db2.gz JUHKDXOBIJZIFV-SNVBAGLBSA-N 0 2 300.366 0.959 20 0 DCADLN CC[C@H](Cn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O)OC ZINC001609192163 1170861008 /nfs/dbraw/zinc/86/10/08/1170861008.db2.gz XLSTWDPXBNNYQT-SECBINFHSA-N 0 2 307.310 0.240 20 0 DCADLN CC[C@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)C[C@@H](C)O1 ZINC001609202405 1170867141 /nfs/dbraw/zinc/86/71/41/1170867141.db2.gz HIMVVOBKQPIOLO-NEPJUHHUSA-N 0 2 318.381 0.794 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccc(F)c(F)c2)c1=O ZINC001609477416 1170992346 /nfs/dbraw/zinc/99/23/46/1170992346.db2.gz VBXYAIKLAJUDNS-UHFFFAOYSA-N 0 2 320.259 0.054 20 0 DCADLN CC(=O)Nc1nc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cs1 ZINC001609626117 1171054773 /nfs/dbraw/zinc/05/47/73/1171054773.db2.gz WZRZDSNLSFXOHA-QMMMGPOBSA-N 0 2 323.334 0.181 20 0 DCADLN CC(C)(CS(=O)(=O)NCCCCn1cc[nH+]c1)C(=O)[O-] ZINC001609678104 1171064474 /nfs/dbraw/zinc/06/44/74/1171064474.db2.gz RXDPVRJZCQIKOF-UHFFFAOYSA-N 0 2 303.384 0.694 20 0 DCADLN CC(C)Oc1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])ccn1 ZINC001609712583 1171072472 /nfs/dbraw/zinc/07/24/72/1171072472.db2.gz NOXQNWGRJRKHHV-GFCCVEGCSA-N 0 2 318.333 0.949 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1c[nH]c(C(=O)[O-])c1 ZINC001609837423 1171100659 /nfs/dbraw/zinc/10/06/59/1171100659.db2.gz ANJGBXYZQVBMEB-PWSUYJOCSA-N 0 2 307.350 0.648 20 0 DCADLN C[C@@H]1C[N@H+](CC(=O)N2CCC(C(=O)[O-])CC2)CC2(CCC2)O1 ZINC001609871739 1171111878 /nfs/dbraw/zinc/11/18/78/1171111878.db2.gz XIGLZHBALYBIMA-GFCCVEGCSA-N 0 2 310.394 0.953 20 0 DCADLN C[C@@H]1C[N@@H+](CC(=O)N2CCC(C(=O)[O-])CC2)CC2(CCC2)O1 ZINC001609871739 1171111882 /nfs/dbraw/zinc/11/18/82/1171111882.db2.gz XIGLZHBALYBIMA-GFCCVEGCSA-N 0 2 310.394 0.953 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[N@@H+]1CC[C@H](C)C[C@H]1C(=O)[O-] ZINC001610015787 1171139434 /nfs/dbraw/zinc/13/94/34/1171139434.db2.gz HDHBYRPGPHDAFF-QWRGUYRKSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[N@H+]1CC[C@H](C)C[C@H]1C(=O)[O-] ZINC001610015787 1171139439 /nfs/dbraw/zinc/13/94/39/1171139439.db2.gz HDHBYRPGPHDAFF-QWRGUYRKSA-N 0 2 300.355 0.193 20 0 DCADLN CN1C(=O)CN(C[N@@H+]2CCc3cccc(C(=O)[O-])c3C2)C1=O ZINC001610127376 1171164961 /nfs/dbraw/zinc/16/49/61/1171164961.db2.gz FPZXVMDCRSYRCH-UHFFFAOYSA-N 0 2 303.318 0.594 20 0 DCADLN CN1C(=O)CN(C[N@H+]2CCc3cccc(C(=O)[O-])c3C2)C1=O ZINC001610127376 1171164965 /nfs/dbraw/zinc/16/49/65/1171164965.db2.gz FPZXVMDCRSYRCH-UHFFFAOYSA-N 0 2 303.318 0.594 20 0 DCADLN CN(Cc1ccc(C(=O)[O-])cc1)C(=O)C(=O)N1CC[NH+](C)CC1 ZINC001610135581 1171170430 /nfs/dbraw/zinc/17/04/30/1171170430.db2.gz XYXHZOADZRUWND-UHFFFAOYSA-N 0 2 319.361 0.117 20 0 DCADLN COC(=O)c1cccc([C@@H]([NH2+]CC(=O)N2CCCC2)C(=O)[O-])c1 ZINC001610217967 1171198829 /nfs/dbraw/zinc/19/88/29/1171198829.db2.gz KZWIFTAWBOGPQP-CQSZACIVSA-N 0 2 320.345 0.811 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)N2CC[NH+](CCO)CC2)c(C)c1C(=O)[O-] ZINC001610396176 1171229176 /nfs/dbraw/zinc/22/91/76/1171229176.db2.gz ARMZOLSLIMAYGL-GFCCVEGCSA-N 0 2 323.393 0.511 20 0 DCADLN Cc1cc(NC(=O)C(=O)N[C@H](C)Cn2cc[nH+]c2)c(C(=O)[O-])[nH]1 ZINC001610470224 1171240075 /nfs/dbraw/zinc/24/00/75/1171240075.db2.gz YIUDXYXFHIAHKG-SECBINFHSA-N 0 2 319.321 0.361 20 0 DCADLN Cc1cc(NC(=O)C(=O)N[C@@H](C)Cn2cc[nH+]c2)c(C(=O)[O-])[nH]1 ZINC001610470233 1171240430 /nfs/dbraw/zinc/24/04/30/1171240430.db2.gz YIUDXYXFHIAHKG-VIFPVBQESA-N 0 2 319.321 0.361 20 0 DCADLN O=C([O-])C1(NC(=O)C[N@H+]2CC[C@@](O)(C(F)F)C2)CCCCC1 ZINC001610662921 1171284255 /nfs/dbraw/zinc/28/42/55/1171284255.db2.gz UZXXGTNCQOVOHD-AWEZNQCLSA-N 0 2 320.336 0.592 20 0 DCADLN O=C([O-])C1(NC(=O)C[N@@H+]2CC[C@@](O)(C(F)F)C2)CCCCC1 ZINC001610662921 1171284259 /nfs/dbraw/zinc/28/42/59/1171284259.db2.gz UZXXGTNCQOVOHD-AWEZNQCLSA-N 0 2 320.336 0.592 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)COc1ccc(O)cc1 ZINC001610671835 1171288314 /nfs/dbraw/zinc/28/83/14/1171288314.db2.gz VATBARSTJUPZDB-LBPRGKRZSA-N 0 2 305.290 0.306 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)COc1ccc(O)cc1 ZINC001610671835 1171288322 /nfs/dbraw/zinc/28/83/22/1171288322.db2.gz VATBARSTJUPZDB-LBPRGKRZSA-N 0 2 305.290 0.306 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2nccnc2c1 ZINC001610682325 1171290200 /nfs/dbraw/zinc/29/02/00/1171290200.db2.gz URXNVCCPLJLIKY-CYBMUJFWSA-N 0 2 311.301 0.710 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3ccn4cc[nH+]c4c3)C[C@@H]21 ZINC001610710435 1171296563 /nfs/dbraw/zinc/29/65/63/1171296563.db2.gz DEQAJZJNFYOVLF-IAZYJMLFSA-N 0 2 314.301 0.062 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)cn1 ZINC001610838473 1171338321 /nfs/dbraw/zinc/33/83/21/1171338321.db2.gz MUVQLWKDORQMMZ-UHFFFAOYSA-N 0 2 308.319 0.181 20 0 DCADLN O=C([O-])c1cnoc1C[N@@H+]1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC001610865037 1171344626 /nfs/dbraw/zinc/34/46/26/1171344626.db2.gz VAFIZPFDJPWBJU-GFCCVEGCSA-N 0 2 323.349 0.586 20 0 DCADLN O=C([O-])c1cnoc1C[N@H+]1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC001610865037 1171344633 /nfs/dbraw/zinc/34/46/33/1171344633.db2.gz VAFIZPFDJPWBJU-GFCCVEGCSA-N 0 2 323.349 0.586 20 0 DCADLN COC(OC)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001631930372 1171605851 /nfs/dbraw/zinc/60/58/51/1171605851.db2.gz VYVUSAICIGMGRS-SNVBAGLBSA-N 0 2 306.322 0.529 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N[C@@H]2C=CCCC2)[nH]1 ZINC001632995283 1171629578 /nfs/dbraw/zinc/62/95/78/1171629578.db2.gz OKRMBKGEAOPKDW-SECBINFHSA-N 0 2 313.383 0.257 20 0 DCADLN COC[C@H](NC(=O)c1ncc2ccccc2c1O)c1nn[nH]n1 ZINC001634620955 1171679336 /nfs/dbraw/zinc/67/93/36/1171679336.db2.gz AQDRZWNOURALCD-JTQLQIEISA-N 0 2 314.305 0.571 20 0 DCADLN O=C(NCCCc1cc(=O)[nH][nH]1)c1cccc2nc(CO)[nH]c21 ZINC001638199344 1171792149 /nfs/dbraw/zinc/79/21/49/1171792149.db2.gz ITALTHQKIBEGRG-UHFFFAOYSA-N 0 2 315.333 0.441 20 0 DCADLN CCc1noc(CCCC(=O)NCCc2n[nH]c(=S)o2)n1 ZINC001639104924 1171821006 /nfs/dbraw/zinc/82/10/06/1171821006.db2.gz XYVSLFVHCAUYKF-UHFFFAOYSA-N 0 2 311.367 0.985 20 0 DCADLN C[C@H](NC(=O)Cn1ncc2cccnc21)c1nn(C)cc1O ZINC001640030538 1171863027 /nfs/dbraw/zinc/86/30/27/1171863027.db2.gz IYSPYRULVXFZRT-VIFPVBQESA-N 0 2 300.322 0.748 20 0 DCADLN Cc1nn(C)c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1C ZINC001645550323 1172053073 /nfs/dbraw/zinc/05/30/73/1172053073.db2.gz VWBCBSXQYXYWKQ-UHFFFAOYSA-N 0 2 301.310 0.060 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](C(=O)[O-])[C@@H]1CCCOC1 ZINC001646254036 1172305926 /nfs/dbraw/zinc/30/59/26/1172305926.db2.gz MMXQHFJOXSYZLF-UZWSLXQKSA-N 0 2 307.350 0.520 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N(CC(=O)[O-])C2CCOCC2)c1 ZINC001646253006 1172306984 /nfs/dbraw/zinc/30/69/84/1172306984.db2.gz PMABITDANZOIKB-UHFFFAOYSA-N 0 2 310.354 0.238 20 0 DCADLN CCc1nc([C@@H](C)NC(=O)NC[C@@H](C)N2CC[NH+](C)CC2)n[nH]1 ZINC001646971269 1172611211 /nfs/dbraw/zinc/61/12/11/1172611211.db2.gz KQRNUWVGWYFOSM-VXGBXAGGSA-N 0 2 323.445 0.363 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCc2ccccc2C(=O)[O-])CCO1 ZINC001647721433 1173051504 /nfs/dbraw/zinc/05/15/04/1173051504.db2.gz QMQFMNMXDNSDIW-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCc2ccccc2C(=O)[O-])CCO1 ZINC001647721433 1173051511 /nfs/dbraw/zinc/05/15/11/1173051511.db2.gz QMQFMNMXDNSDIW-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2C[C@H]2CCOC2)S1 ZINC001649706002 1173176046 /nfs/dbraw/zinc/17/60/46/1173176046.db2.gz ALYYUJMVOPGTCY-BDAKNGLRSA-N 0 2 323.378 0.415 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)CNC(=O)C(C)(C)C)CC2)CC1 ZINC001649968012 1173346172 /nfs/dbraw/zinc/34/61/72/1173346172.db2.gz LDKYXTMJBWWUGS-UHFFFAOYSA-N 0 2 324.469 0.387 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2ccc3c(c2)ncn3C)n1 ZINC001657074198 1173905272 /nfs/dbraw/zinc/90/52/72/1173905272.db2.gz WVSVMALOTPDMJA-UHFFFAOYSA-N 0 2 321.366 0.942 20 0 DCADLN CC(C)COCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001665371464 1174511683 /nfs/dbraw/zinc/51/16/83/1174511683.db2.gz KLUDDWLTUITEJT-RYUDHWBXSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(C[C@@H]1CC=CCC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001699628883 1178226174 /nfs/dbraw/zinc/22/61/74/1178226174.db2.gz ZJWSCLIQOLKYHB-VXGBXAGGSA-N 0 2 305.382 0.947 20 0 DCADLN Cn1cncc1CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720130111 1178665935 /nfs/dbraw/zinc/66/59/35/1178665935.db2.gz LUXCPERGXSJKOQ-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001700874199 1178787193 /nfs/dbraw/zinc/78/71/93/1178787193.db2.gz CYDJACOWYIEEBY-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001700874199 1178787195 /nfs/dbraw/zinc/78/71/95/1178787195.db2.gz CYDJACOWYIEEBY-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1ncnn1C ZINC001713010770 1180622707 /nfs/dbraw/zinc/62/27/07/1180622707.db2.gz KIOGMVCLPAXRLS-UHFFFAOYSA-N 0 2 321.385 0.194 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1ncnn1C ZINC001713010770 1180622712 /nfs/dbraw/zinc/62/27/12/1180622712.db2.gz KIOGMVCLPAXRLS-UHFFFAOYSA-N 0 2 321.385 0.194 20 0 DCADLN CC(C)(C)/C=C\C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001715277750 1181750308 /nfs/dbraw/zinc/75/03/08/1181750308.db2.gz LKVOAUBSKZSEMK-WYGGZMRJSA-N 0 2 323.397 0.430 20 0 DCADLN CO[C@H](C(=O)NCCCNC(=O)Cc1[nH]c[nH+]c1C)C(C)C ZINC001720321250 1183562319 /nfs/dbraw/zinc/56/23/19/1183562319.db2.gz UKPHBBBQORDLDK-AWEZNQCLSA-N 0 2 310.398 0.554 20 0 DCADLN CN(CCCNC(=O)c1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001720477751 1183622426 /nfs/dbraw/zinc/62/24/26/1183622426.db2.gz OWSYMIVLZPPVRC-SSDOTTSWSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)c1ncc[nH]1)C(=O)[C@@H](F)C(F)(F)F ZINC001720477751 1183622431 /nfs/dbraw/zinc/62/24/31/1183622431.db2.gz OWSYMIVLZPPVRC-SSDOTTSWSA-N 0 2 310.251 0.888 20 0 DCADLN O=C(C[C@@H]1C=CCCC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001723435209 1184304610 /nfs/dbraw/zinc/30/46/10/1184304610.db2.gz UZUAWJWFHNQGDO-VXGBXAGGSA-N 0 2 305.382 0.947 20 0 DCADLN CN(CCCNC(=O)[C@H]1C[C@H]1C(N)=O)C/C(Cl)=C/Cl ZINC001731269155 1185231957 /nfs/dbraw/zinc/23/19/57/1185231957.db2.gz YJOCTVCFSPUPFL-VCNAMUQVSA-N 0 2 308.209 0.865 20 0 DCADLN CC[N@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@@H]1CCN(C)C1=O ZINC001731302987 1185251083 /nfs/dbraw/zinc/25/10/83/1185251083.db2.gz CNNYVHWKVPRKBL-LLVKDONJSA-N 0 2 323.397 0.096 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@@H]1CCN(C)C1=O ZINC001731302987 1185251089 /nfs/dbraw/zinc/25/10/89/1185251089.db2.gz CNNYVHWKVPRKBL-LLVKDONJSA-N 0 2 323.397 0.096 20 0 DCADLN CC/C=C(\C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001732093653 1185666358 /nfs/dbraw/zinc/66/63/58/1185666358.db2.gz BWNZAPOENMSDPH-NYYWCZLTSA-N 0 2 315.377 0.679 20 0 DCADLN CC[C@H](OC)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734622182 1186707686 /nfs/dbraw/zinc/70/76/86/1186707686.db2.gz WLBOEBSURXUULJ-NSHDSACASA-N 0 2 309.370 0.184 20 0 DCADLN CC[C@H](OC)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734622182 1186707690 /nfs/dbraw/zinc/70/76/90/1186707690.db2.gz WLBOEBSURXUULJ-NSHDSACASA-N 0 2 309.370 0.184 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cnccn1 ZINC001738434315 1187543846 /nfs/dbraw/zinc/54/38/46/1187543846.db2.gz JUXUJWYDPUEUIT-IYABHPQVSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1cnccn1 ZINC001738434315 1187543849 /nfs/dbraw/zinc/54/38/49/1187543849.db2.gz JUXUJWYDPUEUIT-IYABHPQVSA-N 0 2 320.246 0.779 20 0 DCADLN Cn1cncc1C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001747244613 1188255203 /nfs/dbraw/zinc/25/52/03/1188255203.db2.gz RYCJZLMISGLLQN-GKQMSVHHSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cncc1C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001747244613 1188255206 /nfs/dbraw/zinc/25/52/06/1188255206.db2.gz RYCJZLMISGLLQN-GKQMSVHHSA-N 0 2 322.262 0.723 20 0 DCADLN CC(=O)NC(C)(C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001758027868 1189686777 /nfs/dbraw/zinc/68/67/77/1189686777.db2.gz OEEGUBYNMYIQBU-SSDOTTSWSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NC(C)(C)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758027868 1189686782 /nfs/dbraw/zinc/68/67/82/1189686782.db2.gz OEEGUBYNMYIQBU-SSDOTTSWSA-N 0 2 315.267 0.034 20 0 DCADLN O=C(NCCCNC(=O)[C@]12C[C@H]1COC2)C(F)C(F)(F)F ZINC001758191708 1189775091 /nfs/dbraw/zinc/77/50/91/1189775091.db2.gz RFFZZLNQBZFFLS-RNSXUZJQSA-N 0 2 312.263 0.546 20 0 DCADLN O=C(NCCCNC(=O)[C@]12C[C@H]1COC2)[C@@H](F)C(F)(F)F ZINC001758191708 1189775095 /nfs/dbraw/zinc/77/50/95/1189775095.db2.gz RFFZZLNQBZFFLS-RNSXUZJQSA-N 0 2 312.263 0.546 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)CS(C)(=O)=O ZINC001771593936 1190429677 /nfs/dbraw/zinc/42/96/77/1190429677.db2.gz HFNSWSMYVOXGAQ-UHFFFAOYSA-N 0 2 315.376 0.400 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1nnc(C2CC2)o1 ZINC001771599428 1190439922 /nfs/dbraw/zinc/43/99/22/1190439922.db2.gz HTGBDGNIJOMVRR-UHFFFAOYSA-N 0 2 316.277 0.075 20 0 DCADLN C[C@H](CC(N)=O)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC001771692045 1190470193 /nfs/dbraw/zinc/47/01/93/1190470193.db2.gz FQLWYCIUSNTFIZ-SSDOTTSWSA-N 0 2 308.363 0.867 20 0 DCADLN C[N@@H+]1CCCC[C@H]1CNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001772293959 1190655729 /nfs/dbraw/zinc/65/57/29/1190655729.db2.gz BIGQIIOSXICZEL-LSDHHAIUSA-N 0 2 310.442 0.587 20 0 DCADLN C[C@H]1Cc2cnccc2N1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001772451030 1190687116 /nfs/dbraw/zinc/68/71/16/1190687116.db2.gz PMBWBAPWHPTHLE-VIFPVBQESA-N 0 2 310.317 0.444 20 0 DCADLN Cc1ccnn1CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001575196888 1163935457 /nfs/dbraw/zinc/93/54/57/1163935457.db2.gz VDRVSICGJLDYKE-SECBINFHSA-N 0 2 310.251 0.324 20 0 DCADLN Cc1ccnn1CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001575196888 1163935464 /nfs/dbraw/zinc/93/54/64/1163935464.db2.gz VDRVSICGJLDYKE-SECBINFHSA-N 0 2 310.251 0.324 20 0 DCADLN CC(C)(O)[C@H]([NH3+])C(=O)N1CC[NH2+][C@@H](c2ccc(Cl)cc2)C1 ZINC001575387866 1163997331 /nfs/dbraw/zinc/99/73/31/1163997331.db2.gz RYVWKCGOYABGQC-CHWSQXEVSA-N 0 2 311.813 0.911 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@H](F)C(F)(F)F ZINC001575902555 1164180968 /nfs/dbraw/zinc/18/09/68/1164180968.db2.gz XIZSIUSCQYUETJ-KDXUFGMBSA-N 0 2 312.263 0.402 20 0 DCADLN CN(C[C@H](O)C[N@@H+](Cc1cnn[nH]1)CC1CC1)CC(F)(F)F ZINC001576764396 1164456657 /nfs/dbraw/zinc/45/66/57/1164456657.db2.gz KWLRXQRICDDTQA-LBPRGKRZSA-N 0 2 321.347 0.872 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)C1=CCCC1 ZINC001042534768 751726052 /nfs/dbraw/zinc/72/60/52/751726052.db2.gz VGFFLTCPMAVUBG-SECBINFHSA-N 0 2 324.274 0.687 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1=CCCC1 ZINC001042534768 751726061 /nfs/dbraw/zinc/72/60/61/751726061.db2.gz VGFFLTCPMAVUBG-SECBINFHSA-N 0 2 324.274 0.687 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C2C[NH+](CCC[C@@H]3CCOC3)C2)c1[O-] ZINC001042619447 751786804 /nfs/dbraw/zinc/78/68/04/751786804.db2.gz DOIWXBYFOOWLRY-GFCCVEGCSA-N 0 2 322.409 0.997 20 0 DCADLN CC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CCCCC1 ZINC001077617605 752108877 /nfs/dbraw/zinc/10/88/77/752108877.db2.gz BRYWZQREXYHIEE-GHMZBOCLSA-N 0 2 323.397 0.142 20 0 DCADLN CCCc1noc(C[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001125734163 747542512 /nfs/dbraw/zinc/54/25/12/747542512.db2.gz IIXKAEZBOPKLFJ-UHFFFAOYSA-N 0 2 308.342 0.279 20 0 DCADLN CN(C(=O)C1(C2CC2)CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043482855 752250170 /nfs/dbraw/zinc/25/01/70/752250170.db2.gz NVPXVAGUIDRPRZ-UHFFFAOYSA-N 0 2 305.382 0.733 20 0 DCADLN COc1csc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001043509044 752265895 /nfs/dbraw/zinc/26/58/95/752265895.db2.gz VKVYZSROSNRNGE-UHFFFAOYSA-N 0 2 323.378 0.537 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cncs2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001087513600 748910280 /nfs/dbraw/zinc/91/02/80/748910280.db2.gz ATOUPWPWNZXPFK-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CC[N@@H+]1Cc1conc1C ZINC001087767232 749042801 /nfs/dbraw/zinc/04/28/01/749042801.db2.gz PZPIAPZFAOMUHS-NWDGAFQWSA-N 0 2 304.354 0.884 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CC[N@H+]1Cc1conc1C ZINC001087767232 749042804 /nfs/dbraw/zinc/04/28/04/749042804.db2.gz PZPIAPZFAOMUHS-NWDGAFQWSA-N 0 2 304.354 0.884 20 0 DCADLN CCC(CC)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001211896490 749276073 /nfs/dbraw/zinc/27/60/73/749276073.db2.gz GVGJVGSQOLNEMW-GHMZBOCLSA-N 0 2 311.386 0.262 20 0 DCADLN COC1(CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CCC1 ZINC001112812336 761942843 /nfs/dbraw/zinc/94/28/43/761942843.db2.gz XNAYBDRBRSXJDC-UHFFFAOYSA-N 0 2 309.370 0.114 20 0 DCADLN CN(C)c1ncc(NC(=[NH2+])SCCS(=O)(=O)[O-])cn1 ZINC001168091012 749386534 /nfs/dbraw/zinc/38/65/34/749386534.db2.gz UEALCYLLAHSWTR-UHFFFAOYSA-N 0 2 305.385 0.510 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccccn2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088445824 749449046 /nfs/dbraw/zinc/44/90/46/749449046.db2.gz ARJSDFARPVTOMS-ZJUUUORDSA-N 0 2 302.338 0.298 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)co1 ZINC001088539174 749561645 /nfs/dbraw/zinc/56/16/45/749561645.db2.gz LYSXUCWNMIMZML-APPZFPTMSA-N 0 2 306.326 0.200 20 0 DCADLN O=c1cc(I)nc(O[C@@H]2CCOC2)[nH]1 ZINC001228946865 749574165 /nfs/dbraw/zinc/57/41/65/749574165.db2.gz PPTNAOXADNIDIB-RXMQYKEDSA-N 0 2 308.075 0.955 20 0 DCADLN C[C@@H](CNC(=O)C[N@@H+]1CC[C@@H](C)C1)Nc1ncnc2[nH]cnc21 ZINC001098284418 750769195 /nfs/dbraw/zinc/76/91/95/750769195.db2.gz CYIYNZGPOADHGQ-MNOVXSKESA-N 0 2 317.397 0.611 20 0 DCADLN C[C@@H](CNC(=O)C[N@H+]1CC[C@@H](C)C1)Nc1ncnc2[nH]cnc21 ZINC001098284418 750769200 /nfs/dbraw/zinc/76/92/00/750769200.db2.gz CYIYNZGPOADHGQ-MNOVXSKESA-N 0 2 317.397 0.611 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2csnn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071713128 762083332 /nfs/dbraw/zinc/08/33/32/762083332.db2.gz ZBZIQCCFUPWJRN-JGVFFNPUSA-N 0 2 323.382 0.145 20 0 DCADLN O=C(NC[C@@H](CO)Nc1nccnc1F)C(F)C(F)(F)F ZINC001122761393 751155424 /nfs/dbraw/zinc/15/54/24/751155424.db2.gz RVKOQCXXDNMWRT-WDSKDSINSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](CO)Nc1nccnc1F)[C@H](F)C(F)(F)F ZINC001122761393 751155430 /nfs/dbraw/zinc/15/54/30/751155430.db2.gz RVKOQCXXDNMWRT-WDSKDSINSA-N 0 2 314.214 0.405 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)Cc2cnoc2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071760983 762117463 /nfs/dbraw/zinc/11/74/63/762117463.db2.gz SEWRNKWVOOMEMJ-KOLCDFICSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)Cc2cnoc2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071760983 762117465 /nfs/dbraw/zinc/11/74/65/762117465.db2.gz SEWRNKWVOOMEMJ-KOLCDFICSA-N 0 2 320.353 0.210 20 0 DCADLN CCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC2(CCOCC2)C1 ZINC001089913826 752623572 /nfs/dbraw/zinc/62/35/72/752623572.db2.gz HLZTUNMEVMTPRT-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC2(CCOCC2)C1 ZINC001089913826 752623578 /nfs/dbraw/zinc/62/35/78/752623578.db2.gz HLZTUNMEVMTPRT-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CC1(NC(=O)c2cnns2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045375352 753166695 /nfs/dbraw/zinc/16/66/95/753166695.db2.gz VGPOBZDNBAXZBD-UHFFFAOYSA-N 0 2 323.382 0.146 20 0 DCADLN Cc1nc(C(=O)NC2(C)CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001045414446 753184778 /nfs/dbraw/zinc/18/47/78/753184778.db2.gz QWCPRHIBVZHHFF-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN CC1(NC(=O)c2cccnn2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045597077 753258592 /nfs/dbraw/zinc/25/85/92/753258592.db2.gz ISYOHZBPDHPKFU-UHFFFAOYSA-N 0 2 317.353 0.085 20 0 DCADLN CC(F)(F)C(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001045694739 753296730 /nfs/dbraw/zinc/29/67/30/753296730.db2.gz NRQZZUQGTCYYTM-BDAKNGLRSA-N 0 2 315.324 0.731 20 0 DCADLN C[C@]1(CNC(=O)c2cnn[nH]2)CN(Cc2ccccn2)CCO1 ZINC001108043009 753438055 /nfs/dbraw/zinc/43/80/55/753438055.db2.gz ASUGVNUXTNDTKV-HNNXBMFYSA-N 0 2 316.365 0.221 20 0 DCADLN Cc1nscc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046253757 753589435 /nfs/dbraw/zinc/58/94/35/753589435.db2.gz DUGFSZVSVOQKRC-CYBMUJFWSA-N 0 2 322.394 0.670 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)Cc2ccco2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071916870 762226374 /nfs/dbraw/zinc/22/63/74/762226374.db2.gz RRVLIJAPHIPXDA-WDEREUQCSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)Cc2ccco2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071916870 762226379 /nfs/dbraw/zinc/22/63/79/762226379.db2.gz RRVLIJAPHIPXDA-WDEREUQCSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CCCOCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046278705 753608580 /nfs/dbraw/zinc/60/85/80/753608580.db2.gz RTHBUOFLODNVED-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CCCOCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046278705 753608583 /nfs/dbraw/zinc/60/85/83/753608583.db2.gz RTHBUOFLODNVED-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)C(=O)NC[C@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC001061309093 753750964 /nfs/dbraw/zinc/75/09/64/753750964.db2.gz VBTLSHKUEFUMDT-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)NC[C@H]1COCCN1C(=O)[C@H](F)C(F)(F)F ZINC001061309093 753750970 /nfs/dbraw/zinc/75/09/70/753750970.db2.gz VBTLSHKUEFUMDT-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046629314 753839946 /nfs/dbraw/zinc/83/99/46/753839946.db2.gz CFPNGJHUILOZRS-PUYPPJJSSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046629314 753839953 /nfs/dbraw/zinc/83/99/53/753839953.db2.gz CFPNGJHUILOZRS-PUYPPJJSSA-N 0 2 309.370 0.016 20 0 DCADLN COc1ccc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001046730701 753912856 /nfs/dbraw/zinc/91/28/56/753912856.db2.gz UCNBHMZWLFGJMQ-CQSZACIVSA-N 0 2 321.337 0.506 20 0 DCADLN C[C@@]1(NC(=O)c2ncccc2F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046731974 753914157 /nfs/dbraw/zinc/91/41/57/753914157.db2.gz OGHCEFBATNAITC-CQSZACIVSA-N 0 2 320.328 0.439 20 0 DCADLN CC1(C)C[C@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096969036 755557953 /nfs/dbraw/zinc/55/79/53/755557953.db2.gz BPJZBVIRVSIZTO-YTWAJWBKSA-N 0 2 305.382 0.778 20 0 DCADLN CC1(C(=O)N2CCOC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)CCC1 ZINC001053376924 756023706 /nfs/dbraw/zinc/02/37/06/756023706.db2.gz WYQQKCBEHNAUCK-UHFFFAOYSA-N 0 2 321.381 0.114 20 0 DCADLN Cc1noc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)c1C ZINC001079925150 756047842 /nfs/dbraw/zinc/04/78/42/756047842.db2.gz QNXBGOCDZGXWTH-GMSGAONNSA-N 0 2 320.353 0.365 20 0 DCADLN C[C@@H]1C[N@H+](Cc2coc(C3CC3)n2)C[C@H]1NC(=O)c1cnn[n-]1 ZINC001080029575 756080409 /nfs/dbraw/zinc/08/04/09/756080409.db2.gz GXTDKWAVSANWHM-NOZJJQNGSA-N 0 2 316.365 0.920 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2coc(C3CC3)n2)C[C@H]1NC(=O)c1cnn[n-]1 ZINC001080029575 756080415 /nfs/dbraw/zinc/08/04/15/756080415.db2.gz GXTDKWAVSANWHM-NOZJJQNGSA-N 0 2 316.365 0.920 20 0 DCADLN O=C(NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1)C1CCC1 ZINC001053558476 756092074 /nfs/dbraw/zinc/09/20/74/756092074.db2.gz GRLRKBRJKJQNPX-NSHDSACASA-N 0 2 321.381 0.160 20 0 DCADLN COC[C@@H](C)[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774845 756197345 /nfs/dbraw/zinc/19/73/45/756197345.db2.gz KWBFAGWKBRBADI-KOLCDFICSA-N 0 2 324.381 0.032 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1nccs1 ZINC001080660529 756284354 /nfs/dbraw/zinc/28/43/54/756284354.db2.gz GBSOHGFSYVQOAB-HTQZYQBOSA-N 0 2 308.367 0.217 20 0 DCADLN CC(=O)NC[C@H]1Cc2ccccc2CN1Cc1n[nH]c(=O)[nH]1 ZINC001054030842 756342395 /nfs/dbraw/zinc/34/23/95/756342395.db2.gz SXZMCSPIRVWPGP-CYBMUJFWSA-N 0 2 301.350 0.573 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccc(C)o1 ZINC001081321868 756544132 /nfs/dbraw/zinc/54/41/32/756544132.db2.gz OKQCHCHWUICAOY-MWLCHTKSSA-N 0 2 321.337 0.041 20 0 DCADLN Cc1cnc(C[NH2+][C@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@H]2C)o1 ZINC001054578967 756547671 /nfs/dbraw/zinc/54/76/71/756547671.db2.gz FMPOKYYOAICJNI-KCJUWKMLSA-N 0 2 319.365 0.970 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cccs1 ZINC001081309059 756569953 /nfs/dbraw/zinc/56/99/53/756569953.db2.gz SNUYXHPKRHLJNV-RKDXNWHRSA-N 0 2 323.378 0.201 20 0 DCADLN Cc1c(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccn1C ZINC001085806753 759334724 /nfs/dbraw/zinc/33/47/24/759334724.db2.gz PMOBEEGXRAJBOY-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN CC(C)(F)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829856 759573397 /nfs/dbraw/zinc/57/33/97/759573397.db2.gz ZGEBKDIZVYVVNE-SSDOTTSWSA-N 0 2 320.258 0.572 20 0 DCADLN CC(C)(F)C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057829856 759573402 /nfs/dbraw/zinc/57/34/02/759573402.db2.gz ZGEBKDIZVYVVNE-SSDOTTSWSA-N 0 2 320.258 0.572 20 0 DCADLN CSCC(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829689 759573655 /nfs/dbraw/zinc/57/36/55/759573655.db2.gz VCZZQCYNLSYKFE-QMMMGPOBSA-N 0 2 320.308 0.187 20 0 DCADLN CSCC(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057829689 759573662 /nfs/dbraw/zinc/57/36/62/759573662.db2.gz VCZZQCYNLSYKFE-QMMMGPOBSA-N 0 2 320.308 0.187 20 0 DCADLN CC(=O)NC(C)(C)C(=O)NC[C@]1(C)CN(CCF)CCO1 ZINC001108282712 760274103 /nfs/dbraw/zinc/27/41/03/760274103.db2.gz YXNDUIZMLVNHAN-CQSZACIVSA-N 0 2 303.378 0.078 20 0 DCADLN NC(=O)c1cc(NCCNC(=O)C(F)(F)F)ncc1Cl ZINC001156200216 760435141 /nfs/dbraw/zinc/43/51/41/760435141.db2.gz SAARILNWBHSIIG-UHFFFAOYSA-N 0 2 310.663 0.924 20 0 DCADLN Cc1[nH+]ccn1CCCNC(=O)NC[C@@H](C)N1CC[NH+](C)CC1 ZINC001123486625 767985864 /nfs/dbraw/zinc/98/58/64/767985864.db2.gz GMROKXQAJUTQEC-CQSZACIVSA-N 0 2 322.457 0.517 20 0 DCADLN COC(=O)c1nc2nc(Nc3cc(=O)n(C)c(=O)[nH]3)ccc2[nH]1 ZINC001170004593 761425027 /nfs/dbraw/zinc/42/50/27/761425027.db2.gz HYDNLUOBULQMCA-UHFFFAOYSA-N 0 2 316.277 0.287 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001070962246 761541736 /nfs/dbraw/zinc/54/17/36/761541736.db2.gz BZBARYAMXPZYHM-HTRCEHHLSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2cn[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001070962246 761541743 /nfs/dbraw/zinc/54/17/43/761541743.db2.gz BZBARYAMXPZYHM-HTRCEHHLSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(CCC1CCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099776634 763030389 /nfs/dbraw/zinc/03/03/89/763030389.db2.gz VNZVVFHBAMRHIM-RYUDHWBXSA-N 0 2 323.397 0.142 20 0 DCADLN CC(C)=C(C)CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099949323 763155740 /nfs/dbraw/zinc/15/57/40/763155740.db2.gz PHUVAHRFMNBRFA-VXGBXAGGSA-N 0 2 323.397 0.308 20 0 DCADLN C=C/C(C)=C\CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099956729 763164289 /nfs/dbraw/zinc/16/42/89/763164289.db2.gz DDPOCESMTOONEQ-QJJZASRKSA-N 0 2 321.381 0.084 20 0 DCADLN CC[C@H](CNC(=O)c1nnc[n-]1)Nc1[nH+]cnc2nc[nH]c21 ZINC001103170658 764967954 /nfs/dbraw/zinc/96/79/54/764967954.db2.gz NIDFIAJPLTYIHL-SSDOTTSWSA-N 0 2 301.314 0.092 20 0 DCADLN CC[C@@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)Nc1cc[nH+]c(C)n1 ZINC001103374439 765039078 /nfs/dbraw/zinc/03/90/78/765039078.db2.gz UFAJGWWHNJRBMQ-QMMMGPOBSA-N 0 2 319.325 0.002 20 0 DCADLN COc1ccnc(NC[C@@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)n1 ZINC001104039196 765245652 /nfs/dbraw/zinc/24/56/52/765245652.db2.gz KVAUFRFKPBOQLI-JTQLQIEISA-N 0 2 318.381 0.924 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C[C@@H](C)Nc1ncnc2c1nnn2C ZINC001115613584 765768569 /nfs/dbraw/zinc/76/85/69/765768569.db2.gz XNKZIHZGOBYMJQ-NXEZZACHSA-N 0 2 321.385 0.442 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)C(=O)NC1CC1 ZINC001116072127 765840318 /nfs/dbraw/zinc/84/03/18/765840318.db2.gz YVDURSRZYJFONZ-UHFFFAOYSA-N 0 2 306.347 0.634 20 0 DCADLN CCn1cc(S(=O)(=O)NNc2nncc(N)c2Cl)cn1 ZINC001116288609 765857110 /nfs/dbraw/zinc/85/71/10/765857110.db2.gz KSVBQFYGJMWEFZ-UHFFFAOYSA-N 0 2 317.762 0.234 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CC(c2ccccn2)=NO1 ZINC001138525629 768423171 /nfs/dbraw/zinc/42/31/71/768423171.db2.gz SLMUBMWJRGIACX-SNVBAGLBSA-N 0 2 319.346 0.605 20 0 DCADLN COc1cccc(C[N@@H+]2CCNC(=O)CC2)c1OCC(=O)[O-] ZINC001139265648 768464073 /nfs/dbraw/zinc/46/40/73/768464073.db2.gz GHGPVTOCLWKMCR-UHFFFAOYSA-N 0 2 308.334 0.481 20 0 DCADLN COc1cccc(C[N@H+]2CCNC(=O)CC2)c1OCC(=O)[O-] ZINC001139265648 768464075 /nfs/dbraw/zinc/46/40/75/768464075.db2.gz GHGPVTOCLWKMCR-UHFFFAOYSA-N 0 2 308.334 0.481 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)c1c[nH]c2cc(F)ccc12 ZINC001149295921 768727622 /nfs/dbraw/zinc/72/76/22/768727622.db2.gz BNTJCIIWVNNTJU-UHFFFAOYSA-N 0 2 303.253 0.630 20 0 DCADLN CCSCCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001230890368 768902906 /nfs/dbraw/zinc/90/29/06/768902906.db2.gz SDSYSLUKBOWBMB-JTQLQIEISA-N 0 2 313.427 0.686 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1n[nH]c(=O)c2ccccc21 ZINC001150615195 769140753 /nfs/dbraw/zinc/14/07/53/769140753.db2.gz SUSUSBKCXIRHGI-NSHDSACASA-N 0 2 304.287 0.374 20 0 DCADLN Cn1ccc(C(=O)N[C@@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)n1 ZINC001151360083 769320433 /nfs/dbraw/zinc/32/04/33/769320433.db2.gz VGFSUPQABBKEJD-LBPRGKRZSA-N 0 2 312.333 0.958 20 0 DCADLN C[NH+](C)CC(=O)N[C@@H]1CCC[N@H+](Cc2cnn3ccccc23)C1 ZINC001233240201 769350887 /nfs/dbraw/zinc/35/08/87/769350887.db2.gz MYXCJHQGOQJATD-OAHLLOKOSA-N 0 2 315.421 0.977 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC[C@H]1CCOC1 ZINC001233580218 769400392 /nfs/dbraw/zinc/40/03/92/769400392.db2.gz JRMNOKIRHKOQRO-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CO[C@H](C)CCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233827716 769466023 /nfs/dbraw/zinc/46/60/23/769466023.db2.gz KTTVLDBCTWZVFJ-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CN(C[C@H]1CC[NH+]1CC(=O)NC1CC1)C(=O)C[N@H+](C)C1CCC1 ZINC001235392203 769830352 /nfs/dbraw/zinc/83/03/52/769830352.db2.gz IFWIMUYCIJGWDY-OAHLLOKOSA-N 0 2 322.453 0.282 20 0 DCADLN COC(OC)[C@H](C)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001235547176 769885636 /nfs/dbraw/zinc/88/56/36/769885636.db2.gz VIVLXWYEZZCONL-IUCAKERBSA-N 0 2 313.358 0.407 20 0 DCADLN CCN(CCC(=O)OC)C(=O)c1c[nH]c2cccnc2c1=O ZINC001153856237 769966268 /nfs/dbraw/zinc/96/62/68/769966268.db2.gz RYRISXFBVBSSOU-UHFFFAOYSA-N 0 2 303.318 0.948 20 0 DCADLN O=C(NCCCc1c[nH][nH]c1=O)c1c[nH]c2cccnc2c1=O ZINC001153860048 769967355 /nfs/dbraw/zinc/96/73/55/769967355.db2.gz IKGHXGNAGDPVOM-VIFPVBQESA-N 0 2 313.317 0.165 20 0 DCADLN COc1ccc2c(=O)cc(C(=O)NCc3n[nH]c(=O)[nH]3)[nH]c2c1 ZINC001154119200 770077059 /nfs/dbraw/zinc/07/70/59/770077059.db2.gz JPIWHHJHDKCEPQ-UHFFFAOYSA-N 0 2 315.289 0.290 20 0 DCADLN O=S(=O)([N-]C1(C(F)(F)F)C[NH2+]C1)c1cccc2nonc21 ZINC001238563667 770117956 /nfs/dbraw/zinc/11/79/56/770117956.db2.gz ATSCGVDPAODKKN-UHFFFAOYSA-N 0 2 322.268 0.405 20 0 DCADLN O=S(=O)(NC1(C(F)(F)F)CNC1)c1cccc2nonc21 ZINC001238563667 770117959 /nfs/dbraw/zinc/11/79/59/770117959.db2.gz ATSCGVDPAODKKN-UHFFFAOYSA-N 0 2 322.268 0.405 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)c1ccn(C)c1CC(=O)[O-] ZINC001177369256 770418995 /nfs/dbraw/zinc/41/89/95/770418995.db2.gz MTUQLJVOCPOQQM-NSHDSACASA-N 0 2 309.366 0.103 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)c1ccn(C)c1CC(=O)[O-] ZINC001177369256 770419002 /nfs/dbraw/zinc/41/90/02/770419002.db2.gz MTUQLJVOCPOQQM-NSHDSACASA-N 0 2 309.366 0.103 20 0 DCADLN O=C(NCc1nnc2c(=O)[nH]ccn12)c1cc(F)c(O)c(F)c1 ZINC001155700965 770594511 /nfs/dbraw/zinc/59/45/11/770594511.db2.gz XHPZMJYCLCPSGA-UHFFFAOYSA-N 0 2 321.243 0.331 20 0 DCADLN Cc1c(C(=O)NCc2n[nH]c(=O)[nH]2)c(=O)c2ccccc2n1C ZINC001156571266 770860387 /nfs/dbraw/zinc/86/03/87/770860387.db2.gz JPWSPQORZHDQQT-UHFFFAOYSA-N 0 2 313.317 0.601 20 0 DCADLN CC(C)(C)OC(=O)N1C[C@H]2C[C@H]2[C@@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001180993777 771220434 /nfs/dbraw/zinc/22/04/34/771220434.db2.gz VTFPUCBPGKEOKU-NQMVMOMDSA-N 0 2 323.353 0.382 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001183759032 771696342 /nfs/dbraw/zinc/69/63/42/771696342.db2.gz DVIKDFRFUMVAJG-LLVKDONJSA-N 0 2 311.455 0.959 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2cncc(N)c2)cn1 ZINC001203632205 772009275 /nfs/dbraw/zinc/00/92/75/772009275.db2.gz FBHDFJSBRPEPLD-UHFFFAOYSA-N 0 2 307.335 0.219 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H](O)CCCc1ccccc1 ZINC001187056359 772127106 /nfs/dbraw/zinc/12/71/06/772127106.db2.gz JLRKXJQDVOPNNQ-MFKMUULPSA-N 0 2 308.359 0.796 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H]1C[C@@H]1C(=O)c1ccccc1 ZINC001187225278 772157267 /nfs/dbraw/zinc/15/72/67/772157267.db2.gz JTPOKLHDUBKFOG-RVBZMBCESA-N 0 2 304.327 0.931 20 0 DCADLN COc1ccc(OCC(=O)NCc2n[nH]c(=O)[nH]2)c(Cl)c1 ZINC001187293044 772161434 /nfs/dbraw/zinc/16/14/34/772161434.db2.gz GFVGUYMFKOKYET-UHFFFAOYSA-N 0 2 312.713 0.868 20 0 DCADLN CC(C)(C)[C@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1nc(=O)o[n-]1 ZINC001162934784 772433333 /nfs/dbraw/zinc/43/33/33/772433333.db2.gz GEHMCRZGLRYKNO-QMAVJUDZSA-N 0 2 324.381 0.455 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)NCC(F)F)c(O)cc1Cl ZINC001191008062 772707824 /nfs/dbraw/zinc/70/78/24/772707824.db2.gz QDDVYVGGIDZBHO-UHFFFAOYSA-N 0 2 314.697 0.688 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)NC2CC(=O)C2)c(O)cc1Cl ZINC001191035503 772712312 /nfs/dbraw/zinc/71/23/12/772712312.db2.gz JOCCEJHIPOHSRA-UHFFFAOYSA-N 0 2 318.738 0.154 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cn(-c2ccccc2)cn1 ZINC001191595027 772796557 /nfs/dbraw/zinc/79/65/57/772796557.db2.gz KNYJEFLCDJVUGT-GFCCVEGCSA-N 0 2 302.315 0.911 20 0 DCADLN Nc1nonc1NC(=O)c1nc(Br)ccc1O ZINC001191733090 772818066 /nfs/dbraw/zinc/81/80/66/772818066.db2.gz BTJCCQOYPHLTOJ-UHFFFAOYSA-N 0 2 300.072 0.767 20 0 DCADLN Cn1cccc1C[NH+]1CCN(CCCCS(=O)(=O)[O-])CC1 ZINC001203266981 772903609 /nfs/dbraw/zinc/90/36/09/772903609.db2.gz DDFAZOORBXBLCP-UHFFFAOYSA-N 0 2 315.439 0.811 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cnn(Cc3ccccc3)c2)n1 ZINC001194410677 773186931 /nfs/dbraw/zinc/18/69/31/773186931.db2.gz YBNOMORNNIKBPG-UHFFFAOYSA-N 0 2 319.350 0.256 20 0 DCADLN CC(C)(C)OCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110463981 773293284 /nfs/dbraw/zinc/29/32/84/773293284.db2.gz BVOAZMMEVMXTAD-MXWKQRLJSA-N 0 2 323.397 0.547 20 0 DCADLN COCC1(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CCC1 ZINC001208021918 773377895 /nfs/dbraw/zinc/37/78/95/773377895.db2.gz FRLQABWNYGUWDK-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN COCC1(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CCC1 ZINC001208021918 773377904 /nfs/dbraw/zinc/37/79/04/773377904.db2.gz FRLQABWNYGUWDK-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC[N@@H+](CCOC(C)C)CC2)c1[O-] ZINC001195498215 773419266 /nfs/dbraw/zinc/41/92/66/773419266.db2.gz WOGGAYKSPYIQSW-UHFFFAOYSA-N 0 2 310.398 0.997 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC[N@H+](CCOC(C)C)CC2)c1[O-] ZINC001195498215 773419269 /nfs/dbraw/zinc/41/92/69/773419269.db2.gz WOGGAYKSPYIQSW-UHFFFAOYSA-N 0 2 310.398 0.997 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1c(Cl)nc(C)nc1OC ZINC001195942727 773500431 /nfs/dbraw/zinc/50/04/31/773500431.db2.gz RSFHJTHBZCCRQB-UHFFFAOYSA-N 0 2 323.758 0.752 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1cc(Cl)ncc1O ZINC001196399335 773591445 /nfs/dbraw/zinc/59/14/45/773591445.db2.gz BPIZNRKDLSNHJV-UHFFFAOYSA-N 0 2 322.668 0.722 20 0 DCADLN COC(=O)c1ncc(NS(=O)(=O)c2cccc(N)c2)cn1 ZINC001211158370 773775823 /nfs/dbraw/zinc/77/58/23/773775823.db2.gz HMBGMRITMCIJDW-UHFFFAOYSA-N 0 2 308.319 0.646 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cncn1-c1ccccn1 ZINC001198192494 773879219 /nfs/dbraw/zinc/87/92/19/773879219.db2.gz GROLANZFHNPGTN-LLVKDONJSA-N 0 2 303.303 0.306 20 0 DCADLN CCCCCCC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001198313473 773899080 /nfs/dbraw/zinc/89/90/80/773899080.db2.gz MJOAFIRRVWNDQK-GHMZBOCLSA-N 0 2 311.386 0.142 20 0 DCADLN O=C1CCc2c1cccc2-c1noc(-c2c[nH]c(=O)c(=O)[nH]2)n1 ZINC001213927857 773901986 /nfs/dbraw/zinc/90/19/86/773901986.db2.gz FPKCFUMUQFSTIN-UHFFFAOYSA-N 0 2 310.269 0.909 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnc(OC2CCC2)cn1 ZINC001199146999 774038349 /nfs/dbraw/zinc/03/83/49/774038349.db2.gz HVUPLVSHZBVTEU-NSHDSACASA-N 0 2 308.319 0.447 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnn(Cc2ccccn2)c1 ZINC001199574487 774142643 /nfs/dbraw/zinc/14/26/43/774142643.db2.gz HAWRTRARNOYOJH-LBPRGKRZSA-N 0 2 317.330 0.365 20 0 DCADLN CC/C(C)=C/C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217814977 774201131 /nfs/dbraw/zinc/20/11/31/774201131.db2.gz MLBIEZHRVYIZIG-RBWIOGKGSA-N 0 2 321.381 0.278 20 0 DCADLN [NH3+][C@@H](Cc1cnc[nH]1)C(=O)Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC001219549855 774532940 /nfs/dbraw/zinc/53/29/40/774532940.db2.gz DBSFNPRCGJEZGP-ZDUSSCGKSA-N 0 2 312.333 0.810 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[N@H+](CCCOC(C)C)C[C@@H]1O ZINC001220852624 774935152 /nfs/dbraw/zinc/93/51/52/774935152.db2.gz PVVCCTHFWHCGEU-CABCVRRESA-N 0 2 324.425 0.237 20 0 DCADLN CC[C@H](C)CC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221510753 775133749 /nfs/dbraw/zinc/13/37/49/775133749.db2.gz FDLHROABIOVYIO-QJPTWQEYSA-N 0 2 307.398 0.979 20 0 DCADLN C/C(=C/C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001226332675 775801531 /nfs/dbraw/zinc/80/15/31/775801531.db2.gz KZLIDIAJBVXAPU-NTMALXAHSA-N 0 2 305.382 0.947 20 0 DCADLN CC1=C(Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)C(=O)O[C@H]1C ZINC001226887241 775892215 /nfs/dbraw/zinc/89/22/15/775892215.db2.gz DFHBRZDLRABPFM-BYPYZUCNSA-N 0 2 306.234 0.135 20 0 DCADLN CCOC(=O)[C@H](Oc1[nH]c(=O)nc2ncn(C)c21)C(F)(F)F ZINC001228673891 776113143 /nfs/dbraw/zinc/11/31/43/776113143.db2.gz JNWXSNATKYHOFE-LURJTMIESA-N 0 2 320.227 0.942 20 0 DCADLN O=C1OCC[C@@H]1Oc1nc(I)cc(=O)[nH]1 ZINC001228947119 776140506 /nfs/dbraw/zinc/14/05/06/776140506.db2.gz UNLRVUDDISRWJK-BYPYZUCNSA-N 0 2 322.058 0.481 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CC[C@]2(CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001041713158 777443306 /nfs/dbraw/zinc/44/33/06/777443306.db2.gz DMBCOMPICXDTBB-CJNGLKHVSA-N 0 2 319.409 0.141 20 0 DCADLN O=C(c1cocn1)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041974898 777615800 /nfs/dbraw/zinc/61/58/00/777615800.db2.gz HXZMYSPXNOPCRT-GXSJLCMTSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cocn1)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041974898 777615806 /nfs/dbraw/zinc/61/58/06/777615806.db2.gz HXZMYSPXNOPCRT-GXSJLCMTSA-N 0 2 318.337 0.235 20 0 DCADLN Cc1cc(NC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])c(C)c[nH+]1 ZINC001600271937 1168153773 /nfs/dbraw/zinc/15/37/73/1168153773.db2.gz SBGSBWXBFZWVRX-UWVGGRQHSA-N 0 2 308.338 0.220 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CC[N@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001603366800 1169300708 /nfs/dbraw/zinc/30/07/08/1169300708.db2.gz WHTQTRXMLZQIBP-JTQLQIEISA-N 0 2 310.354 0.526 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CC[N@@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001603366800 1169300715 /nfs/dbraw/zinc/30/07/15/1169300715.db2.gz WHTQTRXMLZQIBP-JTQLQIEISA-N 0 2 310.354 0.526 20 0 DCADLN CCCCC[N@@H+]1CCc2c([nH]nc2C(=O)N=c2nn[n-]n2C)C1 ZINC001278228031 945186138 /nfs/dbraw/zinc/18/61/38/945186138.db2.gz IMUDAZOFJFHTIR-UHFFFAOYSA-N 0 2 318.385 0.156 20 0 DCADLN CCCCC[N@H+]1CCc2c([nH]nc2C(=O)N=c2nn[n-]n2C)C1 ZINC001278228031 945186141 /nfs/dbraw/zinc/18/61/41/945186141.db2.gz IMUDAZOFJFHTIR-UHFFFAOYSA-N 0 2 318.385 0.156 20 0 DCADLN CCn1ccc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)c1C ZINC001480995166 939514671 /nfs/dbraw/zinc/51/46/71/939514671.db2.gz IFMLQDXRWNHWBK-UHFFFAOYSA-N 0 2 320.397 0.892 20 0 DCADLN CCn1ccc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)c1C ZINC001480995166 939514673 /nfs/dbraw/zinc/51/46/73/939514673.db2.gz IFMLQDXRWNHWBK-UHFFFAOYSA-N 0 2 320.397 0.892 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H](C)c1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001481000386 939516779 /nfs/dbraw/zinc/51/67/79/939516779.db2.gz XBTNXKMPJTWCOS-SNVBAGLBSA-N 0 2 307.354 0.845 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H](C)c1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001481000386 939516780 /nfs/dbraw/zinc/51/67/80/939516780.db2.gz XBTNXKMPJTWCOS-SNVBAGLBSA-N 0 2 307.354 0.845 20 0 DCADLN Cc1cnoc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481192731 939603622 /nfs/dbraw/zinc/60/36/22/939603622.db2.gz YCXYTMWUPCTQSB-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1cnoc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481192731 939603623 /nfs/dbraw/zinc/60/36/23/939603623.db2.gz YCXYTMWUPCTQSB-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1nnc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)o1 ZINC001481689374 940039623 /nfs/dbraw/zinc/03/96/23/940039623.db2.gz WJIYHWAEWPGSBK-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nnc(CN2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)o1 ZINC001481689374 940039626 /nfs/dbraw/zinc/03/96/26/940039626.db2.gz WJIYHWAEWPGSBK-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN CCN(C(=O)C[C@H]1CCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481759349 940115964 /nfs/dbraw/zinc/11/59/64/940115964.db2.gz KJESNGIUBWNLAF-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)C[C@H]1CCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481759349 940115965 /nfs/dbraw/zinc/11/59/65/940115965.db2.gz KJESNGIUBWNLAF-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN C[C@@]1(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)CCOC1 ZINC001530486091 945331660 /nfs/dbraw/zinc/33/16/60/945331660.db2.gz WYHXUXBRJWXGDE-LDYMZIIASA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@]1(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)CCOC1 ZINC001530486091 945331670 /nfs/dbraw/zinc/33/16/70/945331670.db2.gz WYHXUXBRJWXGDE-LDYMZIIASA-N 0 2 312.263 0.640 20 0 DCADLN O=C(C[C@H]1CCCO1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060604 941300742 /nfs/dbraw/zinc/30/07/42/941300742.db2.gz OUXZDMBSXPUWRB-SCZZXKLOSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(C[C@H]1CCCO1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409060604 941300749 /nfs/dbraw/zinc/30/07/49/941300749.db2.gz OUXZDMBSXPUWRB-SCZZXKLOSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1nnccc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060892 941300845 /nfs/dbraw/zinc/30/08/45/941300845.db2.gz VUYMTEPZEMWEST-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1nnccc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409060892 941300848 /nfs/dbraw/zinc/30/08/48/941300848.db2.gz VUYMTEPZEMWEST-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(Cl)cn1 ZINC001482644046 941609617 /nfs/dbraw/zinc/60/96/17/941609617.db2.gz NFZLJFOPBSIFFG-UHFFFAOYSA-N 0 2 324.772 0.763 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(Cl)cn1 ZINC001482644046 941609618 /nfs/dbraw/zinc/60/96/18/941609618.db2.gz NFZLJFOPBSIFFG-UHFFFAOYSA-N 0 2 324.772 0.763 20 0 DCADLN CC(C)[C@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])no2)CC[N@@H+]1C ZINC001603983605 1169463948 /nfs/dbraw/zinc/46/39/48/1169463948.db2.gz TWEVOQBCJJKZCL-SNVBAGLBSA-N 0 2 317.367 0.334 20 0 DCADLN CC(C)[C@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])no2)CC[N@H+]1C ZINC001603983605 1169463951 /nfs/dbraw/zinc/46/39/51/1169463951.db2.gz TWEVOQBCJJKZCL-SNVBAGLBSA-N 0 2 317.367 0.334 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+][C@H](C)c2csnn2)c1[O-] ZINC001482997715 941766213 /nfs/dbraw/zinc/76/62/13/941766213.db2.gz RPDUZUMLRUIOET-TUUFMJSCSA-N 0 2 322.394 0.912 20 0 DCADLN COCC(=O)NC[C@](C)(NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001409519961 942031231 /nfs/dbraw/zinc/03/12/31/942031231.db2.gz ZGMZECHUODRCJI-KOLCDFICSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)NC[C@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001409519961 942031241 /nfs/dbraw/zinc/03/12/41/942031241.db2.gz ZGMZECHUODRCJI-KOLCDFICSA-N 0 2 314.279 0.934 20 0 DCADLN O=C([O-])C1CCN(C(=O)C[N@@H+]2CCOCC23CCCC3)CC1 ZINC000322330027 970873406 /nfs/dbraw/zinc/87/34/06/970873406.db2.gz VUIHMPVQBGUQQQ-UHFFFAOYSA-N 0 2 310.394 0.955 20 0 DCADLN O=C([O-])C1CCN(C(=O)C[N@H+]2CCOCC23CCCC3)CC1 ZINC000322330027 970873413 /nfs/dbraw/zinc/87/34/13/970873413.db2.gz VUIHMPVQBGUQQQ-UHFFFAOYSA-N 0 2 310.394 0.955 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001483362086 942299010 /nfs/dbraw/zinc/29/90/10/942299010.db2.gz FWLQABRFHVXBFZ-JTQLQIEISA-N 0 2 318.381 0.772 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001483362086 942299012 /nfs/dbraw/zinc/29/90/12/942299012.db2.gz FWLQABRFHVXBFZ-JTQLQIEISA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cc(NC[C@H](O)CNC(=O)[C@@H]2CCC[N@@H+]2C)nc(C)[nH+]1 ZINC001105751267 942530441 /nfs/dbraw/zinc/53/04/41/942530441.db2.gz YXTFKWARLMTXBF-STQMWFEESA-N 0 2 307.398 0.077 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001483866975 942992507 /nfs/dbraw/zinc/99/25/07/942992507.db2.gz OKMLQQMDCYHUJN-QMMMGPOBSA-N 0 2 317.340 0.834 20 0 DCADLN Cn1cc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)ccc1=O ZINC001413504391 943028200 /nfs/dbraw/zinc/02/82/00/943028200.db2.gz FERHRVRULMBDNI-VIFPVBQESA-N 0 2 318.337 0.621 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@]1(C)CC[N@@H+](CCn2cncn2)C1 ZINC001484067047 943127656 /nfs/dbraw/zinc/12/76/56/943127656.db2.gz GSIKNYDMCKHXGB-OAHLLOKOSA-N 0 2 317.397 0.133 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@@]1(C)CC[N@@H+]([C@H]2CCCNC2=O)C1 ZINC001484071957 943134032 /nfs/dbraw/zinc/13/40/32/943134032.db2.gz PXBWTOQLIJHKIO-BBRMVZONSA-N 0 2 319.409 0.051 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@@]1(C)CC[N@@H+]([C@@H]2CCCNC2=O)C1 ZINC001484071958 943134814 /nfs/dbraw/zinc/13/48/14/943134814.db2.gz PXBWTOQLIJHKIO-CJNGLKHVSA-N 0 2 319.409 0.051 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)Cc2cccs2)[nH]1 ZINC001413669600 943560136 /nfs/dbraw/zinc/56/01/36/943560136.db2.gz CLQQCEXEGGMQIU-UHFFFAOYSA-N 0 2 314.392 0.270 20 0 DCADLN CC/C(C)=C\C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001484799468 943573756 /nfs/dbraw/zinc/57/37/56/943573756.db2.gz UGPQIMIWUHFBFR-FGUAACIASA-N 0 2 321.381 0.326 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001485285585 943789677 /nfs/dbraw/zinc/78/96/77/943789677.db2.gz DCKNTNYYZSKXLK-SSDOTTSWSA-N 0 2 307.358 0.101 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001485285585 943789681 /nfs/dbraw/zinc/78/96/81/943789681.db2.gz DCKNTNYYZSKXLK-SSDOTTSWSA-N 0 2 307.358 0.101 20 0 DCADLN NC(=O)c1[nH]nc2c1CN(C(=O)c1ccc(F)c(F)c1O)CC2 ZINC001276858675 944331060 /nfs/dbraw/zinc/33/10/60/944331060.db2.gz KVRAUBSTUXRRGU-UHFFFAOYSA-N 0 2 322.271 0.691 20 0 DCADLN O=C([O-])CCCCCNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001601984780 971110578 /nfs/dbraw/zinc/11/05/78/971110578.db2.gz WYODFHMESHGYPE-ZDUSSCGKSA-N 0 2 313.398 0.748 20 0 DCADLN CNC(=O)[C@H](C)[N@H+]1CC=C(CNC(=O)Cn2cncc2C)CC1 ZINC001486511414 944945359 /nfs/dbraw/zinc/94/53/59/944945359.db2.gz QXQGUPQSHIFZFT-ZDUSSCGKSA-N 0 2 319.409 0.074 20 0 DCADLN CCCC(=O)N1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001487035290 945499233 /nfs/dbraw/zinc/49/92/33/945499233.db2.gz DQJLHBQEOOYIOE-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)N1CC(CCO)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001487035290 945499237 /nfs/dbraw/zinc/49/92/37/945499237.db2.gz DQJLHBQEOOYIOE-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN COCCCC(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001278500162 945592937 /nfs/dbraw/zinc/59/29/37/945592937.db2.gz JKWDSTMWQTYDJD-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN COCCCC(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001278500162 945592942 /nfs/dbraw/zinc/59/29/42/945592942.db2.gz JKWDSTMWQTYDJD-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN Cc1ncncc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001410216267 946050500 /nfs/dbraw/zinc/05/05/00/946050500.db2.gz DFNIQJLULYFGMZ-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ncncc1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001410216267 946050513 /nfs/dbraw/zinc/05/05/13/946050513.db2.gz DFNIQJLULYFGMZ-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001410276008 946127018 /nfs/dbraw/zinc/12/70/18/946127018.db2.gz RWWUTQYFRHCMRT-RQJHMYQMSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001410276008 946127026 /nfs/dbraw/zinc/12/70/26/946127026.db2.gz RWWUTQYFRHCMRT-RQJHMYQMSA-N 0 2 308.235 0.612 20 0 DCADLN CC1=CCN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001255842707 946556101 /nfs/dbraw/zinc/55/61/01/946556101.db2.gz KMPFIGMQGREDEK-UHFFFAOYSA-N 0 2 313.383 0.211 20 0 DCADLN C[C@H](CC(=O)N1CCOCC1)n1[nH]c2ccnc(=O)c-2c1N ZINC001256333746 946612845 /nfs/dbraw/zinc/61/28/45/946612845.db2.gz NOYLBSWMZRLTFY-SECBINFHSA-N 0 2 305.338 0.068 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2ncco2)cc1 ZINC001259139813 946919510 /nfs/dbraw/zinc/91/95/10/946919510.db2.gz BUGYHJMSFLDOPT-UHFFFAOYSA-N 0 2 302.333 0.879 20 0 DCADLN CCOC(=O)c1ccncc1NS(=O)(=O)c1cnn(C)c1 ZINC001259820172 946988190 /nfs/dbraw/zinc/98/81/90/946988190.db2.gz DDFXWOZHCXBIQX-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3nc[nH]c(=O)c3c2)cn1 ZINC001259826052 946990602 /nfs/dbraw/zinc/99/06/02/946990602.db2.gz KYWREUWLPKRPAB-UHFFFAOYSA-N 0 2 305.319 0.870 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)C(=O)N(C)C3)cn1 ZINC001259826303 946990628 /nfs/dbraw/zinc/99/06/28/946990628.db2.gz HMRKQNICBUOUFA-UHFFFAOYSA-N 0 2 306.347 0.807 20 0 DCADLN COC(=O)C1(NS(=O)(=O)Cc2ccc(OC)cc2)COC1 ZINC001259903732 947011980 /nfs/dbraw/zinc/01/19/80/947011980.db2.gz HAMYTJBZFXLADD-UHFFFAOYSA-N 0 2 315.347 0.057 20 0 DCADLN CN(C)C(=O)c1cc(Br)ccc1NS(N)(=O)=O ZINC001260080172 947061848 /nfs/dbraw/zinc/06/18/48/947061848.db2.gz AIPXPMHONGNLLM-UHFFFAOYSA-N 0 2 322.184 0.766 20 0 DCADLN CC[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC001260189294 947082175 /nfs/dbraw/zinc/08/21/75/947082175.db2.gz RECFBZGGDOIWJI-RYUDHWBXSA-N 0 2 310.375 0.852 20 0 DCADLN CC[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC001260189294 947082184 /nfs/dbraw/zinc/08/21/84/947082184.db2.gz RECFBZGGDOIWJI-RYUDHWBXSA-N 0 2 310.375 0.852 20 0 DCADLN O=C(CCc1nc2cccnc2[nH]1)NCCCc1n[nH]c(=O)[nH]1 ZINC001323515600 947111139 /nfs/dbraw/zinc/11/11/39/947111139.db2.gz YOOFQRZLFATQCA-UHFFFAOYSA-N 0 2 315.337 0.463 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cncc(OC(F)F)c1 ZINC001260585108 947117334 /nfs/dbraw/zinc/11/73/34/947117334.db2.gz OMKIUMQYXYJORZ-UHFFFAOYSA-N 0 2 316.307 0.427 20 0 DCADLN Cn1c[nH+]c2cc([N-]S(=O)(=O)CS(C)(=O)=O)ccc21 ZINC001260591858 947120117 /nfs/dbraw/zinc/12/01/17/947120117.db2.gz PHWWHPGJUKYHNA-UHFFFAOYSA-N 0 2 303.365 0.317 20 0 DCADLN CCOc1cccc(F)c1NS(=O)(=O)CS(C)(=O)=O ZINC001260592793 947122788 /nfs/dbraw/zinc/12/27/88/947122788.db2.gz QDHJGTOEFZTLIL-UHFFFAOYSA-N 0 2 311.356 0.968 20 0 DCADLN Nc1nc2nc(C[N@H+](Cc3cccnc3)C3CC3)cc(=O)n2[n-]1 ZINC001261762444 947429219 /nfs/dbraw/zinc/42/92/19/947429219.db2.gz SQUAIVCWNUOIEH-UHFFFAOYSA-N 0 2 311.349 0.559 20 0 DCADLN Nc1nc2nc(C[N@@H+](Cc3cccnc3)C3CC3)cc(=O)n2[n-]1 ZINC001261762444 947429225 /nfs/dbraw/zinc/42/92/25/947429225.db2.gz SQUAIVCWNUOIEH-UHFFFAOYSA-N 0 2 311.349 0.559 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(CCn2cccn2)CC1 ZINC001415128886 947445349 /nfs/dbraw/zinc/44/53/49/947445349.db2.gz PGGWCNHMRBEKCC-SNVBAGLBSA-N 0 2 308.279 0.928 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H](C)[C@H](C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001602735188 971416770 /nfs/dbraw/zinc/41/67/70/971416770.db2.gz UWZZCWPMZRBQJF-JKOFNFNQSA-N 0 2 310.394 0.834 20 0 DCADLN CC[C@@H](C)n1ncc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC001326288261 948120152 /nfs/dbraw/zinc/12/01/52/948120152.db2.gz PVUNJCDUPRDGQG-SSDOTTSWSA-N 0 2 321.341 0.241 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CC[C@H](O)C1 ZINC001570921556 948623153 /nfs/dbraw/zinc/62/31/53/948623153.db2.gz FGNREPYUSHPTPH-IUCAKERBSA-N 0 2 318.337 0.167 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@]1([NH+](C)C)COc2ccccc2C1 ZINC001263846261 948777817 /nfs/dbraw/zinc/77/78/17/948777817.db2.gz MTJVXPZCIYSVIE-INIZCTEOSA-N 0 2 321.377 0.106 20 0 DCADLN CCC[C@H](C)NC(=O)[C@H](C)[N@@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001589631630 949549756 /nfs/dbraw/zinc/54/97/56/949549756.db2.gz RLAMEOPZTYDKMX-HUBLWGQQSA-N 0 2 316.398 0.482 20 0 DCADLN CCC[C@H](C)NC(=O)[C@H](C)[N@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001589631630 949549770 /nfs/dbraw/zinc/54/97/70/949549770.db2.gz RLAMEOPZTYDKMX-HUBLWGQQSA-N 0 2 316.398 0.482 20 0 DCADLN O=C(NCc1cnccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364757268 949886901 /nfs/dbraw/zinc/88/69/01/949886901.db2.gz GVTHPQOKMRJRMI-SECBINFHSA-N 0 2 303.326 0.390 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC2(C3(O)CCC3)CCC2)S1 ZINC001364803287 949970231 /nfs/dbraw/zinc/97/02/31/949970231.db2.gz ZRJFBULBKGRARX-SECBINFHSA-N 0 2 311.407 0.744 20 0 DCADLN COC[C@@](C)(O)CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC001364805759 949975222 /nfs/dbraw/zinc/97/52/22/949975222.db2.gz LMIHRFOSDZHKFK-YPMLDQLKSA-N 0 2 316.445 0.115 20 0 DCADLN C[C@]1(CCC(=O)[O-])NC(=O)N(C[NH+]2CCC(C)(F)CC2)C1=O ZINC001604585942 1169623487 /nfs/dbraw/zinc/62/34/87/1169623487.db2.gz RLMWXSBFNNJQOY-CQSZACIVSA-N 0 2 315.345 0.943 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H](C(=O)[O-])C1CCCC1 ZINC001329962279 950015854 /nfs/dbraw/zinc/01/58/54/950015854.db2.gz CPIRFCOBVASUAQ-JSGCOSHPSA-N 0 2 311.426 0.630 20 0 DCADLN COC(=O)C[C@@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@H]1C ZINC001364865044 950097450 /nfs/dbraw/zinc/09/74/50/950097450.db2.gz WJBNZQXKYFMGRK-HLTSFMKQSA-N 0 2 313.379 0.200 20 0 DCADLN COCC(=O)N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001489826072 950132623 /nfs/dbraw/zinc/13/26/23/950132623.db2.gz YQKCWKNNZOKPJO-OOZYFLPDSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)N[C@H]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001489826072 950132634 /nfs/dbraw/zinc/13/26/34/950132634.db2.gz YQKCWKNNZOKPJO-OOZYFLPDSA-N 0 2 300.252 0.544 20 0 DCADLN O=C(NC[C@@H]1CC[N@@H+]1C1CCOCC1)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001364977561 950287777 /nfs/dbraw/zinc/28/77/77/950287777.db2.gz UJZKGFWPDKLRAH-BFHYXJOUSA-N 0 2 304.394 0.883 20 0 DCADLN Cn1nc(NC(=O)CC2SC(=N)NC2=O)cc1C(F)(F)F ZINC001364998968 950333153 /nfs/dbraw/zinc/33/31/53/950333153.db2.gz WUUPPOIGCQXVBU-SCSAIBSYSA-N 0 2 321.284 0.934 20 0 DCADLN Cc1cc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC001365026096 950373445 /nfs/dbraw/zinc/37/34/45/950373445.db2.gz FIAHOPUXRVVMKA-SECBINFHSA-N 0 2 305.342 0.964 20 0 DCADLN CN(Cc1cc[nH]n1)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001365090394 950499280 /nfs/dbraw/zinc/49/92/80/950499280.db2.gz RIZXVXKMOAGIFT-UHFFFAOYSA-N 0 2 304.314 0.084 20 0 DCADLN COC(=O)C[C@@H]1CC[C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC001365146967 950608003 /nfs/dbraw/zinc/60/80/03/950608003.db2.gz HURZSOHHSXLINC-VGMNWLOBSA-N 0 2 313.379 0.391 20 0 DCADLN CC(C)[C@H](CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001365174377 950665052 /nfs/dbraw/zinc/66/50/52/950665052.db2.gz AUPGPJMZYBQSJC-NSHDSACASA-N 0 2 306.322 0.013 20 0 DCADLN CO[C@H]1C[C@@H](CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001365228720 950775730 /nfs/dbraw/zinc/77/57/30/950775730.db2.gz CRWMKGFAVXQFKL-MXWKQRLJSA-N 0 2 309.370 0.824 20 0 DCADLN CC[C@@H]1CCCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365265045 950838504 /nfs/dbraw/zinc/83/85/04/950838504.db2.gz LZHMMPDGMPRTAF-SECBINFHSA-N 0 2 315.399 0.433 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)cn1 ZINC000382623306 951226726 /nfs/dbraw/zinc/22/67/26/951226726.db2.gz GPFFWJGVINMMFE-ZDUSSCGKSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001595040903 951422084 /nfs/dbraw/zinc/42/20/84/951422084.db2.gz DDJQQRBZIOHIBR-UHFFFAOYSA-N 0 2 322.365 0.487 20 0 DCADLN CC[C@@H](NS(=O)(=O)c1c(N)noc1C)c1noc(C)n1 ZINC001365679445 951422580 /nfs/dbraw/zinc/42/25/80/951422580.db2.gz BRULYKBVLPRIJM-SSDOTTSWSA-N 0 2 301.328 0.686 20 0 DCADLN Cc1cnccc1CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365695092 951444442 /nfs/dbraw/zinc/44/44/42/951444442.db2.gz SGZDNTMMWRCKSS-UHFFFAOYSA-N 0 2 316.365 0.101 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)NCc2cccc(C(=O)[O-])c2)CC1 ZINC001332183903 951514505 /nfs/dbraw/zinc/51/45/05/951514505.db2.gz XIEKEIXKHFJMDL-UHFFFAOYSA-N 0 2 319.361 0.165 20 0 DCADLN Cn1cc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)cn1 ZINC001365781792 951532101 /nfs/dbraw/zinc/53/21/01/951532101.db2.gz ULLPUMMCOOIMED-BDAKNGLRSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)NC[C@H]2CCN2C(=O)[C@H](F)C(F)(F)F)cn1 ZINC001365781792 951532116 /nfs/dbraw/zinc/53/21/16/951532116.db2.gz ULLPUMMCOOIMED-BDAKNGLRSA-N 0 2 322.262 0.651 20 0 DCADLN O=C([O-])Cn1cccc(C(=O)Nc2ccn3cc[nH+]c3c2)c1=O ZINC001595158155 952176822 /nfs/dbraw/zinc/17/68/22/952176822.db2.gz FRCORVIPRACYBM-UHFFFAOYSA-N 0 2 312.285 0.833 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)CCSCC(=O)[O-] ZINC001334215271 952262503 /nfs/dbraw/zinc/26/25/03/952262503.db2.gz REBNAFIVMKEMDJ-LLVKDONJSA-N 0 2 304.412 0.421 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)[nH]n1 ZINC001595206865 952333715 /nfs/dbraw/zinc/33/37/15/952333715.db2.gz JKUGIKWFOKPLSA-SNVBAGLBSA-N 0 2 308.338 0.045 20 0 DCADLN COC(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cc1O ZINC001412033136 952612149 /nfs/dbraw/zinc/61/21/49/952612149.db2.gz ZGZLCQYIYIQORV-VIFPVBQESA-N 0 2 323.330 0.674 20 0 DCADLN COCCn1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001412065549 952628161 /nfs/dbraw/zinc/62/81/61/952628161.db2.gz LOWLTJJMSZMSPF-SNVBAGLBSA-N 0 2 320.353 0.373 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001412211178 952696682 /nfs/dbraw/zinc/69/66/82/952696682.db2.gz QHCKGHPJKKKNMI-FTLITQJKSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001412211178 952696666 /nfs/dbraw/zinc/69/66/66/952696666.db2.gz QHCKGHPJKKKNMI-FTLITQJKSA-N 0 2 322.262 0.935 20 0 DCADLN CC(C)(O)[C@@H](O)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001412466229 952822878 /nfs/dbraw/zinc/82/28/78/952822878.db2.gz UOKHZKDVZDSPIO-QWRGUYRKSA-N 0 2 320.349 0.042 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCCO1 ZINC001412481403 952833128 /nfs/dbraw/zinc/83/31/28/952833128.db2.gz LPPZKADVCQMMLB-JTQLQIEISA-N 0 2 318.333 0.517 20 0 DCADLN C[C@](O)(CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1CC1 ZINC001412593398 952924822 /nfs/dbraw/zinc/92/48/22/952924822.db2.gz MEQZAFDYXWVZQI-HNNXBMFYSA-N 0 2 318.333 0.157 20 0 DCADLN COC[C@@H](NC(=O)C(F)(F)c1nccs1)c1nn[nH]n1 ZINC001412612891 952937966 /nfs/dbraw/zinc/93/79/66/952937966.db2.gz UBCUTAILYVXUFF-RXMQYKEDSA-N 0 2 304.282 0.252 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H]([NH2+][C@@H](CCC(=O)[O-])C(=O)OC)C1 ZINC001257038798 953054841 /nfs/dbraw/zinc/05/48/41/953054841.db2.gz SQONYVFCPWKWOA-MXWKQRLJSA-N 0 2 301.339 0.714 20 0 DCADLN Cc1sc(=O)n(CC(=O)NCCc2n[nH]c(=S)o2)c1C ZINC001338951651 953064545 /nfs/dbraw/zinc/06/45/45/953064545.db2.gz ZNBYGPXXQZYCOT-UHFFFAOYSA-N 0 2 314.392 0.557 20 0 DCADLN Cc1[nH]nc(C(=O)NCCCNC(=O)CCc2cnn[nH]2)c1C ZINC001283210415 954143472 /nfs/dbraw/zinc/14/34/72/954143472.db2.gz VROQOEXGJLPOOF-UHFFFAOYSA-N 0 2 319.369 0.014 20 0 DCADLN CN(CC(=O)[O-])Cc1cn(Cc2[nH+]ccn2CC(F)F)nn1 ZINC001593722571 954261716 /nfs/dbraw/zinc/26/17/16/954261716.db2.gz KMYWLJOXTKLHBC-UHFFFAOYSA-N 0 2 314.296 0.304 20 0 DCADLN COc1cncc(C[N@H+](C)CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001366522397 954331101 /nfs/dbraw/zinc/33/11/01/954331101.db2.gz TZFSWCQXLTXXRS-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN COc1cncc(C[N@@H+](C)CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001366522397 954331114 /nfs/dbraw/zinc/33/11/14/954331114.db2.gz TZFSWCQXLTXXRS-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN CCCc1nc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001366586554 954469016 /nfs/dbraw/zinc/46/90/16/954469016.db2.gz SMRXWMAFLFONKD-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN CCCc1nc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001366586554 954469022 /nfs/dbraw/zinc/46/90/22/954469022.db2.gz SMRXWMAFLFONKD-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1nnnn1C ZINC001366616003 954519099 /nfs/dbraw/zinc/51/90/99/954519099.db2.gz PGKMTIQHMQEXJP-MRVPVSSYSA-N 0 2 312.271 0.049 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1nnnn1C ZINC001366616003 954519111 /nfs/dbraw/zinc/51/91/11/954519111.db2.gz PGKMTIQHMQEXJP-MRVPVSSYSA-N 0 2 312.271 0.049 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(CN2CC[NH+](Cc3ccncc3)CC2)C1 ZINC001594898878 954597988 /nfs/dbraw/zinc/59/79/88/954597988.db2.gz UAAJJDRFPDENBA-AWEZNQCLSA-N 0 2 318.377 0.090 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CCC1 ZINC001349163870 954622860 /nfs/dbraw/zinc/62/28/60/954622860.db2.gz SUZUSIZEKKDCTO-BDAKNGLRSA-N 0 2 314.279 0.934 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C1CCC1 ZINC001349163870 954622868 /nfs/dbraw/zinc/62/28/68/954622868.db2.gz SUZUSIZEKKDCTO-BDAKNGLRSA-N 0 2 314.279 0.934 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC001593788090 954733417 /nfs/dbraw/zinc/73/34/17/954733417.db2.gz IACMOJGVNDPARA-ZDUSSCGKSA-N 0 2 319.361 0.222 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC001593788090 954733426 /nfs/dbraw/zinc/73/34/26/954733426.db2.gz IACMOJGVNDPARA-ZDUSSCGKSA-N 0 2 319.361 0.222 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)O1 ZINC001366738096 954746090 /nfs/dbraw/zinc/74/60/90/954746090.db2.gz BSNMLALUJUETDL-NWDGAFQWSA-N 0 2 323.397 0.549 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)O1 ZINC001366738096 954746101 /nfs/dbraw/zinc/74/61/01/954746101.db2.gz BSNMLALUJUETDL-NWDGAFQWSA-N 0 2 323.397 0.549 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)O1 ZINC001366738095 954748391 /nfs/dbraw/zinc/74/83/91/954748391.db2.gz BSNMLALUJUETDL-NEPJUHHUSA-N 0 2 323.397 0.549 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)O1 ZINC001366738095 954748403 /nfs/dbraw/zinc/74/84/03/954748403.db2.gz BSNMLALUJUETDL-NEPJUHHUSA-N 0 2 323.397 0.549 20 0 DCADLN CCCN(C(=O)c1ccc[nH]1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001366802882 954861850 /nfs/dbraw/zinc/86/18/50/954861850.db2.gz VXRSHOJUCSBLGP-LLVKDONJSA-N 0 2 318.381 0.965 20 0 DCADLN CCCN(C(=O)c1ccc[nH]1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001366802882 954861860 /nfs/dbraw/zinc/86/18/60/954861860.db2.gz VXRSHOJUCSBLGP-LLVKDONJSA-N 0 2 318.381 0.965 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)[C@H](O)c2c[nH+]c[nH]2)Cc2ccccc21 ZINC001594941351 954897044 /nfs/dbraw/zinc/89/70/44/954897044.db2.gz IKMBOJJOARMPLS-DGCLKSJQSA-N 0 2 301.302 0.654 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001593810508 954931938 /nfs/dbraw/zinc/93/19/38/954931938.db2.gz IUZRTZDNVCJFQR-AXFHLTTASA-N 0 2 309.322 0.042 20 0 DCADLN O=C([O-])C1(C(=O)NCc2ccccc2C[NH+]2CCOCC2)CC1 ZINC000738524373 955247880 /nfs/dbraw/zinc/24/78/80/955247880.db2.gz WERPPOZBJBAVIU-UHFFFAOYSA-N 0 2 318.373 1.000 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[N@@H+]1CCC[C@@H](S(C)(=O)=O)CC1 ZINC001594581033 955968973 /nfs/dbraw/zinc/96/89/73/955968973.db2.gz KLJDZZNNELZSMM-SNVBAGLBSA-N 0 2 315.395 0.517 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[N@H+]1CCC[C@@H](S(C)(=O)=O)CC1 ZINC001594581033 955968982 /nfs/dbraw/zinc/96/89/82/955968982.db2.gz KLJDZZNNELZSMM-SNVBAGLBSA-N 0 2 315.395 0.517 20 0 DCADLN COCCCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001286017564 956125352 /nfs/dbraw/zinc/12/53/52/956125352.db2.gz OXJIWRDMQAISCR-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN COCCCC(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001286017564 956125358 /nfs/dbraw/zinc/12/53/58/956125358.db2.gz OXJIWRDMQAISCR-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H](c1ncccn1)[NH+]1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001589181658 956527019 /nfs/dbraw/zinc/52/70/19/956527019.db2.gz AUTCVFRTVUZMLH-VXGBXAGGSA-N 0 2 318.377 0.935 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)N[C@H](C(=O)[O-])c1ccccc1 ZINC001594667294 956590140 /nfs/dbraw/zinc/59/01/40/956590140.db2.gz FMNDSYQEJAQMAH-SZTZYQKNSA-N 0 2 304.346 0.817 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2c(C3CCC3)cnn2C)CCO1 ZINC001593985681 956772107 /nfs/dbraw/zinc/77/21/07/956772107.db2.gz IYPSVGWGYCCGGU-INIZCTEOSA-N 0 2 323.393 0.990 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2c(C3CCC3)cnn2C)CCO1 ZINC001593985681 956772114 /nfs/dbraw/zinc/77/21/14/956772114.db2.gz IYPSVGWGYCCGGU-INIZCTEOSA-N 0 2 323.393 0.990 20 0 DCADLN CC[C@H](OC)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001293186950 957299376 /nfs/dbraw/zinc/29/93/76/957299376.db2.gz LBILECSDLNBJIG-YUMQZZPRSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](OC)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001293186950 957299387 /nfs/dbraw/zinc/29/93/87/957299387.db2.gz LBILECSDLNBJIG-YUMQZZPRSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](OC)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001293186905 957300432 /nfs/dbraw/zinc/30/04/32/957300432.db2.gz LBILECSDLNBJIG-HTQZYQBOSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](OC)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001293186905 957300442 /nfs/dbraw/zinc/30/04/42/957300442.db2.gz LBILECSDLNBJIG-HTQZYQBOSA-N 0 2 302.268 0.934 20 0 DCADLN O=C(NOCC(F)(F)F)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001294602874 957551646 /nfs/dbraw/zinc/55/16/46/957551646.db2.gz JSFHDGXDODHJLY-UHFFFAOYSA-N 0 2 318.211 0.490 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](Cc1ccncc1)C(=O)[O-] ZINC001603207027 972314635 /nfs/dbraw/zinc/31/46/35/972314635.db2.gz GZTKZDPIFZSXSS-GXFFZTMASA-N 0 2 302.334 0.795 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](Cc1ccncc1)C(=O)[O-] ZINC001603207027 972314637 /nfs/dbraw/zinc/31/46/37/972314637.db2.gz GZTKZDPIFZSXSS-GXFFZTMASA-N 0 2 302.334 0.795 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](Cc1ccncc1)C(=O)[O-] ZINC001603207028 972314858 /nfs/dbraw/zinc/31/48/58/972314858.db2.gz GZTKZDPIFZSXSS-MFKMUULPSA-N 0 2 302.334 0.795 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](Cc1ccncc1)C(=O)[O-] ZINC001603207028 972314862 /nfs/dbraw/zinc/31/48/62/972314862.db2.gz GZTKZDPIFZSXSS-MFKMUULPSA-N 0 2 302.334 0.795 20 0 DCADLN CSCC(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001446989718 1013166927 /nfs/dbraw/zinc/16/69/27/1013166927.db2.gz WGPNGHAFOIWATC-SVRRBLITSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001446989718 1013166941 /nfs/dbraw/zinc/16/69/41/1013166941.db2.gz WGPNGHAFOIWATC-SVRRBLITSA-N 0 2 302.293 0.967 20 0 DCADLN COc1cc2c(cc1O)CC[N@@H+]([C@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC001594069737 958170741 /nfs/dbraw/zinc/17/07/41/958170741.db2.gz OBHLCVBYSYIMMH-LBPRGKRZSA-N 0 2 320.345 0.444 20 0 DCADLN COc1cc2c(cc1O)CC[N@H+]([C@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC001594069737 958170753 /nfs/dbraw/zinc/17/07/53/958170753.db2.gz OBHLCVBYSYIMMH-LBPRGKRZSA-N 0 2 320.345 0.444 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CC[C@@H](C2CC2)O1 ZINC001361663408 958190506 /nfs/dbraw/zinc/19/05/06/958190506.db2.gz RWVRHULLYUHNHF-JQWIXIFHSA-N 0 2 317.349 0.145 20 0 DCADLN CN(C)c1cncc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC001361702767 958233460 /nfs/dbraw/zinc/23/34/60/958233460.db2.gz MVBUMPMXKNXMNX-UHFFFAOYSA-N 0 2 313.321 0.171 20 0 DCADLN Cn1nccc1[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CC(=O)N1C ZINC001548831985 1013171768 /nfs/dbraw/zinc/17/17/68/1013171768.db2.gz SGSTZWMOKSJEIS-JUWDTYFHSA-N 0 2 322.262 0.709 20 0 DCADLN Cn1nccc1[C@@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CC(=O)N1C ZINC001548831985 1013171771 /nfs/dbraw/zinc/17/17/71/1013171771.db2.gz SGSTZWMOKSJEIS-JUWDTYFHSA-N 0 2 322.262 0.709 20 0 DCADLN COc1cc(OC)cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000106789576 958369324 /nfs/dbraw/zinc/36/93/24/958369324.db2.gz RLYJYQWADCBSMW-CYBMUJFWSA-N 0 2 319.317 0.853 20 0 DCADLN COc1cc(OC)cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000106789576 958369337 /nfs/dbraw/zinc/36/93/37/958369337.db2.gz RLYJYQWADCBSMW-CYBMUJFWSA-N 0 2 319.317 0.853 20 0 DCADLN CN(CC(C)(C)O)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362026161 958624153 /nfs/dbraw/zinc/62/41/53/958624153.db2.gz XBAWZEDVTPQZHL-UHFFFAOYSA-N 0 2 306.322 0.109 20 0 DCADLN CCc1cncc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001367819571 958650081 /nfs/dbraw/zinc/65/00/81/958650081.db2.gz NXNAWHPHJYBESM-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cncc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001367819571 958650084 /nfs/dbraw/zinc/65/00/84/958650084.db2.gz NXNAWHPHJYBESM-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CCn1[n-]nnc1=NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC001562801366 1013181243 /nfs/dbraw/zinc/18/12/43/1013181243.db2.gz PXJYMXOXGPXYNS-UHFFFAOYSA-N 0 2 312.337 0.622 20 0 DCADLN Cc1cc(C[NH2+][C@H](C)CNC(=O)CCc2cn[nH]n2)nn1C ZINC001491584392 958887992 /nfs/dbraw/zinc/88/79/92/958887992.db2.gz URZPXGUTIIBQFF-SNVBAGLBSA-N 0 2 305.386 0.074 20 0 DCADLN Cc1cc(C[NH2+][C@H](C)CNC(=O)CCc2c[nH]nn2)nn1C ZINC001491584392 958888003 /nfs/dbraw/zinc/88/80/03/958888003.db2.gz URZPXGUTIIBQFF-SNVBAGLBSA-N 0 2 305.386 0.074 20 0 DCADLN O=C(CCc1ccccn1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367956124 958946347 /nfs/dbraw/zinc/94/63/47/958946347.db2.gz YROOKDDAMCIINV-LBPRGKRZSA-N 0 2 316.365 0.229 20 0 DCADLN C[C@]1(O)CCCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362222958 958956711 /nfs/dbraw/zinc/95/67/11/958956711.db2.gz RMHQISLGPZTVBE-HNNXBMFYSA-N 0 2 318.333 0.253 20 0 DCADLN COc1cccc(C[NH+]2CCN(C(=O)COCC(=O)[O-])CC2)c1 ZINC000139514302 958968310 /nfs/dbraw/zinc/96/83/10/958968310.db2.gz OHLBORYUQSAABB-UHFFFAOYSA-N 0 2 322.361 0.441 20 0 DCADLN CCO[C@@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001491608176 959031798 /nfs/dbraw/zinc/03/17/98/959031798.db2.gz VEJXQPFJUYAKEH-JGVFFNPUSA-N 0 2 302.268 0.934 20 0 DCADLN CCO[C@@H](C)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491608176 959031813 /nfs/dbraw/zinc/03/18/13/959031813.db2.gz VEJXQPFJUYAKEH-JGVFFNPUSA-N 0 2 302.268 0.934 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CCS(C)(=O)=O)C1 ZINC001368078254 959194035 /nfs/dbraw/zinc/19/40/35/959194035.db2.gz XZHJKCDIDWHIAT-QMMMGPOBSA-N 0 2 320.308 0.074 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(CCS(C)(=O)=O)C1 ZINC001368078254 959194045 /nfs/dbraw/zinc/19/40/45/959194045.db2.gz XZHJKCDIDWHIAT-QMMMGPOBSA-N 0 2 320.308 0.074 20 0 DCADLN COc1ccc(C(=O)N2CCSC[C@H]2c2nn[nH]n2)c(O)c1 ZINC001362389646 959259740 /nfs/dbraw/zinc/25/97/40/959259740.db2.gz CANISUHXNPWFDC-JTQLQIEISA-N 0 2 321.362 0.844 20 0 DCADLN O=C(CCC1CC1)N[C@@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001447007893 1013187084 /nfs/dbraw/zinc/18/70/84/1013187084.db2.gz IZAXTUAWDQYASV-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1c[nH]c(=O)cc1C(F)(F)F ZINC001362422428 959323865 /nfs/dbraw/zinc/32/38/65/959323865.db2.gz BEAYNTVPPWIAGL-UHFFFAOYSA-N 0 2 303.200 0.560 20 0 DCADLN Cn1nc(C2CCOCC2)cc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362430123 959339500 /nfs/dbraw/zinc/33/95/00/959339500.db2.gz GUUNCGWRGGBKHM-UHFFFAOYSA-N 0 2 306.326 0.068 20 0 DCADLN Cc1ncc(C[NH2+][C@H](C)CCNC(=O)Cc2c[nH+]cn2C)o1 ZINC001377107387 959477019 /nfs/dbraw/zinc/47/70/19/959477019.db2.gz UEYBJTIIIDKYJU-LLVKDONJSA-N 0 2 305.382 0.944 20 0 DCADLN C[C@H](CO)[N@H+](CCS(=O)(=O)CC(=O)[O-])Cc1ccccc1 ZINC001594233406 959492418 /nfs/dbraw/zinc/49/24/18/959492418.db2.gz QPFCPWZGBIYIAZ-GFCCVEGCSA-N 0 2 315.391 0.369 20 0 DCADLN C[C@H](CO)[N@@H+](CCS(=O)(=O)CC(=O)[O-])Cc1ccccc1 ZINC001594233406 959492425 /nfs/dbraw/zinc/49/24/25/959492425.db2.gz QPFCPWZGBIYIAZ-GFCCVEGCSA-N 0 2 315.391 0.369 20 0 DCADLN C[C@@H](NC(=O)c1nc[nH]c(=O)c1Br)c1nnc[nH]1 ZINC001362512009 959497088 /nfs/dbraw/zinc/49/70/88/959497088.db2.gz OTCLIJMLKISJLB-SCSAIBSYSA-N 0 2 313.115 0.554 20 0 DCADLN C[C@H](C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001368435021 959859301 /nfs/dbraw/zinc/85/93/01/959859301.db2.gz PRROBYPGVKPFRE-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN COC(C)(C)c1nc(C)c(C(=O)Nn2ccc(=O)[nH]c2=O)s1 ZINC001362716874 959879305 /nfs/dbraw/zinc/87/93/05/959879305.db2.gz WLTHMJNQHIWUKX-UHFFFAOYSA-N 0 2 324.362 0.979 20 0 DCADLN CC(C)n1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001492442149 959944497 /nfs/dbraw/zinc/94/44/97/959944497.db2.gz MVFUTJRLJHARPV-UHFFFAOYSA-N 0 2 307.358 0.150 20 0 DCADLN CC(C)n1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001492442149 959944507 /nfs/dbraw/zinc/94/45/07/959944507.db2.gz MVFUTJRLJHARPV-UHFFFAOYSA-N 0 2 307.358 0.150 20 0 DCADLN O=C(NC[C@H]1C=CCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001303084395 960041985 /nfs/dbraw/zinc/04/19/85/960041985.db2.gz VDHYKHPAVTVYNW-JTQLQIEISA-N 0 2 300.318 0.962 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2nncn2-c2ccccc2)S1 ZINC001362822556 960043205 /nfs/dbraw/zinc/04/32/05/960043205.db2.gz XTWYLAHFDXCJFS-VIFPVBQESA-N 0 2 316.346 0.762 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCCOC1 ZINC001377413301 960057085 /nfs/dbraw/zinc/05/70/85/960057085.db2.gz SJYHXLGEGMAZCM-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCCOC1 ZINC001377413301 960057100 /nfs/dbraw/zinc/05/71/00/960057100.db2.gz SJYHXLGEGMAZCM-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN CC[NH+]1CCO[C@H](C(=O)NCCC[N@@H+](C)Cc2cc(C)no2)C1 ZINC001316865888 960259193 /nfs/dbraw/zinc/25/91/93/960259193.db2.gz CINZGWNUPRJDCX-HNNXBMFYSA-N 0 2 324.425 0.642 20 0 DCADLN Cn1cc(C[N@H+](CCNC(=O)CCc2c[nH]nn2)C2CC2)cn1 ZINC001377555535 960273777 /nfs/dbraw/zinc/27/37/77/960273777.db2.gz VGWMPAPYTNNLPE-UHFFFAOYSA-N 0 2 317.397 0.252 20 0 DCADLN C[C@@H](NC(=O)Cc1nnn(C(C)(C)C)n1)c1nn(C)cc1O ZINC001363038491 960329035 /nfs/dbraw/zinc/32/90/35/960329035.db2.gz ISDGWBVILYIFNJ-MRVPVSSYSA-N 0 2 307.358 0.287 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001363052000 960349465 /nfs/dbraw/zinc/34/94/65/960349465.db2.gz FXGPICWLWOJZRW-UTLUCORTSA-N 0 2 307.354 0.118 20 0 DCADLN CN1C(=O)CCC[C@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363056979 960353424 /nfs/dbraw/zinc/35/34/24/960353424.db2.gz UCVAJYUEQDAGID-UWVGGRQHSA-N 0 2 307.354 0.227 20 0 DCADLN CCn1cc(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC001363057578 960353955 /nfs/dbraw/zinc/35/39/55/960353955.db2.gz VXSCAVPTMCWXNT-VIFPVBQESA-N 0 2 305.342 0.070 20 0 DCADLN CC1(C)CNC(=O)c2c(C(=O)NN3CN=NC3=O)coc2C1 ZINC001363106976 960408427 /nfs/dbraw/zinc/40/84/27/960408427.db2.gz JYRUCSIRXJFYID-UHFFFAOYSA-N 0 2 305.294 0.273 20 0 DCADLN O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[NH2+][C@@H](c2ccc(F)cc2)C1 ZINC001363315537 960873456 /nfs/dbraw/zinc/87/34/56/960873456.db2.gz ZBYBOGGFYCXDJV-SNVBAGLBSA-N 0 2 319.296 0.209 20 0 DCADLN CN1CCC[C@@H](N2CC(NC(=O)C(F)C(F)(F)F)C2)C1=O ZINC001377893214 960917451 /nfs/dbraw/zinc/91/74/51/960917451.db2.gz ZANULFLOKDVBBZ-RKDXNWHRSA-N 0 2 311.279 0.308 20 0 DCADLN CN1CCC[C@@H](N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)C1=O ZINC001377893214 960917462 /nfs/dbraw/zinc/91/74/62/960917462.db2.gz ZANULFLOKDVBBZ-RKDXNWHRSA-N 0 2 311.279 0.308 20 0 DCADLN CSc1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccn1 ZINC001571092887 961127869 /nfs/dbraw/zinc/12/78/69/961127869.db2.gz XTTNGZUXJHBHAC-SNVBAGLBSA-N 0 2 306.347 0.952 20 0 DCADLN CSc1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccn1 ZINC001571092887 961127879 /nfs/dbraw/zinc/12/78/79/961127879.db2.gz XTTNGZUXJHBHAC-SNVBAGLBSA-N 0 2 306.347 0.952 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571092870 961128014 /nfs/dbraw/zinc/12/80/14/961128014.db2.gz XHVFEEMXYCCOTF-RDDDGLTNSA-N 0 2 306.322 0.930 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571092870 961128023 /nfs/dbraw/zinc/12/80/23/961128023.db2.gz XHVFEEMXYCCOTF-RDDDGLTNSA-N 0 2 306.322 0.930 20 0 DCADLN Cn1cc([C@@H]2CN(c3[nH+]cccc3C(=O)[O-])C[C@@H]2C(N)=O)cn1 ZINC001549037361 1013239045 /nfs/dbraw/zinc/23/90/45/1013239045.db2.gz QQKQLOGMFPWUOL-RYUDHWBXSA-N 0 2 315.333 0.219 20 0 DCADLN Cc1ncsc1CC[NH+]1CC(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C1 ZINC001571107281 961298921 /nfs/dbraw/zinc/29/89/21/961298921.db2.gz YBHVVEBVTUPPJV-MNOVXSKESA-N 0 2 309.391 0.515 20 0 DCADLN CC(C)n1nccc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378050573 961299857 /nfs/dbraw/zinc/29/98/57/961299857.db2.gz RZLWJWJRZJSMMR-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1nccc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378050573 961299877 /nfs/dbraw/zinc/29/98/77/961299877.db2.gz RZLWJWJRZJSMMR-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN COc1nsc(C[N@@H+]2CC[C@H](C)C[C@@H]2C(N)=O)c1C(=O)[O-] ZINC001571116462 961397049 /nfs/dbraw/zinc/39/70/49/961397049.db2.gz DQGPSYCKJPQWAK-JGVFFNPUSA-N 0 2 313.379 0.936 20 0 DCADLN COc1nsc(C[N@H+]2CC[C@H](C)C[C@@H]2C(N)=O)c1C(=O)[O-] ZINC001571116462 961397068 /nfs/dbraw/zinc/39/70/68/961397068.db2.gz DQGPSYCKJPQWAK-JGVFFNPUSA-N 0 2 313.379 0.936 20 0 DCADLN CN1C[C@@H](C(=O)[O-])N(Cc2ccc(-n3cc[nH+]c3)cc2)CC1=O ZINC001571123758 961475654 /nfs/dbraw/zinc/47/56/54/961475654.db2.gz UIZCYFMLADHFRC-AWEZNQCLSA-N 0 2 314.345 0.600 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2cnnn2C)C1 ZINC001378151170 961536445 /nfs/dbraw/zinc/53/64/45/961536445.db2.gz LAZXTTBCFKZHJG-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN CCN(C(=O)[C@H](OC)C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378202174 961655788 /nfs/dbraw/zinc/65/57/88/961655788.db2.gz RQWKIAWXIIEESB-DGCLKSJQSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)[C@H](OC)C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378202174 961655799 /nfs/dbraw/zinc/65/57/99/961655799.db2.gz RQWKIAWXIIEESB-DGCLKSJQSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)[C@@H](CNC(=O)NC[C@H](C)[NH+]1CCN(C)CC1)C(=O)[O-] ZINC001588824732 961656286 /nfs/dbraw/zinc/65/62/86/961656286.db2.gz AWIJNHJCPDFJNE-QWHCGFSZSA-N 0 2 314.430 0.278 20 0 DCADLN Cn1nc(CNC(=O)C[C@H]2SC(=N)NC2=O)cc1C1CC1 ZINC001363664780 961656804 /nfs/dbraw/zinc/65/68/04/961656804.db2.gz CIKYICNYHZBABK-SNVBAGLBSA-N 0 2 307.379 0.470 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2CCCC23CC3)[nH]1 ZINC001363673955 961673575 /nfs/dbraw/zinc/67/35/75/961673575.db2.gz RDCUZGJIQPYNLG-VIFPVBQESA-N 0 2 312.395 0.546 20 0 DCADLN CCc1nc[nH]c1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378213956 961679567 /nfs/dbraw/zinc/67/95/67/961679567.db2.gz CJDVLXMIMZFHHO-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1nc[nH]c1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378213956 961679578 /nfs/dbraw/zinc/67/95/78/961679578.db2.gz CJDVLXMIMZFHHO-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN C/C(=C\C(=O)N[C@@H](CC(F)(F)F)C(=O)[O-])C[NH+]1CCOCC1 ZINC001333335747 961686956 /nfs/dbraw/zinc/68/69/56/961686956.db2.gz WMIXOGMROCVRIT-ZKXNXJMVSA-N 0 2 324.299 0.787 20 0 DCADLN CC(=O)N[C@H](C(=O)N[C@@H](C)c1nn(C)cc1O)C1CCCC1 ZINC001363828831 961977774 /nfs/dbraw/zinc/97/77/74/961977774.db2.gz IFYGMSRLRNCEID-XPTSAGLGSA-N 0 2 308.382 0.998 20 0 DCADLN COCCC(=O)N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001447188634 1013301259 /nfs/dbraw/zinc/30/12/59/1013301259.db2.gz PBSKECGNSZRNNQ-WPRPVWTQSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)N[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001447188634 1013301271 /nfs/dbraw/zinc/30/12/71/1013301271.db2.gz PBSKECGNSZRNNQ-WPRPVWTQSA-N 0 2 314.279 0.934 20 0 DCADLN COc1ccc(COCC(=O)N[C@@H](C)c2nn(C)cc2O)cn1 ZINC001363834519 961987217 /nfs/dbraw/zinc/98/72/17/961987217.db2.gz HBZJPPSDLZTJPU-JTQLQIEISA-N 0 2 320.349 0.923 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](C)c2nn(C)cc2O)cn1 ZINC001363850351 962019716 /nfs/dbraw/zinc/01/97/16/962019716.db2.gz LLKIAASXLSZPNN-QMMMGPOBSA-N 0 2 304.306 0.798 20 0 DCADLN CC[C@H](NS(=O)(=O)c1n[nH]cc1C(=O)OC)C(F)(F)F ZINC001363854800 962029343 /nfs/dbraw/zinc/02/93/43/962029343.db2.gz MKMWCNMZKSJOTI-LURJTMIESA-N 0 2 315.273 0.816 20 0 DCADLN CCn1nc(C)cc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379149121 962199637 /nfs/dbraw/zinc/19/96/37/962199637.db2.gz NGMLKYQABFBDCM-UHFFFAOYSA-N 0 2 321.385 0.239 20 0 DCADLN CCn1nc(C)cc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379149121 962199655 /nfs/dbraw/zinc/19/96/55/962199655.db2.gz NGMLKYQABFBDCM-UHFFFAOYSA-N 0 2 321.385 0.239 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1 ZINC001379982043 962445871 /nfs/dbraw/zinc/44/58/71/962445871.db2.gz OPZNFSPYQKGZHE-CYBMUJFWSA-N 0 2 315.377 0.752 20 0 DCADLN CC(C)O[C@]1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCOC1 ZINC001364186011 962637098 /nfs/dbraw/zinc/63/70/98/962637098.db2.gz RIROKZYJLZWBKW-RNCFNFMXSA-N 0 2 315.395 0.243 20 0 DCADLN Cc1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n(C)n1 ZINC001364263072 962773861 /nfs/dbraw/zinc/77/38/61/962773861.db2.gz YXYHIRPWABCXOO-UHFFFAOYSA-N 0 2 305.338 0.553 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)c2cn(CC(=O)[O-])nn2)c1C ZINC001574175750 962788161 /nfs/dbraw/zinc/78/81/61/962788161.db2.gz YMDNYLWTXUEIFL-UHFFFAOYSA-N 0 2 319.321 0.313 20 0 DCADLN Cc1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)n(C2CCOCC2)n1 ZINC001364320075 962890086 /nfs/dbraw/zinc/89/00/86/962890086.db2.gz YHNJGUUNWHJIGU-UHFFFAOYSA-N 0 2 321.341 0.688 20 0 DCADLN O=C([O-])COCC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000312012946 963529187 /nfs/dbraw/zinc/52/91/87/963529187.db2.gz JGBAWJQBSSLTFO-AWEZNQCLSA-N 0 2 322.361 0.105 20 0 DCADLN O=C([O-])COCC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000312012946 963529198 /nfs/dbraw/zinc/52/91/98/963529198.db2.gz JGBAWJQBSSLTFO-AWEZNQCLSA-N 0 2 322.361 0.105 20 0 DCADLN COCC(=O)N1CC([C@@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001381191402 963882201 /nfs/dbraw/zinc/88/22/01/963882201.db2.gz PDXPHUZVIRIPDH-HZGVNTEJSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N1CC([C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001381191402 963882205 /nfs/dbraw/zinc/88/22/05/963882205.db2.gz PDXPHUZVIRIPDH-HZGVNTEJSA-N 0 2 300.252 0.496 20 0 DCADLN CCO[C@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001368941349 964164428 /nfs/dbraw/zinc/16/44/28/964164428.db2.gz QACLCNSMXBYPJY-CABZTGNLSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001368941349 964164441 /nfs/dbraw/zinc/16/44/41/964164441.db2.gz QACLCNSMXBYPJY-CABZTGNLSA-N 0 2 311.386 0.262 20 0 DCADLN CCCc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001369012121 964292722 /nfs/dbraw/zinc/29/27/22/964292722.db2.gz ZSYOBCSUMLDSRS-SECBINFHSA-N 0 2 322.369 0.701 20 0 DCADLN CCCc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001369012121 964292733 /nfs/dbraw/zinc/29/27/33/964292733.db2.gz ZSYOBCSUMLDSRS-SECBINFHSA-N 0 2 322.369 0.701 20 0 DCADLN CCC[NH+](C)CC(=O)N[C@@H]1CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001373649355 964423000 /nfs/dbraw/zinc/42/30/00/964423000.db2.gz XVJLJPZZTULBFN-CYBMUJFWSA-N 0 2 321.425 0.518 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)CNC(=O)C(F)C(F)(F)F ZINC001375681949 964709997 /nfs/dbraw/zinc/70/99/97/964709997.db2.gz VUUCBOQYELCRLV-IONNQARKSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001375681949 964710006 /nfs/dbraw/zinc/71/00/06/964710006.db2.gz VUUCBOQYELCRLV-IONNQARKSA-N 0 2 324.278 0.803 20 0 DCADLN CCN(CCNC(=O)c1ccnnc1)C(=O)C(F)C(F)(F)F ZINC001373961694 964822824 /nfs/dbraw/zinc/82/28/24/964822824.db2.gz YLONAUKJXNZEBN-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1ccnnc1)C(=O)[C@@H](F)C(F)(F)F ZINC001373961694 964822834 /nfs/dbraw/zinc/82/28/34/964822834.db2.gz YLONAUKJXNZEBN-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN O=C(CC[C@@H]1CCCO1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369951741 965604743 /nfs/dbraw/zinc/60/47/43/965604743.db2.gz GICNKTGWXAEHFF-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)cc1 ZINC001374994385 966211233 /nfs/dbraw/zinc/21/12/33/966211233.db2.gz RPAFSLPAGCFQRL-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)cc1 ZINC001374994385 966211243 /nfs/dbraw/zinc/21/12/43/966211243.db2.gz RPAFSLPAGCFQRL-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001381567438 966544117 /nfs/dbraw/zinc/54/41/17/966544117.db2.gz UVFYKUZRQBYIJQ-BXKDBHETSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001381567438 966544119 /nfs/dbraw/zinc/54/41/19/966544119.db2.gz UVFYKUZRQBYIJQ-BXKDBHETSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](CN(C)C(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001382066405 966908632 /nfs/dbraw/zinc/90/86/32/966908632.db2.gz KOHNBFHYTLABDN-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1cncn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001382066405 966908639 /nfs/dbraw/zinc/90/86/39/966908639.db2.gz KOHNBFHYTLABDN-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@](CNC(=O)c1[nH]ncc1F)(NC(=O)C(F)F)C1CC1 ZINC001430782744 1013728511 /nfs/dbraw/zinc/72/85/11/1013728511.db2.gz QUPBDMKLMKCAMH-LBPRGKRZSA-N 0 2 304.272 0.829 20 0 DCADLN C[N@H+](CCNC(=O)c1occ2c1CCOC2)Cc1n[nH]c(=O)[n-]1 ZINC001372052234 967604107 /nfs/dbraw/zinc/60/41/07/967604107.db2.gz KNYWGNXDVNTZJM-UHFFFAOYSA-N 0 2 321.337 0.038 20 0 DCADLN C[N@@H+](CCNC(=O)c1occ2c1CCOC2)Cc1n[nH]c(=O)[n-]1 ZINC001372052234 967604115 /nfs/dbraw/zinc/60/41/15/967604115.db2.gz KNYWGNXDVNTZJM-UHFFFAOYSA-N 0 2 321.337 0.038 20 0 DCADLN Cc1cncc(C[N@H+](C)CCNC(=O)CC[NH+]2CCOCC2)c1 ZINC001372158682 967691936 /nfs/dbraw/zinc/69/19/36/967691936.db2.gz RXNRCCHMEPWQLZ-UHFFFAOYSA-N 0 2 320.437 0.660 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@@H](C)n1cccn1)Cc1n[nH]c(=O)[n-]1 ZINC001372449065 967997790 /nfs/dbraw/zinc/99/77/90/967997790.db2.gz OGFZWCSUHZGPFV-LLVKDONJSA-N 0 2 321.385 0.296 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@@H](C)n1cccn1)Cc1n[nH]c(=O)[n-]1 ZINC001372449065 967997795 /nfs/dbraw/zinc/99/77/95/967997795.db2.gz OGFZWCSUHZGPFV-LLVKDONJSA-N 0 2 321.385 0.296 20 0 DCADLN Cc1cn(C)nc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001372862282 968473589 /nfs/dbraw/zinc/47/35/89/968473589.db2.gz DPRCIGRVANYHMF-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cn(C)nc1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001372862282 968473594 /nfs/dbraw/zinc/47/35/94/968473594.db2.gz DPRCIGRVANYHMF-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cn(C)nc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001372862278 968474064 /nfs/dbraw/zinc/47/40/64/968474064.db2.gz DPRCIGRVANYHMF-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cn(C)nc1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001372862278 968474070 /nfs/dbraw/zinc/47/40/70/968474070.db2.gz DPRCIGRVANYHMF-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1C[C@]12CCOC2 ZINC001372888678 968504585 /nfs/dbraw/zinc/50/45/85/968504585.db2.gz SXTOFWNGSVHDCL-ABAIWWIYSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1C[C@]12CCOC2 ZINC001372888678 968504590 /nfs/dbraw/zinc/50/45/90/968504590.db2.gz SXTOFWNGSVHDCL-ABAIWWIYSA-N 0 2 321.381 0.018 20 0 DCADLN C[C@H](F)CC[NH+]1CC(CCO)(NC(=O)CCc2cn[nH]n2)C1 ZINC001448186697 1013810923 /nfs/dbraw/zinc/81/09/23/1013810923.db2.gz RKTMEEMSSIRDJE-NSHDSACASA-N 0 2 313.377 0.038 20 0 DCADLN CN(CCNC(=O)c1cn(C)cn1)C(=O)C(F)C(F)(F)F ZINC001373403179 969047639 /nfs/dbraw/zinc/04/76/39/969047639.db2.gz ASIIQAKGYOCLCB-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)c1cn(C)cn1)C(=O)[C@H](F)C(F)(F)F ZINC001373403179 969047649 /nfs/dbraw/zinc/04/76/49/969047649.db2.gz ASIIQAKGYOCLCB-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN O=C([O-])c1ncccc1NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001606546898 970317270 /nfs/dbraw/zinc/31/72/70/970317270.db2.gz ZYYMOPWWPVHYMJ-LLVKDONJSA-N 0 2 320.349 0.718 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c(=O)c1 ZINC001431308353 1013989013 /nfs/dbraw/zinc/98/90/13/1013989013.db2.gz ZMYRIASOICUMPL-QMMMGPOBSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]c(=O)c1 ZINC001431308353 1013989016 /nfs/dbraw/zinc/98/90/16/1013989016.db2.gz ZMYRIASOICUMPL-QMMMGPOBSA-N 0 2 324.234 0.271 20 0 DCADLN Cc1ncncc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001448754258 1014024694 /nfs/dbraw/zinc/02/46/94/1014024694.db2.gz GWRRTIZREZAWFA-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ncncc1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001448754258 1014024700 /nfs/dbraw/zinc/02/47/00/1014024700.db2.gz GWRRTIZREZAWFA-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C)c(NC(=O)C(=O)N2CC[C@H](C(=O)[O-])C2)c(C)[nH+]1 ZINC001610453685 970748779 /nfs/dbraw/zinc/74/87/79/970748779.db2.gz FTTBAOIMWWUJKN-NSHDSACASA-N 0 2 305.334 0.878 20 0 DCADLN CC1(C(=O)[O-])CC[NH+](Cc2nnnn2CC2CCOCC2)CC1 ZINC001603308505 972595490 /nfs/dbraw/zinc/59/54/90/972595490.db2.gz RNJDEBLZKVJUBP-UHFFFAOYSA-N 0 2 323.397 0.786 20 0 DCADLN C[N@H+](Cc1ccc([S@@](C)=O)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001604188857 972621459 /nfs/dbraw/zinc/62/14/59/972621459.db2.gz YCCDWUUBGPLTHT-WHEQGISXSA-N 0 2 324.402 0.541 20 0 DCADLN C[N@@H+](Cc1ccc([S@@](C)=O)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001604188857 972621465 /nfs/dbraw/zinc/62/14/65/972621465.db2.gz YCCDWUUBGPLTHT-WHEQGISXSA-N 0 2 324.402 0.541 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)C3(C(=O)[O-])CCC3)CC2)CC1 ZINC001604221516 972716879 /nfs/dbraw/zinc/71/68/79/972716879.db2.gz SEJMCTAEHBIBPU-UHFFFAOYSA-N 0 2 309.410 0.480 20 0 DCADLN Cc1ccnc([C@H]2CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C2)c1 ZINC001605359553 973279070 /nfs/dbraw/zinc/27/90/70/973279070.db2.gz GANOCCRHLJYWLF-LBPRGKRZSA-N 0 2 312.391 0.679 20 0 DCADLN Cc1ccnc([C@H]2CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C2)c1 ZINC001605359553 973279081 /nfs/dbraw/zinc/27/90/81/973279081.db2.gz GANOCCRHLJYWLF-LBPRGKRZSA-N 0 2 312.391 0.679 20 0 DCADLN C[C@@H]1C[N@H+](Cc2c(C(=O)[O-])cnn2C)C[C@]2(CCCOC2)O1 ZINC001603461183 973298270 /nfs/dbraw/zinc/29/82/70/973298270.db2.gz ODMHVDBMXCQCPJ-ABAIWWIYSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2c(C(=O)[O-])cnn2C)C[C@]2(CCCOC2)O1 ZINC001603461183 973298280 /nfs/dbraw/zinc/29/82/80/973298280.db2.gz ODMHVDBMXCQCPJ-ABAIWWIYSA-N 0 2 309.366 0.888 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000401807095 973402896 /nfs/dbraw/zinc/40/28/96/973402896.db2.gz ARJWNFFYRJANGE-UWVGGRQHSA-N 0 2 323.305 0.301 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000401807095 973402903 /nfs/dbraw/zinc/40/29/03/973402903.db2.gz ARJWNFFYRJANGE-UWVGGRQHSA-N 0 2 323.305 0.301 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC001605448839 973524517 /nfs/dbraw/zinc/52/45/17/973524517.db2.gz LDMBNJSKQQIQEG-NEPJUHHUSA-N 0 2 322.365 0.523 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC001605448839 973524525 /nfs/dbraw/zinc/52/45/25/973524525.db2.gz LDMBNJSKQQIQEG-NEPJUHHUSA-N 0 2 322.365 0.523 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC001605448841 973525088 /nfs/dbraw/zinc/52/50/88/973525088.db2.gz LDMBNJSKQQIQEG-NWDGAFQWSA-N 0 2 322.365 0.523 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC001605448841 973525097 /nfs/dbraw/zinc/52/50/97/973525097.db2.gz LDMBNJSKQQIQEG-NWDGAFQWSA-N 0 2 322.365 0.523 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH+]1CCC(c2ncco2)CC1 ZINC001606291496 973694705 /nfs/dbraw/zinc/69/47/05/973694705.db2.gz LRBDBAQFYPSGRB-UHFFFAOYSA-N 0 2 302.352 0.353 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)C2(C(=O)[O-])CCOCC2)C1 ZINC001605512506 973697884 /nfs/dbraw/zinc/69/78/84/973697884.db2.gz VOZYDMYTDPRPPQ-NSHDSACASA-N 0 2 307.350 0.617 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH2+]Cc1cc(-c2ccccc2)on1 ZINC001606296445 973701408 /nfs/dbraw/zinc/70/14/08/973701408.db2.gz GCULKGKXLNQRGO-UHFFFAOYSA-N 0 2 324.358 0.931 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@H](C(=O)[O-])[C@@H](C3CC3)C2)nc[nH+]1 ZINC001549119599 1014138339 /nfs/dbraw/zinc/13/83/39/1014138339.db2.gz YHXYDIUROOAHPM-WCQGTBRESA-N 0 2 306.366 0.816 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@H](C(=O)[O-])[C@@H](C3CC3)C2)[nH+]cn1 ZINC001549119599 1014138341 /nfs/dbraw/zinc/13/83/41/1014138341.db2.gz YHXYDIUROOAHPM-WCQGTBRESA-N 0 2 306.366 0.816 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CCC[C@H]1CC(=O)[O-] ZINC000405162449 973770800 /nfs/dbraw/zinc/77/08/00/973770800.db2.gz AGWZCCQUZJODCI-FRRDWIJNSA-N 0 2 313.398 0.650 20 0 DCADLN NC(=O)C1CCN(C(=O)C[NH2+][C@H](C(=O)[O-])c2ccccc2)CC1 ZINC001605627743 973972814 /nfs/dbraw/zinc/97/28/14/973972814.db2.gz NQWOZTQHQFWFCY-AWEZNQCLSA-N 0 2 319.361 0.126 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001522644234 1014271476 /nfs/dbraw/zinc/27/14/76/1014271476.db2.gz YHZCQDDNADDROQ-ZDUSSCGKSA-N 0 2 309.414 0.407 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nnc[nH]2)C[C@H]1O)C(F)C(F)(F)F ZINC001549517531 1014284666 /nfs/dbraw/zinc/28/46/66/1014284666.db2.gz DIIUQZCGZLIWQA-HSNKUXOKSA-N 0 2 310.251 0.676 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N(C)CCNC(=O)CCn1cc[nH+]c1 ZINC001528451372 1014301348 /nfs/dbraw/zinc/30/13/48/1014301348.db2.gz HELMNZMGWBDNQH-UHFFFAOYSA-N 0 2 323.441 0.578 20 0 DCADLN CN(CCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(=O)[C@H](F)C(F)(F)F ZINC001528536285 1014329377 /nfs/dbraw/zinc/32/93/77/1014329377.db2.gz DSIWHOQMBUSIEF-KDXUFGMBSA-N 0 2 312.263 0.354 20 0 DCADLN CN(CCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(=O)C(F)C(F)(F)F ZINC001528536285 1014329366 /nfs/dbraw/zinc/32/93/66/1014329366.db2.gz DSIWHOQMBUSIEF-KDXUFGMBSA-N 0 2 312.263 0.354 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@H+](Cc2c(Br)cnn2C)C1 ZINC000703758742 977189621 /nfs/dbraw/zinc/18/96/21/977189621.db2.gz MKKNJHCMNUSHFU-NSHDSACASA-N 0 2 318.171 0.858 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@@H+](Cc2c(Br)cnn2C)C1 ZINC000703758742 977189626 /nfs/dbraw/zinc/18/96/26/977189626.db2.gz MKKNJHCMNUSHFU-NSHDSACASA-N 0 2 318.171 0.858 20 0 DCADLN C[C@@H](/C=C/C(=O)[O-])NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC001592210845 977257833 /nfs/dbraw/zinc/25/78/33/977257833.db2.gz GZCDEBBOUNRWDH-MLRMMBSGSA-N 0 2 324.402 0.982 20 0 DCADLN C[C@@H](/C=C/C(=O)[O-])NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC001592210845 977257843 /nfs/dbraw/zinc/25/78/43/977257843.db2.gz GZCDEBBOUNRWDH-MLRMMBSGSA-N 0 2 324.402 0.982 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1cncc(C(=O)[O-])c1 ZINC001592622837 978591067 /nfs/dbraw/zinc/59/10/67/978591067.db2.gz BPACLSRJPJMOJV-LLVKDONJSA-N 0 2 307.350 0.573 20 0 DCADLN C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)N(C)c1ncc(C(=O)[O-])cn1 ZINC001592655182 978638423 /nfs/dbraw/zinc/63/84/23/978638423.db2.gz PDIPMFRVWHTWIK-SECBINFHSA-N 0 2 318.337 0.082 20 0 DCADLN CCN(CCNC(=O)Cc1c[nH]c[nH+]1)C(=O)C[N@@H+]1CC[C@@H](C)C1 ZINC001449556740 1014543427 /nfs/dbraw/zinc/54/34/27/1014543427.db2.gz WNLIGRPVDZAJPJ-CYBMUJFWSA-N 0 2 321.425 0.259 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC001592930302 979967553 /nfs/dbraw/zinc/96/75/53/979967553.db2.gz IZQBRQMZFHCDJK-SLEUVZQESA-N 0 2 322.365 0.404 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@@H]1C(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC001550347045 1014674414 /nfs/dbraw/zinc/67/44/14/1014674414.db2.gz VQWCZVMGNCAHJJ-YYHMBLRTSA-N 0 2 305.334 0.083 20 0 DCADLN O=C(NC1CN(C(=O)c2ccc(=O)[nH]c2)C1)C(F)C(F)(F)F ZINC001530485802 1014768310 /nfs/dbraw/zinc/76/83/10/1014768310.db2.gz UAWRTVLPMZVYKJ-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)c2ccc(=O)[nH]c2)C1)[C@@H](F)C(F)(F)F ZINC001530485802 1014768316 /nfs/dbraw/zinc/76/83/16/1014768316.db2.gz UAWRTVLPMZVYKJ-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)c2cccnn2)C1)C(F)C(F)(F)F ZINC001530485495 1014770110 /nfs/dbraw/zinc/77/01/10/1014770110.db2.gz ROAFBXLEIWLHAX-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2cccnn2)C1)[C@H](F)C(F)(F)F ZINC001530485495 1014770115 /nfs/dbraw/zinc/77/01/15/1014770115.db2.gz ROAFBXLEIWLHAX-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCCN(C(C)=O)CC1)C(=O)[O-] ZINC001594894529 982323433 /nfs/dbraw/zinc/32/34/33/982323433.db2.gz UCMBFAGTUOZYKW-SMDDNHRTSA-N 0 2 313.398 0.156 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCCN(C(C)=O)CC1)C(=O)[O-] ZINC001594894529 982323442 /nfs/dbraw/zinc/32/34/42/982323442.db2.gz UCMBFAGTUOZYKW-SMDDNHRTSA-N 0 2 313.398 0.156 20 0 DCADLN Cn1nccc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001530788990 1014937373 /nfs/dbraw/zinc/93/73/73/1014937373.db2.gz XAVRUNKLJOYARB-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cn1nccc1C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530788990 1014937385 /nfs/dbraw/zinc/93/73/85/1014937385.db2.gz XAVRUNKLJOYARB-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN O=C(N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001524428548 1014974255 /nfs/dbraw/zinc/97/42/55/1014974255.db2.gz GAULQRMDWSOZTF-JGVFFNPUSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001524428548 1014974262 /nfs/dbraw/zinc/97/42/62/1014974262.db2.gz GAULQRMDWSOZTF-JGVFFNPUSA-N 0 2 322.262 0.935 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1snnc1C ZINC001596333661 983773686 /nfs/dbraw/zinc/77/36/86/983773686.db2.gz UXLZOBCMNDWAJQ-UWVGGRQHSA-N 0 2 312.395 0.505 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1snnc1C ZINC001596333661 983773688 /nfs/dbraw/zinc/77/36/88/983773688.db2.gz UXLZOBCMNDWAJQ-UWVGGRQHSA-N 0 2 312.395 0.505 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C(C)C)no1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001596339083 983783189 /nfs/dbraw/zinc/78/31/89/983783189.db2.gz DLVAAWQHIXPJQY-MNOVXSKESA-N 0 2 324.381 0.852 20 0 DCADLN CCOC(=O)C1(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCC1 ZINC001596549576 984404990 /nfs/dbraw/zinc/40/49/90/984404990.db2.gz ACLRXTLRRIYOAJ-LLVKDONJSA-N 0 2 323.349 0.645 20 0 DCADLN CCOC(=O)C1(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCC1 ZINC001596549576 984404994 /nfs/dbraw/zinc/40/49/94/984404994.db2.gz ACLRXTLRRIYOAJ-LLVKDONJSA-N 0 2 323.349 0.645 20 0 DCADLN CCOC(=O)c1c(C)n[nH]c1C[N@@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001596696629 984839517 /nfs/dbraw/zinc/83/95/17/984839517.db2.gz KUBWISUIPRSJPE-VHSXEESVSA-N 0 2 311.338 0.162 20 0 DCADLN CCOC(=O)c1c(C)n[nH]c1C[N@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001596696629 984839538 /nfs/dbraw/zinc/83/95/38/984839538.db2.gz KUBWISUIPRSJPE-VHSXEESVSA-N 0 2 311.338 0.162 20 0 DCADLN C/C(=C/CNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001588980016 984856701 /nfs/dbraw/zinc/85/67/01/984856701.db2.gz ZJQDKQMOARIWDU-GKADRNHJSA-N 0 2 312.366 0.013 20 0 DCADLN CCOC(C[N@@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC)OCC ZINC001596788912 985255476 /nfs/dbraw/zinc/25/54/76/985255476.db2.gz CGPBGOUEWGONTI-QWRGUYRKSA-N 0 2 303.355 0.724 20 0 DCADLN CCOC(C[N@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC)OCC ZINC001596788912 985255485 /nfs/dbraw/zinc/25/54/85/985255485.db2.gz CGPBGOUEWGONTI-QWRGUYRKSA-N 0 2 303.355 0.724 20 0 DCADLN C[C@H]1C[N@H+](Cc2cc(=O)n3ccccc3n2)C[C@@H](C(=O)[O-])O1 ZINC001599930149 985297611 /nfs/dbraw/zinc/29/76/11/985297611.db2.gz QLXSQFSKAVJELT-JQWIXIFHSA-N 0 2 303.318 0.368 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cc(=O)n3ccccc3n2)C[C@@H](C(=O)[O-])O1 ZINC001599930149 985297623 /nfs/dbraw/zinc/29/76/23/985297623.db2.gz QLXSQFSKAVJELT-JQWIXIFHSA-N 0 2 303.318 0.368 20 0 DCADLN C[C@@H]1CN(C(=O)c2ncc[nH]2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001384122768 985376362 /nfs/dbraw/zinc/37/63/62/985376362.db2.gz NRRPCFQHGPKPGF-BWZBUEFSSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)c2ncc[nH]2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001384122768 985376373 /nfs/dbraw/zinc/37/63/73/985376373.db2.gz NRRPCFQHGPKPGF-BWZBUEFSSA-N 0 2 322.262 0.887 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H](O)C2CCC2)n[nH]1 ZINC001551656523 1015166526 /nfs/dbraw/zinc/16/65/26/1015166526.db2.gz CQMXVQMGQSDOAM-UPJWGTAASA-N 0 2 308.382 0.605 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2cnn(C)c2)n[nH]1 ZINC001551662846 1015169171 /nfs/dbraw/zinc/16/91/71/1015169171.db2.gz GFSRYBUXSBJLQU-NEPJUHHUSA-N 0 2 304.354 0.491 20 0 DCADLN CCn1cc(C(=O)N(C)C[C@@H]2OCC[C@@H]2c2n[nH]c(C)n2)cn1 ZINC001551666582 1015170637 /nfs/dbraw/zinc/17/06/37/1015170637.db2.gz GOWBHQXBUNJQDD-STQMWFEESA-N 0 2 318.381 0.974 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001594526658 986058750 /nfs/dbraw/zinc/05/87/50/986058750.db2.gz BLOJRIHNKGBYLC-XQHKEYJVSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001594526658 986058763 /nfs/dbraw/zinc/05/87/63/986058763.db2.gz BLOJRIHNKGBYLC-XQHKEYJVSA-N 0 2 313.398 0.648 20 0 DCADLN Cc1c(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])sc2nccn12 ZINC001599981006 986162695 /nfs/dbraw/zinc/16/26/95/986162695.db2.gz QQLCEVWONBGOQM-VIFPVBQESA-N 0 2 319.346 0.784 20 0 DCADLN C[C@H]1OCC[C@]12C[N@H+](Cc1cnc(C(=O)[O-])cn1)C[C@@H](C)O2 ZINC001594547368 986225889 /nfs/dbraw/zinc/22/58/89/986225889.db2.gz JRXUMSBUKGUMDR-HFAKWTLXSA-N 0 2 307.350 0.943 20 0 DCADLN C[C@H]1OCC[C@]12C[N@@H+](Cc1cnc(C(=O)[O-])cn1)C[C@@H](C)O2 ZINC001594547368 986225901 /nfs/dbraw/zinc/22/59/01/986225901.db2.gz JRXUMSBUKGUMDR-HFAKWTLXSA-N 0 2 307.350 0.943 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cnc(C(=O)[O-])cn2)C[C@]2(CCCOC2)O1 ZINC001594548072 986234386 /nfs/dbraw/zinc/23/43/86/986234386.db2.gz QGEGMTAHHOPICG-ABAIWWIYSA-N 0 2 307.350 0.945 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cnc(C(=O)[O-])cn2)C[C@]2(CCCOC2)O1 ZINC001594548072 986234398 /nfs/dbraw/zinc/23/43/98/986234398.db2.gz QGEGMTAHHOPICG-ABAIWWIYSA-N 0 2 307.350 0.945 20 0 DCADLN C[C@@H](C(=O)N[C@@H](Cc1ccccc1O)C(=O)[O-])n1cc[nH+]c1 ZINC001589389312 986502886 /nfs/dbraw/zinc/50/28/86/986502886.db2.gz XYZGFMKICYNVBK-JQWIXIFHSA-N 0 2 303.318 0.962 20 0 DCADLN C[C@@H](C(=O)N[C@H](Cc1ccccc1O)C(=O)[O-])n1cc[nH+]c1 ZINC001589389311 986503181 /nfs/dbraw/zinc/50/31/81/986503181.db2.gz XYZGFMKICYNVBK-CMPLNLGQSA-N 0 2 303.318 0.962 20 0 DCADLN C[C@H]1COCCC[N@@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001594607671 986584599 /nfs/dbraw/zinc/58/45/99/986584599.db2.gz VQDOFCUPWWUVCN-HZMBPMFUSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@H]1COCCC[N@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001594607671 986584606 /nfs/dbraw/zinc/58/46/06/986584606.db2.gz VQDOFCUPWWUVCN-HZMBPMFUSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@@H](CNC(=O)c1nccnc1N)NC(=O)C(F)C(F)(F)F ZINC001386209122 986957802 /nfs/dbraw/zinc/95/78/02/986957802.db2.gz SNWGQVZKFBYQSW-CAHLUQPWSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@@H](CNC(=O)c1nccnc1N)NC(=O)[C@@H](F)C(F)(F)F ZINC001386209122 986957816 /nfs/dbraw/zinc/95/78/16/986957816.db2.gz SNWGQVZKFBYQSW-CAHLUQPWSA-N 0 2 323.250 0.194 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001386299530 987052826 /nfs/dbraw/zinc/05/28/26/987052826.db2.gz SXCDGNQTHRLDQA-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001386299530 987052835 /nfs/dbraw/zinc/05/28/35/987052835.db2.gz SXCDGNQTHRLDQA-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN COCC1(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)CC1 ZINC001386370128 987111669 /nfs/dbraw/zinc/11/16/69/987111669.db2.gz HIHAKBZYTYPSFG-SFYZADRCSA-N 0 2 314.279 0.934 20 0 DCADLN COCC1(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001386370128 987111682 /nfs/dbraw/zinc/11/16/82/987111682.db2.gz HIHAKBZYTYPSFG-SFYZADRCSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)CNC(=O)C(F)C(F)(F)F ZINC001532261156 1015320892 /nfs/dbraw/zinc/32/08/92/1015320892.db2.gz NPWFMQIZKSRUEY-APPZFPTMSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)CNC(=O)[C@H](F)C(F)(F)F ZINC001532261156 1015320901 /nfs/dbraw/zinc/32/09/01/1015320901.db2.gz NPWFMQIZKSRUEY-APPZFPTMSA-N 0 2 324.278 0.803 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ncccn1 ZINC001387215242 987710224 /nfs/dbraw/zinc/71/02/24/987710224.db2.gz PWZAMOPECFZGDQ-MRVPVSSYSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ncccn1 ZINC001387215242 987710229 /nfs/dbraw/zinc/71/02/29/987710229.db2.gz PWZAMOPECFZGDQ-MRVPVSSYSA-N 0 2 322.262 0.955 20 0 DCADLN O=C(NCCN(C(=O)c1cn[nH]n1)C1CC1)C(F)C(F)(F)F ZINC001387494168 987868526 /nfs/dbraw/zinc/86/85/26/987868526.db2.gz CWMAPKKHLANDQB-QMMMGPOBSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NCCN(C(=O)c1cn[nH]n1)C1CC1)[C@H](F)C(F)(F)F ZINC001387494168 987868536 /nfs/dbraw/zinc/86/85/36/987868536.db2.gz CWMAPKKHLANDQB-QMMMGPOBSA-N 0 2 323.250 0.426 20 0 DCADLN COC[C@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001387708201 988059174 /nfs/dbraw/zinc/05/91/74/988059174.db2.gz CJLFEKDAXJJHTM-XPUUQOCRSA-N 0 2 300.252 0.496 20 0 DCADLN COC[C@H](C)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001387708201 988059186 /nfs/dbraw/zinc/05/91/86/988059186.db2.gz CJLFEKDAXJJHTM-XPUUQOCRSA-N 0 2 300.252 0.496 20 0 DCADLN CC(C)(C)C[C@@H](NS(=O)(=O)CCn1cc[nH+]c1)C(=O)[O-] ZINC001589752245 988062552 /nfs/dbraw/zinc/06/25/52/988062552.db2.gz SCMYBFXQMBSIFV-SNVBAGLBSA-N 0 2 303.384 0.692 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001387752351 988117822 /nfs/dbraw/zinc/11/78/22/988117822.db2.gz XXFRMUYQJCDUII-SSDOTTSWSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001387752351 988117826 /nfs/dbraw/zinc/11/78/26/988117826.db2.gz XXFRMUYQJCDUII-SSDOTTSWSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001387793597 988156517 /nfs/dbraw/zinc/15/65/17/988156517.db2.gz ITSAYYWBSVUNOJ-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001387793597 988156519 /nfs/dbraw/zinc/15/65/19/988156519.db2.gz ITSAYYWBSVUNOJ-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN C[C@H](CNC(=O)CC(C)(C)O)CNC(=O)C(F)C(F)(F)F ZINC001388443583 988315003 /nfs/dbraw/zinc/31/50/03/988315003.db2.gz UIKHKELQEZSUGE-VXNVDRBHSA-N 0 2 316.295 0.916 20 0 DCADLN C[C@H](CNC(=O)CC(C)(C)O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001388443583 988315012 /nfs/dbraw/zinc/31/50/12/988315012.db2.gz UIKHKELQEZSUGE-VXNVDRBHSA-N 0 2 316.295 0.916 20 0 DCADLN CC[C@H](CNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001388029458 988406814 /nfs/dbraw/zinc/40/68/14/988406814.db2.gz AMVBWZLUZSGKFK-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN CC[C@H](CNC(=O)Cc1cc[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001388029458 988406818 /nfs/dbraw/zinc/40/68/18/988406818.db2.gz AMVBWZLUZSGKFK-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN CC(C)[C@H](CNC(=O)CC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001532699460 1015396999 /nfs/dbraw/zinc/39/69/99/1015396999.db2.gz UNKBWYQJZQDSKG-IMTBSYHQSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@H](CNC(=O)CC(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001532699460 1015397007 /nfs/dbraw/zinc/39/70/07/1015397007.db2.gz UNKBWYQJZQDSKG-IMTBSYHQSA-N 0 2 315.267 0.019 20 0 DCADLN CCc1[nH]c(CNS(=O)(=O)c2ccc(C(=O)[O-])nc2)c[nH+]1 ZINC001597673934 988779977 /nfs/dbraw/zinc/77/99/77/988779977.db2.gz NCAUEHVFDQURGM-UHFFFAOYSA-N 0 2 310.335 0.544 20 0 DCADLN CCc1[nH]cc(CNS(=O)(=O)c2ccc(C(=O)[O-])nc2)[nH+]1 ZINC001597673934 988779987 /nfs/dbraw/zinc/77/99/87/988779987.db2.gz NCAUEHVFDQURGM-UHFFFAOYSA-N 0 2 310.335 0.544 20 0 DCADLN COCC(=O)N(C)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001527369230 1015455698 /nfs/dbraw/zinc/45/56/98/1015455698.db2.gz IZDDMYZMTBFWGW-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N(C)[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001527369230 1015455708 /nfs/dbraw/zinc/45/57/08/1015455708.db2.gz IZDDMYZMTBFWGW-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001389737922 989530597 /nfs/dbraw/zinc/53/05/97/989530597.db2.gz ILZYYVJIFWMSTF-JLIMGVALSA-N 0 2 324.274 0.354 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)[C@H](F)C(F)(F)F ZINC001389737922 989530603 /nfs/dbraw/zinc/53/06/03/989530603.db2.gz ILZYYVJIFWMSTF-JLIMGVALSA-N 0 2 324.274 0.354 20 0 DCADLN CN(C(=O)c1cc(C(=O)[O-])ccn1)C(C)(C)C[NH+]1CCOCC1 ZINC001598079075 990391040 /nfs/dbraw/zinc/39/10/40/990391040.db2.gz ILBNTSRGDAHAJR-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CC(C)(CCNS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC001590689378 990404040 /nfs/dbraw/zinc/40/40/40/990404040.db2.gz DAUADIMUJXDRAY-UHFFFAOYSA-N 0 2 322.427 0.129 20 0 DCADLN CNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001391306652 990734480 /nfs/dbraw/zinc/73/44/80/990734480.db2.gz RMXODQNNKFHGFL-GHMZBOCLSA-N 0 2 323.397 0.000 20 0 DCADLN CNC(=O)[C@@H](C)[N@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001391306652 990734485 /nfs/dbraw/zinc/73/44/85/990734485.db2.gz RMXODQNNKFHGFL-GHMZBOCLSA-N 0 2 323.397 0.000 20 0 DCADLN CCO[C@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001391428401 990829682 /nfs/dbraw/zinc/82/96/82/990829682.db2.gz WTDRDCANBLXAPX-LLVKDONJSA-N 0 2 311.386 0.262 20 0 DCADLN O=C(CC[C@H]1CCCO1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391468492 990867767 /nfs/dbraw/zinc/86/77/67/990867767.db2.gz QVUGCIHUOUFUGV-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CCC1)C(F)C(F)(F)F ZINC001391976330 991349995 /nfs/dbraw/zinc/34/99/95/991349995.db2.gz HXYBZJVYWXFDPQ-HTQZYQBOSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CCC1)[C@@H](F)C(F)(F)F ZINC001391976330 991350004 /nfs/dbraw/zinc/35/00/04/991350004.db2.gz HXYBZJVYWXFDPQ-HTQZYQBOSA-N 0 2 300.252 0.280 20 0 DCADLN CN(C)c1ccccc1C[NH+]1CC(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])C1 ZINC001598342599 991415810 /nfs/dbraw/zinc/41/58/10/991415810.db2.gz SXEZESDWALOUSF-KBPBESRZSA-N 0 2 317.389 0.774 20 0 DCADLN COc1ccccc1OCC[N@H+](C)Cc1cn(CC(=O)[O-])nn1 ZINC001599716197 991459317 /nfs/dbraw/zinc/45/93/17/991459317.db2.gz BJNWXOFIGWRJAU-UHFFFAOYSA-N 0 2 320.349 0.882 20 0 DCADLN COc1ccccc1OCC[N@@H+](C)Cc1cn(CC(=O)[O-])nn1 ZINC001599716197 991459322 /nfs/dbraw/zinc/45/93/22/991459322.db2.gz BJNWXOFIGWRJAU-UHFFFAOYSA-N 0 2 320.349 0.882 20 0 DCADLN CC(C)C[C@H](C(=O)N1C[C@H](O)C[C@@H](C(=O)[O-])C1)n1cc[nH+]c1 ZINC001591118712 991785641 /nfs/dbraw/zinc/78/56/41/991785641.db2.gz YFYMCMXCPDPMTA-JHJVBQTASA-N 0 2 309.366 0.764 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](O)C[NH2+]Cc1cnccc1C ZINC001392417438 991901773 /nfs/dbraw/zinc/90/17/73/991901773.db2.gz JCTASIYQFHHZGY-CQSZACIVSA-N 0 2 317.393 0.231 20 0 DCADLN Cc1conc1C[N@@H+](C)C[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001392654553 992212477 /nfs/dbraw/zinc/21/24/77/992212477.db2.gz XFCGPLPBVXPZAY-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1conc1C[N@H+](C)C[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001392654553 992212484 /nfs/dbraw/zinc/21/24/84/992212484.db2.gz XFCGPLPBVXPZAY-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001392748706 992347027 /nfs/dbraw/zinc/34/70/27/992347027.db2.gz JSYRCIIDKFAMKN-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001392748706 992347038 /nfs/dbraw/zinc/34/70/38/992347038.db2.gz JSYRCIIDKFAMKN-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN CCCCC(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001393669130 993168122 /nfs/dbraw/zinc/16/81/22/993168122.db2.gz QDQJJAWOTAWPFH-SNVBAGLBSA-N 0 2 309.370 0.160 20 0 DCADLN Cn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@](C)(C(=O)[O-])C1 ZINC001598570063 993185837 /nfs/dbraw/zinc/18/58/37/993185837.db2.gz PUIVPVMVJVFUEG-ZETOZRRWSA-N 0 2 323.397 0.244 20 0 DCADLN Cn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@](C)(C(=O)[O-])C1 ZINC001598570063 993185839 /nfs/dbraw/zinc/18/58/39/993185839.db2.gz PUIVPVMVJVFUEG-ZETOZRRWSA-N 0 2 323.397 0.244 20 0 DCADLN C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1cc(F)c(F)c(C(=O)[O-])c1 ZINC001598588601 993419207 /nfs/dbraw/zinc/41/92/07/993419207.db2.gz CAEQENXVICQXHK-ZETCQYMHSA-N 0 2 320.317 0.645 20 0 DCADLN C[N@H+]1CC[C@H]1CNS(=O)(=O)c1cc(F)c(F)c(C(=O)[O-])c1 ZINC001598588601 993419212 /nfs/dbraw/zinc/41/92/12/993419212.db2.gz CAEQENXVICQXHK-ZETCQYMHSA-N 0 2 320.317 0.645 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)ns1 ZINC001394055990 993441361 /nfs/dbraw/zinc/44/13/61/993441361.db2.gz VVDJFPGOHXYUOH-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ns1 ZINC001394055990 993441369 /nfs/dbraw/zinc/44/13/69/993441369.db2.gz VVDJFPGOHXYUOH-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN C[C@H](CNC(=O)CCn1cc[nH+]c1)[N@H+](C)CCc1ccnn1C ZINC001394098484 993476003 /nfs/dbraw/zinc/47/60/03/993476003.db2.gz UWGUKPSXJDKUHH-CQSZACIVSA-N 0 2 318.425 0.686 20 0 DCADLN CCn1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001394101366 993478888 /nfs/dbraw/zinc/47/88/88/993478888.db2.gz XYBOOQDTVFCWRZ-JTQLQIEISA-N 0 2 320.397 0.890 20 0 DCADLN CCn1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001394101366 993478890 /nfs/dbraw/zinc/47/88/90/993478890.db2.gz XYBOOQDTVFCWRZ-JTQLQIEISA-N 0 2 320.397 0.890 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@@H+]1CCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001399869827 993863558 /nfs/dbraw/zinc/86/35/58/993863558.db2.gz GEXDYDNELQOLFL-MNOVXSKESA-N 0 2 308.386 0.043 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@H+]1CCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001399869827 993863563 /nfs/dbraw/zinc/86/35/63/993863563.db2.gz GEXDYDNELQOLFL-MNOVXSKESA-N 0 2 308.386 0.043 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H](C)[NH+]1CCCCCC1 ZINC001399875908 993869465 /nfs/dbraw/zinc/86/94/65/993869465.db2.gz QLCRMMDKMDRKQO-LSDHHAIUSA-N 0 2 324.469 0.578 20 0 DCADLN CO[C@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001399877520 993871081 /nfs/dbraw/zinc/87/10/81/993871081.db2.gz YWWWOUMYSNPDQY-JQWIXIFHSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001399877520 993871084 /nfs/dbraw/zinc/87/10/84/993871084.db2.gz YWWWOUMYSNPDQY-JQWIXIFHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001399887124 993881255 /nfs/dbraw/zinc/88/12/55/993881255.db2.gz YGAMFWGJKCGJFD-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001399887124 993881261 /nfs/dbraw/zinc/88/12/61/993881261.db2.gz YGAMFWGJKCGJFD-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN CN1CC[N@H+](CC[S@@](=O)C(F)(F)F)C[C@@H](C(=O)[O-])C1 ZINC001598618360 993939691 /nfs/dbraw/zinc/93/96/91/993939691.db2.gz BSDWWXPYFOZBTK-WPCRTTGESA-N 0 2 302.318 0.203 20 0 DCADLN CN1CC[N@@H+](CC[S@@](=O)C(F)(F)F)C[C@@H](C(=O)[O-])C1 ZINC001598618360 993939699 /nfs/dbraw/zinc/93/96/99/993939699.db2.gz BSDWWXPYFOZBTK-WPCRTTGESA-N 0 2 302.318 0.203 20 0 DCADLN C[C@@H](NC(=O)c1ccoc1CC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC001593184000 994085586 /nfs/dbraw/zinc/08/55/86/994085586.db2.gz VFGPBWGNXXITAU-MNOVXSKESA-N 0 2 310.350 0.746 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)NC2CC(C(=O)[O-])C2)C1 ZINC001598626629 994106072 /nfs/dbraw/zinc/10/60/72/994106072.db2.gz FSRCPQVSQDRTBU-UHFFFAOYSA-N 0 2 324.402 0.816 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)NC2CC(C(=O)[O-])C2)C1 ZINC001598626629 994106076 /nfs/dbraw/zinc/10/60/76/994106076.db2.gz FSRCPQVSQDRTBU-UHFFFAOYSA-N 0 2 324.402 0.816 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CCCC(=O)[O-])C1 ZINC001598632465 994191547 /nfs/dbraw/zinc/19/15/47/994191547.db2.gz VLCUDIOLRUOQBZ-CYBMUJFWSA-N 0 2 312.391 0.957 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CCCC(=O)[O-])C1 ZINC001598632465 994191551 /nfs/dbraw/zinc/19/15/51/994191551.db2.gz VLCUDIOLRUOQBZ-CYBMUJFWSA-N 0 2 312.391 0.957 20 0 DCADLN C[C@@H]([NH2+]CC(=O)NCCC(=O)[O-])c1cn(-c2ccccc2)nn1 ZINC001593236455 994404819 /nfs/dbraw/zinc/40/48/19/994404819.db2.gz FAQSXQQPMZWFOI-LLVKDONJSA-N 0 2 317.349 0.509 20 0 DCADLN C[C@H]([NH2+]CC(=O)Nc1ccncc1)c1ncc(C(=O)[O-])s1 ZINC001593236520 994405212 /nfs/dbraw/zinc/40/52/12/994405212.db2.gz FQJHUQZCNAUCLE-QMMMGPOBSA-N 0 2 306.347 0.948 20 0 DCADLN C[C@H]([NH2+]CC(=O)Nc1cccc(C(=O)[O-])n1)c1nncn1C ZINC001593237566 994414745 /nfs/dbraw/zinc/41/47/45/994414745.db2.gz QYYSOILERUZVSW-QMMMGPOBSA-N 0 2 304.310 0.198 20 0 DCADLN CC(C)(O)CC(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400410506 994488129 /nfs/dbraw/zinc/48/81/29/994488129.db2.gz SJHOVEHHHSFCCK-IONNQARKSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001400410506 994488135 /nfs/dbraw/zinc/48/81/35/994488135.db2.gz SJHOVEHHHSFCCK-IONNQARKSA-N 0 2 314.279 0.765 20 0 DCADLN CN(C(=O)CC(C)(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400708509 994824457 /nfs/dbraw/zinc/82/44/57/994824457.db2.gz NXLMNPJGUFNIKZ-QMMMGPOBSA-N 0 2 303.313 0.588 20 0 DCADLN CN(C(=O)CC(C)(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400708509 994824448 /nfs/dbraw/zinc/82/44/48/994824448.db2.gz NXLMNPJGUFNIKZ-QMMMGPOBSA-N 0 2 303.313 0.588 20 0 DCADLN CN(C(=O)CC(C)(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400708509 994824468 /nfs/dbraw/zinc/82/44/68/994824468.db2.gz NXLMNPJGUFNIKZ-QMMMGPOBSA-N 0 2 303.313 0.588 20 0 DCADLN CNS(=O)(=O)c1cccc2c1CC[N@H+](C/C(C)=C\C(=O)[O-])C2 ZINC001598675965 994843732 /nfs/dbraw/zinc/84/37/32/994843732.db2.gz REMITQODFBSFLQ-FLIBITNWSA-N 0 2 324.402 0.984 20 0 DCADLN CNS(=O)(=O)c1cccc2c1CC[N@@H+](C/C(C)=C\C(=O)[O-])C2 ZINC001598675965 994843739 /nfs/dbraw/zinc/84/37/39/994843739.db2.gz REMITQODFBSFLQ-FLIBITNWSA-N 0 2 324.402 0.984 20 0 DCADLN CC(C)CC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395684794 994876130 /nfs/dbraw/zinc/87/61/30/994876130.db2.gz FYPKEDUGUDJGBV-CBAPKCEASA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001395684794 994876137 /nfs/dbraw/zinc/87/61/37/994876137.db2.gz FYPKEDUGUDJGBV-CBAPKCEASA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395684793 994877418 /nfs/dbraw/zinc/87/74/18/994877418.db2.gz FYPKEDUGUDJGBV-APPZFPTMSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001395684793 994877429 /nfs/dbraw/zinc/87/74/29/994877429.db2.gz FYPKEDUGUDJGBV-APPZFPTMSA-N 0 2 302.268 0.526 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)Cc2ncc[nH]2)C1 ZINC001400754929 994883165 /nfs/dbraw/zinc/88/31/65/994883165.db2.gz YNUPJRZYFFTOML-JTQLQIEISA-N 0 2 322.262 0.522 20 0 DCADLN CCOCCCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001464308673 1015901299 /nfs/dbraw/zinc/90/12/99/1015901299.db2.gz HTCRNJGGRNLXQQ-UHFFFAOYSA-N 0 2 320.349 0.813 20 0 DCADLN C[C@H](Oc1ccc(C[NH2+][C@@H](C)c2nnnn2C)cc1)C(=O)[O-] ZINC001593617253 995850571 /nfs/dbraw/zinc/85/05/71/995850571.db2.gz CZRLMJGFSWPYML-UWVGGRQHSA-N 0 2 305.338 0.913 20 0 DCADLN CC(C)[NH+](C)CC(=O)N1C[C@@H]2C[N@@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001397213856 995867569 /nfs/dbraw/zinc/86/75/69/995867569.db2.gz FSIIYYKKXVLUPT-KGLIPLIRSA-N 0 2 321.425 0.690 20 0 DCADLN C[C@H](CCNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001397766623 996164346 /nfs/dbraw/zinc/16/43/46/996164346.db2.gz RTTHOGGOEADBOE-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@H](CCNC(=O)Cn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001397766623 996164349 /nfs/dbraw/zinc/16/43/49/996164349.db2.gz RTTHOGGOEADBOE-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCC(F)F)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001397801398 996184513 /nfs/dbraw/zinc/18/45/13/996184513.db2.gz DQYHRPKTTLETRR-SFYZADRCSA-N 0 2 303.313 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCC(F)F)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001397801398 996184516 /nfs/dbraw/zinc/18/45/16/996184516.db2.gz DQYHRPKTTLETRR-SFYZADRCSA-N 0 2 303.313 0.635 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@]1(F)CCOC1 ZINC001397936961 996266963 /nfs/dbraw/zinc/26/69/63/996266963.db2.gz HCTKILULPYJERO-XVKPBYJWSA-N 0 2 318.242 0.590 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@]1(F)CCOC1 ZINC001397936961 996266968 /nfs/dbraw/zinc/26/69/68/996266968.db2.gz HCTKILULPYJERO-XVKPBYJWSA-N 0 2 318.242 0.590 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cncn1C ZINC001397944269 996271002 /nfs/dbraw/zinc/27/10/02/996271002.db2.gz XTAUTODKRWETFK-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN O=C(NCCN(C(=O)c1nc[nH]n1)C1CC1)C(F)C(F)(F)F ZINC001398345461 996481092 /nfs/dbraw/zinc/48/10/92/996481092.db2.gz ZWQGIDLSCSYDLN-ZETCQYMHSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NCCN(C(=O)c1nc[nH]n1)C1CC1)[C@H](F)C(F)(F)F ZINC001398345461 996481096 /nfs/dbraw/zinc/48/10/96/996481096.db2.gz ZWQGIDLSCSYDLN-ZETCQYMHSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NCCN(C(=O)c1nc[nH]n1)C1CC1)C(F)C(F)(F)F ZINC001398345460 996481296 /nfs/dbraw/zinc/48/12/96/996481296.db2.gz ZWQGIDLSCSYDLN-SSDOTTSWSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NCCN(C(=O)c1nc[nH]n1)C1CC1)[C@@H](F)C(F)(F)F ZINC001398345460 996481300 /nfs/dbraw/zinc/48/13/00/996481300.db2.gz ZWQGIDLSCSYDLN-SSDOTTSWSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnccn2)C1)C(F)C(F)(F)F ZINC001398746821 996669484 /nfs/dbraw/zinc/66/94/84/996669484.db2.gz DGFMYVAECMWKME-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnccn2)C1)[C@@H](F)C(F)(F)F ZINC001398746821 996669487 /nfs/dbraw/zinc/66/94/87/996669487.db2.gz DGFMYVAECMWKME-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnccn2)C1)C(F)C(F)(F)F ZINC001398746829 996669588 /nfs/dbraw/zinc/66/95/88/996669588.db2.gz DGFMYVAECMWKME-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnccn2)C1)[C@H](F)C(F)(F)F ZINC001398746829 996669590 /nfs/dbraw/zinc/66/95/90/996669590.db2.gz DGFMYVAECMWKME-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001398751415 996672111 /nfs/dbraw/zinc/67/21/11/996672111.db2.gz MDLQLBOUVTVVNH-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398751415 996672114 /nfs/dbraw/zinc/67/21/14/996672114.db2.gz MDLQLBOUVTVVNH-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CC1(C)C[N@H+](CN2C[C@H](C(=O)[O-])CC2=O)C[C@]2(CCOC2)O1 ZINC001593942025 996961667 /nfs/dbraw/zinc/96/16/67/996961667.db2.gz ABRLCEFESZLGEX-ABAIWWIYSA-N 0 2 312.366 0.147 20 0 DCADLN CC1(C)C[N@@H+](CN2C[C@H](C(=O)[O-])CC2=O)C[C@]2(CCOC2)O1 ZINC001593942025 996961683 /nfs/dbraw/zinc/96/16/83/996961683.db2.gz ABRLCEFESZLGEX-ABAIWWIYSA-N 0 2 312.366 0.147 20 0 DCADLN COCc1cncc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001599298731 997195245 /nfs/dbraw/zinc/19/52/45/997195245.db2.gz UAXQWEBWJOPQOR-LBPRGKRZSA-N 0 2 304.306 0.308 20 0 DCADLN Cc1nn(C)cc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404762375 997443080 /nfs/dbraw/zinc/44/30/80/997443080.db2.gz BXKYOFAWOQMXRJ-JTQLQIEISA-N 0 2 308.279 0.929 20 0 DCADLN Cc1nn(C)cc1CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404762375 997443085 /nfs/dbraw/zinc/44/30/85/997443085.db2.gz BXKYOFAWOQMXRJ-JTQLQIEISA-N 0 2 308.279 0.929 20 0 DCADLN COCC[C@H](NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C(=O)[O-] ZINC001599214177 997554021 /nfs/dbraw/zinc/55/40/21/997554021.db2.gz GMAZJSHMANYEMV-NSHDSACASA-N 0 2 304.306 0.487 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@H]1[C@H]1CCCO1 ZINC001598926403 997654897 /nfs/dbraw/zinc/65/48/97/997654897.db2.gz OJBCDCQHSIONQR-WCQYABFASA-N 0 2 323.349 0.969 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@H]1[C@H]1CCCO1 ZINC001598926403 997654902 /nfs/dbraw/zinc/65/49/02/997654902.db2.gz OJBCDCQHSIONQR-WCQYABFASA-N 0 2 323.349 0.969 20 0 DCADLN O=C(CCc1nnc[nH]1)N[C@H]1CC[N@H+](Cc2ccccn2)C1 ZINC001404998981 997663421 /nfs/dbraw/zinc/66/34/21/997663421.db2.gz LWZIXPNAYPBBGQ-ZDUSSCGKSA-N 0 2 300.366 0.523 20 0 DCADLN C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2scnc2C(=O)[O-])C1 ZINC001594048774 997725638 /nfs/dbraw/zinc/72/56/38/997725638.db2.gz ZMNSNQOVCWSMHG-SNVBAGLBSA-N 0 2 323.371 0.163 20 0 DCADLN COCC[N@H+](C)Cc1cn(Cc2cccc(C(=O)[O-])n2)nn1 ZINC001599231366 997862389 /nfs/dbraw/zinc/86/23/89/997862389.db2.gz ACZYEBQGAWDQIF-UHFFFAOYSA-N 0 2 305.338 0.498 20 0 DCADLN COCC[N@@H+](C)Cc1cn(Cc2cccc(C(=O)[O-])n2)nn1 ZINC001599231366 997862402 /nfs/dbraw/zinc/86/24/02/997862402.db2.gz ACZYEBQGAWDQIF-UHFFFAOYSA-N 0 2 305.338 0.498 20 0 DCADLN C[C@@H](CNC(=O)c1cnn[nH]1)NC(=O)c1ccc2nccn2c1 ZINC001503527296 1016164854 /nfs/dbraw/zinc/16/48/54/1016164854.db2.gz DVRYNPWSBBNKGW-VIFPVBQESA-N 0 2 313.321 0.001 20 0 DCADLN O=C(CC1CC1)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001405183185 997900346 /nfs/dbraw/zinc/90/03/46/997900346.db2.gz FLLJURHMAOXSAO-VXNVDRBHSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001405183185 997900356 /nfs/dbraw/zinc/90/03/56/997900356.db2.gz FLLJURHMAOXSAO-VXNVDRBHSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1(O)CCOCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001467507833 1016176234 /nfs/dbraw/zinc/17/62/34/1016176234.db2.gz FTZNGYQLXHJNOH-JTQLQIEISA-N 0 2 310.354 0.148 20 0 DCADLN O=C(C[C@@H](n1cc[nH+]c1)C(F)(F)F)NCCc1nc(=O)o[n-]1 ZINC001467597656 1016185735 /nfs/dbraw/zinc/18/57/35/1016185735.db2.gz JASFWNADGORSIY-SSDOTTSWSA-N 0 2 319.243 0.412 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@]2(C)C[C@H](O)C[N@@H+]2C)c1O ZINC001599357845 998209738 /nfs/dbraw/zinc/20/97/38/998209738.db2.gz DNCBRRLZRPEYCE-VFZGTOFNSA-N 0 2 324.333 0.493 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@]2(C)C[C@H](O)C[N@H+]2C)c1O ZINC001599357845 998209749 /nfs/dbraw/zinc/20/97/49/998209749.db2.gz DNCBRRLZRPEYCE-VFZGTOFNSA-N 0 2 324.333 0.493 20 0 DCADLN CCOC(=O)[C@@H]1CSCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC001467754998 1016195846 /nfs/dbraw/zinc/19/58/46/1016195846.db2.gz UZLYBRQCNUGEEA-BQBZGAKWSA-N 0 2 317.392 0.007 20 0 DCADLN COC[C@](C)(CC(=O)[O-])NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC001599147402 998236828 /nfs/dbraw/zinc/23/68/28/998236828.db2.gz KCEPJQXVZSKWCC-WFASDCNBSA-N 0 2 324.381 0.786 20 0 DCADLN COC[C@](C)(CC(=O)[O-])NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC001599147402 998236839 /nfs/dbraw/zinc/23/68/39/998236839.db2.gz KCEPJQXVZSKWCC-WFASDCNBSA-N 0 2 324.381 0.786 20 0 DCADLN COC[C@](C)(NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)C(=O)[O-] ZINC001599152624 998317185 /nfs/dbraw/zinc/31/71/85/998317185.db2.gz RLRMJHQZGVNOOQ-RISCZKNCSA-N 0 2 310.354 0.396 20 0 DCADLN COC[C@](C)(NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)C(=O)[O-] ZINC001599152624 998317192 /nfs/dbraw/zinc/31/71/92/998317192.db2.gz RLRMJHQZGVNOOQ-RISCZKNCSA-N 0 2 310.354 0.396 20 0 DCADLN CC(C)C(=O)N(C)C[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001405574543 998342534 /nfs/dbraw/zinc/34/25/34/998342534.db2.gz PRZYESFHTOZQMJ-JGVFFNPUSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)C(=O)N(C)C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001405574543 998342546 /nfs/dbraw/zinc/34/25/46/998342546.db2.gz PRZYESFHTOZQMJ-JGVFFNPUSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)C(=O)N(C)C[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001405574555 998342815 /nfs/dbraw/zinc/34/28/15/998342815.db2.gz PRZYESFHTOZQMJ-YUMQZZPRSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)C(=O)N(C)C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001405574555 998342829 /nfs/dbraw/zinc/34/28/29/998342829.db2.gz PRZYESFHTOZQMJ-YUMQZZPRSA-N 0 2 302.268 0.478 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CCN1C(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001594139416 998422525 /nfs/dbraw/zinc/42/25/25/998422525.db2.gz BEEDMWUOTGKRKB-GXSJLCMTSA-N 0 2 316.317 0.594 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2C[C@@H](OC)C[C@]2(C)C(=O)[O-])nc1 ZINC001598971525 998524541 /nfs/dbraw/zinc/52/45/41/998524541.db2.gz FGKAJXUEAZOQBM-SWLSCSKDSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2C[C@@H](OC)C[C@]2(C)C(=O)[O-])nc1 ZINC001598971525 998524552 /nfs/dbraw/zinc/52/45/52/998524552.db2.gz FGKAJXUEAZOQBM-SWLSCSKDSA-N 0 2 308.334 0.932 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])n1C ZINC001594251925 998970513 /nfs/dbraw/zinc/97/05/13/998970513.db2.gz ZVHVWVUVOGCWDP-NWDGAFQWSA-N 0 2 321.377 0.659 20 0 DCADLN CO[C@H](C)c1noc(C[NH+]2CCC3(CC(C(=O)[O-])=NO3)CC2)n1 ZINC001599042128 999125464 /nfs/dbraw/zinc/12/54/64/999125464.db2.gz JYFILWPZLIRTHW-SECBINFHSA-N 0 2 324.337 0.973 20 0 DCADLN Cn1ccnc1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001469048664 1016302867 /nfs/dbraw/zinc/30/28/67/1016302867.db2.gz UOCRGCCBMOHHRS-UHFFFAOYSA-N 0 2 300.278 0.252 20 0 DCADLN C[C@H]1CCc2nc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cn2C1 ZINC001594449003 999645564 /nfs/dbraw/zinc/64/55/64/999645564.db2.gz XSAOPKYTDBCMOV-GXSJLCMTSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@H]1CCc2nc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cn2C1 ZINC001594449003 999645568 /nfs/dbraw/zinc/64/55/68/999645568.db2.gz XSAOPKYTDBCMOV-GXSJLCMTSA-N 0 2 317.349 0.614 20 0 DCADLN Cc1nccnc1C[NH2+][C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001418431908 1000214173 /nfs/dbraw/zinc/21/41/73/1000214173.db2.gz UNEHKPHZKDDTRT-NSHDSACASA-N 0 2 302.382 0.735 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H](C)C(C)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001418562047 1000345752 /nfs/dbraw/zinc/34/57/52/1000345752.db2.gz JJFVWCYQRPXOLJ-MRVPVSSYSA-N 0 2 305.329 0.740 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H](C)C(C)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001418562047 1000345757 /nfs/dbraw/zinc/34/57/57/1000345757.db2.gz JJFVWCYQRPXOLJ-MRVPVSSYSA-N 0 2 305.329 0.740 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1C[C@H]1c1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001418563102 1000347746 /nfs/dbraw/zinc/34/77/46/1000347746.db2.gz CBVKYAAXCWQCFS-GHMZBOCLSA-N 0 2 319.365 0.845 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1C[C@H]1c1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001418563102 1000347751 /nfs/dbraw/zinc/34/77/51/1000347751.db2.gz CBVKYAAXCWQCFS-GHMZBOCLSA-N 0 2 319.365 0.845 20 0 DCADLN CC(C)[NH+](C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C ZINC001418612554 1000390896 /nfs/dbraw/zinc/39/08/96/1000390896.db2.gz XJYARJBTPUWSRC-KBPBESRZSA-N 0 2 312.458 0.336 20 0 DCADLN CCn1cccc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418814848 1000543524 /nfs/dbraw/zinc/54/35/24/1000543524.db2.gz SJKZVYQVASBYCK-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN CCn1cccc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418814848 1000543533 /nfs/dbraw/zinc/54/35/33/1000543533.db2.gz SJKZVYQVASBYCK-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN CC(C)NC(=O)CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001401898174 1000832627 /nfs/dbraw/zinc/83/26/27/1000832627.db2.gz XYVKZTZNIKKBSS-PSASIEDQSA-N 0 2 313.295 0.602 20 0 DCADLN CC(C)NC(=O)CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001401898174 1000832636 /nfs/dbraw/zinc/83/26/36/1000832636.db2.gz XYVKZTZNIKKBSS-PSASIEDQSA-N 0 2 313.295 0.602 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001401905047 1000841274 /nfs/dbraw/zinc/84/12/74/1000841274.db2.gz BAWSOEHPPZUULI-LBPRGKRZSA-N 0 2 317.393 0.759 20 0 DCADLN CCn1cc(CN2CC(N(C)C(=O)C(F)C(F)(F)F)C2)nn1 ZINC001402028534 1000958575 /nfs/dbraw/zinc/95/85/75/1000958575.db2.gz WQMXLGAGLLVRAR-JTQLQIEISA-N 0 2 323.294 0.841 20 0 DCADLN CCn1cc(CN2CC(N(C)C(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001402028534 1000958582 /nfs/dbraw/zinc/95/85/82/1000958582.db2.gz WQMXLGAGLLVRAR-JTQLQIEISA-N 0 2 323.294 0.841 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001402136981 1001049758 /nfs/dbraw/zinc/04/97/58/1001049758.db2.gz JBQLBPLCZHWZRG-WRWORJQWSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001402136981 1001049762 /nfs/dbraw/zinc/04/97/62/1001049762.db2.gz JBQLBPLCZHWZRG-WRWORJQWSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001402136981 1001049767 /nfs/dbraw/zinc/04/97/67/1001049767.db2.gz JBQLBPLCZHWZRG-WRWORJQWSA-N 0 2 321.303 0.789 20 0 DCADLN Cc1nn(CC(F)(F)F)cc1C(=O)Nn1ccc(=O)[nH]c1=O ZINC001470661180 1016478503 /nfs/dbraw/zinc/47/85/03/1016478503.db2.gz WZFFUGSWKFRDLM-UHFFFAOYSA-N 0 2 317.227 0.400 20 0 DCADLN CC(=O)CCCC(=O)N1C[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@@H]2C1 ZINC001402420947 1001291560 /nfs/dbraw/zinc/29/15/60/1001291560.db2.gz SNAYYBQXSHUGEP-TXEJJXNPSA-N 0 2 321.381 0.160 20 0 DCADLN CC(=O)CCCC(=O)N1C[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@@H]2C1 ZINC001402420947 1001291565 /nfs/dbraw/zinc/29/15/65/1001291565.db2.gz SNAYYBQXSHUGEP-TXEJJXNPSA-N 0 2 321.381 0.160 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ncc[nH]1)C(F)C(F)(F)F ZINC001505750944 1016518221 /nfs/dbraw/zinc/51/82/21/1016518221.db2.gz VJFBFGATYMNSIO-RQJHMYQMSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ncc[nH]1)[C@H](F)C(F)(F)F ZINC001505750944 1016518236 /nfs/dbraw/zinc/51/82/36/1016518236.db2.gz VJFBFGATYMNSIO-RQJHMYQMSA-N 0 2 308.235 0.641 20 0 DCADLN C[C@H](CNC(=O)CC1CC(F)(F)C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403142201 1001693330 /nfs/dbraw/zinc/69/33/30/1001693330.db2.gz FXUNVDXJLJXKSK-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](CNC(=O)CC1CC(F)(F)C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403142201 1001693333 /nfs/dbraw/zinc/69/33/33/1001693333.db2.gz FXUNVDXJLJXKSK-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CO[C@@H](C)C[N@H+](C)[C@@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001420029219 1001771473 /nfs/dbraw/zinc/77/14/73/1001771473.db2.gz FYEVOMQPDNXLOC-CMPLNLGQSA-N 0 2 310.398 0.995 20 0 DCADLN CO[C@@H](C)C[N@@H+](C)[C@@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001420029219 1001771476 /nfs/dbraw/zinc/77/14/76/1001771476.db2.gz FYEVOMQPDNXLOC-CMPLNLGQSA-N 0 2 310.398 0.995 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001403299109 1001789506 /nfs/dbraw/zinc/78/95/06/1001789506.db2.gz JGUOVBZIYATWFC-XCBNKYQSSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001403299109 1001789510 /nfs/dbraw/zinc/78/95/10/1001789510.db2.gz JGUOVBZIYATWFC-XCBNKYQSSA-N 0 2 323.294 0.652 20 0 DCADLN Cc1nccnc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001420105875 1001875866 /nfs/dbraw/zinc/87/58/66/1001875866.db2.gz MQNBDPNQBSVEGC-UHFFFAOYSA-N 0 2 303.322 0.609 20 0 DCADLN C[C@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001420333195 1002237538 /nfs/dbraw/zinc/23/75/38/1002237538.db2.gz KMTZTWGTDKEXMK-LLVKDONJSA-N 0 2 306.370 0.511 20 0 DCADLN C[C@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001420333195 1002237547 /nfs/dbraw/zinc/23/75/47/1002237547.db2.gz KMTZTWGTDKEXMK-LLVKDONJSA-N 0 2 306.370 0.511 20 0 DCADLN CO[C@H]1CCC[C@H](C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001403661983 1002298542 /nfs/dbraw/zinc/29/85/42/1002298542.db2.gz CRYLWLOZEZIGJE-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@H]1CCC[C@H](C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001403661983 1002298548 /nfs/dbraw/zinc/29/85/48/1002298548.db2.gz CRYLWLOZEZIGJE-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](NC(=O)CNc1ccccc1C(N)=O)c1nn(C)cc1O ZINC001420443632 1002423604 /nfs/dbraw/zinc/42/36/04/1002423604.db2.gz ZULVVPOOCYJMBN-SECBINFHSA-N 0 2 317.349 0.514 20 0 DCADLN CCO[C@H](CC)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463996 1002454512 /nfs/dbraw/zinc/45/45/12/1002454512.db2.gz HWEAYRJCARMBGC-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CCO[C@H](CC)C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463996 1002454523 /nfs/dbraw/zinc/45/45/23/1002454523.db2.gz HWEAYRJCARMBGC-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H]1CC[C@@H](CCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001403788073 1002521363 /nfs/dbraw/zinc/52/13/63/1002521363.db2.gz SHSZVTPCWGGOEQ-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H]1CC[C@@H](CCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001403788073 1002521375 /nfs/dbraw/zinc/52/13/75/1002521375.db2.gz SHSZVTPCWGGOEQ-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CCS(=O)(=O)C1C[NH+](C[C@]2(O)CCCC[C@H]2CC(=O)[O-])C1 ZINC001573807367 1163560227 /nfs/dbraw/zinc/56/02/27/1163560227.db2.gz IRJOLQPYJDVFNV-SMDDNHRTSA-N 0 2 319.423 0.501 20 0 DCADLN Cc1ncccc1CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420627566 1002709140 /nfs/dbraw/zinc/70/91/40/1002709140.db2.gz XBXYDNQLQXEPTD-JTQLQIEISA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ncccc1CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420627566 1002709151 /nfs/dbraw/zinc/70/91/51/1002709151.db2.gz XBXYDNQLQXEPTD-JTQLQIEISA-N 0 2 318.381 0.393 20 0 DCADLN Cc1nscc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420651980 1002747576 /nfs/dbraw/zinc/74/75/76/1002747576.db2.gz VVSZOJHZGVIZLG-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN Cc1nscc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420651980 1002747585 /nfs/dbraw/zinc/74/75/85/1002747585.db2.gz VVSZOJHZGVIZLG-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN O=C(Cc1ccoc1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403987648 1002857552 /nfs/dbraw/zinc/85/75/52/1002857552.db2.gz YQNULJJKLXBWSK-LLVKDONJSA-N 0 2 305.338 0.427 20 0 DCADLN O=C(Cc1ccoc1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403987648 1002857564 /nfs/dbraw/zinc/85/75/64/1002857564.db2.gz YQNULJJKLXBWSK-LLVKDONJSA-N 0 2 305.338 0.427 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H](C)C[NH2+]Cc2ncc(C)cn2)c1[O-] ZINC001405828135 1003118833 /nfs/dbraw/zinc/11/88/33/1003118833.db2.gz WJYGLENVIFEUFU-SNVBAGLBSA-N 0 2 318.381 0.772 20 0 DCADLN C[C@H]1CC[C@@H](CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001472082941 1016728229 /nfs/dbraw/zinc/72/82/29/1016728229.db2.gz YOJQKJXDCRWOFW-VHSXEESVSA-N 0 2 314.411 0.792 20 0 DCADLN C[C@H](NC(=O)CC1(CS(C)(=O)=O)CC1)c1nn(C)cc1O ZINC001472235517 1016764752 /nfs/dbraw/zinc/76/47/52/1016764752.db2.gz CQQFJVKVLZNTJD-VIFPVBQESA-N 0 2 315.395 0.518 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421226841 1003464887 /nfs/dbraw/zinc/46/48/87/1003464887.db2.gz XMZWGXCGDHLSIQ-HZMBPMFUSA-N 0 2 311.386 0.406 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421226841 1003464888 /nfs/dbraw/zinc/46/48/88/1003464888.db2.gz XMZWGXCGDHLSIQ-HZMBPMFUSA-N 0 2 311.386 0.406 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc(-c3cccnc3)[nH]n2)S1 ZINC001472393160 1016793822 /nfs/dbraw/zinc/79/38/22/1016793822.db2.gz CYWGCMOYTVSWQO-VIFPVBQESA-N 0 2 316.346 0.967 20 0 DCADLN O=C(Cc1cn2cc(F)ccc2n1)NCCCc1n[nH]c(=O)[nH]1 ZINC001472519097 1016818056 /nfs/dbraw/zinc/81/80/56/1016818056.db2.gz NEFVNHPRYPCSRF-UHFFFAOYSA-N 0 2 318.312 0.589 20 0 DCADLN O=C(NCc1ccncc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001426509181 1003802614 /nfs/dbraw/zinc/80/26/14/1003802614.db2.gz HPGAWIYGBCGNPL-NSHDSACASA-N 0 2 302.338 0.995 20 0 DCADLN CCCC(=O)N(C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001428270544 1003809096 /nfs/dbraw/zinc/80/90/96/1003809096.db2.gz JHTGUCZWDMTDPY-WPRPVWTQSA-N 0 2 316.295 0.965 20 0 DCADLN CCCC(=O)N(C)C[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001428270544 1003809112 /nfs/dbraw/zinc/80/91/12/1003809112.db2.gz JHTGUCZWDMTDPY-WPRPVWTQSA-N 0 2 316.295 0.965 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001428308488 1003852162 /nfs/dbraw/zinc/85/21/62/1003852162.db2.gz XHULSGILLSXQSX-OKILXGFUSA-N 0 2 321.425 0.446 20 0 DCADLN C[C@H](CNC(=O)Cc1ncccc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505987618 1016829619 /nfs/dbraw/zinc/82/96/19/1016829619.db2.gz BKHNOQUWYOMROI-SECBINFHSA-N 0 2 322.344 0.224 20 0 DCADLN C[C@H](CNC(=O)Cc1ncccc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505987618 1016829632 /nfs/dbraw/zinc/82/96/32/1016829632.db2.gz BKHNOQUWYOMROI-SECBINFHSA-N 0 2 322.344 0.224 20 0 DCADLN COCc1noc([C@H](C)N(C)C[C@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001406617169 1003929087 /nfs/dbraw/zinc/92/90/87/1003929087.db2.gz XVSGHKWSQSHTPO-IUCAKERBSA-N 0 2 323.357 0.145 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCCOC1 ZINC001406699728 1004018224 /nfs/dbraw/zinc/01/82/24/1004018224.db2.gz FDRUYUYMSLSEQN-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCCOC1 ZINC001406699728 1004018235 /nfs/dbraw/zinc/01/82/35/1004018235.db2.gz FDRUYUYMSLSEQN-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN COCCC(=O)N1CC([C@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001427058653 1004169753 /nfs/dbraw/zinc/16/97/53/1004169753.db2.gz SBOLQUMYGQURRW-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N1CC([C@H](C)NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001427058653 1004169760 /nfs/dbraw/zinc/16/97/60/1004169760.db2.gz SBOLQUMYGQURRW-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)CC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001428932626 1004276003 /nfs/dbraw/zinc/27/60/03/1004276003.db2.gz QTPMWSQXYAZXJD-KHQFGBGNSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)CC(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001428932626 1004276011 /nfs/dbraw/zinc/27/60/11/1004276011.db2.gz QTPMWSQXYAZXJD-KHQFGBGNSA-N 0 2 314.279 0.621 20 0 DCADLN Cc1nccn1CC(=O)NCC1([NH2+][C@H](C)c2cnccn2)CC1 ZINC001406993676 1004293846 /nfs/dbraw/zinc/29/38/46/1004293846.db2.gz JGGGIGGPFOVDOR-GFCCVEGCSA-N 0 2 314.393 0.981 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1ncn(C)n1 ZINC001427363147 1004344170 /nfs/dbraw/zinc/34/41/70/1004344170.db2.gz OPYJNTRLQPPSOG-IUCAKERBSA-N 0 2 320.357 0.289 20 0 DCADLN CC(C)OCCC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407149190 1004419626 /nfs/dbraw/zinc/41/96/26/1004419626.db2.gz DNJATKBSRXZNBQ-AWEZNQCLSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)OCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407149190 1004419627 /nfs/dbraw/zinc/41/96/27/1004419627.db2.gz DNJATKBSRXZNBQ-AWEZNQCLSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)OCCC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407149203 1004419660 /nfs/dbraw/zinc/41/96/60/1004419660.db2.gz DNJATKBSRXZNBQ-CQSZACIVSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)OCCC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407149203 1004419664 /nfs/dbraw/zinc/41/96/64/1004419664.db2.gz DNJATKBSRXZNBQ-CQSZACIVSA-N 0 2 311.386 0.406 20 0 DCADLN CCn1cnc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)c1 ZINC001429299673 1004515374 /nfs/dbraw/zinc/51/53/74/1004515374.db2.gz XHZDUVTXDZJFHB-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1cnc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001429299673 1004515380 /nfs/dbraw/zinc/51/53/80/1004515380.db2.gz XHZDUVTXDZJFHB-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)nn1C ZINC001429305004 1004517785 /nfs/dbraw/zinc/51/77/85/1004517785.db2.gz SGPPEMKXDTVMAS-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)nn1C ZINC001429305004 1004517793 /nfs/dbraw/zinc/51/77/93/1004517793.db2.gz SGPPEMKXDTVMAS-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]c(=O)c1 ZINC001429305044 1004518190 /nfs/dbraw/zinc/51/81/90/1004518190.db2.gz SQYPVLOEMUXIFO-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cc[nH]c(=O)c1 ZINC001429305044 1004518195 /nfs/dbraw/zinc/51/81/95/1004518195.db2.gz SQYPVLOEMUXIFO-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]c(=O)c1 ZINC001429305038 1004518680 /nfs/dbraw/zinc/51/86/80/1004518680.db2.gz SQYPVLOEMUXIFO-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cc[nH]c(=O)c1 ZINC001429305038 1004518685 /nfs/dbraw/zinc/51/86/85/1004518685.db2.gz SQYPVLOEMUXIFO-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)[C@]1(F)CCOC1)C(=O)C(F)C(F)(F)F ZINC001429441566 1004600053 /nfs/dbraw/zinc/60/00/53/1004600053.db2.gz QAWCOQPWSLPFPQ-XCBNKYQSSA-N 0 2 318.242 0.590 20 0 DCADLN CN(CCNC(=O)[C@]1(F)CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001429441566 1004600056 /nfs/dbraw/zinc/60/00/56/1004600056.db2.gz QAWCOQPWSLPFPQ-XCBNKYQSSA-N 0 2 318.242 0.590 20 0 DCADLN CC[C@@H](C)CC(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001407969882 1004965809 /nfs/dbraw/zinc/96/58/09/1004965809.db2.gz PFNBRGKTNWSOMJ-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001408123023 1005059422 /nfs/dbraw/zinc/05/94/22/1005059422.db2.gz ROSVUJJVHSVKTG-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)NC(=O)[C@H](F)C(F)(F)F ZINC001408123023 1005059429 /nfs/dbraw/zinc/05/94/29/1005059429.db2.gz ROSVUJJVHSVKTG-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H]1C[C@@H](C)N(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001414730791 1005391892 /nfs/dbraw/zinc/39/18/92/1005391892.db2.gz JNRZEWFOZBEUAZ-RKDXNWHRSA-N 0 2 315.399 0.289 20 0 DCADLN CN1CC[C@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1=O ZINC001415129352 1005465443 /nfs/dbraw/zinc/46/54/43/1005465443.db2.gz ZPFBDXMWOCKMBN-DTWKUNHWSA-N 0 2 311.279 0.262 20 0 DCADLN CN1CC[C@H](N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)C1=O ZINC001415129352 1005465444 /nfs/dbraw/zinc/46/54/44/1005465444.db2.gz ZPFBDXMWOCKMBN-DTWKUNHWSA-N 0 2 311.279 0.262 20 0 DCADLN CCN(CCNC(=O)c1cn[nH]c1)C(=O)C(F)C(F)(F)F ZINC001415645483 1005583510 /nfs/dbraw/zinc/58/35/10/1005583510.db2.gz QSFWOWHPUVSMJL-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)c1cn[nH]c1)C(=O)[C@H](F)C(F)(F)F ZINC001415645483 1005583511 /nfs/dbraw/zinc/58/35/11/1005583511.db2.gz QSFWOWHPUVSMJL-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN O=C(NC1CN(C(=O)c2cccnc2)C1)C(F)C(F)(F)F ZINC001416026827 1005651395 /nfs/dbraw/zinc/65/13/95/1005651395.db2.gz GGJRNYKHNXZIAU-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)c2cccnc2)C1)[C@@H](F)C(F)(F)F ZINC001416026827 1005651398 /nfs/dbraw/zinc/65/13/98/1005651398.db2.gz GGJRNYKHNXZIAU-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN CO[C@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001416092820 1005660266 /nfs/dbraw/zinc/66/02/66/1005660266.db2.gz IETCCCVNNIQCCQ-HTRCEHHLSA-N 0 2 300.252 0.496 20 0 DCADLN CO[C@H](C)C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416092820 1005660269 /nfs/dbraw/zinc/66/02/69/1005660269.db2.gz IETCCCVNNIQCCQ-HTRCEHHLSA-N 0 2 300.252 0.496 20 0 DCADLN CCc1cc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)n(C)n1 ZINC001417645175 1005859384 /nfs/dbraw/zinc/85/93/84/1005859384.db2.gz IWUZTGXIRMLNJF-UHFFFAOYSA-N 0 2 324.362 0.588 20 0 DCADLN O=C(c1csc(CO)n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001417727043 1005877085 /nfs/dbraw/zinc/87/70/85/1005877085.db2.gz IFAUBSMVRLAFGM-ZETCQYMHSA-N 0 2 309.351 0.479 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001451411898 1006157866 /nfs/dbraw/zinc/15/78/66/1006157866.db2.gz DTSQLMOTZXIGEE-HTQZYQBOSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccoc1 ZINC001451411898 1006157867 /nfs/dbraw/zinc/15/78/67/1006157867.db2.gz DTSQLMOTZXIGEE-HTQZYQBOSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(CCCF)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001451416847 1006159481 /nfs/dbraw/zinc/15/94/81/1006159481.db2.gz NELFWYTVTDQLBT-HTRCEHHLSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001451416847 1006159483 /nfs/dbraw/zinc/15/94/83/1006159483.db2.gz NELFWYTVTDQLBT-HTRCEHHLSA-N 0 2 306.231 0.230 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCC12CC2 ZINC001438887215 1006194380 /nfs/dbraw/zinc/19/43/80/1006194380.db2.gz AKTKDYHGAPAZKD-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCC12CC2 ZINC001438887215 1006194388 /nfs/dbraw/zinc/19/43/88/1006194388.db2.gz AKTKDYHGAPAZKD-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN CCc1noc(C[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001439108232 1006477517 /nfs/dbraw/zinc/47/75/17/1006477517.db2.gz MXTBFQGBXHNFNX-SSDOTTSWSA-N 0 2 308.342 0.277 20 0 DCADLN CCO[C@@H]1CN(C(C)=O)C[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001453011789 1007193422 /nfs/dbraw/zinc/19/34/22/1007193422.db2.gz GMZBATXTCFVXEJ-BBBLOLIVSA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@@H]1CN(C(C)=O)C[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001453011789 1007193419 /nfs/dbraw/zinc/19/34/19/1007193419.db2.gz GMZBATXTCFVXEJ-BBBLOLIVSA-N 0 2 314.279 0.886 20 0 DCADLN COC1(CC(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001439856976 1007257031 /nfs/dbraw/zinc/25/70/31/1007257031.db2.gz RYPXRXLRKIRHIU-AWEZNQCLSA-N 0 2 323.397 0.550 20 0 DCADLN COC1(CC(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001439856976 1007257041 /nfs/dbraw/zinc/25/70/41/1007257041.db2.gz RYPXRXLRKIRHIU-AWEZNQCLSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCO1 ZINC001437811249 1007427577 /nfs/dbraw/zinc/42/75/77/1007427577.db2.gz MSOSJMUVMJCROG-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCO1 ZINC001437811249 1007427584 /nfs/dbraw/zinc/42/75/84/1007427584.db2.gz MSOSJMUVMJCROG-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001438014890 1007581786 /nfs/dbraw/zinc/58/17/86/1007581786.db2.gz PKQVAXMJZORAHU-ZYHUDNBSSA-N 0 2 309.370 0.016 20 0 DCADLN CC(C)(CC(F)(F)F)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438082191 1007625642 /nfs/dbraw/zinc/62/56/42/1007625642.db2.gz BOMFBIZWLXOIIS-UHFFFAOYSA-N 0 2 321.303 0.789 20 0 DCADLN CCC[N@@H+]1CCC[C@H]1C(=O)NCC1C[NH+](CC(=O)NCC)C1 ZINC001438123960 1007652377 /nfs/dbraw/zinc/65/23/77/1007652377.db2.gz YKGUCTWJVWJPBE-AWEZNQCLSA-N 0 2 310.442 0.045 20 0 DCADLN C[C@@H]1CC[C@H](CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001438128173 1007653758 /nfs/dbraw/zinc/65/37/58/1007653758.db2.gz CJCAPMAGDNXQMU-MNOVXSKESA-N 0 2 307.398 0.885 20 0 DCADLN O=C(CC[C@H]1CCCCO1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438139344 1007663439 /nfs/dbraw/zinc/66/34/39/1007663439.db2.gz IQXYOOSVCNFJFW-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CCN(C(=O)C(C)(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438255099 1007745079 /nfs/dbraw/zinc/74/50/79/1007745079.db2.gz VXEAGHACPSKARG-MRVPVSSYSA-N 0 2 303.313 0.588 20 0 DCADLN Cn1cc(C[N@@H+]2CCC[C@@H](NC(=O)C[NH+](C)C3CCC3)C2)cn1 ZINC001441030848 1008185222 /nfs/dbraw/zinc/18/52/22/1008185222.db2.gz VGNLXVMTKXYZKH-OAHLLOKOSA-N 0 2 319.453 0.985 20 0 DCADLN CN1C(=O)CN(NC(=O)c2ncoc2CCC(F)(F)F)C1=O ZINC001545869813 1008527789 /nfs/dbraw/zinc/52/77/89/1008527789.db2.gz YARZKGDSMASZRN-UHFFFAOYSA-N 0 2 320.227 0.708 20 0 DCADLN O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[NH+](Cc2cccs2)CC1 ZINC001433592708 1008977038 /nfs/dbraw/zinc/97/70/38/1008977038.db2.gz SJQFLIKYESHUMJ-UHFFFAOYSA-N 0 2 321.362 0.302 20 0 DCADLN CCOc1nccc(C)c1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001454191003 1008987190 /nfs/dbraw/zinc/98/71/90/1008987190.db2.gz SVTCVKNJYZTMNI-UHFFFAOYSA-N 0 2 304.306 0.920 20 0 DCADLN CCc1noc(CNC[C@@H](NC(=O)c2nnc[nH]2)C(C)(C)C)n1 ZINC001442158975 1009034509 /nfs/dbraw/zinc/03/45/09/1009034509.db2.gz BOJFOKKEUXZENF-SECBINFHSA-N 0 2 321.385 0.684 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001433742256 1009138044 /nfs/dbraw/zinc/13/80/44/1009138044.db2.gz XMVDUDCPAQZPST-PSASIEDQSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001433742256 1009138048 /nfs/dbraw/zinc/13/80/48/1009138048.db2.gz XMVDUDCPAQZPST-PSASIEDQSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001433906075 1009332365 /nfs/dbraw/zinc/33/23/65/1009332365.db2.gz VCBZSFHMDIGJKR-VIFPVBQESA-N 0 2 322.262 0.618 20 0 DCADLN O=C(Cc1cc[nH]n1)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001433906075 1009332386 /nfs/dbraw/zinc/33/23/86/1009332386.db2.gz VCBZSFHMDIGJKR-VIFPVBQESA-N 0 2 322.262 0.618 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@H](O)C(C)C)CC1 ZINC001433951693 1009391970 /nfs/dbraw/zinc/39/19/70/1009391970.db2.gz GMUVDYPOMUCVHZ-CYBMUJFWSA-N 0 2 308.382 0.043 20 0 DCADLN Cc1sccc1CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442538775 1009451260 /nfs/dbraw/zinc/45/12/60/1009451260.db2.gz WMMUGECNCGTSRO-UHFFFAOYSA-N 0 2 309.395 0.671 20 0 DCADLN Cc1sccc1CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442538775 1009451268 /nfs/dbraw/zinc/45/12/68/1009451268.db2.gz WMMUGECNCGTSRO-UHFFFAOYSA-N 0 2 309.395 0.671 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(Cl)[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001442714060 1009656492 /nfs/dbraw/zinc/65/64/92/1009656492.db2.gz CWHBFJNHVVGDLL-UHFFFAOYSA-N 0 2 312.761 0.744 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(Cl)[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001442714060 1009656499 /nfs/dbraw/zinc/65/64/99/1009656499.db2.gz CWHBFJNHVVGDLL-UHFFFAOYSA-N 0 2 312.761 0.744 20 0 DCADLN CCOc1ccccc1OCC(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001434232569 1009683678 /nfs/dbraw/zinc/68/36/78/1009683678.db2.gz TZQDRLDLPXSNRG-UHFFFAOYSA-N 0 2 319.317 0.982 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001442829811 1009787667 /nfs/dbraw/zinc/78/76/67/1009787667.db2.gz WRUGWKAZYLIOQR-JGVFFNPUSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001442829811 1009787681 /nfs/dbraw/zinc/78/76/81/1009787681.db2.gz WRUGWKAZYLIOQR-JGVFFNPUSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001442829811 1009787695 /nfs/dbraw/zinc/78/76/95/1009787695.db2.gz WRUGWKAZYLIOQR-JGVFFNPUSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(N[C@H]1CC12CCC2)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001455534514 1009798651 /nfs/dbraw/zinc/79/86/51/1009798651.db2.gz WROFWTPJLAEIBG-NSHDSACASA-N 0 2 300.318 0.939 20 0 DCADLN Cn1nc(C2CC2)cc1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC001434390958 1009888202 /nfs/dbraw/zinc/88/82/02/1009888202.db2.gz PQCPIQLICRSNNC-SNVBAGLBSA-N 0 2 307.379 0.470 20 0 DCADLN COC(=O)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]([C@@H]2CCCO2)C1 ZINC001434490659 1010026576 /nfs/dbraw/zinc/02/65/76/1010026576.db2.gz UKMUQXTZLCHGRG-VWYCJHECSA-N 0 2 310.354 0.443 20 0 DCADLN COC(=O)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]([C@@H]2CCCO2)C1 ZINC001434490659 1010026593 /nfs/dbraw/zinc/02/65/93/1010026593.db2.gz UKMUQXTZLCHGRG-VWYCJHECSA-N 0 2 310.354 0.443 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NC[C@@H](O)c1ccco1 ZINC001434550259 1010080012 /nfs/dbraw/zinc/08/00/12/1010080012.db2.gz IIDSALPSPLTMBG-SECBINFHSA-N 0 2 308.381 0.409 20 0 DCADLN CCc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001422494937 1010180638 /nfs/dbraw/zinc/18/06/38/1010180638.db2.gz VPOKLNJQQLXIPC-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001422494937 1010180646 /nfs/dbraw/zinc/18/06/46/1010180646.db2.gz VPOKLNJQQLXIPC-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2(F)CCCC2)[nH]1 ZINC001456307039 1010183967 /nfs/dbraw/zinc/18/39/67/1010183967.db2.gz FUCYKDUKHPFSKF-UHFFFAOYSA-N 0 2 304.347 0.248 20 0 DCADLN Cc1cc(C[N@@H+](C)[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])nn1C ZINC001422506479 1010202826 /nfs/dbraw/zinc/20/28/26/1010202826.db2.gz ZVRJENKMLURLOJ-JTQLQIEISA-N 0 2 320.397 0.716 20 0 DCADLN Cc1cc(C[N@H+](C)[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])nn1C ZINC001422506479 1010202837 /nfs/dbraw/zinc/20/28/37/1010202837.db2.gz ZVRJENKMLURLOJ-JTQLQIEISA-N 0 2 320.397 0.716 20 0 DCADLN CCc1c(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001422527728 1010247507 /nfs/dbraw/zinc/24/75/07/1010247507.db2.gz KNBGKMSWRKPRID-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CCc1c(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001422527728 1010247514 /nfs/dbraw/zinc/24/75/14/1010247514.db2.gz KNBGKMSWRKPRID-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN COc1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001422529725 1010250668 /nfs/dbraw/zinc/25/06/68/1010250668.db2.gz QZWKVTMLXVTLNW-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001422529725 1010250678 /nfs/dbraw/zinc/25/06/78/1010250678.db2.gz QZWKVTMLXVTLNW-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN CCc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001422588551 1010362721 /nfs/dbraw/zinc/36/27/21/1010362721.db2.gz RDLWDXFRAHBDEL-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001422588551 1010362734 /nfs/dbraw/zinc/36/27/34/1010362734.db2.gz RDLWDXFRAHBDEL-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN C[C@@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1 ZINC001443495193 1010450792 /nfs/dbraw/zinc/45/07/92/1010450792.db2.gz NRRDHFNILWIBMI-JTQLQIEISA-N 0 2 316.365 0.400 20 0 DCADLN O=C(COC1CCCCC1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001443496843 1010452261 /nfs/dbraw/zinc/45/22/61/1010452261.db2.gz HCTGIZITAICKBL-UHFFFAOYSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(CCc1ccccc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001443545042 1010484555 /nfs/dbraw/zinc/48/45/55/1010484555.db2.gz QITWRFGHXRYKRY-UHFFFAOYSA-N 0 2 301.350 0.444 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)C(C)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001422737454 1010548989 /nfs/dbraw/zinc/54/89/89/1010548989.db2.gz HLKLRWIZSPPEJW-JGVFFNPUSA-N 0 2 317.340 0.738 20 0 DCADLN CCCCC(=O)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC001422772994 1010588830 /nfs/dbraw/zinc/58/88/30/1010588830.db2.gz SQLMFUAYKZFYGH-OAHLLOKOSA-N 0 2 323.397 0.504 20 0 DCADLN C[C@@H](CN(C)Cc1nnnn1C)NC(=O)C(F)C(F)(F)F ZINC001422810110 1010635233 /nfs/dbraw/zinc/63/52/33/1010635233.db2.gz LFTIUQQBPAOFBB-POYBYMJQSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@@H](CN(C)Cc1nnnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001422810110 1010635240 /nfs/dbraw/zinc/63/52/40/1010635240.db2.gz LFTIUQQBPAOFBB-POYBYMJQSA-N 0 2 312.271 0.047 20 0 DCADLN CCc1ncc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001422924562 1010743191 /nfs/dbraw/zinc/74/31/91/1010743191.db2.gz GUGNLNCUGQDHCQ-MRVPVSSYSA-N 0 2 324.410 0.780 20 0 DCADLN CCc1ncc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001422924562 1010743194 /nfs/dbraw/zinc/74/31/94/1010743194.db2.gz GUGNLNCUGQDHCQ-MRVPVSSYSA-N 0 2 324.410 0.780 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001444060122 1010831808 /nfs/dbraw/zinc/83/18/08/1010831808.db2.gz NBMINTGDFVGFBH-RKDXNWHRSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CCCC1)[C@@H](F)C(F)(F)F ZINC001444060122 1010831815 /nfs/dbraw/zinc/83/18/15/1010831815.db2.gz NBMINTGDFVGFBH-RKDXNWHRSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(Cc1ccc(F)cc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423160398 1010932478 /nfs/dbraw/zinc/93/24/78/1010932478.db2.gz MBRZGECUQHZMDY-LBPRGKRZSA-N 0 2 319.340 0.583 20 0 DCADLN Cc1nc([C@H](C)N[C@@H](CO)CNC(=O)Cc2ccco2)no1 ZINC001423282243 1011045639 /nfs/dbraw/zinc/04/56/39/1011045639.db2.gz CSGHQYKTQXHYSG-GXSJLCMTSA-N 0 2 308.338 0.341 20 0 DCADLN Cc1nnc(CN2CC(N(C)C(=O)C(F)C(F)(F)F)C2)o1 ZINC001423401528 1011125308 /nfs/dbraw/zinc/12/53/08/1011125308.db2.gz HIFXYXUPRIFARN-VIFPVBQESA-N 0 2 310.251 0.921 20 0 DCADLN Cc1nnc(CN2CC(N(C)C(=O)[C@H](F)C(F)(F)F)C2)o1 ZINC001423401528 1011125310 /nfs/dbraw/zinc/12/53/10/1011125310.db2.gz HIFXYXUPRIFARN-VIFPVBQESA-N 0 2 310.251 0.921 20 0 DCADLN CCC[N@H+](C)CC(=O)NC1CN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001456359507 1011218577 /nfs/dbraw/zinc/21/85/77/1011218577.db2.gz TVUKYPOJARHSJF-UHFFFAOYSA-N 0 2 321.425 0.022 20 0 DCADLN CC[C@H](CNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001456856667 1011493176 /nfs/dbraw/zinc/49/31/76/1011493176.db2.gz POWLXBUYBPFHNZ-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)c1cncn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001456856667 1011493189 /nfs/dbraw/zinc/49/31/89/1011493189.db2.gz POWLXBUYBPFHNZ-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CCC(=O)NCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001431656278 1011508862 /nfs/dbraw/zinc/50/88/62/1011508862.db2.gz SDKFTGYAKZDNNV-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)NCC(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001431656278 1011508878 /nfs/dbraw/zinc/50/88/78/1011508878.db2.gz SDKFTGYAKZDNNV-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1nc[nH]n1)C(F)C(F)(F)F ZINC001431752875 1011614068 /nfs/dbraw/zinc/61/40/68/1011614068.db2.gz INNLZNAOELTYSI-NKWVEPMBSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1nc[nH]n1)[C@@H](F)C(F)(F)F ZINC001431752875 1011614077 /nfs/dbraw/zinc/61/40/77/1011614077.db2.gz INNLZNAOELTYSI-NKWVEPMBSA-N 0 2 323.250 0.426 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)C[N@@H+]2CCC[C@@H]2C)c1[O-] ZINC001431801406 1011664776 /nfs/dbraw/zinc/66/47/76/1011664776.db2.gz RIDMLZSMIUMPOW-UWVGGRQHSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)C[N@H+]2CCC[C@@H]2C)c1[O-] ZINC001431801406 1011664782 /nfs/dbraw/zinc/66/47/82/1011664782.db2.gz RIDMLZSMIUMPOW-UWVGGRQHSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1c(Br)c(C(=O)NCc2n[nH]c(=O)[nH]2)nn1C ZINC001445414762 1011744054 /nfs/dbraw/zinc/74/40/54/1011744054.db2.gz OOTPQCQJWPKNPH-UHFFFAOYSA-N 0 2 315.131 0.245 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1(c2ccc3c(c2)OCCO3)CC1 ZINC001445417862 1011749421 /nfs/dbraw/zinc/74/94/21/1011749421.db2.gz VTTBBHOHPOAVCV-UHFFFAOYSA-N 0 2 316.317 0.630 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1cccc(Cl)n1 ZINC001535340126 1011903796 /nfs/dbraw/zinc/90/37/96/1011903796.db2.gz MHEMRNCGDXMIGO-UHFFFAOYSA-N 0 2 318.724 0.783 20 0 DCADLN COCC(=O)N1CC[C@@H](CN(C)C(=O)C(F)C(F)(F)F)C1 ZINC001432062196 1011922316 /nfs/dbraw/zinc/92/23/16/1011922316.db2.gz MDUZMQPZOBBZIX-WCBMZHEXSA-N 0 2 314.279 0.840 20 0 DCADLN COCC(=O)N1CC[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432062196 1011922324 /nfs/dbraw/zinc/92/23/24/1011922324.db2.gz MDUZMQPZOBBZIX-WCBMZHEXSA-N 0 2 314.279 0.840 20 0 DCADLN CC(C)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001445647174 1012055734 /nfs/dbraw/zinc/05/57/34/1012055734.db2.gz PSXZDNHSWBQPEG-GJMOJQLCSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)C(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001445647174 1012055753 /nfs/dbraw/zinc/05/57/53/1012055753.db2.gz PSXZDNHSWBQPEG-GJMOJQLCSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCC1(NC(=O)Cc2c[nH+]cn2C)CC1 ZINC001432228101 1012171670 /nfs/dbraw/zinc/17/16/70/1012171670.db2.gz XFCRRVJUGBNIBW-UHFFFAOYSA-N 0 2 321.425 0.068 20 0 DCADLN CO[C@@H](C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)C1CC1 ZINC001432233162 1012176929 /nfs/dbraw/zinc/17/69/29/1012176929.db2.gz ORHBZAZLEBLDCM-BDAKNGLRSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001432233162 1012176920 /nfs/dbraw/zinc/17/69/20/1012176920.db2.gz ORHBZAZLEBLDCM-BDAKNGLRSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001432233165 1012178109 /nfs/dbraw/zinc/17/81/09/1012178109.db2.gz ORHBZAZLEBLDCM-DTWKUNHWSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@H](C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1CC1 ZINC001432233165 1012178117 /nfs/dbraw/zinc/17/81/17/1012178117.db2.gz ORHBZAZLEBLDCM-DTWKUNHWSA-N 0 2 312.263 0.639 20 0 DCADLN O=C(Cc1ccnc(F)c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001556371667 1012199553 /nfs/dbraw/zinc/19/95/53/1012199553.db2.gz GYRZAFHGBJFMRY-SNVBAGLBSA-N 0 2 305.313 0.993 20 0 DCADLN C[C@@H](CNC(=O)CCn1cc[nH+]c1)N(C)C(=O)[C@H]1CCC[N@@H+]1C ZINC001424231812 1012202964 /nfs/dbraw/zinc/20/29/64/1012202964.db2.gz LTHOTYSZIBBGON-UONOGXRCSA-N 0 2 321.425 0.331 20 0 DCADLN O=C(Cc1ccon1)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458671124 1012279237 /nfs/dbraw/zinc/27/92/37/1012279237.db2.gz FYNOCGMUWJFZPB-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001458671124 1012279253 /nfs/dbraw/zinc/27/92/53/1012279253.db2.gz FYNOCGMUWJFZPB-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN CCO[C@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001446353843 1012774335 /nfs/dbraw/zinc/77/43/35/1012774335.db2.gz VJEVXQPFYWTRRN-LBPRGKRZSA-N 0 2 311.386 0.214 20 0 DCADLN Cc1nnc(CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)[nH]1 ZINC001475758505 1017151463 /nfs/dbraw/zinc/15/14/63/1017151463.db2.gz UUIXLFQXHQYRFS-SFHVURJKSA-N 0 2 309.417 0.344 20 0 DCADLN Cc1nnsc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478732194 1017380733 /nfs/dbraw/zinc/38/07/33/1017380733.db2.gz QQPHONIRHXNNDM-MRVPVSSYSA-N 0 2 323.382 0.759 20 0 DCADLN CO[C@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001506639672 1017428663 /nfs/dbraw/zinc/42/86/63/1017428663.db2.gz KXJXNLQMYHMNQI-ZANVPECISA-N 0 2 323.397 0.262 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2nnnn2C)C1 ZINC001494826875 1018080515 /nfs/dbraw/zinc/08/05/15/1018080515.db2.gz JDTZBKNZXOBNJM-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(Cc2nnnn2C)C1 ZINC001494826875 1018080528 /nfs/dbraw/zinc/08/05/28/1018080528.db2.gz JDTZBKNZXOBNJM-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN CCO[C@@H](C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001494829012 1018088070 /nfs/dbraw/zinc/08/80/70/1018088070.db2.gz VCCBUXGRUYYVON-DGCLKSJQSA-N 0 2 323.397 0.358 20 0 DCADLN COC[C@H](C)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001500839436 1018683723 /nfs/dbraw/zinc/68/37/23/1018683723.db2.gz DLYSZKROMIOARZ-JGVFFNPUSA-N 0 2 302.268 0.085 20 0 DCADLN CCn1nccc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001495975484 1018927577 /nfs/dbraw/zinc/92/75/77/1018927577.db2.gz IQKMSVYXGANBDY-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CCn1nccc1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001495975484 1018927583 /nfs/dbraw/zinc/92/75/83/1018927583.db2.gz IQKMSVYXGANBDY-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN Cc1ncccc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498370266 1019017494 /nfs/dbraw/zinc/01/74/94/1019017494.db2.gz WBENSWBYQXBSTN-SECBINFHSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ncccc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498370266 1019017507 /nfs/dbraw/zinc/01/75/07/1019017507.db2.gz WBENSWBYQXBSTN-SECBINFHSA-N 0 2 304.354 0.464 20 0 DCADLN CCn1nc(C)cc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498387392 1019046531 /nfs/dbraw/zinc/04/65/31/1019046531.db2.gz APUZHQQZWISGSF-JTQLQIEISA-N 0 2 321.385 0.285 20 0 DCADLN CCn1nc(C)cc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498387392 1019046540 /nfs/dbraw/zinc/04/65/40/1019046540.db2.gz APUZHQQZWISGSF-JTQLQIEISA-N 0 2 321.385 0.285 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ncccc1O ZINC001496122991 1019089667 /nfs/dbraw/zinc/08/96/67/1019089667.db2.gz IAMZXEFQIMCVLP-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN O=C(CC[C@H]1CCOC1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493362139 1019358331 /nfs/dbraw/zinc/35/83/31/1019358331.db2.gz ZUOZLFZJCNLXBR-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC[C@H]1CCOC1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493362139 1019358346 /nfs/dbraw/zinc/35/83/46/1019358346.db2.gz ZUOZLFZJCNLXBR-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC001494063127 1019957188 /nfs/dbraw/zinc/95/71/88/1019957188.db2.gz PNKXWTBETKEYOG-ZXFLCMHBSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccncn1 ZINC001494063127 1019957189 /nfs/dbraw/zinc/95/71/89/1019957189.db2.gz PNKXWTBETKEYOG-ZXFLCMHBSA-N 0 2 320.246 0.754 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2c(C)coc2CC(=O)[O-])CC1 ZINC001606894752 1170324892 /nfs/dbraw/zinc/32/48/92/1170324892.db2.gz GIKVCECBJCEUQT-UHFFFAOYSA-N 0 2 310.350 0.619 20 0 DCADLN NS(=O)(=O)CC[N@@H+]1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC001608260069 1170635188 /nfs/dbraw/zinc/63/51/88/1170635188.db2.gz AJRQDYXZAWXAAQ-UHFFFAOYSA-N 0 2 302.327 0.171 20 0 DCADLN NS(=O)(=O)CC[N@H+]1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC001608260069 1170635194 /nfs/dbraw/zinc/63/51/94/1170635194.db2.gz AJRQDYXZAWXAAQ-UHFFFAOYSA-N 0 2 302.327 0.171 20 0 DCADLN C[C@H](c1nc(N)nc(Cl)n1)[N@@H+]1CCSC[C@@H](C(=O)[O-])C1 ZINC001609809387 1171089747 /nfs/dbraw/zinc/08/97/47/1171089747.db2.gz CVVXZIZOTHBZOQ-RQJHMYQMSA-N 0 2 317.802 0.918 20 0 DCADLN C[C@H](c1nc(N)nc(Cl)n1)[N@H+]1CCSC[C@@H](C(=O)[O-])C1 ZINC001609809387 1171089751 /nfs/dbraw/zinc/08/97/51/1171089751.db2.gz CVVXZIZOTHBZOQ-RQJHMYQMSA-N 0 2 317.802 0.918 20 0 DCADLN CCc1nnc(C2CC[NH+](CC(=O)NCCC(=O)[O-])CC2)[nH]1 ZINC001610073841 1171149132 /nfs/dbraw/zinc/14/91/32/1171149132.db2.gz AJUGYKXMQVVONC-UHFFFAOYSA-N 0 2 309.370 0.137 20 0 DCADLN CCc1n[nH]c(C2CC[NH+](CC(=O)NCCC(=O)[O-])CC2)n1 ZINC001610073841 1171149138 /nfs/dbraw/zinc/14/91/38/1171149138.db2.gz AJUGYKXMQVVONC-UHFFFAOYSA-N 0 2 309.370 0.137 20 0 DCADLN O=C(N[C@H]1CCc2nnnn2CC1)c1cc(F)c(O)c(F)c1 ZINC000333755581 303986314 /nfs/dbraw/zinc/98/63/14/303986314.db2.gz OFOTWQZKKQVRAH-QMMMGPOBSA-N 0 2 309.276 0.792 20 0 DCADLN C[C@H]1CS(=O)(=O)CCN1CC(=O)NOCc1ccccc1 ZINC000180505156 199168104 /nfs/dbraw/zinc/16/81/04/199168104.db2.gz WZRQXMBMPCUJRT-LBPRGKRZSA-N 0 2 312.391 0.353 20 0 DCADLN CNc1nc(C)cc(C(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)n1 ZINC000281091493 216073927 /nfs/dbraw/zinc/07/39/27/216073927.db2.gz VFUQLOTYEWYCMJ-JQWIXIFHSA-N 0 2 303.366 0.710 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000566086833 291267751 /nfs/dbraw/zinc/26/77/51/291267751.db2.gz VVVQZOWYVWCJIK-JTQLQIEISA-N 0 2 321.333 0.742 20 0 DCADLN C[C@@H]1CCN(C(=O)C(=O)NCCCC(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000567048979 291334553 /nfs/dbraw/zinc/33/45/53/291334553.db2.gz YAAHQNGSBXCSER-VXGBXAGGSA-N 0 2 322.365 0.274 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CN(C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)CCN1C ZINC000567384309 291353049 /nfs/dbraw/zinc/35/30/49/291353049.db2.gz BFHMHYSKVNXICO-SDDRHHMPSA-N 0 2 320.393 0.592 20 0 DCADLN COCCN1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1=O ZINC000091833508 193114129 /nfs/dbraw/zinc/11/41/29/193114129.db2.gz JFQMSEGWMCFILN-UHFFFAOYSA-N 0 2 322.390 0.275 20 0 DCADLN CC(C)[C@H]1OCC[C@@H]1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275106550 212124972 /nfs/dbraw/zinc/12/49/72/212124972.db2.gz JOGXZAINBGVPGF-PSASIEDQSA-N 0 2 300.384 0.367 20 0 DCADLN CCC[C@@H]1C(=O)NCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000093256582 193220634 /nfs/dbraw/zinc/22/06/34/193220634.db2.gz VBXREWYMJHTMGG-CQSZACIVSA-N 0 2 305.378 0.835 20 0 DCADLN CCC[C@@H]1C(=O)NCC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000093256582 193220636 /nfs/dbraw/zinc/22/06/36/193220636.db2.gz VBXREWYMJHTMGG-CQSZACIVSA-N 0 2 305.378 0.835 20 0 DCADLN CCC[C@@H]1C(=O)NCCN1CC(=O)NOCc1ccccc1 ZINC000093256582 193220638 /nfs/dbraw/zinc/22/06/38/193220638.db2.gz VBXREWYMJHTMGG-CQSZACIVSA-N 0 2 305.378 0.835 20 0 DCADLN CSc1n[nH]c(NC(=O)CN(C)c2ncnc3nc[nH]c32)n1 ZINC000264176562 203744640 /nfs/dbraw/zinc/74/46/40/203744640.db2.gz KUUJAQRAHGHBDP-UHFFFAOYSA-N 0 2 319.354 0.268 20 0 DCADLN COc1ccc([C@H](O)CNC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000274899326 212020283 /nfs/dbraw/zinc/02/02/83/212020283.db2.gz PBPNSUMXQIFXNY-SNVBAGLBSA-N 0 2 324.362 0.049 20 0 DCADLN Cc1nc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)c[nH]1 ZINC000264839428 204197439 /nfs/dbraw/zinc/19/74/39/204197439.db2.gz KQTRNVJJEXUIIY-UHFFFAOYSA-N 0 2 302.341 0.193 20 0 DCADLN C[C@@H](SCC(=O)NOCC(N)=O)c1nc2ccccc2[nH]1 ZINC000272256117 296211183 /nfs/dbraw/zinc/21/11/83/296211183.db2.gz PQMJKNDJGGQEIS-MRVPVSSYSA-N 0 2 308.363 0.890 20 0 DCADLN C[C@@H]1C(=O)NCCN1C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000176286810 296262079 /nfs/dbraw/zinc/26/20/79/296262079.db2.gz CECSEZWQVBTVBV-SECBINFHSA-N 0 2 318.308 0.678 20 0 DCADLN COCc1cccc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)c1 ZINC000340080441 253197961 /nfs/dbraw/zinc/19/79/61/253197961.db2.gz QFYKFLCXKGHHPA-UHFFFAOYSA-N 0 2 324.362 0.316 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)CCc2ccccc2)n1 ZINC000341508082 253388795 /nfs/dbraw/zinc/38/87/95/253388795.db2.gz IKZBGYYHKYESQA-UHFFFAOYSA-N 0 2 323.378 0.009 20 0 DCADLN CSc1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000342048187 253449400 /nfs/dbraw/zinc/44/94/00/253449400.db2.gz MHWPUFNJEKNWFE-UHFFFAOYSA-N 0 2 307.335 0.487 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@@H+]1CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000346333743 254037721 /nfs/dbraw/zinc/03/77/21/254037721.db2.gz OOVNSMGZFKNXHC-NEPJUHHUSA-N 0 2 320.345 0.492 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@H+]1CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000346333743 254037723 /nfs/dbraw/zinc/03/77/23/254037723.db2.gz OOVNSMGZFKNXHC-NEPJUHHUSA-N 0 2 320.345 0.492 20 0 DCADLN CCn1nc(C)c(S(=O)(=O)Nc2ccnc3ncnn32)c1C ZINC000347339046 254149592 /nfs/dbraw/zinc/14/95/92/254149592.db2.gz XCKNIRPHIQVHQM-UHFFFAOYSA-N 0 2 321.366 0.758 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2ccccc2N)s1 ZINC000348087203 254219392 /nfs/dbraw/zinc/21/93/92/254219392.db2.gz OYZSZOAFJGDLDL-UHFFFAOYSA-N 0 2 313.364 0.744 20 0 DCADLN O=C(c1ccc2[nH]nnc2c1)N1CCC[C@@H](c2nc(=O)[nH][nH]2)C1 ZINC000283522051 131000379 /nfs/dbraw/zinc/00/03/79/131000379.db2.gz KPQAOWSHHZFTBW-SECBINFHSA-N 0 2 313.321 0.389 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)N[C@@H]1CCc2c1cccc2O ZINC000283955642 131036280 /nfs/dbraw/zinc/03/62/80/131036280.db2.gz IEWAPBBCTDTHLD-CYBMUJFWSA-N 0 2 314.345 0.844 20 0 DCADLN Cc1ccc([C@H](CNC(=O)CNC(=O)[O-])[NH+]2CCOCC2)cc1 ZINC000285499270 131174162 /nfs/dbraw/zinc/17/41/62/131174162.db2.gz AFPKJFAGOKDYHG-AWEZNQCLSA-N 0 2 321.377 0.752 20 0 DCADLN O=C([O-])NCC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000285573421 131182359 /nfs/dbraw/zinc/18/23/59/131182359.db2.gz GSPFKKJNMQSLBN-ZDUSSCGKSA-N 0 2 307.350 0.271 20 0 DCADLN O=C([O-])NCC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000285573421 131182360 /nfs/dbraw/zinc/18/23/60/131182360.db2.gz GSPFKKJNMQSLBN-ZDUSSCGKSA-N 0 2 307.350 0.271 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]1COCCO1 ZINC000030563242 395702917 /nfs/dbraw/zinc/70/29/17/395702917.db2.gz ATCMKYLLKYTYSY-VIFPVBQESA-N 0 2 309.347 0.358 20 0 DCADLN CCC[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000089472377 395712238 /nfs/dbraw/zinc/71/22/38/395712238.db2.gz XXMLQZHGALQHHP-SNVBAGLBSA-N 0 2 318.333 0.665 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc(Oc2ccccc2)cn1 ZINC000091067068 395721102 /nfs/dbraw/zinc/72/11/02/395721102.db2.gz HDMSJGAPGLVIMB-UHFFFAOYSA-N 0 2 312.289 0.610 20 0 DCADLN CC(C)Nc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cn1 ZINC000084406838 395697411 /nfs/dbraw/zinc/69/74/11/395697411.db2.gz ZEUQBSATCOEUEW-UHFFFAOYSA-N 0 2 304.354 0.676 20 0 DCADLN C[C@H](C(N)=O)S(=O)(=O)c1n[nH]c(-c2ccc(Cl)cc2)n1 ZINC000195114613 395817143 /nfs/dbraw/zinc/81/71/43/395817143.db2.gz DRKBDNOHEHCSFH-ZCFIWIBFSA-N 0 2 314.754 0.773 20 0 DCADLN CCS(=O)(=O)C[C@H](C)N(C)Cc1cc(=O)n2[nH]ccc2n1 ZINC000113003474 395826473 /nfs/dbraw/zinc/82/64/73/395826473.db2.gz PAWKXBHBVONECK-JTQLQIEISA-N 0 2 312.395 0.278 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)c1csnn1 ZINC000114411856 395833953 /nfs/dbraw/zinc/83/39/53/395833953.db2.gz YYJODSNBDYBFQK-UHFFFAOYSA-N 0 2 304.335 0.421 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2nc(OC)cc(OC)n2)cn1 ZINC000272449698 395892470 /nfs/dbraw/zinc/89/24/70/395892470.db2.gz PLHJFQFTOQLUSB-UHFFFAOYSA-N 0 2 313.339 0.511 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1noc(CC)n1 ZINC000272263238 395880313 /nfs/dbraw/zinc/88/03/13/395880313.db2.gz JNNLQLKHIOHHDA-UHFFFAOYSA-N 0 2 315.311 0.333 20 0 DCADLN COC[C@H](O)CNC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000120105564 395881214 /nfs/dbraw/zinc/88/12/14/395881214.db2.gz OPWQOMNWWQDGAY-LLVKDONJSA-N 0 2 323.324 0.763 20 0 DCADLN CCN(C[C@@H]1CCOC1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000149219251 395969366 /nfs/dbraw/zinc/96/93/66/395969366.db2.gz NWRFNYBVZHZBPB-VIFPVBQESA-N 0 2 318.333 0.110 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@@H](O)C[C@H]1CO ZINC000274806578 395978438 /nfs/dbraw/zinc/97/84/38/395978438.db2.gz LPNJDNGXWHQZMS-RYUDHWBXSA-N 0 2 303.318 0.146 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)OCCO3)c1O ZINC000277200832 396055213 /nfs/dbraw/zinc/05/52/13/396055213.db2.gz DRCOFWQCXZCZDV-NSHDSACASA-N 0 2 306.274 0.446 20 0 DCADLN Cc1cc2[nH+]cn(C[C@H](O)C[N@H+]3CCC[C@H]3C(N)=O)c2cc1C ZINC000249721417 396012202 /nfs/dbraw/zinc/01/22/02/396012202.db2.gz MTWODVWGJWZADE-HIFRSBDPSA-N 0 2 316.405 0.964 20 0 DCADLN COCCCNC(=O)CN(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000071124381 396110359 /nfs/dbraw/zinc/11/03/59/396110359.db2.gz WDQMISBZWBTLIZ-UHFFFAOYSA-N 0 2 324.406 0.569 20 0 DCADLN CCc1[nH+]c2ccccc2n1CC(=O)NC[C@](C)(O)C(=O)[O-] ZINC000262533253 396151751 /nfs/dbraw/zinc/15/17/51/396151751.db2.gz PZCLQIWBRJOUIM-HNNXBMFYSA-N 0 2 305.334 0.551 20 0 DCADLN Cc1cc(C(=O)NCCC[C@@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000279119580 396154049 /nfs/dbraw/zinc/15/40/49/396154049.db2.gz LUPCDONPLUHUEJ-MRVPVSSYSA-N 0 2 306.322 0.635 20 0 DCADLN CC1(C)CCN(C(=O)CSc2n[nH]c(=O)[nH]2)CCS1 ZINC000279346835 396167793 /nfs/dbraw/zinc/16/77/93/396167793.db2.gz QPAUWPOEIWUBIW-UHFFFAOYSA-N 0 2 302.425 0.934 20 0 DCADLN CCc1ccccc1NC(=O)CNC(=O)C[N@H+](C)[C@H](C)C(=O)[O-] ZINC000262653989 396168340 /nfs/dbraw/zinc/16/83/40/396168340.db2.gz LYCASANDXWYFLS-LLVKDONJSA-N 0 2 321.377 0.709 20 0 DCADLN CCc1ccccc1NC(=O)CNC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-] ZINC000262653989 396168344 /nfs/dbraw/zinc/16/83/44/396168344.db2.gz LYCASANDXWYFLS-LLVKDONJSA-N 0 2 321.377 0.709 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)C1CC1 ZINC000263118738 396202098 /nfs/dbraw/zinc/20/20/98/396202098.db2.gz LSIVZJXMNNTRHN-JTQLQIEISA-N 0 2 320.345 0.969 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)C1CC1 ZINC000263118738 396202100 /nfs/dbraw/zinc/20/21/00/396202100.db2.gz LSIVZJXMNNTRHN-JTQLQIEISA-N 0 2 320.345 0.969 20 0 DCADLN CC(C)C[C@H](Nc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000263436626 396217507 /nfs/dbraw/zinc/21/75/07/396217507.db2.gz VLPLTTVCEGZFLS-NSHDSACASA-N 0 2 321.381 0.666 20 0 DCADLN C[C@@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)Oc1ccccc1 ZINC000185654589 396331923 /nfs/dbraw/zinc/33/19/23/396331923.db2.gz HEFWZUXLCLTKEF-VIFPVBQESA-N 0 2 308.363 0.774 20 0 DCADLN C[C@H](O)CCNC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185901179 396336502 /nfs/dbraw/zinc/33/65/02/396336502.db2.gz OFFPWFPDUJMENT-NSHDSACASA-N 0 2 319.365 0.954 20 0 DCADLN Cc1cccc(CCCNC(=O)CSc2n[nH]c(=O)[nH]2)n1 ZINC000285977713 396367304 /nfs/dbraw/zinc/36/73/04/396367304.db2.gz PCQQYOOTTRJCEC-UHFFFAOYSA-N 0 2 307.379 0.643 20 0 DCADLN CN(C)C(=O)c1ccccc1NS(=O)(=O)N1CCOCC1 ZINC000268752435 396371061 /nfs/dbraw/zinc/37/10/61/396371061.db2.gz CVGPTXHNAPKVBA-UHFFFAOYSA-N 0 2 313.379 0.377 20 0 DCADLN C[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)c1c(F)cncc1F ZINC000286331981 396388485 /nfs/dbraw/zinc/38/84/85/396388485.db2.gz JDSKCEVMTACJIF-YFKPBYRVSA-N 0 2 315.305 0.741 20 0 DCADLN O=C(NCC1(CO)COC1)C1=NN(c2ccccc2)CC1=O ZINC000269541076 396423013 /nfs/dbraw/zinc/42/30/13/396423013.db2.gz CEFNFUBDLASGQO-UHFFFAOYSA-N 0 2 303.318 0.317 20 0 DCADLN CC[C@@H](SC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000269666982 396435004 /nfs/dbraw/zinc/43/50/04/396435004.db2.gz LWQQXTWYSIDECL-RXMQYKEDSA-N 0 2 310.426 0.990 20 0 DCADLN CCCc1nnc(SCC(=O)NO[C@@H]2CCCCO2)n1N ZINC000291452600 396491778 /nfs/dbraw/zinc/49/17/78/396491778.db2.gz HHYBZNQLJLIXRT-LLVKDONJSA-N 0 2 315.399 0.611 20 0 DCADLN CCCc1nnc(SCC(=O)NOC[C@@H]2CCOC2)n1N ZINC000292685621 396563021 /nfs/dbraw/zinc/56/30/21/396563021.db2.gz BTFSATGSTVOTHI-SECBINFHSA-N 0 2 315.399 0.121 20 0 DCADLN Cn1cc(-c2ccnc(NS(=O)(=O)c3ccnn3C)n2)cn1 ZINC000373368702 396586975 /nfs/dbraw/zinc/58/69/75/396586975.db2.gz JSJQZTQXXLEGGS-UHFFFAOYSA-N 0 2 319.350 0.411 20 0 DCADLN CO[C@@H]1C[C@@H](c2n[nH]c(C)n2)N(C(=O)[C@H](C)[NH+]2CC(C)C2)C1 ZINC000563401657 396728556 /nfs/dbraw/zinc/72/85/56/396728556.db2.gz VMQWLOXCCTYKFG-UHTWSYAYSA-N 0 2 307.398 0.742 20 0 DCADLN NC(=O)[C@@]1(O)CCCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000314757022 396785669 /nfs/dbraw/zinc/78/56/69/396785669.db2.gz ABSVMXKPLHWWOU-CYBMUJFWSA-N 0 2 308.363 0.209 20 0 DCADLN CCOC(=O)c1[nH]c(C)c(C(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC000610658601 396745069 /nfs/dbraw/zinc/74/50/69/396745069.db2.gz DSPGMHAEJPHPMM-UHFFFAOYSA-N 0 2 307.310 0.562 20 0 DCADLN C[N@H+]1CCN(C(=O)N[C@H]2Cc3cccc(O)c3C2)[C@@H](C[NH3+])C1 ZINC000563593552 396756684 /nfs/dbraw/zinc/75/66/84/396756684.db2.gz HFZNHABYCCIQTQ-STQMWFEESA-N 0 2 304.394 0.144 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C(C)(C)NC(C)=O)s[nH]1 ZINC000634154290 396797381 /nfs/dbraw/zinc/79/73/81/396797381.db2.gz SFINUAIUSXTZDG-UHFFFAOYSA-N 0 2 300.384 0.695 20 0 DCADLN COc1ccc[nH+]c1N1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC000629767298 396827369 /nfs/dbraw/zinc/82/73/69/396827369.db2.gz JYLRZHVVQOZWEV-NSHDSACASA-N 0 2 319.361 0.992 20 0 DCADLN C[N@H+](C[C@@H](O)C[NH2+][C@@H]1CCN(c2ccccc2F)C1=O)C1CC1 ZINC000564441979 396832047 /nfs/dbraw/zinc/83/20/47/396832047.db2.gz AMTYBVVRLOBWIS-DZGCQCFKSA-N 0 2 321.396 0.976 20 0 DCADLN CC1(NC(=O)CNS(=O)(=O)c2ccc(Cl)cc2)COC1 ZINC000634197864 396811175 /nfs/dbraw/zinc/81/11/75/396811175.db2.gz JEGTUIKJRVKHRJ-UHFFFAOYSA-N 0 2 318.782 0.523 20 0 DCADLN O=C1CC(c2ccncc2)=NN1c1nccc(N2CCOCC2)n1 ZINC000634607115 396890435 /nfs/dbraw/zinc/89/04/35/396890435.db2.gz MYNSTFJLUSJKGV-UHFFFAOYSA-N 0 2 324.344 0.849 20 0 DCADLN Cn1nnnc1-c1ccccc1NS(=O)(=O)C[C@H]1CCCO1 ZINC000634797437 396918939 /nfs/dbraw/zinc/91/89/39/396918939.db2.gz GJKKKZFXPGHQIU-SNVBAGLBSA-N 0 2 323.378 0.798 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@@H+]1CCC(=O)NC(=O)Nc1ccccc1 ZINC000591445420 396921271 /nfs/dbraw/zinc/92/12/71/396921271.db2.gz DGPSNPBNPNZBSO-LBPRGKRZSA-N 0 2 321.333 0.510 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@H+]1CCC(=O)NC(=O)Nc1ccccc1 ZINC000591445420 396921276 /nfs/dbraw/zinc/92/12/76/396921276.db2.gz DGPSNPBNPNZBSO-LBPRGKRZSA-N 0 2 321.333 0.510 20 0 DCADLN O=C(N[C@@H](c1nn[nH]n1)c1ccccc1)c1ccc2n[nH]nc2c1 ZINC000618487292 396985188 /nfs/dbraw/zinc/98/51/88/396985188.db2.gz PGSZXSSBMILAQC-CYBMUJFWSA-N 0 2 320.316 0.990 20 0 DCADLN C[C@H](C(=O)NC1CCCCC1)[N@H+]1CCN2C(=O)[C@H]([NH3+])C[C@H]2C1 ZINC000572257861 397039887 /nfs/dbraw/zinc/03/98/87/397039887.db2.gz CBZCZGOLOOHWHN-KWCYVHTRSA-N 0 2 308.426 0.068 20 0 DCADLN CS(=O)(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)Cc2ccccc21 ZINC000341133007 396976964 /nfs/dbraw/zinc/97/69/64/396976964.db2.gz VGQRUQYCHSLAST-UHFFFAOYSA-N 0 2 323.378 0.292 20 0 DCADLN O=S(=O)(CCCS(=O)(=O)N1CCCC1)Nc1ccon1 ZINC000349573908 397075125 /nfs/dbraw/zinc/07/51/25/397075125.db2.gz KVUFVBLWDSGBQG-UHFFFAOYSA-N 0 2 323.396 0.232 20 0 DCADLN CNC(=O)C(C)(C)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612713566 397125364 /nfs/dbraw/zinc/12/53/64/397125364.db2.gz IZERXCWVQPDWJD-UHFFFAOYSA-N 0 2 318.333 0.532 20 0 DCADLN Cc1cccc(-c2nsc(NCCNS(C)(=O)=O)n2)c1 ZINC000631053195 397161203 /nfs/dbraw/zinc/16/12/03/397161203.db2.gz MFSPOUFJBPOUIN-UHFFFAOYSA-N 0 2 312.420 0.897 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)CNc1[nH+]cccc1C(=O)[O-] ZINC000592427197 397174074 /nfs/dbraw/zinc/17/40/74/397174074.db2.gz NTXLKZHDXJNPSZ-NSHDSACASA-N 0 2 323.349 0.896 20 0 DCADLN COC(=O)Cc1ccc(N2N=C(C)[C@H](NC(C)=O)C2=O)cc1 ZINC000601952661 397272426 /nfs/dbraw/zinc/27/24/26/397272426.db2.gz BZKFFHYVFVYWNQ-AWEZNQCLSA-N 0 2 303.318 0.629 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000577482325 397334675 /nfs/dbraw/zinc/33/46/75/397334675.db2.gz VLJBMHIGZSDXLZ-HNNXBMFYSA-N 0 2 316.317 0.596 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)N(C)[C@H]2CC[N@H+]3CCO[C@H]2C3)CCC[NH+]1C ZINC000454785096 397423298 /nfs/dbraw/zinc/42/32/98/397423298.db2.gz MPOYDZXVIGJRGA-QPSCCSFWSA-N 0 2 310.442 0.584 20 0 DCADLN CCN(CCOCCO)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614483177 397446865 /nfs/dbraw/zinc/44/68/65/397446865.db2.gz INWBZGAXRPFYEA-UHFFFAOYSA-N 0 2 321.333 0.501 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cncnc1-n1cccn1 ZINC000370264339 397462857 /nfs/dbraw/zinc/46/28/57/397462857.db2.gz AHMKMDJJNZHXAM-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)CC(F)(F)F ZINC000492504866 397522231 /nfs/dbraw/zinc/52/22/31/397522231.db2.gz PYKMBWXXQKNFKZ-ONEGZZNKSA-N 0 2 311.285 0.925 20 0 DCADLN C[C@H]1CC(=O)NCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000608464005 397732197 /nfs/dbraw/zinc/73/21/97/397732197.db2.gz LNYVVOSXZUYTSV-NSHDSACASA-N 0 2 314.345 0.929 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc(-n3cncn3)nc2)c[nH]1 ZINC000070069563 158046727 /nfs/dbraw/zinc/04/67/27/158046727.db2.gz VZABOFXXNXIXFQ-UHFFFAOYSA-N 0 2 305.323 0.495 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)n1 ZINC000116158723 158164454 /nfs/dbraw/zinc/16/44/54/158164454.db2.gz FINXKVVFYWCIPE-UHFFFAOYSA-N 0 2 306.347 0.507 20 0 DCADLN CCc1nn(C)cc1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119476071 158187623 /nfs/dbraw/zinc/18/76/23/158187623.db2.gz JQLFVSMNPWMINQ-UHFFFAOYSA-N 0 2 314.349 0.900 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000123578729 158231672 /nfs/dbraw/zinc/23/16/72/158231672.db2.gz QFHRQPIVXFWSDU-UHFFFAOYSA-N 0 2 321.362 0.709 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc(-n2nnnc2C)c1 ZINC000132508795 158311390 /nfs/dbraw/zinc/31/13/90/158311390.db2.gz VCTQJNZHMOMAHF-UHFFFAOYSA-N 0 2 319.350 0.803 20 0 DCADLN COc1ccc(S(O)=CC(=O)N[C@@H](C)C(=O)N(C)C)cc1 ZINC000133072012 158316096 /nfs/dbraw/zinc/31/60/96/158316096.db2.gz ZHQQFYZVQGPLEU-CHNSCGDPSA-N 0 2 312.391 0.396 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(N2CCNC2=O)cc1 ZINC000317569430 159005597 /nfs/dbraw/zinc/00/55/97/159005597.db2.gz GPVWCGROSRNMIL-UHFFFAOYSA-N 0 2 321.362 0.751 20 0 DCADLN CCc1nsc(NCCN2CCN(C(=O)C3CCC3)CC2)n1 ZINC000328906862 159040196 /nfs/dbraw/zinc/04/01/96/159040196.db2.gz BSGQSGYRLHEPGZ-UHFFFAOYSA-N 0 2 323.466 0.879 20 0 DCADLN O=C(c1cnn2cccnc12)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000329053082 159056308 /nfs/dbraw/zinc/05/63/08/159056308.db2.gz AGRDXUGSPMCVBE-SNVBAGLBSA-N 0 2 313.321 0.920 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)[C@H]2CNC(=O)C2)[nH]1 ZINC000329602866 159106203 /nfs/dbraw/zinc/10/62/03/159106203.db2.gz LAWHOKJLULEEOR-NXEZZACHSA-N 0 2 307.354 0.981 20 0 DCADLN Cc1c(CC(=O)NCc2n[nH]c(=O)[nH]2)nnn1-c1ccccc1 ZINC000359274785 159260260 /nfs/dbraw/zinc/26/02/60/159260260.db2.gz PITMASMMUCQASC-UHFFFAOYSA-N 0 2 313.321 0.258 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000408192406 160057031 /nfs/dbraw/zinc/05/70/31/160057031.db2.gz LZBQCWZTESRUAH-IUCAKERBSA-N 0 2 304.372 0.054 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)C[C@H]2CCCCO2)cnn1C ZINC000408478683 160117028 /nfs/dbraw/zinc/11/70/28/160117028.db2.gz DRBFJVNAPFYNSB-SNVBAGLBSA-N 0 2 301.368 0.493 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)CC[C@@H]2CC[C@@H](C)O2)cnn1C ZINC000408484848 160118130 /nfs/dbraw/zinc/11/81/30/160118130.db2.gz ONMNDEFVTCKAPP-KOLCDFICSA-N 0 2 315.395 0.881 20 0 DCADLN CCN(C(=O)c1nc(=O)[nH][nH]1)[C@H]1CCN(c2ccccc2)C1=O ZINC000134183803 287015298 /nfs/dbraw/zinc/01/52/98/287015298.db2.gz MMXQECQUPHOAOT-NSHDSACASA-N 0 2 315.333 0.366 20 0 DCADLN Cc1cc(C)n(-c2ccccc2CNC(=O)c2nc(=O)[nH][nH]2)n1 ZINC000134311288 287015771 /nfs/dbraw/zinc/01/57/71/287015771.db2.gz CMVRFZJCZWIASX-UHFFFAOYSA-N 0 2 312.333 0.831 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C\c1cccnc1 ZINC000255628815 287125941 /nfs/dbraw/zinc/12/59/41/287125941.db2.gz NBBZXBCQQZVPPQ-SREVYHEPSA-N 0 2 320.374 0.950 20 0 DCADLN CCOc1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000355995122 287191387 /nfs/dbraw/zinc/19/13/87/287191387.db2.gz JUGLEXDGZUQTEH-UHFFFAOYSA-N 0 2 305.294 0.164 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@H]1CCC[C@H]1O ZINC000331630791 415159088 /nfs/dbraw/zinc/15/90/88/415159088.db2.gz LGEARISZKBVVOR-ZYHUDNBSSA-N 0 2 304.350 0.151 20 0 DCADLN Cn1cc[nH+]c1[C@@H](NS(=O)(=O)[N-]CC(F)(F)F)C1CC1 ZINC000352619567 415250862 /nfs/dbraw/zinc/25/08/62/415250862.db2.gz UVIYNVGZBMKFJZ-QMMMGPOBSA-N 0 2 312.317 0.858 20 0 DCADLN O=C(Nc1ccc(-c2nc(=O)o[nH]2)cc1)[C@H]1CCCC(=O)N1 ZINC000270337563 415254921 /nfs/dbraw/zinc/25/49/21/415254921.db2.gz ZNFJBVAUHXDBFQ-SNVBAGLBSA-N 0 2 302.290 0.637 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2ccc(Cl)s2)cn1 ZINC000047251676 415267318 /nfs/dbraw/zinc/26/73/18/415267318.db2.gz LTBCPAOWJNYCBV-UHFFFAOYSA-N 0 2 320.783 0.884 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(NC(=O)CO)c2)cn1 ZINC000342786101 415296341 /nfs/dbraw/zinc/29/63/41/415296341.db2.gz VHRFOTFNVMAGRX-UHFFFAOYSA-N 0 2 310.335 0.152 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)c(C)c1 ZINC000342793921 415297504 /nfs/dbraw/zinc/29/75/04/415297504.db2.gz SNUPJLHKKXGSEJ-UHFFFAOYSA-N 0 2 308.363 0.786 20 0 DCADLN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)Nc2nn[nH]n2)o1 ZINC000342853033 415317657 /nfs/dbraw/zinc/31/76/57/415317657.db2.gz SKIPUGRZMHVSEI-UHFFFAOYSA-N 0 2 314.327 0.122 20 0 DCADLN C[C@H]1OCC[C@]1(C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000332874185 415501416 /nfs/dbraw/zinc/50/14/16/415501416.db2.gz RJLFSGJQAFHWDY-UOWDBTKRSA-N 0 2 304.306 0.733 20 0 DCADLN Cc1cc(CCNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)on1 ZINC000343089805 415440287 /nfs/dbraw/zinc/44/02/87/415440287.db2.gz UVXGZIPAHJBMEA-UHFFFAOYSA-N 0 2 315.289 0.705 20 0 DCADLN CS(=O)(=O)Nc1cccc(NC(=O)C(N)C(F)(F)F)c1 ZINC000353166205 415457438 /nfs/dbraw/zinc/45/74/38/415457438.db2.gz IQDKPIXUIUQBJS-MRVPVSSYSA-N 0 2 311.285 0.886 20 0 DCADLN CS(=O)(=O)Nc1cccc(NC(=O)[C@@H](N)C(F)(F)F)c1 ZINC000353166205 415457445 /nfs/dbraw/zinc/45/74/45/415457445.db2.gz IQDKPIXUIUQBJS-MRVPVSSYSA-N 0 2 311.285 0.886 20 0 DCADLN CCn1c(SCc2n[nH]c(=O)[nH]2)nnc1N1CCOCC1 ZINC000353220857 415479523 /nfs/dbraw/zinc/47/95/23/415479523.db2.gz QAMLKUYWIRUMCS-UHFFFAOYSA-N 0 2 311.371 0.251 20 0 DCADLN NC(C(=O)Nc1ccn(CCc2ccncc2)n1)C(F)(F)F ZINC000353441865 415547629 /nfs/dbraw/zinc/54/76/29/415547629.db2.gz FLHNLOVCBSNCCS-LLVKDONJSA-N 0 2 313.283 1.349 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CC(=O)N(C)C2)c1 ZINC000424645164 287311877 /nfs/dbraw/zinc/31/18/77/287311877.db2.gz SKEDMVCRRWMLMI-CHNSCGDPSA-N 0 2 310.375 0.150 20 0 DCADLN CN(C)C(=O)c1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000353741631 415643726 /nfs/dbraw/zinc/64/37/26/415643726.db2.gz ULJPFXNFPLGXHK-UHFFFAOYSA-N 0 2 304.310 0.534 20 0 DCADLN CCNc1nc(N(C)[C@@H]2COC[C@H]2O)nc(NC(C)(C)C)[nH+]1 ZINC000343631796 415664381 /nfs/dbraw/zinc/66/43/81/415664381.db2.gz OCCMLDYRUASXLF-NXEZZACHSA-N 0 2 310.402 0.132 20 0 DCADLN C[C@@H](CS(C)(=O)=O)NC(=O)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000334032371 415787898 /nfs/dbraw/zinc/78/78/98/415787898.db2.gz LFYZTSFXRVJEIP-WDEREUQCSA-N 0 2 314.411 0.732 20 0 DCADLN COC[C@H](C)NC(=O)NC(=O)C[N@@H+]1CCS[C@@H](C)[C@@H]1C ZINC000334034470 415788388 /nfs/dbraw/zinc/78/83/88/415788388.db2.gz SQRFOHYULSBIOJ-DCAQKATOSA-N 0 2 303.428 0.673 20 0 DCADLN C[C@@H](CN1CCOCC1)NC(=O)N1CCCc2c(cnn2C)C1 ZINC000334027746 415788891 /nfs/dbraw/zinc/78/88/91/415788891.db2.gz INBVOFJRFNEMGK-ZDUSSCGKSA-N 0 2 321.425 0.599 20 0 DCADLN COCc1nc2n(n1)C[C@@H](NC(=O)[C@H](F)C(F)(F)F)CC2 ZINC000290515984 415816201 /nfs/dbraw/zinc/81/62/01/415816201.db2.gz NIKTWFWIPIPAGT-RCOVLWMOSA-N 0 2 310.251 0.756 20 0 DCADLN COCc1nc2n(n1)C[C@@H](NC(=O)C(F)C(F)(F)F)CC2 ZINC000290515984 415816210 /nfs/dbraw/zinc/81/62/10/415816210.db2.gz NIKTWFWIPIPAGT-RCOVLWMOSA-N 0 2 310.251 0.756 20 0 DCADLN O=C(Nc1ccc2nn[nH]c2c1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000153677742 415986427 /nfs/dbraw/zinc/98/64/27/415986427.db2.gz DMWCCZPXSCIINJ-MRVPVSSYSA-N 0 2 311.305 0.673 20 0 DCADLN Cc1noc([C@@H](C)NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000338114215 416046843 /nfs/dbraw/zinc/04/68/43/416046843.db2.gz YKCROGFPZSTZFV-RXMQYKEDSA-N 0 2 316.277 0.618 20 0 DCADLN CCn1nccc1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000338323145 416079674 /nfs/dbraw/zinc/07/96/74/416079674.db2.gz SMKRXPBNGSWFCW-UHFFFAOYSA-N 0 2 300.278 0.905 20 0 DCADLN COCCS(=O)(=O)C=C(O)c1[nH]c(C)c(C(=O)OC)c1C ZINC000299168993 416057149 /nfs/dbraw/zinc/05/71/49/416057149.db2.gz QHDKTVJKASMBIN-UHFFFAOYSA-N 0 2 317.363 0.662 20 0 DCADLN CNC(=O)[C@@H](C)CN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000357296036 416058996 /nfs/dbraw/zinc/05/89/96/416058996.db2.gz ACVAHHWCOZMXAI-VIFPVBQESA-N 0 2 318.333 0.484 20 0 DCADLN COC(=O)Cc1cccc(S(=O)(=O)Nc2noc(C)n2)c1 ZINC000357377371 416069389 /nfs/dbraw/zinc/06/93/89/416069389.db2.gz XWWDHSPOGKBONY-UHFFFAOYSA-N 0 2 311.319 0.894 20 0 DCADLN COC(=O)Cc1cccc(NS(=O)(=O)c2ccnn2C)c1 ZINC000357388941 416071766 /nfs/dbraw/zinc/07/17/66/416071766.db2.gz YUOPZSCOYYNSAW-UHFFFAOYSA-N 0 2 309.347 0.936 20 0 DCADLN COC(=O)Cc1cccc(S(=O)(=O)Nc2cccnn2)c1 ZINC000357391138 416073486 /nfs/dbraw/zinc/07/34/86/416073486.db2.gz PPNXYXPIQCUVLE-UHFFFAOYSA-N 0 2 307.331 0.993 20 0 DCADLN Cc1[nH+]ccn1CCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000338326154 416078964 /nfs/dbraw/zinc/07/89/64/416078964.db2.gz LOPABCYJMOWQBT-UHFFFAOYSA-N 0 2 314.349 0.999 20 0 DCADLN NC(=O)c1cccc(S(O)=CC(=O)NC[C@@H]2CCCO2)c1 ZINC000357178344 416041112 /nfs/dbraw/zinc/04/11/12/416041112.db2.gz WWZJPVYLJXWQND-MQJDWESPSA-N 0 2 310.375 0.188 20 0 DCADLN Cc1c(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)cnn1C ZINC000176974839 416138777 /nfs/dbraw/zinc/13/87/77/416138777.db2.gz DLOAPQCPMZITTK-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H](C)[C@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000357883011 416147324 /nfs/dbraw/zinc/14/73/24/416147324.db2.gz AIEQHNGAESPGGA-OIBJUYFYSA-N 0 2 318.333 0.587 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@@H](C)C1=CC[NH+](C)CC1 ZINC000357914988 416151264 /nfs/dbraw/zinc/15/12/64/416151264.db2.gz XUTCARWRKLOMMG-ZDUSSCGKSA-N 0 2 310.442 0.209 20 0 DCADLN Cn1cnnc1[C@H]1CCCN1C(=O)Nc1nc2ccccn2n1 ZINC000329603155 416180783 /nfs/dbraw/zinc/18/07/83/416180783.db2.gz YKFSLKCWDCNYLB-SNVBAGLBSA-N 0 2 312.337 1.227 20 0 DCADLN CC[C@H](C)NC(=O)CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179444487 416186633 /nfs/dbraw/zinc/18/66/33/416186633.db2.gz MCZJONGCOXYCCZ-VIFPVBQESA-N 0 2 319.365 0.709 20 0 DCADLN Cc1nnc(NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)n1C ZINC000346101245 416188171 /nfs/dbraw/zinc/18/81/71/416188171.db2.gz CNHMQKLQBSNYLV-UHFFFAOYSA-N 0 2 300.278 0.719 20 0 DCADLN COc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)cc2c1OCCO2 ZINC000358348088 416226426 /nfs/dbraw/zinc/22/64/26/416226426.db2.gz AADROZHYVHRZTO-UHFFFAOYSA-N 0 2 306.278 0.220 20 0 DCADLN CCCN(CCC)C(=O)CCCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358350600 416226487 /nfs/dbraw/zinc/22/64/87/416226487.db2.gz DNEZXHQPYIVPKW-UHFFFAOYSA-N 0 2 311.386 0.945 20 0 DCADLN CC(C)NC(=O)Nc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000358354424 416228328 /nfs/dbraw/zinc/22/83/28/416228328.db2.gz KWUNOIGNXKZBKO-UHFFFAOYSA-N 0 2 318.337 0.970 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCc3cncnc3C1)c2=O ZINC000358368809 416230140 /nfs/dbraw/zinc/23/01/40/416230140.db2.gz LFUWEXSGUOVFNM-UHFFFAOYSA-N 0 2 310.317 0.662 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2cc(C)oc2C)cn1 ZINC000182782845 416231026 /nfs/dbraw/zinc/23/10/26/416231026.db2.gz VRQZEBCIDSYGLU-UHFFFAOYSA-N 0 2 312.351 0.640 20 0 DCADLN COc1ccc(C)cc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000342042025 416273054 /nfs/dbraw/zinc/27/30/54/416273054.db2.gz HDHZNHDSVZOMPF-UHFFFAOYSA-N 0 2 305.294 0.082 20 0 DCADLN CC(C)c1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000342069949 416279892 /nfs/dbraw/zinc/27/98/92/416279892.db2.gz OINIJULNOIRGAW-UHFFFAOYSA-N 0 2 303.322 0.889 20 0 DCADLN O=C(NC[C@H]1Cc2ccccc21)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000194088589 416321844 /nfs/dbraw/zinc/32/18/44/416321844.db2.gz ZYNFLNZMZLTWFZ-SNVBAGLBSA-N 0 2 322.324 0.681 20 0 DCADLN O=S(=O)(NCCCOC[C@@H]1CCOC1)NCC(F)(F)F ZINC000195294044 416325809 /nfs/dbraw/zinc/32/58/09/416325809.db2.gz KAMBRRNSIYBPRY-VIFPVBQESA-N 0 2 320.333 0.416 20 0 DCADLN NC(=O)[C@@H]1CCCC[C@H]1NS(=O)(=O)NCC(F)(F)F ZINC000195336362 416326283 /nfs/dbraw/zinc/32/62/83/416326283.db2.gz YCVLKAXOJVLZNC-RNFRBKRXSA-N 0 2 303.306 0.017 20 0 DCADLN Cc1cc(C(=O)N2CCOC(C)(C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000359967286 416367022 /nfs/dbraw/zinc/36/70/22/416367022.db2.gz GJDLBOOLXSCOQQ-UHFFFAOYSA-N 0 2 318.333 0.995 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000416404720 416368863 /nfs/dbraw/zinc/36/88/63/416368863.db2.gz XOWFQZLYFYCEPU-VXGBXAGGSA-N 0 2 308.382 0.985 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000416404720 416368865 /nfs/dbraw/zinc/36/88/65/416368865.db2.gz XOWFQZLYFYCEPU-VXGBXAGGSA-N 0 2 308.382 0.985 20 0 DCADLN Cc1cc(C(=O)N2CCO[C@@H](C)[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000361446423 416437725 /nfs/dbraw/zinc/43/77/25/416437725.db2.gz SHVVBDOHHLBZTO-BDAKNGLRSA-N 0 2 318.333 0.994 20 0 DCADLN Cc1cc[nH]c1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000436138130 416549466 /nfs/dbraw/zinc/54/94/66/416549466.db2.gz WTZVWUOAGOZYBN-UHFFFAOYSA-N 0 2 301.353 0.798 20 0 DCADLN COC(=O)[C@@](C)(O)CNC(=O)c1cc(F)cc(Cl)c1O ZINC000436533266 416562756 /nfs/dbraw/zinc/56/27/56/416562756.db2.gz HPKZXXZTSFEHOZ-LBPRGKRZSA-N 0 2 305.689 0.839 20 0 DCADLN Nc1ncncc1CNC(=O)N=c1ncn(Cc2ccccc2)[nH]1 ZINC000534476609 416503150 /nfs/dbraw/zinc/50/31/50/416503150.db2.gz ZALHFOISAFHKTR-UHFFFAOYSA-N 0 2 324.348 0.442 20 0 DCADLN O=C(NC(CO)CO)c1cc(F)cc(Br)c1O ZINC000436854662 416571220 /nfs/dbraw/zinc/57/12/20/416571220.db2.gz SVDAKWPZOZBMSG-UHFFFAOYSA-N 0 2 308.103 0.377 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CC(OC(F)F)C1 ZINC000437599355 416585672 /nfs/dbraw/zinc/58/56/72/416585672.db2.gz GAEGQPFMKSCDPB-UHFFFAOYSA-N 0 2 312.232 0.500 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)c2ccoc2)n1 ZINC000424223988 416630386 /nfs/dbraw/zinc/63/03/86/416630386.db2.gz REGSGZSMKDYUEQ-UHFFFAOYSA-N 0 2 300.296 0.235 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N(C)[C@@H]1CC[N@H+](C)C[C@H]1C ZINC000441042541 416660207 /nfs/dbraw/zinc/66/02/07/416660207.db2.gz VCKGWLBVUSOMJW-DGCLKSJQSA-N 0 2 320.393 0.827 20 0 DCADLN O=C1c2ccccc2C(=O)N1C[C@H]1C[N@H+](CCCCO)CCO1 ZINC000441055819 416660457 /nfs/dbraw/zinc/66/04/57/416660457.db2.gz ZRAOHFZWRWGYLZ-CYBMUJFWSA-N 0 2 318.373 0.756 20 0 DCADLN O=C(Cc1cncc(O)c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000514679114 416670311 /nfs/dbraw/zinc/67/03/11/416670311.db2.gz HZHXSWQNDXDKPV-JTQLQIEISA-N 0 2 303.322 0.560 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CCC[N@H+](Cc3ncon3)C2)c1[O-] ZINC000614663509 416690126 /nfs/dbraw/zinc/69/01/26/416690126.db2.gz OLQHBJOYBDESDE-JTQLQIEISA-N 0 2 320.353 0.449 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CCC[N@@H+](Cc3ncon3)C2)c1[O-] ZINC000614663509 416690128 /nfs/dbraw/zinc/69/01/28/416690128.db2.gz OLQHBJOYBDESDE-JTQLQIEISA-N 0 2 320.353 0.449 20 0 DCADLN CO[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@@H]1OC ZINC000516132390 416713009 /nfs/dbraw/zinc/71/30/09/416713009.db2.gz VSHBYVCURWPRFT-TXEJJXNPSA-N 0 2 319.317 0.516 20 0 DCADLN Cc1nc(=NC(=O)N=c2ccn(CCC(F)(F)F)[nH]2)[nH]n1C ZINC000425685777 416720902 /nfs/dbraw/zinc/72/09/02/416720902.db2.gz PLPGXMFTWNKRSY-UHFFFAOYSA-N 0 2 317.275 0.760 20 0 DCADLN C[C@@H](COCC(F)(F)F)NS(=O)(=O)NCC(F)(F)F ZINC000442974963 416723359 /nfs/dbraw/zinc/72/33/59/416723359.db2.gz DYQWQFXGWLHWCC-YFKPBYRVSA-N 0 2 318.239 0.940 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H](CCO)C1CCOCC1 ZINC000443049269 416728101 /nfs/dbraw/zinc/72/81/01/416728101.db2.gz XYEHFOYMWAXGMC-SECBINFHSA-N 0 2 320.333 0.150 20 0 DCADLN O=C(CNC(=O)c1ccco1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000516590165 416729885 /nfs/dbraw/zinc/72/98/85/416729885.db2.gz ZAKUMHZSFWAICY-VIFPVBQESA-N 0 2 319.321 0.239 20 0 DCADLN Cn1[n-]c(C(=O)NCC2CC[NH+](CC(F)F)CC2)cc1=O ZINC000614969606 416731871 /nfs/dbraw/zinc/73/18/71/416731871.db2.gz RJHTYWFGNMYRCO-UHFFFAOYSA-N 0 2 302.325 0.833 20 0 DCADLN C[C@H](C(=O)NC(C)(C)C)N(C)S(=O)(=O)NCC(F)(F)F ZINC000443212728 416738068 /nfs/dbraw/zinc/73/80/68/416738068.db2.gz MWAJQCUGKJZZGO-SSDOTTSWSA-N 0 2 319.349 0.618 20 0 DCADLN Cc1nn(C)c2ncc(NS(=O)(=O)c3cn(C)cn3)cc12 ZINC000623789679 416894678 /nfs/dbraw/zinc/89/46/78/416894678.db2.gz WBULLVUVQRFWOC-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN O=c1ccncn1CCNc1nc(C2CCOCC2)ns1 ZINC000641645766 416958806 /nfs/dbraw/zinc/95/88/06/416958806.db2.gz JKMNMOPFEIIDIV-UHFFFAOYSA-N 0 2 307.379 0.523 20 0 DCADLN COCC[C@@H](NC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+])c1ccc(C)o1 ZINC000565294922 416962068 /nfs/dbraw/zinc/96/20/68/416962068.db2.gz XTYZRRNVMOBWNE-UONOGXRCSA-N 0 2 324.425 0.950 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(C(=O)OCC)c2)c1O ZINC000445883011 416901906 /nfs/dbraw/zinc/90/19/06/416901906.db2.gz DQEUWBTZQDUODZ-NSHDSACASA-N 0 2 320.301 0.851 20 0 DCADLN CN=c1[nH]nc(CC(=O)N=c2ccn([C@H](C)COC)[nH]2)s1 ZINC000428749777 416923249 /nfs/dbraw/zinc/92/32/49/416923249.db2.gz QXZSKMRWYNFZKV-MRVPVSSYSA-N 0 2 310.383 0.009 20 0 DCADLN COc1ccc(NS(=O)(=O)c2ccc(C)c(C(N)=O)c2)nn1 ZINC000446773844 416945102 /nfs/dbraw/zinc/94/51/02/416945102.db2.gz BHDAXJAUUCIZLU-UHFFFAOYSA-N 0 2 322.346 0.693 20 0 DCADLN O=C([O-])c1ccc[nH+]c1NCCCn1nc2n(c1=O)CCCC2 ZINC000615999888 416953210 /nfs/dbraw/zinc/95/32/10/416953210.db2.gz UJPKBRLZUXMLIG-UHFFFAOYSA-N 0 2 317.349 0.977 20 0 DCADLN Cc1cc(C(=O)N2CC(=O)CC2(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000565881559 417027115 /nfs/dbraw/zinc/02/71/15/417027115.db2.gz PORYTBONFPQSER-UHFFFAOYSA-N 0 2 316.317 0.938 20 0 DCADLN NC(=O)CC1(CNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000616366393 417029274 /nfs/dbraw/zinc/02/92/74/417029274.db2.gz MOPJXDPVCWGJBX-UHFFFAOYSA-N 0 2 316.317 0.415 20 0 DCADLN CO[C@@H]1COC[C@@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616248405 416996436 /nfs/dbraw/zinc/99/64/36/416996436.db2.gz NIMDSHXRCXSPDO-WDEREUQCSA-N 0 2 305.290 0.174 20 0 DCADLN COC(=O)Cn1ccc(NS(=O)(=O)c2ccc(C)nc2)n1 ZINC000430977478 417096730 /nfs/dbraw/zinc/09/67/30/417096730.db2.gz WXTIPUKTOURKPX-UHFFFAOYSA-N 0 2 310.335 0.560 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000430662924 417056803 /nfs/dbraw/zinc/05/68/03/417056803.db2.gz LCDLHDGXCHPZPP-GFCCVEGCSA-N 0 2 316.361 0.893 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000430662924 417056809 /nfs/dbraw/zinc/05/68/09/417056809.db2.gz LCDLHDGXCHPZPP-GFCCVEGCSA-N 0 2 316.361 0.893 20 0 DCADLN COCC[N@@H+](C)C[C@H](O)C[NH+]1CCc2c(cccc2OC)C1 ZINC000569136404 417220890 /nfs/dbraw/zinc/22/08/90/417220890.db2.gz HUVOOXRVYKNNEE-HNNXBMFYSA-N 0 2 308.422 0.992 20 0 DCADLN O=C(CS(=O)(=O)c1nc2ccccc2[nH]1)NC(=O)NC1CC1 ZINC000414211793 417265857 /nfs/dbraw/zinc/26/58/57/417265857.db2.gz QTFULNYASVYXOL-UHFFFAOYSA-N 0 2 322.346 0.325 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000569248293 417232514 /nfs/dbraw/zinc/23/25/14/417232514.db2.gz MHWKSFRKQFPUSL-VXGBXAGGSA-N 0 2 312.366 0.480 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000569248293 417232518 /nfs/dbraw/zinc/23/25/18/417232518.db2.gz MHWKSFRKQFPUSL-VXGBXAGGSA-N 0 2 312.366 0.480 20 0 DCADLN CCOC(=O)COCCNC(=O)c1cc(F)c(O)c(F)c1 ZINC000452698132 417497886 /nfs/dbraw/zinc/49/78/86/417497886.db2.gz QIEHNIASORSLNE-UHFFFAOYSA-N 0 2 303.261 0.980 20 0 DCADLN CC(C)[C@H]1C[NH2+]CCN1C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000529308471 417434687 /nfs/dbraw/zinc/43/46/87/417434687.db2.gz RGLLIQQYLCNYMF-LSDHHAIUSA-N 0 2 311.474 0.262 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[n-]2)c1)N1CC(n2cc[nH+]c2)C1 ZINC000622372244 417437494 /nfs/dbraw/zinc/43/74/94/417437494.db2.gz GLYPJPCSGYJWFA-UHFFFAOYSA-N 0 2 311.301 0.924 20 0 DCADLN CCO[C@@H]1C[C@@H]1NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000575980554 417567729 /nfs/dbraw/zinc/56/77/29/417567729.db2.gz MHRYMOYBQKUHBB-IONNQARKSA-N 0 2 304.306 0.652 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000635514685 417684665 /nfs/dbraw/zinc/68/46/65/417684665.db2.gz MARGYCYAXSPBHI-NHYWBVRUSA-N 0 2 322.365 0.331 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCCC[C@H]1CN1CCOCC1 ZINC000635547138 417697230 /nfs/dbraw/zinc/69/72/30/417697230.db2.gz SLQTXRTWTIUIOE-ZDUSSCGKSA-N 0 2 322.413 0.236 20 0 DCADLN COc1cncc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000576946521 417649075 /nfs/dbraw/zinc/64/90/75/417649075.db2.gz PRXHMABJGOESHJ-QMMMGPOBSA-N 0 2 304.310 0.329 20 0 DCADLN Cn1cnc(CCNC(=O)N=c2nc(C(C)(C)C)[nH]s2)n1 ZINC000532031341 417652861 /nfs/dbraw/zinc/65/28/61/417652861.db2.gz GTNDQSMYWYPFIS-UHFFFAOYSA-N 0 2 309.399 0.750 20 0 DCADLN CC[C@H](NC(=O)C1=NN(c2ccc(F)cc2)CC1=O)C(=O)NC ZINC000456983609 417672953 /nfs/dbraw/zinc/67/29/53/417672953.db2.gz AIEWNGOBBIEWPH-NSHDSACASA-N 0 2 320.324 0.971 20 0 DCADLN O=c1nc(CN2CCN(c3nc[nH]n3)CC2)[nH]c2ccsc21 ZINC000639734001 417728913 /nfs/dbraw/zinc/72/89/13/417728913.db2.gz UZGQCXKIFPZOOW-UHFFFAOYSA-N 0 2 317.378 0.837 20 0 DCADLN COc1cc(NS(=O)(=O)c2cnn(CC(F)F)c2)[nH]n1 ZINC000629314828 417779313 /nfs/dbraw/zinc/77/93/13/417779313.db2.gz PHMSFXFHXYLXON-UHFFFAOYSA-N 0 2 307.282 0.681 20 0 DCADLN COc1cc(OC)nc(NS(=O)(=O)c2cnc(C)n2C)n1 ZINC000629350438 417785089 /nfs/dbraw/zinc/78/50/89/417785089.db2.gz ORUNDOSSOYRFOK-UHFFFAOYSA-N 0 2 313.339 0.337 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccnc(OCCO)c2)n1C ZINC000629351948 417788616 /nfs/dbraw/zinc/78/86/16/417788616.db2.gz KOGSADZZCWBHKZ-UHFFFAOYSA-N 0 2 312.351 0.295 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(F)cc2F)[nH]1 ZINC000657104416 417813266 /nfs/dbraw/zinc/81/32/66/417813266.db2.gz PGAPTPRRFCVPBM-UHFFFAOYSA-N 0 2 318.305 0.708 20 0 DCADLN C[C@H](CCO)N(C)C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000652256051 417874849 /nfs/dbraw/zinc/87/48/49/417874849.db2.gz LJAJFGQSULOPFW-MRVPVSSYSA-N 0 2 314.411 0.425 20 0 DCADLN Cn1c(CNC(=O)c2ccc(O[C@@H]3CCOC3)cc2)n[nH]c1=O ZINC000640651156 417893299 /nfs/dbraw/zinc/89/32/99/417893299.db2.gz YNGXNMZOQDTUHP-GFCCVEGCSA-N 0 2 318.333 0.618 20 0 DCADLN Cc1nc(=NC(=O)C(=O)N2CCC3(CC3(F)F)CC2)[nH]n1C ZINC000652059583 417841122 /nfs/dbraw/zinc/84/11/22/417841122.db2.gz SUGLKAIIARXLMU-UHFFFAOYSA-N 0 2 313.308 0.132 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)Cc2cn3c([nH+]2)CCCC3)CCOC1 ZINC000652070405 417841139 /nfs/dbraw/zinc/84/11/39/417841139.db2.gz VWULEBIGOFHZTI-OAHLLOKOSA-N 0 2 307.350 0.512 20 0 DCADLN CCN1C[C@@H](CNC(=O)c2cn[nH]c2-c2cnn(C)c2)CC1=O ZINC000652833246 417979188 /nfs/dbraw/zinc/97/91/88/417979188.db2.gz JVDFAFXJSFCLRK-SNVBAGLBSA-N 0 2 316.365 0.408 20 0 DCADLN CN(Cc1c[nH+]c[nH]1)C(=O)C(=O)N1CCC[C@@H](CCC(=O)[O-])C1 ZINC000662908920 417947780 /nfs/dbraw/zinc/94/77/80/417947780.db2.gz AJJXZQDPQGWJLY-NSHDSACASA-N 0 2 322.365 0.472 20 0 DCADLN CN(Cc1c[nH]c[nH+]1)C(=O)C(=O)N1CCC[C@@H](CCC(=O)[O-])C1 ZINC000662908920 417947785 /nfs/dbraw/zinc/94/77/85/417947785.db2.gz AJJXZQDPQGWJLY-NSHDSACASA-N 0 2 322.365 0.472 20 0 DCADLN CCN(CCCNc1ccc(C(=O)[O-])c[nH+]1)S(C)(=O)=O ZINC000647446362 418010796 /nfs/dbraw/zinc/01/07/96/418010796.db2.gz PKPLVTDHFZRPTI-UHFFFAOYSA-N 0 2 301.368 0.285 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@H]1C[C@@H](C(N)=O)C1)c2=O ZINC000653039345 418015527 /nfs/dbraw/zinc/01/55/27/418015527.db2.gz CVSBNCGTPLOREX-DTORHVGOSA-N 0 2 300.318 0.638 20 0 DCADLN COCCn1ccc(NS(=O)(=O)C[C@H](OC)C(C)C)n1 ZINC000647126222 417991230 /nfs/dbraw/zinc/99/12/30/417991230.db2.gz IOUHQJRRLIBOEQ-NSHDSACASA-N 0 2 305.400 0.942 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cc(C)n(C)n1)C1CCOCC1 ZINC000647129204 417992057 /nfs/dbraw/zinc/99/20/57/417992057.db2.gz JNAQSRNXZPKDBV-LBPRGKRZSA-N 0 2 317.411 0.912 20 0 DCADLN O=C([O-])[C@H](CNC(=O)c1ccc(-n2cc[nH+]c2)nn1)C1CCC1 ZINC000663154062 417998356 /nfs/dbraw/zinc/99/83/56/417998356.db2.gz WYPQNRKUKOGFPJ-LLVKDONJSA-N 0 2 315.333 0.893 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])C3)CC2)cc[nH+]1 ZINC000653286020 418053039 /nfs/dbraw/zinc/05/30/39/418053039.db2.gz FUBZWPLDAYVFTJ-OLZOCXBDSA-N 0 2 318.377 0.935 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000653290559 418054304 /nfs/dbraw/zinc/05/43/04/418054304.db2.gz BVMQUWOSCWCHLX-GFCCVEGCSA-N 0 2 319.361 0.855 20 0 DCADLN O=C(COC[C@@H]1CCCO1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000648888364 418169909 /nfs/dbraw/zinc/16/99/09/418169909.db2.gz NECAIHHUFGGDDT-NSHDSACASA-N 0 2 310.354 0.412 20 0 DCADLN CC12CC(C1)CN2S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000660194678 418240968 /nfs/dbraw/zinc/24/09/68/418240968.db2.gz JBVNURPKDSJRBK-UHFFFAOYSA-N 0 2 322.346 0.609 20 0 DCADLN CO[C@H]1C[C@@H](CC(=O)[O-])N(c2nc3c(cnn3C)c(N)[nH+]2)C1 ZINC000649406727 418251539 /nfs/dbraw/zinc/25/15/39/418251539.db2.gz BXKIWJWNCLZINE-YUMQZZPRSA-N 0 2 306.326 0.014 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000665301971 418193139 /nfs/dbraw/zinc/19/31/39/418193139.db2.gz JJUSECUMPWRDRW-YPMHNXCESA-N 0 2 313.398 0.507 20 0 DCADLN CC(C)C[C@H](NC(=O)C(C)(C)C)C(=O)N=c1cc(C(N)=O)[nH][nH]1 ZINC000649178924 418206389 /nfs/dbraw/zinc/20/63/89/418206389.db2.gz YVNPTIWLSVHRIU-JTQLQIEISA-N 0 2 323.397 0.446 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(N)cc2F)[nH]1 ZINC000659986975 418212804 /nfs/dbraw/zinc/21/28/04/418212804.db2.gz FPCMCZMVJZRWSJ-UHFFFAOYSA-N 0 2 315.330 0.151 20 0 DCADLN CN(C)C(=O)Cn1cnc(=NC(=O)NC2(C3CCC3)CC2)[nH]1 ZINC000650054609 418290471 /nfs/dbraw/zinc/29/04/71/418290471.db2.gz IBFJELDUCNFIOA-UHFFFAOYSA-N 0 2 306.370 0.242 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)NCC[C@]1(O)CCCOC1 ZINC000650240503 418299129 /nfs/dbraw/zinc/29/91/29/418299129.db2.gz IENYCJCPIGFAHX-CQSZACIVSA-N 0 2 309.370 0.089 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@H+](C)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000650516066 418313275 /nfs/dbraw/zinc/31/32/75/418313275.db2.gz OGPBLDPWTAESOK-JTQLQIEISA-N 0 2 311.363 0.804 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@@H+](C)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000650516066 418313277 /nfs/dbraw/zinc/31/32/77/418313277.db2.gz OGPBLDPWTAESOK-JTQLQIEISA-N 0 2 311.363 0.804 20 0 DCADLN C[C@]1(C[C@@H]2CCCN2c2cc[nH+]c(C(=O)[O-])c2)NC(=O)NC1=O ZINC000650770959 418323248 /nfs/dbraw/zinc/32/32/48/418323248.db2.gz IWUXKWXCRSRNSA-ZUZCIYMTSA-N 0 2 318.333 0.737 20 0 DCADLN O=C([O-])c1cc(N2CCC(C(=O)N3CCOCC3)CC2)cc[nH+]1 ZINC000650765767 418323659 /nfs/dbraw/zinc/32/36/59/418323659.db2.gz GBQGXQHUSNETSA-UHFFFAOYSA-N 0 2 319.361 0.855 20 0 DCADLN CCc1cccc(OCC(=O)N=c2ccc(C(=O)NC)n[nH]2)c1 ZINC000650814488 418326511 /nfs/dbraw/zinc/32/65/11/418326511.db2.gz QLVCGVIJHRCXDN-UHFFFAOYSA-N 0 2 314.345 0.838 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H]2CCC[C@H]3OCC[C@H]32)[nH]1 ZINC000651622389 418397983 /nfs/dbraw/zinc/39/79/83/418397983.db2.gz XRPCKLMSYIXCBZ-QJPTWQEYSA-N 0 2 321.381 0.293 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@]2(C)CCCS2)[nH]1 ZINC000651618532 418397990 /nfs/dbraw/zinc/39/79/90/418397990.db2.gz MZDDOPYPFRFMQM-ZDUSSCGKSA-N 0 2 311.411 0.621 20 0 DCADLN COCC[N@@H+]1CCC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000651273290 418359909 /nfs/dbraw/zinc/35/99/09/418359909.db2.gz IHERWSFRHRIDRA-CQSZACIVSA-N 0 2 320.437 0.989 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@H](C3CCCCC3)C2=O)[nH]1 ZINC000656832429 418377950 /nfs/dbraw/zinc/37/79/50/418377950.db2.gz LKMAKXCTARKENF-LBPRGKRZSA-N 0 2 307.354 0.952 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](c3ccccc3)C2=O)[nH]1 ZINC000656833631 418378494 /nfs/dbraw/zinc/37/84/94/418378494.db2.gz RUNLXYUWTQCMSC-GFCCVEGCSA-N 0 2 301.306 0.744 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCc2cccc(F)c2)[nH]1 ZINC000651498936 418384358 /nfs/dbraw/zinc/38/43/58/418384358.db2.gz YRUYPVNUWNDEGM-UHFFFAOYSA-N 0 2 319.340 0.717 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCOC(C)(C)[C@@H]2C)[nH]1 ZINC000651714523 418406525 /nfs/dbraw/zinc/40/65/25/418406525.db2.gz DIADJNCJHGQZOQ-JTQLQIEISA-N 0 2 309.370 0.245 20 0 DCADLN CCc1ccnc(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)c1 ZINC000651768992 418409597 /nfs/dbraw/zinc/40/95/97/418409597.db2.gz MKZJVYVOBVKPAV-UHFFFAOYSA-N 0 2 316.365 0.493 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)C[C@H]2CCCC[C@H]2O)[nH]1 ZINC000651656284 418401403 /nfs/dbraw/zinc/40/14/03/418401403.db2.gz YHWQTUSXDLHVIN-VXGBXAGGSA-N 0 2 323.397 0.229 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCOCC2CCC2)[nH]1 ZINC000651672155 418402414 /nfs/dbraw/zinc/40/24/14/418402414.db2.gz SBFKPBBNHNZSRP-UHFFFAOYSA-N 0 2 309.370 0.152 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H](C)O1 ZINC000047402922 296933720 /nfs/dbraw/zinc/93/37/20/296933720.db2.gz JFRPWGZEZUSSFL-OCAPTIKFSA-N 0 2 304.306 0.273 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2cnn(C)c2C)cn1 ZINC000354215891 261190958 /nfs/dbraw/zinc/19/09/58/261190958.db2.gz GGTUMWDNMRTAMR-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COCCOc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000354345567 261206497 /nfs/dbraw/zinc/20/64/97/261206497.db2.gz CMVBODZVWUSWGS-UHFFFAOYSA-N 0 2 307.310 0.857 20 0 DCADLN CC[C@@H](C)[C@H](OC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000355038794 261299890 /nfs/dbraw/zinc/29/98/90/261299890.db2.gz NOZHDKWJZANCAJ-RQJHMYQMSA-N 0 2 322.412 0.909 20 0 DCADLN C[C@@H]1CO[C@H](CO)CN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000361603554 261943226 /nfs/dbraw/zinc/94/32/26/261943226.db2.gz NQDULTIJTUNRNO-BDAKNGLRSA-N 0 2 314.411 0.871 20 0 DCADLN COC(=O)C[C@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000362477934 262039534 /nfs/dbraw/zinc/03/95/34/262039534.db2.gz ZJUQORVMVCRAJS-QMMMGPOBSA-N 0 2 305.290 0.711 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2ccc(OCC(N)=O)cc2)c1 ZINC000355254572 271003782 /nfs/dbraw/zinc/00/37/82/271003782.db2.gz QAGZAMGPFXLNNQ-UHFFFAOYSA-N 0 2 310.335 0.085 20 0 DCADLN O=S(=O)(NCc1ccnc2ccnn21)NCC(F)(F)F ZINC000443052352 271695937 /nfs/dbraw/zinc/69/59/37/271695937.db2.gz UDEXPJOPQPHRSM-UHFFFAOYSA-N 0 2 309.273 0.216 20 0 DCADLN CC(C)n1cnnc1CN(C)S(=O)(=O)NCC(F)(F)F ZINC000490603304 272077942 /nfs/dbraw/zinc/07/79/42/272077942.db2.gz RQUPWESHMIVNOH-UHFFFAOYSA-N 0 2 315.321 0.688 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)c1cccnc1 ZINC000492470239 272131294 /nfs/dbraw/zinc/13/12/94/272131294.db2.gz GZERGEQWVMNPDE-AATRIKPKSA-N 0 2 306.347 0.816 20 0 DCADLN NC(=O)c1ccc(C=CC(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC000492718512 272144775 /nfs/dbraw/zinc/14/47/75/272144775.db2.gz UNPCVFUXIPUZGF-UTCJRWHESA-N 0 2 318.358 0.829 20 0 DCADLN NC(=O)c1ccc(C=CC(=O)NCCC2N=NC(=S)O2)cc1 ZINC000492718512 272144777 /nfs/dbraw/zinc/14/47/77/272144777.db2.gz UNPCVFUXIPUZGF-UTCJRWHESA-N 0 2 318.358 0.829 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)C[C@H](C)OC ZINC000493714021 272205532 /nfs/dbraw/zinc/20/55/32/272205532.db2.gz HYAWMEYPTVZHKB-OMMCCPJFSA-N 0 2 301.368 0.397 20 0 DCADLN CCOC(=O)Nc1scnc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000496849086 272347462 /nfs/dbraw/zinc/34/74/62/272347462.db2.gz UQLOULDPRQIIDE-UHFFFAOYSA-N 0 2 313.295 0.892 20 0 DCADLN O=C(CCN1CCOC1=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000496908726 272352969 /nfs/dbraw/zinc/35/29/69/272352969.db2.gz GCXDNVACRLVSQS-UHFFFAOYSA-N 0 2 309.326 0.059 20 0 DCADLN COCC[N@H+](Cc1cnc(N2CC[NH+](C)CC2)nc1)C1CC1 ZINC000507157296 272395079 /nfs/dbraw/zinc/39/50/79/272395079.db2.gz WOKIBXXEBRCWEK-UHFFFAOYSA-N 0 2 305.426 0.839 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCC(CCO)CC1 ZINC000535421072 287833291 /nfs/dbraw/zinc/83/32/91/287833291.db2.gz ISBRYLABOCMPDG-UHFFFAOYSA-N 0 2 318.333 0.671 20 0 DCADLN O=C(N=c1nc(-c2cccnc2)[nH]s1)[C@H]1CCS(=O)(=O)C1 ZINC000535985667 287848600 /nfs/dbraw/zinc/84/86/00/287848600.db2.gz AIUIQFSJNYHJPH-VIFPVBQESA-N 0 2 324.387 0.395 20 0 DCADLN C[C@@H](C(F)(F)F)S(=O)(=O)NCCN1CCO[C@H](C)C1 ZINC000548040905 288104808 /nfs/dbraw/zinc/10/48/08/288104808.db2.gz IYQCSGUXJIGPDE-BDAKNGLRSA-N 0 2 304.334 0.577 20 0 DCADLN CCN(C(=O)N[C@H](CCC(=O)[O-])C[NH+]1CCOCC1)C(C)C ZINC000548541232 288152027 /nfs/dbraw/zinc/15/20/27/288152027.db2.gz ATFDYNVXDAPGLL-CYBMUJFWSA-N 0 2 315.414 0.992 20 0 DCADLN CC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000549766465 288204219 /nfs/dbraw/zinc/20/42/19/288204219.db2.gz PADFSLRFEPRXEA-GHMZBOCLSA-N 0 2 307.354 0.227 20 0 DCADLN CC(=O)N[C@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC000552107122 288264780 /nfs/dbraw/zinc/26/47/80/288264780.db2.gz UWWWBZCUGJKFLL-MNOVXSKESA-N 0 2 309.370 0.377 20 0 DCADLN C[NH+]1CCO[C@@H](C(=O)Nc2cccc(C[N@H+]3CC[C@H](O)C3)c2)C1 ZINC000552198892 288268787 /nfs/dbraw/zinc/26/87/87/288268787.db2.gz IQODOGQVYVVAIV-JKSUJKDBSA-N 0 2 319.405 0.522 20 0 DCADLN CC1(C)CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H]1O ZINC000331989031 281226243 /nfs/dbraw/zinc/22/62/43/281226243.db2.gz NOTNBSHCWGVFPG-VIFPVBQESA-N 0 2 304.306 0.279 20 0 DCADLN C[C@H](O)[C@@H]1CCN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000563931963 288715711 /nfs/dbraw/zinc/71/57/11/288715711.db2.gz LHYDFJOOPRJKQT-JOYOIKCWSA-N 0 2 303.318 0.873 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)[C@H]2CCC(=O)N2C)[nH]1 ZINC000330260202 293336185 /nfs/dbraw/zinc/33/61/85/293336185.db2.gz OAGHUVSAURNAAA-GHMZBOCLSA-N 0 2 321.381 0.626 20 0 DCADLN O=C1CN(S(=O)(=O)NCC(F)(F)F)[C@H]2CCCC[C@H]2N1 ZINC000195377282 304640114 /nfs/dbraw/zinc/64/01/14/304640114.db2.gz GQIFZWHWPYQVQD-SFYZADRCSA-N 0 2 315.317 0.126 20 0 DCADLN Cc1cc(C(=O)N2CC3(C2)CCOC3)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000342035354 301085195 /nfs/dbraw/zinc/08/51/95/301085195.db2.gz MRZAZLXUOBOROB-UHFFFAOYSA-N 0 2 316.317 0.607 20 0 DCADLN NC(=O)c1ccc(C(=O)N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)cn1 ZINC000353319688 303342506 /nfs/dbraw/zinc/34/25/06/303342506.db2.gz GYJKDPBKAHTDGX-JTQLQIEISA-N 0 2 316.321 0.372 20 0 DCADLN NS(=O)(=O)CCCCNC(=O)c1cc(F)cc(Cl)c1O ZINC000295606463 303433788 /nfs/dbraw/zinc/43/37/88/303433788.db2.gz NKJANLYVRIYZBU-UHFFFAOYSA-N 0 2 324.761 0.983 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000570970506 333471706 /nfs/dbraw/zinc/47/17/06/333471706.db2.gz OAPLJAIREGQRCX-CQSZACIVSA-N 0 2 321.377 0.891 20 0 DCADLN NS(=O)(=O)c1ccc(C[NH+]2CCC(CC(=O)[O-])CC2)o1 ZINC001574280309 1159245661 /nfs/dbraw/zinc/24/56/61/1159245661.db2.gz KIACUFOWFWARNJ-UHFFFAOYSA-N 0 2 302.352 0.614 20 0 DCADLN CCO[C@@H]1C[C@H]1NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000576579404 341853338 /nfs/dbraw/zinc/85/33/38/341853338.db2.gz GNQHBSHWYPCKLS-HTQZYQBOSA-N 0 2 312.395 0.490 20 0 DCADLN CC(=O)N[C@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C(C)(C)C ZINC000577637363 341926335 /nfs/dbraw/zinc/92/63/35/341926335.db2.gz SIRHECIYZLNAQI-WDEREUQCSA-N 0 2 323.397 0.767 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2c(C)noc2C)[nH]n1 ZINC000541588296 517691879 /nfs/dbraw/zinc/69/18/79/517691879.db2.gz BSNUALTWLHGMLF-UHFFFAOYSA-N 0 2 314.323 0.992 20 0 DCADLN CCOC[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)C ZINC000191443042 520089292 /nfs/dbraw/zinc/08/92/92/520089292.db2.gz BHTGOHZBMJUGDE-LLVKDONJSA-N 0 2 320.349 0.402 20 0 DCADLN CN1CCO[C@H]2CN(Cc3nc(=O)c4sccc4[nH]3)C[C@@H]21 ZINC000154365049 523952877 /nfs/dbraw/zinc/95/28/77/523952877.db2.gz SQZXLDMOMXOLHW-QWRGUYRKSA-N 0 2 306.391 0.499 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCSC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266947650 524133297 /nfs/dbraw/zinc/13/32/97/524133297.db2.gz WXWAQUOUWRDPCS-SSDOTTSWSA-N 0 2 306.347 0.980 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CC(=O)NCCCc2ccccc2)CCO1 ZINC000315274516 546026881 /nfs/dbraw/zinc/02/68/81/546026881.db2.gz FZJFDSWFYNBYOY-AWEZNQCLSA-N 0 2 306.362 0.521 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CC(=O)NCCCc2ccccc2)CCO1 ZINC000315274516 546026887 /nfs/dbraw/zinc/02/68/87/546026887.db2.gz FZJFDSWFYNBYOY-AWEZNQCLSA-N 0 2 306.362 0.521 20 0 DCADLN CN(C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)[C@@H]1CCN(C)C1=O ZINC000584675078 546302615 /nfs/dbraw/zinc/30/26/15/546302615.db2.gz YSAYGGQAKZAXLQ-LLVKDONJSA-N 0 2 316.317 0.333 20 0 DCADLN CS(=O)(=O)NC1CC(NC(=O)c2c(O)cc(F)cc2F)C1 ZINC000669925815 547030644 /nfs/dbraw/zinc/03/06/44/547030644.db2.gz ODEBPEUMKVHFIS-UHFFFAOYSA-N 0 2 320.317 0.480 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1O)[C@H](C)CS(=O)(=O)CC ZINC000676698526 547805195 /nfs/dbraw/zinc/80/51/95/547805195.db2.gz FQGBJSUATHJECC-MRVPVSSYSA-N 0 2 303.384 0.709 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H]2C(=O)NCc2ccco2)c1O ZINC000676698564 547805484 /nfs/dbraw/zinc/80/54/84/547805484.db2.gz HYMQYUMJPRBWOW-NSHDSACASA-N 0 2 318.333 0.938 20 0 DCADLN CC(=O)c1ccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)cc1 ZINC000677645032 547894040 /nfs/dbraw/zinc/89/40/40/547894040.db2.gz UPYQJJTWHKGSIG-UHFFFAOYSA-N 0 2 308.319 0.512 20 0 DCADLN COc1ccc2c(c1)OC[C@H]2CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000677665752 547896372 /nfs/dbraw/zinc/89/63/72/547896372.db2.gz IFVUECADOMSGFY-MRVPVSSYSA-N 0 2 304.306 0.701 20 0 DCADLN CN1CC[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1=O ZINC000681255090 548225220 /nfs/dbraw/zinc/22/52/20/548225220.db2.gz VYSXRMDFGCOCJB-JTQLQIEISA-N 0 2 302.290 0.447 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2cnoc2)cn1 ZINC000684534223 548620319 /nfs/dbraw/zinc/62/03/19/548620319.db2.gz NBWCUMLNGYLWOW-UHFFFAOYSA-N 0 2 303.321 0.274 20 0 DCADLN O=C(NCCCn1cc(CO)nn1)c1c(O)cc(F)cc1F ZINC000685888281 548742916 /nfs/dbraw/zinc/74/29/16/548742916.db2.gz KSXIWIOSYBIWKH-UHFFFAOYSA-N 0 2 312.276 0.574 20 0 DCADLN Cc1c(NS(=O)(=O)c2ccnn2C)cccc1-c1nn[nH]n1 ZINC000822130799 607256038 /nfs/dbraw/zinc/25/60/38/607256038.db2.gz YQLJKBLELKOOFM-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN C[NH+]1CCN(C(=O)c2ccccc2NC(=O)NCC(=O)[O-])CC1 ZINC000818482392 597490883 /nfs/dbraw/zinc/49/08/83/597490883.db2.gz SFJOXDLRNXEIAE-UHFFFAOYSA-N 0 2 320.349 0.280 20 0 DCADLN COC(=O)c1cc(C(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])on1 ZINC000820677763 597702488 /nfs/dbraw/zinc/70/24/88/597702488.db2.gz QPZQIGOBRYISHL-QMMMGPOBSA-N 0 2 312.278 0.167 20 0 DCADLN COC(=O)c1cc(C(=O)OC[C@@H]2CCC[N@H+]2CC(=O)[O-])on1 ZINC000820677763 597702489 /nfs/dbraw/zinc/70/24/89/597702489.db2.gz QPZQIGOBRYISHL-QMMMGPOBSA-N 0 2 312.278 0.167 20 0 DCADLN CCCCCSc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000825276481 608412544 /nfs/dbraw/zinc/41/25/44/608412544.db2.gz YIBACKIKVJDCAK-UHFFFAOYSA-N 0 2 310.383 0.546 20 0 DCADLN CCCC(=O)NC[C@H]1CCC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736952668 599782694 /nfs/dbraw/zinc/78/26/94/599782694.db2.gz TVIXMNCSNNYINR-LLVKDONJSA-N 0 2 309.370 0.562 20 0 DCADLN CCCC(=O)NC[C@H]1CCC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736952668 599782696 /nfs/dbraw/zinc/78/26/96/599782696.db2.gz TVIXMNCSNNYINR-LLVKDONJSA-N 0 2 309.370 0.562 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736347323 599782920 /nfs/dbraw/zinc/78/29/20/599782920.db2.gz UEIMZDDRPGUECV-NSHDSACASA-N 0 2 309.370 0.418 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736347323 599782923 /nfs/dbraw/zinc/78/29/23/599782923.db2.gz UEIMZDDRPGUECV-NSHDSACASA-N 0 2 309.370 0.418 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000739537771 600114234 /nfs/dbraw/zinc/11/42/34/600114234.db2.gz BWXSRLZJOXCQGI-JTNHKYCSSA-N 0 2 314.407 0.031 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2ccc(F)c(C(=O)[O-])c2)C1 ZINC000316826956 600276041 /nfs/dbraw/zinc/27/60/41/600276041.db2.gz PRTUEFONDOGQHV-SNVBAGLBSA-N 0 2 311.313 0.976 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2ccc(F)c(C(=O)[O-])c2)C1 ZINC000316826956 600276043 /nfs/dbraw/zinc/27/60/43/600276043.db2.gz PRTUEFONDOGQHV-SNVBAGLBSA-N 0 2 311.313 0.976 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C(=O)[O-])o1 ZINC000736822633 600545752 /nfs/dbraw/zinc/54/57/52/600545752.db2.gz SXYHOVUXUWCBSU-JTQLQIEISA-N 0 2 311.338 0.498 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C(=O)[O-])o1 ZINC000736822633 600545755 /nfs/dbraw/zinc/54/57/55/600545755.db2.gz SXYHOVUXUWCBSU-JTQLQIEISA-N 0 2 311.338 0.498 20 0 DCADLN CC(C)C[C@@H](NC(=O)COC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000826794124 600681002 /nfs/dbraw/zinc/68/10/02/600681002.db2.gz VFZWXCFXMIEWDI-VXGBXAGGSA-N 0 2 314.382 0.629 20 0 DCADLN CC(C)C[C@@H](NC(=O)COC(=O)[C@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000826794124 600681005 /nfs/dbraw/zinc/68/10/05/600681005.db2.gz VFZWXCFXMIEWDI-VXGBXAGGSA-N 0 2 314.382 0.629 20 0 DCADLN O=C([O-])CCNC(=O)C[NH+]1CCN(c2cccc(O)c2)CC1 ZINC000739733209 600907716 /nfs/dbraw/zinc/90/77/16/600907716.db2.gz ADKIONQSUIQPPK-UHFFFAOYSA-N 0 2 307.350 0.105 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](C)[C@H]1CCCCNC1=O)C(=O)[O-] ZINC000828707663 600995420 /nfs/dbraw/zinc/99/54/20/600995420.db2.gz HRHBUCVSBUNGKN-GVXVVHGQSA-N 0 2 313.398 0.202 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)[C@H]1CCCCNC1=O)C(=O)[O-] ZINC000828707663 600995423 /nfs/dbraw/zinc/99/54/23/600995423.db2.gz HRHBUCVSBUNGKN-GVXVVHGQSA-N 0 2 313.398 0.202 20 0 DCADLN Cc1[nH]c(C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)c(C)c1C(=O)[O-] ZINC000738158896 601085083 /nfs/dbraw/zinc/08/50/83/601085083.db2.gz IZKRPLCPVRGYHR-GFCCVEGCSA-N 0 2 321.377 0.876 20 0 DCADLN C[C@@H](CNS(=O)(=O)c1ccc(C(=O)[O-])o1)Cn1cc[nH+]c1 ZINC000827507633 601128169 /nfs/dbraw/zinc/12/81/69/601128169.db2.gz MVVLTRGJBDPEDE-VIFPVBQESA-N 0 2 313.335 0.789 20 0 DCADLN O=C([O-])c1ncn(C[NH+]2CCC(OC[C@H]3CCCO3)CC2)n1 ZINC000833345152 601342985 /nfs/dbraw/zinc/34/29/85/601342985.db2.gz RJGHWJSCDAOYMR-GFCCVEGCSA-N 0 2 310.354 0.594 20 0 DCADLN CC[C@@H]1C[N@H+](CC(=O)NCCC(=O)[O-])[C@@H](CC)CN1C(C)=O ZINC000829139941 601449465 /nfs/dbraw/zinc/44/94/65/601449465.db2.gz BASRHQSOBJPCRL-QWHCGFSZSA-N 0 2 313.398 0.299 20 0 DCADLN CC[C@@H]1C[N@@H+](CC(=O)NCCC(=O)[O-])[C@@H](CC)CN1C(C)=O ZINC000829139941 601449466 /nfs/dbraw/zinc/44/94/66/601449466.db2.gz BASRHQSOBJPCRL-QWHCGFSZSA-N 0 2 313.398 0.299 20 0 DCADLN O=C([O-])C[C@@H]1CN(C(=O)NCc2cn3c([nH+]2)CCCC3)CCO1 ZINC000833216583 601773097 /nfs/dbraw/zinc/77/30/97/601773097.db2.gz BURCUNVTUSHRPG-GFCCVEGCSA-N 0 2 322.365 0.605 20 0 DCADLN O=C([O-])c1cn(C[NH+]2CCC(N3CCCCC3=O)CC2)nn1 ZINC000833337732 601960046 /nfs/dbraw/zinc/96/00/46/601960046.db2.gz ISSPELTUPOPOJV-UHFFFAOYSA-N 0 2 307.354 0.411 20 0 DCADLN C[C@@H](CC(=O)[O-])C(=O)N1CC[NH+](C[C@H](O)c2ccccc2)CC1 ZINC000827262098 602150430 /nfs/dbraw/zinc/15/04/30/602150430.db2.gz FFEXSIVTSAJDFE-ZFWWWQNUSA-N 0 2 320.389 0.975 20 0 DCADLN C[C@@H]1CCN(Cn2nc(C(=O)[O-])ccc2=O)C[C@H]1n1cc[nH+]c1 ZINC000828295491 602304402 /nfs/dbraw/zinc/30/44/02/602304402.db2.gz ILPZLHMEXMYOGX-DGCLKSJQSA-N 0 2 317.349 0.679 20 0 DCADLN Cc1[nH]c(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c(C)c1C(=O)[O-] ZINC000832842725 602389923 /nfs/dbraw/zinc/38/99/23/602389923.db2.gz CUTVVQNDBOUCNF-GFCCVEGCSA-N 0 2 321.377 0.876 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)CN(C)C(=O)[O-])CC1 ZINC000738912187 602758474 /nfs/dbraw/zinc/75/84/74/602758474.db2.gz UKGREJCPGIWBJA-UHFFFAOYSA-N 0 2 323.397 0.291 20 0 DCADLN C[C@H](CNC(=O)CN(C[C@H]1CCCO1)C(=O)[O-])Cn1cc[nH+]c1 ZINC000824921190 603494036 /nfs/dbraw/zinc/49/40/36/603494036.db2.gz CFFSXAJCLINVTI-CHWSQXEVSA-N 0 2 324.381 0.794 20 0 DCADLN COc1ccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000829276836 603514756 /nfs/dbraw/zinc/51/47/56/603514756.db2.gz KKQMGEZWRHOIAF-GFCCVEGCSA-N 0 2 307.350 0.719 20 0 DCADLN COc1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000829276836 603514758 /nfs/dbraw/zinc/51/47/58/603514758.db2.gz KKQMGEZWRHOIAF-GFCCVEGCSA-N 0 2 307.350 0.719 20 0 DCADLN C[C@@H]1C[N@@H+]([C@H]2CCN(C3CCOCC3)C2=O)CCN1C(=O)[O-] ZINC000826049198 603626020 /nfs/dbraw/zinc/62/60/20/603626020.db2.gz KSVZHAZKINSCGL-YPMHNXCESA-N 0 2 311.382 0.450 20 0 DCADLN C[C@@H]1C[N@H+]([C@H]2CCN(C3CCOCC3)C2=O)CCN1C(=O)[O-] ZINC000826049198 603626021 /nfs/dbraw/zinc/62/60/21/603626021.db2.gz KSVZHAZKINSCGL-YPMHNXCESA-N 0 2 311.382 0.450 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)C2(CNC(=O)[O-])CCCC2)CCO1 ZINC000826059069 603650731 /nfs/dbraw/zinc/65/07/31/603650731.db2.gz RMFJNNMWGMLPQS-GFCCVEGCSA-N 0 2 313.398 0.651 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)C2(CNC(=O)[O-])CCCC2)CCO1 ZINC000826059069 603650735 /nfs/dbraw/zinc/65/07/35/603650735.db2.gz RMFJNNMWGMLPQS-GFCCVEGCSA-N 0 2 313.398 0.651 20 0 DCADLN C[C@H](CNC(=O)N1CCN(C(=O)[O-])[C@H](C)C1)[NH+]1CCOCC1 ZINC000824929609 603692312 /nfs/dbraw/zinc/69/23/12/603692312.db2.gz FTMJJGITWBIUTC-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN CC(C)CS(=O)(=O)NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000824283241 603909631 /nfs/dbraw/zinc/90/96/31/603909631.db2.gz ZAMJMUSHRUZWHA-UHFFFAOYSA-N 0 2 307.416 0.248 20 0 DCADLN C[C@@H](NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)[C@H]1CCOC1 ZINC000825165982 604075261 /nfs/dbraw/zinc/07/52/61/604075261.db2.gz LYNCNQHVGGKEDY-NEPJUHHUSA-N 0 2 314.386 0.006 20 0 DCADLN O=C([O-])N1CCN(S(=O)(=O)C2C[NH+](CCC3CC3)C2)CC1 ZINC000832043104 604100669 /nfs/dbraw/zinc/10/06/69/604100669.db2.gz UTNHVKOJYVYWGN-UHFFFAOYSA-N 0 2 317.411 0.096 20 0 DCADLN CC(C)n1nccc1C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824535098 604283597 /nfs/dbraw/zinc/28/35/97/604283597.db2.gz SRQWWXAYMXQSBY-NSHDSACASA-N 0 2 309.370 0.488 20 0 DCADLN CC(C)n1nccc1C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824535098 604283599 /nfs/dbraw/zinc/28/35/99/604283599.db2.gz SRQWWXAYMXQSBY-NSHDSACASA-N 0 2 309.370 0.488 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000736209334 604376084 /nfs/dbraw/zinc/37/60/84/604376084.db2.gz SHMPRWNPBCZKJM-UWVGGRQHSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000736209334 604376087 /nfs/dbraw/zinc/37/60/87/604376087.db2.gz SHMPRWNPBCZKJM-UWVGGRQHSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])c1cccnc1 ZINC000824589700 604395663 /nfs/dbraw/zinc/39/56/63/604395663.db2.gz GPQKAORWZOWEAC-JSGCOSHPSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])c1cccnc1 ZINC000824589700 604395666 /nfs/dbraw/zinc/39/56/66/604395666.db2.gz GPQKAORWZOWEAC-JSGCOSHPSA-N 0 2 320.393 0.985 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccnc2Cl)[C@@H](CNC(=O)[O-])C1 ZINC000828513045 604408648 /nfs/dbraw/zinc/40/86/48/604408648.db2.gz FYAJZQPTKMNCCB-VIFPVBQESA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccnc2Cl)[C@@H](CNC(=O)[O-])C1 ZINC000828513045 604408650 /nfs/dbraw/zinc/40/86/50/604408650.db2.gz FYAJZQPTKMNCCB-VIFPVBQESA-N 0 2 312.757 0.759 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccnc(C3CC3)n2)[C@H](CNC(=O)[O-])C1 ZINC000828513779 604408818 /nfs/dbraw/zinc/40/88/18/604408818.db2.gz PRLHRQDZTGXTPS-LLVKDONJSA-N 0 2 319.365 0.378 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccnc(C3CC3)n2)[C@H](CNC(=O)[O-])C1 ZINC000828513779 604408820 /nfs/dbraw/zinc/40/88/20/604408820.db2.gz PRLHRQDZTGXTPS-LLVKDONJSA-N 0 2 319.365 0.378 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@H+](Cc2ccc(C(N)=O)cc2)CCO1 ZINC000825351201 604628462 /nfs/dbraw/zinc/62/84/62/604628462.db2.gz NCAGGSOIERSQHZ-GXFFZTMASA-N 0 2 307.350 0.642 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@@H+](Cc2ccc(C(N)=O)cc2)CCO1 ZINC000825351201 604628465 /nfs/dbraw/zinc/62/84/65/604628465.db2.gz NCAGGSOIERSQHZ-GXFFZTMASA-N 0 2 307.350 0.642 20 0 DCADLN CN(C)c1cccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000828513013 604632680 /nfs/dbraw/zinc/63/26/80/604632680.db2.gz FBRHNTJATQTJGN-CQSZACIVSA-N 0 2 320.393 0.776 20 0 DCADLN CN(C)c1cccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000828513013 604632682 /nfs/dbraw/zinc/63/26/82/604632682.db2.gz FBRHNTJATQTJGN-CQSZACIVSA-N 0 2 320.393 0.776 20 0 DCADLN C[N@H+](CC(=O)Nc1ccc(C(=O)[O-])cc1)CC(C)(CO)CO ZINC000830682365 604648848 /nfs/dbraw/zinc/64/88/48/604648848.db2.gz WUHMXSKXYWBROX-UHFFFAOYSA-N 0 2 310.350 0.246 20 0 DCADLN C[N@@H+](CC(=O)Nc1ccc(C(=O)[O-])cc1)CC(C)(CO)CO ZINC000830682365 604648849 /nfs/dbraw/zinc/64/88/49/604648849.db2.gz WUHMXSKXYWBROX-UHFFFAOYSA-N 0 2 310.350 0.246 20 0 DCADLN O=C([O-])N1CCO[C@@H](CC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)C1 ZINC000832060552 604830923 /nfs/dbraw/zinc/83/09/23/604830923.db2.gz NFZLOAJLKHGMNX-OLZOCXBDSA-N 0 2 322.365 0.816 20 0 DCADLN C[C@@H](C(=O)NCC(F)(F)F)[NH+]1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833404803 604973920 /nfs/dbraw/zinc/97/39/20/604973920.db2.gz PSFWIZBSYTVRKJ-IONNQARKSA-N 0 2 312.288 0.211 20 0 DCADLN C[C@@]1(O)CCN(c2nc[nH+]c(N3CC[C@@](C)(O)C3)c2C(=O)[O-])C1 ZINC000833571855 605004325 /nfs/dbraw/zinc/00/43/25/605004325.db2.gz MYUPPVKUHBWIEG-HUUCEWRRSA-N 0 2 322.365 0.097 20 0 DCADLN CC(C)Cn1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC000833492945 605124188 /nfs/dbraw/zinc/12/41/88/605124188.db2.gz BITWDHNBBOLUNR-LBPRGKRZSA-N 0 2 305.338 0.688 20 0 DCADLN CC(C)Cn1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC000833492945 605124190 /nfs/dbraw/zinc/12/41/90/605124190.db2.gz BITWDHNBBOLUNR-LBPRGKRZSA-N 0 2 305.338 0.688 20 0 DCADLN O=C([O-])N1CC(OCCC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC000834074730 605307399 /nfs/dbraw/zinc/30/73/99/605307399.db2.gz TVUQGNJWVCILLP-LLVKDONJSA-N 0 2 322.365 0.885 20 0 DCADLN Cn1c[nH+]cc1CS(=O)(=O)CC1CCN(C(=O)[O-])CC1 ZINC000833958731 605361505 /nfs/dbraw/zinc/36/15/05/605361505.db2.gz ISILETNHXZHOAC-UHFFFAOYSA-N 0 2 301.368 0.725 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(C(N)=O)cc1)[N@H+](C)CCN(C)C(=O)[O-] ZINC000833789230 605525196 /nfs/dbraw/zinc/52/51/96/605525196.db2.gz IABKRCHMIHFXKC-JTQLQIEISA-N 0 2 322.365 0.654 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(C(N)=O)cc1)[N@@H+](C)CCN(C)C(=O)[O-] ZINC000833789230 605525200 /nfs/dbraw/zinc/52/52/00/605525200.db2.gz IABKRCHMIHFXKC-JTQLQIEISA-N 0 2 322.365 0.654 20 0 DCADLN O=C([O-])N1CCC[C@H]1C[N@@H+]1CCO[C@H](CNc2cccnn2)C1 ZINC000834111429 605621474 /nfs/dbraw/zinc/62/14/74/605621474.db2.gz KDNGAYZMXNASKE-QWHCGFSZSA-N 0 2 321.381 0.732 20 0 DCADLN O=C([O-])N1CCC[C@H]1C[N@H+]1CCO[C@H](CNc2cccnn2)C1 ZINC000834111429 605621476 /nfs/dbraw/zinc/62/14/76/605621476.db2.gz KDNGAYZMXNASKE-QWHCGFSZSA-N 0 2 321.381 0.732 20 0 DCADLN O=C([O-])N[C@@H](C(=O)NC[C@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000834251780 605663689 /nfs/dbraw/zinc/66/36/89/605663689.db2.gz TZZQHRWWQLKTMV-UONOGXRCSA-N 0 2 318.377 0.111 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000736416772 605685279 /nfs/dbraw/zinc/68/52/79/605685279.db2.gz QXFLFLBYTDZALS-NEPJUHHUSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000736416772 605685285 /nfs/dbraw/zinc/68/52/85/605685285.db2.gz QXFLFLBYTDZALS-NEPJUHHUSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H](NC(=O)[O-])C(C)(C)C ZINC000824908695 605707403 /nfs/dbraw/zinc/70/74/03/605707403.db2.gz WAYCEUMYQYKTGE-VXGBXAGGSA-N 0 2 314.430 0.421 20 0 DCADLN O=C([O-])N1CC[C@H](CCNC(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000834037639 605758960 /nfs/dbraw/zinc/75/89/60/605758960.db2.gz LVNUQSPZBWYPIK-RYUDHWBXSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC000834066502 605773541 /nfs/dbraw/zinc/77/35/41/605773541.db2.gz HCNLYFUYEKRLDH-LLVKDONJSA-N 0 2 307.354 0.909 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)NC[C@H](NC(=O)[O-])C2CC2)C1 ZINC000833953857 605855242 /nfs/dbraw/zinc/85/52/42/605855242.db2.gz CUZKLPZPMAAKKZ-RYUDHWBXSA-N 0 2 321.381 0.965 20 0 DCADLN O=C([O-])N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(=O)n2[nH]ccc2n1 ZINC000834095933 605964314 /nfs/dbraw/zinc/96/43/14/605964314.db2.gz QBXBZGYDHJPMJI-NEPJUHHUSA-N 0 2 317.349 0.739 20 0 DCADLN O=C([O-])N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(=O)n2[nH]ccc2n1 ZINC000834095933 605964315 /nfs/dbraw/zinc/96/43/15/605964315.db2.gz QBXBZGYDHJPMJI-NEPJUHHUSA-N 0 2 317.349 0.739 20 0 DCADLN O=C([O-])N1CSC[C@H]1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000834017759 605975549 /nfs/dbraw/zinc/97/55/49/605975549.db2.gz JUKHSKRSDOFJSC-QWRGUYRKSA-N 0 2 322.390 0.829 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)[C@@H]2CSCN2C(=O)[O-])C1 ZINC000833954627 606081899 /nfs/dbraw/zinc/08/18/99/606081899.db2.gz LRWCBYDCLNGPIE-UWVGGRQHSA-N 0 2 310.379 0.789 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2cccc(-c3nn[nH]n3)c2)s1 ZINC000822515095 606468925 /nfs/dbraw/zinc/46/89/25/606468925.db2.gz JNTVEYNREJATIK-UHFFFAOYSA-N 0 2 323.363 0.827 20 0 DCADLN CCc1nnc(NCc2n[nH]c(=O)[nH]2)c(-c2nn[nH]n2)c1CC ZINC000821541804 606943348 /nfs/dbraw/zinc/94/33/48/606943348.db2.gz ADXWDFRSIOQORC-UHFFFAOYSA-N 0 2 316.329 0.217 20 0 DCADLN CN(C(=O)c1cn[nH]n1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938647295 665406425 /nfs/dbraw/zinc/40/64/25/665406425.db2.gz UXLALTSZWGSRHK-POYBYMJQSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)c1cn[nH]n1)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938647295 665406426 /nfs/dbraw/zinc/40/64/26/665406426.db2.gz UXLALTSZWGSRHK-POYBYMJQSA-N 0 2 323.250 0.378 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000977660339 660412278 /nfs/dbraw/zinc/41/22/78/660412278.db2.gz DYDAPABJQRMDCN-VIFPVBQESA-N 0 2 319.369 0.182 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccccc1O ZINC001027762520 660703851 /nfs/dbraw/zinc/70/38/51/660703851.db2.gz YNQFTUUZNDPZSJ-JTQLQIEISA-N 0 2 317.349 0.610 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccccc1O ZINC001027762520 660703852 /nfs/dbraw/zinc/70/38/52/660703852.db2.gz YNQFTUUZNDPZSJ-JTQLQIEISA-N 0 2 317.349 0.610 20 0 DCADLN O=C(c1cc(Cl)c[nH]1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980693468 660986845 /nfs/dbraw/zinc/98/68/45/660986845.db2.gz TUTPLUBTWCFFCP-UHFFFAOYSA-N 0 2 324.772 0.840 20 0 DCADLN C/C(=C/C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC000980772485 661007893 /nfs/dbraw/zinc/00/78/93/661007893.db2.gz MJPYIOODGIXWTA-LUAWRHEFSA-N 0 2 305.382 0.901 20 0 DCADLN Cc1ncoc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980909282 661044093 /nfs/dbraw/zinc/04/40/93/661044093.db2.gz OLKNOJVKLXMSMJ-UHFFFAOYSA-N 0 2 306.326 0.155 20 0 DCADLN Cn1cc(CN2CCCN(C(=O)C(F)C(F)(F)F)CC2)nn1 ZINC000981209091 661101462 /nfs/dbraw/zinc/10/14/62/661101462.db2.gz FEJASDBZSAERRG-JTQLQIEISA-N 0 2 323.294 0.750 20 0 DCADLN Cn1cc(CN2CCCN(C(=O)[C@H](F)C(F)(F)F)CC2)nn1 ZINC000981209091 661101465 /nfs/dbraw/zinc/10/14/65/661101465.db2.gz FEJASDBZSAERRG-JTQLQIEISA-N 0 2 323.294 0.750 20 0 DCADLN CNC(=O)[C@H](C)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981210825 661104223 /nfs/dbraw/zinc/10/42/23/661104223.db2.gz VRANMLUOHDBGDP-IUCAKERBSA-N 0 2 313.295 0.556 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000981270841 661122235 /nfs/dbraw/zinc/12/22/35/661122235.db2.gz OGRQLKXWJXDEJW-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN CCc1noc(C[NH2+][C@@H]2CCC[C@@H](NC(=O)c3nnc[nH]3)C2)n1 ZINC000981397917 661146801 /nfs/dbraw/zinc/14/68/01/661146801.db2.gz CJDZBBKZGLMATF-NXEZZACHSA-N 0 2 319.369 0.581 20 0 DCADLN CCc1noc(C[NH2+][C@@H]2CCC[C@@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC000981397917 661146803 /nfs/dbraw/zinc/14/68/03/661146803.db2.gz CJDZBBKZGLMATF-NXEZZACHSA-N 0 2 319.369 0.581 20 0 DCADLN O=C(c1cc(F)c[nH]1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981635071 661184888 /nfs/dbraw/zinc/18/48/88/661184888.db2.gz RSGNVYXGUWVOSN-UHFFFAOYSA-N 0 2 308.317 0.326 20 0 DCADLN O=C(C1CC2(CC2)C1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981932760 661247616 /nfs/dbraw/zinc/24/76/16/661247616.db2.gz BOZKKKJJHWKGLC-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN Cc1noc(CCC[N@H+]2CCC[C@H]2CNC(=O)c2nc[nH]n2)n1 ZINC001028211460 661279370 /nfs/dbraw/zinc/27/93/70/661279370.db2.gz RDKUKJATVDXDSE-NSHDSACASA-N 0 2 319.369 0.323 20 0 DCADLN Cc1ncsc1C[N@H+]1CCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001028211284 661279746 /nfs/dbraw/zinc/27/97/46/661279746.db2.gz MGUFLZZDHYTXMH-JTQLQIEISA-N 0 2 306.395 0.964 20 0 DCADLN O=C(c1occc1Cl)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032609602 665419547 /nfs/dbraw/zinc/41/95/47/665419547.db2.gz ASKGQSNFAOUADJ-YUMQZZPRSA-N 0 2 323.740 0.856 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@H]1C[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001023091357 657497927 /nfs/dbraw/zinc/49/79/27/657497927.db2.gz WEXZJNXHMRIAKR-PHIMTYICSA-N 0 2 306.395 0.480 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CC12CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970505565 657582621 /nfs/dbraw/zinc/58/26/21/657582621.db2.gz DFTLIKFOSWEQJK-KOLCDFICSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)C1CC=CC1 ZINC001023256166 657663634 /nfs/dbraw/zinc/66/36/34/657663634.db2.gz VHXQUAOYCRFLLG-OPRDCNLKSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O)C1CC=CC1 ZINC001023256166 657663642 /nfs/dbraw/zinc/66/36/42/657663642.db2.gz VHXQUAOYCRFLLG-OPRDCNLKSA-N 0 2 324.274 0.541 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969291887 657664449 /nfs/dbraw/zinc/66/44/49/657664449.db2.gz NZYDWPXZLVQFQV-MNOVXSKESA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]([NH2+][C@@H]1CCN(C(=O)c2[nH]nc3ccccc32)C1)C(N)=O ZINC000970617964 657700761 /nfs/dbraw/zinc/70/07/61/657700761.db2.gz ICAHQMCMSLOJLN-NXEZZACHSA-N 0 2 301.350 0.241 20 0 DCADLN CNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCC[N@H+]2C(C)C)C1 ZINC000969646744 658052566 /nfs/dbraw/zinc/05/25/66/658052566.db2.gz BSWUFARMUXGGAS-TZMCWYRMSA-N 0 2 310.442 0.042 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1c(F)cncc1F ZINC001038297675 658395742 /nfs/dbraw/zinc/39/57/42/658395742.db2.gz QDEXDUVCSLNJNC-SSDOTTSWSA-N 0 2 324.291 0.188 20 0 DCADLN CCCc1nnc(C[NH2+][C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)s1 ZINC001020773405 658454565 /nfs/dbraw/zinc/45/45/65/658454565.db2.gz GWODWHZFPBOPAH-KYZUINATSA-N 0 2 321.410 0.659 20 0 DCADLN CCCc1nnc(C[NH2+][C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)s1 ZINC001020773405 658454568 /nfs/dbraw/zinc/45/45/68/658454568.db2.gz GWODWHZFPBOPAH-KYZUINATSA-N 0 2 321.410 0.659 20 0 DCADLN O=C(Cc1c[nH+]c[nH]1)N1CC[C@@H](NCc2noc(C3CC3)n2)C1 ZINC000970104391 658469007 /nfs/dbraw/zinc/46/90/07/658469007.db2.gz CZHZFZXIJMGJPS-LLVKDONJSA-N 0 2 316.365 0.603 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cnns1 ZINC000972833633 658469571 /nfs/dbraw/zinc/46/95/71/658469571.db2.gz BETRJLGAEMDUAQ-MRVPVSSYSA-N 0 2 323.382 0.002 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cnsn1 ZINC000972832775 658474806 /nfs/dbraw/zinc/47/48/06/658474806.db2.gz LAYOQZJTPBJTPH-MRVPVSSYSA-N 0 2 323.382 0.002 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@@]3(C2)C[N@H+](C[C@H](C)O)CCO3)[nH]1 ZINC000972293662 658531596 /nfs/dbraw/zinc/53/15/96/658531596.db2.gz DVOAMCKABPPQMT-NHYWBVRUSA-N 0 2 308.382 0.016 20 0 DCADLN C[N@H+](Cc1cncs1)[C@@H]1CCN(C(=O)CCc2c[nH]nn2)C1 ZINC000972369490 658633213 /nfs/dbraw/zinc/63/32/13/658633213.db2.gz FUZOFVYIYIJUHW-GFCCVEGCSA-N 0 2 320.422 0.927 20 0 DCADLN CN(Cc1cn(C)nn1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575430 658824561 /nfs/dbraw/zinc/82/45/61/658824561.db2.gz FGOCPYGCRJMMDY-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@]12CCC[C@H]1OCC2 ZINC001031843083 665628469 /nfs/dbraw/zinc/62/84/69/665628469.db2.gz KJORXKVERZFGGG-ABAIWWIYSA-N 0 2 321.381 0.018 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@H]1CCCCO1 ZINC000973045070 658977697 /nfs/dbraw/zinc/97/76/97/658977697.db2.gz ZDVHANGJODPZPU-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN C=CC(=O)NCCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000181060277 659014021 /nfs/dbraw/zinc/01/40/21/659014021.db2.gz JSVNRGWFMMNPLC-UHFFFAOYSA-N 0 2 318.308 0.749 20 0 DCADLN CCc1ncsc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038323495 659078198 /nfs/dbraw/zinc/07/81/98/659078198.db2.gz PAPFNXQZVNHVIA-MRVPVSSYSA-N 0 2 322.394 0.534 20 0 DCADLN CCc1ncsc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038323499 659078552 /nfs/dbraw/zinc/07/85/52/659078552.db2.gz PAPFNXQZVNHVIA-QMMMGPOBSA-N 0 2 322.394 0.534 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccns1 ZINC001007442203 659103637 /nfs/dbraw/zinc/10/36/37/659103637.db2.gz CWCDDYLKHLVNMY-QMMMGPOBSA-N 0 2 308.367 0.361 20 0 DCADLN CCc1cncc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031850068 665644222 /nfs/dbraw/zinc/64/42/22/665644222.db2.gz OCBHZPQKIODAGO-UHFFFAOYSA-N 0 2 316.365 0.330 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)[C@@H]1CCCO1 ZINC000976136184 659202412 /nfs/dbraw/zinc/20/24/12/659202412.db2.gz RHSFECDFOVFOKD-LRPJSKTQSA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)[C@@H]1CCCO1 ZINC000976136184 659202415 /nfs/dbraw/zinc/20/24/15/659202415.db2.gz RHSFECDFOVFOKD-LRPJSKTQSA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)[C@H]1CCCO1 ZINC000976136183 659202551 /nfs/dbraw/zinc/20/25/51/659202551.db2.gz RHSFECDFOVFOKD-KGDYZURWSA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)[C@H]1CCCO1 ZINC000976136183 659202554 /nfs/dbraw/zinc/20/25/54/659202554.db2.gz RHSFECDFOVFOKD-KGDYZURWSA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccs1 ZINC001006622205 659223006 /nfs/dbraw/zinc/22/30/06/659223006.db2.gz CGOUVYKNIZCUEU-VIFPVBQESA-N 0 2 307.379 0.966 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1cn[nH]c1 ZINC000976205907 659225695 /nfs/dbraw/zinc/22/56/95/659225695.db2.gz PNAHUBKZISWOHH-KDXUFGMBSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)c1cn[nH]c1 ZINC000976205907 659225699 /nfs/dbraw/zinc/22/56/99/659225699.db2.gz PNAHUBKZISWOHH-KDXUFGMBSA-N 0 2 320.246 0.497 20 0 DCADLN COC1CCC(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CC1 ZINC000949935544 659283796 /nfs/dbraw/zinc/28/37/96/659283796.db2.gz QCLZNTIXVCGXCG-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)N[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000973526815 659344336 /nfs/dbraw/zinc/34/43/36/659344336.db2.gz BEBVXZGNFSNZJF-AVGNSLFASA-N 0 2 319.409 0.057 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCCNc1cnccn1 ZINC000893352822 659433152 /nfs/dbraw/zinc/43/31/52/659433152.db2.gz IOBVMNBIALZOFZ-UHFFFAOYSA-N 0 2 320.271 0.889 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)co1 ZINC000974497592 659533585 /nfs/dbraw/zinc/53/35/85/659533585.db2.gz CITMMDFVBKOZNI-SNVBAGLBSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)n1 ZINC000977306352 659637374 /nfs/dbraw/zinc/63/73/74/659637374.db2.gz XSGHVNLWYDDLGI-VIFPVBQESA-N 0 2 319.369 0.182 20 0 DCADLN COc1cccc(S(=O)(=O)N2CC[NH+](CC(=O)[O-])CC2)c1 ZINC000124102738 659650112 /nfs/dbraw/zinc/65/01/12/659650112.db2.gz GPRODWGETURMCX-UHFFFAOYSA-N 0 2 314.363 0.086 20 0 DCADLN Cc1cc(C[N@@H+]2C[C@H](NC(=O)c3cnn[n-]3)C(C)(C)C2)no1 ZINC000974793218 659668298 /nfs/dbraw/zinc/66/82/98/659668298.db2.gz LRMGUFMRRCBCPM-LBPRGKRZSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1cc(C[N@H+]2C[C@H](NC(=O)c3cnn[n-]3)C(C)(C)C2)no1 ZINC000974793218 659668302 /nfs/dbraw/zinc/66/83/02/659668302.db2.gz LRMGUFMRRCBCPM-LBPRGKRZSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1cnc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001031867752 665697289 /nfs/dbraw/zinc/69/72/89/665697289.db2.gz XUSBGSMIOKFHAH-UHFFFAOYSA-N 0 2 316.365 0.384 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1[nH+]ccn1C(F)F ZINC000866882078 659733089 /nfs/dbraw/zinc/73/30/89/659733089.db2.gz VTGINCOWTHYXPT-GOSISDBHSA-N 0 2 316.355 0.730 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccc[nH]1 ZINC000977617708 659784254 /nfs/dbraw/zinc/78/42/54/659784254.db2.gz PSZOVPNLKIKEGI-NSHDSACASA-N 0 2 318.381 0.408 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccc[nH]1 ZINC000977617708 659784259 /nfs/dbraw/zinc/78/42/59/659784259.db2.gz PSZOVPNLKIKEGI-NSHDSACASA-N 0 2 318.381 0.408 20 0 DCADLN CC(=O)N1CC[C@@H](CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC000982316551 661354425 /nfs/dbraw/zinc/35/44/25/661354425.db2.gz JMGAWSHCNUMKQD-NSHDSACASA-N 0 2 316.365 0.408 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N[C@H]2CC[NH+](CCOC3CCC3)C2)C1 ZINC001010425348 661395754 /nfs/dbraw/zinc/39/57/54/661395754.db2.gz DCRVGEKXVGCYIC-DZGCQCFKSA-N 0 2 311.426 0.077 20 0 DCADLN CCn1cccc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028319844 661457756 /nfs/dbraw/zinc/45/77/56/661457756.db2.gz MYQGCFQZRAQSGU-LLVKDONJSA-N 0 2 318.381 0.726 20 0 DCADLN CCn1cccc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028319844 661457757 /nfs/dbraw/zinc/45/77/57/661457757.db2.gz MYQGCFQZRAQSGU-LLVKDONJSA-N 0 2 318.381 0.726 20 0 DCADLN Cn1nc(C(=O)NNC(=O)c2ccccn2)c2ccccc2c1=O ZINC000015512643 661569010 /nfs/dbraw/zinc/56/90/10/661569010.db2.gz MMFJPYWHOMWXSS-UHFFFAOYSA-N 0 2 323.312 0.403 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000982846648 661697409 /nfs/dbraw/zinc/69/74/09/661697409.db2.gz DCDPAYGPLKINCO-APPZFPTMSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000982846648 661697411 /nfs/dbraw/zinc/69/74/11/661697411.db2.gz DCDPAYGPLKINCO-APPZFPTMSA-N 0 2 322.262 0.888 20 0 DCADLN C[NH+](C)C[C@H]1NC(=O)N(C[C@@H]2c3ccccc3C[N@H+]2C)C1=O ZINC000925051433 661944747 /nfs/dbraw/zinc/94/47/47/661944747.db2.gz KYBVBNPFGTZLMA-ZIAGYGMSSA-N 0 2 302.378 0.655 20 0 DCADLN C[C@H]([NH2+][C@H]1CCN(CC[NH+]2CCOCC2)C1)c1cn(C)cn1 ZINC000925082336 662009219 /nfs/dbraw/zinc/00/92/19/662009219.db2.gz VSMLGMCKINBVOF-GJZGRUSLSA-N 0 2 307.442 0.477 20 0 DCADLN CCCc1n[nH]cc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038439409 662080462 /nfs/dbraw/zinc/08/04/62/662080462.db2.gz SANMEGGUZQSKDE-VIFPVBQESA-N 0 2 319.369 0.190 20 0 DCADLN Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)co1 ZINC001029284506 662082280 /nfs/dbraw/zinc/08/22/80/662082280.db2.gz WWAUVSXLZHQVKO-TXEJJXNPSA-N 0 2 317.349 0.901 20 0 DCADLN O=C([C@@H]1CC1(F)F)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029298881 662094652 /nfs/dbraw/zinc/09/46/52/662094652.db2.gz YAWMCWOAFRLPDB-YIZRAAEISA-N 0 2 313.308 0.341 20 0 DCADLN Cn1nncc1C[N@H+]1CC=C(CNC(=O)[C@@H]2CCC[NH+]2C)CC1 ZINC001000520401 665867857 /nfs/dbraw/zinc/86/78/57/665867857.db2.gz DLZAFHTUBSUSSR-HNNXBMFYSA-N 0 2 318.425 0.158 20 0 DCADLN CCC(CC)[C@H](C(=O)N[C@@H](COC)C(=O)[O-])[NH+]1CCOCC1 ZINC000909662010 662560638 /nfs/dbraw/zinc/56/06/38/662560638.db2.gz NMQZWJMGEMSWLA-QWHCGFSZSA-N 0 2 316.398 0.339 20 0 DCADLN C[C@@H]1[C@@H]([NH2+]Cc2cncn2C)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC000986215905 662571128 /nfs/dbraw/zinc/57/11/28/662571128.db2.gz UUIAONCDXAXJQD-OCCSQVGLSA-N 0 2 316.409 0.855 20 0 DCADLN O=C([O-])[C@@H]1CCCN1c1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000262969408 662608680 /nfs/dbraw/zinc/60/86/80/662608680.db2.gz AYRHONRCRFORPB-NEPJUHHUSA-N 0 2 306.366 0.881 20 0 DCADLN O=C([O-])[C@@H]1CCCN1c1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000262969408 662608681 /nfs/dbraw/zinc/60/86/81/662608681.db2.gz AYRHONRCRFORPB-NEPJUHHUSA-N 0 2 306.366 0.881 20 0 DCADLN Cc1nn(C)cc1C[NH2+][C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC000987070689 662640427 /nfs/dbraw/zinc/64/04/27/662640427.db2.gz SJANERHPTRWSFG-WFASDCNBSA-N 0 2 316.409 0.773 20 0 DCADLN Cc1cc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC000990778845 663141940 /nfs/dbraw/zinc/14/19/40/663141940.db2.gz DOEWZCXAMHJWRY-JTQLQIEISA-N 0 2 322.262 0.488 20 0 DCADLN Cc1cc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000990778845 663141941 /nfs/dbraw/zinc/14/19/41/663141941.db2.gz DOEWZCXAMHJWRY-JTQLQIEISA-N 0 2 322.262 0.488 20 0 DCADLN CCn1nc2c(cc1=O)CN(c1ccc(C(=O)[O-])c[nH+]1)CC2 ZINC000900988920 663299483 /nfs/dbraw/zinc/29/94/83/663299483.db2.gz PZTJRAMDQIDMOC-UHFFFAOYSA-N 0 2 300.318 0.919 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)C[C@@H]2CCCCO2)nn1C ZINC000901679089 663362112 /nfs/dbraw/zinc/36/21/12/663362112.db2.gz MJFDSSNJNQWBQO-VIFPVBQESA-N 0 2 317.367 0.518 20 0 DCADLN COc1ccc(C[NH2+]Cc2cn(CC(=O)[O-])nn2)cc1OC ZINC000901806246 663368866 /nfs/dbraw/zinc/36/88/66/663368866.db2.gz RUSSRZGBOXUIBU-UHFFFAOYSA-N 0 2 306.322 0.670 20 0 DCADLN O=C([O-])CC1(NC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCOCC1 ZINC000902045794 663380268 /nfs/dbraw/zinc/38/02/68/663380268.db2.gz VGEIRAPWVTYXFH-LLVKDONJSA-N 0 2 307.350 0.586 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000902711853 663428597 /nfs/dbraw/zinc/42/85/97/663428597.db2.gz HJXKWHTUEIXBAU-OLZOCXBDSA-N 0 2 303.318 0.840 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccnc(C(=O)[O-])c1 ZINC000902841012 663436601 /nfs/dbraw/zinc/43/66/01/663436601.db2.gz JEWCFOIKHDBJHL-GFCCVEGCSA-N 0 2 307.350 0.620 20 0 DCADLN C[C@H]1CO[C@@H](C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000972774 665968920 /nfs/dbraw/zinc/96/89/20/665968920.db2.gz ZPGIIRMKQSXBOH-ZYHUDNBSSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@H]1CO[C@@H](C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000972774 665968922 /nfs/dbraw/zinc/96/89/22/665968922.db2.gz ZPGIIRMKQSXBOH-ZYHUDNBSSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(C[C@@H]1NC(=O)c2ccccc21)NCCc1n[nH]c(=S)o1 ZINC000907462200 663698960 /nfs/dbraw/zinc/69/89/60/663698960.db2.gz SFOBVHCARQVTQS-JTQLQIEISA-N 0 2 318.358 0.892 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@H+](CC(=O)NCC[NH+]2CC=CCC2)C1 ZINC000907886243 663722445 /nfs/dbraw/zinc/72/24/45/663722445.db2.gz PXJALLZOHVBCTO-HNNXBMFYSA-N 0 2 323.437 0.640 20 0 DCADLN C[C@@H]1CCc2n[nH]c(C(=O)NCCCc3cc(=O)[nH][nH]3)c2C1 ZINC000908230915 663744909 /nfs/dbraw/zinc/74/49/09/663744909.db2.gz DFWHXPVKCLJUQM-SECBINFHSA-N 0 2 303.366 0.920 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@H](CO)c1ccccn1 ZINC000908482239 663757928 /nfs/dbraw/zinc/75/79/28/663757928.db2.gz NJDJDSBSIBSGFH-SECBINFHSA-N 0 2 319.283 0.688 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)NCCc1cn(C)c[nH+]1 ZINC000909025193 663779768 /nfs/dbraw/zinc/77/97/68/663779768.db2.gz PYIRDOHLISGALW-LBPRGKRZSA-N 0 2 312.370 0.482 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000909715156 663796279 /nfs/dbraw/zinc/79/62/79/663796279.db2.gz YXQQQRFLIAETPP-BLLLJJGKSA-N 0 2 321.377 0.785 20 0 DCADLN O=C([O-])c1csc(CCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)n1 ZINC000909797024 663802629 /nfs/dbraw/zinc/80/26/29/663802629.db2.gz WSQYZGNANBUDIN-SECBINFHSA-N 0 2 320.374 0.959 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CC1(F)F ZINC000991211815 663819734 /nfs/dbraw/zinc/81/97/34/663819734.db2.gz CIAUMBVESVRVHQ-RITPCOANSA-N 0 2 304.190 0.869 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CC1(F)F ZINC000991211815 663819735 /nfs/dbraw/zinc/81/97/35/663819735.db2.gz CIAUMBVESVRVHQ-RITPCOANSA-N 0 2 304.190 0.869 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000910245414 663858624 /nfs/dbraw/zinc/85/86/24/663858624.db2.gz RBULHKKGLOVDBM-MBNYWOFBSA-N 0 2 321.377 0.832 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@H]2CCc3c[nH+]cn3C2)[C@](C)(C(=O)[O-])C1 ZINC000910262804 663866052 /nfs/dbraw/zinc/86/60/52/663866052.db2.gz FIRACFBUPRCXAD-WBIUFABUSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1CNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000910470304 663888892 /nfs/dbraw/zinc/88/88/92/663888892.db2.gz QEEGCEXQSLFUTF-SRVKXCTJSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1CNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000910470304 663888895 /nfs/dbraw/zinc/88/88/95/663888895.db2.gz QEEGCEXQSLFUTF-SRVKXCTJSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])[C@]12CN(c3cc(NC4CC4)[nH+]cn3)C[C@H]1COCC2 ZINC000910478251 663890258 /nfs/dbraw/zinc/89/02/58/663890258.db2.gz KQAHWBVSXCIPOJ-ZUZCIYMTSA-N 0 2 304.350 0.978 20 0 DCADLN O=C([O-])[C@]12CN(c3cc(NC4CC4)nc[nH+]3)C[C@H]1COCC2 ZINC000910478251 663890260 /nfs/dbraw/zinc/89/02/60/663890260.db2.gz KQAHWBVSXCIPOJ-ZUZCIYMTSA-N 0 2 304.350 0.978 20 0 DCADLN Cc1cnc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)nc1 ZINC000910665012 663919917 /nfs/dbraw/zinc/91/99/17/663919917.db2.gz AGNKJXGLGGLSHW-UHFFFAOYSA-N 0 2 304.272 0.858 20 0 DCADLN Cc1ccnc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000911005161 663970633 /nfs/dbraw/zinc/97/06/33/663970633.db2.gz YLBFQXIBFIXBCF-UHFFFAOYSA-N 0 2 304.272 0.858 20 0 DCADLN O=C([O-])[C@H]1c2ccccc2OCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000911055243 663973031 /nfs/dbraw/zinc/97/30/31/663973031.db2.gz APYKXCQOCFDZSG-CQSZACIVSA-N 0 2 301.302 0.999 20 0 DCADLN CC(C)(O)CC(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171807 664001078 /nfs/dbraw/zinc/00/10/78/664001078.db2.gz LZDHZQMGUPHCEK-UHFFFAOYSA-N 0 2 307.350 0.589 20 0 DCADLN O=C([O-])c1ccc(N2CCCN(C(=O)COC3CC3)CC2)[nH+]c1 ZINC000911310109 664025713 /nfs/dbraw/zinc/02/57/13/664025713.db2.gz OALWKKUYVARVNS-UHFFFAOYSA-N 0 2 319.361 0.998 20 0 DCADLN O=C(CC1(C(=O)[O-])CCOCC1)N[C@H]1CCc2c[nH+]cn2C1 ZINC000911773717 664107190 /nfs/dbraw/zinc/10/71/90/664107190.db2.gz PITZMPFNCKAYLO-NSHDSACASA-N 0 2 307.350 0.586 20 0 DCADLN O=C(CC[C@@H]1C[C@H](C(=O)[O-])CO1)N[C@@H]1CCc2c[nH+]cn2C1 ZINC000911774643 664107200 /nfs/dbraw/zinc/10/72/00/664107200.db2.gz XEDRVQHBJTYMIX-DMDPSCGWSA-N 0 2 307.350 0.584 20 0 DCADLN O=C(Nc1nccc(Br)c1O)[C@]1(O)CCOC1 ZINC000913024915 664255819 /nfs/dbraw/zinc/25/58/19/664255819.db2.gz FLLKSTNRCIPWCR-JTQLQIEISA-N 0 2 303.112 0.640 20 0 DCADLN CNC(=O)NNC(=O)c1ccc(CSc2nccn2C)o1 ZINC000056377100 664400931 /nfs/dbraw/zinc/40/09/31/664400931.db2.gz ANHIVZQPPGLCNE-UHFFFAOYSA-N 0 2 309.351 0.879 20 0 DCADLN CC1(C)CC[N@@H+](CC(=O)N[C@]23CCC[C@@H]2[NH+](CC(N)=O)CC3)C1 ZINC000992171260 664674342 /nfs/dbraw/zinc/67/43/42/664674342.db2.gz WTBLBAVDEXDDOM-GUYCJALGSA-N 0 2 322.453 0.317 20 0 DCADLN COc1cccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001031014168 664756387 /nfs/dbraw/zinc/75/63/87/664756387.db2.gz YODXLMHWNKCTQR-UHFFFAOYSA-N 0 2 317.349 0.442 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H](F)c1ccccc1 ZINC001031023691 664758340 /nfs/dbraw/zinc/75/83/40/664758340.db2.gz FQPQBBBWOBQLMD-LBPRGKRZSA-N 0 2 305.313 0.522 20 0 DCADLN COc1ccccc1OC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730518229 664784516 /nfs/dbraw/zinc/78/45/16/664784516.db2.gz HXUPBYLZZRAKRP-UHFFFAOYSA-N 0 2 300.274 0.685 20 0 DCADLN CN(C)c1ccccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730523432 664784997 /nfs/dbraw/zinc/78/49/97/664784997.db2.gz AACJXRKPJGOUSI-UHFFFAOYSA-N 0 2 312.333 0.776 20 0 DCADLN CC(C)c1nc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001031108660 664794324 /nfs/dbraw/zinc/79/43/24/664794324.db2.gz WKDSHCGOZKRTGF-UHFFFAOYSA-N 0 2 322.394 0.704 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C2=COCCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993105124 664809362 /nfs/dbraw/zinc/80/93/62/664809362.db2.gz BKRMMPUPRBZUQR-ZYHUDNBSSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C2=COCCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993105124 664809363 /nfs/dbraw/zinc/80/93/63/664809363.db2.gz BKRMMPUPRBZUQR-ZYHUDNBSSA-N 0 2 321.381 0.674 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000993276144 664920954 /nfs/dbraw/zinc/92/09/54/664920954.db2.gz CLTXHEVZNYGCNI-GHMZBOCLSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000993276144 664920956 /nfs/dbraw/zinc/92/09/56/664920956.db2.gz CLTXHEVZNYGCNI-GHMZBOCLSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000993276147 664921103 /nfs/dbraw/zinc/92/11/03/664921103.db2.gz CLTXHEVZNYGCNI-MNOVXSKESA-N 0 2 318.381 0.930 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000993276147 664921105 /nfs/dbraw/zinc/92/11/05/664921105.db2.gz CLTXHEVZNYGCNI-MNOVXSKESA-N 0 2 318.381 0.930 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccsn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993487336 664975155 /nfs/dbraw/zinc/97/51/55/664975155.db2.gz FSUPSPHIOJUQEY-BDAKNGLRSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@@H]1[C@H](NC(=O)Cc2cnoc2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993738447 664997661 /nfs/dbraw/zinc/99/76/61/664997661.db2.gz FBEBNJJRRAJUBG-MWLCHTKSSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1[C@H](NC(=O)Cc2cnoc2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993738447 664997663 /nfs/dbraw/zinc/99/76/63/664997663.db2.gz FBEBNJJRRAJUBG-MWLCHTKSSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2cnoc2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993738443 664997668 /nfs/dbraw/zinc/99/76/68/664997668.db2.gz FBEBNJJRRAJUBG-KOLCDFICSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2cnoc2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993738443 664997669 /nfs/dbraw/zinc/99/76/69/664997669.db2.gz FBEBNJJRRAJUBG-KOLCDFICSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccnnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993881551 665012960 /nfs/dbraw/zinc/01/29/60/665012960.db2.gz VXDVIYXJJBUFEA-MWLCHTKSSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1nn(C)cc1C[N@@H+]1CCC[C@@H](NC(=O)c2ncn[nH]2)[C@H]1C ZINC000994016234 665026811 /nfs/dbraw/zinc/02/68/11/665026811.db2.gz CODPHYDGCCZFPV-DGCLKSJQSA-N 0 2 317.397 0.630 20 0 DCADLN Cc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001031344014 665098113 /nfs/dbraw/zinc/09/81/13/665098113.db2.gz PVUQOPGEEKVLCN-UHFFFAOYSA-N 0 2 301.350 0.741 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(Cl)c[nH]1 ZINC001031545360 665194237 /nfs/dbraw/zinc/19/42/37/665194237.db2.gz JHSHKHDZYKGVKK-UHFFFAOYSA-N 0 2 310.745 0.354 20 0 DCADLN C[C@@H](CO)N(Cc1ccccc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000921470838 665307607 /nfs/dbraw/zinc/30/76/07/665307607.db2.gz NZIFQELGJGJESY-JQWIXIFHSA-N 0 2 321.402 0.952 20 0 DCADLN Cc1ccc(C(=O)NNC(=O)[C@@H]2CCCN2c2ncccn2)o1 ZINC000084380607 665333355 /nfs/dbraw/zinc/33/33/55/665333355.db2.gz ZVTOAPFQEVTSJO-NSHDSACASA-N 0 2 315.333 0.808 20 0 DCADLN Cc1nc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)cs1 ZINC001032584823 665375373 /nfs/dbraw/zinc/37/53/73/665375373.db2.gz LRTRGQSSKJJNPF-IUCAKERBSA-N 0 2 320.378 0.374 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC000938636476 665405068 /nfs/dbraw/zinc/40/50/68/665405068.db2.gz MJSRTMOXPJIYKT-VGMNWLOBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC000938636476 665405070 /nfs/dbraw/zinc/40/50/70/665405070.db2.gz MJSRTMOXPJIYKT-VGMNWLOBSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1c(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001031956689 666015127 /nfs/dbraw/zinc/01/51/27/666015127.db2.gz FBIINWNUAWEVOM-UHFFFAOYSA-N 0 2 304.354 0.019 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)C[C@@H]2C)c1[O-] ZINC000941964149 666087891 /nfs/dbraw/zinc/08/78/91/666087891.db2.gz NYYMDGKSGLYTSW-GZMMTYOYSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)C[C@@H]2C)c1[O-] ZINC000941964149 666087892 /nfs/dbraw/zinc/08/78/92/666087892.db2.gz NYYMDGKSGLYTSW-GZMMTYOYSA-N 0 2 320.353 0.447 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3C[C@H]4C[C@@]4(O)C3)=N2)cc1 ZINC000921895510 666159355 /nfs/dbraw/zinc/15/93/55/666159355.db2.gz HXFQEMINKJQXQW-QLJPJBMISA-N 0 2 315.329 0.793 20 0 DCADLN C[C@H]1OCCC[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002690070 666206605 /nfs/dbraw/zinc/20/66/05/666206605.db2.gz PULNYWUHAVBZTG-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ncoc1C1CC1 ZINC001032103464 666317286 /nfs/dbraw/zinc/31/72/86/666317286.db2.gz FTFIIXRMAJQQPJ-UHFFFAOYSA-N 0 2 318.337 0.238 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001003536781 666324087 /nfs/dbraw/zinc/32/40/87/666324087.db2.gz YWRUSXWGEMEFTR-MRVPVSSYSA-N 0 2 323.250 0.202 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001003536781 666324088 /nfs/dbraw/zinc/32/40/88/666324088.db2.gz YWRUSXWGEMEFTR-MRVPVSSYSA-N 0 2 323.250 0.202 20 0 DCADLN CCc1cc(C(=O)N2CCC[C@@H]2CNC(=O)c2cnn[nH]2)[nH]n1 ZINC000943880673 666340319 /nfs/dbraw/zinc/34/03/19/666340319.db2.gz NFOWCZNTSNZGAP-SNVBAGLBSA-N 0 2 317.353 0.125 20 0 DCADLN Cc1ncsc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032933498 666400074 /nfs/dbraw/zinc/40/00/74/666400074.db2.gz YBPSJRRZIKLPKA-VIFPVBQESA-N 0 2 322.394 0.622 20 0 DCADLN CCc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)no1 ZINC001003997733 666424982 /nfs/dbraw/zinc/42/49/82/666424982.db2.gz JLDDQTUDIQNVRC-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H]2CC[N@@H+]([C@@H](C)C(=O)N(C)C)C2)c1[O-] ZINC001033014464 666427628 /nfs/dbraw/zinc/42/76/28/666427628.db2.gz LCBZPCPYVIWSPF-QWRGUYRKSA-N 0 2 323.397 0.047 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H]2CC[N@H+]([C@@H](C)C(=O)N(C)C)C2)c1[O-] ZINC001033014464 666427629 /nfs/dbraw/zinc/42/76/29/666427629.db2.gz LCBZPCPYVIWSPF-QWRGUYRKSA-N 0 2 323.397 0.047 20 0 DCADLN C[C@@H]1CCCN(C(=O)c2ccn[nH]2)[C@H]1CNC(=O)c1cn[nH]n1 ZINC000945738896 666645520 /nfs/dbraw/zinc/64/55/20/666645520.db2.gz CTZOVUYBJMRNDC-SKDRFNHKSA-N 0 2 317.353 0.199 20 0 DCADLN O=C([C@@H]1CC=CCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032274150 666648486 /nfs/dbraw/zinc/64/84/86/666648486.db2.gz BYJXNNNWXHZSCI-WOPDTQHZSA-N 0 2 303.366 0.652 20 0 DCADLN CN(C(=O)c1ccon1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005147839 666714369 /nfs/dbraw/zinc/71/43/69/666714369.db2.gz CWECPHOGSOWBNA-UHFFFAOYSA-N 0 2 306.326 0.235 20 0 DCADLN CC(C)C[C@@H]1COCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000733772480 666817651 /nfs/dbraw/zinc/81/76/51/666817651.db2.gz PWVFTQGHMCFLNQ-LLVKDONJSA-N 0 2 319.365 0.345 20 0 DCADLN CCN(C(=O)c1ccn(C)c1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033790192 666826837 /nfs/dbraw/zinc/82/68/37/666826837.db2.gz ZIFGXQCUCUNNLS-LBPRGKRZSA-N 0 2 318.381 0.585 20 0 DCADLN CCN(C(=O)c1ccn(C)c1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033790192 666826838 /nfs/dbraw/zinc/82/68/38/666826838.db2.gz ZIFGXQCUCUNNLS-LBPRGKRZSA-N 0 2 318.381 0.585 20 0 DCADLN CCN(C(=O)[C@H]1CCCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033806643 666832052 /nfs/dbraw/zinc/83/20/52/666832052.db2.gz NMRXSFCRZSMLJG-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)[C@H]1CCCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033806643 666832054 /nfs/dbraw/zinc/83/20/54/666832054.db2.gz NMRXSFCRZSMLJG-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1CCOC(=O)N1 ZINC000861508573 666937126 /nfs/dbraw/zinc/93/71/26/666937126.db2.gz QSGCMLMRXJKUBK-SECBINFHSA-N 0 2 317.305 0.923 20 0 DCADLN CS[C@@H]1CCC[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000735264453 666970771 /nfs/dbraw/zinc/97/07/71/666970771.db2.gz MSTHQZSHXNPZAQ-VHSXEESVSA-N 0 2 321.406 0.862 20 0 DCADLN O=C(NC[C@H]1COCO1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000861971733 667004318 /nfs/dbraw/zinc/00/43/18/667004318.db2.gz NKTYZQQXTXYJTD-NSHDSACASA-N 0 2 307.281 0.820 20 0 DCADLN O=C(NC[C@H]1COCO1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861983857 667006805 /nfs/dbraw/zinc/00/68/05/667006805.db2.gz VJEAPKOKPYUYFR-QMMMGPOBSA-N 0 2 322.239 0.561 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncccn1 ZINC001034452096 667034235 /nfs/dbraw/zinc/03/42/35/667034235.db2.gz ANOXIUHMYOQPSY-JTQLQIEISA-N 0 2 317.353 0.085 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2c2ccc(=O)[nH]n2)S1 ZINC000863054600 667110876 /nfs/dbraw/zinc/11/08/76/667110876.db2.gz CKBBDENEKACMGL-BDAKNGLRSA-N 0 2 321.362 0.402 20 0 DCADLN Cn1cc(C[NH2+]C[C@H]2CCCN2C(=O)Cn2cc[nH+]c2)cn1 ZINC001035142013 667254400 /nfs/dbraw/zinc/25/44/00/667254400.db2.gz JZVRQOHRGSEGCA-CQSZACIVSA-N 0 2 302.382 0.397 20 0 DCADLN O=C(c1ccns1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032429572 667256267 /nfs/dbraw/zinc/25/62/67/667256267.db2.gz AIBCLVGODNWLBN-YUMQZZPRSA-N 0 2 306.351 0.066 20 0 DCADLN Cn1ccc(C[NH2+]C2CCN(C(=O)Cn3cc[nH+]c3)CC2)n1 ZINC000997412563 667288636 /nfs/dbraw/zinc/28/86/36/667288636.db2.gz FKDIDOKGEGLLPZ-UHFFFAOYSA-N 0 2 302.382 0.397 20 0 DCADLN CC1(C)CC(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)C1 ZINC001035360369 667314461 /nfs/dbraw/zinc/31/44/61/667314461.db2.gz DBHXBIFNZKRHQT-LLVKDONJSA-N 0 2 323.397 0.264 20 0 DCADLN CC1CC(C(=O)N2CCC3(C[NH+](Cc4n[nH]c(=O)[n-]4)C3)CC2)C1 ZINC001035682178 667398272 /nfs/dbraw/zinc/39/82/72/667398272.db2.gz HMPOAHWRWOSHIR-UHFFFAOYSA-N 0 2 319.409 0.981 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NCC(O)(C1CC1)C1CC1 ZINC000867520593 667410066 /nfs/dbraw/zinc/41/00/66/667410066.db2.gz MTVQBDYLEAXPHN-UHFFFAOYSA-N 0 2 324.468 0.880 20 0 DCADLN CN(C(=O)Cc1cnoc1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005871283 667457378 /nfs/dbraw/zinc/45/73/78/667457378.db2.gz NNXJZYGGFCPMKH-UHFFFAOYSA-N 0 2 320.353 0.164 20 0 DCADLN CC1(C)OC[C@@H]2C[C@@]21NS(=O)(=O)N=S1(=O)CCCC1 ZINC000867556431 667466953 /nfs/dbraw/zinc/46/69/53/667466953.db2.gz ADTSYCLCQGTDJO-GXSJLCMTSA-N 0 2 308.425 0.650 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)[C@H]2C[C@H]21 ZINC000923197185 667474384 /nfs/dbraw/zinc/47/43/84/667474384.db2.gz IUSQMHQRDDMPSR-XQHKEYJVSA-N 0 2 303.362 0.987 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](C2CC2)[C@H]2CCCOC2)S1 ZINC000885458700 667676792 /nfs/dbraw/zinc/67/67/92/667676792.db2.gz MHJQGVPKMSQPAS-JBLDHEPKSA-N 0 2 311.407 0.864 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ccc(O)c(F)c1 ZINC000738552582 667677708 /nfs/dbraw/zinc/67/77/08/667677708.db2.gz UKHJYKGDHAAKCY-UHFFFAOYSA-N 0 2 317.280 0.232 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006386803 667684533 /nfs/dbraw/zinc/68/45/33/667684533.db2.gz BPGDOZFHODCJTH-XRGYYRRGSA-N 0 2 323.250 0.282 20 0 DCADLN CC1(C)[C@H]2[C@H](CCCN2C(=O)C[C@H]2SC(=N)NC2=O)[C@@H]1O ZINC000869828638 667686436 /nfs/dbraw/zinc/68/64/36/667686436.db2.gz AVRUYLCBIKIEHM-URPMGSGRSA-N 0 2 311.407 0.551 20 0 DCADLN C[NH+](C)Cc1ccccc1S(=O)(=O)[N-]C(=O)[C@H]1CCC(=O)C1 ZINC000870641225 667749477 /nfs/dbraw/zinc/74/94/77/667749477.db2.gz CTWCAMOAPPAYOI-NSHDSACASA-N 0 2 324.402 0.922 20 0 DCADLN CCc1ccccc1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000740789929 667752569 /nfs/dbraw/zinc/75/25/69/667752569.db2.gz NKDGFYFRPQOSTK-UHFFFAOYSA-N 0 2 311.345 0.950 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1C[C@H]1Cc1ccccc1 ZINC000741625821 667773738 /nfs/dbraw/zinc/77/37/38/667773738.db2.gz AEXDOLKZVINBKR-TZMCWYRMSA-N 0 2 323.356 0.819 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCOc2ccccc2F)S1 ZINC000742479099 667792308 /nfs/dbraw/zinc/79/23/08/667792308.db2.gz UOILRMDQBGWNBM-SNVBAGLBSA-N 0 2 311.338 0.877 20 0 DCADLN COCCN(Cc1ccco1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742527619 667793126 /nfs/dbraw/zinc/79/31/26/667793126.db2.gz YRRZRORKNDYPLY-SNVBAGLBSA-N 0 2 311.363 0.811 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3ccnn3)cc2)S1 ZINC000742620475 667794851 /nfs/dbraw/zinc/79/48/51/667794851.db2.gz CZFHDISZMOPOIJ-SNVBAGLBSA-N 0 2 316.346 0.762 20 0 DCADLN Cn1c2ccc(NC(=O)CC3SC(=N)NC3=O)cc2oc1=O ZINC000742629491 667795900 /nfs/dbraw/zinc/79/59/00/667795900.db2.gz FAJWQJFTRGUBRZ-VIFPVBQESA-N 0 2 320.330 0.626 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-c3nc[nH]n3)cc2)S1 ZINC000742664096 667796453 /nfs/dbraw/zinc/79/64/53/667796453.db2.gz NKCGUEWTNVYZDX-VIFPVBQESA-N 0 2 316.346 0.967 20 0 DCADLN Cc1nc([C@H]2CCCCN2C(=O)C[C@H]2SC(=N)NC2=O)no1 ZINC000742692491 667797427 /nfs/dbraw/zinc/79/74/27/667797427.db2.gz LZBFWKFRUDBZNI-RKDXNWHRSA-N 0 2 323.378 0.988 20 0 DCADLN CC1(C)[C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)[C@H]2CCCO[C@@H]21 ZINC000742707795 667798282 /nfs/dbraw/zinc/79/82/82/667798282.db2.gz POVUIUUFFFRUTP-MKHNBGRSSA-N 0 2 311.407 0.863 20 0 DCADLN CC(C)OC(=O)[C@H](C)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742803407 667799364 /nfs/dbraw/zinc/79/93/64/667799364.db2.gz SLUYUQSRCUHZCG-SFYZADRCSA-N 0 2 301.368 0.247 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2Cc3ccccc3O2)S1 ZINC000745435078 667847621 /nfs/dbraw/zinc/84/76/21/667847621.db2.gz KOVCDEVFKLQKPQ-MWLCHTKSSA-N 0 2 305.359 0.663 20 0 DCADLN O=c1c2c([nH]n1-c1nc3ccccn3n1)CCC1(C2)OCCO1 ZINC000887291170 667866577 /nfs/dbraw/zinc/86/65/77/667866577.db2.gz QRJIWJHFGCXNAS-SNVBAGLBSA-N 0 2 313.317 0.975 20 0 DCADLN CCO[C@@H](CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)C ZINC000746337816 667872592 /nfs/dbraw/zinc/87/25/92/667872592.db2.gz CAVASQMDERYEOH-LBPRGKRZSA-N 0 2 321.381 0.639 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(N2CCOC2=O)c1 ZINC000748007140 667916396 /nfs/dbraw/zinc/91/63/96/667916396.db2.gz ZDXGBMPGPZCYJW-UHFFFAOYSA-N 0 2 303.278 0.397 20 0 DCADLN CC(C)(C)OC(=O)NC(C)(C)CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748007111 667916673 /nfs/dbraw/zinc/91/66/73/667916673.db2.gz XUDMIWCGIXHBNT-UHFFFAOYSA-N 0 2 313.358 0.820 20 0 DCADLN Cc1cc2nc(C)cc(C(=O)NCCCc3n[nH]c(=O)[nH]3)n2n1 ZINC000748381653 667926156 /nfs/dbraw/zinc/92/61/56/667926156.db2.gz CKJUJXNMOGOAIP-UHFFFAOYSA-N 0 2 315.337 0.532 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(-n2cccn2)nc1 ZINC000748381611 667926263 /nfs/dbraw/zinc/92/62/63/667926263.db2.gz BOOROGIVMIQFNM-UHFFFAOYSA-N 0 2 313.321 0.454 20 0 DCADLN C[C@@]1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCC[C@@H]1O ZINC000748580300 667933240 /nfs/dbraw/zinc/93/32/40/667933240.db2.gz BNTVZKFSBDRQRK-NHYWBVRUSA-N 0 2 319.365 0.129 20 0 DCADLN CN(OCc1ccccc1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000749146185 667946613 /nfs/dbraw/zinc/94/66/13/667946613.db2.gz QXAJKFNSXNFXFJ-UHFFFAOYSA-N 0 2 313.317 0.661 20 0 DCADLN CCC(CC)(CC(=O)OC)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000749445505 667955400 /nfs/dbraw/zinc/95/54/00/667955400.db2.gz GSPKVFDJTUVLHQ-QMMMGPOBSA-N 0 2 315.395 0.781 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC([C@@H]3CCOC3)CC2)S1 ZINC000752971946 668024007 /nfs/dbraw/zinc/02/40/07/668024007.db2.gz BDCHAZWKFPRTIF-GHMZBOCLSA-N 0 2 311.407 0.818 20 0 DCADLN COc1ccc(C2(C(=O)NOCC(N)=O)CCC2)cc1OC ZINC000755846181 668067415 /nfs/dbraw/zinc/06/74/15/668067415.db2.gz IJKQMACDOUESTN-UHFFFAOYSA-N 0 2 308.334 0.659 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](CCO)C2CCCCC2)S1 ZINC000757076914 668111806 /nfs/dbraw/zinc/11/18/06/668111806.db2.gz SGWFQVNCCQHSBE-GHMZBOCLSA-N 0 2 313.423 0.990 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)nc1 ZINC000758423794 668174417 /nfs/dbraw/zinc/17/44/17/668174417.db2.gz ALGMCMANSVFGIO-SNVBAGLBSA-N 0 2 309.351 0.744 20 0 DCADLN C[C@@H](OC(=O)C(C)(C)NC(=O)C(F)(F)F)c1nnnn1C ZINC000759111975 668207005 /nfs/dbraw/zinc/20/70/05/668207005.db2.gz RGXCLKLTYXZUFL-RXMQYKEDSA-N 0 2 309.248 0.271 20 0 DCADLN COc1ccc(O)c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000759303295 668215014 /nfs/dbraw/zinc/21/50/14/668215014.db2.gz ISFGEPWMCYTKMW-UHFFFAOYSA-N 0 2 315.289 0.424 20 0 DCADLN Cc1cc(NC(=O)CSCC(=O)NOCC(F)F)no1 ZINC000759337451 668216261 /nfs/dbraw/zinc/21/62/61/668216261.db2.gz HZHQBXUEVPNTGZ-UHFFFAOYSA-N 0 2 309.294 0.968 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1ncc(C2CC2)o1 ZINC000760516094 668277057 /nfs/dbraw/zinc/27/70/57/668277057.db2.gz RYDIMFBOMKOMKH-UHFFFAOYSA-N 0 2 315.289 0.680 20 0 DCADLN O=C(NC1CCN(C(=O)C(F)C(F)(F)F)CC1)c1cn[nH]n1 ZINC000946998448 668278096 /nfs/dbraw/zinc/27/80/96/668278096.db2.gz IFDYHKHZERXOQZ-QMMMGPOBSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)Cc1ccccc1 ZINC000762001733 668343709 /nfs/dbraw/zinc/34/37/09/668343709.db2.gz DDRJYRUBHXHNQY-JOYOIKCWSA-N 0 2 319.386 0.859 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](O)c2ccccc2F)S1 ZINC000762240233 668352875 /nfs/dbraw/zinc/35/28/75/668352875.db2.gz AQBPLPMNQYOCLW-VHSXEESVSA-N 0 2 311.338 0.532 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC(=O)c1cccs1 ZINC000762296236 668354517 /nfs/dbraw/zinc/35/45/17/668354517.db2.gz YCBIRNRMYPBYBS-UHFFFAOYSA-N 0 2 317.330 0.132 20 0 DCADLN C[C@@H]1CC2(CCC2)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000762533714 668365812 /nfs/dbraw/zinc/36/58/12/668365812.db2.gz WDHJFFPLJNMPQZ-SNVBAGLBSA-N 0 2 301.350 0.862 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1CCC2(CC2)CC1 ZINC000765001410 668470659 /nfs/dbraw/zinc/47/06/59/668470659.db2.gz HSZUDGRMPMYTMT-UHFFFAOYSA-N 0 2 301.350 0.910 20 0 DCADLN CC(C)OC(=O)CCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000765817004 668510757 /nfs/dbraw/zinc/51/07/57/668510757.db2.gz ZRJCDNNLAZUNTL-QMMMGPOBSA-N 0 2 301.368 0.391 20 0 DCADLN COCCc1cc(NC(=O)CC2SC(=N)NC2=O)n(C)n1 ZINC000769322317 668643702 /nfs/dbraw/zinc/64/37/02/668643702.db2.gz RWUUPFUCSOEQMV-MRVPVSSYSA-N 0 2 311.367 0.104 20 0 DCADLN COc1cc(CNC(=O)C[C@H]2SC(=N)NC2=O)ccc1O ZINC000769356427 668644395 /nfs/dbraw/zinc/64/43/95/668644395.db2.gz HFSRDPIXSBYVMU-SNVBAGLBSA-N 0 2 309.347 0.573 20 0 DCADLN Cn1ccnc1[C@H]1CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000769770449 668660625 /nfs/dbraw/zinc/66/06/25/668660625.db2.gz MGUXCFGTLFHVPI-VHSXEESVSA-N 0 2 321.406 0.683 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cn3ccnc3s2)C1=O ZINC000769831235 668665020 /nfs/dbraw/zinc/66/50/20/668665020.db2.gz GGKRWOCSNPBWAJ-GFCCVEGCSA-N 0 2 307.335 0.761 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](CO)c2cccc(F)c2)S1 ZINC000771096302 668730985 /nfs/dbraw/zinc/73/09/85/668730985.db2.gz IKYQHKBXTZHMAP-ZJUUUORDSA-N 0 2 311.338 0.532 20 0 DCADLN CC(C)OC[C@@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)CCO1 ZINC000771674087 668748258 /nfs/dbraw/zinc/74/82/58/668748258.db2.gz DNFTUZUIDDZKNP-UWVGGRQHSA-N 0 2 315.395 0.195 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1Cc2ccccc2C(=O)O1 ZINC000773105161 668792726 /nfs/dbraw/zinc/79/27/26/668792726.db2.gz IWJQZTKKUYSKDM-SNVBAGLBSA-N 0 2 319.342 0.799 20 0 DCADLN C[C@H](OC[C@@H]1CCCO1)C(=O)NCCc1n[nH]c(=S)o1 ZINC000773088754 668792807 /nfs/dbraw/zinc/79/28/07/668792807.db2.gz OQVAUXHPBAQDRV-IUCAKERBSA-N 0 2 301.368 0.601 20 0 DCADLN Cn1c2ccccc2n(CCC(=O)OCc2n[nH]c(=O)[nH]2)c1=O ZINC000774898167 668850500 /nfs/dbraw/zinc/85/05/00/668850500.db2.gz RWFMZRNIOMLVTB-UHFFFAOYSA-N 0 2 317.305 0.297 20 0 DCADLN O=C(CCCNC(=O)c1ccccc1)OCc1n[nH]c(=O)[nH]1 ZINC000774896291 668850532 /nfs/dbraw/zinc/85/05/32/668850532.db2.gz LUIOACJTGGGWBC-UHFFFAOYSA-N 0 2 304.306 0.764 20 0 DCADLN Cc1nc(C(=O)OCc2n[nH]c(=O)[nH]2)nn1-c1ccc(F)cc1 ZINC000774908226 668850706 /nfs/dbraw/zinc/85/07/06/668850706.db2.gz LZRSEBVQMAHMPJ-UHFFFAOYSA-N 0 2 318.268 0.896 20 0 DCADLN C[C@@H]1Oc2ccccc2N(CC(=O)OCc2n[nH]c(=O)[nH]2)C1=O ZINC000774914250 668850857 /nfs/dbraw/zinc/85/08/57/668850857.db2.gz YSPMFDYVUVACMN-QMMMGPOBSA-N 0 2 318.289 0.368 20 0 DCADLN Cc1ccccc1[C@@H](CC(=O)OCc1n[nH]c(=O)[nH]1)NC(N)=O ZINC000774913961 668850983 /nfs/dbraw/zinc/85/09/83/668850983.db2.gz NUFSTOJYFPERBQ-SNVBAGLBSA-N 0 2 319.321 0.662 20 0 DCADLN O=C(C[C@@H]1Oc2ccccc2NC1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774909705 668851010 /nfs/dbraw/zinc/85/10/10/668851010.db2.gz WXUNPVNJIAJJQK-VIFPVBQESA-N 0 2 304.262 0.343 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CCCN1C(=O)c1ccccc1 ZINC000774915510 668851057 /nfs/dbraw/zinc/85/10/57/668851057.db2.gz SVPZAYSWHONGQL-LLVKDONJSA-N 0 2 316.317 0.858 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CC[C@H](C(F)(F)F)NC1=O ZINC000774919935 668851563 /nfs/dbraw/zinc/85/15/63/668851563.db2.gz QGZXCFWOSZCXEJ-CRCLSJGQSA-N 0 2 308.216 0.011 20 0 DCADLN C[C@H](C(=O)OCc1n[nH]c(=O)[nH]1)n1nnc(-c2ccccc2)n1 ZINC000774956973 668852546 /nfs/dbraw/zinc/85/25/46/668852546.db2.gz CCTMCZAWNKSKGC-MRVPVSSYSA-N 0 2 315.293 0.468 20 0 DCADLN COCCOc1cccc(CC(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774971843 668852853 /nfs/dbraw/zinc/85/28/53/668852853.db2.gz NGNCOBMKWOWAKL-UHFFFAOYSA-N 0 2 307.306 0.821 20 0 DCADLN Cc1cc(F)ccc1-n1cc(C(=O)OCc2n[nH]c(=O)[nH]2)nn1 ZINC000775016868 668854458 /nfs/dbraw/zinc/85/44/58/668854458.db2.gz XVKNOJFYAOFFAL-UHFFFAOYSA-N 0 2 318.268 0.896 20 0 DCADLN CC(=O)N1CCN(C(=O)C[C@H]2SC(=N)NC2=O)C(C)(C)C1 ZINC000775578389 668865196 /nfs/dbraw/zinc/86/51/96/668865196.db2.gz JTMGDPWMGRUAFK-SECBINFHSA-N 0 2 312.395 0.012 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)C(=O)NCc1ccc(F)cc1 ZINC000776535762 668877321 /nfs/dbraw/zinc/87/73/21/668877321.db2.gz KAFKTLKBXIROHZ-UHFFFAOYSA-N 0 2 324.337 0.473 20 0 DCADLN NC(=O)Nc1cccc(C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC000776542114 668877574 /nfs/dbraw/zinc/87/75/74/668877574.db2.gz PDZYGJAZDZNNGN-UHFFFAOYSA-N 0 2 307.335 0.821 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H]2[C@@H]2CCCC2=O)S1 ZINC000778405407 668899147 /nfs/dbraw/zinc/89/91/47/668899147.db2.gz MNKIKWIYPDIRPQ-QXEWZRGKSA-N 0 2 309.391 0.903 20 0 DCADLN CN(Cc1ncc(Cl)n1C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000779054486 668907356 /nfs/dbraw/zinc/90/73/56/668907356.db2.gz DWAUHYSFZCAXLM-LURJTMIESA-N 0 2 315.786 0.588 20 0 DCADLN O=C([O-])[C@H]1CCCN1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000780696309 669005894 /nfs/dbraw/zinc/00/58/94/669005894.db2.gz MVCQNBZAJGMJAY-VXGBXAGGSA-N 0 2 304.350 0.919 20 0 DCADLN CO[C@H]1C[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)Cc3cccnc3N2C1 ZINC000879270823 669006294 /nfs/dbraw/zinc/00/62/94/669006294.db2.gz ULRHKLDGFRFPID-NEPJUHHUSA-N 0 2 316.365 0.515 20 0 DCADLN O=C(NCCNC(=O)c1cccc(Cl)c1O)c1ccn[nH]1 ZINC000780801779 669011646 /nfs/dbraw/zinc/01/16/46/669011646.db2.gz ZCEDOCMNIBNBMV-UHFFFAOYSA-N 0 2 308.725 0.929 20 0 DCADLN CON(C)CCNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000880649209 669253563 /nfs/dbraw/zinc/25/35/63/669253563.db2.gz QIHNTGKOYCJXRW-UHFFFAOYSA-N 0 2 323.271 0.681 20 0 DCADLN CC(C)(CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)CC(F)F ZINC000881535128 669352367 /nfs/dbraw/zinc/35/23/67/669352367.db2.gz KZVLQLRTBBFAHQ-UHFFFAOYSA-N 0 2 313.308 0.869 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cccc2cc[nH]c21 ZINC000787367050 669371318 /nfs/dbraw/zinc/37/13/18/669371318.db2.gz OMTISGZVTGXTTC-UHFFFAOYSA-N 0 2 322.328 0.869 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cc(Cl)cc(C(N)=O)c2)no1 ZINC000788219953 669422886 /nfs/dbraw/zinc/42/28/86/669422886.db2.gz FTJJYMXSZUNRKJ-UHFFFAOYSA-N 0 2 316.726 0.931 20 0 DCADLN CCC[C@H](C(=O)OCC)S(=O)(=O)NCC(F)(F)CO ZINC000882076634 669453676 /nfs/dbraw/zinc/45/36/76/669453676.db2.gz LWDXCXFUGRQKMR-MRVPVSSYSA-N 0 2 303.327 0.265 20 0 DCADLN O=c1cc(C[N@@H+]2CCOC[C@@H]2C[C@H]2CCOC2)nc2cc[n-]n21 ZINC000933672389 669517530 /nfs/dbraw/zinc/51/75/30/669517530.db2.gz HFIXVEXHOKWZMW-OCCSQVGLSA-N 0 2 318.377 0.650 20 0 DCADLN O=c1cc(C[N@H+]2CCOC[C@@H]2C[C@H]2CCOC2)nc2cc[n-]n21 ZINC000933672389 669517535 /nfs/dbraw/zinc/51/75/35/669517535.db2.gz HFIXVEXHOKWZMW-OCCSQVGLSA-N 0 2 318.377 0.650 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc([C@@H]3CCCOC3)[nH]n2)S1 ZINC000792535425 669673028 /nfs/dbraw/zinc/67/30/28/669673028.db2.gz SOEFFQHGNLDIQR-VXNVDRBHSA-N 0 2 323.378 0.799 20 0 DCADLN COC(=O)CC1(NC(=O)C[C@@H]2SC(=N)NC2=O)CCCC1 ZINC000792534541 669673191 /nfs/dbraw/zinc/67/31/91/669673191.db2.gz KGDDWMWIASJNML-QMMMGPOBSA-N 0 2 313.379 0.535 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@@H](F)C(F)(F)F ZINC000800165585 669832532 /nfs/dbraw/zinc/83/25/32/669832532.db2.gz VBWIMZUCIMFBPW-ZCFIWIBFSA-N 0 2 308.191 0.515 20 0 DCADLN Cn1nccc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949050860 669945640 /nfs/dbraw/zinc/94/56/40/669945640.db2.gz HWRNCDKFYZDAEQ-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000949050860 669945644 /nfs/dbraw/zinc/94/56/44/669945644.db2.gz HWRNCDKFYZDAEQ-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN C[S@@](=O)C1(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)CC1 ZINC000799775157 670072644 /nfs/dbraw/zinc/07/26/44/670072644.db2.gz CFCBNSSYAMESHU-HXUWFJFHSA-N 0 2 314.329 0.471 20 0 DCADLN O=C(c1cc(C2CC2)n[nH]1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950671899 670089995 /nfs/dbraw/zinc/08/99/95/670089995.db2.gz UNVLHNPAPNXWAO-UHFFFAOYSA-N 0 2 317.353 0.069 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000951047463 670183200 /nfs/dbraw/zinc/18/32/00/670183200.db2.gz GWVGEGLJYRPNTC-POYBYMJQSA-N 0 2 323.250 0.426 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)[nH]n1 ZINC000948980219 670230352 /nfs/dbraw/zinc/23/03/52/670230352.db2.gz COTUQGWYRZDREB-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)[nH]n1 ZINC000948980219 670230354 /nfs/dbraw/zinc/23/03/54/670230354.db2.gz COTUQGWYRZDREB-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951426115 670269955 /nfs/dbraw/zinc/26/99/55/670269955.db2.gz GRFOVQPAMUHZBI-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000951426115 670269958 /nfs/dbraw/zinc/26/99/58/670269958.db2.gz GRFOVQPAMUHZBI-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cncc(C)c1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949280638 670302587 /nfs/dbraw/zinc/30/25/87/670302587.db2.gz BOXJRMNUZSOUOE-UHFFFAOYSA-N 0 2 316.365 0.480 20 0 DCADLN Cc1cnc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cc1C ZINC000949412876 670336569 /nfs/dbraw/zinc/33/65/69/670336569.db2.gz XBLYKORBOWUWHG-UHFFFAOYSA-N 0 2 316.365 0.480 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ncccn1 ZINC000951790094 670370600 /nfs/dbraw/zinc/37/06/00/670370600.db2.gz DCPISGZEDNCAEM-HTQZYQBOSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ncccn1 ZINC000951790094 670370603 /nfs/dbraw/zinc/37/06/03/670370603.db2.gz DCPISGZEDNCAEM-HTQZYQBOSA-N 0 2 320.246 0.708 20 0 DCADLN CSCCO[N-]C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000807676239 670372617 /nfs/dbraw/zinc/37/26/17/670372617.db2.gz MPFVUNGYWWNCKU-CYBMUJFWSA-N 0 2 323.418 0.700 20 0 DCADLN CSCCO[N-]C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000807676239 670372621 /nfs/dbraw/zinc/37/26/21/670372621.db2.gz MPFVUNGYWWNCKU-CYBMUJFWSA-N 0 2 323.418 0.700 20 0 DCADLN CCCc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)[nH]n1 ZINC000949580491 670376842 /nfs/dbraw/zinc/37/68/42/670376842.db2.gz SPUHOLXPNYNNCE-UHFFFAOYSA-N 0 2 319.369 0.144 20 0 DCADLN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)NCC(F)(F)F ZINC000808564876 670415511 /nfs/dbraw/zinc/41/55/11/670415511.db2.gz KRNYACQWJQVYJW-UHFFFAOYSA-N 0 2 315.273 0.735 20 0 DCADLN CC(C)(CNC(=O)C(F)C(F)(F)F)N1CCS(=O)CC1 ZINC000810397935 670484026 /nfs/dbraw/zinc/48/40/26/670484026.db2.gz CMRQKILFIKNCLO-MRVPVSSYSA-N 0 2 318.336 0.846 20 0 DCADLN CC(C)(CNC(=O)[C@@H](F)C(F)(F)F)N1CCS(=O)CC1 ZINC000810397935 670484028 /nfs/dbraw/zinc/48/40/28/670484028.db2.gz CMRQKILFIKNCLO-MRVPVSSYSA-N 0 2 318.336 0.846 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC000810533482 670488742 /nfs/dbraw/zinc/48/87/42/670488742.db2.gz NOATWMWETMVBOK-MRVPVSSYSA-N 0 2 320.308 0.769 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC000810533482 670488753 /nfs/dbraw/zinc/48/87/53/670488753.db2.gz NOATWMWETMVBOK-MRVPVSSYSA-N 0 2 320.308 0.769 20 0 DCADLN COC(=O)C1(CNC(=O)C(F)C(F)(F)F)CCOCC1 ZINC000810989981 670502280 /nfs/dbraw/zinc/50/22/80/670502280.db2.gz FAJHWAMGMGHXDV-SSDOTTSWSA-N 0 2 301.236 0.973 20 0 DCADLN COC(=O)C1(CNC(=O)[C@@H](F)C(F)(F)F)CCOCC1 ZINC000810989981 670502281 /nfs/dbraw/zinc/50/22/81/670502281.db2.gz FAJHWAMGMGHXDV-SSDOTTSWSA-N 0 2 301.236 0.973 20 0 DCADLN COC[C@@H](CC(C)(C)C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000847201125 670525384 /nfs/dbraw/zinc/52/53/84/670525384.db2.gz YAPSGCZKURNFIK-SNVBAGLBSA-N 0 2 321.381 0.639 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCO[C@@H](c2ccccc2)O1 ZINC000847545204 670572423 /nfs/dbraw/zinc/57/24/23/670572423.db2.gz YSZFCTAZUBFYMK-ZWNOBZJWSA-N 0 2 304.306 0.631 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000848447115 670684869 /nfs/dbraw/zinc/68/48/69/670684869.db2.gz JGSJZEGPXCVCPU-ABAIWWIYSA-N 0 2 307.350 0.538 20 0 DCADLN CC(C)C(=O)Nc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)ccn1 ZINC000815481325 670744731 /nfs/dbraw/zinc/74/47/31/670744731.db2.gz CBPLZNFDXORIEO-UHFFFAOYSA-N 0 2 305.294 0.857 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@]23OCCC[C@H]2C3(F)F)S1 ZINC000855785651 670756548 /nfs/dbraw/zinc/75/65/48/670756548.db2.gz ADIVXUMAACSTQN-CVJICSNFSA-N 0 2 319.333 0.473 20 0 DCADLN O=C(CSCc1ccccn1)NOCCN1CCCC1=O ZINC000856038694 670779291 /nfs/dbraw/zinc/77/92/91/670779291.db2.gz IYZDNPCLCBXALF-UHFFFAOYSA-N 0 2 309.391 0.985 20 0 DCADLN O=C(CSC[C@H]1CCCCO1)NOCCN1CCCC1=O ZINC000856039472 670779347 /nfs/dbraw/zinc/77/93/47/670779347.db2.gz VYBNFRUWBDPPBH-GFCCVEGCSA-N 0 2 316.423 0.959 20 0 DCADLN CCc1nnc(CNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)o1 ZINC000816293345 670802151 /nfs/dbraw/zinc/80/21/51/670802151.db2.gz CTGUPNJGHCRQNJ-VIFPVBQESA-N 0 2 322.287 0.951 20 0 DCADLN NS(=O)(=O)CCCCNC(=O)c1cccc(Cl)c1O ZINC000818125509 670976141 /nfs/dbraw/zinc/97/61/41/670976141.db2.gz ZEPDFCYGOIAUKT-UHFFFAOYSA-N 0 2 306.771 0.844 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc2c(cn1)CCC2 ZINC000818987522 671083563 /nfs/dbraw/zinc/08/35/63/671083563.db2.gz PLJWNMHMCSSLAB-UHFFFAOYSA-N 0 2 310.317 0.594 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(COCCO)cc2)S1 ZINC000819722327 671134771 /nfs/dbraw/zinc/13/47/71/671134771.db2.gz OKEXXMNUOWWOPO-NSHDSACASA-N 0 2 323.374 0.690 20 0 DCADLN COc1cnc(NS(=O)(=O)c2cnn3c2OCCC3)nc1 ZINC000820802536 671228966 /nfs/dbraw/zinc/22/89/66/671228966.db2.gz FJFJOKQFGIHSKX-UHFFFAOYSA-N 0 2 311.323 0.265 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@@H]1COC(=O)N1 ZINC000860909187 671248837 /nfs/dbraw/zinc/24/88/37/671248837.db2.gz CMTXWJZNKJLEQK-JTQLQIEISA-N 0 2 317.305 0.108 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1C[N@H+](Cc2ccc3c(c2)nnn3C)CCO1 ZINC000825359138 671457775 /nfs/dbraw/zinc/45/77/75/671457775.db2.gz JTSQWZDQSGCEHT-QMTHXVAHSA-N 0 2 319.365 0.825 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1C[N@@H+](Cc2ccc3c(c2)nnn3C)CCO1 ZINC000825359138 671457778 /nfs/dbraw/zinc/45/77/78/671457778.db2.gz JTSQWZDQSGCEHT-QMTHXVAHSA-N 0 2 319.365 0.825 20 0 DCADLN O=C(c1cc2nc[nH]c2cc1F)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000826833856 671502146 /nfs/dbraw/zinc/50/21/46/671502146.db2.gz JQNGRLLARAUEHH-LLVKDONJSA-N 0 2 317.284 0.429 20 0 DCADLN NC(=O)CCn1c(SCc2n[nH]c(=O)[nH]2)nnc1C1CC1 ZINC001649744210 1158106400 /nfs/dbraw/zinc/10/64/00/1158106400.db2.gz PVIZJGWOKZYLDH-UHFFFAOYSA-N 0 2 309.355 0.147 20 0 DCADLN CO[C@@H]1C[C@@H](N(C)C(=O)C[C@H]2SC(=N)NC2=O)C12CCC2 ZINC000837015924 671842759 /nfs/dbraw/zinc/84/27/59/671842759.db2.gz ZRZSREWZODPKJY-OPRDCNLKSA-N 0 2 311.407 0.959 20 0 DCADLN CC(C)CN(Cc1cnn(C)c1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000837268893 671854486 /nfs/dbraw/zinc/85/44/86/671854486.db2.gz MVVWNVXEISDUHM-NSHDSACASA-N 0 2 323.422 0.961 20 0 DCADLN CC(C)C[C@@H](C[NH2+]N(C)CCN1CCCS1(=O)=O)C(=O)[O-] ZINC000843043807 672055491 /nfs/dbraw/zinc/05/54/91/672055491.db2.gz IHLOJLSVQOMLDN-LBPRGKRZSA-N 0 2 321.443 0.205 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC1(CF)CCOCC1 ZINC000867332861 672118697 /nfs/dbraw/zinc/11/86/97/672118697.db2.gz DPDVIZQTXMFZCY-UHFFFAOYSA-N 0 2 316.420 0.847 20 0 DCADLN O=C([C@H](O)c1ccccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843876884 672126675 /nfs/dbraw/zinc/12/66/75/672126675.db2.gz ATQGULPUKHZKFV-MWLCHTKSSA-N 0 2 303.322 0.345 20 0 DCADLN Cn1cc(C=O)cc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843877559 672126793 /nfs/dbraw/zinc/12/67/93/672126793.db2.gz GYSDJPWPCXWBBJ-SNVBAGLBSA-N 0 2 303.322 0.681 20 0 DCADLN C[S@](=O)(=NS(=O)(=O)NC[C@H]1COCO1)c1ccccc1 ZINC000867396545 672275015 /nfs/dbraw/zinc/27/50/15/672275015.db2.gz YTGPECKYOHAWJX-APBUJDDRSA-N 0 2 320.392 0.351 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(F)cc1 ZINC000846495534 672318994 /nfs/dbraw/zinc/31/89/94/672318994.db2.gz SHTWXPMSIYJSGW-AWEZNQCLSA-N 0 2 323.368 0.974 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(F)cc1 ZINC000846495534 672318995 /nfs/dbraw/zinc/31/89/95/672318995.db2.gz SHTWXPMSIYJSGW-AWEZNQCLSA-N 0 2 323.368 0.974 20 0 DCADLN CCON(C)C(=O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000846503293 672320522 /nfs/dbraw/zinc/32/05/22/672320522.db2.gz NRTKRBPGVHGEJL-UHFFFAOYSA-N 0 2 318.333 0.718 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1C[C@H]1C(F)(F)F ZINC000871206718 685391775 /nfs/dbraw/zinc/39/17/75/685391775.db2.gz NIGFLFKFWSOHBK-RNFRBKRXSA-N 0 2 301.228 0.138 20 0 DCADLN C[C@@H]1C[N@H+](C)C[C@H](C(=O)N[C@H]2C[C@H]([NH2+]Cc3cscn3)C2)O1 ZINC001020286754 693758245 /nfs/dbraw/zinc/75/82/45/693758245.db2.gz MGKRZUMNYFAZLM-HKUMRIAESA-N 0 2 324.450 0.599 20 0 DCADLN Cn1cncc1C[NH+]1CCCC[C@H]1CNC(=O)[C@@H]1CCC[N@@H+]1C ZINC001024366144 693807045 /nfs/dbraw/zinc/80/70/45/693807045.db2.gz OLJLFKOVNCDCEE-HOCLYGCPSA-N 0 2 319.453 0.985 20 0 DCADLN CN(C(=O)[C@]12C[C@H]1COC2)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954465297 686028446 /nfs/dbraw/zinc/02/84/46/686028446.db2.gz RJMLASDTKZRRIY-DXBFQKDVSA-N 0 2 324.274 0.593 20 0 DCADLN CN(C(=O)[C@]12C[C@H]1COC2)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954465297 686028453 /nfs/dbraw/zinc/02/84/53/686028453.db2.gz RJMLASDTKZRRIY-DXBFQKDVSA-N 0 2 324.274 0.593 20 0 DCADLN O=C(c1ncoc1C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957075968 686953572 /nfs/dbraw/zinc/95/35/72/686953572.db2.gz KLTOQPIOXBOINE-UHFFFAOYSA-N 0 2 318.337 0.334 20 0 DCADLN Cc1nc(C)c(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)s1 ZINC000957588834 687083202 /nfs/dbraw/zinc/08/32/02/687083202.db2.gz WVQHEZQNIAULJV-UHFFFAOYSA-N 0 2 322.394 0.542 20 0 DCADLN Cc1ccc(CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)s1 ZINC000957771668 687180373 /nfs/dbraw/zinc/18/03/73/687180373.db2.gz HARQTZVJVGOLHJ-UHFFFAOYSA-N 0 2 321.406 0.767 20 0 DCADLN CO[C@@H]1CCCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957865942 687229508 /nfs/dbraw/zinc/22/95/08/687229508.db2.gz OBGZNEDQGDEEMU-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC2(CCC2)C1 ZINC001038601886 694128213 /nfs/dbraw/zinc/12/82/13/694128213.db2.gz SGZICFCPOFKPJY-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001038602823 694128244 /nfs/dbraw/zinc/12/82/44/694128244.db2.gz OPPBAMGFOIYKBU-DRZSPHRISA-N 0 2 315.377 0.369 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1conc1C1CC1 ZINC001038683296 694160259 /nfs/dbraw/zinc/16/02/59/694160259.db2.gz HSNDNRADFRMIFD-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccoc1 ZINC000965135233 689362700 /nfs/dbraw/zinc/36/27/00/689362700.db2.gz XKQWBTZHVSHEDB-GXSJLCMTSA-N 0 2 305.338 0.744 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccon1 ZINC000965329845 689414308 /nfs/dbraw/zinc/41/43/08/689414308.db2.gz XYSHBFHQHGRVOU-WCBMZHEXSA-N 0 2 306.326 0.139 20 0 DCADLN CC1(C(=O)N[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2O)CC1 ZINC000965915523 689580383 /nfs/dbraw/zinc/58/03/83/689580383.db2.gz MWLVDLPYLDIBMN-CSMHCCOUSA-N 0 2 312.263 0.375 20 0 DCADLN CC1(C(=O)N[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]2O)CC1 ZINC000965915523 689580385 /nfs/dbraw/zinc/58/03/85/689580385.db2.gz MWLVDLPYLDIBMN-CSMHCCOUSA-N 0 2 312.263 0.375 20 0 DCADLN Cc1cnc(C[N@H+]2CC[C@H](C)[C@@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC000967152757 689938587 /nfs/dbraw/zinc/93/85/87/689938587.db2.gz DTZCRIXLPIJVEY-CABZTGNLSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1cnc(C[N@@H+]2CC[C@H](C)[C@@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC000967152757 689938592 /nfs/dbraw/zinc/93/85/92/689938592.db2.gz DTZCRIXLPIJVEY-CABZTGNLSA-N 0 2 304.354 0.742 20 0 DCADLN CC(=O)N1CCN(C2CN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC000967168849 689946845 /nfs/dbraw/zinc/94/68/45/689946845.db2.gz MRJVAVHBLYGPFM-SNVBAGLBSA-N 0 2 311.279 0.262 20 0 DCADLN CC(=O)N1CCN(C2CN(C(=O)[C@@H](F)C(F)(F)F)C2)CC1 ZINC000967168849 689946848 /nfs/dbraw/zinc/94/68/48/689946848.db2.gz MRJVAVHBLYGPFM-SNVBAGLBSA-N 0 2 311.279 0.262 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000968711176 690375417 /nfs/dbraw/zinc/37/54/17/690375417.db2.gz WNEGGJYHNAHZOW-SCZZXKLOSA-N 0 2 319.369 0.182 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccn[nH]1 ZINC000968761102 690386323 /nfs/dbraw/zinc/38/63/23/690386323.db2.gz JQOYCMFIUZMHSZ-SNVBAGLBSA-N 0 2 319.369 0.408 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccn[nH]1 ZINC000968761102 690386326 /nfs/dbraw/zinc/38/63/26/690386326.db2.gz JQOYCMFIUZMHSZ-SNVBAGLBSA-N 0 2 319.369 0.408 20 0 DCADLN CC(F)(F)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039496511 694374094 /nfs/dbraw/zinc/37/40/94/694374094.db2.gz HRFFBILYRBDVSK-DTORHVGOSA-N 0 2 315.324 0.731 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000973012074 690507851 /nfs/dbraw/zinc/50/78/51/690507851.db2.gz ZCVIRPOJMMWCBH-SRRSOLGSSA-N 0 2 319.409 0.883 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000973012074 690507856 /nfs/dbraw/zinc/50/78/56/690507856.db2.gz ZCVIRPOJMMWCBH-SRRSOLGSSA-N 0 2 319.409 0.883 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC001006545450 690552666 /nfs/dbraw/zinc/55/26/66/690552666.db2.gz NKBHPRBJZFPRDA-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CCn1ccnc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007232147 690646237 /nfs/dbraw/zinc/64/62/37/690646237.db2.gz HADHCVLOTAZGJI-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(F)c[nH]1 ZINC001007968691 690780599 /nfs/dbraw/zinc/78/05/99/690780599.db2.gz NJWUCXMNOODBAS-SECBINFHSA-N 0 2 308.317 0.372 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008888248 690945840 /nfs/dbraw/zinc/94/58/40/690945840.db2.gz OUJIUGHKGWHHBY-SNVBAGLBSA-N 0 2 319.369 0.245 20 0 DCADLN CC(C)(F)C(=O)N[C@@H]1CC[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001009861301 691120421 /nfs/dbraw/zinc/12/04/21/691120421.db2.gz BTHFYWXDEVXHMM-SNVBAGLBSA-N 0 2 321.356 0.461 20 0 DCADLN CC(C)(F)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001009861301 691120423 /nfs/dbraw/zinc/12/04/23/691120423.db2.gz BTHFYWXDEVXHMM-SNVBAGLBSA-N 0 2 321.356 0.461 20 0 DCADLN CCc1cc(C(=O)N2C[C@@H](NC(=O)c3ncn[nH]3)C[C@H]2C)[nH]n1 ZINC001012334017 691754606 /nfs/dbraw/zinc/75/46/06/691754606.db2.gz YHPUFXQMXSQPBU-SCZZXKLOSA-N 0 2 317.353 0.123 20 0 DCADLN CC(C)c1nnc(CN2CC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001015068120 692179927 /nfs/dbraw/zinc/17/99/27/692179927.db2.gz UFGMUXGKEDBYMI-SECBINFHSA-N 0 2 304.358 0.051 20 0 DCADLN CC(C)n1cnc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015388763 692283101 /nfs/dbraw/zinc/28/31/01/692283101.db2.gz LFQGXYYKFSZBGW-JTQLQIEISA-N 0 2 319.369 0.292 20 0 DCADLN CCCc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001015927343 692509041 /nfs/dbraw/zinc/50/90/41/692509041.db2.gz IOSMBERPGINHLI-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN CCCc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001015927342 692509145 /nfs/dbraw/zinc/50/91/45/692509145.db2.gz IOSMBERPGINHLI-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN COc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001016074008 692561132 /nfs/dbraw/zinc/56/11/32/692561132.db2.gz GAAHIXOYLGOATH-LLVKDONJSA-N 0 2 317.349 0.523 20 0 DCADLN CCc1onc(C)c1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016230253 692607561 /nfs/dbraw/zinc/60/75/61/692607561.db2.gz RFKOZYDOCWHPFI-SECBINFHSA-N 0 2 320.353 0.373 20 0 DCADLN Cc1ncsc1C[NH2+][C@H]1CCN(C(=O)[C@H]2C[N@@H+](C)CCO2)C1 ZINC001018663586 693186069 /nfs/dbraw/zinc/18/60/69/693186069.db2.gz ICPCNXLNVNWJFV-QWHCGFSZSA-N 0 2 324.450 0.473 20 0 DCADLN O=C(CC(F)(F)F)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075597188 694961994 /nfs/dbraw/zinc/96/19/94/694961994.db2.gz JKMBFRFIKHSTAJ-JGVFFNPUSA-N 0 2 319.287 0.495 20 0 DCADLN O=C(CC(F)(F)F)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075597188 694961995 /nfs/dbraw/zinc/96/19/95/694961995.db2.gz JKMBFRFIKHSTAJ-JGVFFNPUSA-N 0 2 319.287 0.495 20 0 DCADLN CNC(=O)CC(=O)NCC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001076258139 695009635 /nfs/dbraw/zinc/00/96/35/695009635.db2.gz YDXYPNPXMSIFHS-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CNC(=O)CC(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001076258139 695009634 /nfs/dbraw/zinc/00/96/34/695009634.db2.gz YDXYPNPXMSIFHS-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CCn1[nH]cc(-c2nnc(N3CCOCC3)n2CCOC)c1=O ZINC001649087059 1158635337 /nfs/dbraw/zinc/63/53/37/1158635337.db2.gz UIAYXRAYLZVTAZ-UHFFFAOYSA-N 0 2 322.369 0.350 20 0 DCADLN CC(C)[NH+](CCCNC(=O)[C@@H]1CCC[N@H+]1C)Cc1ncnn1C ZINC001691704048 1158912579 /nfs/dbraw/zinc/91/25/79/1158912579.db2.gz KRTYGXLBRKCXTR-AWEZNQCLSA-N 0 2 322.457 0.626 20 0 DCADLN CN(Cc1nc(=O)o[n-]1)C(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC001299928569 1159152968 /nfs/dbraw/zinc/15/29/68/1159152968.db2.gz GAEQNRQLXUKTAL-UHFFFAOYSA-N 0 2 313.317 0.750 20 0 DCADLN Cc1ccc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)nn1 ZINC001350015382 1159175724 /nfs/dbraw/zinc/17/57/24/1159175724.db2.gz FYPJZBGSDLZQOF-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN Cc1ccc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001350015382 1159175726 /nfs/dbraw/zinc/17/57/26/1159175726.db2.gz FYPJZBGSDLZQOF-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN C[C@@H](CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001446127324 1159348593 /nfs/dbraw/zinc/34/85/93/1159348593.db2.gz OTSHUGFQAPQVRX-NWDGAFQWSA-N 0 2 318.381 0.654 20 0 DCADLN CC(C)(C(=O)NC[C@H]1COCC[N@@H+]1CC1CC1)c1c[nH]cn1 ZINC001447148306 1159589349 /nfs/dbraw/zinc/58/93/49/1159589349.db2.gz FYNFQXZVPBHULV-ZDUSSCGKSA-N 0 2 306.410 0.914 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567358606 1160323769 /nfs/dbraw/zinc/32/37/69/1160323769.db2.gz WYOIDTDHHCBDJU-VXGBXAGGSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567358606 1160323773 /nfs/dbraw/zinc/32/37/73/1160323773.db2.gz WYOIDTDHHCBDJU-VXGBXAGGSA-N 0 2 305.382 0.947 20 0 DCADLN Cn1c(=O)[nH]c2cc(C(=O)NCCc3n[nH]c(=S)o3)ccc21 ZINC001567853249 1160502263 /nfs/dbraw/zinc/50/22/63/1160502263.db2.gz UVQQHCHEZJNQLA-UHFFFAOYSA-N 0 2 319.346 0.923 20 0 DCADLN CN(C(=O)C=Cc1ccco1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567902517 1160525526 /nfs/dbraw/zinc/52/55/26/1160525526.db2.gz KXGZIIYBRSWMDK-DOGVGXBMSA-N 0 2 317.349 0.849 20 0 DCADLN CN(C(=O)C=Cc1ccco1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567902517 1160525531 /nfs/dbraw/zinc/52/55/31/1160525531.db2.gz KXGZIIYBRSWMDK-DOGVGXBMSA-N 0 2 317.349 0.849 20 0 DCADLN Cn1cc(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)[nH]c1=O ZINC001568824552 1160790684 /nfs/dbraw/zinc/79/06/84/1160790684.db2.gz MSQHBZOEYZXWMG-UHFFFAOYSA-N 0 2 300.278 0.869 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CC([C@H](F)C(=O)[O-])C1)[NH+]1CCOCC1 ZINC001573560901 1163501441 /nfs/dbraw/zinc/50/14/41/1163501441.db2.gz ABOBLWAYVXNKRU-UMNHJUIQSA-N 0 2 317.361 0.160 20 0 DCADLN Cc1[nH]c(C[NH2+]Cc2nc3c(c(=O)[nH]2)COCC3)cc1C(=O)[O-] ZINC001574111247 1163638476 /nfs/dbraw/zinc/63/84/76/1163638476.db2.gz PBNRNMNLXCUGMP-UHFFFAOYSA-N 0 2 318.333 0.879 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CC[C@H](c3nn[nH]n3)C2)c(C)c1C(=O)[O-] ZINC001574112821 1163639812 /nfs/dbraw/zinc/63/98/12/1163639812.db2.gz LXBFJHQAYAGOEM-VIFPVBQESA-N 0 2 318.337 0.515 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CC[C@H](c3nn[nH]n3)C2)c(C)c1C(=O)[O-] ZINC001574112821 1163639816 /nfs/dbraw/zinc/63/98/16/1163639816.db2.gz LXBFJHQAYAGOEM-VIFPVBQESA-N 0 2 318.337 0.515 20 0 DCADLN O=C(C[C@@H]1COC(=O)C1)NCCNC(=O)C(F)C(F)(F)F ZINC001575028187 1163855387 /nfs/dbraw/zinc/85/53/87/1163855387.db2.gz HCBPQYGMTAQRSI-RCOVLWMOSA-N 0 2 314.235 0.072 20 0 DCADLN O=C(C[C@@H]1COC(=O)C1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001575028187 1163855394 /nfs/dbraw/zinc/85/53/94/1163855394.db2.gz HCBPQYGMTAQRSI-RCOVLWMOSA-N 0 2 314.235 0.072 20 0 DCADLN COc1ncccc1C(=O)N[C@H]1C[C@H]([NH2+]Cc2c[nH]nn2)C1 ZINC001577105627 1164602945 /nfs/dbraw/zinc/60/29/45/1164602945.db2.gz NSOJMSVIXRWHAN-MGCOHNPYSA-N 0 2 302.338 0.259 20 0 DCADLN CC[C@@H]1CN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C[C@@H]1O ZINC001577170059 1164619584 /nfs/dbraw/zinc/61/95/84/1164619584.db2.gz UJVGBYPNAOCIDB-SCZZXKLOSA-N 0 2 318.337 0.119 20 0 DCADLN CCN(CCCNC(=O)COC)C(=O)C(F)C(F)(F)F ZINC001577445794 1164693083 /nfs/dbraw/zinc/69/30/83/1164693083.db2.gz IMXMWYCIEOBYKU-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCN(CCCNC(=O)COC)C(=O)[C@@H](F)C(F)(F)F ZINC001577445794 1164693085 /nfs/dbraw/zinc/69/30/85/1164693085.db2.gz IMXMWYCIEOBYKU-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCN(CC(C)(C)O)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001577874026 1164820414 /nfs/dbraw/zinc/82/04/14/1164820414.db2.gz GSAUOCDLMQJYLQ-UHFFFAOYSA-N 0 2 320.353 0.509 20 0 DCADLN O=C(Cc1ncc[nH]1)NCC1CCN(Cc2cn[nH]n2)CC1 ZINC001577965381 1164866341 /nfs/dbraw/zinc/86/63/41/1164866341.db2.gz BUPMBCRYZZOCHO-UHFFFAOYSA-N 0 2 303.370 0.099 20 0 DCADLN COC(=O)c1ccc(N(C)Cc2cnc[nH]2)c(-c2nn[nH]n2)n1 ZINC001579483026 1165327847 /nfs/dbraw/zinc/32/78/47/1165327847.db2.gz UMQKGADKLTXLNS-UHFFFAOYSA-N 0 2 314.309 0.408 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCc1ccon1 ZINC001580605698 1165654951 /nfs/dbraw/zinc/65/49/51/1165654951.db2.gz FEHIWLYRWUPEPE-UHFFFAOYSA-N 0 2 315.293 0.236 20 0 DCADLN Cc1csc(Sc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)n1 ZINC001581387664 1165820315 /nfs/dbraw/zinc/82/03/15/1165820315.db2.gz YPSCERNKDRRTEB-UHFFFAOYSA-N 0 2 323.363 0.170 20 0 DCADLN CCOCCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001582142702 1165969181 /nfs/dbraw/zinc/96/91/81/1165969181.db2.gz IKQKNCRLHAAMQG-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN CCOCCC(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001582142702 1165969185 /nfs/dbraw/zinc/96/91/85/1165969185.db2.gz IKQKNCRLHAAMQG-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN CC(=O)NC[C@@](C)(NC(=O)CC(=O)NCC(F)(F)F)C1CC1 ZINC001582659780 1166063255 /nfs/dbraw/zinc/06/32/55/1166063255.db2.gz RIWAIIJRUJUEGK-GFCCVEGCSA-N 0 2 323.315 0.476 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCOc1ccc(F)cc1 ZINC001582806086 1166086666 /nfs/dbraw/zinc/08/66/66/1166086666.db2.gz FFEBDBANDOBJME-UHFFFAOYSA-N 0 2 318.268 0.347 20 0 DCADLN COC[C@H](C)C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001583148961 1166214094 /nfs/dbraw/zinc/21/40/94/1166214094.db2.gz YWTOJIGTHMGMCN-HNRDENNGSA-N 0 2 314.279 0.958 20 0 DCADLN COC[C@H](C)C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001583148961 1166214099 /nfs/dbraw/zinc/21/40/99/1166214099.db2.gz YWTOJIGTHMGMCN-HNRDENNGSA-N 0 2 314.279 0.958 20 0 DCADLN C=C/C=C\CCn1c(=O)c(-c2nn[nH]n2)c(C)n(C2CC2)c1=O ZINC001588531094 1166560524 /nfs/dbraw/zinc/56/05/24/1166560524.db2.gz YOKNEWMHVIJJDA-PLNGDYQASA-N 0 2 314.349 0.966 20 0 DCADLN CC(C)(C)N1CC[C@H](NCc2ccc(-c3nn[nH]n3)o2)C1=O ZINC001589136616 1166637996 /nfs/dbraw/zinc/63/79/96/1166637996.db2.gz TXIDKPUDFIIUQS-JTQLQIEISA-N 0 2 304.354 0.949 20 0 DCADLN C[C@@H]1CCC[C@@H](C)N1C(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001590243116 1166825838 /nfs/dbraw/zinc/82/58/38/1166825838.db2.gz IZSIYBSKKADWSQ-NXEZZACHSA-N 0 2 317.353 0.213 20 0 DCADLN CC[C@H](C)c1nnc([C@@H](C)Nc2ccnc(-c3nn[nH]n3)n2)[nH]1 ZINC001590416918 1166904004 /nfs/dbraw/zinc/90/40/04/1166904004.db2.gz GERKVAHBXKQOFX-JGVFFNPUSA-N 0 2 314.357 0.883 20 0 DCADLN CC[C@H](C)c1nc([C@@H](C)Nc2ccnc(-c3nn[nH]n3)n2)n[nH]1 ZINC001590416918 1166904006 /nfs/dbraw/zinc/90/40/06/1166904006.db2.gz GERKVAHBXKQOFX-JGVFFNPUSA-N 0 2 314.357 0.883 20 0 DCADLN CC[C@H](Cn1c(=O)c(-c2nn[nH]n2)c(C)n(C2CC2)c1=O)OC ZINC001590452321 1166910164 /nfs/dbraw/zinc/91/01/64/1166910164.db2.gz QKICDRQVAJZUNY-SNVBAGLBSA-N 0 2 320.353 0.258 20 0 DCADLN CCC1(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CCC1 ZINC001590496639 1166925272 /nfs/dbraw/zinc/92/52/72/1166925272.db2.gz SWWWWWNPLWUNTD-UHFFFAOYSA-N 0 2 305.342 0.658 20 0 DCADLN CCCCOCCn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC001590613198 1166948501 /nfs/dbraw/zinc/94/85/01/1166948501.db2.gz OSANAMZEMSXGAY-UHFFFAOYSA-N 0 2 321.337 0.632 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccccc2C)c1=O ZINC001590949729 1167057556 /nfs/dbraw/zinc/05/75/56/1167057556.db2.gz OPJDPGYGLGJJTJ-UHFFFAOYSA-N 0 2 312.333 0.567 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCCCCF)c1 ZINC001591286466 1167200737 /nfs/dbraw/zinc/20/07/37/1167200737.db2.gz GRFJJCCARWVNLB-UHFFFAOYSA-N 0 2 309.301 0.955 20 0 DCADLN COC(OC)[C@@H](C)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001591336037 1167220272 /nfs/dbraw/zinc/22/02/72/1167220272.db2.gz ZWSDHPYIMOSMOG-SSDOTTSWSA-N 0 2 322.325 0.013 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2cn(C(C)C)nn2)c1 ZINC001591837146 1167390557 /nfs/dbraw/zinc/39/05/57/1167390557.db2.gz SZTOMXGPHKNJJT-UHFFFAOYSA-N 0 2 300.326 0.558 20 0 DCADLN Cc1cc(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)ccn1 ZINC001592029141 1167438326 /nfs/dbraw/zinc/43/83/26/1167438326.db2.gz WQVIPIUUUIPQTC-UHFFFAOYSA-N 0 2 311.305 0.653 20 0 DCADLN Cc1ccc(C(=O)Cn2cncc(-c3nn[nH]n3)c2=O)cc1C ZINC001592115396 1167458297 /nfs/dbraw/zinc/45/82/97/1167458297.db2.gz GEQKRZDUZSPSHZ-UHFFFAOYSA-N 0 2 310.317 0.923 20 0 DCADLN Cc1ccn(CN2CCc3ncncc3C2)c(=O)c1-c1nn[nH]n1 ZINC001592266490 1167480084 /nfs/dbraw/zinc/48/00/84/1167480084.db2.gz DBIQXIFLZDHANV-UHFFFAOYSA-N 0 2 324.348 0.143 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2nncs2)cc1-c1nn[nH]n1 ZINC001592414304 1167517196 /nfs/dbraw/zinc/51/71/96/1167517196.db2.gz FKJFYDWUPZNUMJ-UHFFFAOYSA-N 0 2 324.351 0.222 20 0 DCADLN Cc1nn(CC(=O)c2ccoc2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436701 1167522477 /nfs/dbraw/zinc/52/24/77/1167522477.db2.gz ZYDLXEXQCUOZSX-UHFFFAOYSA-N 0 2 300.278 0.516 20 0 DCADLN Cc1nn(C[C@@H]2C[C@H]3CCC[C@@H]3O2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436683 1167522794 /nfs/dbraw/zinc/52/27/94/1167522794.db2.gz ZFCYMNNMLQFGSP-WOPDTQHZSA-N 0 2 316.365 0.998 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC[S@](=O)C[C@H](C)C1 ZINC001592499503 1167539699 /nfs/dbraw/zinc/53/96/99/1167539699.db2.gz NIUOMZZKWIBLCQ-PJLCYMMNSA-N 0 2 323.378 0.609 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)[C@@H](C)C[S@@](C)=O ZINC001592495994 1167539818 /nfs/dbraw/zinc/53/98/18/1167539818.db2.gz SCFWNPNOHHRBGR-MWAODPMSSA-N 0 2 311.367 0.607 20 0 DCADLN Cn1c(Cn2cnc(-c3nn[nH]n3)cc2=O)nc2ccccc21 ZINC001592553651 1167557470 /nfs/dbraw/zinc/55/74/70/1167557470.db2.gz IKISCRPKCUDBOU-UHFFFAOYSA-N 0 2 308.305 0.358 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(C/C=C\c2ccccc2)c1=O ZINC001592558115 1167559337 /nfs/dbraw/zinc/55/93/37/1167559337.db2.gz OQRSWEIIUVPERN-YVMONPNESA-N 0 2 310.317 0.441 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NCCC2CCCC2)n(C)c1=O ZINC001592555472 1167559565 /nfs/dbraw/zinc/55/95/65/1167559565.db2.gz LMGGTOSTRBCHHB-UHFFFAOYSA-N 0 2 319.369 0.256 20 0 DCADLN O=C(Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O)c1cccs1 ZINC001592934374 1167668364 /nfs/dbraw/zinc/66/83/64/1167668364.db2.gz DVEVWIFWTAJZHU-UHFFFAOYSA-N 0 2 304.291 0.073 20 0 DCADLN O=C(NC[C@H](O)c1cnc[nH]1)c1sccc1-c1nn[nH]n1 ZINC001593014031 1167688448 /nfs/dbraw/zinc/68/84/48/1167688448.db2.gz STITYTKXZBQSDH-QMMMGPOBSA-N 0 2 305.323 0.115 20 0 DCADLN O=C(NCc1ccc(-c2nn[nH]n2)cn1)[C@H]1CCCc2[nH]ncc21 ZINC001593134465 1167720675 /nfs/dbraw/zinc/72/06/75/1167720675.db2.gz MPYCGVCZPIIRGI-NSHDSACASA-N 0 2 324.348 0.721 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCOCC2(CC2)C1 ZINC001593492307 1167876596 /nfs/dbraw/zinc/87/65/96/1167876596.db2.gz GQODLOSTGOGILI-UHFFFAOYSA-N 0 2 302.338 0.098 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@H]1CCC2(CCCC2)O1 ZINC001593494177 1167876690 /nfs/dbraw/zinc/87/66/90/1167876690.db2.gz IUIPODGPLNXUBE-SNVBAGLBSA-N 0 2 302.338 0.915 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=C(C2CC2)C2CC2)[nH]1 ZINC001597722326 1168011152 /nfs/dbraw/zinc/01/11/52/1168011152.db2.gz NLVGCNFKMJWJBI-UHFFFAOYSA-N 0 2 324.406 0.712 20 0 DCADLN Cc1cc(F)c(C(=O)[O-])cc1S(=O)(=O)NC[C@H]1CC[N@H+]1C ZINC001600224844 1168141455 /nfs/dbraw/zinc/14/14/55/1168141455.db2.gz WQLIPQGOAXIWRL-SECBINFHSA-N 0 2 316.354 0.815 20 0 DCADLN Cc1cc(F)c(C(=O)[O-])cc1S(=O)(=O)NC[C@H]1CC[N@@H+]1C ZINC001600224844 1168141459 /nfs/dbraw/zinc/14/14/59/1168141459.db2.gz WQLIPQGOAXIWRL-SECBINFHSA-N 0 2 316.354 0.815 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CCC(CO)(CO)C1 ZINC001600355606 1168173907 /nfs/dbraw/zinc/17/39/07/1168173907.db2.gz ZOVCWRUKCZJLDV-UHFFFAOYSA-N 0 2 322.361 0.308 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CCC(CO)(CO)C1 ZINC001600355606 1168173909 /nfs/dbraw/zinc/17/39/09/1168173909.db2.gz ZOVCWRUKCZJLDV-UHFFFAOYSA-N 0 2 322.361 0.308 20 0 DCADLN Cc1ccc(NC(=O)N[C@H]2CCO[C@H]2C(=O)[O-])c(N(C)C)[nH+]1 ZINC001600455931 1168188302 /nfs/dbraw/zinc/18/83/02/1168188302.db2.gz GPVDTDWDWICQOV-GXSJLCMTSA-N 0 2 308.338 0.820 20 0 DCADLN Cc1cccc2c1O[C@@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C2 ZINC001600559204 1168198832 /nfs/dbraw/zinc/19/88/32/1168198832.db2.gz XDBRGSKUJFSZDC-QWHCGFSZSA-N 0 2 315.329 0.834 20 0 DCADLN Cc1cccc2c1O[C@@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C2 ZINC001600559204 1168198843 /nfs/dbraw/zinc/19/88/43/1168198843.db2.gz XDBRGSKUJFSZDC-QWHCGFSZSA-N 0 2 315.329 0.834 20 0 DCADLN Cc1ccccc1C[C@@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001600576652 1168201756 /nfs/dbraw/zinc/20/17/56/1168201756.db2.gz DUFCSRLAXUTYGC-BPLDGKMQSA-N 0 2 320.389 0.562 20 0 DCADLN Cc1ccccc1C[C@@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001600576652 1168201760 /nfs/dbraw/zinc/20/17/60/1168201760.db2.gz DUFCSRLAXUTYGC-BPLDGKMQSA-N 0 2 320.389 0.562 20 0 DCADLN Cc1nc(N2CC[NH+](C(C)(C)C(N)=O)CC2)ccc1C(=O)[O-] ZINC001600711027 1168259795 /nfs/dbraw/zinc/25/97/95/1168259795.db2.gz BCZMHYJPFFXHTC-UHFFFAOYSA-N 0 2 306.366 0.474 20 0 DCADLN Cc1nc2c(nccc2C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])[nH]1 ZINC001600720517 1168263270 /nfs/dbraw/zinc/26/32/70/1168263270.db2.gz HGEMONZKNKINLK-SNVBAGLBSA-N 0 2 314.305 0.415 20 0 DCADLN Cc1nc2c(nccc2C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])[nH]1 ZINC001600720517 1168263271 /nfs/dbraw/zinc/26/32/71/1168263271.db2.gz HGEMONZKNKINLK-SNVBAGLBSA-N 0 2 314.305 0.415 20 0 DCADLN Cc1ncccc1C=CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600752891 1168276438 /nfs/dbraw/zinc/27/64/38/1168276438.db2.gz PBLVCEMMWAVXAU-MUBLQREKSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1nn(C(C)C)cc1C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001600767783 1168283830 /nfs/dbraw/zinc/28/38/30/1168283830.db2.gz WZNYEKIWWSRBCE-ZDUSSCGKSA-N 0 2 308.382 0.938 20 0 DCADLN Cc1nn(C(C)C)cc1C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001600767783 1168283837 /nfs/dbraw/zinc/28/38/37/1168283837.db2.gz WZNYEKIWWSRBCE-ZDUSSCGKSA-N 0 2 308.382 0.938 20 0 DCADLN Cc1nn(C)c(C)c1[C@@H](C)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600769123 1168285740 /nfs/dbraw/zinc/28/57/40/1168285740.db2.gz NFPVVPPWPLKULE-BXKDBHETSA-N 0 2 319.365 0.607 20 0 DCADLN Cc1nn(C)c2ncc(C[N@@H+]3CCOC[C@@H]3CC(=O)[O-])cc12 ZINC001600776364 1168289201 /nfs/dbraw/zinc/28/92/01/1168289201.db2.gz WWSVIDDNTLUPCE-LBPRGKRZSA-N 0 2 304.350 0.952 20 0 DCADLN Cc1nn(C)c2ncc(C[N@H+]3CCOC[C@@H]3CC(=O)[O-])cc12 ZINC001600776364 1168289209 /nfs/dbraw/zinc/28/92/09/1168289209.db2.gz WWSVIDDNTLUPCE-LBPRGKRZSA-N 0 2 304.350 0.952 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1NC(=O)Cc1c[nH+]cn1C ZINC001600786909 1168296768 /nfs/dbraw/zinc/29/67/68/1168296768.db2.gz HHGXYPAJZKSLGS-UHFFFAOYSA-N 0 2 305.338 0.889 20 0 DCADLN Cc1nonc1C[NH+]1CCC(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001600818298 1168474501 /nfs/dbraw/zinc/47/45/01/1168474501.db2.gz FMXSBQIVJGWWIC-GHMZBOCLSA-N 0 2 308.338 0.179 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC001600903954 1168501723 /nfs/dbraw/zinc/50/17/23/1168501723.db2.gz MVDSFXIDFIPSRI-UHFFFAOYSA-N 0 2 309.366 0.564 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC001600903954 1168501734 /nfs/dbraw/zinc/50/17/34/1168501734.db2.gz MVDSFXIDFIPSRI-UHFFFAOYSA-N 0 2 309.366 0.564 20 0 DCADLN Cn1c(C[N@@H+]2CC[C@@H](CS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600913624 1168506201 /nfs/dbraw/zinc/50/62/01/1168506201.db2.gz JKTKNOOXCWSXIP-SNVBAGLBSA-N 0 2 300.380 0.590 20 0 DCADLN Cn1c(C[N@H+]2CC[C@@H](CS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600913624 1168506218 /nfs/dbraw/zinc/50/62/18/1168506218.db2.gz JKTKNOOXCWSXIP-SNVBAGLBSA-N 0 2 300.380 0.590 20 0 DCADLN Cn1cc(-c2cc(C[N@@H+]3CCO[C@H](CC(=O)[O-])C3)on2)cn1 ZINC001600922143 1168509412 /nfs/dbraw/zinc/50/94/12/1168509412.db2.gz AJPHCVFKHDACII-LLVKDONJSA-N 0 2 306.322 0.751 20 0 DCADLN Cn1cc(-c2cc(C[N@H+]3CCO[C@H](CC(=O)[O-])C3)on2)cn1 ZINC001600922143 1168509423 /nfs/dbraw/zinc/50/94/23/1168509423.db2.gz AJPHCVFKHDACII-LLVKDONJSA-N 0 2 306.322 0.751 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)CCSCC(=O)[O-])CC[NH2+]2)cn1 ZINC001600944867 1168523017 /nfs/dbraw/zinc/52/30/17/1168523017.db2.gz VDLRUKWMOGMRML-NSHDSACASA-N 0 2 312.395 0.101 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)c3ccc(C(=O)[O-])[nH]3)CC[NH2+]2)cn1 ZINC001600943209 1168523565 /nfs/dbraw/zinc/52/35/65/1168523565.db2.gz BQEOYYPUINOZQL-GFCCVEGCSA-N 0 2 303.322 0.233 20 0 DCADLN Cn1cc(C[NH+]2CCN(c3cc(C(=O)[O-])ccn3)CC2)cn1 ZINC001600952872 1168530598 /nfs/dbraw/zinc/53/05/98/1168530598.db2.gz GZYXQGPXSVOGPM-UHFFFAOYSA-N 0 2 301.350 0.836 20 0 DCADLN Cn1ccc(C[N@H+]2CC=C(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC001600976555 1168540604 /nfs/dbraw/zinc/54/06/04/1168540604.db2.gz RSKMYOYUKCJVQR-KBPBESRZSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1ccc(C[N@@H+]2CC=C(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC001600976555 1168540608 /nfs/dbraw/zinc/54/06/08/1168540608.db2.gz RSKMYOYUKCJVQR-KBPBESRZSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1cccc1[C@@H]1COCC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001600981682 1168544058 /nfs/dbraw/zinc/54/40/58/1168544058.db2.gz VXBNGJKHMRQVJT-AWEZNQCLSA-N 0 2 319.365 0.317 20 0 DCADLN Cn1cccc1[C@@H]1COCC[N@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001600981682 1168544063 /nfs/dbraw/zinc/54/40/63/1168544063.db2.gz VXBNGJKHMRQVJT-AWEZNQCLSA-N 0 2 319.365 0.317 20 0 DCADLN Cn1ccnc1C[N@H+]1CC=C(CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001600985497 1168545074 /nfs/dbraw/zinc/54/50/74/1168545074.db2.gz PYKXQTGQOZHQKV-OLZOCXBDSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1ccnc1C[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001600985497 1168545080 /nfs/dbraw/zinc/54/50/80/1168545080.db2.gz PYKXQTGQOZHQKV-OLZOCXBDSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(C(=O)N2CC(C)(C(=O)[O-])C2)CC1 ZINC001600985247 1168545156 /nfs/dbraw/zinc/54/51/56/1168545156.db2.gz HBKHFALCGKJKRB-UHFFFAOYSA-N 0 2 320.393 0.565 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N(CCC(=O)[O-])C[C@H]1CCCO1 ZINC001600985127 1168545425 /nfs/dbraw/zinc/54/54/25/1168545425.db2.gz FRPQUIBKNXLZLK-GFCCVEGCSA-N 0 2 309.366 0.835 20 0 DCADLN Cn1[nH]c(C[N@@H+]2C[C@@H](C3CCCCC3)C[C@@H]2C(=O)[O-])nc1=O ZINC001601009683 1168560903 /nfs/dbraw/zinc/56/09/03/1168560903.db2.gz BTWVSLFJGGRWAD-NWDGAFQWSA-N 0 2 308.382 0.964 20 0 DCADLN Cn1[nH]c(C[N@H+]2C[C@@H](C3CCCCC3)C[C@@H]2C(=O)[O-])nc1=O ZINC001601009683 1168560906 /nfs/dbraw/zinc/56/09/06/1168560906.db2.gz BTWVSLFJGGRWAD-NWDGAFQWSA-N 0 2 308.382 0.964 20 0 DCADLN Cn1nc(C2CC2)cc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601013088 1168562822 /nfs/dbraw/zinc/56/28/22/1168562822.db2.gz LVLVRBSTRKBRTP-NSHDSACASA-N 0 2 303.322 0.446 20 0 DCADLN Cn1nc(C2CC2)cc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601013088 1168562836 /nfs/dbraw/zinc/56/28/36/1168562836.db2.gz LVLVRBSTRKBRTP-NSHDSACASA-N 0 2 303.322 0.446 20 0 DCADLN Nc1ccc(CNC(=O)Nc2nc3n(c2C(=O)[O-])CCC3)c[nH+]1 ZINC001601215767 1168662198 /nfs/dbraw/zinc/66/21/98/1168662198.db2.gz IWSFIQQJOQJSOO-UHFFFAOYSA-N 0 2 316.321 0.826 20 0 DCADLN O=C([O-])c1ncoc1CCC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001601297002 1168694764 /nfs/dbraw/zinc/69/47/64/1168694764.db2.gz CUAHZEZDGAMOJK-PHIMTYICSA-N 0 2 323.349 0.285 20 0 DCADLN O=C([O-])c1ncoc1CCC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001601297002 1168694768 /nfs/dbraw/zinc/69/47/68/1168694768.db2.gz CUAHZEZDGAMOJK-PHIMTYICSA-N 0 2 323.349 0.285 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](CC(=O)OCc2ccccc2)CC1 ZINC001601304957 1168697369 /nfs/dbraw/zinc/69/73/69/1168697369.db2.gz IQISPHZRQQPLTL-HNNXBMFYSA-N 0 2 307.346 0.887 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)CSCc1ccccn1 ZINC001601348391 1168715456 /nfs/dbraw/zinc/71/54/56/1168715456.db2.gz VGROQZPUZCZNTB-LBPRGKRZSA-N 0 2 320.374 0.781 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cc1cccnc1Cl ZINC001601369888 1168721664 /nfs/dbraw/zinc/72/16/64/1168721664.db2.gz BLSCPUAXCGDJQC-JTQLQIEISA-N 0 2 308.725 0.744 20 0 DCADLN O=C([O-])C1([C@@]2(O)CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)CCC1 ZINC001601372313 1168722538 /nfs/dbraw/zinc/72/25/38/1168722538.db2.gz MFXUEOXPXHNFMS-OAHLLOKOSA-N 0 2 307.350 0.561 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1COc2ccccc2C1 ZINC001601425967 1168742913 /nfs/dbraw/zinc/74/29/13/1168742913.db2.gz OGCYYJQRUVENGR-STQMWFEESA-N 0 2 315.329 0.704 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC001601565384 1168782089 /nfs/dbraw/zinc/78/20/89/1168782089.db2.gz WLDMOGZYLMFUMX-LBPRGKRZSA-N 0 2 316.317 0.425 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC001601565384 1168782100 /nfs/dbraw/zinc/78/21/00/1168782100.db2.gz WLDMOGZYLMFUMX-LBPRGKRZSA-N 0 2 316.317 0.425 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccc(F)c1 ZINC001601564385 1168783472 /nfs/dbraw/zinc/78/34/72/1168783472.db2.gz PCURMVGGPUZIFD-UONOGXRCSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccc(F)c1 ZINC001601564385 1168783477 /nfs/dbraw/zinc/78/34/77/1168783477.db2.gz PCURMVGGPUZIFD-UONOGXRCSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C(=O)c1ccc(F)cc1 ZINC001601568473 1168784613 /nfs/dbraw/zinc/78/46/13/1168784613.db2.gz TXOUCQACHPUSIV-LLVKDONJSA-N 0 2 305.265 0.544 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C(=O)c1ccc(F)cc1 ZINC001601568473 1168784618 /nfs/dbraw/zinc/78/46/18/1168784618.db2.gz TXOUCQACHPUSIV-LLVKDONJSA-N 0 2 305.265 0.544 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccnc2[nH]cnc21 ZINC001601567840 1168785298 /nfs/dbraw/zinc/78/52/98/1168785298.db2.gz KYZSPAGORNZYBS-SECBINFHSA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccnc2[nH]cnc21 ZINC001601567840 1168785305 /nfs/dbraw/zinc/78/53/05/1168785305.db2.gz KYZSPAGORNZYBS-SECBINFHSA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001601568358 1168785363 /nfs/dbraw/zinc/78/53/63/1168785363.db2.gz NTJSSFYDGBPMEF-DGCLKSJQSA-N 0 2 319.292 0.664 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001601568358 1168785368 /nfs/dbraw/zinc/78/53/68/1168785368.db2.gz NTJSSFYDGBPMEF-DGCLKSJQSA-N 0 2 319.292 0.664 20 0 DCADLN O=C(N[C@H]1C[C@H](C(=O)[O-])C1)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001601575609 1168788339 /nfs/dbraw/zinc/78/83/39/1168788339.db2.gz WUAQKYOESFTVMG-XYPYZODXSA-N 0 2 320.349 0.095 20 0 DCADLN O=C(N[C@H]1C[C@H](C(=O)[O-])C1)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001601575609 1168788346 /nfs/dbraw/zinc/78/83/46/1168788346.db2.gz WUAQKYOESFTVMG-XYPYZODXSA-N 0 2 320.349 0.095 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC001601643844 1168799907 /nfs/dbraw/zinc/79/99/07/1168799907.db2.gz FGDBVYZOEOSQLE-RYUDHWBXSA-N 0 2 302.346 0.559 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC001601643844 1168799912 /nfs/dbraw/zinc/79/99/12/1168799912.db2.gz FGDBVYZOEOSQLE-RYUDHWBXSA-N 0 2 302.346 0.559 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CC12CCSCC2 ZINC001601662864 1168807440 /nfs/dbraw/zinc/80/74/40/1168807440.db2.gz LONKZHPRZPJVDV-MNOVXSKESA-N 0 2 309.391 0.986 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)C(F)(F)C1CCOCC1 ZINC001601663386 1168809260 /nfs/dbraw/zinc/80/92/60/1168809260.db2.gz SUSGQVZCULLTMV-JTQLQIEISA-N 0 2 317.292 0.514 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCc2cccnc21 ZINC001601663409 1168809669 /nfs/dbraw/zinc/80/96/69/1168809669.db2.gz TXHBXGAJZUEQOG-NEPJUHHUSA-N 0 2 300.318 0.578 20 0 DCADLN O=C([O-])C12CCC(C(=O)N3CC[NH2+][C@H](c4cn[nH]c4)C3)(CC1)C2 ZINC001601730164 1168836952 /nfs/dbraw/zinc/83/69/52/1168836952.db2.gz VDSGXCKMGCSKOC-JQRITLKVSA-N 0 2 318.377 0.918 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@H](C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001601802846 1168901863 /nfs/dbraw/zinc/90/18/63/1168901863.db2.gz FPERPBQDIWVRPF-RFQIPJPRSA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@H](C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001601802846 1168901870 /nfs/dbraw/zinc/90/18/70/1168901870.db2.gz FPERPBQDIWVRPF-RFQIPJPRSA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([NH+]2CCN(c3cccs3)CC2)C1=O ZINC001602017252 1168966099 /nfs/dbraw/zinc/96/60/99/1168966099.db2.gz VCWHNWSALUKTHC-GFCCVEGCSA-N 0 2 323.418 0.946 20 0 DCADLN O=C([O-])CCc1nnn(Cc2c[nH+]cn2Cc2ccccc2)n1 ZINC001602049243 1168976556 /nfs/dbraw/zinc/97/65/56/1168976556.db2.gz OJIBRJHFLYUHSV-UHFFFAOYSA-N 0 2 312.333 0.983 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1CC(=O)NC1CCCCC1 ZINC001602066198 1168983035 /nfs/dbraw/zinc/98/30/35/1168983035.db2.gz KPVMCZNGWWPLQK-GFCCVEGCSA-N 0 2 311.382 0.101 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1CC(=O)NC1CCCCC1 ZINC001602066198 1168983041 /nfs/dbraw/zinc/98/30/41/1168983041.db2.gz KPVMCZNGWWPLQK-GFCCVEGCSA-N 0 2 311.382 0.101 20 0 DCADLN O=C([O-])[C@H]1CC(F)(F)CN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001602210316 1169030982 /nfs/dbraw/zinc/03/09/82/1169030982.db2.gz IZJIRKFWYRPJTR-MRVPVSSYSA-N 0 2 309.294 0.007 20 0 DCADLN O=C([O-])c1cc(Nc2ccn(CC[NH+]3CCOCC3)n2)ncn1 ZINC001602288045 1169048863 /nfs/dbraw/zinc/04/88/63/1169048863.db2.gz YSPSYWBCSAJHHQ-UHFFFAOYSA-N 0 2 318.337 0.447 20 0 DCADLN O=C([O-])c1cc2n(n1)CCC[C@@H]2[NH2+]Cc1nnnn1CC1CC1 ZINC001602309462 1169053416 /nfs/dbraw/zinc/05/34/16/1169053416.db2.gz WETHFOILVXTSRC-JTQLQIEISA-N 0 2 317.353 0.603 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)n1 ZINC001602432310 1169076960 /nfs/dbraw/zinc/07/69/60/1169076960.db2.gz HKVIHHMPTHTRQL-GFCCVEGCSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])c1cn(C2CCN(C(=O)CCc3c[nH]c[nH+]3)CC2)nn1 ZINC001602493497 1169092654 /nfs/dbraw/zinc/09/26/54/1169092654.db2.gz WCBVFSTXGISZRK-UHFFFAOYSA-N 0 2 318.337 0.496 20 0 DCADLN O=C([O-])c1cn(C2CCN(C(=O)CCc3c[nH+]c[nH]3)CC2)nn1 ZINC001602493497 1169092666 /nfs/dbraw/zinc/09/26/66/1169092666.db2.gz WCBVFSTXGISZRK-UHFFFAOYSA-N 0 2 318.337 0.496 20 0 DCADLN Cc1ccn2ncc(C(=O)N[C@@H](C)c3nn(C)cc3O)c2n1 ZINC001603109374 1169247257 /nfs/dbraw/zinc/24/72/57/1169247257.db2.gz HCSGZEAYZKLESV-VIFPVBQESA-N 0 2 300.322 0.968 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1ccc(C(=O)[O-])cc1)[NH+]1CCN(C)CC1 ZINC001603133359 1169253463 /nfs/dbraw/zinc/25/34/63/1169253463.db2.gz KAHMQPKPSZRYKD-ZDUSSCGKSA-N 0 2 319.405 0.979 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+](C)Cc1cccc(=O)[nH]1 ZINC001603139270 1169253488 /nfs/dbraw/zinc/25/34/88/1169253488.db2.gz BVARRQRERIDZED-LLVKDONJSA-N 0 2 321.377 0.931 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC001603139270 1169253498 /nfs/dbraw/zinc/25/34/98/1169253498.db2.gz BVARRQRERIDZED-LLVKDONJSA-N 0 2 321.377 0.931 20 0 DCADLN CC(C)O[C@@]1(Cn2cncc(-c3nn[nH]n3)c2=O)CCOC1 ZINC001603346570 1169298300 /nfs/dbraw/zinc/29/83/00/1169298300.db2.gz AYWYBIGKKNEOKP-CYBMUJFWSA-N 0 2 306.326 0.008 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CC[N@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001603366801 1169301667 /nfs/dbraw/zinc/30/16/67/1169301667.db2.gz WHTQTRXMLZQIBP-SNVBAGLBSA-N 0 2 310.354 0.526 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CC[N@@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001603366801 1169301693 /nfs/dbraw/zinc/30/16/93/1169301693.db2.gz WHTQTRXMLZQIBP-SNVBAGLBSA-N 0 2 310.354 0.526 20 0 DCADLN CC(C)(C)OC(=O)C[N@H+](CCO[C@H]1CCOC1)CCC(=O)[O-] ZINC001603373751 1169302098 /nfs/dbraw/zinc/30/20/98/1169302098.db2.gz KYMFXRFXHYCBSJ-LBPRGKRZSA-N 0 2 317.382 0.910 20 0 DCADLN CC(C)(C)OC(=O)C[N@@H+](CCO[C@H]1CCOC1)CCC(=O)[O-] ZINC001603373751 1169302105 /nfs/dbraw/zinc/30/21/05/1169302105.db2.gz KYMFXRFXHYCBSJ-LBPRGKRZSA-N 0 2 317.382 0.910 20 0 DCADLN CC(C)C[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])[nH]n2)CC1 ZINC001603815665 1169402710 /nfs/dbraw/zinc/40/27/10/1169402710.db2.gz DGCBOXDPWGJINT-UHFFFAOYSA-N 0 2 316.383 0.070 20 0 DCADLN C[C@H]1CCCC[C@@H]1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603890122 1169423240 /nfs/dbraw/zinc/42/32/40/1169423240.db2.gz BBTKTZMYWUEDPT-DTWKUNHWSA-N 0 2 319.369 0.904 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+](C)Cc1cccc(C(N)=O)c1)C(=O)[O-] ZINC001603972142 1169459328 /nfs/dbraw/zinc/45/93/28/1169459328.db2.gz WJRNJCVNOGXPOW-AWEZNQCLSA-N 0 2 321.377 0.443 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+](C)Cc1cccc(C(N)=O)c1)C(=O)[O-] ZINC001603972142 1169459337 /nfs/dbraw/zinc/45/93/37/1169459337.db2.gz WJRNJCVNOGXPOW-AWEZNQCLSA-N 0 2 321.377 0.443 20 0 DCADLN CC[C@H]1CCCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CC1 ZINC001604103297 1169493423 /nfs/dbraw/zinc/49/34/23/1169493423.db2.gz UTROCMWROFTKEJ-VIFPVBQESA-N 0 2 319.369 0.270 20 0 DCADLN CCC[C@@H](CCO)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604145571 1169506644 /nfs/dbraw/zinc/50/66/44/1169506644.db2.gz VTVJOZPNHMMREP-VIFPVBQESA-N 0 2 320.353 0.557 20 0 DCADLN CCCNC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001604221715 1169528851 /nfs/dbraw/zinc/52/88/51/1169528851.db2.gz HXAMPQBGPNRVJW-UHFFFAOYSA-N 0 2 316.365 0.433 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001604246462 1169532391 /nfs/dbraw/zinc/53/23/91/1169532391.db2.gz CFKJOPSUYIEHRO-JTQLQIEISA-N 0 2 309.347 0.948 20 0 DCADLN C[C@@H]([NH2+][C@H](C)c1nncn1C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001604323345 1169554543 /nfs/dbraw/zinc/55/45/43/1169554543.db2.gz YVNSGBIHLPKFHZ-NXEZZACHSA-N 0 2 309.370 0.177 20 0 DCADLN CCc1nn(CC(C)(C)CO)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604577886 1169622698 /nfs/dbraw/zinc/62/26/98/1169622698.db2.gz CRMLNUMXFNILLC-UHFFFAOYSA-N 0 2 306.370 0.567 20 0 DCADLN C[C@]1(NS(=O)(=O)CCn2cc[nH+]c2)CCCC[C@H]1C(=O)[O-] ZINC001604597791 1169626047 /nfs/dbraw/zinc/62/60/47/1169626047.db2.gz LAWLNWUVFFLSBK-AAEUAGOBSA-N 0 2 315.395 0.836 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(/C=C/C(=O)[O-])o2)CC[N@@H+]1CCO ZINC001604780938 1169678845 /nfs/dbraw/zinc/67/88/45/1169678845.db2.gz QHRAXDMVEZDIHN-TZNOJPMFSA-N 0 2 308.334 0.516 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(/C=C/C(=O)[O-])o2)CC[N@H+]1CCO ZINC001604780938 1169678847 /nfs/dbraw/zinc/67/88/47/1169678847.db2.gz QHRAXDMVEZDIHN-TZNOJPMFSA-N 0 2 308.334 0.516 20 0 DCADLN CO[C@@H](Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O)[C@@H]1CCOC1 ZINC001605012731 1169778347 /nfs/dbraw/zinc/77/83/47/1169778347.db2.gz RASGUQSCCQDKDZ-MNOVXSKESA-N 0 2 320.353 0.092 20 0 DCADLN CO[C@H](Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O)[C@H]1CCOC1 ZINC001605012734 1169778818 /nfs/dbraw/zinc/77/88/18/1169778818.db2.gz RASGUQSCCQDKDZ-WDEREUQCSA-N 0 2 320.353 0.092 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)[C@H](C)CCO ZINC001605505195 1169941932 /nfs/dbraw/zinc/94/19/32/1169941932.db2.gz XCDINPOLMMKOAD-MRVPVSSYSA-N 0 2 306.326 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC2CCS(=O)(=O)CC2)c1 ZINC001605510584 1169943526 /nfs/dbraw/zinc/94/35/26/1169943526.db2.gz FCCMBGBXAPEFQW-UHFFFAOYSA-N 0 2 323.378 0.162 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC001606080908 1170072021 /nfs/dbraw/zinc/07/20/21/1170072021.db2.gz XPMMTFDDXCCICO-GFCCVEGCSA-N 0 2 321.333 0.144 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC001606080908 1170072028 /nfs/dbraw/zinc/07/20/28/1170072028.db2.gz XPMMTFDDXCCICO-GFCCVEGCSA-N 0 2 321.333 0.144 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2c(C)cc(C)[nH+]c2C)C[C@H]1C(=O)[O-] ZINC001606424134 1170195287 /nfs/dbraw/zinc/19/52/87/1170195287.db2.gz ZUDCQRZOZJLLAV-VXGBXAGGSA-N 0 2 320.345 0.953 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2nnc(C(C)C)s2)C[C@@H]1C(=O)[O-] ZINC001606430944 1170198958 /nfs/dbraw/zinc/19/89/58/1170198958.db2.gz FTNIKAWJUODROM-IUCAKERBSA-N 0 2 313.379 0.967 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2nnc(C(C)C)s2)C[C@@H]1C(=O)[O-] ZINC001606430944 1170198964 /nfs/dbraw/zinc/19/89/64/1170198964.db2.gz FTNIKAWJUODROM-IUCAKERBSA-N 0 2 313.379 0.967 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])nc1 ZINC001606588305 1170238923 /nfs/dbraw/zinc/23/89/23/1170238923.db2.gz PUGPPITUPWLZTO-LBPRGKRZSA-N 0 2 321.333 0.033 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])nc1 ZINC001606588305 1170238926 /nfs/dbraw/zinc/23/89/26/1170238926.db2.gz PUGPPITUPWLZTO-LBPRGKRZSA-N 0 2 321.333 0.033 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc2ncccc2c1 ZINC001607088842 1170387736 /nfs/dbraw/zinc/38/77/36/1170387736.db2.gz RYULWABLPHBPIT-UHFFFAOYSA-N 0 2 321.300 0.726 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCCOC(F)(F)F ZINC001607088110 1170387907 /nfs/dbraw/zinc/38/79/07/1170387907.db2.gz CYMNPSPNTZHQLU-UHFFFAOYSA-N 0 2 306.204 0.056 20 0 DCADLN COc1ccc(CC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])nc1 ZINC001607241957 1170417767 /nfs/dbraw/zinc/41/77/67/1170417767.db2.gz QBDURRVGTLXMBV-GFCCVEGCSA-N 0 2 304.306 0.099 20 0 DCADLN COc1cccc(CN(C)C(=O)C[N@@H+]2C[C@@H](O)C[C@H]2C(=O)[O-])c1 ZINC001607322318 1170428200 /nfs/dbraw/zinc/42/82/00/1170428200.db2.gz PGEIOVBCOBDTOX-JSGCOSHPSA-N 0 2 322.361 0.173 20 0 DCADLN COc1cccc(CN(C)C(=O)C[N@H+]2C[C@@H](O)C[C@H]2C(=O)[O-])c1 ZINC001607322318 1170428205 /nfs/dbraw/zinc/42/82/05/1170428205.db2.gz PGEIOVBCOBDTOX-JSGCOSHPSA-N 0 2 322.361 0.173 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC[N@@H+]2CCOCC2(C)C)O[C@H]1C(=O)[O-] ZINC001607442761 1170455008 /nfs/dbraw/zinc/45/50/08/1170455008.db2.gz PARDPANJCSVZHV-IJLUTSLNSA-N 0 2 314.382 0.092 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC[N@H+]2CCOCC2(C)C)O[C@H]1C(=O)[O-] ZINC001607442761 1170455013 /nfs/dbraw/zinc/45/50/13/1170455013.db2.gz PARDPANJCSVZHV-IJLUTSLNSA-N 0 2 314.382 0.092 20 0 DCADLN C[C@]12CN(c3cc(CC(=O)[O-])cc[nH+]3)C[C@@]1(C)CS(=O)(=O)C2 ZINC001607448941 1170456059 /nfs/dbraw/zinc/45/60/59/1170456059.db2.gz BXXOOCDEKWCOLY-GASCZTMLSA-N 0 2 324.402 0.970 20 0 DCADLN Cc1c(NC(=O)[C@H](C)N2CC[NH2+]CC2=O)cccc1C(=O)[O-] ZINC001607538720 1170475133 /nfs/dbraw/zinc/47/51/33/1170475133.db2.gz SIXVPNHKCVQPHL-JTQLQIEISA-N 0 2 305.334 0.452 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)[N@@H+]1CC[C@@H](O)[C@@H](O)C1 ZINC001607740981 1170502964 /nfs/dbraw/zinc/50/29/64/1170502964.db2.gz RMYCSUDLGUBTMC-GDLCADMTSA-N 0 2 322.361 0.448 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)[N@H+]1CC[C@@H](O)[C@@H](O)C1 ZINC001607740981 1170502968 /nfs/dbraw/zinc/50/29/68/1170502968.db2.gz RMYCSUDLGUBTMC-GDLCADMTSA-N 0 2 322.361 0.448 20 0 DCADLN Cc1cccc(OC[C@@H](O)C[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC001607858856 1170514594 /nfs/dbraw/zinc/51/45/94/1170514594.db2.gz JYDOWSXKNAVJEJ-JSGCOSHPSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1cccc(OC[C@@H](O)C[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC001607858856 1170514598 /nfs/dbraw/zinc/51/45/98/1170514598.db2.gz JYDOWSXKNAVJEJ-JSGCOSHPSA-N 0 2 322.361 0.010 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001608136115 1170589786 /nfs/dbraw/zinc/58/97/86/1170589786.db2.gz FYKJRDASQRSZPD-JTQLQIEISA-N 0 2 318.337 0.116 20 0 DCADLN Cn1nc2c(c1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])CCCC2 ZINC001608151566 1170597481 /nfs/dbraw/zinc/59/74/81/1170597481.db2.gz NJSWERISPQAMMG-LBPRGKRZSA-N 0 2 317.349 0.379 20 0 DCADLN NS(=O)(=O)[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001608259674 1170635569 /nfs/dbraw/zinc/63/55/69/1170635569.db2.gz PASKCPQFPDDOSZ-SNVBAGLBSA-N 0 2 302.327 0.387 20 0 DCADLN NS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001608259674 1170635570 /nfs/dbraw/zinc/63/55/70/1170635570.db2.gz PASKCPQFPDDOSZ-SNVBAGLBSA-N 0 2 302.327 0.387 20 0 DCADLN O=C(CN1CCCCCCC1=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001608331546 1170652042 /nfs/dbraw/zinc/65/20/42/1170652042.db2.gz RONZQYMXDBMAPO-GFCCVEGCSA-N 0 2 322.365 0.314 20 0 DCADLN O=C(CN1CCCCCCC1=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001608331546 1170652048 /nfs/dbraw/zinc/65/20/48/1170652048.db2.gz RONZQYMXDBMAPO-GFCCVEGCSA-N 0 2 322.365 0.314 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCC[N@@H+]1CC(=O)NCCCc1ccccc1 ZINC001608333308 1170652674 /nfs/dbraw/zinc/65/26/74/1170652674.db2.gz SIQFELLYEBNVAZ-HOCLYGCPSA-N 0 2 320.389 0.645 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCC[N@H+]1CC(=O)NCCCc1ccccc1 ZINC001608333308 1170652676 /nfs/dbraw/zinc/65/26/76/1170652676.db2.gz SIQFELLYEBNVAZ-HOCLYGCPSA-N 0 2 320.389 0.645 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)CSCC(F)(F)F ZINC001608354329 1170657241 /nfs/dbraw/zinc/65/72/41/1170657241.db2.gz KTYOKKLRAIZNLB-SSDOTTSWSA-N 0 2 311.285 0.748 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1CNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC001608462023 1170685328 /nfs/dbraw/zinc/68/53/28/1170685328.db2.gz CLLHWGANXVZBTC-UKRRQHHQSA-N 0 2 318.373 0.969 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2oc(=O)[nH]c21 ZINC001608486139 1170689049 /nfs/dbraw/zinc/68/90/49/1170689049.db2.gz UWINNQSLLZJGCW-VIFPVBQESA-N 0 2 316.273 0.682 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2oc(=O)[nH]c21 ZINC001608486139 1170689052 /nfs/dbraw/zinc/68/90/52/1170689052.db2.gz UWINNQSLLZJGCW-VIFPVBQESA-N 0 2 316.273 0.682 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1coc2c1C(=O)CCC2 ZINC001608486605 1170689184 /nfs/dbraw/zinc/68/91/84/1170689184.db2.gz BXSFWWWWXSOIAJ-SNVBAGLBSA-N 0 2 317.301 0.947 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1coc2c1C(=O)CCC2 ZINC001608486605 1170689186 /nfs/dbraw/zinc/68/91/86/1170689186.db2.gz BXSFWWWWXSOIAJ-SNVBAGLBSA-N 0 2 317.301 0.947 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001608555816 1170701920 /nfs/dbraw/zinc/70/19/20/1170701920.db2.gz SGFKOJKVUSPUIL-CYBMUJFWSA-N 0 2 303.318 0.861 20 0 DCADLN O=C([O-])C1CCC(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)CC1 ZINC001608618823 1170724205 /nfs/dbraw/zinc/72/42/05/1170724205.db2.gz NROPYCRSHAHBLG-UHFFFAOYSA-N 0 2 313.379 0.713 20 0 DCADLN O=C([O-])[C@H]1CCN2C(=O)N(CCCn3cc[nH+]c3)C(=O)[C@H]2C1 ZINC001608640730 1170728575 /nfs/dbraw/zinc/72/85/75/1170728575.db2.gz YWDOFYMURXPDLC-WDEREUQCSA-N 0 2 306.322 0.401 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)NCCCCn2cc[nH+]c2)CC1 ZINC001608681331 1170735402 /nfs/dbraw/zinc/73/54/02/1170735402.db2.gz OMNHUXZNNGGRRL-UHFFFAOYSA-N 0 2 315.395 0.838 20 0 DCADLN O=C([O-])C[C@@]1([NH2+]Cc2n[nH]cc2Br)CCOC1 ZINC001608687730 1170736799 /nfs/dbraw/zinc/73/67/99/1170736799.db2.gz FABAVZNWWAHYPU-JTQLQIEISA-N 0 2 304.144 0.896 20 0 DCADLN O=C([O-])c1ccc(CNS(=O)(=O)CCn2cc[nH+]c2)s1 ZINC001608887966 1170769242 /nfs/dbraw/zinc/76/92/42/1170769242.db2.gz RNMNYXNYIZTCLD-UHFFFAOYSA-N 0 2 315.376 0.762 20 0 DCADLN O=C([O-])c1ccccc1S(=O)(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001608949333 1170773572 /nfs/dbraw/zinc/77/35/72/1170773572.db2.gz OCEQMVBJJVTIKZ-JTQLQIEISA-N 0 2 321.358 0.875 20 0 DCADLN CC[C@H](NS(=O)(=O)c1ccc(-c2nn[nH]n2)o1)C(F)F ZINC001609194988 1170859697 /nfs/dbraw/zinc/85/96/97/1170859697.db2.gz USRMXJPVTDZKTI-YFKPBYRVSA-N 0 2 307.282 0.782 20 0 DCADLN CCC1(O)CCN(Cn2cccc(-c3nn[nH]n3)c2=O)CC1 ZINC001609197959 1170864930 /nfs/dbraw/zinc/86/49/30/1170864930.db2.gz DQWZDAYPNKWMSN-UHFFFAOYSA-N 0 2 304.354 0.223 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCSC(C)(C)C)c1=O ZINC001609258239 1170900734 /nfs/dbraw/zinc/90/07/34/1170900734.db2.gz XXRZEGPFMWMZSB-UHFFFAOYSA-N 0 2 324.410 0.742 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)OCCO ZINC001609378609 1170957697 /nfs/dbraw/zinc/95/76/97/1170957697.db2.gz SVYREDXUODPNKM-UHFFFAOYSA-N 0 2 307.310 0.052 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2nc(C(F)F)no2)c1 ZINC001609386553 1170959880 /nfs/dbraw/zinc/95/98/80/1170959880.db2.gz OVMXVKBXEVERAV-UHFFFAOYSA-N 0 2 309.236 0.706 20 0 DCADLN Cc1ccn(C[N@H+]2CCSC[C@@H](C)C2)c(=O)c1-c1nnn[n-]1 ZINC001609437956 1170977392 /nfs/dbraw/zinc/97/73/92/1170977392.db2.gz JJVPFWHVBVFPLP-JTQLQIEISA-N 0 2 320.422 0.979 20 0 DCADLN Cc1ccn(C[N@@H+]2CCSC[C@@H](C)C2)c(=O)c1-c1nnn[n-]1 ZINC001609437956 1170977393 /nfs/dbraw/zinc/97/73/93/1170977393.db2.gz JJVPFWHVBVFPLP-JTQLQIEISA-N 0 2 320.422 0.979 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1cnc(C(=O)[O-])cn1)[NH+]1CCOCC1 ZINC001609771957 1171081762 /nfs/dbraw/zinc/08/17/62/1171081762.db2.gz QUYRTCWBLVQAPV-VHSXEESVSA-N 0 2 308.338 0.014 20 0 DCADLN C[C@@H](c1nncn1C)[N@@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609811169 1171091411 /nfs/dbraw/zinc/09/14/11/1171091411.db2.gz CNGRQFCRJDWCCY-UWVGGRQHSA-N 0 2 305.342 0.503 20 0 DCADLN C[C@@H](c1nncn1C)[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609811169 1171091419 /nfs/dbraw/zinc/09/14/19/1171091419.db2.gz CNGRQFCRJDWCCY-UWVGGRQHSA-N 0 2 305.342 0.503 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CCN1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC001609833414 1171098631 /nfs/dbraw/zinc/09/86/31/1171098631.db2.gz NJEDCNQSYNSFTN-RYUDHWBXSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CCN1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC001609833414 1171098638 /nfs/dbraw/zinc/09/86/38/1171098638.db2.gz NJEDCNQSYNSFTN-RYUDHWBXSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C(=O)[O-])CC1 ZINC001609840703 1171101801 /nfs/dbraw/zinc/10/18/01/1171101801.db2.gz NBRJTIYLDZYMCE-VXGBXAGGSA-N 0 2 311.382 0.356 20 0 DCADLN CCCS(=O)(=O)CC[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609981473 1171131091 /nfs/dbraw/zinc/13/10/91/1171131091.db2.gz HXVWQGXHYMKCQB-JTQLQIEISA-N 0 2 316.383 0.048 20 0 DCADLN CCCS(=O)(=O)CC[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609981473 1171131096 /nfs/dbraw/zinc/13/10/96/1171131096.db2.gz HXVWQGXHYMKCQB-JTQLQIEISA-N 0 2 316.383 0.048 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@@H](C(=O)[O-])C1 ZINC001610165657 1171183911 /nfs/dbraw/zinc/18/39/11/1171183911.db2.gz HGUDFOGZENKUTP-WDEREUQCSA-N 0 2 309.322 0.138 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)c[nH+]1 ZINC001610453749 1171238114 /nfs/dbraw/zinc/23/81/14/1171238114.db2.gz HOJWJOSOMCHRKD-KLPPZKSPSA-N 0 2 305.334 0.294 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+](C)[C@]1(CO)CCOC1 ZINC001610487861 1171243432 /nfs/dbraw/zinc/24/34/32/1171243432.db2.gz OZEIJBTYNPQPHG-INIZCTEOSA-N 0 2 322.361 0.715 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+](C)[C@]1(CO)CCOC1 ZINC001610487861 1171243433 /nfs/dbraw/zinc/24/34/33/1171243433.db2.gz OZEIJBTYNPQPHG-INIZCTEOSA-N 0 2 322.361 0.715 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001610533629 1171247450 /nfs/dbraw/zinc/24/74/50/1171247450.db2.gz VMKPJXPFHARCGP-GOEBONIOSA-N 0 2 320.389 0.863 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001610533629 1171247452 /nfs/dbraw/zinc/24/74/52/1171247452.db2.gz VMKPJXPFHARCGP-GOEBONIOSA-N 0 2 320.389 0.863 20 0 DCADLN Cn1cc(CN2CC[NH+](Cc3ccc(C(=O)[O-])cn3)CC2)cn1 ZINC001610602893 1171264330 /nfs/dbraw/zinc/26/43/30/1171264330.db2.gz PSBMLUCJJFWQCU-UHFFFAOYSA-N 0 2 315.377 0.831 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@H+]1CCC[C@@](O)(CO)C1 ZINC001610664887 1171285449 /nfs/dbraw/zinc/28/54/49/1171285449.db2.gz ZWIHHWXMKRYYET-HNNXBMFYSA-N 0 2 308.334 0.143 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@@H+]1CCC[C@@](O)(CO)C1 ZINC001610664887 1171285451 /nfs/dbraw/zinc/28/54/51/1171285451.db2.gz ZWIHHWXMKRYYET-HNNXBMFYSA-N 0 2 308.334 0.143 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001610681097 1171290007 /nfs/dbraw/zinc/29/00/07/1171290007.db2.gz DVCDKPWJQAZMKU-SNVBAGLBSA-N 0 2 316.273 0.613 20 0 DCADLN O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001610702656 1171295405 /nfs/dbraw/zinc/29/54/05/1171295405.db2.gz WKHBDJOSQTZDNB-PHIMTYICSA-N 0 2 320.349 0.095 20 0 DCADLN O=C([O-])CCC[NH+]1CCC(NCC[N@@H+]2CCCOCC2)CC1 ZINC001610769688 1171318370 /nfs/dbraw/zinc/31/83/70/1171318370.db2.gz RFOQOZKYXQXESI-UHFFFAOYSA-N 0 2 313.442 0.628 20 0 DCADLN O=C([O-])CCC[NH+]1CCC(NCC[N@H+]2CCCOCC2)CC1 ZINC001610769688 1171318379 /nfs/dbraw/zinc/31/83/79/1171318379.db2.gz RFOQOZKYXQXESI-UHFFFAOYSA-N 0 2 313.442 0.628 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)c1cc(C(N)=O)ncn1 ZINC001611764751 1171358176 /nfs/dbraw/zinc/35/81/76/1171358176.db2.gz VBUGSBQYLOIAGD-UHFFFAOYSA-N 0 2 316.346 0.922 20 0 DCADLN O=C(NCCn1cccc1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001628887012 1171536947 /nfs/dbraw/zinc/53/69/47/1171536947.db2.gz TXYAVYIKUGTHSY-UHFFFAOYSA-N 0 2 313.317 0.498 20 0 DCADLN O=C(NCCc1ccoc1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001629453232 1171549543 /nfs/dbraw/zinc/54/95/43/1171549543.db2.gz OMWKFNCYCVSLDT-UHFFFAOYSA-N 0 2 314.301 0.832 20 0 DCADLN Cc1csc(NCCNC(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC001629476377 1171549908 /nfs/dbraw/zinc/54/99/08/1171549908.db2.gz QPYVMEWITPFOLJ-ZETCQYMHSA-N 0 2 313.408 0.536 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccnc2[nH]c(=O)[nH]c21 ZINC001634591293 1171678680 /nfs/dbraw/zinc/67/86/80/1171678680.db2.gz YOPQSWBUYNVYLI-UHFFFAOYSA-N 0 2 306.307 0.308 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CCN(CC(F)(F)F)C1 ZINC001634591055 1171678789 /nfs/dbraw/zinc/67/87/89/1171678789.db2.gz OYXZICPZCUYNFJ-ZETCQYMHSA-N 0 2 324.328 0.901 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=Cc2ccc[nH]2)[nH]1 ZINC001635955740 1171720526 /nfs/dbraw/zinc/72/05/26/1171720526.db2.gz HIDVPVXXMMIMQE-SNAWJCMRSA-N 0 2 309.351 0.007 20 0 DCADLN Nc1cn[nH]c1[C@@H]1CCN(C(=O)C(=O)c2ccc(O)cc2)C1 ZINC001637395871 1171764803 /nfs/dbraw/zinc/76/48/03/1171764803.db2.gz MOOKBTBBDDFNEE-SNVBAGLBSA-N 0 2 300.318 0.896 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc2[nH]c(=O)[nH]c2n1 ZINC001639105000 1171821734 /nfs/dbraw/zinc/82/17/34/1171821734.db2.gz ZUMZPZMDZKGPPG-UHFFFAOYSA-N 0 2 306.307 0.308 20 0 DCADLN CC(C)NC(=O)C(=O)NNC(=O)c1cc(-c2ccco2)on1 ZINC000054641586 1171847604 /nfs/dbraw/zinc/84/76/04/1171847604.db2.gz UFGMGYVXFSEXJV-UHFFFAOYSA-N 0 2 306.278 0.220 20 0 DCADLN O=C(NCc1cc(=O)[nH]c(=S)[nH]1)[C@@H]1CCCc2[nH]ncc21 ZINC001644269154 1172001032 /nfs/dbraw/zinc/00/10/32/1172001032.db2.gz ZSIIZGIMWZVGFI-MRVPVSSYSA-N 0 2 305.363 0.930 20 0 DCADLN Cn1nccc1[C@H]1CCCC[N@@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001645953810 1172195916 /nfs/dbraw/zinc/19/59/16/1172195916.db2.gz QLFREPLXZWLDNA-CHWSQXEVSA-N 0 2 306.366 0.633 20 0 DCADLN Cn1nccc1[C@H]1CCCC[N@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001645953810 1172195918 /nfs/dbraw/zinc/19/59/18/1172195918.db2.gz QLFREPLXZWLDNA-CHWSQXEVSA-N 0 2 306.366 0.633 20 0 DCADLN O=C([C@@H]1CCn2c[nH+]cc2C1)N1CC[C@H]([N@H+]2CC[C@@H](O)C2)C1 ZINC001646040131 1172226857 /nfs/dbraw/zinc/22/68/57/1172226857.db2.gz VUIGCABWJWWHHV-VNHYZAJKSA-N 0 2 304.394 0.113 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1noc(C(F)F)n1 ZINC001647233836 1172753832 /nfs/dbraw/zinc/75/38/32/1172753832.db2.gz ZCSSVCQWDWTIOB-DMTCNVIQSA-N 0 2 319.293 0.741 20 0 DCADLN COCc1nnc(CNC(=O)N(C)Cc2cccc(C)n2)[nH]1 ZINC001647285659 1172784330 /nfs/dbraw/zinc/78/43/30/1172784330.db2.gz RULXNLRQJCWRPP-UHFFFAOYSA-N 0 2 304.354 0.996 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C1CCOCC1 ZINC001647421796 1172878638 /nfs/dbraw/zinc/87/86/38/1172878638.db2.gz LPZSYHVEGARRIY-YPMHNXCESA-N 0 2 307.350 0.442 20 0 DCADLN COc1cnc([C@H]2CCC[N@@H+]2CCc2cn(C)nn2)[n-]c1=O ZINC001647457900 1172906874 /nfs/dbraw/zinc/90/68/74/1172906874.db2.gz JTSHOIDFLSFCLG-LLVKDONJSA-N 0 2 304.354 0.699 20 0 DCADLN COc1cnc([C@H]2CCC[N@H+]2CCc2cn(C)nn2)[n-]c1=O ZINC001647457900 1172906876 /nfs/dbraw/zinc/90/68/76/1172906876.db2.gz JTSHOIDFLSFCLG-LLVKDONJSA-N 0 2 304.354 0.699 20 0 DCADLN C[C@H](Cn1cnc2c1nc(C(F)(F)F)[nH]c2=O)S(C)(=O)=O ZINC001647485652 1172923769 /nfs/dbraw/zinc/92/37/69/1172923769.db2.gz NEVINSYKGALIGI-RXMQYKEDSA-N 0 2 324.284 0.984 20 0 DCADLN COCCOCCC(=O)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000063267889 1173070169 /nfs/dbraw/zinc/07/01/69/1173070169.db2.gz ABZZRMSNQUVOIS-UHFFFAOYSA-N 0 2 310.354 0.103 20 0 DCADLN CC(C)[NH+]1CCN(C(=O)N[C@H](C)[C@@H]2C[N@H+](C)CCN2C)CC1 ZINC001649311772 1173097930 /nfs/dbraw/zinc/09/79/30/1173097930.db2.gz MCAMIQCHCMRCLE-CABCVRRESA-N 0 2 311.474 0.356 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H]1[C@@H]1CCCOC1 ZINC001649360738 1173129077 /nfs/dbraw/zinc/12/90/77/1173129077.db2.gz FNGWJFLFTVNDQF-ZYHUDNBSSA-N 0 2 317.349 0.099 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@@]2(C)CC2(F)F)[nH]1 ZINC001649973100 1173351539 /nfs/dbraw/zinc/35/15/39/1173351539.db2.gz BRBGGRYAHWYXQB-SECBINFHSA-N 0 2 310.326 0.416 20 0 DCADLN COc1ccc([C@@H]2C[NH2+]CCN2C(=O)CCc2c[nH]nn2)cc1 ZINC001650381453 1173604484 /nfs/dbraw/zinc/60/44/84/1173604484.db2.gz LFTGQTNFFFUWAM-HNNXBMFYSA-N 0 2 315.377 0.919 20 0 DCADLN COc1ccc([C@@H]2C[NH2+]CCN2C(=O)CCc2cnn[nH]2)cc1 ZINC001650381453 1173604489 /nfs/dbraw/zinc/60/44/89/1173604489.db2.gz LFTGQTNFFFUWAM-HNNXBMFYSA-N 0 2 315.377 0.919 20 0 DCADLN CC(C)C[C@]1(C(=O)N[C@@H](C)c2nn(C)cc2O)CCNC1=O ZINC001653247110 1173784273 /nfs/dbraw/zinc/78/42/73/1173784273.db2.gz BRXDZEATFFMLCT-ZUZCIYMTSA-N 0 2 308.382 0.855 20 0 DCADLN CC(C)(C)OC(=O)NOCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001654366489 1173805767 /nfs/dbraw/zinc/80/57/67/1173805767.db2.gz YNPXUNZLQVYDHK-UHFFFAOYSA-N 0 2 315.330 0.015 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2c[nH]c3ncc(Cl)cc23)n1 ZINC001664005838 1174383928 /nfs/dbraw/zinc/38/39/28/1174383928.db2.gz HOSSXFLDYLTLKJ-UHFFFAOYSA-N 0 2 313.730 0.541 20 0 DCADLN COCCOCCNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001667344140 1174675807 /nfs/dbraw/zinc/67/58/07/1174675807.db2.gz WJNUTKFGXYKAPY-SNVBAGLBSA-N 0 2 313.358 0.062 20 0 DCADLN CC(C)=CC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001680188736 1175784621 /nfs/dbraw/zinc/78/46/21/1175784621.db2.gz WYJFNIGHMNWEOH-APPZFPTMSA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001680188736 1175784625 /nfs/dbraw/zinc/78/46/25/1175784625.db2.gz WYJFNIGHMNWEOH-APPZFPTMSA-N 0 2 300.252 0.446 20 0 DCADLN Cn1ccc(CC(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001687005270 1176264115 /nfs/dbraw/zinc/26/41/15/1176264115.db2.gz GRQAXBBIKYKZIJ-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN Cn1ccc(CC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001687005270 1176264119 /nfs/dbraw/zinc/26/41/19/1176264119.db2.gz GRQAXBBIKYKZIJ-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN CCn1ncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1C ZINC001720129992 1178656159 /nfs/dbraw/zinc/65/61/59/1178656159.db2.gz LHRFLDQQRQCUEW-SECBINFHSA-N 0 2 324.278 0.958 20 0 DCADLN CCn1ncc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1C ZINC001720129992 1178656161 /nfs/dbraw/zinc/65/61/61/1178656161.db2.gz LHRFLDQQRQCUEW-SECBINFHSA-N 0 2 324.278 0.958 20 0 DCADLN C[C@H](c1nnnn1C)[N@H+](C)CCCNC(=O)C[NH+]1CC[C@@H](C)C1 ZINC001707411479 1180493794 /nfs/dbraw/zinc/49/37/94/1180493794.db2.gz WNDKATBFJGUUAG-CHWSQXEVSA-N 0 2 323.445 0.051 20 0 DCADLN Cc1cc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001715805869 1182014304 /nfs/dbraw/zinc/01/43/04/1182014304.db2.gz QDGPMLAWXXMODZ-ARJAWSKDSA-N 0 2 305.338 0.796 20 0 DCADLN O=C(C=C1CCC1)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721754919 1184021338 /nfs/dbraw/zinc/02/13/38/1184021338.db2.gz ZBDXTHDPYFCOEQ-PSASIEDQSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001721754919 1184021344 /nfs/dbraw/zinc/02/13/44/1184021344.db2.gz ZBDXTHDPYFCOEQ-PSASIEDQSA-N 0 2 312.263 0.590 20 0 DCADLN CN(CCCNC(=O)[C@H]1CC[C@@H](C(N)=O)C1)Cc1ccns1 ZINC001731268800 1185231654 /nfs/dbraw/zinc/23/16/54/1185231654.db2.gz QEUBYNYDYJWLCR-NEPJUHHUSA-N 0 2 324.450 0.983 20 0 DCADLN CCCCO[C@H](C)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001733207617 1186179977 /nfs/dbraw/zinc/17/99/77/1186179977.db2.gz PKJUYROHBFWGGY-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN Cn1ccc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)n1 ZINC001738514917 1187580876 /nfs/dbraw/zinc/58/08/76/1187580876.db2.gz ZSGSLDCIBURNTD-HPOULIHZSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1ccc(C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001738514917 1187580879 /nfs/dbraw/zinc/58/08/79/1187580879.db2.gz ZSGSLDCIBURNTD-HPOULIHZSA-N 0 2 322.262 0.723 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@]12C[C@H]1COC2)C(F)C(F)(F)F ZINC001738525170 1187582774 /nfs/dbraw/zinc/58/27/74/1187582774.db2.gz JDWQJPLGALAGTE-DLPGMBIASA-N 0 2 324.274 0.712 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@]12C[C@H]1COC2)[C@H](F)C(F)(F)F ZINC001738525170 1187582777 /nfs/dbraw/zinc/58/27/77/1187582777.db2.gz JDWQJPLGALAGTE-DLPGMBIASA-N 0 2 324.274 0.712 20 0 DCADLN O=C(Cc1nc[nH]n1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001738526638 1187584027 /nfs/dbraw/zinc/58/40/27/1187584027.db2.gz UOVBRGHMYQVBEK-GPYPMJJRSA-N 0 2 323.250 0.036 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1cnccn1 ZINC001742716203 1188035607 /nfs/dbraw/zinc/03/56/07/1188035607.db2.gz OKOJWFDCMPWRBQ-QMMMGPOBSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cnccn1 ZINC001742716203 1188035605 /nfs/dbraw/zinc/03/56/05/1188035605.db2.gz OKOJWFDCMPWRBQ-QMMMGPOBSA-N 0 2 308.235 0.613 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC=CCC1 ZINC001754485469 1188863683 /nfs/dbraw/zinc/86/36/83/1188863683.db2.gz VZHHVWCSWCIXLT-ABAIWWIYSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)CCc2nc[nH]n2)C1 ZINC001771867949 1190535470 /nfs/dbraw/zinc/53/54/70/1190535470.db2.gz QSTKRAKZKNVMLG-NSHDSACASA-N 0 2 319.287 0.407 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N[C@H](CCF)C(=O)[O-] ZINC001771917650 1190554740 /nfs/dbraw/zinc/55/47/40/1190554740.db2.gz HYSQWRWZADVHOU-SNVBAGLBSA-N 0 2 305.350 0.209 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N[C@H](CCF)C(=O)[O-] ZINC001771917650 1190554744 /nfs/dbraw/zinc/55/47/44/1190554744.db2.gz HYSQWRWZADVHOU-SNVBAGLBSA-N 0 2 305.350 0.209 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@@H]1C[C@H](C)[C@@H](C(=O)[O-])O1)[NH+]1CCOCC1 ZINC001771921825 1190557260 /nfs/dbraw/zinc/55/72/60/1190557260.db2.gz ZMOJUPILXSDHRQ-WJTVCTBASA-N 0 2 314.382 0.090 20 0 DCADLN CC(C)(C)C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001046412381 753702937 /nfs/dbraw/zinc/70/29/37/753702937.db2.gz FQQAEIDFCRJKFB-SSDOTTSWSA-N 0 2 314.279 0.622 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nc(C(C)(C)C)no2)c1[O-] ZINC001125734768 747543690 /nfs/dbraw/zinc/54/36/90/747543690.db2.gz ZQSULJJNLQFOAO-UHFFFAOYSA-N 0 2 322.369 0.624 20 0 DCADLN CC(C)(F)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043374527 752201406 /nfs/dbraw/zinc/20/14/06/752201406.db2.gz GNSXYHIQBIFIHE-ZCFIWIBFSA-N 0 2 318.242 0.324 20 0 DCADLN CC(C)(F)C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043374527 752201411 /nfs/dbraw/zinc/20/14/11/752201411.db2.gz GNSXYHIQBIFIHE-ZCFIWIBFSA-N 0 2 318.242 0.324 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043374577 752201615 /nfs/dbraw/zinc/20/16/15/752201615.db2.gz GXBLCPNPABSRGF-PRJMDXOYSA-N 0 2 312.263 0.232 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043374577 752201623 /nfs/dbraw/zinc/20/16/23/752201623.db2.gz GXBLCPNPABSRGF-PRJMDXOYSA-N 0 2 312.263 0.232 20 0 DCADLN C[C@]1(CNC(=O)c2ccco2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107693861 752220284 /nfs/dbraw/zinc/22/02/84/752220284.db2.gz CPFWCXVCTRDARW-AWEZNQCLSA-N 0 2 321.337 0.124 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccns2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071545569 761947096 /nfs/dbraw/zinc/94/70/96/761947096.db2.gz BGMCFEAQTPLMNY-BDAKNGLRSA-N 0 2 322.394 0.750 20 0 DCADLN Cc1cccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)n1 ZINC001088472072 749478916 /nfs/dbraw/zinc/47/89/16/749478916.db2.gz TWGAEJPUUJLQOW-MNOVXSKESA-N 0 2 316.365 0.607 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCC2(F)F)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088650398 749830004 /nfs/dbraw/zinc/83/00/04/749830004.db2.gz RRRZROOHYWTYKV-VGMNWLOBSA-N 0 2 315.324 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCC2(F)F)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088650398 749830005 /nfs/dbraw/zinc/83/00/05/749830005.db2.gz RRRZROOHYWTYKV-VGMNWLOBSA-N 0 2 315.324 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCC2(F)F)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088650398 749830006 /nfs/dbraw/zinc/83/00/06/749830006.db2.gz RRRZROOHYWTYKV-VGMNWLOBSA-N 0 2 315.324 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@]23C[C@H]2CCC3)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088758692 749981461 /nfs/dbraw/zinc/98/14/61/749981461.db2.gz JHFDPGCVAUVDCK-YYHMBLRTSA-N 0 2 305.382 0.780 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@]23C[C@H]2CCC3)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088758692 749981465 /nfs/dbraw/zinc/98/14/65/749981465.db2.gz JHFDPGCVAUVDCK-YYHMBLRTSA-N 0 2 305.382 0.780 20 0 DCADLN CCC(=CC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC)CC ZINC001212125417 750122772 /nfs/dbraw/zinc/12/27/72/750122772.db2.gz YVLXOQGRTPAETH-VXGBXAGGSA-N 0 2 323.397 0.572 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2cscn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088900721 750719795 /nfs/dbraw/zinc/71/97/95/750719795.db2.gz BAQLOAWCSQPACO-SCZZXKLOSA-N 0 2 322.394 0.289 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2cscn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088900721 750719799 /nfs/dbraw/zinc/71/97/99/750719799.db2.gz BAQLOAWCSQPACO-SCZZXKLOSA-N 0 2 322.394 0.289 20 0 DCADLN Cc1ncc(C(=O)N2CCCC[C@H]2C[N@@H+](C)[C@H]2CCNC2=O)[nH]1 ZINC001089138893 750816101 /nfs/dbraw/zinc/81/61/01/750816101.db2.gz JOYFFXKAFRCSGF-JSGCOSHPSA-N 0 2 319.409 0.533 20 0 DCADLN CO[C@@H](C)C[N@@H+]1C[C@@H](NC(=O)CCc2[nH]cc[nH+]2)[C@H](OC)C1 ZINC001212201109 751090491 /nfs/dbraw/zinc/09/04/91/751090491.db2.gz PTCGAQBDZWPWBE-YNEHKIRRSA-N 0 2 310.398 0.193 20 0 DCADLN CCOC1CC(CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043610125 752312614 /nfs/dbraw/zinc/31/26/14/752312614.db2.gz PUDIUODBFDHLQE-UHFFFAOYSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H]1CCCC[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043687272 752350065 /nfs/dbraw/zinc/35/00/65/752350065.db2.gz NMDSAEBAVPWMCS-JQWIXIFHSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001044525237 752774889 /nfs/dbraw/zinc/77/48/89/752774889.db2.gz OUPDLCMQKWCKIO-BWZBUEFSSA-N 0 2 312.263 0.232 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001044525237 752774894 /nfs/dbraw/zinc/77/48/94/752774894.db2.gz OUPDLCMQKWCKIO-BWZBUEFSSA-N 0 2 312.263 0.232 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2C[C@@H](NC(=O)c3cn[nH]n3)C23CCC3)o1 ZINC001078667636 753334785 /nfs/dbraw/zinc/33/47/85/753334785.db2.gz HFNBHJYYXVWSHA-WDEREUQCSA-N 0 2 317.353 0.327 20 0 DCADLN CC(=O)N[C@@H]1CCN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H]1O ZINC001089976159 753445409 /nfs/dbraw/zinc/44/54/09/753445409.db2.gz NSUGIVGUJPEFQD-KOLCDFICSA-N 0 2 322.390 0.468 20 0 DCADLN C[C@@]1(NC(=O)C2CCOCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046099917 753480220 /nfs/dbraw/zinc/48/02/20/753480220.db2.gz USVRTZWCXLEONX-CQSZACIVSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(NC(=O)C2CCOCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046099917 753480225 /nfs/dbraw/zinc/48/02/25/753480225.db2.gz USVRTZWCXLEONX-CQSZACIVSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CC=CCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046137789 753502689 /nfs/dbraw/zinc/50/26/89/753502689.db2.gz OLMUFSKPFNKTOL-NHYWBVRUSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CC=CCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046137789 753502692 /nfs/dbraw/zinc/50/26/92/753502692.db2.gz OLMUFSKPFNKTOL-NHYWBVRUSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)n1C ZINC001046141337 753504375 /nfs/dbraw/zinc/50/43/75/753504375.db2.gz IPUBFFGWJDVDEQ-OAHLLOKOSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)n1C ZINC001046141337 753504378 /nfs/dbraw/zinc/50/43/78/753504378.db2.gz IPUBFFGWJDVDEQ-OAHLLOKOSA-N 0 2 318.381 0.552 20 0 DCADLN CCC(=O)NC[C@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC001061158986 753521272 /nfs/dbraw/zinc/52/12/72/753521272.db2.gz KDXOVKJWEATYBN-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)NC[C@H]1COCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001061158986 753521274 /nfs/dbraw/zinc/52/12/74/753521274.db2.gz KDXOVKJWEATYBN-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CCCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046190540 753540589 /nfs/dbraw/zinc/54/05/89/753540589.db2.gz RSOHOHINGLEXHN-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CCCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046190540 753540590 /nfs/dbraw/zinc/54/05/90/753540590.db2.gz RSOHOHINGLEXHN-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)(C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001046412381 753702932 /nfs/dbraw/zinc/70/29/32/753702932.db2.gz FQQAEIDFCRJKFB-SSDOTTSWSA-N 0 2 314.279 0.622 20 0 DCADLN O=C(NC[C@H]1C[C@@H](Nc2[nH+]cnc3nc[nH]c32)C1)c1nnc[n-]1 ZINC001046432045 753712275 /nfs/dbraw/zinc/71/22/75/753712275.db2.gz ROPMKDFCBLOGOP-OCAPTIKFSA-N 0 2 313.325 0.092 20 0 DCADLN CCc1nnc([C@@H](C)N2CC[C@@](C)(NC(=O)c3cnn[nH]3)C2)o1 ZINC001046441341 753719216 /nfs/dbraw/zinc/71/92/16/753719216.db2.gz IJUUBPCODLNPHC-YMTOWFKASA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@@H](C)[N@@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)o1 ZINC001046441341 753719217 /nfs/dbraw/zinc/71/92/17/753719217.db2.gz IJUUBPCODLNPHC-YMTOWFKASA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@@H](C)[N@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)o1 ZINC001046441341 753719219 /nfs/dbraw/zinc/71/92/19/753719219.db2.gz IJUUBPCODLNPHC-YMTOWFKASA-N 0 2 319.369 0.706 20 0 DCADLN Cc1nc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001046572562 753800061 /nfs/dbraw/zinc/80/00/61/753800061.db2.gz UPCNAYCSENUKCR-CYBMUJFWSA-N 0 2 322.394 0.670 20 0 DCADLN C[C@@]1(NC(=O)c2ccc(Cl)[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046604363 753823912 /nfs/dbraw/zinc/82/39/12/753823912.db2.gz JUCNIOAWOKCTNP-CYBMUJFWSA-N 0 2 324.772 0.886 20 0 DCADLN C[C@@]1(NC(=O)c2ccc(Cl)[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046604363 753823919 /nfs/dbraw/zinc/82/39/19/753823919.db2.gz JUCNIOAWOKCTNP-CYBMUJFWSA-N 0 2 324.772 0.886 20 0 DCADLN COC(=O)c1nc2ccc(NC[C@H]3CC(=O)N(C)C3)nc2[nH]1 ZINC001168727622 753884680 /nfs/dbraw/zinc/88/46/80/753884680.db2.gz TWVWZNDUHNBUAP-MRVPVSSYSA-N 0 2 303.322 0.635 20 0 DCADLN Cc1nccc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001046844556 753975420 /nfs/dbraw/zinc/97/54/20/753975420.db2.gz QINVIRKMNIEHDD-CQSZACIVSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@H](CNc1ccc2nnnn2n1)NC(=O)C(F)C(F)(F)F ZINC001108171029 754873385 /nfs/dbraw/zinc/87/33/85/754873385.db2.gz WVYXCLHXRCJCMG-SVGQVSJJSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@H](CNc1ccc2nnnn2n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001108171029 754873390 /nfs/dbraw/zinc/87/33/90/754873390.db2.gz WVYXCLHXRCJCMG-SVGQVSJJSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccc(F)cc1 ZINC001079360814 755796752 /nfs/dbraw/zinc/79/67/52/755796752.db2.gz NMWKHQJRYMVHAN-BXKDBHETSA-N 0 2 319.340 0.900 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccc(F)cc1 ZINC001079360814 755796757 /nfs/dbraw/zinc/79/67/57/755796757.db2.gz NMWKHQJRYMVHAN-BXKDBHETSA-N 0 2 319.340 0.900 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)no1 ZINC001079394198 755810357 /nfs/dbraw/zinc/81/03/57/755810357.db2.gz LMGLGJXYJKFCRJ-GMSGAONNSA-N 0 2 306.326 0.057 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCCOCC1 ZINC001079639772 755950824 /nfs/dbraw/zinc/95/08/24/755950824.db2.gz RTDSATLKVNLSAF-GRYCIOLGSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCCOCC1 ZINC001079639772 755950826 /nfs/dbraw/zinc/95/08/26/755950826.db2.gz RTDSATLKVNLSAF-GRYCIOLGSA-N 0 2 323.397 0.264 20 0 DCADLN COCCN1CC2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC001053262753 755979553 /nfs/dbraw/zinc/97/95/53/755979553.db2.gz BTHCJUPPZKRMNA-VIFPVBQESA-N 0 2 314.279 0.446 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1C=CC=CC=C1 ZINC001079783992 756004595 /nfs/dbraw/zinc/00/45/95/756004595.db2.gz KZTZWRXEHVAHTJ-DGCLKSJQSA-N 0 2 315.377 0.745 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1C=CC=CC=C1 ZINC001079783992 756004600 /nfs/dbraw/zinc/00/46/00/756004600.db2.gz KZTZWRXEHVAHTJ-DGCLKSJQSA-N 0 2 315.377 0.745 20 0 DCADLN CCC(=O)NC1(CO)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001080648053 756290116 /nfs/dbraw/zinc/29/01/16/756290116.db2.gz UPKIVBKPDPBGDI-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)NC1(CO)CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001080648053 756290121 /nfs/dbraw/zinc/29/01/21/756290121.db2.gz UPKIVBKPDPBGDI-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@H]1CC=CCC1 ZINC001081350534 756589457 /nfs/dbraw/zinc/58/94/57/756589457.db2.gz LBJVBSHJGKEGTK-IJLUTSLNSA-N 0 2 321.381 0.182 20 0 DCADLN Cc1ccc(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)o1 ZINC001084171432 757706323 /nfs/dbraw/zinc/70/63/23/757706323.db2.gz BXZGJQPWQJISNG-GHMZBOCLSA-N 0 2 317.349 0.758 20 0 DCADLN O=C(c1ccsn1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084439796 757860054 /nfs/dbraw/zinc/86/00/54/757860054.db2.gz XVRLBFAHCXLYEZ-PSASIEDQSA-N 0 2 320.378 0.313 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC001050795022 758074745 /nfs/dbraw/zinc/07/47/45/758074745.db2.gz QHLINMDVTIYEPC-GFCCVEGCSA-N 0 2 317.349 0.141 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cccn1C ZINC001085490724 758940500 /nfs/dbraw/zinc/94/05/00/758940500.db2.gz HGUZOZCHLLANAB-SNVBAGLBSA-N 0 2 304.354 0.195 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1CCC(O)CC1 ZINC001085569937 759065861 /nfs/dbraw/zinc/06/58/61/759065861.db2.gz WUGQQUKKLJHWMO-MOENNCHZSA-N 0 2 323.397 0.094 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CC1(F)F ZINC001085586922 759095388 /nfs/dbraw/zinc/09/53/88/759095388.db2.gz FGFGXZXCLKORBN-SFYZADRCSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CCC1(C)C ZINC001085774622 759294849 /nfs/dbraw/zinc/29/48/49/759294849.db2.gz HIKZXIWNJBHFRR-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN CCc1nocc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085805109 759329730 /nfs/dbraw/zinc/32/97/30/759329730.db2.gz YVBQGGZENWQVJM-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN CC[C@@H](C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829329 759573474 /nfs/dbraw/zinc/57/34/74/759573474.db2.gz KCAVMPVYGQGTNQ-BDAKNGLRSA-N 0 2 316.295 0.870 20 0 DCADLN CC[C@@H](C)C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057829329 759573481 /nfs/dbraw/zinc/57/34/81/759573481.db2.gz KCAVMPVYGQGTNQ-BDAKNGLRSA-N 0 2 316.295 0.870 20 0 DCADLN CC1(C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F)CC1 ZINC001057833835 759575987 /nfs/dbraw/zinc/57/59/87/759575987.db2.gz SUVXLMHRIZUIRL-QMMMGPOBSA-N 0 2 314.279 0.624 20 0 DCADLN CC1(C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001057833835 759575992 /nfs/dbraw/zinc/57/59/92/759575992.db2.gz SUVXLMHRIZUIRL-QMMMGPOBSA-N 0 2 314.279 0.624 20 0 DCADLN Cc1oc2nc[nH]c(=O)c2c1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC001148179952 767931271 /nfs/dbraw/zinc/93/12/71/767931271.db2.gz LXITZOUNAMEQCN-YFKPBYRVSA-N 0 2 319.277 0.164 20 0 DCADLN Cc1nc([C@@H](C)N[C@H]2C[C@H](CNC(=O)c3nnc[nH]3)C2)no1 ZINC001086508280 760274010 /nfs/dbraw/zinc/27/40/10/760274010.db2.gz LLBHIKSDFHQZET-SZEHBUNVSA-N 0 2 305.342 0.355 20 0 DCADLN Cc1nc([C@@H](C)N[C@H]2C[C@H](CNC(=O)c3ncn[nH]3)C2)no1 ZINC001086508280 760274014 /nfs/dbraw/zinc/27/40/14/760274014.db2.gz LLBHIKSDFHQZET-SZEHBUNVSA-N 0 2 305.342 0.355 20 0 DCADLN Cc1nc([C@@H](C)N[C@H]2C[C@H](CNC(=O)c3nc[nH]n3)C2)no1 ZINC001086508280 760274016 /nfs/dbraw/zinc/27/40/16/760274016.db2.gz LLBHIKSDFHQZET-SZEHBUNVSA-N 0 2 305.342 0.355 20 0 DCADLN CCOC(=O)[C@H]1CC[C@@H]([NH2+][C@@H](CCC(=O)[O-])C(=O)OC)CO1 ZINC001169322433 760632731 /nfs/dbraw/zinc/63/27/31/760632731.db2.gz USCVDPRQVAATHB-OUAUKWLOSA-N 0 2 317.338 0.093 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1nccs1 ZINC001098088475 760726245 /nfs/dbraw/zinc/72/62/45/760726245.db2.gz FTGYPWSLYUIEPG-HLTSFMKQSA-N 0 2 320.378 0.502 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)Cc2ccon2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071393811 761819442 /nfs/dbraw/zinc/81/94/42/761819442.db2.gz KQPXNVTVBSLZCM-KOLCDFICSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)Cc2ccon2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071393811 761819452 /nfs/dbraw/zinc/81/94/52/761819452.db2.gz KQPXNVTVBSLZCM-KOLCDFICSA-N 0 2 320.353 0.210 20 0 DCADLN O=C(CCCC1CC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099967603 763173439 /nfs/dbraw/zinc/17/34/39/763173439.db2.gz QBNLNKPKTQWERV-NEPJUHHUSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1ccc(NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F)nn1 ZINC001124809604 768308456 /nfs/dbraw/zinc/30/84/56/768308456.db2.gz XZMODBBFNNDQED-SCZZXKLOSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1ccc(NC[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F)nn1 ZINC001124809604 768308461 /nfs/dbraw/zinc/30/84/61/768308461.db2.gz XZMODBBFNNDQED-SCZZXKLOSA-N 0 2 324.278 0.917 20 0 DCADLN CN(Cc1nnc[nH]1)C(=O)CSc1n[nH]c(=S)s1 ZINC001116862673 765920225 /nfs/dbraw/zinc/92/02/25/765920225.db2.gz ZUUGIWNUWMQQBV-UHFFFAOYSA-N 0 2 302.410 0.696 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1cnnn1C ZINC001116901870 765925040 /nfs/dbraw/zinc/92/50/40/765925040.db2.gz WJCKZQDJQUSXLC-UHFFFAOYSA-N 0 2 304.335 0.798 20 0 DCADLN Cc1noc(C[NH2+]C2CC(CNC(=O)[C@@H]3CCC[N@H+]3C)C2)n1 ZINC001090954544 766763954 /nfs/dbraw/zinc/76/39/54/766763954.db2.gz GHEQXUXAPOGLRW-BPCQOVAHSA-N 0 2 307.398 0.457 20 0 DCADLN COc1ccnc(N[C@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001120711989 767156455 /nfs/dbraw/zinc/15/64/55/767156455.db2.gz GYLQINDPAVMTHT-SNVBAGLBSA-N 0 2 304.354 0.710 20 0 DCADLN C[NH+](C)CC(=O)N[C@@H]1CCCN(Cc2ccc3nonc3c2)C1 ZINC001139223192 768460370 /nfs/dbraw/zinc/46/03/70/768460370.db2.gz IBXJWBATIXMYME-CYBMUJFWSA-N 0 2 317.393 0.865 20 0 DCADLN Cc1ncc(CO)c(C[NH+]2CCN(C[C@H]3CCCO3)CC2)c1O ZINC001140868700 768551791 /nfs/dbraw/zinc/55/17/91/768551791.db2.gz WBMKRCZQLLAMIW-OAHLLOKOSA-N 0 2 321.421 0.885 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1CC1 ZINC001149472226 768761823 /nfs/dbraw/zinc/76/18/23/768761823.db2.gz DXQQLTYGSWCJQJ-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(CC1CCC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149490726 768765853 /nfs/dbraw/zinc/76/58/53/768765853.db2.gz VRYYUFPNSDZCJC-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)SCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001230822787 768872433 /nfs/dbraw/zinc/87/24/33/768872433.db2.gz BYEMQCWBXAMBFO-JTQLQIEISA-N 0 2 313.427 0.685 20 0 DCADLN C/C(=C\C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001230957972 769141287 /nfs/dbraw/zinc/14/12/87/769141287.db2.gz KJLMQAJMNRRRHS-OFFHKIPUSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC(F)(F)F ZINC001231034328 769155381 /nfs/dbraw/zinc/15/53/81/769155381.db2.gz GTLRHWNGEGGPHK-ZETCQYMHSA-N 0 2 307.276 0.495 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@](C)(O)C1CC1 ZINC001233781452 769450264 /nfs/dbraw/zinc/45/02/64/769450264.db2.gz PYMHZXCWVQCSNX-XHDPSFHLSA-N 0 2 323.397 0.094 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C=Cc1ccc[nH]1 ZINC001233938048 769503389 /nfs/dbraw/zinc/50/33/89/769503389.db2.gz SRDFPJDVXFVUSE-ITKZLYELSA-N 0 2 316.365 0.585 20 0 DCADLN CCNC(=O)CN1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234423162 769652753 /nfs/dbraw/zinc/65/27/53/769652753.db2.gz DAAMCPJNUGXTLA-WCBMZHEXSA-N 0 2 313.295 0.556 20 0 DCADLN CCNC(=O)CN1CC[C@H]1CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001234423162 769652760 /nfs/dbraw/zinc/65/27/60/769652760.db2.gz DAAMCPJNUGXTLA-WCBMZHEXSA-N 0 2 313.295 0.556 20 0 DCADLN CC[N@H+](CC(=O)N(C)C)[C@H](C)CNC(=O)Cn1cncc1C ZINC001154345263 770149498 /nfs/dbraw/zinc/14/94/98/770149498.db2.gz XFZBCLOEIAUFAF-CYBMUJFWSA-N 0 2 309.414 0.106 20 0 DCADLN CCOC(=O)c1cc(NC(=O)c2c[nH]n3nc(C)nc23)n[nH]1 ZINC001154658487 770257475 /nfs/dbraw/zinc/25/74/75/770257475.db2.gz JZBIQNWPKFOIIB-UHFFFAOYSA-N 0 2 303.282 0.518 20 0 DCADLN COC(=O)[C@@H]1CCC[C@H](C(=O)NCCc2n[nH]c(=S)o2)C1 ZINC001154901301 770332338 /nfs/dbraw/zinc/33/23/38/770332338.db2.gz XPEVDVKLOWWZPV-DTWKUNHWSA-N 0 2 313.379 0.996 20 0 DCADLN CN1C(=O)CS/C1=C/C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001155754758 770610374 /nfs/dbraw/zinc/61/03/74/770610374.db2.gz LQHJTZHLRHELLX-UASDCXGESA-N 0 2 323.378 0.263 20 0 DCADLN Cc1cc(N(C(N)=[NH2+])C(=O)C(F)(F)C(F)(F)C(=O)[O-])n[nH]1 ZINC001180180301 771069630 /nfs/dbraw/zinc/06/96/30/771069630.db2.gz OCAXNFCZXNQKJV-UHFFFAOYSA-N 0 2 311.195 0.300 20 0 DCADLN COC(=O)[C@H]1C[N@H+](C)CCN1C(=O)C1(C(=O)[O-])CCCCC1 ZINC001180437713 771116450 /nfs/dbraw/zinc/11/64/50/771116450.db2.gz BFZRPZJODDLBLH-LLVKDONJSA-N 0 2 312.366 0.337 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](C)CCN1C(=O)C1(C(=O)[O-])CCCCC1 ZINC001180437713 771116453 /nfs/dbraw/zinc/11/64/53/771116453.db2.gz BFZRPZJODDLBLH-LLVKDONJSA-N 0 2 312.366 0.337 20 0 DCADLN CN(C)C(=O)C=CC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001157354243 771594167 /nfs/dbraw/zinc/59/41/67/771594167.db2.gz CKGRKPVNHWDLQP-VOTSOKGWSA-N 0 2 301.306 0.760 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)Cc2ccc(S)cc2)CCN1C ZINC001183190905 771617209 /nfs/dbraw/zinc/61/72/09/771617209.db2.gz OBNJMOMWFRIVPE-ZDUSSCGKSA-N 0 2 308.403 0.833 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H]1CCN1Cc1ccccc1 ZINC001183751696 771701481 /nfs/dbraw/zinc/70/14/81/771701481.db2.gz FHYGUUGBWAAILT-GXFFZTMASA-N 0 2 305.359 0.686 20 0 DCADLN CSc1ncc(O)c(C(=O)n2c(C)cc(=O)c(N)c2C)n1 ZINC001185168486 771872832 /nfs/dbraw/zinc/87/28/32/771872832.db2.gz TWZOIRAKHOTNRC-UHFFFAOYSA-N 0 2 306.347 0.953 20 0 DCADLN CSc1ncc(O)c(C(=O)N(C(=N)N)c2cc(C)[nH]n2)n1 ZINC001185197787 771878211 /nfs/dbraw/zinc/87/82/11/771878211.db2.gz VXKHCGBFTPHHFE-UHFFFAOYSA-N 0 2 307.339 0.476 20 0 DCADLN CSc1ncc([O-])c(C(=O)N(C(N)=[NH2+])c2cc(C)[nH]n2)n1 ZINC001185197787 771878217 /nfs/dbraw/zinc/87/82/17/771878217.db2.gz VXKHCGBFTPHHFE-UHFFFAOYSA-N 0 2 307.339 0.476 20 0 DCADLN Cc1nsc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)n1 ZINC001185556055 771928011 /nfs/dbraw/zinc/92/80/11/771928011.db2.gz PFNWUPCLPXPWDT-UHFFFAOYSA-N 0 2 323.363 0.827 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1ccc(Cl)nc1 ZINC001185652389 771940719 /nfs/dbraw/zinc/94/07/19/771940719.db2.gz HCUSVVQDSHISGL-UHFFFAOYSA-N 0 2 302.699 0.737 20 0 DCADLN CCCc1nn(C)c(C(N)=O)c1NS(=O)(=O)CCOCC ZINC001187246080 772152692 /nfs/dbraw/zinc/15/26/92/772152692.db2.gz KPXBIYRPCUOORQ-UHFFFAOYSA-N 0 2 318.399 0.250 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1ncccc1C(=O)OC ZINC001188349844 772297789 /nfs/dbraw/zinc/29/77/89/772297789.db2.gz GKBBMISTVGHWSB-UHFFFAOYSA-N 0 2 302.308 0.173 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccnn1-c1ccccc1 ZINC001188439628 772303845 /nfs/dbraw/zinc/30/38/45/772303845.db2.gz DEQBXWUUGOSBCQ-GFCCVEGCSA-N 0 2 302.315 0.911 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)Cc2cccc(F)c2F)S1 ZINC001189468904 772476415 /nfs/dbraw/zinc/47/64/15/772476415.db2.gz SRMJFJPQBVXVKP-SECBINFHSA-N 0 2 322.314 0.693 20 0 DCADLN CS(=O)(=O)NC1CN(C(=O)c2c(F)ccc(F)c2O)C1 ZINC001192702713 772948899 /nfs/dbraw/zinc/94/88/99/772948899.db2.gz IIHAYMMVMVMPFF-UHFFFAOYSA-N 0 2 306.290 0.044 20 0 DCADLN CS(=O)(=O)N1CCN(C(=O)c2ccc(F)c(F)c2O)CC1 ZINC001192795728 772961175 /nfs/dbraw/zinc/96/11/75/772961175.db2.gz FZLZGLDHTNEUBA-UHFFFAOYSA-N 0 2 320.317 0.388 20 0 DCADLN O=C(CCNC(=O)c1cc(F)c(O)cc1F)N1CCOCC1 ZINC001192853773 772970342 /nfs/dbraw/zinc/97/03/42/772970342.db2.gz UAZKAQWYVVMUJQ-UHFFFAOYSA-N 0 2 314.288 0.649 20 0 DCADLN C[C@@]1(CO)CN(C(=O)c2cc(F)c(O)cc2F)CC[C@H]1O ZINC001192860473 772971059 /nfs/dbraw/zinc/97/10/59/772971059.db2.gz UTNJWPGMYHEIPY-OCCSQVGLSA-N 0 2 301.289 0.876 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2cnccc2Cl)S1 ZINC001192911607 772974544 /nfs/dbraw/zinc/97/45/44/772974544.db2.gz LHQDKWVLZQUKSA-SSDOTTSWSA-N 0 2 307.740 0.322 20 0 DCADLN COC(=O)c1nn(C)cc1NS(=O)(=O)C[C@H]1CCCO1 ZINC001193207351 773024750 /nfs/dbraw/zinc/02/47/50/773024750.db2.gz KOIGQZRZFOMVLZ-MRVPVSSYSA-N 0 2 303.340 0.127 20 0 DCADLN CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206196739 773053906 /nfs/dbraw/zinc/05/39/06/773053906.db2.gz DBRXBYXSHSKEPK-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206196739 773053908 /nfs/dbraw/zinc/05/39/08/773053908.db2.gz DBRXBYXSHSKEPK-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(C)nc3ncnn32)[nH]n1 ZINC001194278470 773165922 /nfs/dbraw/zinc/16/59/22/773165922.db2.gz CAIRGGXCGVWNSU-UHFFFAOYSA-N 0 2 301.266 0.195 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(C)nc3ncnn32)n[nH]1 ZINC001194278470 773165924 /nfs/dbraw/zinc/16/59/24/773165924.db2.gz CAIRGGXCGVWNSU-UHFFFAOYSA-N 0 2 301.266 0.195 20 0 DCADLN Cc1nc(-c2ccc(S(=O)(=O)Nc3nnn(C)n3)cc2)co1 ZINC001194409497 773187135 /nfs/dbraw/zinc/18/71/35/773187135.db2.gz PCTPVOBWZSVFNH-UHFFFAOYSA-N 0 2 320.334 0.974 20 0 DCADLN COc1ccc(N)cc1S(=O)(=O)Nc1cncc(CO)c1 ZINC001211106334 773771314 /nfs/dbraw/zinc/77/13/14/773771314.db2.gz HZHFMWNRAMQUNB-UHFFFAOYSA-N 0 2 309.347 0.966 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccc(=O)n1CC1CC1 ZINC001198796829 773984431 /nfs/dbraw/zinc/98/44/31/773984431.db2.gz XZQFZFPRQMPGSC-LBPRGKRZSA-N 0 2 307.331 0.297 20 0 DCADLN CC(C)CCC(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217280826 774166639 /nfs/dbraw/zinc/16/66/39/774166639.db2.gz QFMNUGLJTQQBBL-NWDGAFQWSA-N 0 2 323.397 0.358 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cncc(C(F)(F)F)n1 ZINC001218593408 774258405 /nfs/dbraw/zinc/25/84/05/774258405.db2.gz UOZYACRPZCUWPZ-SSDOTTSWSA-N 0 2 300.244 0.727 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2cccnc2CO)c1 ZINC001201843250 774565066 /nfs/dbraw/zinc/56/50/66/774565066.db2.gz JJRBKEUBTVAPEC-UHFFFAOYSA-N 0 2 323.330 0.556 20 0 DCADLN COCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCc3c[nH+]cn3C1)C2 ZINC001095645719 775282175 /nfs/dbraw/zinc/28/21/75/775282175.db2.gz DPDCSUXCIYLQPV-XRGAULLZSA-N 0 2 318.421 0.813 20 0 DCADLN CC[C@@H](C(=O)NCC1CC[NH+](Cc2cn(C)nn2)CC1)[NH+](C)C ZINC001224583267 775585903 /nfs/dbraw/zinc/58/59/03/775585903.db2.gz ORWYQVDNWNFABU-HNNXBMFYSA-N 0 2 322.457 0.484 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OC[C@H]1CCCOC1 ZINC001224650366 775592149 /nfs/dbraw/zinc/59/21/49/775592149.db2.gz SRZJTLIDJYZKJU-QWHCGFSZSA-N 0 2 323.411 0.018 20 0 DCADLN Cn1nnc(CN2CCC(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001227474445 775977251 /nfs/dbraw/zinc/97/72/51/775977251.db2.gz RBWZQPKOKKPQJL-SECBINFHSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CCC(NC(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001227474445 775977258 /nfs/dbraw/zinc/97/72/58/775977258.db2.gz RBWZQPKOKKPQJL-SECBINFHSA-N 0 2 324.282 0.191 20 0 DCADLN C[C@H](CC(F)F)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001228350053 776073531 /nfs/dbraw/zinc/07/35/31/776073531.db2.gz NFOQQKFGIWIRIL-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](CON)Oc1nc(I)cc(=O)[nH]1 ZINC001228950186 776139989 /nfs/dbraw/zinc/13/99/89/776139989.db2.gz IGLNHGMVIMQHIJ-BYPYZUCNSA-N 0 2 311.079 0.444 20 0 DCADLN O=C(c1cnco1)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001042169033 777755391 /nfs/dbraw/zinc/75/53/91/777755391.db2.gz BVFHYTQHGZINBN-UWVGGRQHSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cnco1)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001042169033 777755396 /nfs/dbraw/zinc/75/53/96/777755396.db2.gz BVFHYTQHGZINBN-UWVGGRQHSA-N 0 2 318.337 0.235 20 0 DCADLN CN(Cc1c[nH+]cn1C)S(=O)(=O)[C@H]1CCC[C@H]1C(=O)[O-] ZINC001465105322 804067840 /nfs/dbraw/zinc/06/78/40/804067840.db2.gz AGKHMGDCMKZJDK-MNOVXSKESA-N 0 2 301.368 0.435 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc2cc[nH]c2c1)Cc1n[nH]c(=O)[n-]1 ZINC001266329666 939077101 /nfs/dbraw/zinc/07/71/01/939077101.db2.gz CPVFMYGIAHPFLN-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc2cc[nH]c2c1)Cc1n[nH]c(=O)[n-]1 ZINC001266329666 939077102 /nfs/dbraw/zinc/07/71/02/939077102.db2.gz CPVFMYGIAHPFLN-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN C[C@](NC(=O)/C=C\C[NH+]1CCOCC1)(C(=O)[O-])c1ccccc1 ZINC000902083472 939283664 /nfs/dbraw/zinc/28/36/64/939283664.db2.gz HFBWPJHKVQKWDI-CTEOGUNLSA-N 0 2 318.373 0.991 20 0 DCADLN CN(CC[NH2+]Cc1cnon1)C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001266980207 939296361 /nfs/dbraw/zinc/29/63/61/939296361.db2.gz RMGBDNMEBIFTFE-UHFFFAOYSA-N 0 2 318.293 0.173 20 0 DCADLN CN(CCNCc1cnsn1)C(=O)C(F)C(F)(F)F ZINC001267375603 939439625 /nfs/dbraw/zinc/43/96/25/939439625.db2.gz OEDIATHAPWFKLR-SSDOTTSWSA-N 0 2 300.281 0.987 20 0 DCADLN CC[N@H+](CCNC(=O)c1scnc1C)Cc1n[nH]c(=O)[n-]1 ZINC001480962160 939502398 /nfs/dbraw/zinc/50/23/98/939502398.db2.gz AOKVHKGPBJRECP-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN CC[N@@H+](CCNC(=O)c1scnc1C)Cc1n[nH]c(=O)[n-]1 ZINC001480962160 939502400 /nfs/dbraw/zinc/50/24/00/939502400.db2.gz AOKVHKGPBJRECP-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN CC[C@H](C)NC(=O)C[NH+](CCNC(=O)[C@@H]1CCC[N@H+]1C)C1CC1 ZINC001481162221 939590167 /nfs/dbraw/zinc/59/01/67/939590167.db2.gz GNPVLHSMBIJGSS-ZFWWWQNUSA-N 0 2 324.469 0.576 20 0 DCADLN C[C@@H](CC[NH2+]Cc1ncc(C2CC2)o1)NC(=O)Cc1nnc[nH]1 ZINC001273111258 945265615 /nfs/dbraw/zinc/26/56/15/945265615.db2.gz BMBZNBZOXZFSQD-JTQLQIEISA-N 0 2 318.381 0.897 20 0 DCADLN CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCC[N@H+]2CC)C1 ZINC001409966792 945290372 /nfs/dbraw/zinc/29/03/72/945290372.db2.gz IRCXZLFNBSRGAA-UKRRQHHQSA-N 0 2 324.469 0.433 20 0 DCADLN CCOCC[N@H+]1CC[C@](C)(NC(=O)CCc2[nH+]ccn2C)C1 ZINC001278415647 945297670 /nfs/dbraw/zinc/29/76/70/945297670.db2.gz ZNKXTZYCNLMJNX-INIZCTEOSA-N 0 2 308.426 0.970 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2ncccn2)c1[O-] ZINC001268527800 940218111 /nfs/dbraw/zinc/21/81/11/940218111.db2.gz BIFMVTJXRPIASC-IHWYPQMZSA-N 0 2 302.338 0.290 20 0 DCADLN CN1CCO[C@H]2CN(C(=O)c3csc4c3[nH]cnc4=O)C[C@H]21 ZINC001269225754 940652842 /nfs/dbraw/zinc/65/28/42/940652842.db2.gz HFOOEXVXZDXEOF-ZJUUUORDSA-N 0 2 320.374 0.552 20 0 DCADLN Cc1cnoc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409061675 941301829 /nfs/dbraw/zinc/30/18/29/941301829.db2.gz RGSOWAITYFKEQT-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cnoc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409061675 941301830 /nfs/dbraw/zinc/30/18/30/941301830.db2.gz RGSOWAITYFKEQT-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN CCc1ncsc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001482601378 941579697 /nfs/dbraw/zinc/57/96/97/941579697.db2.gz HVXMXPVDLJDMOV-UHFFFAOYSA-N 0 2 324.410 0.733 20 0 DCADLN CCc1ncsc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001482601378 941579702 /nfs/dbraw/zinc/57/97/02/941579702.db2.gz HVXMXPVDLJDMOV-UHFFFAOYSA-N 0 2 324.410 0.733 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N[C@H](C)CC[NH2+]Cc1ncccn1 ZINC001482853740 941690172 /nfs/dbraw/zinc/69/01/72/941690172.db2.gz SFFDJEHICZZVIX-GFCCVEGCSA-N 0 2 302.382 0.666 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC001412885499 942124756 /nfs/dbraw/zinc/12/47/56/942124756.db2.gz PHSSXZZCLYFYRO-HBNTYKKESA-N 0 2 316.365 0.754 20 0 DCADLN C[C@@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001483409184 942341155 /nfs/dbraw/zinc/34/11/55/942341155.db2.gz JMSYTIJGSCVRNX-WDEREUQCSA-N 0 2 318.381 0.646 20 0 DCADLN C[C@@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001483409184 942341159 /nfs/dbraw/zinc/34/11/59/942341159.db2.gz JMSYTIJGSCVRNX-WDEREUQCSA-N 0 2 318.381 0.646 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)c1cccnc1 ZINC001483409180 942341858 /nfs/dbraw/zinc/34/18/58/942341858.db2.gz JMSYTIJGSCVRNX-MNOVXSKESA-N 0 2 318.381 0.646 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)c1cccnc1 ZINC001483409180 942341862 /nfs/dbraw/zinc/34/18/62/942341862.db2.gz JMSYTIJGSCVRNX-MNOVXSKESA-N 0 2 318.381 0.646 20 0 DCADLN CC(=O)N[C@H]1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC001413149954 942532355 /nfs/dbraw/zinc/53/23/55/942532355.db2.gz FPDVFNBHJRWNPT-LBPRGKRZSA-N 0 2 314.345 0.929 20 0 DCADLN C[N@@H+]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C(C)(C)C1 ZINC001364992219 942649461 /nfs/dbraw/zinc/64/94/61/942649461.db2.gz RHKANWSCFHNTTQ-SNVBAGLBSA-N 0 2 309.457 0.423 20 0 DCADLN C[N@H+]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C(C)(C)C1 ZINC001364992219 942649465 /nfs/dbraw/zinc/64/94/65/942649465.db2.gz RHKANWSCFHNTTQ-SNVBAGLBSA-N 0 2 309.457 0.423 20 0 DCADLN CCC[C@H](OCC)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001483674079 942653379 /nfs/dbraw/zinc/65/33/79/942653379.db2.gz WRZXZOGOBCCPJL-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@](COC)(NS(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC001365412287 942784105 /nfs/dbraw/zinc/78/41/05/942784105.db2.gz FGBSGLIVQUKCNI-GFCCVEGCSA-N 0 2 320.367 0.371 20 0 DCADLN CCN(C)C(=O)c1cccc(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001413429286 942974043 /nfs/dbraw/zinc/97/40/43/942974043.db2.gz ONKKOYDOLXCFAY-UHFFFAOYSA-N 0 2 324.366 0.103 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCc2[nH]cnc2C12CCC2 ZINC001413506933 943032058 /nfs/dbraw/zinc/03/20/58/943032058.db2.gz HOALYLVIGMKDRD-UHFFFAOYSA-N 0 2 301.354 0.710 20 0 DCADLN CCO[C@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001484043472 943112676 /nfs/dbraw/zinc/11/26/76/943112676.db2.gz AWDIIGSGCMTKPA-SWLSCSKDSA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001484043472 943112681 /nfs/dbraw/zinc/11/26/81/943112681.db2.gz AWDIIGSGCMTKPA-SWLSCSKDSA-N 0 2 323.397 0.406 20 0 DCADLN CCCCCC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001484587060 943462781 /nfs/dbraw/zinc/46/27/81/943462781.db2.gz LIRPGJYGRFDNGA-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)Cn2cc[nH+]c2C)C[C@H]1C ZINC001484986912 943645453 /nfs/dbraw/zinc/64/54/53/943645453.db2.gz VUDCMSXQEOJBOG-TZMCWYRMSA-N 0 2 321.425 0.297 20 0 DCADLN Cc1cnccc1CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485300783 943811354 /nfs/dbraw/zinc/81/13/54/943811354.db2.gz GEDCRJCWCPFHLL-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1cnccc1CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485300783 943811360 /nfs/dbraw/zinc/81/13/60/943811360.db2.gz GEDCRJCWCPFHLL-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN O=C(CC(F)(F)F)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001491201419 943827077 /nfs/dbraw/zinc/82/70/77/943827077.db2.gz UKTPVVSRHHUOII-QMMMGPOBSA-N 0 2 321.303 0.933 20 0 DCADLN O=C(CC(F)(F)F)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001491201419 943827081 /nfs/dbraw/zinc/82/70/81/943827081.db2.gz UKTPVVSRHHUOII-QMMMGPOBSA-N 0 2 321.303 0.933 20 0 DCADLN O=C(CC(F)(F)F)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001491201419 943827086 /nfs/dbraw/zinc/82/70/86/943827086.db2.gz UKTPVVSRHHUOII-QMMMGPOBSA-N 0 2 321.303 0.933 20 0 DCADLN C[C@H](CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001485371991 943904675 /nfs/dbraw/zinc/90/46/75/943904675.db2.gz XIDPXPYPYBJOMS-GHMZBOCLSA-N 0 2 321.385 0.295 20 0 DCADLN C[C@H](CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001485371991 943904678 /nfs/dbraw/zinc/90/46/78/943904678.db2.gz XIDPXPYPYBJOMS-GHMZBOCLSA-N 0 2 321.385 0.295 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C(F)C(F)(F)F ZINC001491352169 943934141 /nfs/dbraw/zinc/93/41/41/943934141.db2.gz AYBAKGREYKUGEX-WJYNVNEKSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)[C@H](F)C(F)(F)F ZINC001491352169 943934144 /nfs/dbraw/zinc/93/41/44/943934144.db2.gz AYBAKGREYKUGEX-WJYNVNEKSA-N 0 2 324.274 0.543 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001485661239 944065348 /nfs/dbraw/zinc/06/53/48/944065348.db2.gz SMVMEKAXKPYWNL-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001485661239 944065357 /nfs/dbraw/zinc/06/53/57/944065357.db2.gz SMVMEKAXKPYWNL-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1([C@H]2CCCO2)CCC1 ZINC001413814053 944118619 /nfs/dbraw/zinc/11/86/19/944118619.db2.gz VYTNPJFRYVLDPA-LLVKDONJSA-N 0 2 317.349 0.289 20 0 DCADLN CC1(C)CC[C@H]1NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413817139 944120871 /nfs/dbraw/zinc/12/08/71/944120871.db2.gz KKPONWQXLXJDII-MRVPVSSYSA-N 0 2 315.399 0.337 20 0 DCADLN NC(=O)c1nnc2n1CCN(C(=O)c1c(F)ccc(F)c1O)C2 ZINC001276833076 944320496 /nfs/dbraw/zinc/32/04/96/944320496.db2.gz HQAZOTAGKLLIKH-UHFFFAOYSA-N 0 2 323.259 0.017 20 0 DCADLN CC[C@@H](C)n1nc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1C ZINC001320192842 945763217 /nfs/dbraw/zinc/76/32/17/945763217.db2.gz ZPCZHCFAESWKRY-SSDOTTSWSA-N 0 2 321.341 0.241 20 0 DCADLN NC(=O)COc1ccc(C(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC001320430158 945874712 /nfs/dbraw/zinc/87/47/12/945874712.db2.gz LEZXVKAPCJQHEF-UHFFFAOYSA-N 0 2 322.346 0.195 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)c1cnnc(C)c1 ZINC001410185510 946001397 /nfs/dbraw/zinc/00/13/97/946001397.db2.gz FQKIUFSXGDSDOD-SNVBAGLBSA-N 0 2 316.365 0.294 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001410364881 946254635 /nfs/dbraw/zinc/25/46/35/946254635.db2.gz PTSFMPUTVACLFF-RNJXMRFFSA-N 0 2 302.268 0.525 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001410364881 946254644 /nfs/dbraw/zinc/25/46/44/946254644.db2.gz PTSFMPUTVACLFF-RNJXMRFFSA-N 0 2 302.268 0.525 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccccc2Cl)S1 ZINC001256341405 946619007 /nfs/dbraw/zinc/61/90/07/946619007.db2.gz KKBPOJVVLXKJKA-MRVPVSSYSA-N 0 2 306.752 0.927 20 0 DCADLN CCOC(=O)[C@H]1CC[C@@H]([NH2+][C@@H](CCC(=O)[O-])C(=O)OC)C1 ZINC001257038796 946690178 /nfs/dbraw/zinc/69/01/78/946690178.db2.gz SQONYVFCPWKWOA-AXFHLTTASA-N 0 2 301.339 0.714 20 0 DCADLN COc1ccc2nccc(NS(=O)(=O)c3cnn(C)c3)c2n1 ZINC001259821140 946988797 /nfs/dbraw/zinc/98/87/97/946988797.db2.gz KDNMPOMVZRHLIU-UHFFFAOYSA-N 0 2 319.346 0.595 20 0 DCADLN COC(=O)c1ccnc(C)c1NS(=O)(=O)c1cnn(C)c1 ZINC001259821535 946989476 /nfs/dbraw/zinc/98/94/76/946989476.db2.gz FEPBUFLPVRSQAU-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN CNC(=O)Cc1cccc(NS(=O)(=O)c2cnn(C)c2)c1 ZINC001259826974 946990841 /nfs/dbraw/zinc/99/08/41/946990841.db2.gz VPNZYZSCJRMYHW-UHFFFAOYSA-N 0 2 308.363 0.509 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(C3=NCCO3)cc2)cn1 ZINC001259826284 946990862 /nfs/dbraw/zinc/99/08/62/946990862.db2.gz GQAYWQYMBVKWLY-UHFFFAOYSA-N 0 2 306.347 0.998 20 0 DCADLN Cc1cc(NS(=O)(=O)c2c(C)nn(C)c2C)n(CCO)n1 ZINC001260105381 947066814 /nfs/dbraw/zinc/06/68/14/947066814.db2.gz UNZSCIWHOSRLCR-UHFFFAOYSA-N 0 2 313.383 0.335 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2c(C)nn(C)c2C)ccn1 ZINC001260105470 947067939 /nfs/dbraw/zinc/06/79/39/947067939.db2.gz YHZJLWPHBFWMMS-UHFFFAOYSA-N 0 2 324.362 0.441 20 0 DCADLN CN1C(=O)CN(NC(=O)c2csc(-c3ccco3)n2)C1=O ZINC001323477498 947097358 /nfs/dbraw/zinc/09/73/58/947097358.db2.gz ULMQVZCHGZSLIH-UHFFFAOYSA-N 0 2 306.303 0.942 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2cc(=O)[nH]c(Cl)n2)cn1C ZINC001260542621 947108680 /nfs/dbraw/zinc/10/86/80/947108680.db2.gz IGODNSPXUKETLM-UHFFFAOYSA-N 0 2 303.731 0.678 20 0 DCADLN Cn1ncc2cccc(NS(=O)(=O)CS(C)(=O)=O)c21 ZINC001260590588 947119214 /nfs/dbraw/zinc/11/92/14/947119214.db2.gz JXKICHNCMYLFRE-UHFFFAOYSA-N 0 2 303.365 0.317 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1ccc2c(c1)CNC(=O)C2 ZINC001261030668 947167114 /nfs/dbraw/zinc/16/71/14/947167114.db2.gz ITVUNODHJGURJC-UHFFFAOYSA-N 0 2 320.374 1.000 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2c(C)n[nH]c2C)ccn1 ZINC001261024376 947168254 /nfs/dbraw/zinc/16/82/54/947168254.db2.gz VXSMXUYWCHTGOS-UHFFFAOYSA-N 0 2 310.335 0.431 20 0 DCADLN O=C(NCC1CC(NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001411314865 947694826 /nfs/dbraw/zinc/69/48/26/947694826.db2.gz AJZBNUOQBWWUSR-NPWHJSNTSA-N 0 2 323.250 0.330 20 0 DCADLN CCC(=O)N(C)CCOCCNC(=O)C(F)C(F)(F)F ZINC001487583466 948128416 /nfs/dbraw/zinc/12/84/16/948128416.db2.gz HFGPBFMALIVOEN-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCC(=O)N(C)CCOCCNC(=O)[C@@H](F)C(F)(F)F ZINC001487583466 948128420 /nfs/dbraw/zinc/12/84/20/948128420.db2.gz HFGPBFMALIVOEN-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN Cc1cc(NC(=O)C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001326385883 948145307 /nfs/dbraw/zinc/14/53/07/948145307.db2.gz SSEDMBPLXGHKOU-QMMMGPOBSA-N 0 2 320.309 0.151 20 0 DCADLN COC[C@](C)([NH2+]CC(=O)Nc1oc(C)c(C)c1C#N)C(=O)[O-] ZINC000328549477 971495461 /nfs/dbraw/zinc/49/54/61/971495461.db2.gz RHMVXDZBAXFUDO-AWEZNQCLSA-N 0 2 309.322 0.786 20 0 DCADLN CC[C@H]([NH2+]CC(=O)NCC(=O)[O-])c1nnc2n1CCCCC2 ZINC001589567991 948885751 /nfs/dbraw/zinc/88/57/51/948885751.db2.gz IEVFCJRYMCWVFT-JTQLQIEISA-N 0 2 309.370 0.246 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC001328627492 948996886 /nfs/dbraw/zinc/99/68/86/948996886.db2.gz LQYVMZSHEXFAFE-LLVKDONJSA-N 0 2 312.333 0.696 20 0 DCADLN Cn1ncc(C(F)(F)F)c1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001328638212 949004311 /nfs/dbraw/zinc/00/43/11/949004311.db2.gz WJZAPLMJYBKZKB-UHFFFAOYSA-N 0 2 318.259 0.625 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc3nonc3c2)S1 ZINC001364430686 949270694 /nfs/dbraw/zinc/27/06/94/949270694.db2.gz VOHQJLOCPJSGHS-SECBINFHSA-N 0 2 305.319 0.395 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@H+](Cc3[nH]nc4c3COCC4)C2)n[nH]1 ZINC001364435713 949279733 /nfs/dbraw/zinc/27/97/33/949279733.db2.gz LISYQWUALFXAFW-VIFPVBQESA-N 0 2 304.354 0.686 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@@H+](Cc3[nH]nc4c3COCC4)C2)n[nH]1 ZINC001364435713 949279754 /nfs/dbraw/zinc/27/97/54/949279754.db2.gz LISYQWUALFXAFW-VIFPVBQESA-N 0 2 304.354 0.686 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1C[C@H](CO)[C@H](CCO)C1 ZINC001364541281 949525628 /nfs/dbraw/zinc/52/56/28/949525628.db2.gz BXUYADMMKSRSSE-RKDXNWHRSA-N 0 2 301.289 0.733 20 0 DCADLN CCC1(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCC1 ZINC001364752670 949876108 /nfs/dbraw/zinc/87/61/08/949876108.db2.gz FILJMWUIXVXKIF-UHFFFAOYSA-N 0 2 315.399 0.481 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](CC(=O)N1CCC2(CC1)OCCO2)C1CC1 ZINC001602819766 971620216 /nfs/dbraw/zinc/62/02/16/971620216.db2.gz HIHBKDVYMMCPSN-LLVKDONJSA-N 0 2 312.366 0.289 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](CC(=O)N1CCC2(CC1)OCCO2)C1CC1 ZINC001602819766 971620220 /nfs/dbraw/zinc/62/02/20/971620220.db2.gz HIHBKDVYMMCPSN-LLVKDONJSA-N 0 2 312.366 0.289 20 0 DCADLN O=C(N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C12CCC(CC1)C2 ZINC001364954590 950252353 /nfs/dbraw/zinc/25/23/53/950252353.db2.gz PUPCGSCLFJPOOH-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN Cc1ccc(O)c(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001364997673 950329484 /nfs/dbraw/zinc/32/94/84/950329484.db2.gz LMPXUZUSRZLMFM-UHFFFAOYSA-N 0 2 324.362 0.293 20 0 DCADLN O=C(NCCn1cccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365107505 950531290 /nfs/dbraw/zinc/53/12/90/950531290.db2.gz NUSZFTLDNBTKMQ-JTQLQIEISA-N 0 2 305.342 0.296 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC001365110512 950536817 /nfs/dbraw/zinc/53/68/17/950536817.db2.gz ZXXROMYDLVOTFC-NXEZZACHSA-N 0 2 319.369 0.894 20 0 DCADLN C[C@@H](NC(=O)c1cc2n(n1)CCCC2=O)c1nn(C)cc1O ZINC001365119175 950553197 /nfs/dbraw/zinc/55/31/97/950553197.db2.gz IDXSTSULQWZMCA-MRVPVSSYSA-N 0 2 303.322 0.790 20 0 DCADLN CC(C)(C)c1coc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)n1 ZINC001573884591 950798969 /nfs/dbraw/zinc/79/89/69/950798969.db2.gz NQNYWEUYRROGBV-UHFFFAOYSA-N 0 2 317.309 0.463 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001595041297 951426535 /nfs/dbraw/zinc/42/65/35/951426535.db2.gz YSHSYEQGAYPWMW-UHFFFAOYSA-N 0 2 318.333 0.322 20 0 DCADLN CS(=O)(=O)CCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001365692549 951442418 /nfs/dbraw/zinc/44/24/18/951442418.db2.gz MFMWFWMSDJKCHZ-MRVPVSSYSA-N 0 2 320.308 0.076 20 0 DCADLN CS(=O)(=O)CCN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001365692549 951442424 /nfs/dbraw/zinc/44/24/24/951442424.db2.gz MFMWFWMSDJKCHZ-MRVPVSSYSA-N 0 2 320.308 0.076 20 0 DCADLN CO[C@H](C)CCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333329434 952008124 /nfs/dbraw/zinc/00/81/24/952008124.db2.gz UCEYGRMDMBSWMI-LLVKDONJSA-N 0 2 323.397 0.574 20 0 DCADLN CO[C@H](C)CCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333329434 952008133 /nfs/dbraw/zinc/00/81/33/952008133.db2.gz UCEYGRMDMBSWMI-LLVKDONJSA-N 0 2 323.397 0.574 20 0 DCADLN O=C([O-])Cn1cc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)nn1 ZINC001595145009 952108323 /nfs/dbraw/zinc/10/83/23/952108323.db2.gz QUAZFPHINCZXJJ-UHFFFAOYSA-N 0 2 312.289 0.801 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H]1C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC001595177445 952239759 /nfs/dbraw/zinc/23/97/59/952239759.db2.gz JNVZBZUWAHMRFR-MGPQQGTHSA-N 0 2 310.394 0.811 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)C1(C(=O)[O-])CC2(CCC2)C1 ZINC001334217290 952261876 /nfs/dbraw/zinc/26/18/76/952261876.db2.gz CGHCMNHVRNAINJ-ZDUSSCGKSA-N 0 2 323.437 0.774 20 0 DCADLN Cc1ncccc1CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001411570193 952281677 /nfs/dbraw/zinc/28/16/77/952281677.db2.gz MGBBJEVJNWFDJA-UHFFFAOYSA-N 0 2 312.333 0.134 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1C[N@H+](Cn1nc3c(cc1=O)CCCC3)C2 ZINC001595203274 952320099 /nfs/dbraw/zinc/32/00/99/952320099.db2.gz UBZHJUUELDFIHU-MLGOLLRUSA-N 0 2 319.361 0.113 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1C[N@@H+](Cn1nc3c(cc1=O)CCCC3)C2 ZINC001595203274 952320103 /nfs/dbraw/zinc/32/01/03/952320103.db2.gz UBZHJUUELDFIHU-MLGOLLRUSA-N 0 2 319.361 0.113 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CS(=O)(=O)C[C@H]2C(F)(F)F)n1 ZINC001411741851 952421232 /nfs/dbraw/zinc/42/12/32/952421232.db2.gz IBZJOSHIUNQGJF-PHDIDXHHSA-N 0 2 312.273 0.275 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1nccc(C2CC2)n1 ZINC001412242925 952712405 /nfs/dbraw/zinc/71/24/05/952712405.db2.gz YFPPZWZFADXBKN-UHFFFAOYSA-N 0 2 311.305 0.377 20 0 DCADLN CC(C)[C@H](C(=O)N[C@H](C)CN(C)C(=O)Cc1[nH]cc[nH+]1)[NH+](C)C ZINC001412681718 952988828 /nfs/dbraw/zinc/98/88/28/952988828.db2.gz WTACCDSLBUPZQA-IUODEOHRSA-N 0 2 323.441 0.502 20 0 DCADLN Cc1ccncc1C(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001412724881 953022355 /nfs/dbraw/zinc/02/23/55/953022355.db2.gz JAYDUQXPPAIKES-LBPRGKRZSA-N 0 2 315.377 0.933 20 0 DCADLN CC(C)CS(=O)(=O)CC(=O)N[C@H](C)c1nn(C)cc1O ZINC001412753139 953057129 /nfs/dbraw/zinc/05/71/29/953057129.db2.gz HKGATKCCZFAWCX-SECBINFHSA-N 0 2 303.384 0.374 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)c1nn(C)cc1O ZINC001412757442 953074295 /nfs/dbraw/zinc/07/42/95/953074295.db2.gz QVRXVEYYFAUZCE-RKDXNWHRSA-N 0 2 318.337 0.079 20 0 DCADLN CO[C@@H]1CC[C@H]1N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412791793 953095120 /nfs/dbraw/zinc/09/51/20/953095120.db2.gz OVIXSSSCWONJIW-VXGBXAGGSA-N 0 2 318.333 0.516 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc([C@H]2CCC(=O)N2)c1 ZINC001365917151 953409195 /nfs/dbraw/zinc/40/91/95/953409195.db2.gz VJHPOESQCWZVRE-SNVBAGLBSA-N 0 2 316.321 0.783 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)C1 ZINC001589274547 953450652 /nfs/dbraw/zinc/45/06/52/953450652.db2.gz UJTFHEDLSMASHM-AGIUHOORSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)C1 ZINC001589274547 953450659 /nfs/dbraw/zinc/45/06/59/953450659.db2.gz UJTFHEDLSMASHM-AGIUHOORSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CC[C@H](C(=O)[O-])CN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001589310013 953780912 /nfs/dbraw/zinc/78/09/12/953780912.db2.gz BESMGSGKNQIPDW-QWRGUYRKSA-N 0 2 301.368 0.398 20 0 DCADLN COc1ncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001283059300 953965400 /nfs/dbraw/zinc/96/54/00/953965400.db2.gz OVZFUFQUUAMESQ-ZETCQYMHSA-N 0 2 324.234 0.232 20 0 DCADLN COc1ncc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001283059300 953965405 /nfs/dbraw/zinc/96/54/05/953965405.db2.gz OVZFUFQUUAMESQ-ZETCQYMHSA-N 0 2 324.234 0.232 20 0 DCADLN O=C([N-]OCc1ccccc1)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001347085114 954021359 /nfs/dbraw/zinc/02/13/59/954021359.db2.gz LWXWOTVRARXDMW-CYBMUJFWSA-N 0 2 314.345 0.562 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)[C@]2(C(=O)[O-])CCCO2)n1 ZINC001593704368 954147388 /nfs/dbraw/zinc/14/73/88/954147388.db2.gz SPXQCYBIQMFMOJ-ZDUSSCGKSA-N 0 2 313.379 0.455 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CCCCC1 ZINC001594851211 954191144 /nfs/dbraw/zinc/19/11/44/954191144.db2.gz GKYKZEWRRKMIHO-ZDUSSCGKSA-N 0 2 310.394 0.955 20 0 DCADLN COC1CC(C(=O)NCCCNC(=O)C(F)C(F)(F)F)C1 ZINC001283272740 954207387 /nfs/dbraw/zinc/20/73/87/954207387.db2.gz BWIPUTFGSLNWSI-HACHORDNSA-N 0 2 314.279 0.934 20 0 DCADLN COC1CC(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001283272740 954207401 /nfs/dbraw/zinc/20/74/01/954207401.db2.gz BWIPUTFGSLNWSI-HACHORDNSA-N 0 2 314.279 0.934 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnc(C)nc1C)Cc1n[nH]c(=O)[n-]1 ZINC001366632654 954554640 /nfs/dbraw/zinc/55/46/40/954554640.db2.gz HQWMFWJSLBHNRR-UHFFFAOYSA-N 0 2 319.369 0.169 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cnc(C)nc1C)Cc1n[nH]c(=O)[n-]1 ZINC001366632654 954554652 /nfs/dbraw/zinc/55/46/52/954554652.db2.gz HQWMFWJSLBHNRR-UHFFFAOYSA-N 0 2 319.369 0.169 20 0 DCADLN COCC1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001366670377 954620553 /nfs/dbraw/zinc/62/05/53/954620553.db2.gz SMVJENSETRIXHT-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN COCC1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001366670377 954620557 /nfs/dbraw/zinc/62/05/57/954620557.db2.gz SMVJENSETRIXHT-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](CCOCC(F)(F)F)CCO1 ZINC000711551338 955052549 /nfs/dbraw/zinc/05/25/49/955052549.db2.gz PVEDSPMKGIBHKA-SNVBAGLBSA-N 0 2 301.261 0.367 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](CCOCC(F)(F)F)CCO1 ZINC000711551338 955052560 /nfs/dbraw/zinc/05/25/60/955052560.db2.gz PVEDSPMKGIBHKA-SNVBAGLBSA-N 0 2 301.261 0.367 20 0 DCADLN O=C(CN1CC(NC(=O)C(F)C(F)(F)F)C1)N1CCCC1 ZINC001366922806 955061714 /nfs/dbraw/zinc/06/17/14/955061714.db2.gz RIMMMARKRXAJCE-JTQLQIEISA-N 0 2 311.279 0.310 20 0 DCADLN O=C(CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1)N1CCCC1 ZINC001366922806 955061722 /nfs/dbraw/zinc/06/17/22/955061722.db2.gz RIMMMARKRXAJCE-JTQLQIEISA-N 0 2 311.279 0.310 20 0 DCADLN CC[C@@H](CNC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC001589518809 955082205 /nfs/dbraw/zinc/08/22/05/955082205.db2.gz NTJLQUZOCQJGLL-NWDGAFQWSA-N 0 2 322.365 0.274 20 0 DCADLN CC[C@@H](CNC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1)C(=O)[O-] ZINC001589529561 955145592 /nfs/dbraw/zinc/14/55/92/955145592.db2.gz XLUUTHOZTSDCKW-LBPRGKRZSA-N 0 2 321.381 0.727 20 0 DCADLN CC[C@@H](CNC(=O)NCC[N@@H+]1CCOC[C@H]1CC)C(=O)[O-] ZINC001589538885 955185738 /nfs/dbraw/zinc/18/57/38/955185738.db2.gz UJUPXMFDDSPGMY-NWDGAFQWSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@@H](CNC(=O)NCC[N@H+]1CCOC[C@H]1CC)C(=O)[O-] ZINC001589538885 955185740 /nfs/dbraw/zinc/18/57/40/955185740.db2.gz UJUPXMFDDSPGMY-NWDGAFQWSA-N 0 2 301.387 0.507 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H](C(=O)[O-])C1CCCC1 ZINC001593863464 955563616 /nfs/dbraw/zinc/56/36/16/955563616.db2.gz IYXGIUZEIXCLFZ-RYUDHWBXSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H](C(=O)[O-])C1CCCC1 ZINC001593863464 955563626 /nfs/dbraw/zinc/56/36/26/955563626.db2.gz IYXGIUZEIXCLFZ-RYUDHWBXSA-N 0 2 323.349 0.501 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)c1cccc(OCC(=O)[O-])c1 ZINC001589038771 955572530 /nfs/dbraw/zinc/57/25/30/955572530.db2.gz HQHJXDHREIFGGN-LBPRGKRZSA-N 0 2 322.361 0.601 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@]1(C(=O)[O-])CC=CCC1 ZINC001589040014 955587513 /nfs/dbraw/zinc/58/75/13/955587513.db2.gz WVMSMYIAURAPKS-CJNGLKHVSA-N 0 2 310.394 0.977 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC001593926049 956127184 /nfs/dbraw/zinc/12/71/84/956127184.db2.gz WHELZFCIOOCHFZ-OAHLLOKOSA-N 0 2 324.381 0.926 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001353926402 956227981 /nfs/dbraw/zinc/22/79/81/956227981.db2.gz PMSJEPTUJZOODW-CQSZACIVSA-N 0 2 320.393 0.520 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2ncc(Cl)cn2)[nH]1 ZINC001472889131 956560129 /nfs/dbraw/zinc/56/01/29/956560129.db2.gz PIACXXCFRAWMAE-UHFFFAOYSA-N 0 2 302.747 0.405 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)N[C@@H](Cc1ccccc1)C(=O)[O-] ZINC001594668919 956602588 /nfs/dbraw/zinc/60/25/88/956602588.db2.gz YSJUWXHLOVMKIA-SZTZYQKNSA-N 0 2 318.373 0.687 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+]([C@@H](C)C(=O)N(C)C(C)C)CCO1 ZINC001593984055 956742209 /nfs/dbraw/zinc/74/22/09/956742209.db2.gz SUICLUMXZCJENA-SMDDNHRTSA-N 0 2 302.371 0.044 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+]([C@@H](C)C(=O)N(C)C(C)C)CCO1 ZINC001593984055 956742220 /nfs/dbraw/zinc/74/22/20/956742220.db2.gz SUICLUMXZCJENA-SMDDNHRTSA-N 0 2 302.371 0.044 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2ccc(N(C)C)nc2)CCO1 ZINC001593985308 956766596 /nfs/dbraw/zinc/76/65/96/956766596.db2.gz WQTQMVOQZPTBOI-HNNXBMFYSA-N 0 2 309.366 0.450 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2ccc(N(C)C)nc2)CCO1 ZINC001593985308 956766603 /nfs/dbraw/zinc/76/66/03/956766603.db2.gz WQTQMVOQZPTBOI-HNNXBMFYSA-N 0 2 309.366 0.450 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001594719227 956954868 /nfs/dbraw/zinc/95/48/68/956954868.db2.gz OVPPFOSPYIJCAY-TZMCWYRMSA-N 0 2 314.345 0.653 20 0 DCADLN CCc1ncoc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292843741 957171084 /nfs/dbraw/zinc/17/10/84/957171084.db2.gz KYCUIBXXGMONIJ-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1ncoc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001292843741 957171089 /nfs/dbraw/zinc/17/10/89/957171089.db2.gz KYCUIBXXGMONIJ-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)C1(CC(=O)[O-])CCOCC1 ZINC001603158656 972258021 /nfs/dbraw/zinc/25/80/21/972258021.db2.gz CMCWLXHDUPREMI-GFCCVEGCSA-N 0 2 314.382 0.095 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)OC(=O)C1(C(=O)[O-])CCSCC1 ZINC001603158871 972259509 /nfs/dbraw/zinc/25/95/09/972259509.db2.gz IMMQYVAURXUGPI-LLVKDONJSA-N 0 2 317.407 0.848 20 0 DCADLN Cn1nc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1-c1ccc(F)cc1 ZINC001294482357 957534403 /nfs/dbraw/zinc/53/44/03/957534403.db2.gz UJNZOLBEIAJOEB-UHFFFAOYSA-N 0 2 316.296 0.980 20 0 DCADLN Cc1[nH]c(CNC(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[nH+]c1C ZINC001294521082 957542151 /nfs/dbraw/zinc/54/21/51/957542151.db2.gz WKZPVMKMTRNZBZ-UHFFFAOYSA-N 0 2 314.305 0.706 20 0 DCADLN COCCOc1ccc(C[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000066115199 957640601 /nfs/dbraw/zinc/64/06/01/957640601.db2.gz UFTOBPDOQNVXHZ-AWEZNQCLSA-N 0 2 322.361 0.487 20 0 DCADLN COCCOc1ccc(C[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000066115199 957640610 /nfs/dbraw/zinc/64/06/10/957640610.db2.gz UFTOBPDOQNVXHZ-AWEZNQCLSA-N 0 2 322.361 0.487 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cc(-c3cccc(O)c3)on2)C1=O ZINC001295173088 957653163 /nfs/dbraw/zinc/65/31/63/957653163.db2.gz XMVUNVMNHPGXRF-UHFFFAOYSA-N 0 2 316.273 0.586 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@@H]2CCCO2)C1 ZINC001417254036 957816544 /nfs/dbraw/zinc/81/65/44/957816544.db2.gz GIIXCHSFNXCOIS-IUCAKERBSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)[C@@H]2CCCO2)C1 ZINC001417254036 957816554 /nfs/dbraw/zinc/81/65/54/957816554.db2.gz GIIXCHSFNXCOIS-IUCAKERBSA-N 0 2 312.263 0.735 20 0 DCADLN CC(C)c1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)no1 ZINC001361582583 958106738 /nfs/dbraw/zinc/10/67/38/958106738.db2.gz AAIHXNBRTKVXHC-UHFFFAOYSA-N 0 2 302.294 0.821 20 0 DCADLN COc1cncc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC001361601595 958123850 /nfs/dbraw/zinc/12/38/50/958123850.db2.gz XICPSSMORJJSTJ-UHFFFAOYSA-N 0 2 300.278 0.114 20 0 DCADLN CCCOCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001297174401 958168822 /nfs/dbraw/zinc/16/88/22/958168822.db2.gz YYNPNDPVGOLVRS-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN CCCOCC(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001297174401 958168863 /nfs/dbraw/zinc/16/88/63/958168863.db2.gz YYNPNDPVGOLVRS-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NCCCCCO)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001297401960 958248514 /nfs/dbraw/zinc/24/85/14/958248514.db2.gz YWDIGLUNSMJQBT-UHFFFAOYSA-N 0 2 306.322 0.159 20 0 DCADLN Cc1noc([C@@H](C)[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001367665484 958311820 /nfs/dbraw/zinc/31/18/20/958311820.db2.gz DZBAJGHGBDKLDB-HTRCEHHLSA-N 0 2 308.342 0.584 20 0 DCADLN CNC(=O)CNC(=O)c1cc(Br)cc(F)c1O ZINC001361901085 958465354 /nfs/dbraw/zinc/46/53/54/958465354.db2.gz AYGDYTUOBKHSON-UHFFFAOYSA-N 0 2 305.103 0.770 20 0 DCADLN C/C(=C\C(=O)Nc1ccc(C(=O)[O-])cn1)C[NH+]1CCOCC1 ZINC001588482550 958600080 /nfs/dbraw/zinc/60/00/80/958600080.db2.gz VYTDTFIWWKISOM-DHZHZOJOSA-N 0 2 305.334 0.997 20 0 DCADLN Cc1noc(CCNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001367905962 958828847 /nfs/dbraw/zinc/82/88/47/958828847.db2.gz NHWLJXXSKMFGBS-SECBINFHSA-N 0 2 321.341 0.333 20 0 DCADLN COc1ncccc1C[NH2+]C1(CNC(=O)c2ncn[nH]2)CC1 ZINC001368027336 959121136 /nfs/dbraw/zinc/12/11/36/959121136.db2.gz QPBUQGDQWDOWKG-UHFFFAOYSA-N 0 2 302.338 0.261 20 0 DCADLN COc1ncccc1C[NH2+]C1(CNC(=O)c2nc[nH]n2)CC1 ZINC001368027336 959121142 /nfs/dbraw/zinc/12/11/42/959121142.db2.gz QPBUQGDQWDOWKG-UHFFFAOYSA-N 0 2 302.338 0.261 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC/C=C\c1cccnc1 ZINC001362505590 959485011 /nfs/dbraw/zinc/48/50/11/959485011.db2.gz SROCKZZDRXNJJQ-RQOWECAXSA-N 0 2 310.317 0.296 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](C)CC(=O)N2CCCCC2)c1[O-] ZINC001492227559 959728812 /nfs/dbraw/zinc/72/88/12/959728812.db2.gz ZFBZMUWCQZSFSX-UHFFFAOYSA-N 0 2 323.397 0.098 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](C)CC(=O)N2CCCCC2)c1[O-] ZINC001492227559 959728822 /nfs/dbraw/zinc/72/88/22/959728822.db2.gz ZFBZMUWCQZSFSX-UHFFFAOYSA-N 0 2 323.397 0.098 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC[C@H]1C1CC1 ZINC001362724183 959894240 /nfs/dbraw/zinc/89/42/40/959894240.db2.gz ITFRGGSGUKCCBL-LBPRGKRZSA-N 0 2 300.318 0.891 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)c3ccc(=O)[nH]n3)CC2)CC1 ZINC001362793353 959994829 /nfs/dbraw/zinc/99/48/29/959994829.db2.gz YCMZDVQTQQJJQD-UHFFFAOYSA-N 0 2 305.382 0.034 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1CCOCC1 ZINC001377402366 960037738 /nfs/dbraw/zinc/03/77/38/960037738.db2.gz KRLDXZQGEXSGBK-IONNQARKSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C1CCOCC1 ZINC001377402366 960037748 /nfs/dbraw/zinc/03/77/48/960037748.db2.gz KRLDXZQGEXSGBK-IONNQARKSA-N 0 2 314.279 0.934 20 0 DCADLN CC(C)(C)N1CCC[C@@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1=O ZINC001306659359 960130930 /nfs/dbraw/zinc/13/09/30/960130930.db2.gz BPRKBFPMQPLTBQ-JTQLQIEISA-N 0 2 323.397 0.596 20 0 DCADLN CO[C@H]1CC[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362925734 960185244 /nfs/dbraw/zinc/18/52/44/960185244.db2.gz WQBRTUNZYLBWHM-PWSUYJOCSA-N 0 2 318.333 0.564 20 0 DCADLN C[C@](CO)(NC(=O)C[C@H]1SC(=N)NC1=O)c1ccc(O)cc1 ZINC001362960558 960230218 /nfs/dbraw/zinc/23/02/18/960230218.db2.gz VKYOYFCQYFZRQB-QMTHXVAHSA-N 0 2 323.374 0.272 20 0 DCADLN CC(C)c1nc(C(=O)N2CCSC[C@H]2c2nn[nH]n2)c[nH]1 ZINC001362974690 960246789 /nfs/dbraw/zinc/24/67/89/960246789.db2.gz TYJAENZXEBIKMY-VIFPVBQESA-N 0 2 307.383 0.977 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC12CCC2 ZINC001377549069 960265319 /nfs/dbraw/zinc/26/53/19/960265319.db2.gz QMOODWVASORPNX-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC12CCC2 ZINC001377549069 960265322 /nfs/dbraw/zinc/26/53/22/960265322.db2.gz QMOODWVASORPNX-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN Cc1cc(C)nc(SCC(=O)NCc2nc(O)cc(=O)[nH]2)n1 ZINC001363042594 960333599 /nfs/dbraw/zinc/33/35/99/960333599.db2.gz YKUUUVJTTDGMSZ-UHFFFAOYSA-N 0 2 321.362 0.703 20 0 DCADLN CC(C)N(C[C@H]1CCC(=O)N1)C(=O)C[C@H]1SC(=N)NC1=O ZINC001363068630 960366059 /nfs/dbraw/zinc/36/60/59/960366059.db2.gz OGIJNUPMJMOPKH-RKDXNWHRSA-N 0 2 312.395 0.058 20 0 DCADLN CN(C[C@]12CCC[C@H]1OCC2)C(=O)C[C@H]1SC(=N)NC1=O ZINC001363072789 960371997 /nfs/dbraw/zinc/37/19/97/960371997.db2.gz BEIVWTBNNRKYRT-GPCCPHFNSA-N 0 2 311.407 0.960 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCCc2cccnc21 ZINC001571123487 961469553 /nfs/dbraw/zinc/46/95/53/961469553.db2.gz QLLUGTFGBBKWCG-QWHCGFSZSA-N 0 2 314.345 0.968 20 0 DCADLN C[C@H]1CCC[C@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001363630994 961586918 /nfs/dbraw/zinc/58/69/18/961586918.db2.gz KBUABUNKFYTOCG-UWVGGRQHSA-N 0 2 314.411 0.792 20 0 DCADLN C/C(=C\C(=O)NCc1ccc(C(=O)[O-])nc1)C[NH+]1CCOCC1 ZINC001334214752 961786618 /nfs/dbraw/zinc/78/66/18/961786618.db2.gz NWBKFCMYALNBOF-XYOKQWHBSA-N 0 2 319.361 0.675 20 0 DCADLN CC(C)C[C@H](CN1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O)C(=O)[O-] ZINC001588847226 961895960 /nfs/dbraw/zinc/89/59/60/961895960.db2.gz ICGXVOPHIXTSBR-KOLCDFICSA-N 0 2 308.338 0.620 20 0 DCADLN CC(C)C[C@H](CN1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O)C(=O)[O-] ZINC001588847226 961895983 /nfs/dbraw/zinc/89/59/83/961895983.db2.gz ICGXVOPHIXTSBR-KOLCDFICSA-N 0 2 308.338 0.620 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)NC2CC[NH+]([C@H]3CCOC3=O)CC2)C1 ZINC001571165665 961993286 /nfs/dbraw/zinc/99/32/86/961993286.db2.gz NQVAMXCBFOXPEJ-LOWVWBTDSA-N 0 2 324.377 0.383 20 0 DCADLN C[C@H](NC(=O)CNC(=O)c1cccc(O)c1)c1nn(C)cc1O ZINC001363848899 962013088 /nfs/dbraw/zinc/01/30/88/962013088.db2.gz IOYYNXJVBPTKJS-VIFPVBQESA-N 0 2 318.333 0.439 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@@H](C)CN(C)C(=O)Cn2c[nH+]cc2C)[nH]1 ZINC001379191738 962315098 /nfs/dbraw/zinc/31/50/98/962315098.db2.gz QKXUOOMZGMVFPH-JQWIXIFHSA-N 0 2 319.413 0.816 20 0 DCADLN Cc1nn[nH]c1C(=O)N(C)C[C@@H](C)[NH2+][C@H](C)c1nncn1C ZINC001379193070 962321185 /nfs/dbraw/zinc/32/11/85/962321185.db2.gz BNCAUYDYNLDSJJ-PSASIEDQSA-N 0 2 306.374 0.053 20 0 DCADLN O=C([O-])C1=NO[C@@H](C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC001574644305 962373085 /nfs/dbraw/zinc/37/30/85/962373085.db2.gz RTCAREZZGYVHKO-LLVKDONJSA-N 0 2 306.322 0.108 20 0 DCADLN CC(C)C[C@@H]1C[NH+](C)CCN1C(=O)[C@H]1C[N@H+]2CCN1C[C@H]2C ZINC001364235223 962724324 /nfs/dbraw/zinc/72/43/24/962724324.db2.gz LMHOGHANJCDJIP-BZUAXINKSA-N 0 2 308.470 0.563 20 0 DCADLN CCc1c(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)cnn1C ZINC001364244731 962742030 /nfs/dbraw/zinc/74/20/30/962742030.db2.gz JXDOUPUZDXWGFT-UHFFFAOYSA-N 0 2 319.365 0.807 20 0 DCADLN CCCN(C(=O)C[C@H]1SC(=N)NC1=O)[C@H](C)C(=O)OC ZINC001364290379 962832797 /nfs/dbraw/zinc/83/27/97/962832797.db2.gz FZMPQWHCNZBNPV-HTQZYQBOSA-N 0 2 301.368 0.343 20 0 DCADLN CN(C(=O)CCc1cscn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380254435 962933398 /nfs/dbraw/zinc/93/33/98/962933398.db2.gz ANCYUEFAWUTWFC-UHFFFAOYSA-N 0 2 322.394 0.242 20 0 DCADLN Cc1c[nH]c(CNC(=O)C[C@H]2SC(=N)NC2=O)c(C)c1=O ZINC001364360501 962953774 /nfs/dbraw/zinc/95/37/74/962953774.db2.gz NUBOZMOMACYXAG-SECBINFHSA-N 0 2 308.363 0.164 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1cnc2nccn2c1 ZINC001447383234 1013406816 /nfs/dbraw/zinc/40/68/16/1013406816.db2.gz NTTYVQHQKPBPEW-UHFFFAOYSA-N 0 2 307.269 0.670 20 0 DCADLN CCC[C@H](C)C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001380816099 963604466 /nfs/dbraw/zinc/60/44/66/963604466.db2.gz QMDSTCBSABIAPO-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN COc1ccc(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000314701392 963793853 /nfs/dbraw/zinc/79/38/53/963793853.db2.gz GYDJPHHWDLTRHV-CYBMUJFWSA-N 0 2 303.318 0.773 20 0 DCADLN COc1ccc(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000314701392 963793857 /nfs/dbraw/zinc/79/38/57/963793857.db2.gz GYDJPHHWDLTRHV-CYBMUJFWSA-N 0 2 303.318 0.773 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)Nc1ccc(S(N)(=O)=O)cc1C(=O)[O-] ZINC000314857902 963821655 /nfs/dbraw/zinc/82/16/55/963821655.db2.gz YGCIIEXCPVOQNQ-SECBINFHSA-N 0 2 324.362 0.729 20 0 DCADLN Cn1cccc1[C@@H]1COCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC001447521982 1013448649 /nfs/dbraw/zinc/44/86/49/1013448649.db2.gz IYLPWPKROSXLLM-QWRGUYRKSA-N 0 2 322.390 0.481 20 0 DCADLN CC(C)C[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])no2)CC1 ZINC000316052845 963969900 /nfs/dbraw/zinc/96/99/00/963969900.db2.gz WRIXYSSXTLMZAK-UHFFFAOYSA-N 0 2 317.367 0.335 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CC12CCOCC2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013131 964292062 /nfs/dbraw/zinc/29/20/62/964292062.db2.gz UJUWOGMQDDHUOU-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CC12CCOCC2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013131 964292071 /nfs/dbraw/zinc/29/20/71/964292071.db2.gz UJUWOGMQDDHUOU-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H](CNC(=O)CCc1cscn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013901 964298533 /nfs/dbraw/zinc/29/85/33/964298533.db2.gz WYKFKHWFNBJHPV-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN C[C@H](CNC(=O)CCc1cscn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013901 964298543 /nfs/dbraw/zinc/29/85/43/964298543.db2.gz WYKFKHWFNBJHPV-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN COCCC(C)(C)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001375359889 964303643 /nfs/dbraw/zinc/30/36/43/964303643.db2.gz QAWOVIIGGUIYJW-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@H]1CCCOC1 ZINC001375477060 964453229 /nfs/dbraw/zinc/45/32/29/964453229.db2.gz QJPKVVQRCMJXCV-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)C1([NH+](C)C)CCC1)[C@@H]1CC[N@H+](Cc2cncn2C)C1 ZINC001373669124 964455294 /nfs/dbraw/zinc/45/52/94/964455294.db2.gz BOLDWRSOXCSYAX-CQSZACIVSA-N 0 2 319.453 0.937 20 0 DCADLN CCn1ncnc1CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001375677271 964704234 /nfs/dbraw/zinc/70/42/34/964704234.db2.gz XEAHCVIJYVEMBP-SNVBAGLBSA-N 0 2 323.294 0.841 20 0 DCADLN CCn1ncnc1CN1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001375677271 964704238 /nfs/dbraw/zinc/70/42/38/964704238.db2.gz XEAHCVIJYVEMBP-SNVBAGLBSA-N 0 2 323.294 0.841 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001373867149 964730785 /nfs/dbraw/zinc/73/07/85/964730785.db2.gz IINFSLGDRDJICY-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001373867149 964730789 /nfs/dbraw/zinc/73/07/89/964730789.db2.gz IINFSLGDRDJICY-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN C[C@@](O)(CC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001375822048 964872322 /nfs/dbraw/zinc/87/23/22/964872322.db2.gz PXKNACGFPJMIAL-HUUCEWRRSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@](O)(CC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001375822048 964872331 /nfs/dbraw/zinc/87/23/31/964872331.db2.gz PXKNACGFPJMIAL-HUUCEWRRSA-N 0 2 323.397 0.142 20 0 DCADLN CCc1nc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)co1 ZINC001374064129 964966218 /nfs/dbraw/zinc/96/62/18/964966218.db2.gz CIBPLXQPDZIXIL-UHFFFAOYSA-N 0 2 306.326 0.019 20 0 DCADLN Cc1ncc(C(=O)N(C)C[C@H](O)C[N@H+](C)Cc2ocnc2C)[nH]1 ZINC001369497275 965087358 /nfs/dbraw/zinc/08/73/58/965087358.db2.gz LYZZOTAHWLPYHS-GFCCVEGCSA-N 0 2 321.381 0.579 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC1CC(F)(F)C1 ZINC001374503534 965461545 /nfs/dbraw/zinc/46/15/45/965461545.db2.gz CWECLXNYTUIVSS-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC1CC(F)(F)C1 ZINC001374503534 965461549 /nfs/dbraw/zinc/46/15/49/965461549.db2.gz CWECLXNYTUIVSS-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN O=C(CC[C@H]1CCOC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369966266 965620974 /nfs/dbraw/zinc/62/09/74/965620974.db2.gz GDYRBVSXMSUKQN-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(CC[C@H]1CCOC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369966258 965622178 /nfs/dbraw/zinc/62/21/78/965622178.db2.gz GDYRBVSXMSUKQN-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001370017890 965698095 /nfs/dbraw/zinc/69/80/95/965698095.db2.gz OFJVBHSGETWORK-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001430589234 1013609179 /nfs/dbraw/zinc/60/91/79/1013609179.db2.gz RVVVIDIOMYSRHG-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001430589234 1013609183 /nfs/dbraw/zinc/60/91/83/1013609183.db2.gz RVVVIDIOMYSRHG-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1nc([C@H](C)NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001370571599 966247875 /nfs/dbraw/zinc/24/78/75/966247875.db2.gz BHAJJJLEMPMTIO-CBAPKCEASA-N 0 2 321.341 0.852 20 0 DCADLN CN(C[C@@H](O)CNC(=O)CCCF)C(=O)C(F)C(F)(F)F ZINC001371005526 966651356 /nfs/dbraw/zinc/65/13/56/966651356.db2.gz JVIDXHCXEMXLSV-CBAPKCEASA-N 0 2 320.258 0.572 20 0 DCADLN CN(C[C@@H](O)CNC(=O)CCCF)C(=O)[C@H](F)C(F)(F)F ZINC001371005526 966651364 /nfs/dbraw/zinc/65/13/64/966651364.db2.gz JVIDXHCXEMXLSV-CBAPKCEASA-N 0 2 320.258 0.572 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001447978801 1013679262 /nfs/dbraw/zinc/67/92/62/1013679262.db2.gz OHFOWAARUXCQFQ-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n(C)n1 ZINC001447978801 1013679265 /nfs/dbraw/zinc/67/92/65/1013679265.db2.gz OHFOWAARUXCQFQ-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001371679322 967235668 /nfs/dbraw/zinc/23/56/68/967235668.db2.gz SMOCGOQCRHQYGN-BWVDBABLSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001371679322 967235679 /nfs/dbraw/zinc/23/56/79/967235679.db2.gz SMOCGOQCRHQYGN-BWVDBABLSA-N 0 2 322.262 0.935 20 0 DCADLN COCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001371928183 967458565 /nfs/dbraw/zinc/45/85/65/967458565.db2.gz HSUGGSZOQOEKNM-CHIQAWFVSA-N 0 2 312.263 0.781 20 0 DCADLN COCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2 ZINC001371928183 967458571 /nfs/dbraw/zinc/45/85/71/967458571.db2.gz HSUGGSZOQOEKNM-CHIQAWFVSA-N 0 2 312.263 0.781 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccnnc2)C1)C(F)C(F)(F)F ZINC001372052130 967604123 /nfs/dbraw/zinc/60/41/23/967604123.db2.gz MFZRWDIRGPNMLN-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccnnc2)C1)[C@H](F)C(F)(F)F ZINC001372052130 967604130 /nfs/dbraw/zinc/60/41/30/967604130.db2.gz MFZRWDIRGPNMLN-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001383002459 967844447 /nfs/dbraw/zinc/84/44/47/967844447.db2.gz FEWUKMMWBSGRLZ-YUMQZZPRSA-N 0 2 300.252 0.232 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1CC1)C(=O)[C@H](F)C(F)(F)F ZINC001383002459 967844459 /nfs/dbraw/zinc/84/44/59/967844459.db2.gz FEWUKMMWBSGRLZ-YUMQZZPRSA-N 0 2 300.252 0.232 20 0 DCADLN COc1ccccc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448122055 1013766299 /nfs/dbraw/zinc/76/62/99/1013766299.db2.gz QGFOUUABYMYPTK-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN COc1ccccc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448122055 1013766302 /nfs/dbraw/zinc/76/63/02/1013766302.db2.gz QGFOUUABYMYPTK-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN O=C(COCC1CC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372508975 968063578 /nfs/dbraw/zinc/06/35/78/968063578.db2.gz LKBDMDCBABPRLV-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(COCC1CC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372508975 968063587 /nfs/dbraw/zinc/06/35/87/968063587.db2.gz LKBDMDCBABPRLV-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN C[N@H+](CCOCc1ccccc1)CCS(=O)(=O)CC(=O)[O-] ZINC000383616746 968116948 /nfs/dbraw/zinc/11/69/48/968116948.db2.gz BDWJZDJRFHIIGA-UHFFFAOYSA-N 0 2 315.391 0.634 20 0 DCADLN C[N@@H+](CCOCc1ccccc1)CCS(=O)(=O)CC(=O)[O-] ZINC000383616746 968116954 /nfs/dbraw/zinc/11/69/54/968116954.db2.gz BDWJZDJRFHIIGA-UHFFFAOYSA-N 0 2 315.391 0.634 20 0 DCADLN N#Cc1ccc(OCC[NH+]2CCN(C(=O)C(=O)[O-])CC2)cc1 ZINC000396647465 968228474 /nfs/dbraw/zinc/22/84/74/968228474.db2.gz KOWZCEAMHOGTJR-UHFFFAOYSA-N 0 2 303.318 0.166 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)ns1 ZINC001372791946 968381433 /nfs/dbraw/zinc/38/14/33/968381433.db2.gz GSGOORQCUAOPON-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)ns1 ZINC001372791946 968381438 /nfs/dbraw/zinc/38/14/38/968381438.db2.gz GSGOORQCUAOPON-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN CO[C@@H](C)C(=O)NC[C@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001373018301 968633204 /nfs/dbraw/zinc/63/32/04/968633204.db2.gz CEYBKDNOPHFRAW-JQWIXIFHSA-N 0 2 319.365 0.492 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373547040 969216503 /nfs/dbraw/zinc/21/65/03/969216503.db2.gz UKARMIXQVRNFTE-SNVBAGLBSA-N 0 2 319.365 0.530 20 0 DCADLN COCCC1(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001448302727 1013866361 /nfs/dbraw/zinc/86/63/61/1013866361.db2.gz JGRZXFCBAMBDCJ-SNVBAGLBSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC000318320560 970425021 /nfs/dbraw/zinc/42/50/21/970425021.db2.gz QSFJUMGBXCWRHI-KBPBESRZSA-N 0 2 306.362 0.473 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC000318320560 970425027 /nfs/dbraw/zinc/42/50/27/970425027.db2.gz QSFJUMGBXCWRHI-KBPBESRZSA-N 0 2 306.362 0.473 20 0 DCADLN C[N@H+](Cc1ccc([S@@](C)=O)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001604188856 972622177 /nfs/dbraw/zinc/62/21/77/972622177.db2.gz YCCDWUUBGPLTHT-MCMMXHMISA-N 0 2 324.402 0.541 20 0 DCADLN C[N@@H+](Cc1ccc([S@@](C)=O)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001604188856 972622180 /nfs/dbraw/zinc/62/21/80/972622180.db2.gz YCCDWUUBGPLTHT-MCMMXHMISA-N 0 2 324.402 0.541 20 0 DCADLN O=C([O-])CC1(C(=O)O[C@H]2CC[N@H+](CCF)C2)CCOCC1 ZINC001606074773 973231805 /nfs/dbraw/zinc/23/18/05/973231805.db2.gz KWXSSHKBXIRWND-NSHDSACASA-N 0 2 303.330 0.845 20 0 DCADLN O=C([O-])CC1(C(=O)O[C@H]2CC[N@@H+](CCF)C2)CCOCC1 ZINC001606074773 973231811 /nfs/dbraw/zinc/23/18/11/973231811.db2.gz KWXSSHKBXIRWND-NSHDSACASA-N 0 2 303.330 0.845 20 0 DCADLN C[C@H]1C[N@H+](Cc2c(C(=O)[O-])cnn2C)C[C@]2(CCCOC2)O1 ZINC001603461185 973297127 /nfs/dbraw/zinc/29/71/27/973297127.db2.gz ODMHVDBMXCQCPJ-NHYWBVRUSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@H]1C[N@@H+](Cc2c(C(=O)[O-])cnn2C)C[C@]2(CCCOC2)O1 ZINC001603461185 973297128 /nfs/dbraw/zinc/29/71/28/973297128.db2.gz ODMHVDBMXCQCPJ-NHYWBVRUSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@@H]1C[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CC[C@H](C(F)(F)F)O1 ZINC001603461234 973299891 /nfs/dbraw/zinc/29/98/91/973299891.db2.gz NKKCDKOJQPNWDG-KXUCPTDWSA-N 0 2 324.299 0.714 20 0 DCADLN C[C@@H]1C[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CC[C@H](C(F)(F)F)O1 ZINC001603461234 973299895 /nfs/dbraw/zinc/29/98/95/973299895.db2.gz NKKCDKOJQPNWDG-KXUCPTDWSA-N 0 2 324.299 0.714 20 0 DCADLN CC1(C)CN(Cc2cc(=O)n3[nH]ccc3n2)[C@H]2COC[C@@H]2O1 ZINC001549082189 1014133532 /nfs/dbraw/zinc/13/35/32/1014133532.db2.gz MGUJDXLVGXTLFH-RYUDHWBXSA-N 0 2 304.350 0.401 20 0 DCADLN NC(=O)c1csc(C(=O)OCC[N@@H+]2CCC[C@@H]2C(=O)[O-])c1 ZINC001605643163 974011161 /nfs/dbraw/zinc/01/11/61/974011161.db2.gz UMLQYQFADBCMBC-SECBINFHSA-N 0 2 312.347 0.553 20 0 DCADLN NC(=O)c1csc(C(=O)OCC[N@H+]2CCC[C@@H]2C(=O)[O-])c1 ZINC001605643163 974011170 /nfs/dbraw/zinc/01/11/70/974011170.db2.gz UMLQYQFADBCMBC-SECBINFHSA-N 0 2 312.347 0.553 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001522526707 1014163548 /nfs/dbraw/zinc/16/35/48/1014163548.db2.gz XOQROHNLSLQBSO-JGVFFNPUSA-N 0 2 310.251 0.577 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1cccn1 ZINC001522526707 1014163556 /nfs/dbraw/zinc/16/35/56/1014163556.db2.gz XOQROHNLSLQBSO-JGVFFNPUSA-N 0 2 310.251 0.577 20 0 DCADLN CCN(C(=O)C1CC[NH+](Cc2nccn2C)CC1)[C@@H](C)C(=O)[O-] ZINC001603706124 974115060 /nfs/dbraw/zinc/11/50/60/974115060.db2.gz TZNOEOIUXUXZJD-LBPRGKRZSA-N 0 2 322.409 0.954 20 0 DCADLN O=C([O-])[C@@]12COC[C@H]1CN(C(=O)[C@@H]1CCc3[nH+]ccn3C1)C2 ZINC001549306940 1014214462 /nfs/dbraw/zinc/21/44/62/1014214462.db2.gz XCJZSBPZJXQKOY-HFAKWTLXSA-N 0 2 305.334 0.005 20 0 DCADLN CC(C)Oc1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cn1 ZINC001591762000 975856734 /nfs/dbraw/zinc/85/67/34/975856734.db2.gz UDLHBDCPURSPEZ-LBPRGKRZSA-N 0 2 318.333 0.949 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+](C)[C@H]1CCCc2c1cnn2C)C(=O)[O-] ZINC001591866526 976170401 /nfs/dbraw/zinc/17/04/01/976170401.db2.gz GODOLUZCDVDTLB-WFASDCNBSA-N 0 2 322.409 0.955 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+](C)[C@H]1CCCc2c1cnn2C)C(=O)[O-] ZINC001591866526 976170407 /nfs/dbraw/zinc/17/04/07/976170407.db2.gz GODOLUZCDVDTLB-WFASDCNBSA-N 0 2 322.409 0.955 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@H+](Cc2ccc(Br)cn2)C1 ZINC000706481075 977341742 /nfs/dbraw/zinc/34/17/42/977341742.db2.gz XWCNDXNZHSZRGF-LLVKDONJSA-N 0 2 301.140 0.866 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@@H+](Cc2ccc(Br)cn2)C1 ZINC000706481075 977341748 /nfs/dbraw/zinc/34/17/48/977341748.db2.gz XWCNDXNZHSZRGF-LLVKDONJSA-N 0 2 301.140 0.866 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC001592623146 978592527 /nfs/dbraw/zinc/59/25/27/978592527.db2.gz DEYPJLXLFACHQG-LLVKDONJSA-N 0 2 324.381 0.908 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001592624375 978597881 /nfs/dbraw/zinc/59/78/81/978597881.db2.gz NZFGSJIPVVWOGR-FRRDWIJNSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@](CO)(NC(=O)c1cc(-n2cc[nH+]c2)ccc1O)C(=O)[O-] ZINC001592738958 979266913 /nfs/dbraw/zinc/26/69/13/979266913.db2.gz IXODLFFISOTWPN-AWEZNQCLSA-N 0 2 305.290 0.143 20 0 DCADLN C[C@@](CO)([NH2+]CC(=O)N1CCC(c2ccccc2)=N1)C(=O)[O-] ZINC001592748121 979295058 /nfs/dbraw/zinc/29/50/58/979295058.db2.gz CGCWCNROBGVTDO-HNNXBMFYSA-N 0 2 305.334 0.048 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)no1 ZINC001530486396 1014768530 /nfs/dbraw/zinc/76/85/30/1014768530.db2.gz YZADOTICLMTQTE-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)no1 ZINC001530486396 1014768540 /nfs/dbraw/zinc/76/85/40/1014768540.db2.gz YZADOTICLMTQTE-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN CCn1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001530485500 1014768903 /nfs/dbraw/zinc/76/89/03/1014768903.db2.gz RPIHZOIPHRDXGW-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1cc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001530485500 1014768911 /nfs/dbraw/zinc/76/89/11/1014768911.db2.gz RPIHZOIPHRDXGW-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001595759875 982467343 /nfs/dbraw/zinc/46/73/43/982467343.db2.gz RXFJHFBIQFFTFN-UHFFFAOYSA-N 0 2 322.365 0.838 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001595759875 982467347 /nfs/dbraw/zinc/46/73/47/982467347.db2.gz RXFJHFBIQFFTFN-UHFFFAOYSA-N 0 2 322.365 0.838 20 0 DCADLN CCCCNc1cc(N2C[C@H](C(=O)[O-])C[C@H](O)C2)nc(N)[nH+]1 ZINC001595763197 982469974 /nfs/dbraw/zinc/46/99/74/982469974.db2.gz CFRJDDFJKFKNRI-ZJUUUORDSA-N 0 2 309.370 0.543 20 0 DCADLN CCCCNc1cc(N2C[C@H](C(=O)[O-])C[C@H](O)C2)[nH+]c(N)n1 ZINC001595763197 982469981 /nfs/dbraw/zinc/46/99/81/982469981.db2.gz CFRJDDFJKFKNRI-ZJUUUORDSA-N 0 2 309.370 0.543 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCC[N@@H+]1Cc1ccc(C(=O)[O-])[nH]1 ZINC001574646278 983266219 /nfs/dbraw/zinc/26/62/19/983266219.db2.gz UCOGYBILKBRVJL-LLVKDONJSA-N 0 2 315.395 0.617 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCC[N@H+]1Cc1ccc(C(=O)[O-])[nH]1 ZINC001574646278 983266228 /nfs/dbraw/zinc/26/62/28/983266228.db2.gz UCOGYBILKBRVJL-LLVKDONJSA-N 0 2 315.395 0.617 20 0 DCADLN CCCn1cc(C[N@@H+]2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)nn1 ZINC001596113724 983444079 /nfs/dbraw/zinc/44/40/79/983444079.db2.gz RGJXZTPJOIZDDV-LBPRGKRZSA-N 0 2 319.369 0.815 20 0 DCADLN CCCn1cc(C[N@H+]2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)nn1 ZINC001596113724 983444082 /nfs/dbraw/zinc/44/40/82/983444082.db2.gz RGJXZTPJOIZDDV-LBPRGKRZSA-N 0 2 319.369 0.815 20 0 DCADLN CCOC(=O)C(C)(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001596511715 984282627 /nfs/dbraw/zinc/28/26/27/984282627.db2.gz AEFOXENMLBDTMT-SNVBAGLBSA-N 0 2 311.338 0.501 20 0 DCADLN CCOC(=O)C(C)(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001596511715 984282632 /nfs/dbraw/zinc/28/26/32/984282632.db2.gz AEFOXENMLBDTMT-SNVBAGLBSA-N 0 2 311.338 0.501 20 0 DCADLN C/C(=C\C(=O)N[C@H]1C[C@@H](C(=O)[O-])[C@@H]2C[C@@H]21)C[NH+]1CCOCC1 ZINC001588943867 984642166 /nfs/dbraw/zinc/64/21/66/984642166.db2.gz GXLQPKQWRFLTRA-OTTGTSSWSA-N 0 2 308.378 0.490 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(C)C ZINC001383072868 984656616 /nfs/dbraw/zinc/65/66/16/984656616.db2.gz QFQDDVXHGYEBLS-YUMQZZPRSA-N 0 2 316.295 0.868 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C(C)(C)C ZINC001383072868 984656618 /nfs/dbraw/zinc/65/66/18/984656618.db2.gz QFQDDVXHGYEBLS-YUMQZZPRSA-N 0 2 316.295 0.868 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001524858444 1015057250 /nfs/dbraw/zinc/05/72/50/1015057250.db2.gz NZGKUTDAKGBXGE-FNCVBFRFSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC001524858444 1015057262 /nfs/dbraw/zinc/05/72/62/1015057262.db2.gz NZGKUTDAKGBXGE-FNCVBFRFSA-N 0 2 312.263 0.829 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)[C@@H]1CN(C(=O)C(C)C)CCO1 ZINC001383404989 984808427 /nfs/dbraw/zinc/80/84/27/984808427.db2.gz HRTNHWPMJKGWKF-JSGCOSHPSA-N 0 2 322.409 0.649 20 0 DCADLN CNC(=O)[C@@]12CN(C(=O)C(F)C(F)(F)F)C[C@H]1COCC2 ZINC001551437255 1015079509 /nfs/dbraw/zinc/07/95/09/1015079509.db2.gz LHPMARLGIPVDTM-LAEOZQHASA-N 0 2 312.263 0.498 20 0 DCADLN CNC(=O)[C@@]12CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1COCC2 ZINC001551437255 1015079521 /nfs/dbraw/zinc/07/95/21/1015079521.db2.gz LHPMARLGIPVDTM-LAEOZQHASA-N 0 2 312.263 0.498 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC001524984177 1015084708 /nfs/dbraw/zinc/08/47/08/1015084708.db2.gz GECMUWIYGQNARC-IWSPIJDZSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cncnc1 ZINC001524984177 1015084714 /nfs/dbraw/zinc/08/47/14/1015084714.db2.gz GECMUWIYGQNARC-IWSPIJDZSA-N 0 2 320.246 0.754 20 0 DCADLN CC(C)CC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001383973992 985235730 /nfs/dbraw/zinc/23/57/30/985235730.db2.gz QXQBCVRJRKZXAF-NQMVMOMDSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)CC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001383973992 985235737 /nfs/dbraw/zinc/23/57/37/985235737.db2.gz QXQBCVRJRKZXAF-NQMVMOMDSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)CC(=O)N(C)C[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001384168095 985408168 /nfs/dbraw/zinc/40/81/68/985408168.db2.gz CJEKRVFNFRBDRQ-WPRPVWTQSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)CC(=O)N(C)C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001384168095 985408170 /nfs/dbraw/zinc/40/81/70/985408170.db2.gz CJEKRVFNFRBDRQ-WPRPVWTQSA-N 0 2 316.295 0.868 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+]2CC[C@H](O)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945420 985519513 /nfs/dbraw/zinc/51/95/13/985519513.db2.gz PVUUQAFQIJHXNF-SRVKXCTJSA-N 0 2 324.377 0.576 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+]2CC[C@H](O)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945420 985519521 /nfs/dbraw/zinc/51/95/21/985519521.db2.gz PVUUQAFQIJHXNF-SRVKXCTJSA-N 0 2 324.377 0.576 20 0 DCADLN CCOC[C@@H](C(=O)[O-])N(C)C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001596876275 985726051 /nfs/dbraw/zinc/72/60/51/985726051.db2.gz XDRYESBXCGYROB-ZDUSSCGKSA-N 0 2 318.333 0.829 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2cnn(C)c2C)n[nH]1 ZINC001551670885 1015174250 /nfs/dbraw/zinc/17/42/50/1015174250.db2.gz WMHFZJXTLFRZQZ-WCQYABFASA-N 0 2 318.381 0.800 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2cnc(C)cn2)n[nH]1 ZINC001551671956 1015174373 /nfs/dbraw/zinc/17/43/73/1015174373.db2.gz YFPPSXJCISIRAJ-WCQYABFASA-N 0 2 316.365 0.856 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2cc(C)ncn2)n[nH]1 ZINC001551679682 1015176080 /nfs/dbraw/zinc/17/60/80/1015176080.db2.gz ZLPKOVJRNSBVBZ-DGCLKSJQSA-N 0 2 316.365 0.856 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)Cc2cccc(C(=O)[O-])c2)CCO1 ZINC001594527314 986073101 /nfs/dbraw/zinc/07/31/01/986073101.db2.gz IXBHRVCASOWXHE-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)Cc2cccc(C(=O)[O-])c2)CCO1 ZINC001594527314 986073111 /nfs/dbraw/zinc/07/31/11/986073111.db2.gz IXBHRVCASOWXHE-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CCO1 ZINC001594528662 986103429 /nfs/dbraw/zinc/10/34/29/986103429.db2.gz XKWFZFRRPQVNPZ-RWMBFGLXSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CCO1 ZINC001594528662 986103434 /nfs/dbraw/zinc/10/34/34/986103434.db2.gz XKWFZFRRPQVNPZ-RWMBFGLXSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594562542 986335327 /nfs/dbraw/zinc/33/53/27/986335327.db2.gz USIVYPKWCAVKSN-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594562542 986335337 /nfs/dbraw/zinc/33/53/37/986335337.db2.gz USIVYPKWCAVKSN-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H](C(=O)Nc1cccc(CCC(=O)[O-])c1)N1CC[NH2+]CC1=O ZINC001589439654 986777310 /nfs/dbraw/zinc/77/73/10/986777310.db2.gz JZNAOCPUUNNWBH-NSHDSACASA-N 0 2 319.361 0.463 20 0 DCADLN O=C(Cc1ncc[nH]1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386323067 987073306 /nfs/dbraw/zinc/07/33/06/987073306.db2.gz NMICKLSEQKFJSI-PJKMHFRUSA-N 0 2 322.262 0.616 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cnon3)C[C@H]21)C(F)C(F)(F)F ZINC001386520775 987193906 /nfs/dbraw/zinc/19/39/06/987193906.db2.gz IPBHJLNCRQTTEA-LAHCRNKXSA-N 0 2 322.218 0.157 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cnon3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001386520775 987193909 /nfs/dbraw/zinc/19/39/09/987193909.db2.gz IPBHJLNCRQTTEA-LAHCRNKXSA-N 0 2 322.218 0.157 20 0 DCADLN CCc1[nH]c(C(=O)N[C@H](CO)c2c[nH+]cn2C)c(C)c1C(=O)[O-] ZINC001597364316 987532884 /nfs/dbraw/zinc/53/28/84/987532884.db2.gz SBZZBWXVTIBOAN-SNVBAGLBSA-N 0 2 320.349 0.781 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C)C(=O)c1ccnnc1C ZINC001387026716 987575874 /nfs/dbraw/zinc/57/58/74/987575874.db2.gz BJEVDDUGHMWNCK-UHFFFAOYSA-N 0 2 316.365 0.247 20 0 DCADLN Cc1ncc(C(=O)N(C)CCNC(=O)Cc2[nH]c[nH+]c2C)n1C ZINC001387026762 987576040 /nfs/dbraw/zinc/57/60/40/987576040.db2.gz BTXGZTSWXHRRPQ-UHFFFAOYSA-N 0 2 318.381 0.191 20 0 DCADLN Cc1ccnn1CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001387793559 988157081 /nfs/dbraw/zinc/15/70/81/988157081.db2.gz IMDRUDFMJJJUPF-JTQLQIEISA-N 0 2 324.278 0.667 20 0 DCADLN Cc1ccnn1CC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001387793559 988157087 /nfs/dbraw/zinc/15/70/87/988157087.db2.gz IMDRUDFMJJJUPF-JTQLQIEISA-N 0 2 324.278 0.667 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001389165426 988935107 /nfs/dbraw/zinc/93/51/07/988935107.db2.gz JSYRCIIDKFAMKN-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001389165426 988935125 /nfs/dbraw/zinc/93/51/25/988935125.db2.gz JSYRCIIDKFAMKN-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN CCc1nnc(C[NH2+]C2(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)s1 ZINC001597728911 989031202 /nfs/dbraw/zinc/03/12/02/989031202.db2.gz OLSPYVCIHAQHAR-DTWKUNHWSA-N 0 2 324.406 0.560 20 0 DCADLN CCc1noc(C)c1C[NH+]1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001597742321 989107651 /nfs/dbraw/zinc/10/76/51/989107651.db2.gz NRMBQCHVUDIKNA-MRXNPFEDSA-N 0 2 323.393 0.907 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001389381956 989182524 /nfs/dbraw/zinc/18/25/24/989182524.db2.gz KSIMXTSPNYENSY-FFWSUHOLSA-N 0 2 311.239 0.248 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001389381956 989182530 /nfs/dbraw/zinc/18/25/30/989182530.db2.gz KSIMXTSPNYENSY-FFWSUHOLSA-N 0 2 311.239 0.248 20 0 DCADLN CCOCC(=O)N[C@@H](CC)CNC(=O)C(F)C(F)(F)F ZINC001450957591 1015482907 /nfs/dbraw/zinc/48/29/07/1015482907.db2.gz JWFPSULTHXOJBB-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)N[C@@H](CC)CNC(=O)[C@@H](F)C(F)(F)F ZINC001450957591 1015482913 /nfs/dbraw/zinc/48/29/13/1015482913.db2.gz JWFPSULTHXOJBB-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ccn[nH]1 ZINC001389795651 989587131 /nfs/dbraw/zinc/58/71/31/989587131.db2.gz ZSSHFGLHVBISGJ-ZETCQYMHSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1ccn[nH]1 ZINC001389795651 989587135 /nfs/dbraw/zinc/58/71/35/989587135.db2.gz ZSSHFGLHVBISGJ-ZETCQYMHSA-N 0 2 308.235 0.689 20 0 DCADLN CC[N@@H+]1CC[C@@H]1CNC(=O)N1CC[C@@H]([NH+]2CCN(C)CC2)C1 ZINC001552901238 1015559522 /nfs/dbraw/zinc/55/95/22/1015559522.db2.gz RQXTXKNLYHMLFS-HUUCEWRRSA-N 0 2 309.458 0.112 20 0 DCADLN CC(C)SCC(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391606624 991007053 /nfs/dbraw/zinc/00/70/53/991007053.db2.gz XTBBALQBVIVDRK-JTQLQIEISA-N 0 2 313.427 0.685 20 0 DCADLN CC(C)[C@@H](CNc1ccc(C(=O)[O-])nn1)N1CC[NH+](C)CC1 ZINC001590987591 991172685 /nfs/dbraw/zinc/17/26/85/991172685.db2.gz CXSIVGRQJYSYTM-CYBMUJFWSA-N 0 2 307.398 0.859 20 0 DCADLN CC(C)n1nccc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392276801 991687304 /nfs/dbraw/zinc/68/73/04/991687304.db2.gz LGRGDMIBFYBSPB-UHFFFAOYSA-N 0 2 321.385 0.492 20 0 DCADLN CC(C)n1nccc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392276801 991687308 /nfs/dbraw/zinc/68/73/08/991687308.db2.gz LGRGDMIBFYBSPB-UHFFFAOYSA-N 0 2 321.385 0.492 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001392302782 991723643 /nfs/dbraw/zinc/72/36/43/991723643.db2.gz GLCPTMOQAJLFAG-JTQLQIEISA-N 0 2 307.354 0.797 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001392302782 991723652 /nfs/dbraw/zinc/72/36/52/991723652.db2.gz GLCPTMOQAJLFAG-JTQLQIEISA-N 0 2 307.354 0.797 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001591161700 991917588 /nfs/dbraw/zinc/91/75/88/991917588.db2.gz OAWYESCXLQBHCZ-GFCCVEGCSA-N 0 2 309.366 0.835 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001591161700 991917593 /nfs/dbraw/zinc/91/75/93/991917593.db2.gz OAWYESCXLQBHCZ-GFCCVEGCSA-N 0 2 309.366 0.835 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1C[C@@H]1C(F)F ZINC001392636775 992184009 /nfs/dbraw/zinc/18/40/09/992184009.db2.gz HPWHBVKKYLARPU-CSMHCCOUSA-N 0 2 303.313 0.348 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1C[C@@H]1C(F)F ZINC001392636775 992184012 /nfs/dbraw/zinc/18/40/12/992184012.db2.gz HPWHBVKKYLARPU-CSMHCCOUSA-N 0 2 303.313 0.348 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCCCO1 ZINC001392656113 992217155 /nfs/dbraw/zinc/21/71/55/992217155.db2.gz YPAWXRGFZRYJMD-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCCCO1 ZINC001392656113 992217161 /nfs/dbraw/zinc/21/71/61/992217161.db2.gz YPAWXRGFZRYJMD-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cnc(C2CC2)o1 ZINC001392663913 992228881 /nfs/dbraw/zinc/22/88/81/992228881.db2.gz SENYSZYFXCHCRG-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cnc(C2CC2)o1 ZINC001392663913 992228892 /nfs/dbraw/zinc/22/88/92/992228892.db2.gz SENYSZYFXCHCRG-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392672619 992243859 /nfs/dbraw/zinc/24/38/59/992243859.db2.gz NLRVUQYEPYRZDB-MXWKQRLJSA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392672619 992243870 /nfs/dbraw/zinc/24/38/70/992243870.db2.gz NLRVUQYEPYRZDB-MXWKQRLJSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1ccnn1CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001392813214 992419958 /nfs/dbraw/zinc/41/99/58/992419958.db2.gz DORODASXSQQRPS-OIBJUYFYSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccnn1CC(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001392813214 992419966 /nfs/dbraw/zinc/41/99/66/992419966.db2.gz DORODASXSQQRPS-OIBJUYFYSA-N 0 2 324.278 0.713 20 0 DCADLN COC[C@@H](C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001392971929 992594580 /nfs/dbraw/zinc/59/45/80/992594580.db2.gz DZBSENNNPZATAF-UTUOFQBUSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cncnc1)C(F)C(F)(F)F ZINC001393351426 992905608 /nfs/dbraw/zinc/90/56/08/992905608.db2.gz YVVJOQMCUSPSGW-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cncnc1)[C@@H](F)C(F)(F)F ZINC001393351426 992905612 /nfs/dbraw/zinc/90/56/12/992905612.db2.gz YVVJOQMCUSPSGW-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1ccccc1C(=O)[O-] ZINC001598572129 993213711 /nfs/dbraw/zinc/21/37/11/993213711.db2.gz HISYPKFHIQNUIF-BETUJISGSA-N 0 2 324.402 0.993 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1ccccc1C(=O)[O-] ZINC001598572129 993213722 /nfs/dbraw/zinc/21/37/22/993213722.db2.gz HISYPKFHIQNUIF-BETUJISGSA-N 0 2 324.402 0.993 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2coc(C3CCOCC3)n2)C[C@H]1C(=O)[O-] ZINC001598576488 993283863 /nfs/dbraw/zinc/28/38/63/993283863.db2.gz SNOQOHFBHOZDQR-JQWIXIFHSA-N 0 2 324.333 0.883 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2coc(C3CCOCC3)n2)C[C@H]1C(=O)[O-] ZINC001598576488 993283873 /nfs/dbraw/zinc/28/38/73/993283873.db2.gz SNOQOHFBHOZDQR-JQWIXIFHSA-N 0 2 324.333 0.883 20 0 DCADLN CS[C@@H](C)CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394052051 993436859 /nfs/dbraw/zinc/43/68/59/993436859.db2.gz OGCLHIQBOFDRSJ-BDAKNGLRSA-N 0 2 301.416 0.588 20 0 DCADLN CS[C@@H](C)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394052051 993436862 /nfs/dbraw/zinc/43/68/62/993436862.db2.gz OGCLHIQBOFDRSJ-BDAKNGLRSA-N 0 2 301.416 0.588 20 0 DCADLN CC(C)N(C)C(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCC[NH+]1C ZINC001399819213 993809006 /nfs/dbraw/zinc/80/90/06/993809006.db2.gz CECATXMPHFZMLQ-CABCVRRESA-N 0 2 324.469 0.528 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-])[C@@H]1CN(C)CC[N@@H+]1C ZINC001593138746 993910000 /nfs/dbraw/zinc/91/00/00/993910000.db2.gz KJSGNOGQHBLILC-FQUUOJAGSA-N 0 2 311.426 0.628 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-])[C@@H]1CN(C)CC[N@H+]1C ZINC001593138746 993910008 /nfs/dbraw/zinc/91/00/08/993910008.db2.gz KJSGNOGQHBLILC-FQUUOJAGSA-N 0 2 311.426 0.628 20 0 DCADLN CC[NH+](CC)CC(=O)NC[C@H](C)NC(=O)c1n[nH]c(C)c1[O-] ZINC001400172386 994235538 /nfs/dbraw/zinc/23/55/38/994235538.db2.gz YUWDXSZGZKWVTH-VIFPVBQESA-N 0 2 311.386 0.000 20 0 DCADLN C[C@@H](CNC(=O)CC(C)(C)O)NC(=O)C(F)C(F)(F)F ZINC001400215880 994293910 /nfs/dbraw/zinc/29/39/10/994293910.db2.gz XEYGKRDWRHPCHQ-POYBYMJQSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@@H](CNC(=O)CC(C)(C)O)NC(=O)[C@@H](F)C(F)(F)F ZINC001400215880 994293914 /nfs/dbraw/zinc/29/39/14/994293914.db2.gz XEYGKRDWRHPCHQ-POYBYMJQSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@@H]([NH2+]CC(=O)Nc1cccc(C(=O)[O-])n1)c1nncn1C ZINC001593237565 994414165 /nfs/dbraw/zinc/41/41/65/994414165.db2.gz QYYSOILERUZVSW-MRVPVSSYSA-N 0 2 304.310 0.198 20 0 DCADLN O=C(NCC1CN(Cc2nncs2)C1)C(F)C(F)(F)F ZINC001400485984 994576279 /nfs/dbraw/zinc/57/62/79/994576279.db2.gz JXFZJGOKPMNPLL-QMMMGPOBSA-N 0 2 312.292 0.987 20 0 DCADLN O=C(NCC1CN(Cc2nncs2)C1)[C@H](F)C(F)(F)F ZINC001400485984 994576292 /nfs/dbraw/zinc/57/62/92/994576292.db2.gz JXFZJGOKPMNPLL-QMMMGPOBSA-N 0 2 312.292 0.987 20 0 DCADLN CNS(=O)(=O)[C@H]1CC[N@H+](Cc2cc(C(=O)[O-])c(C)[nH]2)C1 ZINC001598669295 994727754 /nfs/dbraw/zinc/72/77/54/994727754.db2.gz JTBRKBXEDQIHQK-JTQLQIEISA-N 0 2 301.368 0.145 20 0 DCADLN CNS(=O)(=O)[C@H]1CC[N@@H+](Cc2cc(C(=O)[O-])c(C)[nH]2)C1 ZINC001598669295 994727764 /nfs/dbraw/zinc/72/77/64/994727764.db2.gz JTBRKBXEDQIHQK-JTQLQIEISA-N 0 2 301.368 0.145 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001395589917 994784842 /nfs/dbraw/zinc/78/48/42/994784842.db2.gz JHTCFFAATDKGQO-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001395589917 994784847 /nfs/dbraw/zinc/78/48/47/994784847.db2.gz JHTCFFAATDKGQO-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN COC[C@@H](O)C[N@@H+]1CC[C@@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001400684267 994793715 /nfs/dbraw/zinc/79/37/15/994793715.db2.gz KCIWRGPMNGXQLU-NEPJUHHUSA-N 0 2 318.377 0.374 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@]23C[C@H]2COC3)C1 ZINC001400746680 994873802 /nfs/dbraw/zinc/87/38/02/994873802.db2.gz JMBSQCNAJNOVTQ-DXBFQKDVSA-N 0 2 324.274 0.593 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)[C@]23C[C@H]2COC3)C1 ZINC001400746680 994873812 /nfs/dbraw/zinc/87/38/12/994873812.db2.gz JMBSQCNAJNOVTQ-DXBFQKDVSA-N 0 2 324.274 0.593 20 0 DCADLN COC(=O)C(C)(C)N1CC[NH+](Cc2cncc(C(=O)[O-])c2)CC1 ZINC001598684370 994983792 /nfs/dbraw/zinc/98/37/92/994983792.db2.gz TUMPDTKEWXHMIP-UHFFFAOYSA-N 0 2 321.377 0.849 20 0 DCADLN COC(=O)[C@@H](c1cccc(C(=O)[O-])c1)[NH+]1C[C@@H](CO)[C@H](CO)C1 ZINC001598712308 995363302 /nfs/dbraw/zinc/36/33/02/995363302.db2.gz KPRIRKBYNCTGEU-MELADBBJSA-N 0 2 323.345 0.132 20 0 DCADLN CCOCC(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001417034833 995740763 /nfs/dbraw/zinc/74/07/63/995740763.db2.gz FROGTHLYQBCPFH-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001417034833 995740768 /nfs/dbraw/zinc/74/07/68/995740768.db2.gz FROGTHLYQBCPFH-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001397243131 995882512 /nfs/dbraw/zinc/88/25/12/995882512.db2.gz QGAAPJPWLQNVKV-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN COCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001397243131 995882519 /nfs/dbraw/zinc/88/25/19/995882519.db2.gz QGAAPJPWLQNVKV-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2cc(Cl)n(C)n2)C[C@@H]1C(=O)[O-] ZINC001598824487 996367517 /nfs/dbraw/zinc/36/75/17/996367517.db2.gz AELNGQNLAJFERE-DTWKUNHWSA-N 0 2 301.730 0.379 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2cc(Cl)n(C)n2)C[C@@H]1C(=O)[O-] ZINC001598824487 996367519 /nfs/dbraw/zinc/36/75/19/996367519.db2.gz AELNGQNLAJFERE-DTWKUNHWSA-N 0 2 301.730 0.379 20 0 DCADLN CC[C@H](C(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1)[NH+](C)C ZINC001398331384 996473687 /nfs/dbraw/zinc/47/36/87/996473687.db2.gz FTWSXLDEMZOQGQ-LSDHHAIUSA-N 0 2 324.469 0.576 20 0 DCADLN Cc1nonc1CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001398603776 996598819 /nfs/dbraw/zinc/59/88/19/996598819.db2.gz IAQMASANNBJSCW-VIFPVBQESA-N 0 2 324.234 0.148 20 0 DCADLN Cc1nonc1CC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001398603776 996598828 /nfs/dbraw/zinc/59/88/28/996598828.db2.gz IAQMASANNBJSCW-VIFPVBQESA-N 0 2 324.234 0.148 20 0 DCADLN COC[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001599277052 996823222 /nfs/dbraw/zinc/82/32/22/996823222.db2.gz PSFCUPJUOCYOCM-QWHCGFSZSA-N 0 2 308.334 0.405 20 0 DCADLN COC[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001599277052 996823232 /nfs/dbraw/zinc/82/32/32/996823232.db2.gz PSFCUPJUOCYOCM-QWHCGFSZSA-N 0 2 308.334 0.405 20 0 DCADLN CO[C@@H]1CCC[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404251369 996862060 /nfs/dbraw/zinc/86/20/60/996862060.db2.gz KMEUQNZFGJNTOV-NWDGAFQWSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H]1CCC[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404251369 996862067 /nfs/dbraw/zinc/86/20/67/996862067.db2.gz KMEUQNZFGJNTOV-NWDGAFQWSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001599078776 996945124 /nfs/dbraw/zinc/94/51/24/996945124.db2.gz KBARMSCITJUNOH-JTQLQIEISA-N 0 2 309.244 0.850 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001599078776 996945139 /nfs/dbraw/zinc/94/51/39/996945139.db2.gz KBARMSCITJUNOH-JTQLQIEISA-N 0 2 309.244 0.850 20 0 DCADLN CCCNC(=O)[C@@H](C)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404779840 997454649 /nfs/dbraw/zinc/45/46/49/997454649.db2.gz YMMAZNHLKWDBAB-APPZFPTMSA-N 0 2 313.295 0.602 20 0 DCADLN CCCNC(=O)[C@@H](C)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404779840 997454658 /nfs/dbraw/zinc/45/46/58/997454658.db2.gz YMMAZNHLKWDBAB-APPZFPTMSA-N 0 2 313.295 0.602 20 0 DCADLN COCC[C@H](NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)C(=O)[O-] ZINC001599216091 997584858 /nfs/dbraw/zinc/58/48/58/997584858.db2.gz IRDUZUSZRNQUQS-CABZTGNLSA-N 0 2 310.354 0.396 20 0 DCADLN COCC[C@H](NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)C(=O)[O-] ZINC001599216091 997584869 /nfs/dbraw/zinc/58/48/69/997584869.db2.gz IRDUZUSZRNQUQS-CABZTGNLSA-N 0 2 310.354 0.396 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(CN2CCn3c[nH+]cc3C2)n1 ZINC001598922683 997596350 /nfs/dbraw/zinc/59/63/50/997596350.db2.gz UDNWKPRKECQLON-UHFFFAOYSA-N 0 2 305.294 0.038 20 0 DCADLN C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2cc(C(=O)[O-])cs2)C1 ZINC001594047212 997711314 /nfs/dbraw/zinc/71/13/14/997711314.db2.gz NCWTWIWDKOWPAM-LLVKDONJSA-N 0 2 322.383 0.768 20 0 DCADLN COC(=O)c1ccc2c(c1)CC[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])C2 ZINC001598991038 998737627 /nfs/dbraw/zinc/73/76/27/998737627.db2.gz CYVZTNJDKWWFIA-JTQLQIEISA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)c1ccc2c(c1)CC[N@@H+]([C@@H](C)C(=O)NCC(=O)[O-])C2 ZINC001598991038 998737630 /nfs/dbraw/zinc/73/76/30/998737630.db2.gz CYVZTNJDKWWFIA-JTQLQIEISA-N 0 2 320.345 0.421 20 0 DCADLN Cc1cc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)on1 ZINC001468413091 1016253062 /nfs/dbraw/zinc/25/30/62/1016253062.db2.gz BIICGAAKGVVZNH-VIFPVBQESA-N 0 2 306.326 0.896 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC001594248698 998947844 /nfs/dbraw/zinc/94/78/44/998947844.db2.gz BWRBUGDXDZFDLG-VXGBXAGGSA-N 0 2 319.361 0.715 20 0 DCADLN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001594448670 999644697 /nfs/dbraw/zinc/64/46/97/999644697.db2.gz VGWCIUCUNZDSLD-OASPWFOLSA-N 0 2 317.349 0.542 20 0 DCADLN C[N@H+](CCNC(=O)C[C@@H]1CCCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001418488140 1000276199 /nfs/dbraw/zinc/27/61/99/1000276199.db2.gz LFQFYIJHKCWWNO-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN C[N@@H+](CCNC(=O)C[C@@H]1CCCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001418488140 1000276201 /nfs/dbraw/zinc/27/62/01/1000276201.db2.gz LFQFYIJHKCWWNO-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1nnn(C)n1 ZINC001418550714 1000339159 /nfs/dbraw/zinc/33/91/59/1000339159.db2.gz YJACQKISUXLCJP-QMMMGPOBSA-N 0 2 312.271 0.049 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1nnn(C)n1 ZINC001418550714 1000339161 /nfs/dbraw/zinc/33/91/61/1000339161.db2.gz YJACQKISUXLCJP-QMMMGPOBSA-N 0 2 312.271 0.049 20 0 DCADLN CCc1noc(C)c1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401435288 1000423148 /nfs/dbraw/zinc/42/31/48/1000423148.db2.gz HVJZYFXVEDNWFS-UHFFFAOYSA-N 0 2 322.369 0.573 20 0 DCADLN CCc1noc(C)c1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401435288 1000423157 /nfs/dbraw/zinc/42/31/57/1000423157.db2.gz HVJZYFXVEDNWFS-UHFFFAOYSA-N 0 2 322.369 0.573 20 0 DCADLN CSCC[C@H](NC(=O)CCc1cc(C)n[nH]1)c1nn[nH]n1 ZINC001470326535 1016413694 /nfs/dbraw/zinc/41/36/94/1016413694.db2.gz ARRCNNMOCWIVBD-JTQLQIEISA-N 0 2 309.399 0.774 20 0 DCADLN O=C(CCc1ccco1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418738310 1000484250 /nfs/dbraw/zinc/48/42/50/1000484250.db2.gz YEPKKTDEQIEJKN-UHFFFAOYSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(CCc1ccco1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418738310 1000484252 /nfs/dbraw/zinc/48/42/52/1000484252.db2.gz YEPKKTDEQIEJKN-UHFFFAOYSA-N 0 2 319.365 0.817 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418770812 1000507273 /nfs/dbraw/zinc/50/72/73/1000507273.db2.gz UIGLUESEBURBCX-NEPJUHHUSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418770812 1000507277 /nfs/dbraw/zinc/50/72/77/1000507277.db2.gz UIGLUESEBURBCX-NEPJUHHUSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)COCC2CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418777602 1000512139 /nfs/dbraw/zinc/51/21/39/1000512139.db2.gz IDYHLCCPMCXGLX-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)COCC2CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418777602 1000512142 /nfs/dbraw/zinc/51/21/42/1000512142.db2.gz IDYHLCCPMCXGLX-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](C(N)=O)[N@H+]1CC[C@@H](CCNC(=O)CCc2c[nH+]cn2C)C1 ZINC001418908857 1000608307 /nfs/dbraw/zinc/60/83/07/1000608307.db2.gz SQRKXKQGUVQVPA-CHWSQXEVSA-N 0 2 321.425 0.055 20 0 DCADLN O=C(NC1CN(CCN2CCCC2=O)C1)C(F)C(F)(F)F ZINC001418973591 1000658030 /nfs/dbraw/zinc/65/80/30/1000658030.db2.gz XNUSOUDHIGQDSR-JTQLQIEISA-N 0 2 311.279 0.310 20 0 DCADLN O=C(NC1CN(CCN2CCCC2=O)C1)[C@H](F)C(F)(F)F ZINC001418973591 1000658034 /nfs/dbraw/zinc/65/80/34/1000658034.db2.gz XNUSOUDHIGQDSR-JTQLQIEISA-N 0 2 311.279 0.310 20 0 DCADLN C[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1 ZINC001419044416 1000716902 /nfs/dbraw/zinc/71/69/02/1000716902.db2.gz ZSMQHFZQTXCHKQ-NSHDSACASA-N 0 2 315.377 0.862 20 0 DCADLN CC[C@H](F)C(=O)N[C@H]1CC[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001419063006 1000735910 /nfs/dbraw/zinc/73/59/10/1000735910.db2.gz IPZNNFJTXGYAMW-JQWIXIFHSA-N 0 2 321.356 0.461 20 0 DCADLN CC[C@H](F)C(=O)N[C@H]1CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001419063006 1000735919 /nfs/dbraw/zinc/73/59/19/1000735919.db2.gz IPZNNFJTXGYAMW-JQWIXIFHSA-N 0 2 321.356 0.461 20 0 DCADLN COCC(C)(C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419106991 1000777492 /nfs/dbraw/zinc/77/74/92/1000777492.db2.gz BJXLYTXSAZUEKG-SNVBAGLBSA-N 0 2 311.386 0.216 20 0 DCADLN COCC(C)(C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419106991 1000777497 /nfs/dbraw/zinc/77/74/97/1000777497.db2.gz BJXLYTXSAZUEKG-SNVBAGLBSA-N 0 2 311.386 0.216 20 0 DCADLN CO[C@@H](C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001419128439 1000801141 /nfs/dbraw/zinc/80/11/41/1000801141.db2.gz WYDVSWQYANNICH-CMPLNLGQSA-N 0 2 311.386 0.214 20 0 DCADLN O=C(C[C@@H]1CCCCO1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401892659 1000826339 /nfs/dbraw/zinc/82/63/39/1000826339.db2.gz NZORVNNWDXBQKP-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001402094763 1001010456 /nfs/dbraw/zinc/01/04/56/1001010456.db2.gz RWVIEYRRHIKWEQ-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN Cn1ncc(C(=O)NCC2=NC(=O)CC(=O)N2)c1C(F)(F)F ZINC001470583013 1016463768 /nfs/dbraw/zinc/46/37/68/1016463768.db2.gz LJZWIVXRDZAIPP-UHFFFAOYSA-N 0 2 317.227 0.570 20 0 DCADLN CCc1nnc([C@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001419730391 1001259152 /nfs/dbraw/zinc/25/91/52/1001259152.db2.gz OWQNMDHYOJOIGS-APPZFPTMSA-N 0 2 322.369 0.838 20 0 DCADLN CC[C@@H](OC)C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001402741125 1001486372 /nfs/dbraw/zinc/48/63/72/1001486372.db2.gz WFCSNFYDYLILKZ-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN COC(=O)C[C@H](C)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001420113042 1001889695 /nfs/dbraw/zinc/88/96/95/1001889695.db2.gz WNPGNGBHTDPGJP-QMMMGPOBSA-N 0 2 311.338 0.396 20 0 DCADLN CCO[C@H](C)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507759358 1016564592 /nfs/dbraw/zinc/56/45/92/1016564592.db2.gz DVGRYIOJNDSXCQ-LLVKDONJSA-N 0 2 313.402 0.604 20 0 DCADLN CCO[C@H](C)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507759358 1016564598 /nfs/dbraw/zinc/56/45/98/1016564598.db2.gz DVGRYIOJNDSXCQ-LLVKDONJSA-N 0 2 313.402 0.604 20 0 DCADLN CC[C@@H](C)N(CC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001471450071 1016578420 /nfs/dbraw/zinc/57/84/20/1016578420.db2.gz YTUNMFJOSXFEPA-SECBINFHSA-N 0 2 317.415 0.679 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=O)c1CCC(=O)N[C@H](C)c1nn(C)cc1O ZINC001420414757 1002384059 /nfs/dbraw/zinc/38/40/59/1002384059.db2.gz IVLRWBBJAGRDER-MRVPVSSYSA-N 0 2 321.337 0.445 20 0 DCADLN CC1(C)C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CO1 ZINC001420486893 1002490187 /nfs/dbraw/zinc/49/01/87/1002490187.db2.gz WYLWUIIIBIACHJ-SNVBAGLBSA-N 0 2 318.333 0.564 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001420555935 1002608843 /nfs/dbraw/zinc/60/88/43/1002608843.db2.gz SQPAHAQORBXBND-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001420555935 1002608849 /nfs/dbraw/zinc/60/88/49/1002608849.db2.gz SQPAHAQORBXBND-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001425721343 1002999930 /nfs/dbraw/zinc/99/99/30/1002999930.db2.gz GCAUCVOCZABTEI-RFZPGFLSSA-N 0 2 310.194 0.087 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(F)F ZINC001425721343 1002999940 /nfs/dbraw/zinc/99/99/40/1002999940.db2.gz GCAUCVOCZABTEI-RFZPGFLSSA-N 0 2 310.194 0.087 20 0 DCADLN C[C@@H]1CC[C@H](CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001472082942 1016725865 /nfs/dbraw/zinc/72/58/65/1016725865.db2.gz YOJQKJXDCRWOFW-ZJUUUORDSA-N 0 2 314.411 0.792 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@H]2CCC[C@H](F)C2)[nH]1 ZINC001472084749 1016726928 /nfs/dbraw/zinc/72/69/28/1016726928.db2.gz IIZDBSBIFYRDTN-IUCAKERBSA-N 0 2 318.374 0.494 20 0 DCADLN CC(C)C(=O)NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001425812885 1003139016 /nfs/dbraw/zinc/13/90/16/1003139016.db2.gz MPYOVXVMHLAISI-HTQZYQBOSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)C(=O)NC[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001425812885 1003139023 /nfs/dbraw/zinc/13/90/23/1003139023.db2.gz MPYOVXVMHLAISI-HTQZYQBOSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1cnn(C)c1 ZINC001472208935 1016760522 /nfs/dbraw/zinc/76/05/22/1016760522.db2.gz VPMFCROPYHDRPM-GXSJLCMTSA-N 0 2 309.395 0.790 20 0 DCADLN C[C@H](NC(=O)c1ccc(-n2cnnn2)cc1)c1nn(C)cc1O ZINC001472238324 1016768620 /nfs/dbraw/zinc/76/86/20/1016768620.db2.gz YJTKCXNSRCNBFB-VIFPVBQESA-N 0 2 313.321 0.592 20 0 DCADLN C[C@]1(NC(=O)Cc2cscn2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421202105 1003438838 /nfs/dbraw/zinc/43/88/38/1003438838.db2.gz CUIOBSVPTDKXBH-ZDUSSCGKSA-N 0 2 322.394 0.290 20 0 DCADLN C[C@]1(NC(=O)Cc2cscn2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421202105 1003438844 /nfs/dbraw/zinc/43/88/44/1003438844.db2.gz CUIOBSVPTDKXBH-ZDUSSCGKSA-N 0 2 322.394 0.290 20 0 DCADLN CCN(C(=O)[C@@H](C)C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421331982 1003550000 /nfs/dbraw/zinc/55/00/00/1003550000.db2.gz ZCAGTJQHPLQNPG-JQWIXIFHSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@@H](C)C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421331982 1003550007 /nfs/dbraw/zinc/55/00/07/1003550007.db2.gz ZCAGTJQHPLQNPG-JQWIXIFHSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(NCc1ccncc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001426509173 1003802926 /nfs/dbraw/zinc/80/29/26/1003802926.db2.gz HPGAWIYGBCGNPL-LLVKDONJSA-N 0 2 302.338 0.995 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccs1 ZINC001406575107 1003866323 /nfs/dbraw/zinc/86/63/23/1003866323.db2.gz QSTJCQRWQROWSG-VIFPVBQESA-N 0 2 309.395 0.751 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccs1 ZINC001406575107 1003866341 /nfs/dbraw/zinc/86/63/41/1003866341.db2.gz QSTJCQRWQROWSG-VIFPVBQESA-N 0 2 309.395 0.751 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccncc1 ZINC001406610667 1003922357 /nfs/dbraw/zinc/92/23/57/1003922357.db2.gz SFTPSQBVXTZGRY-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccncc1 ZINC001406610667 1003922368 /nfs/dbraw/zinc/92/23/68/1003922368.db2.gz SFTPSQBVXTZGRY-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)cn1 ZINC001406610952 1003923837 /nfs/dbraw/zinc/92/38/37/1003923837.db2.gz KYGNXGJCMJNSCU-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)cn1 ZINC001406610952 1003923843 /nfs/dbraw/zinc/92/38/43/1003923843.db2.gz KYGNXGJCMJNSCU-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN Cc1nnccc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001428656194 1004093726 /nfs/dbraw/zinc/09/37/26/1004093726.db2.gz XLYGIJXYKOAWAF-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nnccc1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001428656194 1004093734 /nfs/dbraw/zinc/09/37/34/1004093734.db2.gz XLYGIJXYKOAWAF-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN COC(=O)c1cncc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001472782408 1016856388 /nfs/dbraw/zinc/85/63/88/1016856388.db2.gz ZXNKIXLTWVRPJR-QMMMGPOBSA-N 0 2 304.310 0.471 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC001428860181 1004222903 /nfs/dbraw/zinc/22/29/03/1004222903.db2.gz MFFVLUGPOPYCBF-GFCCVEGCSA-N 0 2 322.409 0.386 20 0 DCADLN Cc1cc(C[NH2+]C2(CNC(=O)Cn3cc[nH+]c3C)CC2)no1 ZINC001406994892 1004293054 /nfs/dbraw/zinc/29/30/54/1004293054.db2.gz MASQBNKLHIJPHC-UHFFFAOYSA-N 0 2 303.366 0.927 20 0 DCADLN O=C(NC[C@@H]1CCCOC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427427402 1004378645 /nfs/dbraw/zinc/37/86/45/1004378645.db2.gz XCBIEAYIOIXLOV-QWRGUYRKSA-N 0 2 309.370 0.826 20 0 DCADLN CN(CCNC(=O)CCc1cn[nH]c1)C(=O)C(F)C(F)(F)F ZINC001429284275 1004505151 /nfs/dbraw/zinc/50/51/51/1004505151.db2.gz WQUIPCWEYPXASA-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCNC(=O)CCc1cn[nH]c1)C(=O)[C@@H](F)C(F)(F)F ZINC001429284275 1004505162 /nfs/dbraw/zinc/50/51/62/1004505162.db2.gz WQUIPCWEYPXASA-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN C[C@@H]1CC[C@H](CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001427681378 1004530830 /nfs/dbraw/zinc/53/08/30/1004530830.db2.gz AJCBYWAOQJLUMI-OUAUKWLOSA-N 0 2 309.370 0.967 20 0 DCADLN CCCCC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001427824262 1004630590 /nfs/dbraw/zinc/63/05/90/1004630590.db2.gz KBGROLMINOWDBP-CBAPKCEASA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001427824262 1004630593 /nfs/dbraw/zinc/63/05/93/1004630593.db2.gz KBGROLMINOWDBP-CBAPKCEASA-N 0 2 302.268 0.670 20 0 DCADLN COCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001408003750 1004981279 /nfs/dbraw/zinc/98/12/79/1004981279.db2.gz JAJPEGDHJMAFHG-WDQPUEAGSA-N 0 2 312.263 0.496 20 0 DCADLN COCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(F)(F)F ZINC001408003750 1004981287 /nfs/dbraw/zinc/98/12/87/1004981287.db2.gz JAJPEGDHJMAFHG-WDQPUEAGSA-N 0 2 312.263 0.496 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cn[nH]c2)C1)C(F)C(F)(F)F ZINC001414529452 1005353608 /nfs/dbraw/zinc/35/36/08/1005353608.db2.gz ZZFFXEUBVKGZBI-SFYZADRCSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cn[nH]c2)C1)[C@H](F)C(F)(F)F ZINC001414529452 1005353610 /nfs/dbraw/zinc/35/36/10/1005353610.db2.gz ZZFFXEUBVKGZBI-SFYZADRCSA-N 0 2 308.235 0.641 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414559353 1005359983 /nfs/dbraw/zinc/35/99/83/1005359983.db2.gz WVNLWDGNEPEWKR-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001414559353 1005359984 /nfs/dbraw/zinc/35/99/84/1005359984.db2.gz WVNLWDGNEPEWKR-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001414687304 1005384081 /nfs/dbraw/zinc/38/40/81/1005384081.db2.gz ZJAOHALPGBMSDR-NKWVEPMBSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001414687304 1005384083 /nfs/dbraw/zinc/38/40/83/1005384083.db2.gz ZJAOHALPGBMSDR-NKWVEPMBSA-N 0 2 323.250 0.378 20 0 DCADLN C[C@@H]1C[C@H](C)N(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001414730788 1005391747 /nfs/dbraw/zinc/39/17/47/1005391747.db2.gz JNRZEWFOZBEUAZ-BDAKNGLRSA-N 0 2 315.399 0.289 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001415156652 1005472035 /nfs/dbraw/zinc/47/20/35/1005472035.db2.gz GJELHTJJXDSQHA-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001415156652 1005472037 /nfs/dbraw/zinc/47/20/37/1005472037.db2.gz GJELHTJJXDSQHA-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN COCCC(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001416095973 1005660788 /nfs/dbraw/zinc/66/07/88/1005660788.db2.gz ZKMIDVFIZQHZCN-VIFPVBQESA-N 0 2 300.252 0.498 20 0 DCADLN O=C(NC1CN(C(=O)c2cccnc2)C1)C(F)C(F)(F)F ZINC001416026833 1005651400 /nfs/dbraw/zinc/65/14/00/1005651400.db2.gz GGJRNYKHNXZIAU-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)c2cccnc2)C1)[C@H](F)C(F)(F)F ZINC001416026833 1005651403 /nfs/dbraw/zinc/65/14/03/1005651403.db2.gz GGJRNYKHNXZIAU-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN COCCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001416095973 1005660786 /nfs/dbraw/zinc/66/07/86/1005660786.db2.gz ZKMIDVFIZQHZCN-VIFPVBQESA-N 0 2 300.252 0.498 20 0 DCADLN CC[C@@H](CNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001416289425 1005682083 /nfs/dbraw/zinc/68/20/83/1005682083.db2.gz LBSUWJMZHVFJAC-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1(CF)CC1)C(F)C(F)(F)F ZINC001416766892 1005733805 /nfs/dbraw/zinc/73/38/05/1005733805.db2.gz SIXRPYOXVMFHEA-NKWVEPMBSA-N 0 2 318.242 0.230 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1(CF)CC1)[C@@H](F)C(F)(F)F ZINC001416766892 1005733806 /nfs/dbraw/zinc/73/38/06/1005733806.db2.gz SIXRPYOXVMFHEA-NKWVEPMBSA-N 0 2 318.242 0.230 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001417033170 1005773593 /nfs/dbraw/zinc/77/35/93/1005773593.db2.gz AQGGXYDBTXHVFX-XPUUQOCRSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001417033170 1005773595 /nfs/dbraw/zinc/77/35/95/1005773595.db2.gz AQGGXYDBTXHVFX-XPUUQOCRSA-N 0 2 324.234 0.609 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cocn1 ZINC001417147030 1005793367 /nfs/dbraw/zinc/79/33/67/1005793367.db2.gz NFDZICFACMMMSG-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1cocn1 ZINC001417147030 1005793368 /nfs/dbraw/zinc/79/33/68/1005793368.db2.gz NFDZICFACMMMSG-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCC(C)(F)F ZINC001417744461 1005880471 /nfs/dbraw/zinc/88/04/71/1005880471.db2.gz CNGBOXQVKPHLTN-VIFPVBQESA-N 0 2 317.340 0.978 20 0 DCADLN Cc1cnc([C@H](C)[NH2+][C@H]2C[C@H](CNC(=O)Cc3nnc[nH]3)C2)o1 ZINC001417797674 1005892625 /nfs/dbraw/zinc/89/26/25/1005892625.db2.gz IDMDRGZHGLYVAU-SRVKXCTJSA-N 0 2 318.381 0.889 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)C1=CCOCC1 ZINC001506095788 1017009752 /nfs/dbraw/zinc/00/97/52/1017009752.db2.gz OYHQBEQIGBNWQE-SECBINFHSA-N 0 2 324.274 0.999 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)C1=CCOCC1 ZINC001506095788 1017009768 /nfs/dbraw/zinc/00/97/68/1017009768.db2.gz OYHQBEQIGBNWQE-SECBINFHSA-N 0 2 324.274 0.999 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccn2ccnc2c1 ZINC001436595300 1006234336 /nfs/dbraw/zinc/23/43/36/1006234336.db2.gz DCIPOKSDDLIFSS-UHFFFAOYSA-N 0 2 309.289 0.358 20 0 DCADLN COc1cc(C[N@H+](C)CCN(C)C(=O)C[NH+](C)C(C)C)on1 ZINC001438939955 1006251406 /nfs/dbraw/zinc/25/14/06/1006251406.db2.gz WKAFPCKJJFUICV-UHFFFAOYSA-N 0 2 312.414 0.914 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1CCC(F)CC1 ZINC001439374248 1006774492 /nfs/dbraw/zinc/77/44/92/1006774492.db2.gz NZJXUDWENSUSPE-WHXUTIOJSA-N 0 2 313.377 0.975 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1CCC(F)CC1 ZINC001439374248 1006774503 /nfs/dbraw/zinc/77/45/03/1006774503.db2.gz NZJXUDWENSUSPE-WHXUTIOJSA-N 0 2 313.377 0.975 20 0 DCADLN COCc1nnc(CNC(=O)Cc2ccc(OC)c(O)c2)[nH]1 ZINC001452954925 1007170903 /nfs/dbraw/zinc/17/09/03/1007170903.db2.gz OWYBWSNWTVDADE-UHFFFAOYSA-N 0 2 306.322 0.524 20 0 DCADLN CC(=O)CCCC(=O)NC[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001437688378 1007261385 /nfs/dbraw/zinc/26/13/85/1007261385.db2.gz KMYBLYSZUUEYPQ-NSHDSACASA-N 0 2 309.370 0.208 20 0 DCADLN CC(=O)CCCC(=O)NC[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001437688378 1007261396 /nfs/dbraw/zinc/26/13/96/1007261396.db2.gz KMYBLYSZUUEYPQ-NSHDSACASA-N 0 2 309.370 0.208 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H](c1ccncc1)C1CC1 ZINC001439942826 1007319609 /nfs/dbraw/zinc/31/96/09/1007319609.db2.gz WAFQAFFKYWWWQS-CQSZACIVSA-N 0 2 324.344 0.734 20 0 DCADLN Cc1c[nH]c(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001453405182 1007455562 /nfs/dbraw/zinc/45/55/62/1007455562.db2.gz QYFIAKWQYRZPPE-ZETCQYMHSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1c[nH]c(C(=O)NCC2(NC(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001453405182 1007455568 /nfs/dbraw/zinc/45/55/68/1007455568.db2.gz QYFIAKWQYRZPPE-ZETCQYMHSA-N 0 2 322.262 0.997 20 0 DCADLN CCNC(=O)C[N@H+](C)CC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001438005058 1007579576 /nfs/dbraw/zinc/57/95/76/1007579576.db2.gz QPPUJCKIUZAQNW-UHFFFAOYSA-N 0 2 321.425 0.259 20 0 DCADLN CCC1(C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001433296938 1008680037 /nfs/dbraw/zinc/68/00/37/1008680037.db2.gz CTMLMAUBCPTQTL-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001433296938 1008680043 /nfs/dbraw/zinc/68/00/43/1008680043.db2.gz CTMLMAUBCPTQTL-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001433601445 1008986517 /nfs/dbraw/zinc/98/65/17/1008986517.db2.gz QGAHKAKZPCZYDH-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001433601445 1008986533 /nfs/dbraw/zinc/98/65/33/1008986533.db2.gz QGAHKAKZPCZYDH-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ncnc2ccsc21 ZINC001454193091 1008990703 /nfs/dbraw/zinc/99/07/03/1008990703.db2.gz VPUSBNITSOFLKE-UHFFFAOYSA-N 0 2 303.303 0.823 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnc(-c2cccnc2)s1 ZINC001454274977 1009036426 /nfs/dbraw/zinc/03/64/26/1009036426.db2.gz QNYJLZHWGNAPPM-UHFFFAOYSA-N 0 2 315.314 0.851 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(Cc3nnc4n3CCOC4)C2)[nH]1 ZINC001421849272 1009163534 /nfs/dbraw/zinc/16/35/34/1009163534.db2.gz HLNBKMAQXZMCSV-VIFPVBQESA-N 0 2 305.342 0.012 20 0 DCADLN C[C@@H]1Nc2ccnn2[C@@H](C)[C@H]1CCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001433773469 1009167856 /nfs/dbraw/zinc/16/78/56/1009167856.db2.gz QPKBEHLLQNVVPU-GUBZILKMSA-N 0 2 319.369 0.795 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001421915750 1009256373 /nfs/dbraw/zinc/25/63/73/1009256373.db2.gz WSHALTAQALPARN-JTQLQIEISA-N 0 2 323.397 0.406 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC001454831211 1009346200 /nfs/dbraw/zinc/34/62/00/1009346200.db2.gz JZUVCSNAIXLJEC-SWLSCSKDSA-N 0 2 322.409 0.242 20 0 DCADLN CO[C@@H]1COCC[C@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001434022620 1009467480 /nfs/dbraw/zinc/46/74/80/1009467480.db2.gz XDUKEAWIGVMJRS-GXFFZTMASA-N 0 2 319.365 0.592 20 0 DCADLN Cc1ccc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)nn1 ZINC001455237292 1009604979 /nfs/dbraw/zinc/60/49/79/1009604979.db2.gz NUIWFJBMPJWUQZ-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ccc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)nn1 ZINC001455237292 1009604986 /nfs/dbraw/zinc/60/49/86/1009604986.db2.gz NUIWFJBMPJWUQZ-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1c(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)cnn1C ZINC001455284196 1009633343 /nfs/dbraw/zinc/63/33/43/1009633343.db2.gz ZTCMPFLQUNANAX-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1c(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)cnn1C ZINC001455284196 1009633349 /nfs/dbraw/zinc/63/33/49/1009633349.db2.gz ZTCMPFLQUNANAX-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CC[N@H+](CCNC(=O)c1ncc(C)cc1C)Cc1n[nH]c(=O)[n-]1 ZINC001442696370 1009638385 /nfs/dbraw/zinc/63/83/85/1009638385.db2.gz YNVHXQSXQWYHBN-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ncc(C)cc1C)Cc1n[nH]c(=O)[n-]1 ZINC001442696370 1009638397 /nfs/dbraw/zinc/63/83/97/1009638397.db2.gz YNVHXQSXQWYHBN-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@@H]1CCCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001442761476 1009707974 /nfs/dbraw/zinc/70/79/74/1009707974.db2.gz TZEOXVULXMSGEX-NSHDSACASA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@@H]1CCCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001442761476 1009707990 /nfs/dbraw/zinc/70/79/90/1009707990.db2.gz TZEOXVULXMSGEX-NSHDSACASA-N 0 2 311.386 0.265 20 0 DCADLN Cn1cc(CN2CCC[C@@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001442776022 1009723296 /nfs/dbraw/zinc/72/32/96/1009723296.db2.gz AQAQJRGHGRDILU-ZJUUUORDSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CCC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001442776022 1009723310 /nfs/dbraw/zinc/72/33/10/1009723310.db2.gz AQAQJRGHGRDILU-ZJUUUORDSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)c1ccccc1 ZINC001434385985 1009877592 /nfs/dbraw/zinc/87/75/92/1009877592.db2.gz VVNXFMAOTFFAMZ-JTQLQIEISA-N 0 2 322.390 0.769 20 0 DCADLN CC(C)C[C@@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001434386723 1009879524 /nfs/dbraw/zinc/87/95/24/1009879524.db2.gz ZUTXQIFLRIYYLK-SECBINFHSA-N 0 2 302.400 0.648 20 0 DCADLN CCCCS(=O)(=O)CC(=O)N[C@H](C)c1nn(C)cc1O ZINC001434408374 1009915581 /nfs/dbraw/zinc/91/55/81/1009915581.db2.gz WBLKOQLAVLTVNK-SECBINFHSA-N 0 2 303.384 0.518 20 0 DCADLN Cc1c[nH]cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1=O ZINC001434432240 1009947621 /nfs/dbraw/zinc/94/76/21/1009947621.db2.gz VFAYRCUODHTOTM-SECBINFHSA-N 0 2 303.322 0.527 20 0 DCADLN COC(=O)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]([C@H]2CCCO2)C1 ZINC001434490654 1010028779 /nfs/dbraw/zinc/02/87/79/1010028779.db2.gz UKMUQXTZLCHGRG-GMTAPVOTSA-N 0 2 310.354 0.443 20 0 DCADLN COC(=O)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]([C@H]2CCCO2)C1 ZINC001434490654 1010028791 /nfs/dbraw/zinc/02/87/91/1010028791.db2.gz UKMUQXTZLCHGRG-GMTAPVOTSA-N 0 2 310.354 0.443 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC(NC(=O)c2[nH]nc(C)c2C)C1 ZINC001456235961 1010139918 /nfs/dbraw/zinc/13/99/18/1010139918.db2.gz PYJKAJMPBNUACW-UHFFFAOYSA-N 0 2 307.398 0.309 20 0 DCADLN O=C(NC1CN(C(=O)c2ccsn2)C1)[C@H](F)C(F)(F)F ZINC001456283115 1010169116 /nfs/dbraw/zinc/16/91/16/1010169116.db2.gz BMCHLWRGSMOIQV-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)c2ccsn2)C1)C(F)C(F)(F)F ZINC001456283115 1010169114 /nfs/dbraw/zinc/16/91/14/1010169114.db2.gz BMCHLWRGSMOIQV-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN Cc1ccn(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001456290664 1010173317 /nfs/dbraw/zinc/17/33/17/1010173317.db2.gz WUJGNXKDGAYVJG-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN Cc1ccn(CC(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001456290664 1010173324 /nfs/dbraw/zinc/17/33/24/1010173324.db2.gz WUJGNXKDGAYVJG-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN CC(=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)(C)C ZINC001456309380 1010186504 /nfs/dbraw/zinc/18/65/04/1010186504.db2.gz WBHNTUGGRATMPK-RMKNXTFCSA-N 0 2 314.411 0.958 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NCc1nccc(C(F)F)n1 ZINC001475353756 1017095432 /nfs/dbraw/zinc/09/54/32/1017095432.db2.gz IWVSUIWRDFSXQJ-UHFFFAOYSA-N 0 2 314.339 0.476 20 0 DCADLN CCCCC(=O)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC001422772987 1010590319 /nfs/dbraw/zinc/59/03/19/1010590319.db2.gz SQLMFUAYKZFYGH-HNNXBMFYSA-N 0 2 323.397 0.504 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H]1CCC[N@@H+]1C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001443767894 1010643571 /nfs/dbraw/zinc/64/35/71/1010643571.db2.gz UGKZUTCNBQKMLL-OLZOCXBDSA-N 0 2 321.425 0.400 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001443844522 1010696360 /nfs/dbraw/zinc/69/63/60/1010696360.db2.gz KELTXBQYJUEHQB-PSASIEDQSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001443844522 1010696368 /nfs/dbraw/zinc/69/63/68/1010696368.db2.gz KELTXBQYJUEHQB-PSASIEDQSA-N 0 2 324.278 0.747 20 0 DCADLN CCN(C(=O)CC(F)(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443842820 1010697254 /nfs/dbraw/zinc/69/72/54/1010697254.db2.gz BZMHHIKPTDOZDK-MRVPVSSYSA-N 0 2 321.303 0.886 20 0 DCADLN CCN(C(=O)CC(F)(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443842820 1010697259 /nfs/dbraw/zinc/69/72/59/1010697259.db2.gz BZMHHIKPTDOZDK-MRVPVSSYSA-N 0 2 321.303 0.886 20 0 DCADLN CCN(C(=O)CC(F)(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001443842820 1010697264 /nfs/dbraw/zinc/69/72/64/1010697264.db2.gz BZMHHIKPTDOZDK-MRVPVSSYSA-N 0 2 321.303 0.886 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422917678 1010738855 /nfs/dbraw/zinc/73/88/55/1010738855.db2.gz DIMOMMDHQQYCPG-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422917678 1010738859 /nfs/dbraw/zinc/73/88/59/1010738859.db2.gz DIMOMMDHQQYCPG-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN CCC1(C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001444214960 1010907929 /nfs/dbraw/zinc/90/79/29/1010907929.db2.gz QUUZRMUICYCJER-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001444214960 1010907940 /nfs/dbraw/zinc/90/79/40/1010907940.db2.gz QUUZRMUICYCJER-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC[C@H](CO)NCc1cscn1)C(F)C(F)(F)F ZINC001423210864 1010982405 /nfs/dbraw/zinc/98/24/05/1010982405.db2.gz KWSYUXIYSHIEHL-HTRCEHHLSA-N 0 2 315.292 0.610 20 0 DCADLN O=C(NC[C@@H](CO)NCc1cscn1)C(F)C(F)(F)F ZINC001423210867 1010983089 /nfs/dbraw/zinc/98/30/89/1010983089.db2.gz KWSYUXIYSHIEHL-POYBYMJQSA-N 0 2 315.292 0.610 20 0 DCADLN Cc1conc1C(=O)NC[C@@H](CO)NCc1ncnn1C(C)C ZINC001423282565 1011045030 /nfs/dbraw/zinc/04/50/30/1011045030.db2.gz QAPWMUHNQBRFFG-NSHDSACASA-N 0 2 322.369 0.036 20 0 DCADLN COc1ccc(C(=O)NC[C@H](CO)NCc2ccccn2)cc1 ZINC001423283998 1011045158 /nfs/dbraw/zinc/04/51/58/1011045158.db2.gz NOSNMRZMRJXPKB-OAHLLOKOSA-N 0 2 315.373 0.971 20 0 DCADLN CCCCC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001533182968 1011222766 /nfs/dbraw/zinc/22/27/66/1011222766.db2.gz KHVXUXAWSMYOPS-APPZFPTMSA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001533182968 1011222776 /nfs/dbraw/zinc/22/27/76/1011222776.db2.gz KHVXUXAWSMYOPS-APPZFPTMSA-N 0 2 302.268 0.670 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)COC1CCCC1 ZINC001444944350 1011231781 /nfs/dbraw/zinc/23/17/81/1011231781.db2.gz CEJIEMQXJIGKBJ-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)COC1CCCC1 ZINC001444944350 1011231795 /nfs/dbraw/zinc/23/17/95/1011231795.db2.gz CEJIEMQXJIGKBJ-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN C[C@]1(NC(=O)Cc2cccnc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423578139 1011300333 /nfs/dbraw/zinc/30/03/33/1011300333.db2.gz GMXGMDBHTCBFNH-HNNXBMFYSA-N 0 2 316.365 0.229 20 0 DCADLN C[C@]1(NC(=O)Cc2cccnc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423578139 1011300348 /nfs/dbraw/zinc/30/03/48/1011300348.db2.gz GMXGMDBHTCBFNH-HNNXBMFYSA-N 0 2 316.365 0.229 20 0 DCADLN CC(C)CN1N=C(C(=O)N[C@@H](C)c2nn(C)cc2O)CCC1=O ZINC001456781387 1011456470 /nfs/dbraw/zinc/45/64/70/1011456470.db2.gz ADBCEUNLTSGLNH-JTQLQIEISA-N 0 2 321.381 0.937 20 0 DCADLN C[C@H](NC(=O)CSc1cccc[n+]1[O-])c1nn(C)cc1O ZINC001456788655 1011460208 /nfs/dbraw/zinc/46/02/08/1011460208.db2.gz IYISBWLIGGUHPW-VIFPVBQESA-N 0 2 308.363 0.729 20 0 DCADLN CCC(=O)N1CCC[C@@H](C(=O)NCCc2n[nH]c(=S)o2)C1 ZINC001556283741 1012194724 /nfs/dbraw/zinc/19/47/24/1012194724.db2.gz BZGYUMJQAVGJNG-SECBINFHSA-N 0 2 312.395 0.666 20 0 DCADLN COCCC(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458631107 1012233389 /nfs/dbraw/zinc/23/33/89/1012233389.db2.gz VHSHKAFGFBCCJE-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001458631107 1012233402 /nfs/dbraw/zinc/23/34/02/1012233402.db2.gz VHSHKAFGFBCCJE-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C[C@H]2C=CCCC2)[nH]1 ZINC001558551317 1012363975 /nfs/dbraw/zinc/36/39/75/1012363975.db2.gz KZIYPGYJOFGJEF-JTQLQIEISA-N 0 2 312.395 0.712 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cnc(-c3ccccc3)nc2)n1 ZINC001561892352 1012479696 /nfs/dbraw/zinc/47/96/96/1012479696.db2.gz WGHIDUMWTDTKSJ-UHFFFAOYSA-N 0 2 317.334 0.468 20 0 DCADLN CCO[C@@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001446353841 1012773602 /nfs/dbraw/zinc/77/36/02/1012773602.db2.gz VJEVXQPFYWTRRN-GFCCVEGCSA-N 0 2 311.386 0.214 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)C[C@@H]1CCCOC1 ZINC001475755008 1017150000 /nfs/dbraw/zinc/15/00/00/1017150000.db2.gz PEEGEQGQCBCUIC-MRVPVSSYSA-N 0 2 301.311 0.141 20 0 DCADLN CO[C@@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001506263980 1017153646 /nfs/dbraw/zinc/15/36/46/1017153646.db2.gz QHBVXEJCVTUZTD-XPUUQOCRSA-N 0 2 300.252 0.591 20 0 DCADLN CO[C@@H](C)C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001506263980 1017153650 /nfs/dbraw/zinc/15/36/50/1017153650.db2.gz QHBVXEJCVTUZTD-XPUUQOCRSA-N 0 2 300.252 0.591 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001479328440 1017497447 /nfs/dbraw/zinc/49/74/47/1017497447.db2.gz ZKVLENWGIOYWRJ-BDAKNGLRSA-N 0 2 315.399 0.337 20 0 DCADLN C[C@@H](CCCNC(=O)C(F)C(F)(F)F)NC(=O)CC(N)=O ZINC001509990671 1017497860 /nfs/dbraw/zinc/49/78/60/1017497860.db2.gz ZFSBPUZLIGXJMI-IMTBSYHQSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CCCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CC(N)=O ZINC001509990671 1017497872 /nfs/dbraw/zinc/49/78/72/1017497872.db2.gz ZFSBPUZLIGXJMI-IMTBSYHQSA-N 0 2 315.267 0.163 20 0 DCADLN Cc1ncoc1C[NH2+]CCC[C@@H](C)NC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001506916867 1017668344 /nfs/dbraw/zinc/66/83/44/1017668344.db2.gz IWJFNXNOSOTSBS-DOMZBBRYSA-N 0 2 324.425 0.688 20 0 DCADLN CCNC(=O)CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001494686156 1017950165 /nfs/dbraw/zinc/95/01/65/1017950165.db2.gz LOFDWTJJPUBHNT-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN CCNC(=O)CC(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001494686156 1017950177 /nfs/dbraw/zinc/95/01/77/1017950177.db2.gz LOFDWTJJPUBHNT-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001499672699 1018033091 /nfs/dbraw/zinc/03/30/91/1018033091.db2.gz OHLPBQVVXGZNIH-VIFPVBQESA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001499672699 1018033103 /nfs/dbraw/zinc/03/31/03/1018033103.db2.gz OHLPBQVVXGZNIH-VIFPVBQESA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2nnnn2C)C1 ZINC001494826879 1018078991 /nfs/dbraw/zinc/07/89/91/1018078991.db2.gz JDTZBKNZXOBNJM-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(Cc2nnnn2C)C1 ZINC001494826879 1018079004 /nfs/dbraw/zinc/07/90/04/1018079004.db2.gz JDTZBKNZXOBNJM-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN COC[C@H](C)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001500839438 1018684576 /nfs/dbraw/zinc/68/45/76/1018684576.db2.gz DLYSZKROMIOARZ-YUMQZZPRSA-N 0 2 302.268 0.085 20 0 DCADLN CCC[C@H](C)NC(=O)C[N@H+](C)CCNC(=O)c1n[nH]c(C)c1C ZINC001480849680 1018986476 /nfs/dbraw/zinc/98/64/76/1018986476.db2.gz JCTVYNYEHXCJEU-NSHDSACASA-N 0 2 323.441 0.993 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cccnn1 ZINC001496123363 1019089163 /nfs/dbraw/zinc/08/91/63/1019089163.db2.gz QEGULSCVDAJPJH-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cccnn1 ZINC001496123363 1019089178 /nfs/dbraw/zinc/08/91/78/1019089178.db2.gz QEGULSCVDAJPJH-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001493148924 1019146179 /nfs/dbraw/zinc/14/61/79/1019146179.db2.gz UERBCUZFQUNCMU-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001493148924 1019146189 /nfs/dbraw/zinc/14/61/89/1019146189.db2.gz UERBCUZFQUNCMU-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(C[C@H]1CCCOC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493159086 1019154224 /nfs/dbraw/zinc/15/42/24/1019154224.db2.gz AMRRERRALWTCHQ-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@H]1CCCOC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493159086 1019154236 /nfs/dbraw/zinc/15/42/36/1019154236.db2.gz AMRRERRALWTCHQ-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(Cc1cc[nH]n1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001501752735 1019442068 /nfs/dbraw/zinc/44/20/68/1019442068.db2.gz BDZFEEJMTJNFAK-JWXWKVPASA-N 0 2 322.262 0.641 20 0 DCADLN O=C(Cc1cc[nH]n1)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001501752735 1019442078 /nfs/dbraw/zinc/44/20/78/1019442078.db2.gz BDZFEEJMTJNFAK-JWXWKVPASA-N 0 2 322.262 0.641 20 0 DCADLN CC(C)(O)CC(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001501828967 1019499104 /nfs/dbraw/zinc/49/91/04/1019499104.db2.gz JPDGHGNEZXIGCQ-NWALNABHSA-N 0 2 314.279 0.836 20 0 DCADLN CC(C)(O)CC(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001501828967 1019499110 /nfs/dbraw/zinc/49/91/10/1019499110.db2.gz JPDGHGNEZXIGCQ-NWALNABHSA-N 0 2 314.279 0.836 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001496783910 1019636862 /nfs/dbraw/zinc/63/68/62/1019636862.db2.gz VFYVVWUJCQEVJN-LURJTMIESA-N 0 2 311.239 0.283 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001496783910 1019636882 /nfs/dbraw/zinc/63/68/82/1019636882.db2.gz VFYVVWUJCQEVJN-LURJTMIESA-N 0 2 311.239 0.283 20 0 DCADLN Cn1ccc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)cc1=O ZINC001494390194 1020168754 /nfs/dbraw/zinc/16/87/54/1020168754.db2.gz XAVKXIOJVLBKFU-LLVKDONJSA-N 0 2 321.274 0.586 20 0 DCADLN Cn1ccc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)cc1=O ZINC001494390194 1020168760 /nfs/dbraw/zinc/16/87/60/1020168760.db2.gz XAVKXIOJVLBKFU-LLVKDONJSA-N 0 2 321.274 0.586 20 0 DCADLN COc1ccccc1N1CCN(C(=O)c2nc(=O)[nH][nH]2)CC1 ZINC000072272828 191095074 /nfs/dbraw/zinc/09/50/74/191095074.db2.gz IELQDFHTHUOTNN-UHFFFAOYSA-N 0 2 303.322 0.069 20 0 DCADLN C[C@H](NC(=O)C1=NN(c2ccc(Cl)cc2)CC1=O)C(N)=O ZINC000193727756 299255855 /nfs/dbraw/zinc/25/58/55/299255855.db2.gz GAMKUURQFRCDHQ-ZETCQYMHSA-N 0 2 308.725 0.835 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC[C@@H]1CCO)c2=O ZINC000187790804 237357931 /nfs/dbraw/zinc/35/79/31/237357931.db2.gz KFMRPBXXAGRVET-BXKDBHETSA-N 0 2 304.350 0.955 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC[C@@H](C(N)=O)C2)c1 ZINC000157070760 291226829 /nfs/dbraw/zinc/22/68/29/291226829.db2.gz AUEWBICDASOTBZ-XFNZEKPQSA-N 0 2 324.402 0.527 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2CCC[C@@H](C(=O)[O-])C2)c[nH+]1 ZINC000420587878 240046852 /nfs/dbraw/zinc/04/68/52/240046852.db2.gz RVGWLDLQMVBVQC-GFCCVEGCSA-N 0 2 319.361 0.638 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2CC[C@](C)(C(=O)[O-])C2)c[nH+]1 ZINC000420589346 240047611 /nfs/dbraw/zinc/04/76/11/240047611.db2.gz UMTSNDOYSLGJAG-INIZCTEOSA-N 0 2 319.361 0.638 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCCN(C)C2=O)c1 ZINC000424713629 240264450 /nfs/dbraw/zinc/26/44/50/240264450.db2.gz YECOMEQGWJCWCK-MCMMXHMISA-N 0 2 324.402 0.540 20 0 DCADLN O=C(OC[C@H]1CNC(=O)O1)c1nn(-c2ccccc2F)cc1O ZINC000496331856 241244030 /nfs/dbraw/zinc/24/40/30/241244030.db2.gz WYUYHEGEZSYQFN-MRVPVSSYSA-N 0 2 321.264 0.982 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@H](O)C[NH2+][C@H](CO)CC(F)(F)F)C[C@H](C)O1 ZINC000567872970 291386541 /nfs/dbraw/zinc/38/65/41/291386541.db2.gz KZCFORUXJDZXFI-WHOHXGKFSA-N 0 2 314.348 0.359 20 0 DCADLN CCn1cc(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000567959836 291393903 /nfs/dbraw/zinc/39/39/03/291393903.db2.gz KXQXWECEVYUEAW-LLVKDONJSA-N 0 2 304.354 0.675 20 0 DCADLN C[C@](O)(CNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccsc1 ZINC000275089191 212114513 /nfs/dbraw/zinc/11/45/13/212114513.db2.gz GIUGDFAZOXDQFB-NSHDSACASA-N 0 2 314.392 0.276 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCO[C@H](c2ccccc2)C1 ZINC000275006029 212069458 /nfs/dbraw/zinc/06/94/58/212069458.db2.gz VTXGLLQSKBHFFP-NSHDSACASA-N 0 2 320.374 0.790 20 0 DCADLN CC(C)C[C@@H](CCO)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274977806 212054156 /nfs/dbraw/zinc/05/41/56/212054156.db2.gz OUMZXBXHMFBVKT-SECBINFHSA-N 0 2 302.400 0.351 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000574571942 291702951 /nfs/dbraw/zinc/70/29/51/291702951.db2.gz RHLCDDPWEJIGLT-HIFRSBDPSA-N 0 2 320.389 0.767 20 0 DCADLN Cn1nnnc1-c1cccc(S(=O)(=O)Nc2nncs2)c1 ZINC000339408419 253081020 /nfs/dbraw/zinc/08/10/20/253081020.db2.gz AZDVIJHYOIDKGV-UHFFFAOYSA-N 0 2 323.363 0.529 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2nc(-c3cccnc3)ns2)n1 ZINC000339412701 253081222 /nfs/dbraw/zinc/08/12/22/253081222.db2.gz HKJLNTTUHIFABZ-UHFFFAOYSA-N 0 2 322.375 0.556 20 0 DCADLN CS(=O)(=O)c1ccnc(NS(=O)(=O)c2cccnc2)c1 ZINC000340635815 253286738 /nfs/dbraw/zinc/28/67/38/253286738.db2.gz JFJHPFZGBSHQBP-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(CNC(N)=O)cc1 ZINC000340828419 253311432 /nfs/dbraw/zinc/31/14/32/253311432.db2.gz QKOTXZKOEZMKKX-UHFFFAOYSA-N 0 2 323.378 0.698 20 0 DCADLN Cc1cnc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)cn1 ZINC000340936902 253324368 /nfs/dbraw/zinc/32/43/68/253324368.db2.gz UGKILBLSRMDSQC-UHFFFAOYSA-N 0 2 314.352 0.260 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(C(N)=O)c(C)c1 ZINC000340971285 253329970 /nfs/dbraw/zinc/32/99/70/253329970.db2.gz WGEYPLIREKVWOR-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN CC(=O)[C@@H](NC(=O)CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC000344111889 253745439 /nfs/dbraw/zinc/74/54/39/253745439.db2.gz RGWPZQYQFSINHU-YPMHNXCESA-N 0 2 323.397 0.420 20 0 DCADLN Cc1cc(C(=O)NC[C@](C)(O)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000347758256 254187402 /nfs/dbraw/zinc/18/74/02/254187402.db2.gz WUHQTFBRMIZJMZ-HNNXBMFYSA-N 0 2 320.349 0.881 20 0 DCADLN CC(=O)NCCCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348726391 254250455 /nfs/dbraw/zinc/25/04/55/254250455.db2.gz FEBYKTPKSWWLBS-UHFFFAOYSA-N 0 2 304.306 0.286 20 0 DCADLN CC(=O)Nc1ncccc1NS(=O)(=O)c1c(C)cnn1C ZINC000351451193 254337039 /nfs/dbraw/zinc/33/70/39/254337039.db2.gz LBDXANVMQGPAIJ-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc2n[nH]c(C(N)=O)c2c1 ZINC000351755827 254365878 /nfs/dbraw/zinc/36/58/78/254365878.db2.gz OISRWCJXRJTTFL-UHFFFAOYSA-N 0 2 320.334 0.494 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2cccc(S(C)(=O)=O)c2)n1 ZINC000351978322 254386638 /nfs/dbraw/zinc/38/66/38/254386638.db2.gz QVILMXOIYQWWFC-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1ncccc1OC(F)F ZINC000278584464 130704260 /nfs/dbraw/zinc/70/42/60/130704260.db2.gz PDMGWADNHKEVNF-ZETCQYMHSA-N 0 2 323.321 0.901 20 0 DCADLN COc1cc(OC)nc(NS(=O)(=O)c2c(C)cnn2C)n1 ZINC000285242894 131150152 /nfs/dbraw/zinc/15/01/52/131150152.db2.gz ZRCYRTXFBJVALN-UHFFFAOYSA-N 0 2 313.339 0.337 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)Nc1ccc(Br)cn1 ZINC000035305477 395720296 /nfs/dbraw/zinc/72/02/96/395720296.db2.gz CIWVKQRBJURSFF-UHFFFAOYSA-N 0 2 302.128 0.799 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)Nc1ccc(Br)cn1 ZINC000035305477 395720298 /nfs/dbraw/zinc/72/02/98/395720298.db2.gz CIWVKQRBJURSFF-UHFFFAOYSA-N 0 2 302.128 0.799 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccccc1-n1cnnn1 ZINC000040827167 395735419 /nfs/dbraw/zinc/73/54/19/395735419.db2.gz CNSZFDNUAVSXIC-UHFFFAOYSA-N 0 2 305.323 0.495 20 0 DCADLN COC(=O)[C@]1(F)CC[N@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000093178009 395736742 /nfs/dbraw/zinc/73/67/42/395736742.db2.gz AXQKILQQYXISQP-HNNXBMFYSA-N 0 2 310.325 0.821 20 0 DCADLN COC(=O)[C@]1(F)CC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000093178009 395736744 /nfs/dbraw/zinc/73/67/44/395736744.db2.gz AXQKILQQYXISQP-HNNXBMFYSA-N 0 2 310.325 0.821 20 0 DCADLN Cc1cc(C(=O)N[C@@H](CO)CC(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000271075621 395835619 /nfs/dbraw/zinc/83/56/19/395835619.db2.gz PFTWAPUYYBQXMT-SECBINFHSA-N 0 2 320.349 0.881 20 0 DCADLN CC(C)COc1ncccc1C(=O)NN1CC(=O)N(C)C1=O ZINC000271252462 395851036 /nfs/dbraw/zinc/85/10/36/395851036.db2.gz DZHXASDRWDLXCZ-UHFFFAOYSA-N 0 2 306.322 0.655 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H](C)O1 ZINC000047402924 395791276 /nfs/dbraw/zinc/79/12/76/395791276.db2.gz JFRPWGZEZUSSFL-HTQZYQBOSA-N 0 2 304.306 0.273 20 0 DCADLN COC(=O)[C@H](C)NC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000272537850 395898119 /nfs/dbraw/zinc/89/81/19/395898119.db2.gz XQJHKNDHZATEPQ-VIFPVBQESA-N 0 2 319.317 0.878 20 0 DCADLN CCOc1cc2c(cc1CNC(=O)c1nc(=O)[nH][nH]1)O[C@@H](C)C2 ZINC000126523684 395913348 /nfs/dbraw/zinc/91/33/48/395913348.db2.gz YMOSBZLTNMVWGY-QMMMGPOBSA-N 0 2 318.333 0.750 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](CNC(=O)c2cc(F)c(F)c(O)c2F)O1 ZINC000273409429 395919468 /nfs/dbraw/zinc/91/94/68/395919468.db2.gz KGCQKHYGQILZHL-XRGYYRRGSA-N 0 2 318.251 0.572 20 0 DCADLN CC[NH+]1CCN([C@@H]2CC[N@@H+]([C@H](C)C(=O)NCC(C)C)C2)CC1 ZINC000245942882 395939356 /nfs/dbraw/zinc/93/93/56/395939356.db2.gz VNWCZMJGJQSMJD-HZPDHXFCSA-N 0 2 310.486 0.859 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(OCCOC)nc2)c1O ZINC000277162935 396052946 /nfs/dbraw/zinc/05/29/46/396052946.db2.gz BNZXSNAXFWSQIM-NSHDSACASA-N 0 2 323.305 0.095 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(NC(C)=O)c2)c1O ZINC000277197010 396054851 /nfs/dbraw/zinc/05/48/51/396054851.db2.gz DKSLKRBALPOQKD-NSHDSACASA-N 0 2 305.290 0.633 20 0 DCADLN CCN(C)C(=O)[C@@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000276584837 396012626 /nfs/dbraw/zinc/01/26/26/396012626.db2.gz KEDJOBMPSMQSOB-SSDOTTSWSA-N 0 2 319.321 0.033 20 0 DCADLN O=S(=O)(NCC(F)(F)CO)C1=Cc2ccccc2OC1 ZINC000276619734 396015155 /nfs/dbraw/zinc/01/51/55/396015155.db2.gz DWKOHLJOEJXIKI-UHFFFAOYSA-N 0 2 305.302 0.967 20 0 DCADLN Cc1cc(C(=O)NCCC[C@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000279119582 396153886 /nfs/dbraw/zinc/15/38/86/396153886.db2.gz LUPCDONPLUHUEJ-QMMMGPOBSA-N 0 2 306.322 0.635 20 0 DCADLN CCOc1ccc(OCCNC(=O)C[N@H+](C)[C@H](C)C(=O)[O-])cc1 ZINC000262602341 396160325 /nfs/dbraw/zinc/16/03/25/396160325.db2.gz ADOTYTQAXNQBMQ-GFCCVEGCSA-N 0 2 324.377 0.985 20 0 DCADLN CCOc1ccc(OCCNC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])cc1 ZINC000262602341 396160327 /nfs/dbraw/zinc/16/03/27/396160327.db2.gz ADOTYTQAXNQBMQ-GFCCVEGCSA-N 0 2 324.377 0.985 20 0 DCADLN Cc1n[nH]c(NC(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@H]23)n1 ZINC000264062982 396253361 /nfs/dbraw/zinc/25/33/61/396253361.db2.gz HABSZGGJPSGILB-YWVKMMECSA-N 0 2 324.410 0.777 20 0 DCADLN CCCCOC(=O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000264374304 396275253 /nfs/dbraw/zinc/27/52/53/396275253.db2.gz BIAXFGWOONKTFP-UHFFFAOYSA-N 0 2 320.305 0.509 20 0 DCADLN CC(C)Nc1nc(N2CC[C@@H](C(=O)[O-])C2)nc(NCCO)[nH+]1 ZINC000263456447 396217382 /nfs/dbraw/zinc/21/73/82/396217382.db2.gz AWIAZWCMDQIUMN-SECBINFHSA-N 0 2 310.358 0.007 20 0 DCADLN CC(C)Nc1nc(NCCO)nc(N2CC[C@@H](C(=O)[O-])C2)[nH+]1 ZINC000263456447 396217391 /nfs/dbraw/zinc/21/73/91/396217391.db2.gz AWIAZWCMDQIUMN-SECBINFHSA-N 0 2 310.358 0.007 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2csnc2C(=O)OC)cn1 ZINC000268725597 396369691 /nfs/dbraw/zinc/36/96/91/396369691.db2.gz QRAPWEDOBIZDDD-UHFFFAOYSA-N 0 2 316.364 0.947 20 0 DCADLN Cc1cc(NS(=O)(=O)N2CCOCC2)c(C(N)=O)cc1F ZINC000268790291 396372560 /nfs/dbraw/zinc/37/25/60/396372560.db2.gz ULTGECSLATXBAM-UHFFFAOYSA-N 0 2 317.342 0.222 20 0 DCADLN C[C@](CO)(NC(=O)CSc1n[nH]c(=O)[nH]1)C1CCCCC1 ZINC000189115553 396391045 /nfs/dbraw/zinc/39/10/45/396391045.db2.gz TWYNEQHOCIRCPS-CYBMUJFWSA-N 0 2 314.411 0.638 20 0 DCADLN Cc1[nH]ncc1CNC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C ZINC000269323668 396407909 /nfs/dbraw/zinc/40/79/09/396407909.db2.gz UIZGXNQJOWQJMF-JTQLQIEISA-N 0 2 302.400 0.298 20 0 DCADLN CS[C@@H](C)CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000270267322 396463896 /nfs/dbraw/zinc/46/38/96/396463896.db2.gz VMTOLEZETITKLV-YFKPBYRVSA-N 0 2 310.426 0.990 20 0 DCADLN O=C(Cn1cc(Cl)cn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000581076025 396524454 /nfs/dbraw/zinc/52/44/54/396524454.db2.gz YHSYUTOKGNHEIA-MRVPVSSYSA-N 0 2 310.745 0.766 20 0 DCADLN Cc1nc(N2CCN(c3ccc(C(=O)[O-])nn3)CC2)cc[nH+]1 ZINC000519769266 396526528 /nfs/dbraw/zinc/52/65/28/396526528.db2.gz IXMYJKPIYIETJO-UHFFFAOYSA-N 0 2 300.322 0.600 20 0 DCADLN C[C@H]([NH2+]C1CC1)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000581130909 396528580 /nfs/dbraw/zinc/52/85/80/396528580.db2.gz ZQTHQUBTAFFVRX-GXTWGEPZSA-N 0 2 303.410 0.650 20 0 DCADLN CC[C@@H]1CCCCN1C(=O)C[N@@H+]1CCN2C(=O)[C@@H]([NH3+])C[C@H]2C1 ZINC000581201761 396534417 /nfs/dbraw/zinc/53/44/17/396534417.db2.gz FSNWCSVBSAGMTA-RDBSUJKOSA-N 0 2 308.426 0.021 20 0 DCADLN O=C(NC[C@H]1CC[C@@H](CO)O1)C1=NN(c2ccccc2)CC1=O ZINC000293993111 396646681 /nfs/dbraw/zinc/64/66/81/396646681.db2.gz RNMDHZIXNDSXON-OLZOCXBDSA-N 0 2 317.345 0.848 20 0 DCADLN COC(=O)c1ccccc1NC(=O)N1CC[N@@H+](C)C[C@H]1C[NH3+] ZINC000582348327 396605245 /nfs/dbraw/zinc/60/52/45/396605245.db2.gz FRKQJECPKLJDHZ-LLVKDONJSA-N 0 2 306.366 0.580 20 0 DCADLN COc1ccccc1OCCNC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000561148619 396630735 /nfs/dbraw/zinc/63/07/35/396630735.db2.gz LEOCGPBREJUYJD-CYBMUJFWSA-N 0 2 322.409 0.358 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@H+]1C[C@@H](O)COCc1cccs1 ZINC000563278109 396719835 /nfs/dbraw/zinc/71/98/35/396719835.db2.gz OQUNRDRNDOWHKP-PWSUYJOCSA-N 0 2 301.364 0.411 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@@H+]1C[C@@H](O)COCc1cccs1 ZINC000563278109 396719838 /nfs/dbraw/zinc/71/98/38/396719838.db2.gz OQUNRDRNDOWHKP-PWSUYJOCSA-N 0 2 301.364 0.411 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@H]2COCCN2C)s[nH]1 ZINC000634149650 396795784 /nfs/dbraw/zinc/79/57/84/396795784.db2.gz NNPDNMUCOLXDRL-MRVPVSSYSA-N 0 2 300.384 0.111 20 0 DCADLN [NH3+][C@@H]1C[C@@H]2C[N@H+](Cc3cnc([C@H]4CCCO4)s3)CCN2C1=O ZINC000563430423 396732934 /nfs/dbraw/zinc/73/29/34/396732934.db2.gz BHJSTISEIRBCSR-RAIGVLPGSA-N 0 2 322.434 0.738 20 0 DCADLN NC(=O)c1cn(C2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)nn1 ZINC000629766652 396826439 /nfs/dbraw/zinc/82/64/39/396826439.db2.gz BWADGFKVHPAESC-UHFFFAOYSA-N 0 2 316.321 0.312 20 0 DCADLN CC[C@@]1(O)CCCN(C(=O)Cn2nc3n(c2=O)CCCC3)C1 ZINC000634197099 396811402 /nfs/dbraw/zinc/81/14/02/396811402.db2.gz BTIOTJVSCWZOLW-OAHLLOKOSA-N 0 2 308.382 0.145 20 0 DCADLN COC(=O)c1ccncc1NS(=O)(=O)c1cnc(C)n1C ZINC000600665913 396909867 /nfs/dbraw/zinc/90/98/67/396909867.db2.gz SPAYTCDOTLITOZ-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN Cc1c(NS(=O)(=O)c2ccc3c(c2)nnn3C)cnn1C ZINC000634790030 396918901 /nfs/dbraw/zinc/91/89/01/396918901.db2.gz OUCZYVKNOTUHNY-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000630157238 396949961 /nfs/dbraw/zinc/94/99/61/396949961.db2.gz PYEUBLSCLDVYKJ-CABCVRRESA-N 0 2 318.373 0.918 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000630157238 396949971 /nfs/dbraw/zinc/94/99/71/396949971.db2.gz PYEUBLSCLDVYKJ-CABCVRRESA-N 0 2 318.373 0.918 20 0 DCADLN CS[C@@H](CO)[C@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597394344 396962436 /nfs/dbraw/zinc/96/24/36/396962436.db2.gz NGVSHCHRCFNOSO-KWQFWETISA-N 0 2 323.374 0.872 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)N1Cc2ccc(C(=O)[O-])cc2C1 ZINC000630217380 396964964 /nfs/dbraw/zinc/96/49/64/396964964.db2.gz AWEQAVSZQPGORR-CQSZACIVSA-N 0 2 304.346 0.948 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)N1Cc2ccc(C(=O)[O-])cc2C1 ZINC000630217380 396964968 /nfs/dbraw/zinc/96/49/68/396964968.db2.gz AWEQAVSZQPGORR-CQSZACIVSA-N 0 2 304.346 0.948 20 0 DCADLN C[C@H](C[S@](C)=O)N(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597499278 396992453 /nfs/dbraw/zinc/99/24/53/396992453.db2.gz YBBHAOILUPNNNK-CLTRCRFRSA-N 0 2 323.374 0.869 20 0 DCADLN CC(C)(C)[C@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(N)=O ZINC000612171914 397010929 /nfs/dbraw/zinc/01/09/29/397010929.db2.gz TVCXHSFPMWJAQV-SNVBAGLBSA-N 0 2 318.333 0.660 20 0 DCADLN CN(C[C@@H](O)C1CC1)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612168256 397011463 /nfs/dbraw/zinc/01/14/63/397011463.db2.gz ARJPXIFMWJTIMZ-GFCCVEGCSA-N 0 2 303.318 0.873 20 0 DCADLN CN(C[C@H](O)C1CC1)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612168257 397011604 /nfs/dbraw/zinc/01/16/04/397011604.db2.gz ARJPXIFMWJTIMZ-LBPRGKRZSA-N 0 2 303.318 0.873 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2cccc3c2CCOC3)n[nH]1 ZINC000630417202 397014731 /nfs/dbraw/zinc/01/47/31/397014731.db2.gz QGNDLXQPGLFVPL-UHFFFAOYSA-N 0 2 323.374 0.998 20 0 DCADLN CC(C)OC[C@@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)CCO1 ZINC000377286416 397061749 /nfs/dbraw/zinc/06/17/49/397061749.db2.gz BOVOBDISZBKICH-VIFPVBQESA-N 0 2 316.383 0.255 20 0 DCADLN CC(C)NS(=O)(=O)CCCS(=O)(=O)Nc1ccon1 ZINC000349580215 397075236 /nfs/dbraw/zinc/07/52/36/397075236.db2.gz YVZSPCBTEMJHDV-UHFFFAOYSA-N 0 2 311.385 0.134 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000573488140 397172612 /nfs/dbraw/zinc/17/26/12/397172612.db2.gz NJPPEMUPTSKKDP-GHMZBOCLSA-N 0 2 307.350 0.644 20 0 DCADLN CC[C@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@H]1O ZINC000613096007 397191737 /nfs/dbraw/zinc/19/17/37/397191737.db2.gz CLTSVSAAVWMBHL-JOYOIKCWSA-N 0 2 303.318 0.873 20 0 DCADLN COC[C@@H](O)CCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613143909 397203084 /nfs/dbraw/zinc/20/30/84/397203084.db2.gz KPAATFLVLYNOCQ-NSHDSACASA-N 0 2 307.306 0.157 20 0 DCADLN COC(=O)[C@H]1C[C@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000598519767 397241934 /nfs/dbraw/zinc/24/19/34/397241934.db2.gz ATXXQTCTZODBRH-FKQCQYRASA-N 0 2 318.289 0.117 20 0 DCADLN CCc1nc(CNC(=O)N2CC[N@H+](CC(C)(C)O)[C@@H](C)C2)n[nH]1 ZINC000574735705 397295234 /nfs/dbraw/zinc/29/52/34/397295234.db2.gz WECVERGEGHKODK-NSHDSACASA-N 0 2 324.429 0.354 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000592940352 397298035 /nfs/dbraw/zinc/29/80/35/397298035.db2.gz USZSHNWNZLDFSM-BDAKNGLRSA-N 0 2 315.272 0.666 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1CC(=O)N(C)C1 ZINC000574769336 397298502 /nfs/dbraw/zinc/29/85/02/397298502.db2.gz WHOLJYVRFMUWKY-JTQLQIEISA-N 0 2 315.333 0.903 20 0 DCADLN O=C(CNC[C@@H]1CCCO1)N=c1nc(-c2cccnc2)[nH]s1 ZINC000574809584 397301135 /nfs/dbraw/zinc/30/11/35/397301135.db2.gz UYUUYWMETCYDBG-NSHDSACASA-N 0 2 319.390 0.729 20 0 DCADLN O=C(C[C@H]1CCCC(=O)N1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000577517454 397339855 /nfs/dbraw/zinc/33/98/55/397339855.db2.gz OLUJMLYPQXKCMT-VHSXEESVSA-N 0 2 307.354 0.275 20 0 DCADLN C[C@@H]1C[N@H+](C)CC[C@@H]1N(C)C(=O)NC[C@H]1C[NH+](C2CC2)CCO1 ZINC000366551819 397374331 /nfs/dbraw/zinc/37/43/31/397374331.db2.gz ANCRJEIRTOGUFJ-KBMXLJTQSA-N 0 2 324.469 0.831 20 0 DCADLN CCOc1ccc(C[N@@H+]2CCN3C(=O)[C@H]([NH3+])C[C@@H]3C2)cc1F ZINC000578550834 397453687 /nfs/dbraw/zinc/45/36/87/397453687.db2.gz PYEOYEJEBNZCEP-TZMCWYRMSA-N 0 2 307.369 0.968 20 0 DCADLN Cc1nsc(N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)n1 ZINC000367864457 397412183 /nfs/dbraw/zinc/41/21/83/397412183.db2.gz KDNZMXQZHZMDSG-SECBINFHSA-N 0 2 302.425 0.637 20 0 DCADLN Cc1nc(=N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)s[nH]1 ZINC000367864457 397412188 /nfs/dbraw/zinc/41/21/88/397412188.db2.gz KDNZMXQZHZMDSG-SECBINFHSA-N 0 2 302.425 0.637 20 0 DCADLN COCCS(=O)(=O)Nc1cc(OC)ccc1C(=O)OC ZINC000609984790 397799407 /nfs/dbraw/zinc/79/94/07/397799407.db2.gz FASZRQMAAWOHKP-UHFFFAOYSA-N 0 2 303.336 0.870 20 0 DCADLN O=c1nc(CN2CCOC[C@@]23CCOC3)[nH]c2ccsc21 ZINC000193725446 304814003 /nfs/dbraw/zinc/81/40/03/304814003.db2.gz RMLINYIKDOLQGB-AWEZNQCLSA-N 0 2 307.375 0.976 20 0 DCADLN CCOC(=O)[C@H]1CN(c2cccc(C(=O)[O-])[nH+]2)CC12COC2 ZINC000416422990 285944554 /nfs/dbraw/zinc/94/45/54/285944554.db2.gz QRVGKMHYHOVGRN-SNVBAGLBSA-N 0 2 306.318 0.796 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NCCn1cc[nH+]c1 ZINC000049538223 158010468 /nfs/dbraw/zinc/01/04/68/158010468.db2.gz BBDHYRIMKUHXEI-UHFFFAOYSA-N 0 2 309.347 0.868 20 0 DCADLN O=C(CNC(=O)C1=NN(c2ccccc2)CC1=O)N1CCCC1 ZINC000073495637 158055798 /nfs/dbraw/zinc/05/57/98/158055798.db2.gz WPXYBNDDOOMPKO-UHFFFAOYSA-N 0 2 314.345 0.930 20 0 DCADLN Cc1cc(S(C)(=O)=O)ccc1NS(=O)(=O)c1cn[nH]c1 ZINC000074685425 158060072 /nfs/dbraw/zinc/06/00/72/158060072.db2.gz VSLNAEXRMGAUHU-UHFFFAOYSA-N 0 2 315.376 0.922 20 0 DCADLN CC(C)Nc1ncccc1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000081815151 158085594 /nfs/dbraw/zinc/08/55/94/158085594.db2.gz IBESTIRTZROCMS-UHFFFAOYSA-N 0 2 304.354 0.676 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCN(C3CC3)C(=O)C1)c2=O ZINC000119315295 158185457 /nfs/dbraw/zinc/18/54/57/158185457.db2.gz PYCXSSVCZXLFPC-UHFFFAOYSA-N 0 2 315.333 0.121 20 0 DCADLN O=S(=O)(Nc1cncnc1-n1cccn1)c1cccnc1 ZINC000127211837 158271140 /nfs/dbraw/zinc/27/11/40/158271140.db2.gz LEGIXHOJRKFEIW-UHFFFAOYSA-N 0 2 302.319 0.858 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3[nH]nnc3c2)cc1C(N)=O ZINC000130777304 158296963 /nfs/dbraw/zinc/29/69/63/158296963.db2.gz RNQQANYZCYTFCL-UHFFFAOYSA-N 0 2 320.334 0.196 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3n[nH]nc3c2)cc1C(N)=O ZINC000130777304 158296964 /nfs/dbraw/zinc/29/69/64/158296964.db2.gz RNQQANYZCYTFCL-UHFFFAOYSA-N 0 2 320.334 0.196 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3nn[nH]c3c2)cc1C(N)=O ZINC000130777304 158296966 /nfs/dbraw/zinc/29/69/66/158296966.db2.gz RNQQANYZCYTFCL-UHFFFAOYSA-N 0 2 320.334 0.196 20 0 DCADLN CC(=O)N1CCC[C@@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000328775609 159029157 /nfs/dbraw/zinc/02/91/57/159029157.db2.gz KRYZEXARKKHBAY-NWDGAFQWSA-N 0 2 321.381 0.475 20 0 DCADLN CN(C)C(=O)C1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC000329063990 159057076 /nfs/dbraw/zinc/05/70/76/159057076.db2.gz KKQZQUZEISKFFZ-VIFPVBQESA-N 0 2 307.354 0.085 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)[C@H]2CCC(=O)N2C)[nH]1 ZINC000330260210 159154997 /nfs/dbraw/zinc/15/49/97/159154997.db2.gz OAGHUVSAURNAAA-WDEREUQCSA-N 0 2 321.381 0.626 20 0 DCADLN CC(C)NC(=O)CCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330593068 159199013 /nfs/dbraw/zinc/19/90/13/159199013.db2.gz AHBPSFVLMBCPKD-LLVKDONJSA-N 0 2 323.397 0.911 20 0 DCADLN CCOCC(=O)N1CCc2nc(NS(C)(=O)=O)sc2C1 ZINC000330621412 159204460 /nfs/dbraw/zinc/20/44/60/159204460.db2.gz LZCXDEWYEWMRKG-UHFFFAOYSA-N 0 2 319.408 0.436 20 0 DCADLN COCCc1nsc(N[C@H](CCO)C2CCOCC2)n1 ZINC000359249545 159256490 /nfs/dbraw/zinc/25/64/90/159256490.db2.gz DNVAIEBFTCYVIZ-LLVKDONJSA-N 0 2 301.412 0.738 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000359269938 159258444 /nfs/dbraw/zinc/25/84/44/159258444.db2.gz JZERNTXQLBUVBW-LLVKDONJSA-N 0 2 315.333 0.175 20 0 DCADLN COc1ccc(OCCCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000359272048 159259413 /nfs/dbraw/zinc/25/94/13/159259413.db2.gz MYDUNKSZYQEESP-UHFFFAOYSA-N 0 2 306.322 0.994 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)N[C@@H]2CCCc3cn[nH]c32)co1 ZINC000367021971 159305160 /nfs/dbraw/zinc/30/51/60/159305160.db2.gz RUOGZNMJHGHJDU-SECBINFHSA-N 0 2 310.335 0.458 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)[C@H]2C[C@@]23CCOC3)cn1 ZINC000408486474 160118664 /nfs/dbraw/zinc/11/86/64/160118664.db2.gz SQVUUPRPVYCUSY-ZWNOBZJWSA-N 0 2 312.347 0.322 20 0 DCADLN CCCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000109093627 286940615 /nfs/dbraw/zinc/94/06/15/286940615.db2.gz LRMOTELKACQNDI-ZJUUUORDSA-N 0 2 309.370 0.255 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(Cn2cccn2)cc1 ZINC000128932250 286985531 /nfs/dbraw/zinc/98/55/31/286985531.db2.gz AWWBLFVTJFUWGB-UHFFFAOYSA-N 0 2 313.321 0.665 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2[nH]nc(C)c2C)cn1C ZINC000135399804 287022233 /nfs/dbraw/zinc/02/22/33/287022233.db2.gz DXKGFKGEYKMTMY-UHFFFAOYSA-N 0 2 311.367 0.525 20 0 DCADLN CCn1nccc1/C=C\C(=O)NS(=O)(=O)c1cnn(C)c1 ZINC000255910153 287127243 /nfs/dbraw/zinc/12/72/43/287127243.db2.gz OIWDPGPGUXJPFQ-PLNGDYQASA-N 0 2 309.351 0.155 20 0 DCADLN CNC(=O)[C@@H]1CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355566338 287185366 /nfs/dbraw/zinc/18/53/66/287185366.db2.gz AYFSWGFRLRVWHZ-JTQLQIEISA-N 0 2 303.322 0.025 20 0 DCADLN COC(=O)C[C@H]1CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358390769 287207865 /nfs/dbraw/zinc/20/78/65/287207865.db2.gz BOFGRYAPHXCDTA-SECBINFHSA-N 0 2 318.333 0.842 20 0 DCADLN C[C@H](CO[C@@H]1CCOC1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358401286 287209256 /nfs/dbraw/zinc/20/92/56/287209256.db2.gz RJVIUWRDAPEKKG-NXEZZACHSA-N 0 2 320.349 0.598 20 0 DCADLN CCn1ccc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)n1 ZINC000518190214 412463091 /nfs/dbraw/zinc/46/30/91/412463091.db2.gz QHQZZCWWEVAIMF-UHFFFAOYSA-N 0 2 316.368 0.378 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](C)OC[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265831092 415131718 /nfs/dbraw/zinc/13/17/18/415131718.db2.gz QGDFRSBLTRPXRQ-IUCAKERBSA-N 0 2 318.333 0.994 20 0 DCADLN COCCOc1ccnc(NS(=O)(=O)CCCCF)n1 ZINC000342663400 415245973 /nfs/dbraw/zinc/24/59/73/415245973.db2.gz PMCASDZMACUHNJ-UHFFFAOYSA-N 0 2 307.347 0.993 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000269299533 415249895 /nfs/dbraw/zinc/24/98/95/415249895.db2.gz PWUUHFJUBHHOOL-LBPRGKRZSA-N 0 2 321.377 0.867 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000269299533 415249907 /nfs/dbraw/zinc/24/99/07/415249907.db2.gz PWUUHFJUBHHOOL-LBPRGKRZSA-N 0 2 321.377 0.867 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@@H](COC(F)F)C1 ZINC000352640787 415261490 /nfs/dbraw/zinc/26/14/90/415261490.db2.gz TYJICJMVNPFMIS-ZCFIWIBFSA-N 0 2 312.260 0.944 20 0 DCADLN N#Cc1nc(NC(=O)CCNC(=O)/C=C/c2ccco2)[nH]c1C#N ZINC000047475579 415269437 /nfs/dbraw/zinc/26/94/37/415269437.db2.gz VWUCWEZTYAUGQK-ONEGZZNKSA-N 0 2 324.300 0.904 20 0 DCADLN CCNc1nc(NC(C)(C)C)nc(NCCc2ccn(C)n2)[nH+]1 ZINC000342836280 415308459 /nfs/dbraw/zinc/30/84/59/415308459.db2.gz SZLBZQDPUIJNED-UHFFFAOYSA-N 0 2 318.429 0.746 20 0 DCADLN O=C(NN1CCCNC1=O)c1cccc(OCC(F)F)n1 ZINC000273079985 415312240 /nfs/dbraw/zinc/31/22/40/415312240.db2.gz MCNPCAZXJUBXRZ-UHFFFAOYSA-N 0 2 300.265 0.786 20 0 DCADLN COC(=O)[C@@H](CC(F)(F)F)NS(=O)(=O)Cc1ccon1 ZINC000274237689 415342379 /nfs/dbraw/zinc/34/23/79/415342379.db2.gz WNDGKQYDENYXES-SSDOTTSWSA-N 0 2 316.257 0.588 20 0 DCADLN COC[C@@H]1C[C@H](OC)CN1S(=O)(=O)NCC(F)(F)F ZINC000343177061 415486256 /nfs/dbraw/zinc/48/62/56/415486256.db2.gz QROVTWAAOYDOIE-YUMQZZPRSA-N 0 2 306.306 0.119 20 0 DCADLN O=c1[nH]nc(C2CCN(c3nccn(C4CC4)c3=O)CC2)[nH]1 ZINC000333484521 415663808 /nfs/dbraw/zinc/66/38/08/415663808.db2.gz TWAJOGISWWJVSP-UHFFFAOYSA-N 0 2 302.338 0.786 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cc(-c2ccccc2)on1 ZINC000354124432 415762460 /nfs/dbraw/zinc/76/24/60/415762460.db2.gz KNWPKBKGPKQNTK-UHFFFAOYSA-N 0 2 300.278 1.487 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(N2CCOCC2)nc1 ZINC000354123716 415762628 /nfs/dbraw/zinc/76/26/28/415762628.db2.gz BLLNBVRLRMNCEJ-UHFFFAOYSA-N 0 2 319.325 0.064 20 0 DCADLN CC(C)(C(N)=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000354145662 415772075 /nfs/dbraw/zinc/77/20/75/415772075.db2.gz FKHSCRYYKWUGGF-UHFFFAOYSA-N 0 2 318.337 0.595 20 0 DCADLN CNS(=O)(=O)N1CCN(C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC000334023570 415788152 /nfs/dbraw/zinc/78/81/52/415788152.db2.gz FMIOXMPQPQFORR-WOPDTQHZSA-N 0 2 301.412 0.031 20 0 DCADLN COCc1nc2n(n1)C[C@H](NC(=O)[C@H](F)C(F)(F)F)CC2 ZINC000290515982 415815725 /nfs/dbraw/zinc/81/57/25/415815725.db2.gz NIKTWFWIPIPAGT-MUWHJKNJSA-N 0 2 310.251 0.756 20 0 DCADLN COCc1nc2n(n1)C[C@H](NC(=O)C(F)C(F)(F)F)CC2 ZINC000290515982 415815731 /nfs/dbraw/zinc/81/57/31/415815731.db2.gz NIKTWFWIPIPAGT-MUWHJKNJSA-N 0 2 310.251 0.756 20 0 DCADLN O=C(CCCNC(=O)[C@H]1CC=CCC1)NCc1n[nH]c(=O)[nH]1 ZINC000337051794 415898930 /nfs/dbraw/zinc/89/89/30/415898930.db2.gz NRSOICPSCUTCGL-JTQLQIEISA-N 0 2 307.354 0.379 20 0 DCADLN C[C@@H]1CC(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H](C)O1 ZINC000130889095 415924030 /nfs/dbraw/zinc/92/40/30/415924030.db2.gz RDPIYOIBLRHKIP-HTQZYQBOSA-N 0 2 318.333 0.297 20 0 DCADLN CC(=O)N1CCN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC1 ZINC000336910304 415859507 /nfs/dbraw/zinc/85/95/07/415859507.db2.gz WYOGAUJSSOWUDB-UHFFFAOYSA-N 0 2 316.317 0.334 20 0 DCADLN COc1cncc(S(=O)(=O)Nc2ncc(C(N)=O)s2)c1 ZINC000337319351 415943861 /nfs/dbraw/zinc/94/38/61/415943861.db2.gz YSABDXZNWOPXCS-UHFFFAOYSA-N 0 2 314.348 0.446 20 0 DCADLN CN(CCOCCO)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000337618372 415986324 /nfs/dbraw/zinc/98/63/24/415986324.db2.gz PGGNPBWOPMVNRA-UHFFFAOYSA-N 0 2 305.334 0.659 20 0 DCADLN O=S(=O)(Nc1nc2ccccn2n1)c1cccc2c1OCO2 ZINC000337744436 416004586 /nfs/dbraw/zinc/00/45/86/416004586.db2.gz CGQBJFSLZANSQH-UHFFFAOYSA-N 0 2 318.314 1.259 20 0 DCADLN O=S(=O)(NC[C@@H](CO)c1cccnc1)NCC(F)(F)F ZINC000344967525 416016846 /nfs/dbraw/zinc/01/68/46/416016846.db2.gz LGDNEVSGXASZCA-VIFPVBQESA-N 0 2 313.301 0.144 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCCC[C@H]1CCO ZINC000298709099 416023813 /nfs/dbraw/zinc/02/38/13/416023813.db2.gz GXIKOQLFLADRBL-JTQLQIEISA-N 0 2 318.333 0.813 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N[C@@H]2C[C@H]2C(F)F)s[nH]1 ZINC000357511605 416091837 /nfs/dbraw/zinc/09/18/37/416091837.db2.gz DHHJYTLYPZLKOF-PHDIDXHHSA-N 0 2 318.349 0.966 20 0 DCADLN CC1CCN(C(=O)c2ccc(NCc3n[nH]c(=O)[nH]3)nc2)CC1 ZINC000301770836 416091924 /nfs/dbraw/zinc/09/19/24/416091924.db2.gz JNUCMPVWNSGXKE-UHFFFAOYSA-N 0 2 316.365 0.977 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)C2([NH3+])Cc3ccccc3C2)CCO1 ZINC000178744102 416170696 /nfs/dbraw/zinc/17/06/96/416170696.db2.gz GNZYYLYXEPBQQY-UHFFFAOYSA-N 0 2 317.433 0.710 20 0 DCADLN C[NH+]1CCN(c2ncc(C[N@H+]3C[C@@H]4CC[C@@H](O)[C@@H]4C3)cn2)CC1 ZINC000329601623 416179389 /nfs/dbraw/zinc/17/93/89/416179389.db2.gz QIPHTDAVLYDRPV-ARFHVFGLSA-N 0 2 317.437 0.431 20 0 DCADLN C[NH+]1CCN(c2ncc(C[N@H+]3C[C@@H]4CC[C@@H](O)[C@H]4C3)cn2)CC1 ZINC000329601624 416179900 /nfs/dbraw/zinc/17/99/00/416179900.db2.gz QIPHTDAVLYDRPV-HRCADAONSA-N 0 2 317.437 0.431 20 0 DCADLN CC(=O)Nc1ccc(O)c(C(=O)N2CCc3[nH]nnc3C2)c1 ZINC000346016766 416185280 /nfs/dbraw/zinc/18/52/80/416185280.db2.gz SGTUUJOBRDWJEI-UHFFFAOYSA-N 0 2 301.306 0.667 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC(=O)NC(C)(C)C)c2=O ZINC000179394601 416186936 /nfs/dbraw/zinc/18/69/36/416186936.db2.gz RZYVLZPWZOFJSD-UHFFFAOYSA-N 0 2 305.338 0.318 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCCC[C@@H]1C(N)=O)c2=O ZINC000179536875 416189038 /nfs/dbraw/zinc/18/90/38/416189038.db2.gz IEYVXEDZZITCON-KWQFWETISA-N 0 2 317.349 0.448 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3c(c2)oc(=O)n3C)no1 ZINC000180184717 416194031 /nfs/dbraw/zinc/19/40/31/416194031.db2.gz KLRPCRMUONJWRT-UHFFFAOYSA-N 0 2 310.291 0.624 20 0 DCADLN Cc1cc(C(=O)Nc2cccn(C)c2=O)c2c(O)nn(C)c2n1 ZINC000358157482 416204671 /nfs/dbraw/zinc/20/46/71/416204671.db2.gz NNFXAABMQJAJLN-UHFFFAOYSA-N 0 2 313.317 0.933 20 0 DCADLN COC(=O)[C@@H]1CCCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358269787 416218354 /nfs/dbraw/zinc/21/83/54/416218354.db2.gz DGPNRVXTSAGWCK-NSHDSACASA-N 0 2 318.333 0.842 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC1(C(N)=O)CCCCC1)c2=O ZINC000358272672 416218687 /nfs/dbraw/zinc/21/86/87/416218687.db2.gz IKMSTNNPZLEJCO-UHFFFAOYSA-N 0 2 317.349 0.592 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000358277075 416220451 /nfs/dbraw/zinc/22/04/51/416220451.db2.gz OZZRRGFKZKMKHJ-QMMMGPOBSA-N 0 2 304.306 0.309 20 0 DCADLN CC[C@@H](CSC)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000182573863 416226380 /nfs/dbraw/zinc/22/63/80/416226380.db2.gz JQEVZJGKCOKFPX-QMMMGPOBSA-N 0 2 308.363 0.483 20 0 DCADLN O=C(CCCNC(=O)c1ccc(F)cc1)NCc1n[nH]c(=O)[nH]1 ZINC000358357802 416228556 /nfs/dbraw/zinc/22/85/56/416228556.db2.gz OVWLCCGPECPRPH-UHFFFAOYSA-N 0 2 321.312 0.476 20 0 DCADLN NC(=O)C[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000358462777 416241537 /nfs/dbraw/zinc/24/15/37/416241537.db2.gz UEWGRVDQUZDBOD-SECBINFHSA-N 0 2 321.362 0.335 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[C@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000341916114 416250805 /nfs/dbraw/zinc/25/08/05/416250805.db2.gz APTBZKUDPNRNEL-YUMQZZPRSA-N 0 2 306.322 0.634 20 0 DCADLN CC(C)[C@](C)(NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(N)=O ZINC000341949687 416257121 /nfs/dbraw/zinc/25/71/21/416257121.db2.gz WNRLPOCPURCECS-AWEZNQCLSA-N 0 2 305.338 0.304 20 0 DCADLN Nc1noc(CCNC(=O)N=c2ncn(C3CCCCC3)[nH]2)n1 ZINC000350740754 416270075 /nfs/dbraw/zinc/27/00/75/416270075.db2.gz GZCSEZXBBLUWCL-UHFFFAOYSA-N 0 2 320.357 0.535 20 0 DCADLN CC(=O)Nc1cccnc1NS(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000331148165 416274780 /nfs/dbraw/zinc/27/47/80/416274780.db2.gz BCTGNPVHWUQBQW-MRVPVSSYSA-N 0 2 314.367 0.258 20 0 DCADLN CC(=O)N1CCN(C(=O)N=c2nc(C(C)(C)C)[nH]s2)CC1 ZINC000342068946 416276953 /nfs/dbraw/zinc/27/69/53/416276953.db2.gz PNDKDDMIBWKIGV-UHFFFAOYSA-N 0 2 311.411 0.954 20 0 DCADLN C[C@@H]1CN(C(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)C[C@H]1O ZINC000359429607 416344897 /nfs/dbraw/zinc/34/48/97/416344897.db2.gz RTHQXSASOUICIQ-HTQZYQBOSA-N 0 2 312.395 0.035 20 0 DCADLN C[C@H](CC[S@@](C)=O)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000359438992 416345886 /nfs/dbraw/zinc/34/58/86/416345886.db2.gz MYISWPQJBXEYMS-CGQWYARDSA-N 0 2 324.362 0.323 20 0 DCADLN CC(=O)Nc1ccc(O)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000359520469 416348871 /nfs/dbraw/zinc/34/88/71/416348871.db2.gz DRKLXSIVBLEPIZ-UHFFFAOYSA-N 0 2 319.321 0.537 20 0 DCADLN COC(=O)[C@H](CNS(=O)(=O)NCC(F)(F)F)CC(C)C ZINC000195303330 416325801 /nfs/dbraw/zinc/32/58/01/416325801.db2.gz AONIUTOCVIVROR-QMMMGPOBSA-N 0 2 320.333 0.808 20 0 DCADLN CC(=O)C[C@H]1COCCN1S(=O)(=O)NCC(F)(F)F ZINC000195450187 416326146 /nfs/dbraw/zinc/32/61/46/416326146.db2.gz XYHMETPGODVAQB-QMMMGPOBSA-N 0 2 304.290 0.063 20 0 DCADLN C[C@@]1(C(N)=O)CCCCN1S(=O)(=O)NCC(F)(F)F ZINC000195452400 416326559 /nfs/dbraw/zinc/32/65/59/416326559.db2.gz UWVGAPLCGFCQPV-QMMMGPOBSA-N 0 2 303.306 0.113 20 0 DCADLN COCCCOc1cccc(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000359838637 416362198 /nfs/dbraw/zinc/36/21/98/416362198.db2.gz FCUYLGWEKIATMC-UHFFFAOYSA-N 0 2 306.322 0.856 20 0 DCADLN CN(CCCC(=O)[O-])c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000416424024 416369586 /nfs/dbraw/zinc/36/95/86/416369586.db2.gz ZSIAPPGGEHUIDF-GFCCVEGCSA-N 0 2 308.382 0.986 20 0 DCADLN CN(CCCC(=O)[O-])c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000416424024 416369587 /nfs/dbraw/zinc/36/95/87/416369587.db2.gz ZSIAPPGGEHUIDF-GFCCVEGCSA-N 0 2 308.382 0.986 20 0 DCADLN CCC[C@H](O)[C@H](CO)NC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000416819301 416377839 /nfs/dbraw/zinc/37/78/39/416377839.db2.gz REKYCCZQQAVZJV-IUCAKERBSA-N 0 2 316.427 0.901 20 0 DCADLN C[C@@H](C[C@H]1COCC[NH2+]1)[NH2+]CC(=O)NCCc1ccccc1 ZINC000422942276 416450665 /nfs/dbraw/zinc/45/06/65/416450665.db2.gz WIKPNJLKSHLOFA-HOCLYGCPSA-N 0 2 305.422 0.702 20 0 DCADLN COC(=O)[C@@H](C)N(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000435941341 416541874 /nfs/dbraw/zinc/54/18/74/416541874.db2.gz LIZFDKWNFIXTDW-ZCFIWIBFSA-N 0 2 306.278 0.070 20 0 DCADLN C[NH+]1CCN(C(=O)c2cc(C(=O)[O-])n(-c3ccccc3)n2)CC1 ZINC000436060253 416547297 /nfs/dbraw/zinc/54/72/97/416547297.db2.gz QHCDTBRNZSXDHU-UHFFFAOYSA-N 0 2 314.345 0.958 20 0 DCADLN C[C@H](NC(=O)c1cc(F)c(O)c(F)c1)C(=O)N1CCOCC1 ZINC000436529539 416562359 /nfs/dbraw/zinc/56/23/59/416562359.db2.gz AAATUSFNZLPYIM-QMMMGPOBSA-N 0 2 314.288 0.648 20 0 DCADLN CC(C)(C)c1cc(NC[C@@H](O)[C@@H]2CCOC2)nc(C(=O)[O-])[nH+]1 ZINC000584234596 416509433 /nfs/dbraw/zinc/50/94/33/416509433.db2.gz KZBXZIAXHANGAJ-NXEZZACHSA-N 0 2 309.366 0.704 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2nccs2)cn1 ZINC000437411813 416584564 /nfs/dbraw/zinc/58/45/64/416584564.db2.gz WDVQXDYLVBHTKN-UHFFFAOYSA-N 0 2 302.337 0.313 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1C[C@@H]3CC[C@H](O)C[C@H]3C1)c2=O ZINC000425053334 416680728 /nfs/dbraw/zinc/68/07/28/416680728.db2.gz XYPHFNSIEGPQAR-DCAQKATOSA-N 0 2 316.361 0.907 20 0 DCADLN O=C([C@@H]1COCC[NH2+]1)N1C[C@@H]2CC[N@H+](Cc3ccccc3)[C@@H]2C1 ZINC000424214117 416628350 /nfs/dbraw/zinc/62/83/50/416628350.db2.gz BPHFFKNUIQRUTN-YESZJQIVSA-N 0 2 315.417 0.708 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)Cc2ccccc2)n1 ZINC000424221657 416629691 /nfs/dbraw/zinc/62/96/91/416629691.db2.gz LAPYYSLKTGIVRZ-UHFFFAOYSA-N 0 2 324.362 0.783 20 0 DCADLN NC(=O)CCCCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000543125063 416637684 /nfs/dbraw/zinc/63/76/84/416637684.db2.gz NJVUWQGBSXBUIN-UHFFFAOYSA-N 0 2 304.306 0.415 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C/c1ncccc1C ZINC000493002837 416639812 /nfs/dbraw/zinc/63/98/12/416639812.db2.gz GEQBCUUZRCVXPJ-AATRIKPKSA-N 0 2 322.346 0.787 20 0 DCADLN CCc1nncn1CCNS(=O)(=O)[C@H](C)C(F)(F)F ZINC000545095895 416663529 /nfs/dbraw/zinc/66/35/29/416663529.db2.gz ZCDRRUFQOXIPON-SSDOTTSWSA-N 0 2 300.306 0.711 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@]2(O)CCOC2)c1 ZINC000424758420 416667082 /nfs/dbraw/zinc/66/70/82/416667082.db2.gz BJCZJIZUCUKLSH-LHSJRXKWSA-N 0 2 313.375 0.070 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)NCCCC(=O)[O-])ccc2[nH+]1 ZINC000516062467 416712543 /nfs/dbraw/zinc/71/25/43/416712543.db2.gz ATTIGIBHJROKRK-UHFFFAOYSA-N 0 2 304.306 0.562 20 0 DCADLN COC(=O)c1ccc(CNS(=O)(=O)NCC(F)(F)F)o1 ZINC000442952874 416722611 /nfs/dbraw/zinc/72/26/11/416722611.db2.gz URNWRXARGRKNEP-UHFFFAOYSA-N 0 2 316.257 0.553 20 0 DCADLN O=C1[C@H](NS(=O)(=O)NCC(F)(F)F)CN1c1ccccc1 ZINC000369111479 416725191 /nfs/dbraw/zinc/72/51/91/416725191.db2.gz HFXVIABCRCOJOO-SECBINFHSA-N 0 2 323.296 0.388 20 0 DCADLN CCO[C@@H]1COCC[C@H]1NS(=O)(=O)NCC(F)(F)F ZINC000443053565 416729679 /nfs/dbraw/zinc/72/96/79/416729679.db2.gz UYBDVCCWBOWLSC-HTQZYQBOSA-N 0 2 306.306 0.167 20 0 DCADLN CC(C)c1noc(=N[C@@H]2CCC(=O)N[C@H]2c2c[nH+]cn2C)[n-]1 ZINC000516980864 416766887 /nfs/dbraw/zinc/76/68/87/416766887.db2.gz FKEUDWDWVXTBRN-BXKDBHETSA-N 0 2 304.354 0.780 20 0 DCADLN Nc1nsc(N2CCN(C(=O)N[C@@H]3C[C@H]4CC[C@@H]3O4)CC2)n1 ZINC000426459399 416771071 /nfs/dbraw/zinc/77/10/71/416771071.db2.gz MHPYPJJXWICBLI-BBBLOLIVSA-N 0 2 324.410 0.102 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC[C@@H](O)COC)cn1 ZINC000427554496 416826492 /nfs/dbraw/zinc/82/64/92/416826492.db2.gz GOYVPFSFXWAQAA-LLVKDONJSA-N 0 2 307.354 0.420 20 0 DCADLN NC(=O)c1cccc(C[NH2+]CCC[N@H+]2CCC[C@H]2C(N)=O)c1 ZINC000623618659 416854451 /nfs/dbraw/zinc/85/44/51/416854451.db2.gz ZWEWPAFBHPEBAU-AWEZNQCLSA-N 0 2 304.394 0.215 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCC[C@H]1CCO ZINC000428611327 416909468 /nfs/dbraw/zinc/90/94/68/416909468.db2.gz NGEVERIZIOPUNX-VIFPVBQESA-N 0 2 304.306 0.423 20 0 DCADLN C[C@@H]([NH2+]CC[N@@H+]1CC[C@@H](O)C1)c1ccc2c(c1)OCC(=O)N2 ZINC000624231189 416988165 /nfs/dbraw/zinc/98/81/65/416988165.db2.gz RUOZALNSBXPBQI-DGCLKSJQSA-N 0 2 305.378 0.735 20 0 DCADLN Cc1ccccc1[C@@H]([NH3+])C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000568260812 417113453 /nfs/dbraw/zinc/11/34/53/417113453.db2.gz CXNNSYDKJCNFGB-SECBINFHSA-N 0 2 309.351 0.155 20 0 DCADLN Cc1ccccc1[C@@H]([NH3+])C(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC000568260812 417113455 /nfs/dbraw/zinc/11/34/55/417113455.db2.gz CXNNSYDKJCNFGB-SECBINFHSA-N 0 2 309.351 0.155 20 0 DCADLN Cc1ccccc1[C@@H]([NH3+])C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000568260812 417113462 /nfs/dbraw/zinc/11/34/62/417113462.db2.gz CXNNSYDKJCNFGB-SECBINFHSA-N 0 2 309.351 0.155 20 0 DCADLN C[C@](O)(CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C1CC1 ZINC000525261587 417131939 /nfs/dbraw/zinc/13/19/39/417131939.db2.gz ZWYJBBLQJNOOQV-HNNXBMFYSA-N 0 2 303.318 0.921 20 0 DCADLN COC(=O)c1ccccc1N(C)C(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000568399549 417132299 /nfs/dbraw/zinc/13/22/99/417132299.db2.gz SMHMGZUXDRJBCZ-CYBMUJFWSA-N 0 2 320.345 0.985 20 0 DCADLN COC(=O)c1ccccc1N(C)C(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000568399549 417132304 /nfs/dbraw/zinc/13/23/04/417132304.db2.gz SMHMGZUXDRJBCZ-CYBMUJFWSA-N 0 2 320.345 0.985 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)CCCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000295751575 225197013 /nfs/dbraw/zinc/19/70/13/225197013.db2.gz AZHXTWPMXNRLNQ-MRVPVSSYSA-N 0 2 320.349 0.883 20 0 DCADLN COc1cccc(NC(=O)CC[N@@H+]2CCOC[C@H]2C(=O)[O-])c1 ZINC000643054375 417150344 /nfs/dbraw/zinc/15/03/44/417150344.db2.gz RTHQUVBNXJQEJN-ZDUSSCGKSA-N 0 2 308.334 0.809 20 0 DCADLN COc1cccc(NC(=O)CC[N@H+]2CCOC[C@H]2C(=O)[O-])c1 ZINC000643054375 417150348 /nfs/dbraw/zinc/15/03/48/417150348.db2.gz RTHQUVBNXJQEJN-ZDUSSCGKSA-N 0 2 308.334 0.809 20 0 DCADLN CS(=O)(=O)C1(C(=O)N=c2nc(-c3cccnc3)[nH]s2)CC1 ZINC000525826967 417154280 /nfs/dbraw/zinc/15/42/80/417154280.db2.gz SUXZABVVIQWEHY-UHFFFAOYSA-N 0 2 324.387 0.538 20 0 DCADLN COC(=O)CCN1CC[C@H](Nc2nnc(C(F)F)o2)C1=O ZINC000432349390 417172290 /nfs/dbraw/zinc/17/22/90/417172290.db2.gz VYTWIBHMLUXMKC-LURJTMIESA-N 0 2 304.253 0.583 20 0 DCADLN CO[C@H](Cc1ccccc1)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000450003348 417189145 /nfs/dbraw/zinc/18/91/45/417189145.db2.gz GYMMCSIIIVXOPG-GFCCVEGCSA-N 0 2 304.350 0.817 20 0 DCADLN O=C(CCNC(=O)c1cccc2[nH]nnc21)NCc1ccccn1 ZINC000527114695 417212711 /nfs/dbraw/zinc/21/27/11/417212711.db2.gz GXDSMPYBCSFARD-UHFFFAOYSA-N 0 2 324.344 0.789 20 0 DCADLN C[C@@H]1C[C@H]1c1nnc2ccc(NCCCc3n[nH]c(=O)[nH]3)nn21 ZINC000450361484 417221670 /nfs/dbraw/zinc/22/16/70/417221670.db2.gz FLHAROZURKUJES-RKDXNWHRSA-N 0 2 314.353 1.116 20 0 DCADLN C[C@H]1CCCN(C(=O)C[N@@H+]2CCC[C@@H](NC(=O)N(C)C)C2)C1 ZINC000451998184 417428086 /nfs/dbraw/zinc/42/80/86/417428086.db2.gz SBHUOPLSAZUYCZ-UONOGXRCSA-N 0 2 310.442 0.981 20 0 DCADLN COc1cc(C[N@@H+]2CCN3C(=O)[C@H]([NH3+])C[C@H]3C2)cc(OC)c1O ZINC000570529546 417366733 /nfs/dbraw/zinc/36/67/33/417366733.db2.gz QLSRIAAUFMEUOB-NWDGAFQWSA-N 0 2 321.377 0.153 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2cc3c([nH]c2=O)CCC3)[C@@H](C[NH3+])C1 ZINC000529362806 417450562 /nfs/dbraw/zinc/45/05/62/417450562.db2.gz RNBOCMPFUGHOMB-ZDUSSCGKSA-N 0 2 319.409 0.060 20 0 DCADLN Cn1ccc(CCNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)n1 ZINC000622511478 417456450 /nfs/dbraw/zinc/45/64/50/417456450.db2.gz DIUMXNIPCRVARX-UHFFFAOYSA-N 0 2 313.317 0.736 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2nccc(C3CC3)n2)cc1C(N)=O ZINC000530157968 417546678 /nfs/dbraw/zinc/54/66/78/417546678.db2.gz YKOQDOWIMWIUPU-UHFFFAOYSA-N 0 2 321.362 0.592 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)NCc2cn[nH]c2C)c(C)o1 ZINC000439214343 287383895 /nfs/dbraw/zinc/38/38/95/287383895.db2.gz XWMDZLHNAAPLTK-UHFFFAOYSA-N 0 2 313.335 0.885 20 0 DCADLN COCc1cc(Cl)cc(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC000634664174 417527797 /nfs/dbraw/zinc/52/77/97/417527797.db2.gz RGRJBVXEVJCNKV-UHFFFAOYSA-N 0 2 317.758 0.811 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CCC[C@@H](CO)C1 ZINC000531766587 417638135 /nfs/dbraw/zinc/63/81/35/417638135.db2.gz JPPLTNDXRRXYBM-SNVBAGLBSA-N 0 2 303.318 0.874 20 0 DCADLN CCC[C@@H](C)[C@@H](CO)NC(=O)C(=O)N=c1ccc(C2CC2)n[nH]1 ZINC000651963617 417824137 /nfs/dbraw/zinc/82/41/37/417824137.db2.gz FAHNIYOJZZCESQ-ZWNOBZJWSA-N 0 2 320.393 0.628 20 0 DCADLN CC[C@@H]1CO[C@@H](CC)CN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651988684 417828959 /nfs/dbraw/zinc/82/89/59/417828959.db2.gz XHAYBPPCCFASLY-NEPJUHHUSA-N 0 2 323.397 0.635 20 0 DCADLN CC(=O)Nc1ccc(C)cc1NS(=O)(=O)c1cnnn1C ZINC000629288659 417772951 /nfs/dbraw/zinc/77/29/51/417772951.db2.gz SKEGVBMEFTUVTA-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN Cc1nc2ccc(NS(=O)(=O)c3cnc(C)n3C)cn2n1 ZINC000629299777 417774657 /nfs/dbraw/zinc/77/46/57/417774657.db2.gz XHRGDHNIRYOKEL-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN CO[C@H]([C@H](C)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CC1 ZINC000651840151 417793615 /nfs/dbraw/zinc/79/36/15/417793615.db2.gz CJBWCUXGXUQDCR-GXSJLCMTSA-N 0 2 309.370 0.149 20 0 DCADLN COC[C@@H]1CCCCN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651843852 417797245 /nfs/dbraw/zinc/79/72/45/417797245.db2.gz RRWICZVIMRPDDZ-NSHDSACASA-N 0 2 309.370 0.247 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CCc2ccccc2)[nH]1 ZINC000657104960 417812903 /nfs/dbraw/zinc/81/29/03/417812903.db2.gz WCSGVZMLTZOBDV-UHFFFAOYSA-N 0 2 310.379 0.613 20 0 DCADLN Cc1cn2cc(CC(=O)N[C@@H](C)c3nnc(O)n3C)nc2s1 ZINC000640587332 417877656 /nfs/dbraw/zinc/87/76/56/417877656.db2.gz BJCGIZPTNFXAHW-QMMMGPOBSA-N 0 2 320.378 0.958 20 0 DCADLN O=C([O-])Cn1ccc(=NC(=O)Cc2cn3c([nH+]2)CCCC3)[nH]1 ZINC000652058925 417842206 /nfs/dbraw/zinc/84/22/06/417842206.db2.gz MPHZKHDWXXSMDK-UHFFFAOYSA-N 0 2 303.322 0.104 20 0 DCADLN CCN1C[C@H](CNC(=O)c2cn[nH]c2-c2cnn(C)c2)CC1=O ZINC000652833245 417979086 /nfs/dbraw/zinc/97/90/86/417979086.db2.gz JVDFAFXJSFCLRK-JTQLQIEISA-N 0 2 316.365 0.408 20 0 DCADLN Nc1nc(N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)[nH+]c2ccccc12 ZINC000662886008 417939634 /nfs/dbraw/zinc/93/96/34/417939634.db2.gz QXBHFZJOWYWTCZ-RFAUZJTJSA-N 0 2 300.318 0.749 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNc1[nH+]cccc1C(=O)[O-] ZINC000647452073 418011588 /nfs/dbraw/zinc/01/15/88/418011588.db2.gz QMTGWJDYIJAASG-KOLCDFICSA-N 0 2 313.379 0.910 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC(c3nc(N(C)C)n[nH]3)CC2)c1O ZINC000653030446 418015424 /nfs/dbraw/zinc/01/54/24/418015424.db2.gz VJXNKJWHEDLGOU-UHFFFAOYSA-N 0 2 319.369 0.628 20 0 DCADLN CCOc1ccc(NC(=O)N2CC[N@H+](C)C[C@H]2C[NH3+])nc1C ZINC000659053427 418049969 /nfs/dbraw/zinc/04/99/69/418049969.db2.gz QFZPMPFUJJVKPS-GFCCVEGCSA-N 0 2 307.398 0.895 20 0 DCADLN O=C([O-])[C@H](C(=O)N1CC[NH+](Cc2ccncc2)CC1)C1CC1 ZINC000663040353 417980892 /nfs/dbraw/zinc/98/08/92/417980892.db2.gz YLHNIYXLDLIDKJ-AWEZNQCLSA-N 0 2 303.362 0.837 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000663045808 417981609 /nfs/dbraw/zinc/98/16/09/417981609.db2.gz ZUYJXPWVZKROOQ-HNNXBMFYSA-N 0 2 323.349 0.655 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000663112504 417992023 /nfs/dbraw/zinc/99/20/23/417992023.db2.gz KKNGEDWEKIOHHR-NSHDSACASA-N 0 2 323.271 0.894 20 0 DCADLN O=C([O-])C1(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)CCC1 ZINC000663137108 417995867 /nfs/dbraw/zinc/99/58/67/417995867.db2.gz RPGIWUCKELDHTA-UHFFFAOYSA-N 0 2 310.394 0.812 20 0 DCADLN O=C([O-])C1(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCOCC1 ZINC000663143824 417997631 /nfs/dbraw/zinc/99/76/31/417997631.db2.gz KWBMNQWFOJBHNB-UHFFFAOYSA-N 0 2 316.317 0.631 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000647204489 417999648 /nfs/dbraw/zinc/99/96/48/417999648.db2.gz PNJXHELCPKADMC-UHFFFAOYSA-N 0 2 304.306 0.441 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000653290239 418054349 /nfs/dbraw/zinc/05/43/49/418054349.db2.gz OCTQUDOQAZSQCU-INIZCTEOSA-N 0 2 321.377 0.854 20 0 DCADLN Cc1cc(NC(=O)C(N)C(F)(F)F)ccc1-n1cnnn1 ZINC000647954309 418079066 /nfs/dbraw/zinc/07/90/66/418079066.db2.gz AHNRGADUXZSDBN-VIFPVBQESA-N 0 2 300.244 0.799 20 0 DCADLN Cc1cc(NC(=O)[C@H](N)C(F)(F)F)ccc1-n1cnnn1 ZINC000647954309 418079069 /nfs/dbraw/zinc/07/90/69/418079069.db2.gz AHNRGADUXZSDBN-VIFPVBQESA-N 0 2 300.244 0.799 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c2[nH+]ccn21 ZINC000659406525 418092351 /nfs/dbraw/zinc/09/23/51/418092351.db2.gz SMEJOXOLOKCQMB-UYUMYWFVSA-N 0 2 320.349 0.632 20 0 DCADLN O=C([O-])C1(CNC(=O)C(=O)NCCCCn2cc[nH+]c2)CCC1 ZINC000659647938 418135569 /nfs/dbraw/zinc/13/55/69/418135569.db2.gz ATRHCHXEFNIDGA-UHFFFAOYSA-N 0 2 322.365 0.151 20 0 DCADLN CCC[N@@H+]1CCC[C@H]1CNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000664890121 418159784 /nfs/dbraw/zinc/15/97/84/418159784.db2.gz UTECXMMBSBRRAA-HOTGVXAUSA-N 0 2 324.469 0.977 20 0 DCADLN Cc1cc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)cc(=O)[nH]1 ZINC000648887972 418170008 /nfs/dbraw/zinc/17/00/08/418170008.db2.gz ASUMKTIBKNGRMS-UHFFFAOYSA-N 0 2 303.322 0.939 20 0 DCADLN O=C(c1cn(C2CCC2)nn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000648887676 418170231 /nfs/dbraw/zinc/17/02/31/418170231.db2.gz HEDNBHIEZNJJSS-UHFFFAOYSA-N 0 2 317.353 0.847 20 0 DCADLN CCN1CC[C@H]([N@@H+]2CC[C@H](N3CC[NH+](C)CC3)[C@@H](C)C2)C1=O ZINC000660152948 418238983 /nfs/dbraw/zinc/23/89/83/418238983.db2.gz DGYLFPCUOCPXOS-JYJNAYRXSA-N 0 2 308.470 0.565 20 0 DCADLN C[C@@H]1C(=O)N(C)CN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000649238145 418213867 /nfs/dbraw/zinc/21/38/67/418213867.db2.gz XAOZDTTXTBBRMX-MRVPVSSYSA-N 0 2 302.290 0.290 20 0 DCADLN O=c1cc(CN2CCN(c3ncns3)CC2)nc2cc[nH]n21 ZINC000660707926 418283675 /nfs/dbraw/zinc/28/36/75/418283675.db2.gz SSXURIRICLXQCD-UHFFFAOYSA-N 0 2 317.378 0.196 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C[C@H](C)c2cnn(C)c2)[nH]n1 ZINC000650819458 418327655 /nfs/dbraw/zinc/32/76/55/418327655.db2.gz UUCHBNJSZHEIPT-VIFPVBQESA-N 0 2 302.338 0.124 20 0 DCADLN Cn1cc(N2CCC[C@@H](Nc3cc[nH+]c(C(=O)[O-])c3)C2=O)cn1 ZINC000650765439 418323362 /nfs/dbraw/zinc/32/33/62/418323362.db2.gz OPQADUHEGSQRKK-GFCCVEGCSA-N 0 2 315.333 0.543 20 0 DCADLN O=C([O-])c1cc(N[C@@H]2CCN(C3CCOCC3)C2=O)cc[nH+]1 ZINC000650767435 418323946 /nfs/dbraw/zinc/32/39/46/418323946.db2.gz VEMVUTRQINCMTO-GFCCVEGCSA-N 0 2 305.334 0.394 20 0 DCADLN O=C(CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)Nc1cnccn1 ZINC000660555785 418269055 /nfs/dbraw/zinc/26/90/55/418269055.db2.gz BJSHULWXYNYSNW-SECBINFHSA-N 0 2 303.326 0.118 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1nc(SC)n[nH]1)[C@H]1CCOC1 ZINC000656729263 418374581 /nfs/dbraw/zinc/37/45/81/418374581.db2.gz UUMQFBGMVACYBG-JGVFFNPUSA-N 0 2 322.412 0.320 20 0 DCADLN COc1cncc(NS(=O)(=O)C[C@H](OC)[C@@H]2CCOC2)n1 ZINC000656734745 418375291 /nfs/dbraw/zinc/37/52/91/418375291.db2.gz HRMDFTPEOHCDPT-ZJUUUORDSA-N 0 2 317.367 0.278 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000656849963 418379304 /nfs/dbraw/zinc/37/93/04/418379304.db2.gz OXOZMCJCGBUJDH-OAHLLOKOSA-N 0 2 307.350 0.656 20 0 DCADLN COCCOCC[NH+]1CCN(c2ncnc3[nH]cnc32)CC1 ZINC000651486893 418383768 /nfs/dbraw/zinc/38/37/68/418383768.db2.gz MGAYUVVENVPXIL-UHFFFAOYSA-N 0 2 306.370 0.138 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCO[C@@H](C3CCCCC3)C2)C1=O ZINC000662210365 418388876 /nfs/dbraw/zinc/38/88/76/418388876.db2.gz FNCUCJDYCWZTDL-UONOGXRCSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCO[C@@H](C3CCCCC3)C2)C1=O ZINC000662210365 418388880 /nfs/dbraw/zinc/38/88/80/418388880.db2.gz FNCUCJDYCWZTDL-UONOGXRCSA-N 0 2 310.394 0.953 20 0 DCADLN CC[C@@H]1CN2CCCC[C@@H]2C[N@@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662204173 418389134 /nfs/dbraw/zinc/38/91/34/418389134.db2.gz GQPOYWPDRSOWFO-MCIONIFRSA-N 0 2 309.410 0.621 20 0 DCADLN CC[C@@H]1CN2CCCC[C@@H]2C[N@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662204173 418389136 /nfs/dbraw/zinc/38/91/36/418389136.db2.gz GQPOYWPDRSOWFO-MCIONIFRSA-N 0 2 309.410 0.621 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC2(O)CCCCCC2)[nH]1 ZINC000651579518 418393617 /nfs/dbraw/zinc/39/36/17/418393617.db2.gz DRJVNISWEFAWTL-UHFFFAOYSA-N 0 2 323.397 0.421 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCSC[C@H](C)C2)[nH]1 ZINC000651750625 418408472 /nfs/dbraw/zinc/40/84/72/418408472.db2.gz QDMXMOFMMXTVLI-SNVBAGLBSA-N 0 2 311.411 0.431 20 0 DCADLN Cc1ccc(CN(C)C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)o1 ZINC000651773999 418410300 /nfs/dbraw/zinc/41/03/00/418410300.db2.gz IKFZQLABTNJOSG-UHFFFAOYSA-N 0 2 305.338 0.779 20 0 DCADLN CCC[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCO1 ZINC000651640062 418399870 /nfs/dbraw/zinc/39/98/70/418399870.db2.gz AAGDHCVDMIYEGC-LLVKDONJSA-N 0 2 309.370 0.247 20 0 DCADLN CO[C@@H]1[C@@H](C)[C@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651654086 418400866 /nfs/dbraw/zinc/40/08/66/418400866.db2.gz BMVNKSBYVIPZQL-GARJFASQSA-N 0 2 323.397 0.395 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H](C)[C@H]2CCCCO2)[nH]1 ZINC000651655268 418401080 /nfs/dbraw/zinc/40/10/80/418401080.db2.gz SRXJPHDLKVZSQP-GHMZBOCLSA-N 0 2 309.370 0.293 20 0 DCADLN C[C@@H]1CCCCN(C(=O)C(=O)N=c2nc3n([nH]2)CCCC3)C1 ZINC000651673835 418402112 /nfs/dbraw/zinc/40/21/12/418402112.db2.gz AQQDILBFZZRTCI-LLVKDONJSA-N 0 2 305.382 0.623 20 0 DCADLN Cc1ccc2c(c1)nc(CNC(=O)N1CCOC[C@H](O)C1)n2C ZINC000298045182 227801162 /nfs/dbraw/zinc/80/11/62/227801162.db2.gz RZUVCYOMHGUZSE-GFCCVEGCSA-N 0 2 318.377 0.784 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc2c(cc1F)NC(=O)CC2 ZINC000487953067 287542468 /nfs/dbraw/zinc/54/24/68/287542468.db2.gz SLBDIUCFYZDGFT-UHFFFAOYSA-N 0 2 305.269 0.464 20 0 DCADLN CCO[C@H]1COCC[C@@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000354339957 261205808 /nfs/dbraw/zinc/20/58/08/261205808.db2.gz HYONXBDJRWBUOA-RYUDHWBXSA-N 0 2 320.349 0.598 20 0 DCADLN CCCN(CC(=O)NC)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000354500275 261227951 /nfs/dbraw/zinc/22/79/51/261227951.db2.gz MIGJQVYPUGPVKN-UHFFFAOYSA-N 0 2 319.321 0.034 20 0 DCADLN CC(C)OC(=O)[C@@H](C)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355602709 261351656 /nfs/dbraw/zinc/35/16/56/261351656.db2.gz XPLKQWVPTQSXIF-VIFPVBQESA-N 0 2 320.349 0.991 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCC[C@@H](C(N)=O)C1)c2=O ZINC000355700876 261357644 /nfs/dbraw/zinc/35/76/44/261357644.db2.gz KSEIUIHCWSVUIL-MRVPVSSYSA-N 0 2 303.322 0.011 20 0 DCADLN CCOC[C@@H](O)CNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000355921476 261375978 /nfs/dbraw/zinc/37/59/78/261375978.db2.gz RDCYSUNSADUTHI-QMMMGPOBSA-N 0 2 302.400 0.776 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@H]1CCNC(=O)C1 ZINC000356019054 261382982 /nfs/dbraw/zinc/38/29/82/261382982.db2.gz BZVGISZQMSCDNO-VIFPVBQESA-N 0 2 301.306 0.642 20 0 DCADLN Cc1ccc(-n2nccc2NS(=O)(=O)c2ccnn2C)nn1 ZINC000362866402 262082179 /nfs/dbraw/zinc/08/21/79/262082179.db2.gz IXIQDTPLGUHEIU-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN NC(=O)C[C@@H]1COCCN1C(=O)c1cc(F)cc(Cl)c1O ZINC000412415503 262187516 /nfs/dbraw/zinc/18/75/16/262187516.db2.gz WLKCLQVEJKREKN-MRVPVSSYSA-N 0 2 316.716 0.901 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N3C[C@H](O)[C@H](CO)C3)c[nH]c12 ZINC000412423170 262188642 /nfs/dbraw/zinc/18/86/42/262188642.db2.gz SQDSAYCRQDHVQL-GWCFXTLKSA-N 0 2 302.330 0.262 20 0 DCADLN CN(C)c1n[nH]c(NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)n1 ZINC000359501156 271121777 /nfs/dbraw/zinc/12/17/77/271121777.db2.gz IVGNGXOSKFFKPK-UHFFFAOYSA-N 0 2 315.293 0.466 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H](CO)C1CCCC1)c2=O ZINC000359643735 271136674 /nfs/dbraw/zinc/13/66/74/271136674.db2.gz XSWXWSORWXBSFQ-GFCCVEGCSA-N 0 2 304.350 0.955 20 0 DCADLN COCCOC[C@@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000440086215 271648588 /nfs/dbraw/zinc/64/85/88/271648588.db2.gz DXMZQCCZULPDEX-SNVBAGLBSA-N 0 2 321.333 0.811 20 0 DCADLN Cn1cnc(CCNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)n1 ZINC000447198586 271777598 /nfs/dbraw/zinc/77/75/98/271777598.db2.gz GAXFUNUWKUGSAY-UHFFFAOYSA-N 0 2 314.305 0.131 20 0 DCADLN COCCOCCS(=O)(=O)Nc1ccn([C@@H](C)COC)n1 ZINC000489034003 272055487 /nfs/dbraw/zinc/05/54/87/272055487.db2.gz BJKJKIVEZUYSLW-NSHDSACASA-N 0 2 321.399 0.495 20 0 DCADLN O=C(/C=C/c1cccnc1)NCC(=O)NOCC(F)(F)F ZINC000492182123 272117413 /nfs/dbraw/zinc/11/74/13/272117413.db2.gz NSDGOSIPJVUOPA-ONEGZZNKSA-N 0 2 303.240 0.821 20 0 DCADLN Cc1c(NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)cnn1C ZINC000492466620 272131427 /nfs/dbraw/zinc/13/14/27/272131427.db2.gz ZFMOGYRXBNTRFB-UHFFFAOYSA-N 0 2 322.394 0.877 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)N1CC[C@@H]([N@@H+](C)CCO)[C@H]1C ZINC000492925434 272159030 /nfs/dbraw/zinc/15/90/30/272159030.db2.gz SDSIWVQOBPNTQE-QAMKJQMISA-N 0 2 306.410 0.830 20 0 DCADLN Cn1nccc1/C=C/C(=O)NS(=O)(=O)c1cnc2n1CCC2 ZINC000493086741 272167469 /nfs/dbraw/zinc/16/74/69/272167469.db2.gz OYDCQKQLIHERMX-SNAWJCMRSA-N 0 2 321.362 0.081 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C\c1ncccc1C ZINC000493122218 272169783 /nfs/dbraw/zinc/16/97/83/272169783.db2.gz BLHXBFIWHBMXTB-SREVYHEPSA-N 0 2 320.374 0.950 20 0 DCADLN CC(C)(C)OC(=O)NC(C)(C)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000494397868 272220405 /nfs/dbraw/zinc/22/04/05/272220405.db2.gz AESUWEYHNBUWKF-UHFFFAOYSA-N 0 2 300.315 0.857 20 0 DCADLN CN1C[C@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)CC1=O ZINC000535173040 287830591 /nfs/dbraw/zinc/83/05/91/287830591.db2.gz LEESLJLRTYVXSY-SECBINFHSA-N 0 2 303.347 0.439 20 0 DCADLN CC[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@H]1O ZINC000535571086 287834939 /nfs/dbraw/zinc/83/49/39/287834939.db2.gz WWXXPBPSMRJODY-BXKDBHETSA-N 0 2 303.318 0.873 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCN(C(=O)CCc2cncc(F)c2)C1 ZINC000539324078 287890253 /nfs/dbraw/zinc/89/02/53/287890253.db2.gz BFSOCLGTRSZZEG-GFCCVEGCSA-N 0 2 315.370 0.303 20 0 DCADLN O=c1cc(CNS(=O)(=O)CC(F)(F)F)nc2ccccn12 ZINC000563257803 288655294 /nfs/dbraw/zinc/65/52/94/288655294.db2.gz HFKHDAFYWNUQLZ-UHFFFAOYSA-N 0 2 321.280 0.676 20 0 DCADLN CN1CC[C@@H](NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)C1=O ZINC000084404363 290144455 /nfs/dbraw/zinc/14/44/55/290144455.db2.gz JTTNECWHEZVVRI-LLVKDONJSA-N 0 2 318.308 0.678 20 0 DCADLN CN1CC[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1=O ZINC000174212154 290144579 /nfs/dbraw/zinc/14/45/79/290144579.db2.gz KPENYUHYAFFLKB-LLVKDONJSA-N 0 2 300.318 0.538 20 0 DCADLN CNC(=O)C[C@@H](C)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000456472872 290442923 /nfs/dbraw/zinc/44/29/23/290442923.db2.gz BBQFPYBLIWKJND-SNVBAGLBSA-N 0 2 302.334 0.832 20 0 DCADLN Cc1cc(C(=O)N2CC[N@@H+](C)C[C@H]2C)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000345332002 301099920 /nfs/dbraw/zinc/09/99/20/301099920.db2.gz DMPXAHITFUCVDX-SECBINFHSA-N 0 2 317.349 0.521 20 0 DCADLN Cc1cc(C(=O)N2CC[N@H+](C)C[C@H]2C)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000345332002 301099921 /nfs/dbraw/zinc/09/99/21/301099921.db2.gz DMPXAHITFUCVDX-SECBINFHSA-N 0 2 317.349 0.521 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCOC[C@H]1[C@H]1CCCO1 ZINC000375652622 304759722 /nfs/dbraw/zinc/75/97/22/304759722.db2.gz LYZOSJJCUFIVED-DTWKUNHWSA-N 0 2 318.317 0.263 20 0 DCADLN CCNC(=O)N1CC[C@H](NC(=O)c2cccc3[nH]nnc32)C1 ZINC000188422980 333045709 /nfs/dbraw/zinc/04/57/09/333045709.db2.gz CLWFRFGNJJASME-VIFPVBQESA-N 0 2 302.338 0.492 20 0 DCADLN COCc1ccccc1CNC(=O)N1CC[N@@H+](C)C[C@H]1C[NH3+] ZINC000583860930 337353335 /nfs/dbraw/zinc/35/33/35/337353335.db2.gz YMDWKOKHFKAKRK-OAHLLOKOSA-N 0 2 306.410 0.617 20 0 DCADLN O=C(CN1CCCC[C@H]1c1n[nH]c(=O)[nH]1)Nc1ccncc1 ZINC000584571241 337364059 /nfs/dbraw/zinc/36/40/59/337364059.db2.gz NISNSIAXWHGWET-NSHDSACASA-N 0 2 302.338 0.493 20 0 DCADLN Cc1ccnc(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)n1 ZINC000331976959 534403467 /nfs/dbraw/zinc/40/34/67/534403467.db2.gz TYPPCHPRHBBCNJ-JTQLQIEISA-N 0 2 309.351 0.744 20 0 DCADLN CC[C@H](COC)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266801076 522255284 /nfs/dbraw/zinc/25/52/84/522255284.db2.gz ATDKAPNVBCBPKQ-MRVPVSSYSA-N 0 2 306.322 0.899 20 0 DCADLN O=C(NC[C@@H](O)C(F)(F)F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267095905 526481539 /nfs/dbraw/zinc/48/15/39/526481539.db2.gz JQFDIRNZGLEAAS-ZCFIWIBFSA-N 0 2 318.211 0.089 20 0 DCADLN O=C(CNC(=O)c1cc(F)cc(F)c1)NOC[C@H]1CCOC1 ZINC000187885336 526852979 /nfs/dbraw/zinc/85/29/79/526852979.db2.gz RJOQSOBJFFOJCO-VIFPVBQESA-N 0 2 314.288 0.779 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2c(C)cnn2C)nc1 ZINC000285108116 536434642 /nfs/dbraw/zinc/43/46/42/536434642.db2.gz NXFXHFATBHDOCA-UHFFFAOYSA-N 0 2 309.351 0.284 20 0 DCADLN CNC(=O)c1ccc(/C=C/C(=O)NNC(=O)c2ccccn2)cc1 ZINC000046543521 545651754 /nfs/dbraw/zinc/65/17/54/545651754.db2.gz AMLASDPFZVMKFA-JXMROGBWSA-N 0 2 324.340 0.916 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N[C@H](C)c1cccs1 ZINC000049607590 545652373 /nfs/dbraw/zinc/65/23/73/545652373.db2.gz SRJTUQWLLGCBQJ-SNVBAGLBSA-N 0 2 300.380 0.958 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N[C@H](C)c1cccs1 ZINC000049607590 545652376 /nfs/dbraw/zinc/65/23/76/545652376.db2.gz SRJTUQWLLGCBQJ-SNVBAGLBSA-N 0 2 300.380 0.958 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N1c2ccccc2C[C@H]1C ZINC000314640669 546025922 /nfs/dbraw/zinc/02/59/22/546025922.db2.gz LVCHEFVYGVPBHT-GFCCVEGCSA-N 0 2 306.362 0.997 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N1c2ccccc2C[C@H]1C ZINC000314640669 546025923 /nfs/dbraw/zinc/02/59/23/546025923.db2.gz LVCHEFVYGVPBHT-GFCCVEGCSA-N 0 2 306.362 0.997 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000319784588 546032344 /nfs/dbraw/zinc/03/23/44/546032344.db2.gz YWKFMNHQUNSMLZ-HUUCEWRRSA-N 0 2 318.373 0.856 20 0 DCADLN CCOc1ccc(S(=O)(=O)Nc2nc3ncccn3n2)cn1 ZINC000359101262 546197616 /nfs/dbraw/zinc/19/76/16/546197616.db2.gz DUYVDIJUSBPSCJ-UHFFFAOYSA-N 0 2 320.334 0.719 20 0 DCADLN CC[NH+](CC)C[C@H](C)NS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000360009671 546201077 /nfs/dbraw/zinc/20/10/77/546201077.db2.gz AHKULXKXLAYWCA-AWEZNQCLSA-N 0 2 321.487 0.358 20 0 DCADLN CO[C@@H]1CC[C@@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)C1 ZINC000666974289 546537138 /nfs/dbraw/zinc/53/71/38/546537138.db2.gz MPGMVBVNMRGRLK-RNFRBKRXSA-N 0 2 320.396 0.663 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCCC1)[C@H]1CCCc2nn[nH]c21 ZINC000668872871 546866841 /nfs/dbraw/zinc/86/68/41/546866841.db2.gz XJIQZAADRRYJHU-QMMMGPOBSA-N 0 2 302.342 0.323 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCCC1)[C@H]1CCCc2n[nH]nc21 ZINC000668872871 546866845 /nfs/dbraw/zinc/86/68/45/546866845.db2.gz XJIQZAADRRYJHU-QMMMGPOBSA-N 0 2 302.342 0.323 20 0 DCADLN COCCOC[C@@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000669204440 546936459 /nfs/dbraw/zinc/93/64/59/546936459.db2.gz JHVINMBWZDXPNG-SNVBAGLBSA-N 0 2 321.333 0.811 20 0 DCADLN O=C(CCCNC(=O)C1CC1)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000673493899 547484996 /nfs/dbraw/zinc/48/49/96/547484996.db2.gz JSTSERXFSSBILW-LLVKDONJSA-N 0 2 321.381 0.870 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(CN2CCOCC2)c1 ZINC000673949726 547545023 /nfs/dbraw/zinc/54/50/23/547545023.db2.gz VMQISGLNASTVFL-UHFFFAOYSA-N 0 2 317.349 0.273 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CC[C@@]2(CCOC2)C1 ZINC000674952729 547652269 /nfs/dbraw/zinc/65/22/69/547652269.db2.gz SGZQKMOZTPKWLZ-OAHLLOKOSA-N 0 2 316.317 0.689 20 0 DCADLN Cc1cc(C(=O)NCC2(CO)CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000675610781 547698637 /nfs/dbraw/zinc/69/86/37/547698637.db2.gz BDSWCVSFESVFLZ-UHFFFAOYSA-N 0 2 304.306 0.247 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](CC(=O)N(Cc2ccccc2)C2CC2)CCO1 ZINC000676233752 547748368 /nfs/dbraw/zinc/74/83/68/547748368.db2.gz SKQACKLRGRZLNG-OAHLLOKOSA-N 0 2 318.373 0.963 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](CC(=O)N(Cc2ccccc2)C2CC2)CCO1 ZINC000676233752 547748369 /nfs/dbraw/zinc/74/83/69/547748369.db2.gz SKQACKLRGRZLNG-OAHLLOKOSA-N 0 2 318.373 0.963 20 0 DCADLN C[C@@H](C(=O)N1CCc2ccccc21)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676232075 547748469 /nfs/dbraw/zinc/74/84/69/547748469.db2.gz RKYIITOPRKYMSR-FZMZJTMJSA-N 0 2 304.346 0.750 20 0 DCADLN C[C@@H](C(=O)N1CCc2ccccc21)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676232075 547748472 /nfs/dbraw/zinc/74/84/72/547748472.db2.gz RKYIITOPRKYMSR-FZMZJTMJSA-N 0 2 304.346 0.750 20 0 DCADLN CCc1nsc(N2CCN(C(=O)c3n[nH]c(C)c3O)CC2)n1 ZINC000676699189 547804963 /nfs/dbraw/zinc/80/49/63/547804963.db2.gz DEHCTINIYFUWKH-UHFFFAOYSA-N 0 2 322.394 0.800 20 0 DCADLN CN1C(=O)CCc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccc21 ZINC000677559975 547888610 /nfs/dbraw/zinc/88/86/10/547888610.db2.gz BPLLOVRGFYQABL-UHFFFAOYSA-N 0 2 301.306 0.349 20 0 DCADLN CCn1nc(C)c(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)c1C ZINC000677644871 547894333 /nfs/dbraw/zinc/89/43/33/547894333.db2.gz JAZPSOHGCYVQFQ-UHFFFAOYSA-N 0 2 312.355 0.143 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@]1(O)CCSC1 ZINC000677963493 547929793 /nfs/dbraw/zinc/92/97/93/547929793.db2.gz CLAVFUFMLFIUTQ-ZDUSSCGKSA-N 0 2 307.331 0.836 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cncc(Cl)c1 ZINC000678137305 547951858 /nfs/dbraw/zinc/95/18/58/547951858.db2.gz NZRQCYKLUHCGLV-UHFFFAOYSA-N 0 2 302.743 0.725 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1ccc2c(c1)OCO2 ZINC000679184218 548031754 /nfs/dbraw/zinc/03/17/54/548031754.db2.gz YMEKYAYBTLWWPF-UHFFFAOYSA-N 0 2 311.319 0.405 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC000682833018 548430968 /nfs/dbraw/zinc/43/09/68/548430968.db2.gz SNTMKFUZKSHLGG-UHFFFAOYSA-N 0 2 316.364 0.019 20 0 DCADLN Cc1cc(NC(=O)c2nc(-c3cnc(C)nc3N)no2)no1 ZINC000683592376 548502691 /nfs/dbraw/zinc/50/26/91/548502691.db2.gz DBIQAXKUXPJEIZ-UHFFFAOYSA-N 0 2 301.266 0.966 20 0 DCADLN CC[C@@H](C)C[C@@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820870285 599067456 /nfs/dbraw/zinc/06/74/56/599067456.db2.gz QDAFHBATDMGJQM-RKDXNWHRSA-N 0 2 321.385 0.501 20 0 DCADLN C[C@@H](C(=O)NCC(F)(F)F)[N@@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000826004951 601919611 /nfs/dbraw/zinc/91/96/11/601919611.db2.gz OGVFRVZHWISNIZ-JGVFFNPUSA-N 0 2 314.329 0.946 20 0 DCADLN C[C@@H](C(=O)NCC(F)(F)F)[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000826004951 601919614 /nfs/dbraw/zinc/91/96/14/601919614.db2.gz OGVFRVZHWISNIZ-JGVFFNPUSA-N 0 2 314.329 0.946 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000263257704 597385168 /nfs/dbraw/zinc/38/51/68/597385168.db2.gz PVKKHIJEQVKQSM-RYUDHWBXSA-N 0 2 304.350 0.776 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@@H+]1CCCC[C@@H]1C(=O)[O-] ZINC000314512113 597428894 /nfs/dbraw/zinc/42/88/94/597428894.db2.gz SGKDGSRSVPATMD-GFCCVEGCSA-N 0 2 313.398 0.299 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@H+]1CCCC[C@@H]1C(=O)[O-] ZINC000314512113 597428896 /nfs/dbraw/zinc/42/88/96/597428896.db2.gz SGKDGSRSVPATMD-GFCCVEGCSA-N 0 2 313.398 0.299 20 0 DCADLN Cc1cc(=O)n2nc(C[N@@H+]3CCCC[C@H]3C(=O)[O-])sc2n1 ZINC000035297905 597436000 /nfs/dbraw/zinc/43/60/00/597436000.db2.gz VQQMJHFZJZGDOL-VIFPVBQESA-N 0 2 308.363 0.899 20 0 DCADLN Cc1cc(=O)n2nc(C[N@H+]3CCCC[C@H]3C(=O)[O-])sc2n1 ZINC000035297905 597436002 /nfs/dbraw/zinc/43/60/02/597436002.db2.gz VQQMJHFZJZGDOL-VIFPVBQESA-N 0 2 308.363 0.899 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000818578939 597534901 /nfs/dbraw/zinc/53/49/01/597534901.db2.gz FGPPYYICNDEAQY-NSHDSACASA-N 0 2 306.318 0.358 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000818578939 597534902 /nfs/dbraw/zinc/53/49/02/597534902.db2.gz FGPPYYICNDEAQY-NSHDSACASA-N 0 2 306.318 0.358 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC000819922207 597784026 /nfs/dbraw/zinc/78/40/26/597784026.db2.gz ZXYNNTMSSZCRER-JTQLQIEISA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC(=O)[O-] ZINC000819922207 597784027 /nfs/dbraw/zinc/78/40/27/597784027.db2.gz ZXYNNTMSSZCRER-JTQLQIEISA-N 0 2 315.370 0.176 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2nc(C)cs2)c1=O ZINC000736719045 599284966 /nfs/dbraw/zinc/28/49/66/599284966.db2.gz ODXWYCZTGDZODT-UHFFFAOYSA-N 0 2 319.350 0.023 20 0 DCADLN O=S(=O)(Nc1nccs1)c1ccc(-c2nn[nH]n2)nc1 ZINC000738338254 598438930 /nfs/dbraw/zinc/43/89/30/598438930.db2.gz FFFRCIWEQUILKN-UHFFFAOYSA-N 0 2 309.336 0.519 20 0 DCADLN CCc1nn(Cc2cccnc2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736707018 598640288 /nfs/dbraw/zinc/64/02/88/598640288.db2.gz OLGMYOKWQYAOJA-UHFFFAOYSA-N 0 2 311.349 0.992 20 0 DCADLN C[C@H](NCc1ccc(-c2nn[nH]n2)o1)C(=O)NCc1ccco1 ZINC000820433344 599435533 /nfs/dbraw/zinc/43/55/33/599435533.db2.gz XVKVXBVMIUBOCL-VIFPVBQESA-N 0 2 316.321 0.847 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2cccc(OCC(=O)[O-])c2)CCO1 ZINC000736813182 599700816 /nfs/dbraw/zinc/70/08/16/599700816.db2.gz ZRNOPBGSXNRQGA-GFCCVEGCSA-N 0 2 322.361 0.601 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2cccc(OCC(=O)[O-])c2)CCO1 ZINC000736813182 599700818 /nfs/dbraw/zinc/70/08/18/599700818.db2.gz ZRNOPBGSXNRQGA-GFCCVEGCSA-N 0 2 322.361 0.601 20 0 DCADLN COc1cc(OC)cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000106789574 599777693 /nfs/dbraw/zinc/77/76/93/599777693.db2.gz RLYJYQWADCBSMW-ZDUSSCGKSA-N 0 2 319.317 0.853 20 0 DCADLN COc1cc(OC)cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000106789574 599777695 /nfs/dbraw/zinc/77/76/95/599777695.db2.gz RLYJYQWADCBSMW-ZDUSSCGKSA-N 0 2 319.317 0.853 20 0 DCADLN COC[C@@H](C)NC(=O)NC(=O)C[NH2+][C@H](C(=O)[O-])c1ccccc1 ZINC000737746694 599784278 /nfs/dbraw/zinc/78/42/78/599784278.db2.gz CSQQUDQRNNUXGM-MFKMUULPSA-N 0 2 323.349 0.263 20 0 DCADLN COC[C@@H](C)NC(=O)NC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccccc1 ZINC000737746696 599784621 /nfs/dbraw/zinc/78/46/21/599784621.db2.gz CSQQUDQRNNUXGM-ZWNOBZJWSA-N 0 2 323.349 0.263 20 0 DCADLN Cc1nc(C[C@H]2CCC[N@H+](Cn3cnc(C(=O)[O-])n3)C2)no1 ZINC000738851287 599958221 /nfs/dbraw/zinc/95/82/21/599958221.db2.gz KLWUMVVPGOKQGQ-SNVBAGLBSA-N 0 2 306.326 0.580 20 0 DCADLN Cc1nc(C[C@H]2CCC[N@@H+](Cn3cnc(C(=O)[O-])n3)C2)no1 ZINC000738851287 599958222 /nfs/dbraw/zinc/95/82/22/599958222.db2.gz KLWUMVVPGOKQGQ-SNVBAGLBSA-N 0 2 306.326 0.580 20 0 DCADLN Cc1nc(S(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)cs1 ZINC000738869215 599980506 /nfs/dbraw/zinc/98/05/06/599980506.db2.gz UHGQXADAKVDSPF-UHFFFAOYSA-N 0 2 319.408 0.233 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000319784591 600105286 /nfs/dbraw/zinc/10/52/86/600105286.db2.gz YWKFMNHQUNSMLZ-LSDHHAIUSA-N 0 2 318.373 0.856 20 0 DCADLN Cn1c(CC[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])nc2ccccc21 ZINC000739012621 600208009 /nfs/dbraw/zinc/20/80/09/600208009.db2.gz LBYQBNGEQZFIQO-ZDUSSCGKSA-N 0 2 316.361 0.391 20 0 DCADLN Cn1c(CC[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])nc2ccccc21 ZINC000739012621 600208011 /nfs/dbraw/zinc/20/80/11/600208011.db2.gz LBYQBNGEQZFIQO-ZDUSSCGKSA-N 0 2 316.361 0.391 20 0 DCADLN O=C([O-])c1cccc(CS(=O)(=O)NCCn2cc[nH+]c2)c1 ZINC000041119888 600220208 /nfs/dbraw/zinc/22/02/08/600220208.db2.gz DASLPGFOCBWPQO-UHFFFAOYSA-N 0 2 309.347 0.701 20 0 DCADLN CC(C)CCC[C@@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736426641 600230809 /nfs/dbraw/zinc/23/08/09/600230809.db2.gz LYIUYDKNIVMIEI-UPJWGTAASA-N 0 2 300.399 0.837 20 0 DCADLN CC(C)CCC[C@@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736426641 600230811 /nfs/dbraw/zinc/23/08/11/600230811.db2.gz LYIUYDKNIVMIEI-UPJWGTAASA-N 0 2 300.399 0.837 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(C(=O)N2CCC[C@H]2C(=O)[O-])CC1 ZINC000739054958 600237690 /nfs/dbraw/zinc/23/76/90/600237690.db2.gz GCVUDWPOVWJOPF-ZDUSSCGKSA-N 0 2 320.393 0.708 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)c2cccc(C(=O)[O-])n2)CC1 ZINC000737176747 600269090 /nfs/dbraw/zinc/26/90/90/600269090.db2.gz PCDVVXIFAQIMAO-UHFFFAOYSA-N 0 2 307.350 0.574 20 0 DCADLN COC(=O)[C@H]1Cc2ccccc2C[N@@H+]1CCC(=O)NCC(=O)[O-] ZINC000737587566 600285526 /nfs/dbraw/zinc/28/55/26/600285526.db2.gz SEGHYNGINONSLE-CYBMUJFWSA-N 0 2 320.345 0.177 20 0 DCADLN COC(=O)[C@H]1Cc2ccccc2C[N@H+]1CCC(=O)NCC(=O)[O-] ZINC000737587566 600285528 /nfs/dbraw/zinc/28/55/28/600285528.db2.gz SEGHYNGINONSLE-CYBMUJFWSA-N 0 2 320.345 0.177 20 0 DCADLN Cc1nc([C@@H]2CCCC[N@H+]2CCC(=O)N(C)CC(=O)[O-])no1 ZINC000738850701 600291929 /nfs/dbraw/zinc/29/19/29/600291929.db2.gz UOGPUDZCKFVHFY-NSHDSACASA-N 0 2 310.354 0.838 20 0 DCADLN Cc1nc([C@@H]2CCCC[N@@H+]2CCC(=O)N(C)CC(=O)[O-])no1 ZINC000738850701 600291930 /nfs/dbraw/zinc/29/19/30/600291930.db2.gz UOGPUDZCKFVHFY-NSHDSACASA-N 0 2 310.354 0.838 20 0 DCADLN Cn1ncc2c1nc(C[NH+]1CCC3(C[C@H]3C(=O)[O-])CC1)[nH]c2=O ZINC000739059891 600308669 /nfs/dbraw/zinc/30/86/69/600308669.db2.gz OPCSSZPDQSBBMC-JTQLQIEISA-N 0 2 317.349 0.756 20 0 DCADLN CN1CC[C@@H]([N@H+](C)Cn2ncc3cc(C(=O)[O-])ccc32)C1=O ZINC000737514498 600427721 /nfs/dbraw/zinc/42/77/21/600427721.db2.gz IFBAAEPUVOYMAB-CYBMUJFWSA-N 0 2 302.334 0.855 20 0 DCADLN CN1CC[C@@H]([N@@H+](C)Cn2ncc3cc(C(=O)[O-])ccc32)C1=O ZINC000737514498 600427723 /nfs/dbraw/zinc/42/77/23/600427723.db2.gz IFBAAEPUVOYMAB-CYBMUJFWSA-N 0 2 302.334 0.855 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@H+]3CCC[C@H]3CO)nc2c1 ZINC000740187633 600490044 /nfs/dbraw/zinc/49/00/44/600490044.db2.gz CJCZLLAFRYCNCP-JTQLQIEISA-N 0 2 303.318 0.990 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@@H+]3CCC[C@H]3CO)nc2c1 ZINC000740187633 600490046 /nfs/dbraw/zinc/49/00/46/600490046.db2.gz CJCZLLAFRYCNCP-JTQLQIEISA-N 0 2 303.318 0.990 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1Cc1ccc(-n2cccn2)cc1 ZINC000321896928 600602131 /nfs/dbraw/zinc/60/21/31/600602131.db2.gz SWNADYFQGPZGCY-AWEZNQCLSA-N 0 2 314.345 0.647 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1Cc1ccc(-n2cccn2)cc1 ZINC000321896928 600602132 /nfs/dbraw/zinc/60/21/32/600602132.db2.gz SWNADYFQGPZGCY-AWEZNQCLSA-N 0 2 314.345 0.647 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)Cn1cc[nH+]c1)Cc1ccc(O)cc1 ZINC000739361690 600667972 /nfs/dbraw/zinc/66/79/72/600667972.db2.gz OOQQXSLKXFDNSF-GFCCVEGCSA-N 0 2 303.318 0.648 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@@H]2C[N@H+](C)CCO2)c1OC ZINC000831891451 600970995 /nfs/dbraw/zinc/97/09/95/600970995.db2.gz DABHGEWPWRNRBX-LBPRGKRZSA-N 0 2 324.333 0.671 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@@H]2C[N@@H+](C)CCO2)c1OC ZINC000831891451 600970997 /nfs/dbraw/zinc/97/09/97/600970997.db2.gz DABHGEWPWRNRBX-LBPRGKRZSA-N 0 2 324.333 0.671 20 0 DCADLN O=C([O-])Cn1ccc(NC(=O)NCc2cn3c([nH+]2)CCCC3)n1 ZINC000833276866 601068427 /nfs/dbraw/zinc/06/84/27/601068427.db2.gz DYZDLDSBHAAXRV-UHFFFAOYSA-N 0 2 318.337 0.822 20 0 DCADLN C[N@H+](CCN1CCCS1(=O)=O)Cc1cc(C(=O)[O-])co1 ZINC000830780999 601434829 /nfs/dbraw/zinc/43/48/29/601434829.db2.gz AJFGAGYQLAQEQJ-UHFFFAOYSA-N 0 2 302.352 0.445 20 0 DCADLN C[N@@H+](CCN1CCCS1(=O)=O)Cc1cc(C(=O)[O-])co1 ZINC000830780999 601434831 /nfs/dbraw/zinc/43/48/31/601434831.db2.gz AJFGAGYQLAQEQJ-UHFFFAOYSA-N 0 2 302.352 0.445 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2C[C@@H](C3CCCCC3)C[C@@H]2C(=O)[O-])n1 ZINC000833090835 601541930 /nfs/dbraw/zinc/54/19/30/601541930.db2.gz FMYJDFXHTKHIRG-WDEREUQCSA-N 0 2 320.397 0.891 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2C[C@@H](C3CCCCC3)C[C@@H]2C(=O)[O-])n1 ZINC000833090835 601541931 /nfs/dbraw/zinc/54/19/31/601541931.db2.gz FMYJDFXHTKHIRG-WDEREUQCSA-N 0 2 320.397 0.891 20 0 DCADLN CC(C)(CNC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000826576558 601665164 /nfs/dbraw/zinc/66/51/64/601665164.db2.gz KGAXMAPXBCOAHP-UHFFFAOYSA-N 0 2 301.387 0.507 20 0 DCADLN CC(C)OCC[NH+]1CCN(S(=O)(=O)C[C@H](C)C(=O)[O-])CC1 ZINC000827031074 601797642 /nfs/dbraw/zinc/79/76/42/601797642.db2.gz UOHAMSXCLBOOLY-LBPRGKRZSA-N 0 2 322.427 0.080 20 0 DCADLN COCCn1cnn(C[N@H+]2C[C@H](C(=O)[O-])[C@H](C)C2)c1=S ZINC000831807143 601821043 /nfs/dbraw/zinc/82/10/43/601821043.db2.gz BHYXUDOGIRNGBR-ZJUUUORDSA-N 0 2 300.384 0.670 20 0 DCADLN COCCn1cnn(C[N@@H+]2C[C@H](C(=O)[O-])[C@H](C)C2)c1=S ZINC000831807143 601821044 /nfs/dbraw/zinc/82/10/44/601821044.db2.gz BHYXUDOGIRNGBR-ZJUUUORDSA-N 0 2 300.384 0.670 20 0 DCADLN COc1cc(OC)cc([C@H]([NH2+][C@H]2CCN(C)C2=O)C(=O)[O-])c1 ZINC000832050522 602174312 /nfs/dbraw/zinc/17/43/12/602174312.db2.gz QRYZZDUWQYISAU-STQMWFEESA-N 0 2 308.334 0.650 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@H](C)CC(=O)[O-])nc[nH+]1 ZINC000831041896 602177157 /nfs/dbraw/zinc/17/71/57/602177157.db2.gz KBNCBXIPDWQFMA-WDEREUQCSA-N 0 2 321.381 0.714 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@H](C)CC(=O)[O-])[nH+]cn1 ZINC000831041896 602177159 /nfs/dbraw/zinc/17/71/59/602177159.db2.gz KBNCBXIPDWQFMA-WDEREUQCSA-N 0 2 321.381 0.714 20 0 DCADLN O=C([O-])c1ccc(NC(=O)C(=O)NCCn2cc[nH+]c2)cc1F ZINC000833144388 602402290 /nfs/dbraw/zinc/40/22/90/602402290.db2.gz YNABEUXTGQCUHH-UHFFFAOYSA-N 0 2 320.280 0.475 20 0 DCADLN CCC[C@@H](NC(=O)[O-])C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000826745295 603036879 /nfs/dbraw/zinc/03/68/79/603036879.db2.gz WPEVFCVWQBDRAG-CHWSQXEVSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000736584648 603249599 /nfs/dbraw/zinc/24/95/99/603249599.db2.gz FKDFVEGELWQAJK-VXGBXAGGSA-N 0 2 314.386 0.149 20 0 DCADLN Cc1cc(-c2nn[nH]n2)ccc1S(=O)(=O)Nc1cn[nH]c1 ZINC000826256115 607633575 /nfs/dbraw/zinc/63/35/75/607633575.db2.gz VMOSSHIUXWXGST-UHFFFAOYSA-N 0 2 305.323 0.699 20 0 DCADLN Cc1nc(CC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cs1 ZINC000830737726 603509162 /nfs/dbraw/zinc/50/91/62/603509162.db2.gz LWWTVSLJGNTFLF-NSHDSACASA-N 0 2 312.395 0.404 20 0 DCADLN Cc1nc(CC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cs1 ZINC000830737726 603509164 /nfs/dbraw/zinc/50/91/64/603509164.db2.gz LWWTVSLJGNTFLF-NSHDSACASA-N 0 2 312.395 0.404 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc(F)c2F)[C@H](CNC(=O)[O-])C1 ZINC000828513224 603510344 /nfs/dbraw/zinc/51/03/44/603510344.db2.gz HIPANFYIHCKTMO-SECBINFHSA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc(F)c2F)[C@H](CNC(=O)[O-])C1 ZINC000828513224 603510345 /nfs/dbraw/zinc/51/03/45/603510345.db2.gz HIPANFYIHCKTMO-SECBINFHSA-N 0 2 313.304 0.989 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc3c2OCO3)[C@@H](CNC(=O)[O-])C1 ZINC000828514204 603512059 /nfs/dbraw/zinc/51/20/59/603512059.db2.gz VOPAXWWSUCEVFO-JTQLQIEISA-N 0 2 321.333 0.439 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc3c2OCO3)[C@@H](CNC(=O)[O-])C1 ZINC000828514204 603512064 /nfs/dbraw/zinc/51/20/64/603512064.db2.gz VOPAXWWSUCEVFO-JTQLQIEISA-N 0 2 321.333 0.439 20 0 DCADLN C[C@@H]1CSCC[N@@H+]1CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O ZINC000826093428 603604292 /nfs/dbraw/zinc/60/42/92/603604292.db2.gz DQSMZQDJVPANKQ-GHMZBOCLSA-N 0 2 314.411 0.481 20 0 DCADLN C[C@@H]1CSCC[N@H+]1CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O ZINC000826093428 603604294 /nfs/dbraw/zinc/60/42/94/603604294.db2.gz DQSMZQDJVPANKQ-GHMZBOCLSA-N 0 2 314.411 0.481 20 0 DCADLN Cc1cn2cc(NC(=O)[C@H]3C[C@@H](O)CN3C(=O)[O-])ccc2[nH+]1 ZINC000830619815 603731247 /nfs/dbraw/zinc/73/12/47/603731247.db2.gz HWSZRGRUWOJFDH-GHMZBOCLSA-N 0 2 304.306 0.694 20 0 DCADLN CC(C)(C(=O)N1CC(n2cccn2)C1)[NH+]1CCN(C(=O)[O-])CC1 ZINC000823738591 603742003 /nfs/dbraw/zinc/74/20/03/603742003.db2.gz ZIRMUQWHRRRRFZ-UHFFFAOYSA-N 0 2 321.381 0.341 20 0 DCADLN C[N@H+](Cc1cc(=O)n2[nH]ccc2n1)C1CCN(C(=O)[O-])CC1 ZINC000828345966 603981615 /nfs/dbraw/zinc/98/16/15/603981615.db2.gz NRSYCTLAMCZQSV-UHFFFAOYSA-N 0 2 305.338 0.597 20 0 DCADLN C[N@@H+](Cc1cc(=O)n2[nH]ccc2n1)C1CCN(C(=O)[O-])CC1 ZINC000828345966 603981617 /nfs/dbraw/zinc/98/16/17/603981617.db2.gz NRSYCTLAMCZQSV-UHFFFAOYSA-N 0 2 305.338 0.597 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)N[C@@H]2CCCSC2)CC1 ZINC000831689196 604137077 /nfs/dbraw/zinc/13/70/77/604137077.db2.gz MHXGWRBSWPYMRY-LLVKDONJSA-N 0 2 316.427 0.477 20 0 DCADLN CNC(=O)Cc1nc(C[N@@H+]2CCC[C@H]2CNC(=O)[O-])cs1 ZINC000828547616 604203527 /nfs/dbraw/zinc/20/35/27/604203527.db2.gz UOMSBDOPAGHLBG-JTQLQIEISA-N 0 2 312.395 0.664 20 0 DCADLN CNC(=O)Cc1nc(C[N@H+]2CCC[C@H]2CNC(=O)[O-])cs1 ZINC000828547616 604203528 /nfs/dbraw/zinc/20/35/28/604203528.db2.gz UOMSBDOPAGHLBG-JTQLQIEISA-N 0 2 312.395 0.664 20 0 DCADLN O=C([O-])N1CCC[C@H](C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000831974746 604301407 /nfs/dbraw/zinc/30/14/07/604301407.db2.gz YQNBSLVXBXYIGW-QWHCGFSZSA-N 0 2 311.382 0.310 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H](O)c2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828487657 604394240 /nfs/dbraw/zinc/39/42/40/604394240.db2.gz JMEGYMLLXGSKGQ-OLZOCXBDSA-N 0 2 307.350 0.130 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H](O)c2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828487657 604394244 /nfs/dbraw/zinc/39/42/44/604394244.db2.gz JMEGYMLLXGSKGQ-OLZOCXBDSA-N 0 2 307.350 0.130 20 0 DCADLN CN(CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])c1ccccc1 ZINC000828500795 604403041 /nfs/dbraw/zinc/40/30/41/604403041.db2.gz PHFMJPCFRXTDRL-AWEZNQCLSA-N 0 2 320.393 0.533 20 0 DCADLN CN(CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])c1ccccc1 ZINC000828500795 604403042 /nfs/dbraw/zinc/40/30/42/604403042.db2.gz PHFMJPCFRXTDRL-AWEZNQCLSA-N 0 2 320.393 0.533 20 0 DCADLN C[C@@H](Oc1cccnc1)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000825616525 604408988 /nfs/dbraw/zinc/40/89/88/604408988.db2.gz FOMXENIPQHCHOH-VXGBXAGGSA-N 0 2 322.365 0.259 20 0 DCADLN C[C@@H](Oc1cccnc1)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000825616525 604408989 /nfs/dbraw/zinc/40/89/89/604408989.db2.gz FOMXENIPQHCHOH-VXGBXAGGSA-N 0 2 322.365 0.259 20 0 DCADLN CN(C[C@@H]1CC[N@H+](Cn2c3cnccc3n(C)c2=O)C1)C(=O)[O-] ZINC000828260345 604736759 /nfs/dbraw/zinc/73/67/59/604736759.db2.gz SFBKPXJJVJFZIE-NSHDSACASA-N 0 2 319.365 0.624 20 0 DCADLN CN(C[C@@H]1CC[N@@H+](Cn2c3cnccc3n(C)c2=O)C1)C(=O)[O-] ZINC000828260345 604736760 /nfs/dbraw/zinc/73/67/60/604736760.db2.gz SFBKPXJJVJFZIE-NSHDSACASA-N 0 2 319.365 0.624 20 0 DCADLN C[C@H](OC[C@@H]1CCCO1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833534941 604900672 /nfs/dbraw/zinc/90/06/72/604900672.db2.gz ASHXVUXKQNSYKR-DLOVCJGASA-N 0 2 311.338 0.106 20 0 DCADLN C[C@H](OC[C@@H]1CCCO1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833534941 604900675 /nfs/dbraw/zinc/90/06/75/604900675.db2.gz ASHXVUXKQNSYKR-DLOVCJGASA-N 0 2 311.338 0.106 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CSCCS1 ZINC000833767951 605078096 /nfs/dbraw/zinc/07/80/96/605078096.db2.gz VJOCASOADKWRHI-DTWKUNHWSA-N 0 2 301.393 0.370 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CSCCS1 ZINC000833767951 605078104 /nfs/dbraw/zinc/07/81/04/605078104.db2.gz VJOCASOADKWRHI-DTWKUNHWSA-N 0 2 301.393 0.370 20 0 DCADLN CCC[C@@](C)(NC(=O)[O-])C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000826714348 605291248 /nfs/dbraw/zinc/29/12/48/605291248.db2.gz ZMMYMNVFERMCAJ-SWLSCSKDSA-N 0 2 313.398 0.746 20 0 DCADLN CCC[C@](C)(NC(=O)[O-])C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000826714349 605291299 /nfs/dbraw/zinc/29/12/99/605291299.db2.gz ZMMYMNVFERMCAJ-WFASDCNBSA-N 0 2 313.398 0.746 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000828312481 605294116 /nfs/dbraw/zinc/29/41/16/605294116.db2.gz MSWKMIPZWBYGGW-LBPRGKRZSA-N 0 2 309.370 0.961 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CC[C@H](NC(=O)[O-])C2)C1 ZINC000833959344 605318551 /nfs/dbraw/zinc/31/85/51/605318551.db2.gz SFEPCQSETPSDRA-MNOVXSKESA-N 0 2 307.354 0.035 20 0 DCADLN COc1cc2c(nn1)CC[N@H+](C[C@@H]1CC(C(=O)[O-])=C(C)O1)C2 ZINC000833730260 605335097 /nfs/dbraw/zinc/33/50/97/605335097.db2.gz PKAHTSUFXWBHQR-NSHDSACASA-N 0 2 305.334 0.991 20 0 DCADLN COc1cc2c(nn1)CC[N@@H+](C[C@@H]1CC(C(=O)[O-])=C(C)O1)C2 ZINC000833730260 605335105 /nfs/dbraw/zinc/33/51/05/605335105.db2.gz PKAHTSUFXWBHQR-NSHDSACASA-N 0 2 305.334 0.991 20 0 DCADLN COc1cc2c(nn1)CC[N@H+](C[C@H]1CC(C(=O)[O-])=C(C)O1)C2 ZINC000833730259 605335487 /nfs/dbraw/zinc/33/54/87/605335487.db2.gz PKAHTSUFXWBHQR-LLVKDONJSA-N 0 2 305.334 0.991 20 0 DCADLN COc1cc2c(nn1)CC[N@@H+](C[C@H]1CC(C(=O)[O-])=C(C)O1)C2 ZINC000833730259 605335495 /nfs/dbraw/zinc/33/54/95/605335495.db2.gz PKAHTSUFXWBHQR-LLVKDONJSA-N 0 2 305.334 0.991 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@@H+]2CCO[C@@H](c3cnn(C)c3)C2)O1 ZINC000833581007 605351704 /nfs/dbraw/zinc/35/17/04/605351704.db2.gz UUXINIOVKSFLDT-TZMCWYRMSA-N 0 2 307.350 0.941 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@H+]2CCO[C@@H](c3cnn(C)c3)C2)O1 ZINC000833581007 605351713 /nfs/dbraw/zinc/35/17/13/605351713.db2.gz UUXINIOVKSFLDT-TZMCWYRMSA-N 0 2 307.350 0.941 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CCSC[C@@H]1CO)C(=O)[O-] ZINC000833476583 605384822 /nfs/dbraw/zinc/38/48/22/605384822.db2.gz CVKMUJBENCAXFP-WDEREUQCSA-N 0 2 304.412 0.012 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CCSC[C@@H]1CO)C(=O)[O-] ZINC000833476583 605384828 /nfs/dbraw/zinc/38/48/28/605384828.db2.gz CVKMUJBENCAXFP-WDEREUQCSA-N 0 2 304.412 0.012 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCSC[C@H]1CO)C(=O)[O-] ZINC000833638932 605385223 /nfs/dbraw/zinc/38/52/23/605385223.db2.gz LJRNDPWGGZAJDL-UMNHJUIQSA-N 0 2 304.412 0.012 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCSC[C@H]1CO)C(=O)[O-] ZINC000833638932 605385231 /nfs/dbraw/zinc/38/52/31/605385231.db2.gz LJRNDPWGGZAJDL-UMNHJUIQSA-N 0 2 304.412 0.012 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2ccc(F)cc2)[C@H](CNC(=O)[O-])C1 ZINC000828506444 605452784 /nfs/dbraw/zinc/45/27/84/605452784.db2.gz IIQGACILGVWKFP-CYBMUJFWSA-N 0 2 324.356 0.919 20 0 DCADLN C[N@H+]1CCN(C(=O)NCc2ccc(F)cc2)[C@H](CNC(=O)[O-])C1 ZINC000828506444 605452788 /nfs/dbraw/zinc/45/27/88/605452788.db2.gz IIQGACILGVWKFP-CYBMUJFWSA-N 0 2 324.356 0.919 20 0 DCADLN Cc1nc(NC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])oc1C ZINC000830770052 605454162 /nfs/dbraw/zinc/45/41/62/605454162.db2.gz OJYYHKBBBWUZBO-SNVBAGLBSA-N 0 2 311.342 0.707 20 0 DCADLN Cc1nc(NC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])oc1C ZINC000830770052 605454165 /nfs/dbraw/zinc/45/41/65/605454165.db2.gz OJYYHKBBBWUZBO-SNVBAGLBSA-N 0 2 311.342 0.707 20 0 DCADLN C[C@@H](NC(=O)N(C)CCN(C)C(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000833813097 605520983 /nfs/dbraw/zinc/52/09/83/605520983.db2.gz PEYQHJPHWGCQCL-NEPJUHHUSA-N 0 2 316.402 0.347 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000825815330 605524607 /nfs/dbraw/zinc/52/46/07/605524607.db2.gz BVWUCHLUVQZBLD-UTUOFQBUSA-N 0 2 314.386 0.147 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000825815330 605524610 /nfs/dbraw/zinc/52/46/10/605524610.db2.gz BVWUCHLUVQZBLD-UTUOFQBUSA-N 0 2 314.386 0.147 20 0 DCADLN O=C([O-])N1CC[C@H]([NH+]2CCN(C(=O)N3CCCCC3)CC2)C1 ZINC000834084767 605582304 /nfs/dbraw/zinc/58/23/04/605582304.db2.gz NUHNACZCOPNNPB-ZDUSSCGKSA-N 0 2 310.398 0.962 20 0 DCADLN O=C([O-])N1CC(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)C1 ZINC000834050116 605618992 /nfs/dbraw/zinc/61/89/92/605618992.db2.gz WNQDKNZNCNKOTC-UHFFFAOYSA-N 0 2 304.350 0.908 20 0 DCADLN O=C([O-])NC[C@H]1CCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000834218170 605787165 /nfs/dbraw/zinc/78/71/65/605787165.db2.gz GYNBNBDDAPKYBD-VXGBXAGGSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])c1cc(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)on1 ZINC000833777826 605879994 /nfs/dbraw/zinc/87/99/94/605879994.db2.gz GTNURSBCCJETDV-VIFPVBQESA-N 0 2 302.290 0.777 20 0 DCADLN O=C([O-])N[C@@H](CNc1cc(N2CCC[C@@H]2CO)nc[nH+]1)C1CC1 ZINC000834150806 605923769 /nfs/dbraw/zinc/92/37/69/605923769.db2.gz PDGLMFBGVLHNNC-NEPJUHHUSA-N 0 2 321.381 0.896 20 0 DCADLN O=C([O-])N[C@@H](CNc1cc(N2CCC[C@@H]2CO)[nH+]cn1)C1CC1 ZINC000834150806 605923771 /nfs/dbraw/zinc/92/37/71/605923771.db2.gz PDGLMFBGVLHNNC-NEPJUHHUSA-N 0 2 321.381 0.896 20 0 DCADLN O=C(CN(C(=O)[O-])C1CC1)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000834000052 605975070 /nfs/dbraw/zinc/97/50/70/605975070.db2.gz ULBVRIGCFLMOKZ-NSHDSACASA-N 0 2 304.350 0.919 20 0 DCADLN O=C([O-])N[C@@H]1CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834185229 605988957 /nfs/dbraw/zinc/98/89/57/605988957.db2.gz MCEWKQBXFFSKSR-NWDGAFQWSA-N 0 2 319.365 0.712 20 0 DCADLN COc1ncccc1Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC000821920217 606704503 /nfs/dbraw/zinc/70/45/03/606704503.db2.gz NYZMGFQYZGLRHW-UHFFFAOYSA-N 0 2 313.321 0.492 20 0 DCADLN O=C(Nc1ccc2[nH]nnc2c1)c1ccc(-c2nn[nH]n2)nc1 ZINC000823357654 606742649 /nfs/dbraw/zinc/74/26/49/606742649.db2.gz XBQXVKOWHOXFAE-UHFFFAOYSA-N 0 2 307.277 0.785 20 0 DCADLN CCC[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)s1)c1nn[nH]n1 ZINC000821023135 606912926 /nfs/dbraw/zinc/91/29/26/606912926.db2.gz XJNHETGCRDCOQP-ZCFIWIBFSA-N 0 2 319.354 0.713 20 0 DCADLN CCc1cnc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)nc2)o1 ZINC000825736260 609341037 /nfs/dbraw/zinc/34/10/37/609341037.db2.gz YFCZPQMCZFJCOL-UHFFFAOYSA-N 0 2 321.322 0.613 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC000978189439 660494572 /nfs/dbraw/zinc/49/45/72/660494572.db2.gz FRSICWMWLGFLMX-RQJHMYQMSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC000978189439 660494573 /nfs/dbraw/zinc/49/45/73/660494573.db2.gz FRSICWMWLGFLMX-RQJHMYQMSA-N 0 2 323.250 0.378 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[N@@H+](C2CC2)C[C@@H]1C ZINC000866953254 662662191 /nfs/dbraw/zinc/66/21/91/662662191.db2.gz IUAMBBWJLXSBBM-XUPINFNUSA-N 0 2 309.457 0.421 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[N@H+](C2CC2)C[C@@H]1C ZINC000866953254 662662192 /nfs/dbraw/zinc/66/21/92/662662192.db2.gz IUAMBBWJLXSBBM-XUPINFNUSA-N 0 2 309.457 0.421 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccsc1 ZINC001027743525 660688900 /nfs/dbraw/zinc/68/89/00/660688900.db2.gz KNMCUBZOMPFVFF-JTQLQIEISA-N 0 2 307.379 0.966 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccsc1 ZINC001027743525 660688903 /nfs/dbraw/zinc/68/89/03/660688903.db2.gz KNMCUBZOMPFVFF-JTQLQIEISA-N 0 2 307.379 0.966 20 0 DCADLN Cc1nscc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027834625 660813392 /nfs/dbraw/zinc/81/33/92/660813392.db2.gz RQFVHFBTISTXBS-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1nnc(C2CC2)[nH]1)c1cnn[nH]1 ZINC001027991697 661023191 /nfs/dbraw/zinc/02/31/91/661023191.db2.gz IYXZJNYRFDKHTL-SNVBAGLBSA-N 0 2 316.369 0.195 20 0 DCADLN CCc1noc([C@@H](C)[N@@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990014 661025007 /nfs/dbraw/zinc/02/50/07/661025007.db2.gz FZJARRREZCQXEL-ZJUUUORDSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1noc([C@@H](C)[N@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990014 661025008 /nfs/dbraw/zinc/02/50/08/661025008.db2.gz FZJARRREZCQXEL-ZJUUUORDSA-N 0 2 319.369 0.706 20 0 DCADLN Cn1nnnc1CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981206758 661101000 /nfs/dbraw/zinc/10/10/00/661101000.db2.gz GAUHJKBPWJLANG-VIFPVBQESA-N 0 2 324.282 0.145 20 0 DCADLN Cn1nnnc1CN1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000981206758 661101001 /nfs/dbraw/zinc/10/10/01/661101001.db2.gz GAUHJKBPWJLANG-VIFPVBQESA-N 0 2 324.282 0.145 20 0 DCADLN O=C(Cc1cncs1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981392996 661145329 /nfs/dbraw/zinc/14/53/29/661145329.db2.gz MXYKPDXVOZOBQA-UHFFFAOYSA-N 0 2 322.394 0.244 20 0 DCADLN O=C([C@H]1CCC1(F)F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981418082 661150013 /nfs/dbraw/zinc/15/00/13/661150013.db2.gz YCYKYTGSGLYVBP-SECBINFHSA-N 0 2 315.324 0.590 20 0 DCADLN C[C@@]1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C=CCC1 ZINC000981774361 661214596 /nfs/dbraw/zinc/21/45/96/661214596.db2.gz YRWYMKVBPWUFTM-OAHLLOKOSA-N 0 2 305.382 0.901 20 0 DCADLN O=C([C@@H]1CC[C@@H](F)C1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981829334 661226350 /nfs/dbraw/zinc/22/63/50/661226350.db2.gz AMRIQLMRGLSUTN-GHMZBOCLSA-N 0 2 311.361 0.683 20 0 DCADLN C[C@H]1CC[C@H](CC(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000981876642 661240015 /nfs/dbraw/zinc/24/00/15/661240015.db2.gz WAAUXHLXAPKMCP-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981914843 661246472 /nfs/dbraw/zinc/24/64/72/661246472.db2.gz BHQBDKSDHWYGCU-VXGBXAGGSA-N 0 2 307.398 0.981 20 0 DCADLN CNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCC[NH+]1C(C)C ZINC001028181681 661263773 /nfs/dbraw/zinc/26/37/73/661263773.db2.gz YAGTZWAKRRCDDE-LSDHHAIUSA-N 0 2 324.469 0.576 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000982000814 661270304 /nfs/dbraw/zinc/27/03/04/661270304.db2.gz XRUNYRWMMHIZEC-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001028213286 661282063 /nfs/dbraw/zinc/28/20/63/661282063.db2.gz VJSPHSUWMVVTNC-LBPRGKRZSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001028213286 661282065 /nfs/dbraw/zinc/28/20/65/661282065.db2.gz VJSPHSUWMVVTNC-LBPRGKRZSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1C[C@@H]1C(F)F ZINC001038220002 657570896 /nfs/dbraw/zinc/57/08/96/657570896.db2.gz OXCYLECSMDBPOQ-CSMHCCOUSA-N 0 2 301.297 0.102 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC000969379927 657787469 /nfs/dbraw/zinc/78/74/69/657787469.db2.gz UWYIBFJOLCMFIQ-SSDOTTSWSA-N 0 2 322.394 0.526 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)Nc2nc(C)n[nH]2)ccc1F ZINC000175858580 657809093 /nfs/dbraw/zinc/80/90/93/657809093.db2.gz CIXKCBHLKKRXFF-UHFFFAOYSA-N 0 2 313.314 0.413 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)C1=CCCC1 ZINC001023389970 657814570 /nfs/dbraw/zinc/81/45/70/657814570.db2.gz KTSRHQSRWNLCIG-BBBLOLIVSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O)C1=CCCC1 ZINC001023389970 657814580 /nfs/dbraw/zinc/81/45/80/657814580.db2.gz KTSRHQSRWNLCIG-BBBLOLIVSA-N 0 2 324.274 0.685 20 0 DCADLN Cc1cnc(C[NH2+][C@@H]2CCN(C(=O)C[NH+]3CCCC3)C2)nc1 ZINC000970718381 657827306 /nfs/dbraw/zinc/82/73/06/657827306.db2.gz TUMMHMPGXFPORA-CQSZACIVSA-N 0 2 303.410 0.571 20 0 DCADLN C[C@@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccc(N2CCCC2=O)cc1 ZINC000120635950 658240098 /nfs/dbraw/zinc/24/00/98/658240098.db2.gz GJHQRVSIYNPTOM-SECBINFHSA-N 0 2 315.333 0.716 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccoc1 ZINC000972807400 658436545 /nfs/dbraw/zinc/43/65/45/658436545.db2.gz AQFLCVPHNPKHQZ-JTQLQIEISA-N 0 2 305.338 0.744 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCOC1 ZINC001024350478 658583904 /nfs/dbraw/zinc/58/39/04/658583904.db2.gz OOIBVIGDLIMZDO-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCOC1 ZINC001024350478 658583908 /nfs/dbraw/zinc/58/39/08/658583908.db2.gz OOIBVIGDLIMZDO-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOC1 ZINC001024356499 658587501 /nfs/dbraw/zinc/58/75/01/658587501.db2.gz LAVZSFWXSUSDBN-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOC1 ZINC001024356499 658587506 /nfs/dbraw/zinc/58/75/06/658587506.db2.gz LAVZSFWXSUSDBN-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN O=S(=O)(Nc1nc2ncccn2n1)c1cccnc1Cl ZINC000739380993 658696003 /nfs/dbraw/zinc/69/60/03/658696003.db2.gz BNCTYTZXUBBFOK-UHFFFAOYSA-N 0 2 310.726 0.974 20 0 DCADLN Cc1nn[nH]c1C(=O)N(C)C1CC([NH2+]Cc2cscn2)C1 ZINC000999217219 665603332 /nfs/dbraw/zinc/60/33/32/665603332.db2.gz HBFZFUPHDOUEIJ-UHFFFAOYSA-N 0 2 306.395 0.962 20 0 DCADLN O=C(c1cc(C2CC2)on1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949529347 658731389 /nfs/dbraw/zinc/73/13/89/658731389.db2.gz TUQOAEQSFVFDDN-UHFFFAOYSA-N 0 2 318.337 0.334 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@H]([NH2+]Cc2ccnn2C)C1 ZINC000970227948 658757917 /nfs/dbraw/zinc/75/79/17/658757917.db2.gz OMQZSGMWXTVDSI-QWHCGFSZSA-N 0 2 316.409 0.713 20 0 DCADLN O=C(CC1CC1)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001022892694 658764374 /nfs/dbraw/zinc/76/43/74/658764374.db2.gz HCXLYVSXTAZIQW-MRTMQBJTSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(CC1CC1)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001022892694 658764380 /nfs/dbraw/zinc/76/43/80/658764380.db2.gz HCXLYVSXTAZIQW-MRTMQBJTSA-N 0 2 312.263 0.375 20 0 DCADLN CC(C)[N@H+]1CCCC[C@H]1C(=O)NC[C@H]1CCCC[NH+]1CC(N)=O ZINC001024692420 658773953 /nfs/dbraw/zinc/77/39/53/658773953.db2.gz KMYLKVKCLYNPMX-CABCVRRESA-N 0 2 324.469 0.705 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)c1ccc[nH]1 ZINC001023047604 658932294 /nfs/dbraw/zinc/93/22/94/658932294.db2.gz PCFAGYWESMGNNF-IWSPIJDZSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O)c1ccc[nH]1 ZINC001023047604 658932295 /nfs/dbraw/zinc/93/22/95/658932295.db2.gz PCFAGYWESMGNNF-IWSPIJDZSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)C1CC=CC1 ZINC001042263978 659027339 /nfs/dbraw/zinc/02/73/39/659027339.db2.gz ABELYHFFBWKYFP-VIFPVBQESA-N 0 2 324.274 0.543 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1)C1CC=CC1 ZINC001042263978 659027347 /nfs/dbraw/zinc/02/73/47/659027347.db2.gz ABELYHFFBWKYFP-VIFPVBQESA-N 0 2 324.274 0.543 20 0 DCADLN CC1=NO[C@@H](CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)C1 ZINC000872494390 667902897 /nfs/dbraw/zinc/90/28/97/667902897.db2.gz GZNGBROZKSYOHX-LZVRBXCZSA-N 0 2 311.429 0.882 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@H+](Cc3nncs3)C2)c1[O-] ZINC001028485069 661554606 /nfs/dbraw/zinc/55/46/06/661554606.db2.gz JNBWNZXQYHRDQB-VIFPVBQESA-N 0 2 322.394 0.527 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@@H+](Cc3nncs3)C2)c1[O-] ZINC001028485069 661554608 /nfs/dbraw/zinc/55/46/08/661554608.db2.gz JNBWNZXQYHRDQB-VIFPVBQESA-N 0 2 322.394 0.527 20 0 DCADLN Cc1noc(C[N@@H+]2CC[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)n1 ZINC001028485671 661554920 /nfs/dbraw/zinc/55/49/20/661554920.db2.gz UCVYOUYNEFSJFP-SNVBAGLBSA-N 0 2 320.353 0.367 20 0 DCADLN Cc1noc(C[N@H+]2CC[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)n1 ZINC001028485671 661554921 /nfs/dbraw/zinc/55/49/21/661554921.db2.gz UCVYOUYNEFSJFP-SNVBAGLBSA-N 0 2 320.353 0.367 20 0 DCADLN CCO[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1CNC(C)=O ZINC000982981662 661732637 /nfs/dbraw/zinc/73/26/37/661732637.db2.gz OAGRDSMTHGPDCV-BBBLOLIVSA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1CNC(C)=O ZINC000982981662 661732638 /nfs/dbraw/zinc/73/26/38/661732638.db2.gz OAGRDSMTHGPDCV-BBBLOLIVSA-N 0 2 314.279 0.886 20 0 DCADLN CC[C@](C)(CC(=O)OC)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000748599804 662016353 /nfs/dbraw/zinc/01/63/53/662016353.db2.gz WYWRJMBTPUHGIJ-JVXZTZIISA-N 0 2 301.368 0.391 20 0 DCADLN COCCONC(=O)CSc1nnnn1C1CCCC1 ZINC000748770948 662027695 /nfs/dbraw/zinc/02/76/95/662027695.db2.gz VZSDLGMWMCQBBH-UHFFFAOYSA-N 0 2 301.372 0.575 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029227040 662057423 /nfs/dbraw/zinc/05/74/23/662057423.db2.gz SAWAXPPMWCJLOZ-WRWGMCAJSA-N 0 2 321.381 0.111 20 0 DCADLN CC(=O)NCc1cc(=O)[nH]c(CNC(=O)c2[nH]nc(C)c2C)n1 ZINC000984125448 662122108 /nfs/dbraw/zinc/12/21/08/662122108.db2.gz NGDIYYVTBOSVPT-UHFFFAOYSA-N 0 2 318.337 0.088 20 0 DCADLN Cc1ccsc1[C@@H](CO)NS(=O)(=O)N=S(C)(C)=O ZINC000866923302 662439595 /nfs/dbraw/zinc/43/95/95/662439595.db2.gz JCSSRRJZQPFPPD-MRVPVSSYSA-N 0 2 312.438 0.652 20 0 DCADLN COc1ccnc(CNS(=O)(=O)N=S(C)(C)=O)c1F ZINC000867517715 662449546 /nfs/dbraw/zinc/44/95/46/662449546.db2.gz SXLZVRRHDBIZHJ-UHFFFAOYSA-N 0 2 311.360 0.291 20 0 DCADLN COC[C@@H](NC(=O)c1ccc(Cn2cc[nH+]c2)cc1)C(=O)[O-] ZINC000909656891 662518503 /nfs/dbraw/zinc/51/85/03/662518503.db2.gz FAXBTJUFRRKXTG-CYBMUJFWSA-N 0 2 303.318 0.761 20 0 DCADLN COC[C@H](NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC000909657869 662523649 /nfs/dbraw/zinc/52/36/49/662523649.db2.gz SSYAANBNSYDZSZ-ZDUSSCGKSA-N 0 2 303.318 0.631 20 0 DCADLN C[C@H]1[C@@H]([NH2+]Cc2ccn(C)n2)CCN1C(=O)CCc1nc[nH]n1 ZINC000986118677 662557721 /nfs/dbraw/zinc/55/77/21/662557721.db2.gz VJMPYNXZDBMZLY-AAEUAGOBSA-N 0 2 317.397 0.250 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([NH2+]Cc3ccon3)[C@H]2C)c1[O-] ZINC000986155712 662562139 /nfs/dbraw/zinc/56/21/39/662562139.db2.gz CKIZPSCVHACTOX-KOLCDFICSA-N 0 2 305.338 0.805 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC000988631940 662808001 /nfs/dbraw/zinc/80/80/01/662808001.db2.gz IXNBMWNTCNFJEO-XCBNKYQSSA-N 0 2 319.369 0.243 20 0 DCADLN C[C@H]1C[C@H]([NH2+]Cc2cnn(C)c2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC000988754286 662853982 /nfs/dbraw/zinc/85/39/82/662853982.db2.gz ZJAZLWSZCGGSDW-JSGCOSHPSA-N 0 2 316.409 0.855 20 0 DCADLN CC(C)(F)C(=O)N[C@]12CCC[C@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC000989901276 662986647 /nfs/dbraw/zinc/98/66/47/662986647.db2.gz YBSWBKPHSIFZRV-OTYXRUKQSA-N 0 2 311.361 0.872 20 0 DCADLN CC(C)(F)C(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC000989901276 662986648 /nfs/dbraw/zinc/98/66/48/662986648.db2.gz YBSWBKPHSIFZRV-OTYXRUKQSA-N 0 2 311.361 0.872 20 0 DCADLN C[C@H]1[C@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CC[N@@H+]1C ZINC000925333151 663040538 /nfs/dbraw/zinc/04/05/38/663040538.db2.gz AQRYDVITFQCJJD-SOUVJXGZSA-N 0 2 310.442 0.585 20 0 DCADLN CC(C)=CC[NH+]1CC(N(C)C(=O)[C@H]2C[N@@H+]3CCC[C@@H]3CO2)C1 ZINC001042756862 663077028 /nfs/dbraw/zinc/07/70/28/663077028.db2.gz UVRQIYOMWHJLMX-GDBMZVCRSA-N 0 2 307.438 0.958 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2nc3cccnc3s2)C(=O)N1C ZINC000899035387 663119942 /nfs/dbraw/zinc/11/99/42/663119942.db2.gz LLTJGTOSFYWWPF-LURJTMIESA-N 0 2 305.319 0.619 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCCCO1 ZINC000990857070 663265819 /nfs/dbraw/zinc/26/58/19/663265819.db2.gz PJKMHLGQFVJTOA-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCCCO1 ZINC000990857070 663265820 /nfs/dbraw/zinc/26/58/20/663265820.db2.gz PJKMHLGQFVJTOA-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1cn(C)nc1Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000900652086 663266537 /nfs/dbraw/zinc/26/65/37/663266537.db2.gz ICBWSRRMRBWXMB-UHFFFAOYSA-N 0 2 302.260 0.547 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC000901668102 663361520 /nfs/dbraw/zinc/36/15/20/663361520.db2.gz WZYRRQLOFCFARF-HFAKWTLXSA-N 0 2 307.350 0.616 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)C2(C(=O)[O-])C(C)(C)C2(C)C)CCO1 ZINC000901923011 663372641 /nfs/dbraw/zinc/37/26/41/663372641.db2.gz ZPNBIKKSVFQYGF-LLVKDONJSA-N 0 2 312.410 0.960 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)C2(C(=O)[O-])C(C)(C)C2(C)C)CCO1 ZINC000901923011 663372643 /nfs/dbraw/zinc/37/26/43/663372643.db2.gz ZPNBIKKSVFQYGF-LLVKDONJSA-N 0 2 312.410 0.960 20 0 DCADLN C[C@@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)n1cncn1 ZINC000990921601 663374631 /nfs/dbraw/zinc/37/46/31/663374631.db2.gz WVQYCAREFVBZFV-XPUUQOCRSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@@H](C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)n1cncn1 ZINC000990921601 663374633 /nfs/dbraw/zinc/37/46/33/663374633.db2.gz WVQYCAREFVBZFV-XPUUQOCRSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC000901968564 663376295 /nfs/dbraw/zinc/37/62/95/663376295.db2.gz WGUWKZDNCRMLCO-JOAKQRRISA-N 0 2 308.334 0.828 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC000990965375 663395822 /nfs/dbraw/zinc/39/58/22/663395822.db2.gz MRXJBXBECDKSJR-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cncnc1 ZINC000990965375 663395823 /nfs/dbraw/zinc/39/58/23/663395823.db2.gz MRXJBXBECDKSJR-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C(=O)[O-])nc1 ZINC000902667469 663426866 /nfs/dbraw/zinc/42/68/66/663426866.db2.gz JVCUEYIVTCWWEI-LBPRGKRZSA-N 0 2 307.350 0.620 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C(=O)[O-])nc1 ZINC000902667469 663426867 /nfs/dbraw/zinc/42/68/67/663426867.db2.gz JVCUEYIVTCWWEI-LBPRGKRZSA-N 0 2 307.350 0.620 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2nccc(COC)n2)nc1C ZINC000903675124 663473797 /nfs/dbraw/zinc/47/37/97/663473797.db2.gz SNDDHHBJHQXHJL-UHFFFAOYSA-N 0 2 311.367 0.949 20 0 DCADLN CS(=O)(=O)CCC[N@@H+]1CCOc2ccccc2[C@H]1C(=O)[O-] ZINC000903928774 663496015 /nfs/dbraw/zinc/49/60/15/663496015.db2.gz QUDUMDQJJPETQS-ZDUSSCGKSA-N 0 2 313.375 0.941 20 0 DCADLN CS(=O)(=O)CCC[N@H+]1CCOc2ccccc2[C@H]1C(=O)[O-] ZINC000903928774 663496016 /nfs/dbraw/zinc/49/60/16/663496016.db2.gz QUDUMDQJJPETQS-ZDUSSCGKSA-N 0 2 313.375 0.941 20 0 DCADLN CCS(=O)(=O)CC[N@@H+]1CCOc2ccccc2[C@H]1C(=O)[O-] ZINC000903927196 663496064 /nfs/dbraw/zinc/49/60/64/663496064.db2.gz ILAQCKRLSNTFEQ-ZDUSSCGKSA-N 0 2 313.375 0.941 20 0 DCADLN CCS(=O)(=O)CC[N@H+]1CCOc2ccccc2[C@H]1C(=O)[O-] ZINC000903927196 663496065 /nfs/dbraw/zinc/49/60/65/663496065.db2.gz ILAQCKRLSNTFEQ-ZDUSSCGKSA-N 0 2 313.375 0.941 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)Nc1ccn(CCF)n1 ZINC000905108353 663531585 /nfs/dbraw/zinc/53/15/85/663531585.db2.gz PUTCESJLZSXSAR-UHFFFAOYSA-N 0 2 310.376 0.771 20 0 DCADLN Cc1cnc2c(cnn2CC(=O)NCCc2n[nH]c(=S)o2)c1 ZINC000906551901 663621630 /nfs/dbraw/zinc/62/16/30/663621630.db2.gz GMBREJZYRPJWCM-UHFFFAOYSA-N 0 2 318.362 0.770 20 0 DCADLN O=C(CN1CSCCSC1)NCCc1n[nH]c(=S)o1 ZINC000907462790 663698982 /nfs/dbraw/zinc/69/89/82/663698982.db2.gz ZNAJYQPSUBFTPZ-UHFFFAOYSA-N 0 2 320.465 0.714 20 0 DCADLN CC[C@H](C(=O)N(CCOC)CC(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000908848874 663772146 /nfs/dbraw/zinc/77/21/46/663772146.db2.gz IMOUDFLBTJSVJV-CHWSQXEVSA-N 0 2 316.398 0.435 20 0 DCADLN CC[C@H](C(=O)N(CCOC)CC(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000908848874 663772147 /nfs/dbraw/zinc/77/21/47/663772147.db2.gz IMOUDFLBTJSVJV-CHWSQXEVSA-N 0 2 316.398 0.435 20 0 DCADLN CN(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(C)(C)C(=O)[O-] ZINC000908915236 663774350 /nfs/dbraw/zinc/77/43/50/663774350.db2.gz UEULHKVPNXKHCL-UHFFFAOYSA-N 0 2 301.387 0.602 20 0 DCADLN CN(C(=O)NCC[N@H+]1CCOC(C)(C)C1)C(C)(C)C(=O)[O-] ZINC000908915236 663774351 /nfs/dbraw/zinc/77/43/51/663774351.db2.gz UEULHKVPNXKHCL-UHFFFAOYSA-N 0 2 301.387 0.602 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCSC[C@H]1CC(=O)[O-] ZINC000909010734 663777598 /nfs/dbraw/zinc/77/75/98/663777598.db2.gz JVDTYTOTDSHJKD-GMTAPVOTSA-N 0 2 309.391 0.942 20 0 DCADLN Cc1nnc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)o1 ZINC000991181345 663790734 /nfs/dbraw/zinc/79/07/34/663790734.db2.gz CUDWUQXPZUWAHA-VIFPVBQESA-N 0 2 324.234 0.148 20 0 DCADLN Cc1nnc(CC(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)o1 ZINC000991181345 663790735 /nfs/dbraw/zinc/79/07/35/663790735.db2.gz CUDWUQXPZUWAHA-VIFPVBQESA-N 0 2 324.234 0.148 20 0 DCADLN CC(C)C[C@H](CNC(=O)C(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000909287210 663791601 /nfs/dbraw/zinc/79/16/01/663791601.db2.gz SKNJUOHRMCSWFK-GFCCVEGCSA-N 0 2 300.399 0.960 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000909709806 663795823 /nfs/dbraw/zinc/79/58/23/663795823.db2.gz ALMVWLDGFXBCDP-MLGOLLRUSA-N 0 2 321.377 0.785 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)[C@@H]2CCn3cc[nH+]c3C2)CCCOC1 ZINC000909691341 663796770 /nfs/dbraw/zinc/79/67/70/663796770.db2.gz GTRRDAJCZAQHEU-IAQYHMDHSA-N 0 2 307.350 0.586 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)NCCc1nc(C(=O)[O-])cs1 ZINC000909800345 663803058 /nfs/dbraw/zinc/80/30/58/663803058.db2.gz TXIACCOPYZDMRA-UHFFFAOYSA-N 0 2 308.363 0.866 20 0 DCADLN COC1(C[C@H](NC(=O)[C@H]2CCn3c[nH+]cc3C2)C(=O)[O-])CCC1 ZINC000909895240 663818182 /nfs/dbraw/zinc/81/81/82/663818182.db2.gz XJZUIABGZSEMTI-AAEUAGOBSA-N 0 2 321.377 0.974 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000909936390 663821931 /nfs/dbraw/zinc/82/19/31/663821931.db2.gz DUVSMYZASMTISE-ABAIWWIYSA-N 0 2 307.350 0.538 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1NC(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000909944234 663823473 /nfs/dbraw/zinc/82/34/73/663823473.db2.gz JEUCVELVBVDAOA-UONOGXRCSA-N 0 2 315.329 0.903 20 0 DCADLN CC1(O)CCN(c2cc(N3CC[C@@H](C(=O)[O-])C3)[nH+]cn2)CC1 ZINC000910405921 663877728 /nfs/dbraw/zinc/87/77/28/663877728.db2.gz IUVFPCSYKQRRHY-LLVKDONJSA-N 0 2 306.366 0.739 20 0 DCADLN CC1(O)CCN(c2cc(N3CC[C@@H](C(=O)[O-])C3)nc[nH+]2)CC1 ZINC000910405921 663877730 /nfs/dbraw/zinc/87/77/30/663877730.db2.gz IUVFPCSYKQRRHY-LLVKDONJSA-N 0 2 306.366 0.739 20 0 DCADLN CC1(O)CCN(c2cc(N3CC[C@@](F)(C(=O)[O-])C3)nc[nH+]2)CC1 ZINC000910449878 663884742 /nfs/dbraw/zinc/88/47/42/663884742.db2.gz AZHBPIKFYUQEIN-HNNXBMFYSA-N 0 2 324.356 0.831 20 0 DCADLN CC1(O)CCN(c2cc(N3CC[C@@](F)(C(=O)[O-])C3)[nH+]cn2)CC1 ZINC000910449878 663884746 /nfs/dbraw/zinc/88/47/46/663884746.db2.gz AZHBPIKFYUQEIN-HNNXBMFYSA-N 0 2 324.356 0.831 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(F)(F)F ZINC000911157605 663994383 /nfs/dbraw/zinc/99/43/83/663994383.db2.gz KDEQZEZJZGJCBY-SFYZADRCSA-N 0 2 305.256 0.967 20 0 DCADLN CCC[C@@](C)(O)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911169473 664000748 /nfs/dbraw/zinc/00/07/48/664000748.db2.gz IBZKUDVJZBWMSS-MRXNPFEDSA-N 0 2 321.377 0.980 20 0 DCADLN C[C@@H]1OCC[C@@H]1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171416 664001290 /nfs/dbraw/zinc/00/12/90/664001290.db2.gz FHILRWRZMPGXDK-AAEUAGOBSA-N 0 2 319.361 0.853 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)CCOCC1 ZINC000911335366 664030198 /nfs/dbraw/zinc/03/01/98/664030198.db2.gz ZQAUZHDYZNYHNL-GFCCVEGCSA-N 0 2 307.350 0.928 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)Nc1ncccc1F ZINC000912557452 664188106 /nfs/dbraw/zinc/18/81/06/664188106.db2.gz AIDVMIZONYHNCM-UHFFFAOYSA-N 0 2 300.271 0.531 20 0 DCADLN CN=[S@@](C)(=O)CCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000912787605 664227745 /nfs/dbraw/zinc/22/77/45/664227745.db2.gz BXQRIZVAFKENAL-FQEVSTJZSA-N 0 2 317.333 0.287 20 0 DCADLN CC[C@H]1CCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950099106 664492965 /nfs/dbraw/zinc/49/29/65/664492965.db2.gz GOSAZQLQAONWMW-RYUDHWBXSA-N 0 2 307.398 0.981 20 0 DCADLN CO[C@@H]1CCC[C@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030417265 664537055 /nfs/dbraw/zinc/53/70/55/664537055.db2.gz MZKUJCBFNDGSDP-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H]1CCC[C@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030417266 664537071 /nfs/dbraw/zinc/53/70/71/664537071.db2.gz MZKUJCBFNDGSDP-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)s1 ZINC001030509623 664572699 /nfs/dbraw/zinc/57/26/99/664572699.db2.gz TXTDSYNXBBPVJU-UHFFFAOYSA-N 0 2 308.367 0.198 20 0 DCADLN CCc1cccnc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030581857 664592242 /nfs/dbraw/zinc/59/22/42/664592242.db2.gz CUYXOCPGPKYCHD-UHFFFAOYSA-N 0 2 302.338 0.082 20 0 DCADLN Cc1ccccc1C[NH+]1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001030616993 664605935 /nfs/dbraw/zinc/60/59/35/664605935.db2.gz ROVJVZXZHXQMPL-UHFFFAOYSA-N 0 2 315.333 0.205 20 0 DCADLN CCc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001030627799 664611257 /nfs/dbraw/zinc/61/12/57/664611257.db2.gz FNJHDEXBLDIGTA-UHFFFAOYSA-N 0 2 307.379 0.748 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCCC1(F)F ZINC001030741237 664651855 /nfs/dbraw/zinc/65/18/55/664651855.db2.gz VIHDKCROTQTGIV-VIFPVBQESA-N 0 2 315.324 0.636 20 0 DCADLN C[C@H](C(N)=O)[N@@H+]1CC[C@@]2(NC(=O)CN3CCCC3)CCC[C@H]12 ZINC000992484012 664725620 /nfs/dbraw/zinc/72/56/20/664725620.db2.gz GYBSHGOTCFHSLU-WWGRRREGSA-N 0 2 308.426 0.069 20 0 DCADLN O=C(NCCn1cc[nH+]c1)NC[C@@H]1CC[N@@H+]1C1CCOCC1 ZINC000913900396 664731934 /nfs/dbraw/zinc/73/19/34/664731934.db2.gz UKWNNGLXLKGCAY-AWEZNQCLSA-N 0 2 307.398 0.436 20 0 DCADLN COc1ccc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1 ZINC000730514096 664783588 /nfs/dbraw/zinc/78/35/88/664783588.db2.gz AEZTWRLCOJGFKN-UHFFFAOYSA-N 0 2 313.317 0.396 20 0 DCADLN Cc1ccc([C@H](C)C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001031068617 664783953 /nfs/dbraw/zinc/78/39/53/664783953.db2.gz LWXPIEFCUKIMEO-VIFPVBQESA-N 0 2 305.338 0.516 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCSC(F)(F)F ZINC000730529431 664785378 /nfs/dbraw/zinc/78/53/78/664785378.db2.gz VLJDGPIFLGYTDY-UHFFFAOYSA-N 0 2 321.284 0.440 20 0 DCADLN C[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC(C)(C)O1 ZINC000730534383 664789980 /nfs/dbraw/zinc/78/99/80/664789980.db2.gz HJDUXNUQPAHHPW-VIFPVBQESA-N 0 2 305.338 0.097 20 0 DCADLN C[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1cccc(O)c1 ZINC000730588863 664795671 /nfs/dbraw/zinc/79/56/71/664795671.db2.gz JCUPTWGPHSPPOK-VIFPVBQESA-N 0 2 313.317 0.654 20 0 DCADLN CC[C@H]1CO[C@@H](C)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000731962331 664895071 /nfs/dbraw/zinc/89/50/71/664895071.db2.gz JMBOJBOXHKVWDZ-UWVGGRQHSA-N 0 2 305.338 0.097 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cncn2C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993572935 664980255 /nfs/dbraw/zinc/98/02/55/664980255.db2.gz SAQYOTZHVOIKQJ-ZJUUUORDSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2cnoc2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993738453 664997502 /nfs/dbraw/zinc/99/75/02/664997502.db2.gz FBEBNJJRRAJUBG-ONGXEEELSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2cnoc2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993738453 664997504 /nfs/dbraw/zinc/99/75/04/664997504.db2.gz FBEBNJJRRAJUBG-ONGXEEELSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2nccs2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994064373 665034323 /nfs/dbraw/zinc/03/43/23/665034323.db2.gz VHJKSFLWNFOQDO-IUCAKERBSA-N 0 2 322.394 0.750 20 0 DCADLN CC(C)c1ncncc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031399989 665135041 /nfs/dbraw/zinc/13/50/41/665135041.db2.gz NKIXLLZTXWQACX-UHFFFAOYSA-N 0 2 317.353 0.038 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C12CCC(CC1)CC2 ZINC001031421519 665142728 /nfs/dbraw/zinc/14/27/28/665142728.db2.gz RNBVJVBMXZUPGN-UHFFFAOYSA-N 0 2 305.382 0.781 20 0 DCADLN Cn1ncc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000941562091 666022805 /nfs/dbraw/zinc/02/28/05/666022805.db2.gz DLSXBWPLLNSHDK-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000941562091 666022806 /nfs/dbraw/zinc/02/28/06/666022806.db2.gz DLSXBWPLLNSHDK-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1cnoc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001333710 666036425 /nfs/dbraw/zinc/03/64/25/666036425.db2.gz HFCIXGGCTCPBTN-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN Cc1cnoc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001333710 666036426 /nfs/dbraw/zinc/03/64/26/666036426.db2.gz HFCIXGGCTCPBTN-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnco1 ZINC000941770898 666056627 /nfs/dbraw/zinc/05/66/27/666056627.db2.gz IIBOWIQMCCHTTC-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnco1 ZINC000941770898 666056628 /nfs/dbraw/zinc/05/66/28/666056628.db2.gz IIBOWIQMCCHTTC-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000941953826 666086074 /nfs/dbraw/zinc/08/60/74/666086074.db2.gz NPWZXTLGPNMODF-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000941953826 666086077 /nfs/dbraw/zinc/08/60/77/666086077.db2.gz NPWZXTLGPNMODF-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCCO1 ZINC001002614481 666198604 /nfs/dbraw/zinc/19/86/04/666198604.db2.gz FNGVJUAIQOWOAH-LLVKDONJSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@]12C[C@H]1CCCC2 ZINC001032831233 666238793 /nfs/dbraw/zinc/23/87/93/666238793.db2.gz XZJAPCMYEAXXEV-JRPNMDOOSA-N 0 2 305.382 0.781 20 0 DCADLN CO[C@H]1CC[C@@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001003192784 666283629 /nfs/dbraw/zinc/28/36/29/666283629.db2.gz JZXDJFBIFQZYEV-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1nc(C)c(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001032104422 666320333 /nfs/dbraw/zinc/32/03/33/666320333.db2.gz LCJWYRHFMVBJEB-UHFFFAOYSA-N 0 2 320.353 0.231 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC[C@H](F)C1 ZINC001003510143 666320384 /nfs/dbraw/zinc/32/03/84/666320384.db2.gz QFMKTWOIHPLFHH-UWVGGRQHSA-N 0 2 311.361 0.729 20 0 DCADLN C[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccco1 ZINC001003610745 666334215 /nfs/dbraw/zinc/33/42/15/666334215.db2.gz QNCBWPBCPGOQII-JTQLQIEISA-N 0 2 319.365 0.988 20 0 DCADLN CN(C(=O)c1ccsc1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032893163 666376430 /nfs/dbraw/zinc/37/64/30/666376430.db2.gz XYJUNKYXSDBYBN-JTQLQIEISA-N 0 2 307.379 0.918 20 0 DCADLN Cc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1C ZINC001032176640 666381080 /nfs/dbraw/zinc/38/10/80/666381080.db2.gz NJDNOCHMZLVSMT-UHFFFAOYSA-N 0 2 318.381 0.327 20 0 DCADLN Cc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001032167376 666381344 /nfs/dbraw/zinc/38/13/44/666381344.db2.gz VAQFMEFZMDDDPU-UHFFFAOYSA-N 0 2 315.377 0.989 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCO1 ZINC001003850401 666383575 /nfs/dbraw/zinc/38/35/75/666383575.db2.gz FSHKYMBGKZWNAG-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cc(C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)no1 ZINC001005077156 666658825 /nfs/dbraw/zinc/65/88/25/666658825.db2.gz XQSOQXRWYVOFEJ-UHFFFAOYSA-N 0 2 320.353 0.543 20 0 DCADLN CC(C)[NH+]1CCO[C@H](C(=O)N(C)[C@H]2CC[N@@H+](CCF)C2)C1 ZINC001033358079 666691214 /nfs/dbraw/zinc/69/12/14/666691214.db2.gz NNAGXCHEOYBQPL-KBPBESRZSA-N 0 2 301.406 0.598 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)N(C)[C@@H]2CC[N@@H+](CC(=O)N3CCC3)C2)C1 ZINC001033421496 666711445 /nfs/dbraw/zinc/71/14/45/666711445.db2.gz YOVUGRDHIRZOES-LSDHHAIUSA-N 0 2 322.453 0.093 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cc(F)c[nH]1 ZINC000996241032 666757980 /nfs/dbraw/zinc/75/79/80/666757980.db2.gz DZZNWMXXKBDMSL-QMMMGPOBSA-N 0 2 311.210 0.995 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cc(F)c[nH]1 ZINC000996241032 666757982 /nfs/dbraw/zinc/75/79/82/666757982.db2.gz DZZNWMXXKBDMSL-QMMMGPOBSA-N 0 2 311.210 0.995 20 0 DCADLN CCN(C(=O)c1ncoc1C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033769065 666825761 /nfs/dbraw/zinc/82/57/61/666825761.db2.gz ORHXSEWQQXPPHI-SNVBAGLBSA-N 0 2 320.353 0.543 20 0 DCADLN CCN(C(=O)[C@H]1CCCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033778981 666828528 /nfs/dbraw/zinc/82/85/28/666828528.db2.gz MYCNOXOYIQJMAY-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)[C@H]1CCCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033778981 666828529 /nfs/dbraw/zinc/82/85/29/666828529.db2.gz MYCNOXOYIQJMAY-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(C)C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033800374 666829596 /nfs/dbraw/zinc/82/95/96/666829596.db2.gz UXMWDHBBBJNQNO-QWRGUYRKSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(C)C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033800374 666829599 /nfs/dbraw/zinc/82/95/99/666829599.db2.gz UXMWDHBBBJNQNO-QWRGUYRKSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@H]1CC1(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033824884 666835906 /nfs/dbraw/zinc/83/59/06/666835906.db2.gz GAGQWLIRJPNGHG-RKDXNWHRSA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)[C@H]1CC1(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033824884 666835908 /nfs/dbraw/zinc/83/59/08/666835908.db2.gz GAGQWLIRJPNGHG-RKDXNWHRSA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)[C@H]1CC1(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033824884 666835909 /nfs/dbraw/zinc/83/59/09/666835909.db2.gz GAGQWLIRJPNGHG-RKDXNWHRSA-N 0 2 315.324 0.588 20 0 DCADLN O=C(CC1CCOCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032326163 666906384 /nfs/dbraw/zinc/90/63/84/666906384.db2.gz VTASSDJVZDMKKX-RYUDHWBXSA-N 0 2 321.381 0.112 20 0 DCADLN Cc1ccncc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032328775 666925982 /nfs/dbraw/zinc/92/59/82/666925982.db2.gz BCDFMCWWAPFTQF-QWRGUYRKSA-N 0 2 314.349 0.313 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2nn(C)c3ccccc32)C1=O ZINC000861447111 666928402 /nfs/dbraw/zinc/92/84/02/666928402.db2.gz SKTBIRGXGBBEHO-OAHLLOKOSA-N 0 2 315.333 0.939 20 0 DCADLN Cc1cscc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032338178 666964352 /nfs/dbraw/zinc/96/43/52/666964352.db2.gz PDTXTIQRPMBPBE-UWVGGRQHSA-N 0 2 319.390 0.979 20 0 DCADLN NC(=O)C[N@H+]1CCCC[C@@H](NC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001034281686 666975897 /nfs/dbraw/zinc/97/58/97/666975897.db2.gz DMVJAGVYRPDZQC-LLVKDONJSA-N 0 2 319.409 0.358 20 0 DCADLN C[C@@H]1SCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H]1C ZINC000735645816 667015417 /nfs/dbraw/zinc/01/54/17/667015417.db2.gz XQXDENPSUZZFJY-IUCAKERBSA-N 0 2 307.379 0.424 20 0 DCADLN C[C@@H]1[C@H](C)SCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000735645813 667015625 /nfs/dbraw/zinc/01/56/25/667015625.db2.gz XQXDENPSUZZFJY-BDAKNGLRSA-N 0 2 307.379 0.424 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cccnn1 ZINC001034455309 667035408 /nfs/dbraw/zinc/03/54/08/667035408.db2.gz KZCMOWAIBFXPNM-JTQLQIEISA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cccnn1 ZINC001034455309 667035410 /nfs/dbraw/zinc/03/54/10/667035410.db2.gz KZCMOWAIBFXPNM-JTQLQIEISA-N 0 2 317.353 0.085 20 0 DCADLN CNC(=O)NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC000862639030 667061146 /nfs/dbraw/zinc/06/11/46/667061146.db2.gz YBFVXWVITOTWLQ-RQJHMYQMSA-N 0 2 301.240 0.043 20 0 DCADLN CNC(=O)NC[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC000862639030 667061149 /nfs/dbraw/zinc/06/11/49/667061149.db2.gz YBFVXWVITOTWLQ-RQJHMYQMSA-N 0 2 301.240 0.043 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2CCCN2CC(F)F)S1 ZINC000862692954 667070482 /nfs/dbraw/zinc/07/04/82/667070482.db2.gz BIXXIQDXFBXGJE-YUMQZZPRSA-N 0 2 320.365 0.389 20 0 DCADLN CCc1nc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)co1 ZINC001032366811 667071281 /nfs/dbraw/zinc/07/12/81/667071281.db2.gz UPCZHPYKESYIMG-IUCAKERBSA-N 0 2 318.337 0.160 20 0 DCADLN CCOC(=O)C1=CC[C@@H](NC(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000862873304 667088919 /nfs/dbraw/zinc/08/89/19/667088919.db2.gz QSVAHCAWIIXYBE-RKDXNWHRSA-N 0 2 311.363 0.311 20 0 DCADLN O=C(CSc1n[n-]c(=S)s1)NCC[N@H+]1CC[C@@H](O)C1 ZINC000863322405 667129236 /nfs/dbraw/zinc/12/92/36/667129236.db2.gz UYCXOPDMMVFFBE-SSDOTTSWSA-N 0 2 320.465 0.102 20 0 DCADLN O=C(CSc1n[n-]c(=S)s1)NCC[N@@H+]1CC[C@@H](O)C1 ZINC000863322405 667129238 /nfs/dbraw/zinc/12/92/38/667129238.db2.gz UYCXOPDMMVFFBE-SSDOTTSWSA-N 0 2 320.465 0.102 20 0 DCADLN COCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001032405511 667179743 /nfs/dbraw/zinc/17/97/43/667179743.db2.gz GVWQZGFHLDMEOH-MELADBBJSA-N 0 2 304.394 0.761 20 0 DCADLN O=C(c1cc(Br)cc(F)c1O)N1CCNC(=O)C1 ZINC000864498163 667257483 /nfs/dbraw/zinc/25/74/83/667257483.db2.gz AIZDGAOJZHMIOG-UHFFFAOYSA-N 0 2 317.114 0.866 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)[C@@H]1CC=CCC1 ZINC001035294425 667284058 /nfs/dbraw/zinc/28/40/58/667284058.db2.gz SFWYMWALKIJHDR-VXGBXAGGSA-N 0 2 321.381 0.184 20 0 DCADLN COCC[N@@H+]1CCO[C@@H](CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001035427765 667331562 /nfs/dbraw/zinc/33/15/62/667331562.db2.gz RYBLBGHVHFHQKQ-LBPRGKRZSA-N 0 2 322.409 0.366 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)[C@]12C[C@H]1CCC2 ZINC001035455494 667340175 /nfs/dbraw/zinc/34/01/75/667340175.db2.gz JDNQYJGAASZHNB-UEKVPHQBSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)[C@H]1CC12CCC2 ZINC001035532273 667370608 /nfs/dbraw/zinc/37/06/08/667370608.db2.gz XBNIXDIWWQQPMP-WDEREUQCSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(c1ccoc1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035648412 667394012 /nfs/dbraw/zinc/39/40/12/667394012.db2.gz LVLUMMNFSWNPIM-UHFFFAOYSA-N 0 2 317.349 0.842 20 0 DCADLN O=C([C@@H]1CCCO1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035647683 667394033 /nfs/dbraw/zinc/39/40/33/667394033.db2.gz ZPADHRYKBRUTIL-NSHDSACASA-N 0 2 321.381 0.114 20 0 DCADLN O=C(CSCC(=O)N1CCOCC1)NOC1CCCC1 ZINC000135358212 667585607 /nfs/dbraw/zinc/58/56/07/667585607.db2.gz PUPKYGGQEVKXON-UHFFFAOYSA-N 0 2 302.396 0.569 20 0 DCADLN COC(=O)[C@@H](CF)NS(=O)(=O)C[C@H]1CCCC(C)(C)O1 ZINC000885189143 667650532 /nfs/dbraw/zinc/65/05/32/667650532.db2.gz LEMMOGNNDJIEAV-NXEZZACHSA-N 0 2 311.375 0.765 20 0 DCADLN Cc1cncn1CC(=O)OCC(=O)CCNC(=O)C(F)(F)F ZINC000869561467 667667317 /nfs/dbraw/zinc/66/73/17/667667317.db2.gz MSCIUPONCQGDCX-UHFFFAOYSA-N 0 2 321.255 0.372 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@@H](CN2CCOCC2)C1 ZINC000870063096 667703825 /nfs/dbraw/zinc/70/38/25/667703825.db2.gz TZWVBBVTYYAMLM-UWVGGRQHSA-N 0 2 314.279 0.446 20 0 DCADLN CCN(C)S(=O)(=O)[N-]C(=O)Cc1cn2cc(F)ccc2[nH+]1 ZINC000870214399 667720728 /nfs/dbraw/zinc/72/07/28/667720728.db2.gz MIAUGNQQLXMVKW-UHFFFAOYSA-N 0 2 314.342 0.329 20 0 DCADLN Cc1nc(C)c(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000742089530 667780569 /nfs/dbraw/zinc/78/05/69/667780569.db2.gz ZSTWGLMZPZHSKM-UHFFFAOYSA-N 0 2 318.362 0.461 20 0 DCADLN CNC(=O)c1cccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000742527406 667793179 /nfs/dbraw/zinc/79/31/79/667793179.db2.gz XODNLUUXCZWCOE-JTQLQIEISA-N 0 2 320.374 0.219 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3nccn3)nc2)S1 ZINC000742554544 667793858 /nfs/dbraw/zinc/79/38/58/667793858.db2.gz LVBJYMNSDCSYGV-QMMMGPOBSA-N 0 2 317.334 0.157 20 0 DCADLN Cn1c2ccc(NC(=O)CC3SC(=N)NC3=O)cc2oc1=O ZINC000742629490 667795800 /nfs/dbraw/zinc/79/58/00/667795800.db2.gz FAJWQJFTRGUBRZ-SECBINFHSA-N 0 2 320.330 0.626 20 0 DCADLN COC(=O)CCC(C)(C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000742669527 667796180 /nfs/dbraw/zinc/79/61/80/667796180.db2.gz OQQNCFBQIVKRMU-SSDOTTSWSA-N 0 2 301.368 0.391 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)Nc1ccccc1 ZINC000742661937 667796399 /nfs/dbraw/zinc/79/63/99/667796399.db2.gz AKFLJGIBEVOSRG-PSASIEDQSA-N 0 2 320.374 0.686 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](N3CCCC3=O)C2)S1 ZINC000742663279 667796470 /nfs/dbraw/zinc/79/64/70/667796470.db2.gz FKTPFHFWWQBIGC-NXEZZACHSA-N 0 2 324.406 0.156 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(C)(C)C ZINC000742681017 667796796 /nfs/dbraw/zinc/79/67/96/667796796.db2.gz IPWZCIUGKNEODJ-POYBYMJQSA-N 0 2 301.368 0.247 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCO[C@H](C(F)(F)F)C2)S1 ZINC000742693456 667797322 /nfs/dbraw/zinc/79/73/22/667797322.db2.gz BBUVDBYRCIZMKL-RITPCOANSA-N 0 2 311.285 0.333 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOC[C@H]2c2ccco2)S1 ZINC000742684991 667797324 /nfs/dbraw/zinc/79/73/24/667797324.db2.gz LEIZTYAADVWTIU-WPRPVWTQSA-N 0 2 309.347 0.736 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCOC[C@@H]2CCOC2)S1 ZINC000742699022 667797473 /nfs/dbraw/zinc/79/74/73/667797473.db2.gz XLZRHJFREWSIPR-UWVGGRQHSA-N 0 2 315.395 0.102 20 0 DCADLN COC(=O)CCN(CC(=O)[O-])c1cc(N2CCCC2)[nH+]cn1 ZINC000886919075 667819672 /nfs/dbraw/zinc/81/96/72/667819672.db2.gz AEOJXDWDKZVDAR-UHFFFAOYSA-N 0 2 308.338 0.531 20 0 DCADLN COC[C@@H](CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)OC ZINC000872471505 667900157 /nfs/dbraw/zinc/90/01/57/667900157.db2.gz NQDQMGMSPUMHKJ-DYBLOJMWSA-N 0 2 316.445 0.379 20 0 DCADLN COC[C@H](CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)OC ZINC000872471507 667900208 /nfs/dbraw/zinc/90/02/08/667900208.db2.gz NQDQMGMSPUMHKJ-NIVTXAMTSA-N 0 2 316.445 0.379 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H]1Cc1ccccc1 ZINC000748416569 667927978 /nfs/dbraw/zinc/92/79/78/667927978.db2.gz BKALWWTZBBCUSB-CYBMUJFWSA-N 0 2 323.356 0.915 20 0 DCADLN CC1(C)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000751417591 668000886 /nfs/dbraw/zinc/00/08/86/668000886.db2.gz DYXWYDRUIWUNKU-UHFFFAOYSA-N 0 2 307.379 0.425 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC1CCCC1 ZINC000752706260 668020993 /nfs/dbraw/zinc/02/09/93/668020993.db2.gz ITRPJENCIFLHMI-IONNQARKSA-N 0 2 313.379 0.533 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2COc3ccccc3[C@H]2O)S1 ZINC000752907695 668023398 /nfs/dbraw/zinc/02/33/98/668023398.db2.gz JLXQYKKNSHDQSD-UBHAPETDSA-N 0 2 321.358 0.154 20 0 DCADLN CC(C)[C@@H](O)C(C)(C)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000754135839 668041953 /nfs/dbraw/zinc/04/19/53/668041953.db2.gz BVCOIEULOPKDAY-GFCCVEGCSA-N 0 2 321.381 0.231 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]2COCC[C@H]21 ZINC000757026372 668110540 /nfs/dbraw/zinc/11/05/40/668110540.db2.gz VIKWMHKTGGTGLF-CMPLNLGQSA-N 0 2 317.349 0.099 20 0 DCADLN COC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1O ZINC000759708534 668232823 /nfs/dbraw/zinc/23/28/23/668232823.db2.gz MPHQWBXHGJCJGG-QMMMGPOBSA-N 0 2 323.330 0.674 20 0 DCADLN CNC(=O)C1(NC(=O)c2cc(F)c(O)c(F)c2)CCOCC1 ZINC000925215251 668284252 /nfs/dbraw/zinc/28/42/52/668284252.db2.gz BDGVNRJJLJPQBD-UHFFFAOYSA-N 0 2 314.288 0.695 20 0 DCADLN CCCC[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000760983117 668297021 /nfs/dbraw/zinc/29/70/21/668297021.db2.gz UPMXAILFCNCAMZ-HTQZYQBOSA-N 0 2 301.368 0.391 20 0 DCADLN CCCCCCNC(=O)CS(=O)(=O)c1n[nH]c(COC)n1 ZINC000761834712 668338021 /nfs/dbraw/zinc/33/80/21/668338021.db2.gz AZQQYPJEWWXNQI-UHFFFAOYSA-N 0 2 318.399 0.421 20 0 DCADLN Cc1ccccc1[C@@H](O)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000762443811 668361068 /nfs/dbraw/zinc/36/10/68/668361068.db2.gz NZUVEBBFZHQHJI-WDEREUQCSA-N 0 2 307.375 0.701 20 0 DCADLN CCC(CC)(CCO)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762775325 668376775 /nfs/dbraw/zinc/37/67/75/668376775.db2.gz DFUREQCBQBIIIH-VIFPVBQESA-N 0 2 301.412 0.848 20 0 DCADLN C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCN1S(C)(=O)=O ZINC000764646807 668457044 /nfs/dbraw/zinc/45/70/44/668457044.db2.gz FGNLFIGBGAKKKQ-BQBZGAKWSA-N 0 2 306.281 0.379 20 0 DCADLN C[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCN1S(C)(=O)=O ZINC000764646807 668457046 /nfs/dbraw/zinc/45/70/46/668457046.db2.gz FGNLFIGBGAKKKQ-BQBZGAKWSA-N 0 2 306.281 0.379 20 0 DCADLN CSC[C@@H]1CCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000768348357 668606138 /nfs/dbraw/zinc/60/61/38/668606138.db2.gz OMXWICSWTDHHKT-VIFPVBQESA-N 0 2 307.379 0.425 20 0 DCADLN CN(CCOC(C)(C)C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000768354548 668606281 /nfs/dbraw/zinc/60/62/81/668606281.db2.gz YIGKBJCKVWAZLZ-UHFFFAOYSA-N 0 2 307.354 0.345 20 0 DCADLN CO[C@@]1(CNC(=O)C(F)C(F)(F)F)CCS(=O)(=O)C1 ZINC000928775304 668623276 /nfs/dbraw/zinc/62/32/76/668623276.db2.gz WPMDXHLQHQKFCZ-POYBYMJQSA-N 0 2 307.265 0.207 20 0 DCADLN CO[C@@]1(CNC(=O)[C@H](F)C(F)(F)F)CCS(=O)(=O)C1 ZINC000928775304 668623278 /nfs/dbraw/zinc/62/32/78/668623278.db2.gz WPMDXHLQHQKFCZ-POYBYMJQSA-N 0 2 307.265 0.207 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](CO)c2ccccc2)S1 ZINC000769270656 668640980 /nfs/dbraw/zinc/64/09/80/668640980.db2.gz BJKXKZPWHJTLGB-GHMZBOCLSA-N 0 2 307.375 0.435 20 0 DCADLN CCOCCONC(=O)CSCC(=O)Nc1cc(C)on1 ZINC000772700937 668781395 /nfs/dbraw/zinc/78/13/95/668781395.db2.gz GXLIFPYGFOYRCV-UHFFFAOYSA-N 0 2 317.367 0.739 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCc3ccccc3[C@H]2CO)S1 ZINC000773501076 668805182 /nfs/dbraw/zinc/80/51/82/668805182.db2.gz MEUFJKMWDJKZSX-NEPJUHHUSA-N 0 2 319.386 0.661 20 0 DCADLN COC(=O)c1cncc(C(=O)Nn2cnc3ccccc3c2=O)c1 ZINC000774253711 668828094 /nfs/dbraw/zinc/82/80/94/668828094.db2.gz TZJXVSILZKAOOM-UHFFFAOYSA-N 0 2 324.296 0.962 20 0 DCADLN Cn1nc(CC(=O)OCc2n[nH]c(=O)[nH]2)c2ccccc2c1=O ZINC000774895738 668849966 /nfs/dbraw/zinc/84/99/66/668849966.db2.gz GPUJTHUNLBRQNS-UHFFFAOYSA-N 0 2 315.289 0.043 20 0 DCADLN COc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)ccc1OC(C)=O ZINC000774971832 668853097 /nfs/dbraw/zinc/85/30/97/668853097.db2.gz MQKSMRPFXMKORS-UHFFFAOYSA-N 0 2 307.262 0.801 20 0 DCADLN CS(=O)(=O)c1cc(C(=O)OCc2n[nH]c(=O)[nH]2)cs1 ZINC000774992959 668853733 /nfs/dbraw/zinc/85/37/33/668853733.db2.gz QNAHLVFYPSDCPC-UHFFFAOYSA-N 0 2 303.321 0.332 20 0 DCADLN CS(=O)(=O)NCCCNC(=O)c1cccc(Cl)c1O ZINC000775653976 668866435 /nfs/dbraw/zinc/86/64/35/668866435.db2.gz PTWPBNKJUHKXIK-UHFFFAOYSA-N 0 2 306.771 0.715 20 0 DCADLN CCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000778640120 668902184 /nfs/dbraw/zinc/90/21/84/668902184.db2.gz OZWXDPAURVKIEI-UTUOFQBUSA-N 0 2 306.428 0.586 20 0 DCADLN Cc1ccc([C@@H](O)C[NH+]2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC000878808803 668931278 /nfs/dbraw/zinc/93/12/78/668931278.db2.gz GZNKCYPBGHSATR-HNNXBMFYSA-N 0 2 301.394 0.964 20 0 DCADLN COCc1noc(CN2CC[C@@](C)(NC(=O)C(F)(F)F)C2)n1 ZINC000879763118 669103746 /nfs/dbraw/zinc/10/37/46/669103746.db2.gz ICJOHAPGFOUHEJ-LLVKDONJSA-N 0 2 322.287 0.859 20 0 DCADLN COc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(O)c1 ZINC000785424850 669255388 /nfs/dbraw/zinc/25/53/88/669255388.db2.gz DAZLPWFQKLRPKU-UHFFFAOYSA-N 0 2 315.289 0.424 20 0 DCADLN Cn1nccc1CCn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881339422 669326038 /nfs/dbraw/zinc/32/60/38/669326038.db2.gz ISNIYDZDIBXVPH-UHFFFAOYSA-N 0 2 302.260 0.433 20 0 DCADLN CC(C)[C@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccnn1C ZINC000788536478 669446023 /nfs/dbraw/zinc/44/60/23/669446023.db2.gz BQZRUOREKQGZMM-ONGXEEELSA-N 0 2 323.422 0.832 20 0 DCADLN CC(C)OC(=O)CC[C@@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000792601851 669675505 /nfs/dbraw/zinc/67/55/05/669675505.db2.gz JMKHMYQRBFOFIJ-RKDXNWHRSA-N 0 2 315.395 0.779 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1SC(=N)NC1=O)N1CCCCC1=O ZINC000793925910 669755813 /nfs/dbraw/zinc/75/58/13/669755813.db2.gz URKDNVLYHLOYJZ-RKDXNWHRSA-N 0 2 312.395 0.060 20 0 DCADLN CCN(C(=O)c1onc(C)c1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950589949 670068264 /nfs/dbraw/zinc/06/82/64/670068264.db2.gz PODOSJYRGQGPAO-UHFFFAOYSA-N 0 2 320.353 0.462 20 0 DCADLN CCN(C(=O)[C@@H]1CC[C@H](OC)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950663411 670088687 /nfs/dbraw/zinc/08/86/87/670088687.db2.gz RQEHYABIPPDMGG-PWSUYJOCSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(c1ncc(F)cc1F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948899657 670210769 /nfs/dbraw/zinc/21/07/69/670210769.db2.gz FCUDGOCTYOTTGM-UHFFFAOYSA-N 0 2 324.291 0.142 20 0 DCADLN Cn1ncc(C(=O)NCc2nnc(COc3ccccc3)[nH]2)n1 ZINC000803115382 670236173 /nfs/dbraw/zinc/23/61/73/670236173.db2.gz RQUBUIPXGJUGQR-UHFFFAOYSA-N 0 2 313.321 0.442 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951426114 670269559 /nfs/dbraw/zinc/26/95/59/670269559.db2.gz GRFOVQPAMUHZBI-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000951426114 670269563 /nfs/dbraw/zinc/26/95/63/670269563.db2.gz GRFOVQPAMUHZBI-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cnc2c(F)cc(F)cc2c1O ZINC000806318000 670307648 /nfs/dbraw/zinc/30/76/48/670307648.db2.gz PXYAMIMLRQMUHU-UHFFFAOYSA-N 0 2 322.227 0.003 20 0 DCADLN O=C([C@@H]1C[C@H]1C1CCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000951632406 670323211 /nfs/dbraw/zinc/32/32/11/670323211.db2.gz KJMURYJAUGYYHR-NWDGAFQWSA-N 0 2 305.382 0.591 20 0 DCADLN O=C([C@@H](O)c1ccccc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000808029734 670386503 /nfs/dbraw/zinc/38/65/03/670386503.db2.gz SMCIKGBLGUNBMT-RYUDHWBXSA-N 0 2 302.334 0.950 20 0 DCADLN CCN(C(=O)c1ccc(C)nc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949687780 670404692 /nfs/dbraw/zinc/40/46/92/670404692.db2.gz AVMJFBFYAPGMRB-UHFFFAOYSA-N 0 2 316.365 0.560 20 0 DCADLN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)C(F)C(F)(F)F ZINC000810461672 670485963 /nfs/dbraw/zinc/48/59/63/670485963.db2.gz CXCADODSHUASMF-GOZTUDAPSA-N 0 2 311.235 0.381 20 0 DCADLN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)[C@H](F)C(F)(F)F ZINC000810461672 670485970 /nfs/dbraw/zinc/48/59/70/670485970.db2.gz CXCADODSHUASMF-GOZTUDAPSA-N 0 2 311.235 0.381 20 0 DCADLN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)C(F)C(F)(F)F ZINC000810467863 670486135 /nfs/dbraw/zinc/48/61/35/670486135.db2.gz FYNCNLCTKDPLDQ-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)[C@H](F)C(F)(F)F ZINC000810467863 670486138 /nfs/dbraw/zinc/48/61/38/670486138.db2.gz FYNCNLCTKDPLDQ-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN COC(=O)CCCONC(=O)CSc1nncn1C(C)C ZINC000811557352 670535490 /nfs/dbraw/zinc/53/54/90/670535490.db2.gz BAEBOVFAKUPZTN-UHFFFAOYSA-N 0 2 316.383 0.952 20 0 DCADLN CC(C)OCCONC(=O)CSc1nnnn1C1CC1 ZINC000812803667 670597021 /nfs/dbraw/zinc/59/70/21/670597021.db2.gz RGFVQOPJOROCJG-UHFFFAOYSA-N 0 2 301.372 0.573 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c1nccc(N)n1 ZINC000854762337 670624205 /nfs/dbraw/zinc/62/42/05/670624205.db2.gz LTXAWWGFQFXBSY-ZCFIWIBFSA-N 0 2 319.287 0.693 20 0 DCADLN CCOC(=O)Nc1scnc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000813470447 670629257 /nfs/dbraw/zinc/62/92/57/670629257.db2.gz IJDIURZGVAWCRJ-UHFFFAOYSA-N 0 2 312.311 0.465 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@@H](O)c1ccccc1 ZINC000813848179 670656415 /nfs/dbraw/zinc/65/64/15/670656415.db2.gz XNFWEGMELCSZBL-MKPLZMMCSA-N 0 2 307.375 0.781 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000848309589 670668038 /nfs/dbraw/zinc/66/80/38/670668038.db2.gz PRWCGEYPFUOZEL-SFYZADRCSA-N 0 2 315.395 0.637 20 0 DCADLN C[C@@H](CO)[C@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855464318 670714938 /nfs/dbraw/zinc/71/49/38/670714938.db2.gz PCPLEOZJUZHPBV-CMPLNLGQSA-N 0 2 319.365 0.081 20 0 DCADLN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cccc(Cl)c1O ZINC000855476514 670718110 /nfs/dbraw/zinc/71/81/10/670718110.db2.gz PQRSQQFPEAFMKC-VHSXEESVSA-N 0 2 319.766 0.587 20 0 DCADLN C[C@H](c1ccccc1)[C@@H](O)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000856289133 670801270 /nfs/dbraw/zinc/80/12/70/670801270.db2.gz LGWZHABOXJXLLA-USWWRNFRSA-N 0 2 321.402 0.824 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000816816958 670866954 /nfs/dbraw/zinc/86/69/54/670866954.db2.gz NUTSRVBIDKXVAQ-RNFRBKRXSA-N 0 2 306.281 0.379 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000816816958 670866947 /nfs/dbraw/zinc/86/69/47/670866947.db2.gz NUTSRVBIDKXVAQ-RNFRBKRXSA-N 0 2 306.281 0.379 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc(C2CC2)n1 ZINC000817773532 670936132 /nfs/dbraw/zinc/93/61/32/670936132.db2.gz ROARAXRFRSTYIZ-UHFFFAOYSA-N 0 2 310.317 0.982 20 0 DCADLN O=C(NOCc1ccccc1)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC000858167015 670975533 /nfs/dbraw/zinc/97/55/33/670975533.db2.gz HXOQLJLMHCJHII-NFFDBFGFSA-N 0 2 303.314 0.955 20 0 DCADLN C[C@H](CC(N)=O)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000859560777 671126535 /nfs/dbraw/zinc/12/65/35/671126535.db2.gz AXCGBGALRRREJG-ZCFIWIBFSA-N 0 2 321.255 0.452 20 0 DCADLN C[C@@H]1CC[N@H+](CC[S@@](C)=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852756295 671157030 /nfs/dbraw/zinc/15/70/30/671157030.db2.gz CMJRJRVDDNQGIK-BLBZPCKPSA-N 0 2 300.346 0.754 20 0 DCADLN C[C@@H]1CC[N@@H+](CC[S@@](C)=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852756295 671157031 /nfs/dbraw/zinc/15/70/31/671157031.db2.gz CMJRJRVDDNQGIK-BLBZPCKPSA-N 0 2 300.346 0.754 20 0 DCADLN NC(=O)CONC(=O)[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC000860582074 671220880 /nfs/dbraw/zinc/22/08/80/671220880.db2.gz YAJNFDUPTYUXET-LDYMZIIASA-N 0 2 318.251 0.702 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)N=S(C)(C)=O)cc1 ZINC000821115835 671254687 /nfs/dbraw/zinc/25/46/87/671254687.db2.gz CYTSTMDDZHDFBT-UHFFFAOYSA-N 0 2 306.365 0.858 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OC[C@]1(C)COC(=O)N1 ZINC000861002751 671258741 /nfs/dbraw/zinc/25/87/41/671258741.db2.gz JHGNJXOPLOPHQM-SNVBAGLBSA-N 0 2 312.244 0.485 20 0 DCADLN Cn1cccc(NS(=O)(=O)N=S2(=O)CCCC2)c1=O ZINC000821178719 671259771 /nfs/dbraw/zinc/25/97/71/671259771.db2.gz UQROROIUSNCPCM-UHFFFAOYSA-N 0 2 305.381 0.304 20 0 DCADLN O=c1[nH]nc(CCCNc2ccc(F)cc2-c2nn[nH]n2)[nH]1 ZINC000823665785 671404558 /nfs/dbraw/zinc/40/45/58/671404558.db2.gz WTRQRZOGMOQMRU-UHFFFAOYSA-N 0 2 304.289 0.874 20 0 DCADLN CCCC[C@H]1NC(=O)N(C[N@@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000826815244 671501258 /nfs/dbraw/zinc/50/12/58/671501258.db2.gz PUAKTIGXRSJDBH-GHMZBOCLSA-N 0 2 312.370 0.739 20 0 DCADLN CCCC[C@H]1NC(=O)N(C[N@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000826815244 671501260 /nfs/dbraw/zinc/50/12/60/671501260.db2.gz PUAKTIGXRSJDBH-GHMZBOCLSA-N 0 2 312.370 0.739 20 0 DCADLN Cc1nc(N)sc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000832187030 671708668 /nfs/dbraw/zinc/70/86/68/671708668.db2.gz MRYQPWVFECLFIT-SSDOTTSWSA-N 0 2 308.367 0.877 20 0 DCADLN O=C([O-])NC1CC[NH+](CC(=O)Nc2nnc3ccccn32)CC1 ZINC000832386568 671717498 /nfs/dbraw/zinc/71/74/98/671717498.db2.gz GRGDJUVTUHWTCH-UHFFFAOYSA-N 0 2 318.337 0.400 20 0 DCADLN CCOC(=O)[C@@H]1CN(C(=O)C(F)C(F)(F)F)CC12COC2 ZINC000833099765 671745972 /nfs/dbraw/zinc/74/59/72/671745972.db2.gz DSKQSTCXERXZJG-YUMQZZPRSA-N 0 2 313.247 0.925 20 0 DCADLN CCOC(=O)[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CC12COC2 ZINC000833099765 671745974 /nfs/dbraw/zinc/74/59/74/671745974.db2.gz DSKQSTCXERXZJG-YUMQZZPRSA-N 0 2 313.247 0.925 20 0 DCADLN CC(C)(O)CONC(=O)[C@H](CS(C)(=O)=O)c1ccccc1 ZINC000834618138 671773226 /nfs/dbraw/zinc/77/32/26/671773226.db2.gz CQVUJKQERPPSGQ-GFCCVEGCSA-N 0 2 315.391 0.634 20 0 DCADLN CC[C@H](C)N(CC(=O)OC)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000836788604 671832093 /nfs/dbraw/zinc/83/20/93/671832093.db2.gz SMAUDOBTIFJQBD-YUMQZZPRSA-N 0 2 301.368 0.343 20 0 DCADLN C[C@@H]1NC(=O)N(CCOC(=O)c2cc(F)c(O)c(F)c2)C1=O ZINC000837554986 671866472 /nfs/dbraw/zinc/86/64/72/671866472.db2.gz AJMLQHJCRXHFRI-LURJTMIESA-N 0 2 314.244 0.768 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@@]1(O)CCC[C@H]1C ZINC000867312575 672048292 /nfs/dbraw/zinc/04/82/92/672048292.db2.gz GRLRZWHZPGXTHQ-MNOVXSKESA-N 0 2 312.457 0.880 20 0 DCADLN O=C([C@@H](O)c1ccccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843876883 672126713 /nfs/dbraw/zinc/12/67/13/672126713.db2.gz ATQGULPUKHZKFV-KOLCDFICSA-N 0 2 303.322 0.345 20 0 DCADLN CC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H](C)CO1 ZINC000844832496 672206374 /nfs/dbraw/zinc/20/63/74/672206374.db2.gz BPUIXPDSRILJSX-VHSXEESVSA-N 0 2 305.338 0.097 20 0 DCADLN CCOCCS(=O)(=O)[N-]C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845466981 672250842 /nfs/dbraw/zinc/25/08/42/672250842.db2.gz CVGMGEBXLFXRAH-UHFFFAOYSA-N 0 2 315.395 0.244 20 0 DCADLN CCn1cc(S(=O)(=O)[N-]C(=O)C[C@H](C)n2cc[nH+]c2)cn1 ZINC000845487729 672252518 /nfs/dbraw/zinc/25/25/18/672252518.db2.gz WIGSJRKMVKHGEO-JTQLQIEISA-N 0 2 311.367 0.556 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)Cc1ccccn1)n1cc[nH+]c1 ZINC000845547989 672259837 /nfs/dbraw/zinc/25/98/37/672259837.db2.gz DQNWXCOPYXILQG-NSHDSACASA-N 0 2 308.363 0.875 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ncccn1 ZINC001024722386 693823078 /nfs/dbraw/zinc/82/30/78/693823078.db2.gz QVNGBQJMIMVZHQ-SNVBAGLBSA-N 0 2 317.353 0.085 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954944052 686191371 /nfs/dbraw/zinc/19/13/71/686191371.db2.gz UINXISLREQLYMQ-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954944052 686191374 /nfs/dbraw/zinc/19/13/74/686191374.db2.gz UINXISLREQLYMQ-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCOCC1 ZINC001038203898 694012997 /nfs/dbraw/zinc/01/29/97/694012997.db2.gz LGPHLOFMWXGSDU-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN CCN(C(=O)[C@H]1CCO[C@@H](C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957048539 686944253 /nfs/dbraw/zinc/94/42/53/686944253.db2.gz ABEWYDIOWWDNAB-QWRGUYRKSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@H]1C[C@@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CCO1 ZINC000957102782 686961093 /nfs/dbraw/zinc/96/10/93/686961093.db2.gz JKEJGRNEIBATSK-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1cc(CO)ccc1F)c1cnn[nH]1 ZINC001038450927 694085626 /nfs/dbraw/zinc/08/56/26/694085626.db2.gz MZUMWQYLABFKJG-LBPRGKRZSA-N 0 2 319.340 0.440 20 0 DCADLN Cc1ncsc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958302037 687529200 /nfs/dbraw/zinc/52/92/00/687529200.db2.gz QWFAHYZSZOTSNF-MBTKJCJQSA-N 0 2 320.378 0.135 20 0 DCADLN Cc1ncsc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958302037 687529202 /nfs/dbraw/zinc/52/92/02/687529202.db2.gz QWFAHYZSZOTSNF-MBTKJCJQSA-N 0 2 320.378 0.135 20 0 DCADLN Cc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC000958584212 687773814 /nfs/dbraw/zinc/77/38/14/687773814.db2.gz QVSMMMCTSFYQED-IAZYJMLFSA-N 0 2 317.349 0.580 20 0 DCADLN Cc1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC000958584212 687773817 /nfs/dbraw/zinc/77/38/17/687773817.db2.gz QVSMMMCTSFYQED-IAZYJMLFSA-N 0 2 317.349 0.580 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958767028 687912836 /nfs/dbraw/zinc/91/28/36/687912836.db2.gz XQJPHBMJJSMJHL-IWIIMEHWSA-N 0 2 316.365 0.261 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958767028 687912838 /nfs/dbraw/zinc/91/28/38/687912838.db2.gz XQJPHBMJJSMJHL-IWIIMEHWSA-N 0 2 316.365 0.261 20 0 DCADLN CCc1ccoc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038674884 694157762 /nfs/dbraw/zinc/15/77/62/694157762.db2.gz UWRQWYAJMOZXOI-JTQLQIEISA-N 0 2 305.338 0.670 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)c1ccoc1 ZINC000961568693 688656254 /nfs/dbraw/zinc/65/62/54/688656254.db2.gz RIMCKEDFAHUAEO-VGMNWLOBSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O)c1ccoc1 ZINC000961568693 688656260 /nfs/dbraw/zinc/65/62/60/688656260.db2.gz RIMCKEDFAHUAEO-VGMNWLOBSA-N 0 2 324.230 0.482 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCOCC1 ZINC000965936714 689587619 /nfs/dbraw/zinc/58/76/19/689587619.db2.gz UIFSSXSWVFELRX-PWSUYJOCSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCOCC1 ZINC000965936714 689587622 /nfs/dbraw/zinc/58/76/22/689587622.db2.gz UIFSSXSWVFELRX-PWSUYJOCSA-N 0 2 321.381 0.184 20 0 DCADLN NC(=O)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001039377844 694351975 /nfs/dbraw/zinc/35/19/75/694351975.db2.gz TYJNPBABTPMSBQ-WEDXCCLWSA-N 0 2 311.279 0.437 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cnco1 ZINC000968971846 690439491 /nfs/dbraw/zinc/43/94/91/690439491.db2.gz WTKOGTMZRYILHG-JTQLQIEISA-N 0 2 320.353 0.673 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cnco1 ZINC000968971846 690439496 /nfs/dbraw/zinc/43/94/96/690439496.db2.gz WTKOGTMZRYILHG-JTQLQIEISA-N 0 2 320.353 0.673 20 0 DCADLN CC[C@]1(C)C[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000983412418 690521814 /nfs/dbraw/zinc/52/18/14/690521814.db2.gz REWAETMJIQXDCW-XHDPSFHLSA-N 0 2 307.398 0.981 20 0 DCADLN CC[C@@H](OC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039525004 694377722 /nfs/dbraw/zinc/37/77/22/694377722.db2.gz ISABOQQODXDKKY-GRYCIOLGSA-N 0 2 323.397 0.501 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1C=CC=CC=C1 ZINC001007432141 690679502 /nfs/dbraw/zinc/67/95/02/690679502.db2.gz YQZKKCPRVVWYAB-ZDUSSCGKSA-N 0 2 315.377 0.889 20 0 DCADLN Cc1ccoc1CC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008454606 690870324 /nfs/dbraw/zinc/87/03/24/690870324.db2.gz YOKBJRCMXGJEQM-NSHDSACASA-N 0 2 319.365 0.735 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001008966937 690964343 /nfs/dbraw/zinc/96/43/43/690964343.db2.gz QNFJEDBSEBNIRP-SNVBAGLBSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1C ZINC001010189465 691184261 /nfs/dbraw/zinc/18/42/61/691184261.db2.gz WTAUQMCYKCLWLY-JTQLQIEISA-N 0 2 304.354 0.162 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2noc3c2CCCC3)C1)c1cnn[nH]1 ZINC001015062660 692175941 /nfs/dbraw/zinc/17/59/41/692175941.db2.gz RIZRUQHIZXVWPI-SNVBAGLBSA-N 0 2 316.365 0.676 20 0 DCADLN O=C(N[C@@H]1CC[N@H+](Cc2noc3c2CCCC3)C1)c1cnn[n-]1 ZINC001015062660 692175945 /nfs/dbraw/zinc/17/59/45/692175945.db2.gz RIZRUQHIZXVWPI-SNVBAGLBSA-N 0 2 316.365 0.676 20 0 DCADLN O=C(N[C@@H]1CC[N@@H+](Cc2noc3c2CCCC3)C1)c1cnn[n-]1 ZINC001015062660 692175948 /nfs/dbraw/zinc/17/59/48/692175948.db2.gz RIZRUQHIZXVWPI-SNVBAGLBSA-N 0 2 316.365 0.676 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCO[C@H]1C1CC1 ZINC001015345967 692268677 /nfs/dbraw/zinc/26/86/77/692268677.db2.gz SDICQAPUVHHGEF-WZRBSPASSA-N 0 2 321.381 0.016 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001015898023 692493246 /nfs/dbraw/zinc/49/32/46/692493246.db2.gz IURAHMMSKMKHQQ-SECBINFHSA-N 0 2 306.326 0.119 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC12CCCC2 ZINC001015949778 692518832 /nfs/dbraw/zinc/51/88/32/692518832.db2.gz ATEIWVXWHBVMBG-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1noc(C)c1CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016235081 692609026 /nfs/dbraw/zinc/60/90/26/692609026.db2.gz DBEMVFNELGKORP-JTQLQIEISA-N 0 2 320.353 0.048 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC001016426295 692685218 /nfs/dbraw/zinc/68/52/18/692685218.db2.gz ILSLKSDNWHGRIH-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN CC(=O)NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001019502602 693496455 /nfs/dbraw/zinc/49/64/55/693496455.db2.gz JVOOIGIASDQRGC-BDAKNGLRSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)NC[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCCO1 ZINC001019502602 693496457 /nfs/dbraw/zinc/49/64/57/693496457.db2.gz JVOOIGIASDQRGC-BDAKNGLRSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(NC[C@H]1CN(Cc2nccs2)CCCO1)c1cnn[nH]1 ZINC001073674403 694765022 /nfs/dbraw/zinc/76/50/22/694765022.db2.gz CIUDXUYKFXIUKB-JTQLQIEISA-N 0 2 322.394 0.282 20 0 DCADLN CC1(C(=O)N2CC[C@H]3OCCN(Cc4n[nH]c(=O)[nH]4)[C@@H]3C2)CC1 ZINC001074253498 694852102 /nfs/dbraw/zinc/85/21/02/694852102.db2.gz WEXGFGGEKVGVMS-GHMZBOCLSA-N 0 2 321.381 0.112 20 0 DCADLN O=C([C@H]1CC1(F)F)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075769476 694975127 /nfs/dbraw/zinc/97/51/27/694975127.db2.gz GOZCZUULXTUIKI-DJLDLDEBSA-N 0 2 313.308 0.198 20 0 DCADLN O=C([C@H]1CC1(F)F)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075769476 694975128 /nfs/dbraw/zinc/97/51/28/694975128.db2.gz GOZCZUULXTUIKI-DJLDLDEBSA-N 0 2 313.308 0.198 20 0 DCADLN O=C([C@H]1CC1(F)F)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075769476 694975129 /nfs/dbraw/zinc/97/51/29/694975129.db2.gz GOZCZUULXTUIKI-DJLDLDEBSA-N 0 2 313.308 0.198 20 0 DCADLN Cc1cn(C(C)(C)C(=O)NC[C@H](C(=O)[O-])[C@@H]2CCOC2)c[nH+]1 ZINC001649257663 1158482694 /nfs/dbraw/zinc/48/26/94/1158482694.db2.gz QKMFADFWIQIVFO-NEPJUHHUSA-N 0 2 309.366 0.780 20 0 DCADLN Cn1[nH]cnc1=NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000863896751 1158925718 /nfs/dbraw/zinc/92/57/18/1158925718.db2.gz FWARWQFARWYHEN-UHFFFAOYSA-N 0 2 317.227 0.261 20 0 DCADLN COc1cnc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nc1 ZINC001349162489 1159174033 /nfs/dbraw/zinc/17/40/33/1159174033.db2.gz DLDPNKLXVHSNSB-ZETCQYMHSA-N 0 2 324.234 0.232 20 0 DCADLN COc1cnc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)nc1 ZINC001349162489 1159174037 /nfs/dbraw/zinc/17/40/37/1159174037.db2.gz DLDPNKLXVHSNSB-ZETCQYMHSA-N 0 2 324.234 0.232 20 0 DCADLN O=C(CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F)N1CCC1 ZINC001446034483 1159329180 /nfs/dbraw/zinc/32/91/80/1159329180.db2.gz YQPXLJBDDVJDPD-WPRPVWTQSA-N 0 2 311.279 0.310 20 0 DCADLN O=C(CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F)N1CCC1 ZINC001446034483 1159329185 /nfs/dbraw/zinc/32/91/85/1159329185.db2.gz YQPXLJBDDVJDPD-WPRPVWTQSA-N 0 2 311.279 0.310 20 0 DCADLN CN(C(=O)CCc1cccnc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001446372780 1159395926 /nfs/dbraw/zinc/39/59/26/1159395926.db2.gz GDOSJNZGJCHSIM-UHFFFAOYSA-N 0 2 316.365 0.181 20 0 DCADLN O=C(N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]n1 ZINC001447190928 1159597883 /nfs/dbraw/zinc/59/78/83/1159597883.db2.gz URTDJZIRHNNCES-SVRRBLITSA-N 0 2 323.250 0.330 20 0 DCADLN CCOC(=O)CCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001610015860 1159832733 /nfs/dbraw/zinc/83/27/33/1159832733.db2.gz BSGBXSGUGHKPGB-QWRGUYRKSA-N 0 2 301.339 0.668 20 0 DCADLN CCOC(=O)CCC[N@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001610015860 1159832745 /nfs/dbraw/zinc/83/27/45/1159832745.db2.gz BSGBXSGUGHKPGB-QWRGUYRKSA-N 0 2 301.339 0.668 20 0 DCADLN C[C@H](CCCCNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001572748345 1163309083 /nfs/dbraw/zinc/30/90/83/1163309083.db2.gz OCHLNLYHCNFLLL-RQJHMYQMSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CCCCNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001572748345 1163309090 /nfs/dbraw/zinc/30/90/90/1163309090.db2.gz OCHLNLYHCNFLLL-RQJHMYQMSA-N 0 2 315.267 0.163 20 0 DCADLN CC(=O)N[C@](C)(C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C(C)C ZINC001573417678 1163431626 /nfs/dbraw/zinc/43/16/26/1163431626.db2.gz KTHRYLBSWFOYBY-FZMZJTMJSA-N 0 2 310.354 0.072 20 0 DCADLN CC(=O)N[C@](C)(C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C(C)C ZINC001573417678 1163431633 /nfs/dbraw/zinc/43/16/33/1163431633.db2.gz KTHRYLBSWFOYBY-FZMZJTMJSA-N 0 2 310.354 0.072 20 0 DCADLN CCn1cc(C(=O)[O-])c(NC(=O)[C@@H]2CCc3c[nH+]cn3C2)n1 ZINC001573844825 1163564882 /nfs/dbraw/zinc/56/48/82/1163564882.db2.gz OYRMEMRDVWKGPE-SECBINFHSA-N 0 2 303.322 0.999 20 0 DCADLN COC(=O)[C@@H]1[C@H](C[NH2+][C@@H](C)c2nc(C(=O)[O-])n[nH]2)C1(F)F ZINC001573915851 1163585709 /nfs/dbraw/zinc/58/57/09/1163585709.db2.gz KSYNOZROZJOSNC-ZLUOBGJFSA-N 0 2 304.253 0.208 20 0 DCADLN C[S@](=O)C1(C(=O)[O-])CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001574059905 1163632629 /nfs/dbraw/zinc/63/26/29/1163632629.db2.gz SSZNBLIDEVZUOL-NRFANRHFSA-N 0 2 313.379 0.098 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)Nc1nnc(CC(=O)[O-])s1 ZINC001574221647 1163668079 /nfs/dbraw/zinc/66/80/79/1163668079.db2.gz UMZOZOCMSAPWCR-RNFRBKRXSA-N 0 2 307.335 0.641 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nn[nH]n2)s1)C1=NN(C)CC1=O ZINC001575330358 1163977437 /nfs/dbraw/zinc/97/74/37/1163977437.db2.gz KNXYJWXPUHSDQE-LURJTMIESA-N 0 2 319.350 0.858 20 0 DCADLN CO[C@H](C)CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001575750551 1164133466 /nfs/dbraw/zinc/13/34/66/1164133466.db2.gz PTXYGYKJJRRRKQ-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CO[C@H](C)CC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001575750551 1164133473 /nfs/dbraw/zinc/13/34/73/1164133473.db2.gz PTXYGYKJJRRRKQ-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCn1ccc(C(=O)NCC[C@@H]2CC[N@H+](Cc3c[nH]nn3)C2)n1 ZINC001576694414 1164434332 /nfs/dbraw/zinc/43/43/32/1164434332.db2.gz VDTIYYAJLVWBEQ-GFCCVEGCSA-N 0 2 317.397 0.663 20 0 DCADLN CCCC[C@@](C)(CO)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001577430304 1164690376 /nfs/dbraw/zinc/69/03/76/1164690376.db2.gz AACVLLYUGCGJDD-ZDUSSCGKSA-N 0 2 323.357 0.019 20 0 DCADLN O=C(COc1ccc(F)cc1)N[C@H]1C[C@@H]([NH2+]Cc2c[nH]nn2)C1 ZINC001577956129 1164860866 /nfs/dbraw/zinc/86/08/66/1164860866.db2.gz XYXINDBNBQNONJ-TXEJJXNPSA-N 0 2 319.340 0.760 20 0 DCADLN O=C(Cc1nnc(C2CC2)[nH]1)NCCSCc1cn[nH]n1 ZINC001577966715 1164866026 /nfs/dbraw/zinc/86/60/26/1164866026.db2.gz UBJYRSACODYTPL-UHFFFAOYSA-N 0 2 307.383 0.392 20 0 DCADLN O=C(Cc1nnc(C2CC2)[nH]1)NCCSCc1c[nH]nn1 ZINC001577966715 1164866031 /nfs/dbraw/zinc/86/60/31/1164866031.db2.gz UBJYRSACODYTPL-UHFFFAOYSA-N 0 2 307.383 0.392 20 0 DCADLN COC[C@H](NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C1CC1 ZINC001579697751 1165379598 /nfs/dbraw/zinc/37/95/98/1165379598.db2.gz PTCFWARRORPKSL-JTQLQIEISA-N 0 2 318.337 0.431 20 0 DCADLN CO[C@@H]1CCC[C@H]1NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001579849963 1165411188 /nfs/dbraw/zinc/41/11/88/1165411188.db2.gz GJTQBDYSUXMPBZ-NXEZZACHSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@H](CO)[C@@H]1C ZINC001580597519 1165649635 /nfs/dbraw/zinc/64/96/35/1165649635.db2.gz ICJPZWNSUZVVDL-IUCAKERBSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H](C)CCCO ZINC001580605381 1165654003 /nfs/dbraw/zinc/65/40/03/1165654003.db2.gz YRDQSYRXRBZJJC-QMMMGPOBSA-N 0 2 320.353 0.414 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCc1cccn1C ZINC001580605767 1165654024 /nfs/dbraw/zinc/65/40/24/1165654024.db2.gz IJUPNQHBFLUWPH-UHFFFAOYSA-N 0 2 313.321 0.544 20 0 DCADLN Cc1oncc1CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001580605861 1165654182 /nfs/dbraw/zinc/65/41/82/1165654182.db2.gz MWZVLZOTOIMVIJ-UHFFFAOYSA-N 0 2 315.293 0.502 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC[C@H]1CCOC1 ZINC001580605754 1165654359 /nfs/dbraw/zinc/65/43/59/1165654359.db2.gz HPKKFJJVRKGYGN-VIFPVBQESA-N 0 2 318.337 0.432 20 0 DCADLN Cc1ccc(F)cc1Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001581206709 1165797992 /nfs/dbraw/zinc/79/79/92/1165797992.db2.gz FJLCKKMZEYZYHC-UHFFFAOYSA-N 0 2 302.269 0.625 20 0 DCADLN C[C@@](CNC(=O)c1coc(C2CC2)n1)(NC(=O)C(N)=O)C1CC1 ZINC001582659399 1166063358 /nfs/dbraw/zinc/06/33/58/1166063358.db2.gz PUIMSRHTXYUTHY-HNNXBMFYSA-N 0 2 320.349 0.052 20 0 DCADLN CC(C)C(C)(C)Sc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001589425582 1166656778 /nfs/dbraw/zinc/65/67/78/1166656778.db2.gz VQKMPUHGTGOMDP-UHFFFAOYSA-N 0 2 324.410 0.791 20 0 DCADLN CC(C)[C@H](O)CCn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001589479255 1166662175 /nfs/dbraw/zinc/66/21/75/1166662175.db2.gz AOPBPYKXILMARO-GFCCVEGCSA-N 0 2 303.366 0.924 20 0 DCADLN CC(C)OCCN1CCN(c2cc(-c3nn[nH]n3)ncn2)CC1 ZINC001589747263 1166681656 /nfs/dbraw/zinc/68/16/56/1166681656.db2.gz LXGGSNMLSQSTBU-UHFFFAOYSA-N 0 2 318.385 0.204 20 0 DCADLN CC[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)[C@@H]1CCCO1 ZINC001590472599 1166918280 /nfs/dbraw/zinc/91/82/80/1166918280.db2.gz UTPYZTWGRKTWSL-YUMQZZPRSA-N 0 2 321.341 0.036 20 0 DCADLN CC[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)[C@@H]1CC1(C)C ZINC001590472030 1166918573 /nfs/dbraw/zinc/91/85/73/1166918573.db2.gz QILXMDSAEJYPKO-JGVFFNPUSA-N 0 2 319.369 0.903 20 0 DCADLN CC[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@H](CC)CO1 ZINC001590524252 1166932940 /nfs/dbraw/zinc/93/29/40/1166932940.db2.gz RAOBQQJIUIWLGP-VXGBXAGGSA-N 0 2 318.381 0.875 20 0 DCADLN CCC[C@](C)(CO)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001590542568 1166940591 /nfs/dbraw/zinc/94/05/91/1166940591.db2.gz ABXLACNBSXPJRF-CQSZACIVSA-N 0 2 320.353 0.557 20 0 DCADLN CCC[C@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)OCC ZINC001590557951 1166942424 /nfs/dbraw/zinc/94/24/24/1166942424.db2.gz VMAUAAPQSZHXSQ-MRVPVSSYSA-N 0 2 323.357 0.283 20 0 DCADLN CCN(CC(C)(C)C)c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590682605 1166972332 /nfs/dbraw/zinc/97/23/32/1166972332.db2.gz NEEXRDPWKMOQJG-UHFFFAOYSA-N 0 2 321.385 0.137 20 0 DCADLN CCSCCn1c(=O)c(-c2nn[nH]n2)c(C)n(C2CC2)c1=O ZINC001590853731 1167038447 /nfs/dbraw/zinc/03/84/47/1167038447.db2.gz XLTKJWAQRAGTFJ-UHFFFAOYSA-N 0 2 322.394 0.587 20 0 DCADLN CCc1nn(Cc2nocc2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929783 1167053008 /nfs/dbraw/zinc/05/30/08/1167053008.db2.gz VBHGFVXPMDCOLS-UHFFFAOYSA-N 0 2 315.337 0.893 20 0 DCADLN CCc1nn(Cc2csnn2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929532 1167053278 /nfs/dbraw/zinc/05/32/78/1167053278.db2.gz BFEUBOZOVDBMEK-UHFFFAOYSA-N 0 2 318.366 0.448 20 0 DCADLN COC(=O)c1ccc(Cn2cncc(-c3nn[nH]n3)c2=O)cc1 ZINC001591292947 1167204671 /nfs/dbraw/zinc/20/46/71/1167204671.db2.gz INQZEDNTRHLTIP-UHFFFAOYSA-N 0 2 312.289 0.258 20 0 DCADLN CO[C@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)C(C)(C)C ZINC001591329517 1167217888 /nfs/dbraw/zinc/21/78/88/1167217888.db2.gz DOVNYJQKZNDNKO-SSDOTTSWSA-N 0 2 323.357 0.139 20 0 DCADLN COc1ccc(C=O)cc1Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001591582443 1167334164 /nfs/dbraw/zinc/33/41/64/1167334164.db2.gz WJKCXJKHKMKTOD-UHFFFAOYSA-N 0 2 312.289 0.293 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2nc(CC(C)C)no2)c1 ZINC001591836961 1167390781 /nfs/dbraw/zinc/39/07/81/1167390781.db2.gz PZSYSFPBUCGDAL-UHFFFAOYSA-N 0 2 315.337 0.967 20 0 DCADLN Cc1nn(C[C@H](O)c2ccccc2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436556 1167522862 /nfs/dbraw/zinc/52/28/62/1167522862.db2.gz UIUQNXRRIVVKOO-LBPRGKRZSA-N 0 2 312.333 0.774 20 0 DCADLN Cc1nn(CN2CCCCC2=O)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437697 1167523264 /nfs/dbraw/zinc/52/32/64/1167523264.db2.gz ZVXLTDVNOVZZOR-UHFFFAOYSA-N 0 2 303.326 0.010 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC(=O)N(C)C[C@@H]1C ZINC001592497054 1167539562 /nfs/dbraw/zinc/53/95/62/1167539562.db2.gz STTRNAAFTIJSJG-ZETCQYMHSA-N 0 2 304.310 0.071 20 0 DCADLN O=C(Nc1n[nH]cc1-c1nn[nH]n1)c1cc(F)cc(F)c1O ZINC001593197844 1167734322 /nfs/dbraw/zinc/73/43/22/1167734322.db2.gz OKTOOFWWCCISIM-UHFFFAOYSA-N 0 2 307.220 0.826 20 0 DCADLN O=C(c1coc(-c2nn[nH]n2)c1)N1CC[C@H](c2nc[nH]n2)C1 ZINC001593367061 1167787415 /nfs/dbraw/zinc/78/74/15/1167787415.db2.gz HOYGIPXKDHBNJJ-ZETCQYMHSA-N 0 2 300.282 0.208 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc(Cl)s1 ZINC001593489395 1167871417 /nfs/dbraw/zinc/87/14/17/1167871417.db2.gz KOIVJEKYXBACIF-UHFFFAOYSA-N 0 2 310.726 0.892 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CN1CCSCC1)CCC2 ZINC001593492165 1167876249 /nfs/dbraw/zinc/87/62/49/1167876249.db2.gz JPFBLEFJNLSAOB-UHFFFAOYSA-N 0 2 318.406 0.523 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1Cc1nn2c(nc(C)cc2=O)s1 ZINC001600065630 1168111402 /nfs/dbraw/zinc/11/14/02/1168111402.db2.gz JTCGKSNDJFURQA-UHFFFAOYSA-N 0 2 305.319 0.711 20 0 DCADLN Cc1cncc(CCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001600628734 1168221292 /nfs/dbraw/zinc/22/12/92/1168221292.db2.gz PRPPFNDEFRHMKX-ZDUSSCGKSA-N 0 2 302.334 0.858 20 0 DCADLN Cc1cncc(CCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001600628734 1168221299 /nfs/dbraw/zinc/22/12/99/1168221299.db2.gz PRPPFNDEFRHMKX-ZDUSSCGKSA-N 0 2 302.334 0.858 20 0 DCADLN Cc1nc(C[NH2+]C2(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)oc1C ZINC001600702120 1168258093 /nfs/dbraw/zinc/25/80/93/1168258093.db2.gz JZXFGSWNTNUINN-QWRGUYRKSA-N 0 2 307.350 0.751 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)C[C@@H](C)CC(=O)[O-])C2 ZINC001600724188 1168263240 /nfs/dbraw/zinc/26/32/40/1168263240.db2.gz IVBHOWDBLDPQAK-ZYHUDNBSSA-N 0 2 323.393 0.658 20 0 DCADLN Cc1nn(C)c(C)c1[C@@H](C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600769094 1168284441 /nfs/dbraw/zinc/28/44/41/1168284441.db2.gz MEZFWXPPFQJOTO-PRHODGIISA-N 0 2 319.365 0.676 20 0 DCADLN Cc1nn(C)c(C)c1[C@@H](C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600769094 1168284452 /nfs/dbraw/zinc/28/44/52/1168284452.db2.gz MEZFWXPPFQJOTO-PRHODGIISA-N 0 2 319.365 0.676 20 0 DCADLN Cc1noc(C)c1CCC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600807436 1168302898 /nfs/dbraw/zinc/30/28/98/1168302898.db2.gz UUGJWEKGHRZPLI-LBPRGKRZSA-N 0 2 306.322 0.690 20 0 DCADLN Cc1oc(S(=O)(=O)NCCCn2cc[nH+]c2)cc1C(=O)[O-] ZINC001600858568 1168489686 /nfs/dbraw/zinc/48/96/86/1168489686.db2.gz ZFFKRGBRXDTORJ-UHFFFAOYSA-N 0 2 313.335 0.851 20 0 DCADLN Cn1cc(C[NH+]2CCC(C)(NC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)nn1 ZINC001600951142 1168529149 /nfs/dbraw/zinc/52/91/49/1168529149.db2.gz ATBMLUBTMHUAQY-RYUDHWBXSA-N 0 2 321.381 0.007 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)C(=O)NCCCCC(=O)[O-])C1 ZINC001600984786 1168543795 /nfs/dbraw/zinc/54/37/95/1168543795.db2.gz VQZLMNZUHGLVTM-NSHDSACASA-N 0 2 322.365 0.107 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)NC[C@H](Cc1ccncc1)C(=O)[O-] ZINC001600985888 1168545190 /nfs/dbraw/zinc/54/51/90/1168545190.db2.gz SFZCZCZHMUQPEM-ZDUSSCGKSA-N 0 2 316.361 0.807 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)CC2(CC(=O)[O-])CC2)c1 ZINC001600988870 1168547861 /nfs/dbraw/zinc/54/78/61/1168547861.db2.gz KZOVWXXAGNXACV-UHFFFAOYSA-N 0 2 301.368 0.137 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)NCCc1ncc(C(=O)[O-])s1 ZINC001600994111 1168552187 /nfs/dbraw/zinc/55/21/87/1168552187.db2.gz CKCDVHCLIOWEOG-UHFFFAOYSA-N 0 2 309.351 0.617 20 0 DCADLN O=C([O-])c1ccc(/C=C/C(=O)NC[C@@H]2CN3CC[N@@H+]2CCC3)o1 ZINC001601236763 1168672638 /nfs/dbraw/zinc/67/26/38/1168672638.db2.gz HBDKZKICWDOMTH-OGOUPESXSA-N 0 2 319.361 0.497 20 0 DCADLN O=C([O-])c1ccc(/C=C/C(=O)NC[C@@H]2CN3CC[N@H+]2CCC3)o1 ZINC001601236763 1168672643 /nfs/dbraw/zinc/67/26/43/1168672643.db2.gz HBDKZKICWDOMTH-OGOUPESXSA-N 0 2 319.361 0.497 20 0 DCADLN O=C([O-])[C@H]1CSCC[N@H+](CC(=O)Nc2nncs2)C1 ZINC001601319112 1168705992 /nfs/dbraw/zinc/70/59/92/1168705992.db2.gz NBVZKQNXRSLPBC-SSDOTTSWSA-N 0 2 302.381 0.226 20 0 DCADLN O=C([O-])[C@H]1CSCC[N@@H+](CC(=O)Nc2nncs2)C1 ZINC001601319112 1168705999 /nfs/dbraw/zinc/70/59/99/1168705999.db2.gz NBVZKQNXRSLPBC-SSDOTTSWSA-N 0 2 302.381 0.226 20 0 DCADLN O=C([O-])[C@@H](CCC1OCCO1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001601412325 1168736213 /nfs/dbraw/zinc/73/62/13/1168736213.db2.gz GWUXQKHRGXIWHB-WDEREUQCSA-N 0 2 323.349 0.168 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001601426197 1168743069 /nfs/dbraw/zinc/74/30/69/1168743069.db2.gz UQKBINSALQYLKM-SNVBAGLBSA-N 0 2 317.305 0.139 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc2c(s1)CCOC2 ZINC001601565116 1168783622 /nfs/dbraw/zinc/78/36/22/1168783622.db2.gz PITVVWCRLMTWRZ-JTQLQIEISA-N 0 2 321.358 0.970 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc2c(s1)CCOC2 ZINC001601565116 1168783629 /nfs/dbraw/zinc/78/36/29/1168783629.db2.gz PITVVWCRLMTWRZ-JTQLQIEISA-N 0 2 321.358 0.970 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ncoc1-c1ccon1 ZINC001601568325 1168785389 /nfs/dbraw/zinc/78/53/89/1168785389.db2.gz ZNRQCAZZGYLHRA-SECBINFHSA-N 0 2 317.261 0.478 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ncoc1-c1ccon1 ZINC001601568325 1168785397 /nfs/dbraw/zinc/78/53/97/1168785397.db2.gz ZNRQCAZZGYLHRA-SECBINFHSA-N 0 2 317.261 0.478 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)CC1 ZINC001601710722 1168822250 /nfs/dbraw/zinc/82/22/50/1168822250.db2.gz HUCOZTKATMYBEG-SNVBAGLBSA-N 0 2 313.379 0.784 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](Cc2cnn(-c3ccccc3)n2)C1 ZINC001601769227 1168867253 /nfs/dbraw/zinc/86/72/53/1168867253.db2.gz MYPGKDDYURSFBU-SMDDNHRTSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](Cc2cnn(-c3ccccc3)n2)C1 ZINC001601769227 1168867263 /nfs/dbraw/zinc/86/72/63/1168867263.db2.gz MYPGKDDYURSFBU-SMDDNHRTSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001601768960 1168867998 /nfs/dbraw/zinc/86/79/98/1168867998.db2.gz KWFPIJTZKXLQCH-NWDGAFQWSA-N 0 2 313.375 0.228 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001601768960 1168868011 /nfs/dbraw/zinc/86/80/11/1168868011.db2.gz KWFPIJTZKXLQCH-NWDGAFQWSA-N 0 2 313.375 0.228 20 0 DCADLN O=C([O-])[C@H]1CSCC[N@H+](CN2C(=O)c3ccccc3C2=O)C1 ZINC001601856697 1168917597 /nfs/dbraw/zinc/91/75/97/1168917597.db2.gz KZCPIWNVZISMDT-SNVBAGLBSA-N 0 2 320.370 0.990 20 0 DCADLN O=C([O-])[C@H]1CSCC[N@@H+](CN2C(=O)c3ccccc3C2=O)C1 ZINC001601856697 1168917605 /nfs/dbraw/zinc/91/76/05/1168917605.db2.gz KZCPIWNVZISMDT-SNVBAGLBSA-N 0 2 320.370 0.990 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(NCC2(OCCO)CCOCC2)c1 ZINC001602149913 1169003128 /nfs/dbraw/zinc/00/31/28/1169003128.db2.gz DCVCMZPGFFFTRJ-UHFFFAOYSA-N 0 2 310.350 0.679 20 0 DCADLN O=C([O-])c1cccc(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)c1 ZINC001602433470 1169077514 /nfs/dbraw/zinc/07/75/14/1169077514.db2.gz TYENTWLTAUDGHB-UHFFFAOYSA-N 0 2 320.345 0.563 20 0 DCADLN O=C([O-])c1cccc(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)c1 ZINC001602433470 1169077524 /nfs/dbraw/zinc/07/75/24/1169077524.db2.gz TYENTWLTAUDGHB-UHFFFAOYSA-N 0 2 320.345 0.563 20 0 DCADLN C[C@@H](NC(=O)c1ccc2[nH]c(=O)[nH]c2n1)c1nn(C)cc1O ZINC001603116584 1169249223 /nfs/dbraw/zinc/24/92/23/1169249223.db2.gz PENSYSFHJWTGSO-ZCFIWIBFSA-N 0 2 302.294 0.594 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+](C)CC(=O)N(C)C1CCCCC1 ZINC001603160072 1169261401 /nfs/dbraw/zinc/26/14/01/1169261401.db2.gz HCULLNSDUHIBPD-LLVKDONJSA-N 0 2 313.398 0.299 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+](C)CC(=O)N(C)C1CCCCC1 ZINC001603160072 1169261409 /nfs/dbraw/zinc/26/14/09/1169261409.db2.gz HCULLNSDUHIBPD-LLVKDONJSA-N 0 2 313.398 0.299 20 0 DCADLN CC(C)(C)CCNC(=O)C[N@@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001603333285 1169294783 /nfs/dbraw/zinc/29/47/83/1169294783.db2.gz JYMVEYTXBQVBQY-OAHLLOKOSA-N 0 2 311.382 0.844 20 0 DCADLN CC(C)(C)CCNC(=O)C[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001603333285 1169294792 /nfs/dbraw/zinc/29/47/92/1169294792.db2.gz JYMVEYTXBQVBQY-OAHLLOKOSA-N 0 2 311.382 0.844 20 0 DCADLN CC1(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3)COC1 ZINC001603809048 1169401067 /nfs/dbraw/zinc/40/10/67/1169401067.db2.gz ADDPZYWREZWSHE-UHFFFAOYSA-N 0 2 301.350 0.944 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1C(=O)c1ccc(-c2nn[nH]n2)c([O-])c1 ZINC001603834418 1169407550 /nfs/dbraw/zinc/40/75/50/1169407550.db2.gz KEDZWDGMOALXDK-UWVGGRQHSA-N 0 2 316.365 0.737 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1C(=O)c1ccc(-c2nn[nH]n2)c([O-])c1 ZINC001603834418 1169407553 /nfs/dbraw/zinc/40/75/53/1169407553.db2.gz KEDZWDGMOALXDK-UWVGGRQHSA-N 0 2 316.365 0.737 20 0 DCADLN C[C@@H](CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])c1cnn(C)c1 ZINC001604067699 1169486726 /nfs/dbraw/zinc/48/67/26/1169486726.db2.gz CVKTUQFNCXCCMI-CMPLNLGQSA-N 0 2 305.338 0.380 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC001604166447 1169510108 /nfs/dbraw/zinc/51/01/08/1169510108.db2.gz BSHJQBXJGXEABI-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN CN(C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O)C1CCCCC1 ZINC001604642829 1169641706 /nfs/dbraw/zinc/64/17/06/1169641706.db2.gz XCBMPJAUWFYVGV-UHFFFAOYSA-N 0 2 317.353 0.215 20 0 DCADLN C[C@@H]1C[N@H+](Cc2oncc2C(=O)[O-])CCN1c1cnccn1 ZINC001604803741 1169690282 /nfs/dbraw/zinc/69/02/82/1169690282.db2.gz VTAMJJZMOCQZNR-SNVBAGLBSA-N 0 2 303.322 0.874 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2oncc2C(=O)[O-])CCN1c1cnccn1 ZINC001604803741 1169690289 /nfs/dbraw/zinc/69/02/89/1169690289.db2.gz VTAMJJZMOCQZNR-SNVBAGLBSA-N 0 2 303.322 0.874 20 0 DCADLN CN(Cc1n[nH]c(=O)o1)c1nc(-c2nn[nH]n2)c(Cl)s1 ZINC001604823659 1169698397 /nfs/dbraw/zinc/69/83/97/1169698397.db2.gz JWSGLEVDUQWBJL-UHFFFAOYSA-N 0 2 314.718 0.702 20 0 DCADLN COCCCONC(=O)C1(c2ccc(-c3nn[nH]n3)cc2)CC1 ZINC001605091307 1169817906 /nfs/dbraw/zinc/81/79/06/1169817906.db2.gz OLJRWPDOZQXUMF-UHFFFAOYSA-N 0 2 317.349 0.983 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)N(C)C#N ZINC001605456357 1169927685 /nfs/dbraw/zinc/92/76/85/1169927685.db2.gz XAZUUUSYCHNWEM-UHFFFAOYSA-N 0 2 301.310 0.456 20 0 DCADLN Cc1c(CC(=O)NOc2cccc(-c3nn[nH]n3)c2)cnn1C ZINC001605485692 1169936975 /nfs/dbraw/zinc/93/69/75/1169936975.db2.gz CLJBINZUVHYMHC-UHFFFAOYSA-N 0 2 313.321 0.561 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC[C@H](O)C(C)C ZINC001605510374 1169943096 /nfs/dbraw/zinc/94/30/96/1169943096.db2.gz QAQHFLIJNJXTJG-JTQLQIEISA-N 0 2 320.353 0.413 20 0 DCADLN CCc1[nH]c(CNS(=O)(=O)c2cc(C(=O)[O-])cn2C)c[nH+]1 ZINC001605853214 1170012902 /nfs/dbraw/zinc/01/29/02/1170012902.db2.gz UZNBLFMPHFBOLU-UHFFFAOYSA-N 0 2 312.351 0.487 20 0 DCADLN CCc1[nH]cc(CNS(=O)(=O)c2cc(C(=O)[O-])cn2C)[nH+]1 ZINC001605853214 1170012911 /nfs/dbraw/zinc/01/29/11/1170012911.db2.gz UZNBLFMPHFBOLU-UHFFFAOYSA-N 0 2 312.351 0.487 20 0 DCADLN Cc1ccn(CN2CCOC(C)(C)[C@@H]2C)c(=O)c1-c1nn[nH]n1 ZINC001605952989 1170035154 /nfs/dbraw/zinc/03/51/54/1170035154.db2.gz SVUZORWOFTYFKA-NSHDSACASA-N 0 2 318.381 0.794 20 0 DCADLN Cc1nn(C[C@@H](O)COCC(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114424 1170078822 /nfs/dbraw/zinc/07/88/22/1170078822.db2.gz NUEKORUQYPXMDB-LLVKDONJSA-N 0 2 322.369 0.074 20 0 DCADLN CC[C@@]1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCNC1=O ZINC001626188996 1170086280 /nfs/dbraw/zinc/08/62/80/1170086280.db2.gz MQLRLVTUEIMRNI-YMTOWFKASA-N 0 2 307.354 0.133 20 0 DCADLN C[N@@H+](Cc1ccc2c(c1)OCCO2)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001606164118 1170098480 /nfs/dbraw/zinc/09/84/80/1170098480.db2.gz JDDKKDGJCGBUKQ-LBPRGKRZSA-N 0 2 320.345 0.780 20 0 DCADLN C[N@H+](Cc1ccc2c(c1)OCCO2)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001606164118 1170098486 /nfs/dbraw/zinc/09/84/86/1170098486.db2.gz JDDKKDGJCGBUKQ-LBPRGKRZSA-N 0 2 320.345 0.780 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2C[C@H]3CCC[C@@H]32)c(-c2nn[nH]n2)c1=O ZINC001606206340 1170111987 /nfs/dbraw/zinc/11/19/87/1170111987.db2.gz VOJJPOYPEPBLTN-GJMOJQLCSA-N 0 2 303.326 0.267 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@@H]1C(=O)[O-] ZINC001606417803 1170193231 /nfs/dbraw/zinc/19/32/31/1170193231.db2.gz SOJTXJFBKHKFHJ-IUCAKERBSA-N 0 2 309.322 0.020 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1C[C@@H]2CSC[C@@H]2C1 ZINC001606537968 1170230508 /nfs/dbraw/zinc/23/05/08/1170230508.db2.gz WOYBHTMBJQFWDY-DTORHVGOSA-N 0 2 311.363 0.620 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1C[C@@H]2CSC[C@@H]2C1 ZINC001606537968 1170230500 /nfs/dbraw/zinc/23/05/00/1170230500.db2.gz WOYBHTMBJQFWDY-DTORHVGOSA-N 0 2 311.363 0.620 20 0 DCADLN O=C(Cc1cncs1)NOc1cccc(-c2nn[nH]n2)c1 ZINC001606610467 1170242590 /nfs/dbraw/zinc/24/25/90/1170242590.db2.gz SZJIMCJGPOTUSH-UHFFFAOYSA-N 0 2 302.319 0.976 20 0 DCADLN COC(=O)c1ccoc1C[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001606621940 1170245584 /nfs/dbraw/zinc/24/55/84/1170245584.db2.gz MHWOPBIGHLSONN-SECBINFHSA-N 0 2 320.305 0.803 20 0 DCADLN COC(=O)c1ccoc1C[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001606621940 1170245586 /nfs/dbraw/zinc/24/55/86/1170245586.db2.gz MHWOPBIGHLSONN-SECBINFHSA-N 0 2 320.305 0.803 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(C(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC001606701202 1170268344 /nfs/dbraw/zinc/26/83/44/1170268344.db2.gz YZRYJMYISXFHPA-OAHLLOKOSA-N 0 2 303.318 0.958 20 0 DCADLN O=C(NCCc1nc[nH]n1)c1cccc(F)c1-c1nn[nH]n1 ZINC001606802452 1170294273 /nfs/dbraw/zinc/29/42/73/1170294273.db2.gz SDGRYCBMABKNFJ-UHFFFAOYSA-N 0 2 302.273 0.096 20 0 DCADLN COC[C@H](NC(=O)[C@H]1CCC[N@@H+]1Cc1ccccn1)C(=O)[O-] ZINC001606805832 1170297041 /nfs/dbraw/zinc/29/70/41/1170297041.db2.gz CJYYREIRNYCAMZ-QWHCGFSZSA-N 0 2 307.350 0.262 20 0 DCADLN COC[C@H](NC(=O)[C@H]1CCC[N@H+]1Cc1ccccn1)C(=O)[O-] ZINC001606805832 1170297046 /nfs/dbraw/zinc/29/70/46/1170297046.db2.gz CJYYREIRNYCAMZ-QWHCGFSZSA-N 0 2 307.350 0.262 20 0 DCADLN COC[C@@]1(C)CC[N@H+](Cn2nc(C(=O)[O-])cc2C(=O)OC)C1 ZINC001606834866 1170307373 /nfs/dbraw/zinc/30/73/73/1170307373.db2.gz OBYUYZAKAUEMAH-AWEZNQCLSA-N 0 2 311.338 0.684 20 0 DCADLN COC[C@@]1(C)CC[N@@H+](Cn2nc(C(=O)[O-])cc2C(=O)OC)C1 ZINC001606834866 1170307379 /nfs/dbraw/zinc/30/73/79/1170307379.db2.gz OBYUYZAKAUEMAH-AWEZNQCLSA-N 0 2 311.338 0.684 20 0 DCADLN O=C(NOc1cccc(-c2nn[nH]n2)c1)c1ccc2[nH]nnc2c1 ZINC001606864288 1170318103 /nfs/dbraw/zinc/31/81/03/1170318103.db2.gz ZVEHXEILZRRDLL-UHFFFAOYSA-N 0 2 322.288 0.862 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCc2ncsc2C1 ZINC001607092457 1170389538 /nfs/dbraw/zinc/38/95/38/1170389538.db2.gz USTVWPZWNBYWHM-UHFFFAOYSA-N 0 2 315.362 0.501 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N2CC[C@H]2C(=O)[O-])cc1 ZINC001607253831 1170419147 /nfs/dbraw/zinc/41/91/47/1170419147.db2.gz LZEHWUIAIMQKLR-KGLIPLIRSA-N 0 2 304.346 0.955 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N2CC[C@H]2C(=O)[O-])cc1 ZINC001607253831 1170419149 /nfs/dbraw/zinc/41/91/49/1170419149.db2.gz LZEHWUIAIMQKLR-KGLIPLIRSA-N 0 2 304.346 0.955 20 0 DCADLN COc1cnc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(F)c1 ZINC001607354301 1170433309 /nfs/dbraw/zinc/43/33/09/1170433309.db2.gz IZHACITWUSVIFC-SNVBAGLBSA-N 0 2 308.269 0.378 20 0 DCADLN COc1cnc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(F)c1 ZINC001607354301 1170433313 /nfs/dbraw/zinc/43/33/13/1170433313.db2.gz IZHACITWUSVIFC-SNVBAGLBSA-N 0 2 308.269 0.378 20 0 DCADLN C[C@H](NC(=O)[C@H](C)Nc1cc(N2CCCC2)nc[nH+]1)C(=O)[O-] ZINC001607460509 1170459143 /nfs/dbraw/zinc/45/91/43/1170459143.db2.gz KXZCGTVLMNCBJE-UWVGGRQHSA-N 0 2 307.354 0.467 20 0 DCADLN C[C@H](NC(=O)[C@H](C)Nc1cc(N2CCCC2)[nH+]cn1)C(=O)[O-] ZINC001607460509 1170459146 /nfs/dbraw/zinc/45/91/46/1170459146.db2.gz KXZCGTVLMNCBJE-UWVGGRQHSA-N 0 2 307.354 0.467 20 0 DCADLN Cc1cc(NC(=O)N2CCC[C@@H]2C(=O)NCC(=O)[O-])c(C)c[nH+]1 ZINC001607682317 1170494425 /nfs/dbraw/zinc/49/44/25/1170494425.db2.gz HVNPXVARNARBFK-GFCCVEGCSA-N 0 2 320.349 0.317 20 0 DCADLN Cc1nc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@@H]2C(=O)[O-])cc[nH+]1 ZINC001607988176 1170540256 /nfs/dbraw/zinc/54/02/56/1170540256.db2.gz GIBNZDPCCXEKFC-HKLXJQGRSA-N 0 2 302.334 0.057 20 0 DCADLN Cc1noc(C2(C)CC[NH+](Cc3cn(CC(=O)[O-])nn3)CC2)n1 ZINC001608023893 1170551843 /nfs/dbraw/zinc/55/18/43/1170551843.db2.gz WIPVRDISOTUAHN-UHFFFAOYSA-N 0 2 320.353 0.608 20 0 DCADLN Cn1c[nH+]cc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001608137120 1170590128 /nfs/dbraw/zinc/59/01/28/1170590128.db2.gz UFIHXUSSGOLLKS-UZGDPCLZSA-N 0 2 318.377 0.316 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001608136116 1170590325 /nfs/dbraw/zinc/59/03/25/1170590325.db2.gz FYKJRDASQRSZPD-SNVBAGLBSA-N 0 2 318.337 0.116 20 0 DCADLN Cn1nc2c(c1CN(CC[NH+]1CCOCC1)CC(=O)[O-])CCC2 ZINC001608151710 1170597883 /nfs/dbraw/zinc/59/78/83/1170597883.db2.gz NDJAKIXKUXFYGM-UHFFFAOYSA-N 0 2 322.409 0.128 20 0 DCADLN NC(=O)[C@@H]1C[N@H+](CCOc2ccc(/C=C\C(=O)[O-])cc2)CCO1 ZINC001608229995 1170622255 /nfs/dbraw/zinc/62/22/55/1170622255.db2.gz SPUYQJHTMATCPT-XSHSDMCLSA-N 0 2 320.345 0.349 20 0 DCADLN NC(=O)[C@@H]1C[N@@H+](CCOc2ccc(/C=C\C(=O)[O-])cc2)CCO1 ZINC001608229995 1170622257 /nfs/dbraw/zinc/62/22/57/1170622257.db2.gz SPUYQJHTMATCPT-XSHSDMCLSA-N 0 2 320.345 0.349 20 0 DCADLN [NH3+][C@H](C(=O)N1CC[C@](F)(C(=O)[O-])C1)c1c(F)cccc1F ZINC001608247828 1170630375 /nfs/dbraw/zinc/63/03/75/1170630375.db2.gz MUDIAYSNZVBKLI-GXFFZTMASA-N 0 2 302.252 0.990 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C[C@@H]1Cc2ccccc2O1 ZINC001608299490 1170645270 /nfs/dbraw/zinc/64/52/70/1170645270.db2.gz GPTLMTWHCSQNDE-QWHCGFSZSA-N 0 2 315.329 0.915 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C[C@@H]1Cc2ccccc2O1 ZINC001608299490 1170645273 /nfs/dbraw/zinc/64/52/73/1170645273.db2.gz GPTLMTWHCSQNDE-QWHCGFSZSA-N 0 2 315.329 0.915 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1cnccc1Cl ZINC001608369919 1170660968 /nfs/dbraw/zinc/66/09/68/1170660968.db2.gz NEZIUAAIDZSQBJ-LLVKDONJSA-N 0 2 308.725 0.813 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1cnccc1Cl ZINC001608369919 1170660971 /nfs/dbraw/zinc/66/09/71/1170660971.db2.gz NEZIUAAIDZSQBJ-LLVKDONJSA-N 0 2 308.725 0.813 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1csc(Cl)n1 ZINC001608487269 1170689210 /nfs/dbraw/zinc/68/92/10/1170689210.db2.gz RRGHCXOTVQTSCM-ZCFIWIBFSA-N 0 2 300.727 0.945 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1csc(Cl)n1 ZINC001608487269 1170689214 /nfs/dbraw/zinc/68/92/14/1170689214.db2.gz RRGHCXOTVQTSCM-ZCFIWIBFSA-N 0 2 300.727 0.945 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)CNc3cccc[nH+]3)C2)C1 ZINC001608590708 1170709306 /nfs/dbraw/zinc/70/93/06/1170709306.db2.gz XNAVGJZAGOFMHB-CQSZACIVSA-N 0 2 304.306 0.326 20 0 DCADLN O=C([O-])c1ccccc1S(=O)(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001608949334 1170773661 /nfs/dbraw/zinc/77/36/61/1170773661.db2.gz OCEQMVBJJVTIKZ-SNVBAGLBSA-N 0 2 321.358 0.875 20 0 DCADLN CO[C@@H]1CCCN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)C1 ZINC001609314319 1170930576 /nfs/dbraw/zinc/93/05/76/1170930576.db2.gz ILDXFEZOULLREW-LLVKDONJSA-N 0 2 304.354 0.405 20 0 DCADLN Cc1nc(C)c(C(=O)Cn2ccc(C)c(-c3nn[nH]n3)c2=O)o1 ZINC001609449216 1170980195 /nfs/dbraw/zinc/98/01/95/1170980195.db2.gz RVAYDMPPTANIMI-UHFFFAOYSA-N 0 2 314.305 0.825 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1cnn(-c2ccccc2)c1 ZINC001609604736 1171040280 /nfs/dbraw/zinc/04/02/80/1171040280.db2.gz KOASSVFEYPWWGH-UHFFFAOYSA-N 0 2 320.316 0.657 20 0 DCADLN CC(C)OC[C@@H]1C[N@H+](CCc2cn(CC(=O)[O-])nn2)CCO1 ZINC001609710396 1171072318 /nfs/dbraw/zinc/07/23/18/1171072318.db2.gz SGVAMPHAMYBXSF-ZDUSSCGKSA-N 0 2 312.370 0.031 20 0 DCADLN CC(C)OC[C@@H]1C[N@@H+](CCc2cn(CC(=O)[O-])nn2)CCO1 ZINC001609710396 1171072326 /nfs/dbraw/zinc/07/23/26/1171072326.db2.gz SGVAMPHAMYBXSF-ZDUSSCGKSA-N 0 2 312.370 0.031 20 0 DCADLN C[C@H](c1nncn1C)[N@@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609811171 1171092306 /nfs/dbraw/zinc/09/23/06/1171092306.db2.gz CNGRQFCRJDWCCY-ZJUUUORDSA-N 0 2 305.342 0.503 20 0 DCADLN C[C@H](c1nncn1C)[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609811171 1171092314 /nfs/dbraw/zinc/09/23/14/1171092314.db2.gz CNGRQFCRJDWCCY-ZJUUUORDSA-N 0 2 305.342 0.503 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001609828917 1171097523 /nfs/dbraw/zinc/09/75/23/1171097523.db2.gz RAXYYQQOZGISRB-ZJUUUORDSA-N 0 2 308.338 0.837 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001609828917 1171097529 /nfs/dbraw/zinc/09/75/29/1171097529.db2.gz RAXYYQQOZGISRB-ZJUUUORDSA-N 0 2 308.338 0.837 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001609828915 1171097613 /nfs/dbraw/zinc/09/76/13/1171097613.db2.gz RAXYYQQOZGISRB-UWVGGRQHSA-N 0 2 308.338 0.837 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001609828915 1171097622 /nfs/dbraw/zinc/09/76/22/1171097622.db2.gz RAXYYQQOZGISRB-UWVGGRQHSA-N 0 2 308.338 0.837 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C(=O)[O-])CC1 ZINC001609840700 1171101595 /nfs/dbraw/zinc/10/15/95/1171101595.db2.gz NBRJTIYLDZYMCE-RYUDHWBXSA-N 0 2 311.382 0.356 20 0 DCADLN C[C@@H]1C[N@H+](C[C@H](O)COc2ccc(C(=O)[O-])cc2)CC(=O)N1C ZINC001609871756 1171111092 /nfs/dbraw/zinc/11/10/92/1171111092.db2.gz XNPWJYFPWXQBOT-YPMHNXCESA-N 0 2 322.361 0.287 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@H](O)COc2ccc(C(=O)[O-])cc2)CC(=O)N1C ZINC001609871756 1171111098 /nfs/dbraw/zinc/11/10/98/1171111098.db2.gz XNPWJYFPWXQBOT-YPMHNXCESA-N 0 2 322.361 0.287 20 0 DCADLN CCC(CC)[C@H](C(=O)NC[C@](C)(O)C(=O)[O-])[NH+]1CCOCC1 ZINC001609916462 1171121697 /nfs/dbraw/zinc/12/16/97/1171121697.db2.gz ANNMYIICULSDHR-DOMZBBRYSA-N 0 2 316.398 0.075 20 0 DCADLN CCCC[C@H](C(=O)[O-])[N@@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001609964276 1171128390 /nfs/dbraw/zinc/12/83/90/1171128390.db2.gz YFBIFOPLUXKLHY-DGCLKSJQSA-N 0 2 305.396 0.519 20 0 DCADLN CCCC[C@H](C(=O)[O-])[N@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001609964276 1171128393 /nfs/dbraw/zinc/12/83/93/1171128393.db2.gz YFBIFOPLUXKLHY-DGCLKSJQSA-N 0 2 305.396 0.519 20 0 DCADLN CCc1noc(CCCC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001610077277 1171150899 /nfs/dbraw/zinc/15/08/99/1171150899.db2.gz DCSCTLLQRQYGFZ-SNVBAGLBSA-N 0 2 321.337 0.421 20 0 DCADLN CNC(=O)NC(=O)C[N@@H+]1[C@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC001610142796 1171174713 /nfs/dbraw/zinc/17/47/13/1171174713.db2.gz UCWPCIKFUYEGSV-RYUDHWBXSA-N 0 2 305.334 0.732 20 0 DCADLN CNC(=O)NC(=O)C[N@H+]1[C@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC001610142796 1171174720 /nfs/dbraw/zinc/17/47/20/1171174720.db2.gz UCWPCIKFUYEGSV-RYUDHWBXSA-N 0 2 305.334 0.732 20 0 DCADLN COCCN1CC[N@H+](CCc2ccccc2C(=O)[O-])CC1=O ZINC001610260827 1171211626 /nfs/dbraw/zinc/21/16/26/1171211626.db2.gz PVQYZDNOVRTNBU-UHFFFAOYSA-N 0 2 306.362 0.718 20 0 DCADLN COCCN1CC[N@@H+](CCc2ccccc2C(=O)[O-])CC1=O ZINC001610260827 1171211632 /nfs/dbraw/zinc/21/16/32/1171211632.db2.gz PVQYZDNOVRTNBU-UHFFFAOYSA-N 0 2 306.362 0.718 20 0 DCADLN Cc1ccnc(C[N@@H+]2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610536206 1171248773 /nfs/dbraw/zinc/24/87/73/1171248773.db2.gz KUOHPMAZLDWLLK-LLVKDONJSA-N 0 2 302.338 0.912 20 0 DCADLN Cc1ccnc(C[N@H+]2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610536206 1171248780 /nfs/dbraw/zinc/24/87/80/1171248780.db2.gz KUOHPMAZLDWLLK-LLVKDONJSA-N 0 2 302.338 0.912 20 0 DCADLN Cc1nc(CN2CC[NH+](Cc3ccc(C(=O)[O-])cn3)CC2)no1 ZINC001610554809 1171252850 /nfs/dbraw/zinc/25/28/50/1171252850.db2.gz CTGDHQKGNBHOCC-UHFFFAOYSA-N 0 2 317.349 0.789 20 0 DCADLN Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N[C@@H](CO)C(=O)[O-] ZINC001610559841 1171254551 /nfs/dbraw/zinc/25/45/51/1171254551.db2.gz PHBREIREJQQPIE-JTQLQIEISA-N 0 2 313.260 0.185 20 0 DCADLN Cn1cc(C[N@@H+]2CCC[C@@H](CS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001610602726 1171263462 /nfs/dbraw/zinc/26/34/62/1171263462.db2.gz KYXQKJGKKZXNHO-LLVKDONJSA-N 0 2 314.407 0.980 20 0 DCADLN Cn1cc(C[N@H+]2CCC[C@@H](CS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001610602726 1171263475 /nfs/dbraw/zinc/26/34/75/1171263475.db2.gz KYXQKJGKKZXNHO-LLVKDONJSA-N 0 2 314.407 0.980 20 0 DCADLN Cn1cc(C(C)(C)C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001610600979 1171263937 /nfs/dbraw/zinc/26/39/37/1171263937.db2.gz NMXQDFMCEBHKRD-NSHDSACASA-N 0 2 305.338 0.233 20 0 DCADLN Cn1cc(C(C)(C)C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001610600979 1171263948 /nfs/dbraw/zinc/26/39/48/1171263948.db2.gz NMXQDFMCEBHKRD-NSHDSACASA-N 0 2 305.338 0.233 20 0 DCADLN NC(=O)Cn1cc(C[N@@H+]2CCc3cccc(C(=O)[O-])c3C2)nn1 ZINC001610638835 1171275938 /nfs/dbraw/zinc/27/59/38/1171275938.db2.gz PGDKZHATLUVAPG-UHFFFAOYSA-N 0 2 315.333 0.020 20 0 DCADLN NC(=O)Cn1cc(C[N@H+]2CCc3cccc(C(=O)[O-])c3C2)nn1 ZINC001610638835 1171275943 /nfs/dbraw/zinc/27/59/43/1171275943.db2.gz PGDKZHATLUVAPG-UHFFFAOYSA-N 0 2 315.333 0.020 20 0 DCADLN O=C(C[N@H+]1CCSC[C@@H]1CO)NC1(C(=O)[O-])CCCCC1 ZINC001610663786 1171285492 /nfs/dbraw/zinc/28/54/92/1171285492.db2.gz HNHSQVAVJXOSDI-NSHDSACASA-N 0 2 316.423 0.300 20 0 DCADLN O=C(C[N@@H+]1CCSC[C@@H]1CO)NC1(C(=O)[O-])CCCCC1 ZINC001610663786 1171285498 /nfs/dbraw/zinc/28/54/98/1171285498.db2.gz HNHSQVAVJXOSDI-NSHDSACASA-N 0 2 316.423 0.300 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)N2CC[NH2+]C[C@@H]2C(F)F)c1 ZINC001610849727 1171339644 /nfs/dbraw/zinc/33/96/44/1171339644.db2.gz GTIGZXPYPMGRRV-SNVBAGLBSA-N 0 2 320.317 0.612 20 0 DCADLN O=C([O-])c1ncn(C[NH+]2CCC(OC[C@@H]3CCOC3)CC2)n1 ZINC001610872520 1171345316 /nfs/dbraw/zinc/34/53/16/1171345316.db2.gz RCEKZXQZXIGCGJ-LLVKDONJSA-N 0 2 310.354 0.451 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cccc(C(=O)OC)c1 ZINC000042375560 1171525283 /nfs/dbraw/zinc/52/52/83/1171525283.db2.gz HMZZNYJOVUCARR-UHFFFAOYSA-N 0 2 301.320 0.778 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)c1ncon1 ZINC001631967328 1171607505 /nfs/dbraw/zinc/60/75/05/1171607505.db2.gz MSFBOPXBEKLWRA-SSDOTTSWSA-N 0 2 316.277 0.140 20 0 DCADLN Cn1cnc2c1nccc2C(=O)NCCc1n[nH]c(=S)o1 ZINC001634590836 1171678516 /nfs/dbraw/zinc/67/85/16/1171678516.db2.gz HJKLFMUFJMXWKX-UHFFFAOYSA-N 0 2 304.335 0.613 20 0 DCADLN Cc1c(C(=O)NNC(=O)C(=O)NC2CC2)oc2c1C(=O)CCC2 ZINC000049602661 1171698587 /nfs/dbraw/zinc/69/85/87/1171698587.db2.gz DVUNIIPLPGBMOG-UHFFFAOYSA-N 0 2 319.317 0.147 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1Cc2ccncc2C1 ZINC001636063582 1171724187 /nfs/dbraw/zinc/72/41/87/1171724187.db2.gz VEURMYXUCRYLCB-UHFFFAOYSA-N 0 2 323.312 0.817 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(-c3ccccc3)c2N)c1=O ZINC001637947879 1171783171 /nfs/dbraw/zinc/78/31/71/1171783171.db2.gz PKMSGCNDUZVMFE-UHFFFAOYSA-N 0 2 313.321 0.217 20 0 DCADLN O=C(NCCCc1c[nH][nH]c1=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001642448261 1171946633 /nfs/dbraw/zinc/94/66/33/1171946633.db2.gz CNYWTBSIKKIUAA-LBPRGKRZSA-N 0 2 312.333 0.714 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001642459928 1171947118 /nfs/dbraw/zinc/94/71/18/1171947118.db2.gz CZULUSYCODFTGN-UHFFFAOYSA-N 0 2 303.274 0.644 20 0 DCADLN COC(=O)CN(C)CCNC(=O)c1cccc(Cl)c1O ZINC001645653975 1172090715 /nfs/dbraw/zinc/09/07/15/1172090715.db2.gz FMPMVGSDNYTRDK-UHFFFAOYSA-N 0 2 300.742 0.880 20 0 DCADLN C[C@H](NC(=O)CCCCCS(N)(=O)=O)c1nn(C)cc1O ZINC001646320512 1172336039 /nfs/dbraw/zinc/33/60/39/1172336039.db2.gz ZZMHQJWNOBHYJN-VIFPVBQESA-N 0 2 318.399 0.152 20 0 DCADLN CC[C@H](CNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC001646725803 1172492744 /nfs/dbraw/zinc/49/27/44/1172492744.db2.gz TVSWQTQUZACDRB-OLZOCXBDSA-N 0 2 313.398 0.603 20 0 DCADLN Cc1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c(=O)[nH]1 ZINC001647186358 1172728781 /nfs/dbraw/zinc/72/87/81/1172728781.db2.gz ZTQUGTDBDJXIHG-SECBINFHSA-N 0 2 303.322 0.939 20 0 DCADLN O=C(C[C@H]1CCC(=O)NC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001647246904 1172761991 /nfs/dbraw/zinc/76/19/91/1172761991.db2.gz UVJMPIVCSJMNGC-NXEZZACHSA-N 0 2 307.354 0.133 20 0 DCADLN O=C([C@@H]1CCc2n[nH]cc2C1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001647245369 1172762203 /nfs/dbraw/zinc/76/22/03/1172762203.db2.gz DBLXFUCCPARKTJ-NXEZZACHSA-N 0 2 316.365 0.745 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1c[nH]nc1-c1ccco1 ZINC001648597296 1173078337 /nfs/dbraw/zinc/07/83/37/1173078337.db2.gz HAZUHYUMTYMIDZ-UHFFFAOYSA-N 0 2 302.294 0.856 20 0 DCADLN CS(=O)(=O)C[C@H]1CCCN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001649818175 1173243995 /nfs/dbraw/zinc/24/39/95/1173243995.db2.gz OLXDBSDNFPHUNK-NSHDSACASA-N 0 2 324.406 0.279 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)Nc1nnn(C)n1 ZINC001674613404 1175286448 /nfs/dbraw/zinc/28/64/48/1175286448.db2.gz YXJRXWOHSBUKGI-UHFFFAOYSA-N 0 2 320.338 0.584 20 0 DCADLN O=C(NCCCOCCNC(=O)C(F)C(F)(F)F)C(F)F ZINC001679753968 1175753191 /nfs/dbraw/zinc/75/31/91/1175753191.db2.gz NKTOMTHIPZIYHR-LURJTMIESA-N 0 2 324.221 0.791 20 0 DCADLN O=C(NCCCOCCNC(=O)[C@H](F)C(F)(F)F)C(F)F ZINC001679753968 1175753196 /nfs/dbraw/zinc/75/31/96/1175753196.db2.gz NKTOMTHIPZIYHR-LURJTMIESA-N 0 2 324.221 0.791 20 0 DCADLN Cc1nccc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001687026440 1176266777 /nfs/dbraw/zinc/26/67/77/1176266777.db2.gz OSOPOGFBQOEKSF-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN Cc1nccc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001687026440 1176266781 /nfs/dbraw/zinc/26/67/81/1176266781.db2.gz OSOPOGFBQOEKSF-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN Cn1cc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)cn1 ZINC001689220013 1176749738 /nfs/dbraw/zinc/74/97/38/1176749738.db2.gz ABWCOYLQCGHBGH-GKQMSVHHSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cc(C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001689220013 1176749743 /nfs/dbraw/zinc/74/97/43/1176749743.db2.gz ABWCOYLQCGHBGH-GKQMSVHHSA-N 0 2 322.262 0.723 20 0 DCADLN CCCCOCC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001699810100 1178294581 /nfs/dbraw/zinc/29/45/81/1178294581.db2.gz KSOSFVDYTSBWLG-CQSZACIVSA-N 0 2 311.386 0.408 20 0 DCADLN CCCCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001699810100 1178294588 /nfs/dbraw/zinc/29/45/88/1178294588.db2.gz KSOSFVDYTSBWLG-CQSZACIVSA-N 0 2 311.386 0.408 20 0 DCADLN Cn1cncc1CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720130357 1178682069 /nfs/dbraw/zinc/68/20/69/1178682069.db2.gz QQKACIYZTSOVBX-VIFPVBQESA-N 0 2 310.251 0.095 20 0 DCADLN COc1nc(C(=O)NCCNC(=O)C(F)C(F)(F)F)co1 ZINC001703218418 1179400622 /nfs/dbraw/zinc/40/06/22/1179400622.db2.gz NJUJZMRADBXKQX-ZCFIWIBFSA-N 0 2 313.207 0.430 20 0 DCADLN COc1nc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)co1 ZINC001703218418 1179400626 /nfs/dbraw/zinc/40/06/26/1179400626.db2.gz NJUJZMRADBXKQX-ZCFIWIBFSA-N 0 2 313.207 0.430 20 0 DCADLN Cc1nc[nH]c1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001703381040 1179512029 /nfs/dbraw/zinc/51/20/29/1179512029.db2.gz JRGMQHJXKXOREF-MRVPVSSYSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1nc[nH]c1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703381040 1179512034 /nfs/dbraw/zinc/51/20/34/1179512034.db2.gz JRGMQHJXKXOREF-MRVPVSSYSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[NH+]2CCN(C(C)C)CC2)c1[O-] ZINC001713798318 1181074600 /nfs/dbraw/zinc/07/46/00/1181074600.db2.gz XAFJAVGHNMYCTL-UHFFFAOYSA-N 0 2 309.414 0.570 20 0 DCADLN CC(C)=CC(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001718763408 1183349657 /nfs/dbraw/zinc/34/96/57/1183349657.db2.gz TXKYOUOCHJFGFN-WCBMZHEXSA-N 0 2 314.279 0.789 20 0 DCADLN CC(C)=CC(=O)NC[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001718763408 1183349661 /nfs/dbraw/zinc/34/96/61/1183349661.db2.gz TXKYOUOCHJFGFN-WCBMZHEXSA-N 0 2 314.279 0.789 20 0 DCADLN O=C(NCC=CCNC(=O)C(F)C(F)(F)F)c1ccn[nH]1 ZINC001721799783 1184046477 /nfs/dbraw/zinc/04/64/77/1184046477.db2.gz KCLKSLZFXAYPPA-JQSQLHRZSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NCC=CCNC(=O)[C@H](F)C(F)(F)F)c1ccn[nH]1 ZINC001721799783 1184046485 /nfs/dbraw/zinc/04/64/85/1184046485.db2.gz KCLKSLZFXAYPPA-JQSQLHRZSA-N 0 2 308.235 0.712 20 0 DCADLN CCCSCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001732089712 1185664591 /nfs/dbraw/zinc/66/45/91/1185664591.db2.gz HOYYFKRQNXSYTC-SNVBAGLBSA-N 0 2 313.427 0.734 20 0 DCADLN CC/C=C(/C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001732093654 1185666233 /nfs/dbraw/zinc/66/62/33/1185666233.db2.gz BWNZAPOENMSDPH-WCIBSUBMSA-N 0 2 315.377 0.679 20 0 DCADLN CN(CCCNC(=O)c1ccnnc1)C(=O)C(F)C(F)(F)F ZINC001743769160 1188160878 /nfs/dbraw/zinc/16/08/78/1188160878.db2.gz VUFZKMXMVQXJPD-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1ccnnc1)C(=O)[C@@H](F)C(F)(F)F ZINC001743769160 1188160881 /nfs/dbraw/zinc/16/08/81/1188160881.db2.gz VUFZKMXMVQXJPD-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN Cn1cncc1C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001747244616 1188255097 /nfs/dbraw/zinc/25/50/97/1188255097.db2.gz RYCJZLMISGLLQN-XADBCAIWSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cncc1C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001747244616 1188255101 /nfs/dbraw/zinc/25/51/01/1188255101.db2.gz RYCJZLMISGLLQN-XADBCAIWSA-N 0 2 322.262 0.723 20 0 DCADLN CCCCCC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001753646643 1188630218 /nfs/dbraw/zinc/63/02/18/1188630218.db2.gz VFXLZTSMAXIQFG-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1(C2CC2)CC1 ZINC001755888969 1189250591 /nfs/dbraw/zinc/25/05/91/1189250591.db2.gz RSLAJWFVBIWADQ-UHFFFAOYSA-N 0 2 317.393 0.949 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1(C2CC2)CC1 ZINC001755888969 1189250595 /nfs/dbraw/zinc/25/05/95/1189250595.db2.gz RSLAJWFVBIWADQ-UHFFFAOYSA-N 0 2 317.393 0.949 20 0 DCADLN CC(C)=CC(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001757005039 1189490319 /nfs/dbraw/zinc/49/03/19/1189490319.db2.gz MEDQGPAHSLCWHT-MRTMQBJTSA-N 0 2 312.263 0.541 20 0 DCADLN CC(C)=CC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001757005039 1189490323 /nfs/dbraw/zinc/49/03/23/1189490323.db2.gz MEDQGPAHSLCWHT-MRTMQBJTSA-N 0 2 312.263 0.541 20 0 DCADLN Cc1ncc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)o1 ZINC001758028003 1189686823 /nfs/dbraw/zinc/68/68/23/1189686823.db2.gz RKTIZPRHMHWVPC-SECBINFHSA-N 0 2 311.235 0.658 20 0 DCADLN Cc1ncc(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)o1 ZINC001758028003 1189686827 /nfs/dbraw/zinc/68/68/27/1189686827.db2.gz RKTIZPRHMHWVPC-SECBINFHSA-N 0 2 311.235 0.658 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@H]1CCC[C@H](O)C1 ZINC001771609712 1190450344 /nfs/dbraw/zinc/45/03/44/1190450344.db2.gz XNHPTAMIINEWGD-RYUDHWBXSA-N 0 2 318.377 0.541 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)c1cccc(CC(=O)[O-])c1 ZINC001771921428 1190557590 /nfs/dbraw/zinc/55/75/90/1190557590.db2.gz PRXQZZFAUMBDTG-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C1(C(=O)[O-])CCOCC1 ZINC001772040758 1190597671 /nfs/dbraw/zinc/59/76/71/1190597671.db2.gz JCZLMKTXYNEFDL-NWDGAFQWSA-N 0 2 321.377 0.832 20 0 DCADLN C[C@@H]1C[C@@H]1C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001042434919 751642426 /nfs/dbraw/zinc/64/24/26/751642426.db2.gz PMHNARZRZTUPID-MFKMUULPSA-N 0 2 315.377 0.321 20 0 DCADLN Cc1ncsc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042505053 751699390 /nfs/dbraw/zinc/69/93/90/751699390.db2.gz OHZRLXKMASQKFZ-UHFFFAOYSA-N 0 2 308.367 0.232 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C2C[NH+](C[C@@H]3CCO[C@H](C)C3)C2)c1[O-] ZINC001042619445 751786393 /nfs/dbraw/zinc/78/63/93/751786393.db2.gz DMGHVNPALTXMBI-ZYHUDNBSSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)oc1C ZINC001042620943 751787831 /nfs/dbraw/zinc/78/78/31/751787831.db2.gz ZMBORMUYLMBCCV-UHFFFAOYSA-N 0 2 305.338 0.677 20 0 DCADLN CC[C@@H](C(=O)NCC=CCNc1ncnc2[nH]cnc21)[NH+](C)C ZINC001107274636 751987576 /nfs/dbraw/zinc/98/75/76/751987576.db2.gz GBUFITIAQMSBDD-GZTOBOFZSA-N 0 2 317.397 0.729 20 0 DCADLN CN(C[C@@H](O)CN(C)c1ncccn1)C(=O)C(F)C(F)(F)F ZINC001125305381 746963145 /nfs/dbraw/zinc/96/31/45/746963145.db2.gz OQFUMQZIIHKTAY-RKDXNWHRSA-N 0 2 324.278 0.633 20 0 DCADLN CN(C[C@@H](O)CN(C)c1ncccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001125305381 746963149 /nfs/dbraw/zinc/96/31/49/746963149.db2.gz OQFUMQZIIHKTAY-RKDXNWHRSA-N 0 2 324.278 0.633 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)[C@H]1C[C@H](c2ccccc2)OC1=O ZINC001167491678 747303024 /nfs/dbraw/zinc/30/30/24/747303024.db2.gz DUFFGXXOVURZJS-GHMZBOCLSA-N 0 2 316.317 0.218 20 0 DCADLN CC(C)(CO)n1cc(NS(=O)(=O)c2cccc(N)c2)cn1 ZINC001213409081 747525268 /nfs/dbraw/zinc/52/52/68/747525268.db2.gz NVMCYCPWTVHLES-UHFFFAOYSA-N 0 2 310.379 0.994 20 0 DCADLN COc1cccc2c1C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)CC2 ZINC001143548169 748508585 /nfs/dbraw/zinc/50/85/85/748508585.db2.gz IWKPXMWGNTYHFG-SNVBAGLBSA-N 0 2 302.334 0.940 20 0 DCADLN Cc1cnc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)cn1 ZINC001088454413 749462285 /nfs/dbraw/zinc/46/22/85/749462285.db2.gz NHDDIKPIYUGRHH-ZJUUUORDSA-N 0 2 317.353 0.002 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCC[NH+]2CCC2)cc1F ZINC000697376866 749548751 /nfs/dbraw/zinc/54/87/51/749548751.db2.gz VGEZKCQMJVPTIH-UHFFFAOYSA-N 0 2 302.327 0.508 20 0 DCADLN CC(C)c1nnc(CN2CC[C@H](NC(=O)c3cnn[nH]3)[C@H]2C)[nH]1 ZINC001088696255 749916683 /nfs/dbraw/zinc/91/66/83/749916683.db2.gz VQGCJKHWQBRUKD-ZJUUUORDSA-N 0 2 318.385 0.439 20 0 DCADLN Cc1coc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)c1 ZINC001088805093 750047363 /nfs/dbraw/zinc/04/73/63/750047363.db2.gz SYDUFFPKTSWWGS-ZJUUUORDSA-N 0 2 305.338 0.805 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)c1ccco1 ZINC001088852841 750650382 /nfs/dbraw/zinc/65/03/82/750650382.db2.gz CJYNCTAUCAEEIP-MXWKQRLJSA-N 0 2 319.365 0.986 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)c1ccco1 ZINC001088852841 750650386 /nfs/dbraw/zinc/65/03/86/750650386.db2.gz CJYNCTAUCAEEIP-MXWKQRLJSA-N 0 2 319.365 0.986 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)NCC[NH2+][C@H]3CCCNC3=O)ccn12 ZINC001127292787 751036061 /nfs/dbraw/zinc/03/60/61/751036061.db2.gz LGZWNPVZJFYMJT-ZDUSSCGKSA-N 0 2 315.377 0.241 20 0 DCADLN CC[C@H](C)[C@H](OC)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113044330 762110228 /nfs/dbraw/zinc/11/02/28/762110228.db2.gz OZZSTCTUFFYHBO-JQWIXIFHSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H]1CCC[C@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043696231 752353104 /nfs/dbraw/zinc/35/31/04/752353104.db2.gz IDLXURQXQFPTCW-QWRGUYRKSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1sccc1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044011210 752516475 /nfs/dbraw/zinc/51/64/75/752516475.db2.gz OCKVLNKOHALWPG-UHFFFAOYSA-N 0 2 321.406 0.766 20 0 DCADLN CN(C(=O)c1c[nH]nc1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044132142 752586037 /nfs/dbraw/zinc/58/60/37/752586037.db2.gz NKWIKVZLQGHKRH-UHFFFAOYSA-N 0 2 317.353 0.067 20 0 DCADLN CC1(NC(=O)c2cnco2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045516413 753223073 /nfs/dbraw/zinc/22/30/73/753223073.db2.gz UGZIFGSVSMSXPY-UHFFFAOYSA-N 0 2 306.326 0.283 20 0 DCADLN CC[C@H](F)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045828044 753345647 /nfs/dbraw/zinc/34/56/47/753345647.db2.gz RILYKAPRHCTAIO-VWYCJHECSA-N 0 2 311.361 0.824 20 0 DCADLN CC[C@H](F)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045828044 753345649 /nfs/dbraw/zinc/34/56/49/753345649.db2.gz RILYKAPRHCTAIO-VWYCJHECSA-N 0 2 311.361 0.824 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N1CCN([C@H]2CC[N@H+](CCF)C2)CC1 ZINC001046043553 753445576 /nfs/dbraw/zinc/44/55/76/753445576.db2.gz IAFCZBODALEGRQ-HNNXBMFYSA-N 0 2 323.416 0.380 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046234070 753577123 /nfs/dbraw/zinc/57/71/23/753577123.db2.gz ULXJDPLKDMMCRU-AWEZNQCLSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046234070 753577127 /nfs/dbraw/zinc/57/71/27/753577127.db2.gz ULXJDPLKDMMCRU-AWEZNQCLSA-N 0 2 304.354 0.541 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CCCOCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046278702 753608566 /nfs/dbraw/zinc/60/85/66/753608566.db2.gz RTHBUOFLODNVED-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CCCOCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046278702 753608567 /nfs/dbraw/zinc/60/85/67/753608567.db2.gz RTHBUOFLODNVED-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(NC(=O)[C@H]2C[C@@H]2C(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046290276 753620433 /nfs/dbraw/zinc/62/04/33/753620433.db2.gz NNEQQHHXYWXZAG-UPFKWVMWSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@]1(NC(=O)[C@H]2C[C@@H]2C(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046290276 753620439 /nfs/dbraw/zinc/62/04/39/753620439.db2.gz NNEQQHHXYWXZAG-UPFKWVMWSA-N 0 2 315.324 0.492 20 0 DCADLN CCOC1CC(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001046289481 753620676 /nfs/dbraw/zinc/62/06/76/753620676.db2.gz WEUJNUSTZNBVFT-NWHVRFAMSA-N 0 2 323.397 0.406 20 0 DCADLN CCOC1CC(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001046289481 753620677 /nfs/dbraw/zinc/62/06/77/753620677.db2.gz WEUJNUSTZNBVFT-NWHVRFAMSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(Cc1c[nH]cn1)NCc1cnn2c1C[N@H+](CC1CC1)CC2 ZINC001128509981 753958498 /nfs/dbraw/zinc/95/84/98/753958498.db2.gz WLGZEPYCHXGIRM-UHFFFAOYSA-N 0 2 314.393 0.691 20 0 DCADLN COCCOCC[NH+]1CCN(C(=O)C[N@H+]2CCC[C@H]2C)CC1 ZINC001113344000 762255722 /nfs/dbraw/zinc/25/57/22/762255722.db2.gz JNKORRIEUQQAJC-OAHLLOKOSA-N 0 2 313.442 0.278 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071970183 762264363 /nfs/dbraw/zinc/26/43/63/762264363.db2.gz DGBTVPWGFHNFPT-NXEZZACHSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1cnon1)C2)c1ncccn1 ZINC001047164738 754114563 /nfs/dbraw/zinc/11/45/63/754114563.db2.gz BTKVASIYBUXIHD-GVXVVHGQSA-N 0 2 314.349 0.643 20 0 DCADLN O=C(c1ccco1)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049287895 755216099 /nfs/dbraw/zinc/21/60/99/755216099.db2.gz FPSSTEDQUYBDCA-GHMZBOCLSA-N 0 2 317.349 0.982 20 0 DCADLN O=C(c1ccco1)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049287895 755216102 /nfs/dbraw/zinc/21/61/02/755216102.db2.gz FPSSTEDQUYBDCA-GHMZBOCLSA-N 0 2 317.349 0.982 20 0 DCADLN Cc1ccoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001079427279 755821940 /nfs/dbraw/zinc/82/19/40/755821940.db2.gz MUGNNPITTWFSBU-NXEZZACHSA-N 0 2 305.338 0.662 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001079408688 755829257 /nfs/dbraw/zinc/82/92/57/755829257.db2.gz BHWRLHIRRLWBHP-GMSGAONNSA-N 0 2 320.353 0.365 20 0 DCADLN O=C(CCC1CC1)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053196943 755952321 /nfs/dbraw/zinc/95/23/21/755952321.db2.gz QHUDZVHIZVVXEG-UHFFFAOYSA-N 0 2 321.381 0.114 20 0 DCADLN CCc1onc(C)c1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001080029596 756080532 /nfs/dbraw/zinc/08/05/32/756080532.db2.gz IADOSMSHXBGCCH-NOZJJQNGSA-N 0 2 318.381 0.914 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1)C1CC1 ZINC001053768170 756192791 /nfs/dbraw/zinc/19/27/91/756192791.db2.gz UZVGMSHTQVALSV-KOLCDFICSA-N 0 2 321.381 0.016 20 0 DCADLN CCC(=O)N1CCC(CO)(NC(=O)C(F)C(F)(F)F)CC1 ZINC001080884819 756375488 /nfs/dbraw/zinc/37/54/88/756375488.db2.gz OIWWVWDAJIRNFH-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N1CCC(CO)(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001080884819 756375493 /nfs/dbraw/zinc/37/54/93/756375493.db2.gz OIWWVWDAJIRNFH-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CCn1cccc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080949147 756399376 /nfs/dbraw/zinc/39/93/76/756399376.db2.gz XJLGTNUMNVXJNJ-GHMZBOCLSA-N 0 2 318.381 0.582 20 0 DCADLN CCn1cccc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080949147 756399383 /nfs/dbraw/zinc/39/93/83/756399383.db2.gz XJLGTNUMNVXJNJ-GHMZBOCLSA-N 0 2 318.381 0.582 20 0 DCADLN C/C=C(/C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001057309623 757546927 /nfs/dbraw/zinc/54/69/27/757546927.db2.gz KMWFFQFUNPGORK-CHDHTGKKSA-N 0 2 314.279 0.790 20 0 DCADLN C/C=C(/C)C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001057309623 757546933 /nfs/dbraw/zinc/54/69/33/757546933.db2.gz KMWFFQFUNPGORK-CHDHTGKKSA-N 0 2 314.279 0.790 20 0 DCADLN CCn1cc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001085521210 758995902 /nfs/dbraw/zinc/99/59/02/758995902.db2.gz IMZHMJXJJLWLOQ-LLVKDONJSA-N 0 2 319.369 0.073 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccc(Cl)[nH]1 ZINC001085805317 759331962 /nfs/dbraw/zinc/33/19/62/759331962.db2.gz CWEBLVJRBFIMJA-QMMMGPOBSA-N 0 2 324.772 0.838 20 0 DCADLN CSCC(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057829688 759573571 /nfs/dbraw/zinc/57/35/71/759573571.db2.gz VCZZQCYNLSYKFE-MRVPVSSYSA-N 0 2 320.308 0.187 20 0 DCADLN Cc1ccc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001122761319 767870732 /nfs/dbraw/zinc/87/07/32/767870732.db2.gz QGLRLXFNWVCZKR-VXNVDRBHSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccc(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001122761319 767870737 /nfs/dbraw/zinc/87/07/37/767870737.db2.gz QGLRLXFNWVCZKR-VXNVDRBHSA-N 0 2 310.251 0.574 20 0 DCADLN CC[C@H](C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829331 759573500 /nfs/dbraw/zinc/57/35/00/759573500.db2.gz KCAVMPVYGQGTNQ-IUCAKERBSA-N 0 2 316.295 0.870 20 0 DCADLN CC[C@H](C)C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057829331 759573508 /nfs/dbraw/zinc/57/35/08/759573508.db2.gz KCAVMPVYGQGTNQ-IUCAKERBSA-N 0 2 316.295 0.870 20 0 DCADLN CSCC(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829688 759573566 /nfs/dbraw/zinc/57/35/66/759573566.db2.gz VCZZQCYNLSYKFE-MRVPVSSYSA-N 0 2 320.308 0.187 20 0 DCADLN CC[C@@H](F)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058388562 759948478 /nfs/dbraw/zinc/94/84/78/759948478.db2.gz ITNXIQAVLSAMGU-SFYZADRCSA-N 0 2 320.258 0.572 20 0 DCADLN CC[C@@H](F)C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001058388562 759948484 /nfs/dbraw/zinc/94/84/84/759948484.db2.gz ITNXIQAVLSAMGU-SFYZADRCSA-N 0 2 320.258 0.572 20 0 DCADLN C[C@H]([NH2+]CCCNC(=O)c1nnc[nH]1)c1nc(C2CC2)no1 ZINC001168781052 760328148 /nfs/dbraw/zinc/32/81/48/760328148.db2.gz DQFQPWHOXMRVIJ-QMMMGPOBSA-N 0 2 305.342 0.536 20 0 DCADLN [NH3+][C@@H](CO)Cc1c[nH+]cn1-c1cc(F)cc(-c2nn[nH]n2)c1 ZINC001169076773 760563286 /nfs/dbraw/zinc/56/32/86/760563286.db2.gz XSPLBORVRHCEAC-SNVBAGLBSA-N 0 2 303.301 0.054 20 0 DCADLN O=C(CC(F)(F)F)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109020882 761202610 /nfs/dbraw/zinc/20/26/10/761202610.db2.gz AMYQHUZOXSGQGQ-CSMHCCOUSA-N 0 2 319.287 0.684 20 0 DCADLN CCCCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109109586 761252905 /nfs/dbraw/zinc/25/29/05/761252905.db2.gz PLQBTVKLWNMDDM-WOPDTQHZSA-N 0 2 323.397 0.549 20 0 DCADLN CCCC(=O)N1C[C@H](C)O[C@@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071125507 761637055 /nfs/dbraw/zinc/63/70/55/761637055.db2.gz SHXITOKCCDZNNQ-XHDPSFHLSA-N 0 2 323.397 0.502 20 0 DCADLN CCCC(=O)N1C[C@H](C)O[C@@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071125507 761637057 /nfs/dbraw/zinc/63/70/57/761637057.db2.gz SHXITOKCCDZNNQ-XHDPSFHLSA-N 0 2 323.397 0.502 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccncc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071314975 761773745 /nfs/dbraw/zinc/77/37/45/761773745.db2.gz PLNDLWOTCANZTQ-CMPLNLGQSA-N 0 2 316.365 0.688 20 0 DCADLN CCCCCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099676033 762957278 /nfs/dbraw/zinc/95/72/78/762957278.db2.gz ULVMFRZVJHWBSF-WDEREUQCSA-N 0 2 311.386 0.142 20 0 DCADLN CCOC(=O)C1=C(Nc2c[nH+]c3c(c2)CCCN3)CC[NH2+]C1 ZINC001170520133 763553321 /nfs/dbraw/zinc/55/33/21/763553321.db2.gz CPECBVJERYFRAG-UHFFFAOYSA-N 0 2 302.378 0.950 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)COCC2CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131864360 764092823 /nfs/dbraw/zinc/09/28/23/764092823.db2.gz BBOHCRBDCLWIMD-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)COCC2CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131864360 764092831 /nfs/dbraw/zinc/09/28/31/764092831.db2.gz BBOHCRBDCLWIMD-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C(=O)C(C)(C)C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001131935010 764141293 /nfs/dbraw/zinc/14/12/93/764141293.db2.gz QNVWRMAQKWAZAN-ZJUUUORDSA-N 0 2 323.397 0.595 20 0 DCADLN O=C(CSc1n[nH]c(=S)s1)NCCN1CC=CC1 ZINC001116188170 765848409 /nfs/dbraw/zinc/84/84/09/765848409.db2.gz RLOLPBSBFVTMJX-UHFFFAOYSA-N 0 2 302.450 0.907 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCC[C@@H](C)CO ZINC001116395408 765868002 /nfs/dbraw/zinc/86/80/02/765868002.db2.gz IXLOQJMCWVXDBJ-SNVBAGLBSA-N 0 2 306.366 0.398 20 0 DCADLN O=C(NC[C@H]1CC[C@@H]([NH2+]Cc2csnn2)C1)c1ncn[nH]1 ZINC001086832637 766274384 /nfs/dbraw/zinc/27/43/84/766274384.db2.gz AORXFCGPRXUVBE-DTWKUNHWSA-N 0 2 307.383 0.345 20 0 DCADLN O=C(NC[C@H]1CC[C@@H]([NH2+]Cc2csnn2)C1)c1nc[nH]n1 ZINC001086832637 766274389 /nfs/dbraw/zinc/27/43/89/766274389.db2.gz AORXFCGPRXUVBE-DTWKUNHWSA-N 0 2 307.383 0.345 20 0 DCADLN Cc1ccnc(N2C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001090545816 766304294 /nfs/dbraw/zinc/30/42/94/766304294.db2.gz JIKIOKGKIBIQJP-HLTSFMKQSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1ccnc(N2C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001090545816 766304299 /nfs/dbraw/zinc/30/42/99/766304299.db2.gz JIKIOKGKIBIQJP-HLTSFMKQSA-N 0 2 322.262 0.351 20 0 DCADLN C[N@H+](CC(=O)N1CCOCC1)Cc1ccc(/C=C/C(=O)[O-])o1 ZINC001119531748 766716486 /nfs/dbraw/zinc/71/64/86/766716486.db2.gz MNCVXRUQXYVQOP-SNAWJCMRSA-N 0 2 308.334 0.668 20 0 DCADLN C[N@@H+](CC(=O)N1CCOCC1)Cc1ccc(/C=C/C(=O)[O-])o1 ZINC001119531748 766716492 /nfs/dbraw/zinc/71/64/92/766716492.db2.gz MNCVXRUQXYVQOP-SNAWJCMRSA-N 0 2 308.334 0.668 20 0 DCADLN C/C(=C\C(=O)NCc1ccoc1C(=O)[O-])C[NH+]1CCOCC1 ZINC001119582266 766738927 /nfs/dbraw/zinc/73/89/27/766738927.db2.gz UVJZQSIPJBBGFK-DHZHZOJOSA-N 0 2 308.334 0.873 20 0 DCADLN O=C(N[C@@H]1CN(c2ncccn2)C[C@@H]1O)C(F)C(F)(F)F ZINC001091190777 767014310 /nfs/dbraw/zinc/01/43/10/767014310.db2.gz XNTRSZGLEJZXFN-CSMHCCOUSA-N 0 2 308.235 0.043 20 0 DCADLN O=C(N[C@@H]1CN(c2ncccn2)C[C@@H]1O)[C@H](F)C(F)(F)F ZINC001091190777 767014321 /nfs/dbraw/zinc/01/43/21/767014321.db2.gz XNTRSZGLEJZXFN-CSMHCCOUSA-N 0 2 308.235 0.043 20 0 DCADLN Cc1nccc(N2C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C2)n1 ZINC001091189839 767015549 /nfs/dbraw/zinc/01/55/49/767015549.db2.gz CODFDPLUZPTCLD-WEDXCCLWSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1nccc(N2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C2)n1 ZINC001091189839 767015558 /nfs/dbraw/zinc/01/55/58/767015558.db2.gz CODFDPLUZPTCLD-WEDXCCLWSA-N 0 2 322.262 0.351 20 0 DCADLN CN(C1COC1)C1C[NH+](Cc2ccc(OCC(=O)[O-])cc2)C1 ZINC001138927367 768446514 /nfs/dbraw/zinc/44/65/14/768446514.db2.gz HQUOZKXFGZCLJW-UHFFFAOYSA-N 0 2 306.362 0.665 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@H]1CCCO1 ZINC001230868250 768895253 /nfs/dbraw/zinc/89/52/53/768895253.db2.gz ZQUQBDBTBFCHRK-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN CSC[C@H](C)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231232821 769202858 /nfs/dbraw/zinc/20/28/58/769202858.db2.gz GMXBINXOIHBUIM-UWVGGRQHSA-N 0 2 313.427 0.542 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1c[nH]c(=O)c2ccccc12 ZINC001151159207 769278977 /nfs/dbraw/zinc/27/89/77/769278977.db2.gz AHVQIAPQTOVSNJ-LBPRGKRZSA-N 0 2 303.299 0.979 20 0 DCADLN COC(OC)[C@@H](C)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001235547141 769885411 /nfs/dbraw/zinc/88/54/11/769885411.db2.gz VIVLXWYEZZCONL-BDAKNGLRSA-N 0 2 313.358 0.407 20 0 DCADLN COC(=O)[C@H]1C[C@H]1CNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153861807 769969552 /nfs/dbraw/zinc/96/95/52/769969552.db2.gz JSMNQNMODPKSHD-IUCAKERBSA-N 0 2 301.302 0.462 20 0 DCADLN CCOC(=O)[C@H](C)CNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153862510 769971724 /nfs/dbraw/zinc/97/17/24/769971724.db2.gz XGTUWWLVCYSSHI-SECBINFHSA-N 0 2 303.318 0.852 20 0 DCADLN C[NH+]1CCN(c2cccc(C[N@@H+]3CCO[C@H](C(N)=O)C3)c2)CC1 ZINC001236891540 770022565 /nfs/dbraw/zinc/02/25/65/770022565.db2.gz WYCGMFMQUHZKMF-INIZCTEOSA-N 0 2 318.421 0.125 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc2nnc(C3CC3)n2c1 ZINC001153996444 770031519 /nfs/dbraw/zinc/03/15/19/770031519.db2.gz GMJFZDWQWYLPJI-GFCCVEGCSA-N 0 2 317.330 0.646 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc2c(=O)[nH][nH]c(=O)c2c1 ZINC001154319057 770138421 /nfs/dbraw/zinc/13/84/21/770138421.db2.gz NQDMRYYBCVGHOS-LLVKDONJSA-N 0 2 320.286 0.080 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1nc2ccc(Cl)nc2[nH]1 ZINC001155187329 770424287 /nfs/dbraw/zinc/42/42/87/770424287.db2.gz YKWNMJCLLOBUKX-VIFPVBQESA-N 0 2 311.710 0.650 20 0 DCADLN Cn1ccc(C(=O)N2CCc3[nH+]ccn3CC2)c1CC(=O)[O-] ZINC001177364759 770430991 /nfs/dbraw/zinc/43/09/91/770430991.db2.gz HXQKNONHRQEOLU-UHFFFAOYSA-N 0 2 302.334 0.547 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1c[nH]c2c(c1=O)CCCC2 ZINC001156900195 770959769 /nfs/dbraw/zinc/95/97/69/770959769.db2.gz JRHHULMGSGURDZ-GFCCVEGCSA-N 0 2 307.331 0.293 20 0 DCADLN Cc1nc2ccnn2cc1C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001179925917 771034727 /nfs/dbraw/zinc/03/47/27/771034727.db2.gz BTIDICCJHPUKTB-VIFPVBQESA-N 0 2 300.322 0.968 20 0 DCADLN C[NH+](C)C1(C(=O)Nc2nc[n-]c(=O)c2Br)CC1 ZINC001182593552 771514309 /nfs/dbraw/zinc/51/43/09/771514309.db2.gz SCNPGYJIBJIWSW-UHFFFAOYSA-N 0 2 301.144 0.977 20 0 DCADLN CCOCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110320879 771537100 /nfs/dbraw/zinc/53/71/00/771537100.db2.gz OHJXYSOJUNPGPP-UTUOFQBUSA-N 0 2 323.397 0.549 20 0 DCADLN CCOCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110320879 771537102 /nfs/dbraw/zinc/53/71/02/771537102.db2.gz OHJXYSOJUNPGPP-UTUOFQBUSA-N 0 2 323.397 0.549 20 0 DCADLN CCOC(=O)c1ncncc1NC(=O)C(CO)C(F)(F)F ZINC001183278314 771626338 /nfs/dbraw/zinc/62/63/38/771626338.db2.gz GNCBVYHAKFMZGP-LURJTMIESA-N 0 2 307.228 0.763 20 0 DCADLN CCOC(=O)c1ncncc1NC(=O)[C@H](CO)C(F)(F)F ZINC001183278314 771626339 /nfs/dbraw/zinc/62/63/39/771626339.db2.gz GNCBVYHAKFMZGP-LURJTMIESA-N 0 2 307.228 0.763 20 0 DCADLN O=C(NCCNS(=O)(=O)c1ccsc1)C(F)(F)F ZINC001185396803 771910387 /nfs/dbraw/zinc/91/03/87/771910387.db2.gz OBOJHJAHRNZVNR-UHFFFAOYSA-N 0 2 302.299 0.705 20 0 DCADLN COc1cnc(NS(=O)(=O)c2ccc3c(c2)CC(=O)N3)cn1 ZINC001186896813 772102407 /nfs/dbraw/zinc/10/24/07/772102407.db2.gz XPPLOTWRKSIFND-UHFFFAOYSA-N 0 2 320.330 0.781 20 0 DCADLN CCOCCS(=O)(=O)Nc1cncc(N2CCOCC2)n1 ZINC001187246946 772153778 /nfs/dbraw/zinc/15/37/78/772153778.db2.gz ZFNHGIZMGBVKHW-UHFFFAOYSA-N 0 2 316.383 0.091 20 0 DCADLN CC[C@@H](C)OCC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187569296 772202963 /nfs/dbraw/zinc/20/29/63/772202963.db2.gz FFTLZJOQYQSGTG-GRYCIOLGSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@@H](C)OCC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187569296 772202967 /nfs/dbraw/zinc/20/29/67/772202967.db2.gz FFTLZJOQYQSGTG-GRYCIOLGSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@]1(CO)CN(C(=O)c2ccc(F)c(F)c2O)CC[C@@H]1O ZINC001192796608 772961428 /nfs/dbraw/zinc/96/14/28/772961428.db2.gz DFWFQYLJBDTNPF-IINYFYTJSA-N 0 2 301.289 0.876 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(OC(F)(F)F)cn1 ZINC001192854616 772970349 /nfs/dbraw/zinc/97/03/49/772970349.db2.gz ZCBVRSMIVFGLSS-UHFFFAOYSA-N 0 2 303.200 0.734 20 0 DCADLN CCOC(=O)C(C)(C)S(=O)(=O)Nc1ccc(CO)nc1 ZINC001193024799 772997785 /nfs/dbraw/zinc/99/77/85/772997785.db2.gz VIVUCBMLMWLSQO-UHFFFAOYSA-N 0 2 302.352 0.657 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)C[C@H]2CCCO2)n1 ZINC001193205620 773024203 /nfs/dbraw/zinc/02/42/03/773024203.db2.gz KBFYSIHIKWOPFC-SECBINFHSA-N 0 2 300.336 0.789 20 0 DCADLN COc1cc(N2CCN(C(=O)c3cc(=O)n(C)[n-]3)CC2)cc[nH+]1 ZINC001194201835 773162956 /nfs/dbraw/zinc/16/29/56/773162956.db2.gz PUBGICRIQXVZNI-UHFFFAOYSA-N 0 2 317.349 0.492 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(OCC3CC3)n[nH]2)[nH]n1 ZINC001194278415 773166036 /nfs/dbraw/zinc/16/60/36/773166036.db2.gz ABDRSAZZZLDLBA-UHFFFAOYSA-N 0 2 305.294 0.961 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(OCC3CC3)n[nH]2)n[nH]1 ZINC001194278415 773166039 /nfs/dbraw/zinc/16/60/39/773166039.db2.gz ABDRSAZZZLDLBA-UHFFFAOYSA-N 0 2 305.294 0.961 20 0 DCADLN COCCOc1cc(NC(=O)c2cc(C(=O)OC)n[nH]2)ccn1 ZINC001194282257 773175147 /nfs/dbraw/zinc/17/51/47/773175147.db2.gz FWWIEKQCUUZTIN-UHFFFAOYSA-N 0 2 320.305 0.869 20 0 DCADLN COCCOc1cc(NC(=O)c2cc(C(=O)OC)[nH]n2)ccn1 ZINC001194282257 773175149 /nfs/dbraw/zinc/17/51/49/773175149.db2.gz FWWIEKQCUUZTIN-UHFFFAOYSA-N 0 2 320.305 0.869 20 0 DCADLN Cc1cncn1CC(=O)N[C@@H]1C[N@@H+](CCOCC(C)C)C[C@H]1O ZINC001194721572 773248366 /nfs/dbraw/zinc/24/83/66/773248366.db2.gz VGSDBXQOHRBFDY-HUUCEWRRSA-N 0 2 324.425 0.025 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cncc(C(N)=O)c2)cn1 ZINC001195685053 773457013 /nfs/dbraw/zinc/45/70/13/773457013.db2.gz UYEVCGWTOODFJS-UHFFFAOYSA-N 0 2 308.319 0.385 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccnn2C2COC2)cn1 ZINC001195685245 773457113 /nfs/dbraw/zinc/45/71/13/773457113.db2.gz XUNWLWPYGMUDPI-UHFFFAOYSA-N 0 2 310.335 0.659 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc(OC(F)F)nc1 ZINC001195941516 773499071 /nfs/dbraw/zinc/49/90/71/773499071.db2.gz DWRXUSNEFFBETB-UHFFFAOYSA-N 0 2 310.278 0.988 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccnc(OCCOC)c1 ZINC001195941342 773499133 /nfs/dbraw/zinc/49/91/33/773499133.db2.gz FNTWNQCUHBVFND-UHFFFAOYSA-N 0 2 318.351 0.412 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2nc(C3CC3)nn2c1 ZINC001195942421 773500491 /nfs/dbraw/zinc/50/04/91/773500491.db2.gz VPTHXZUKTNPACS-UHFFFAOYSA-N 0 2 324.362 0.912 20 0 DCADLN CCCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](CC)[NH+](C)C)CC1 ZINC001197172510 773710358 /nfs/dbraw/zinc/71/03/58/773710358.db2.gz MGSLLRLAUIIQCJ-AWEZNQCLSA-N 0 2 312.458 0.387 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cncn1Cc1ccncc1 ZINC001197896676 773818513 /nfs/dbraw/zinc/81/85/13/773818513.db2.gz QWCOPMVYJDEWEX-LBPRGKRZSA-N 0 2 317.330 0.365 20 0 DCADLN O=c1[nH]cc(-c2nc(-c3ccc4nccnc4c3)no2)[nH]c1=O ZINC001213928127 773902578 /nfs/dbraw/zinc/90/25/78/773902578.db2.gz PXUZSFOYCBJDIW-UHFFFAOYSA-N 0 2 308.257 0.723 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(=O)n1CC(F)(F)F ZINC001198735648 773969076 /nfs/dbraw/zinc/96/90/76/773969076.db2.gz BFFKWICTCBSVLF-UHFFFAOYSA-N 0 2 317.227 0.164 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccnc(OC2CCC2)n1 ZINC001199652558 774162648 /nfs/dbraw/zinc/16/26/48/774162648.db2.gz CGHDFYGBTAMRJQ-JTQLQIEISA-N 0 2 308.319 0.447 20 0 DCADLN CC(C)(C)OC(=O)N1CC[N@@H+]2C[C@H](OCc3nn[n-]n3)C[C@H]2C1 ZINC001223030108 775452981 /nfs/dbraw/zinc/45/29/81/775452981.db2.gz TXLGBLOKQMHCII-WDEREUQCSA-N 0 2 324.385 0.410 20 0 DCADLN CC(C)(C)OC(=O)N1CC[N@H+]2C[C@H](OCc3nn[n-]n3)C[C@H]2C1 ZINC001223030108 775452987 /nfs/dbraw/zinc/45/29/87/775452987.db2.gz TXLGBLOKQMHCII-WDEREUQCSA-N 0 2 324.385 0.410 20 0 DCADLN CC1(C)C[C@@H](CO[C@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])O1 ZINC001224639890 775592324 /nfs/dbraw/zinc/59/23/24/775592324.db2.gz KMPYFHQVAIWBMM-NWDGAFQWSA-N 0 2 323.411 0.159 20 0 DCADLN CC(=O)OCC(COC(C)=O)Oc1nc(Cl)cc(=O)[nH]1 ZINC001225562172 775693308 /nfs/dbraw/zinc/69/33/08/775693308.db2.gz FITULTMVJCRFDA-UHFFFAOYSA-N 0 2 304.686 0.709 20 0 DCADLN CCOCCCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226245542 775788087 /nfs/dbraw/zinc/78/80/87/775788087.db2.gz KLZAFYGGFRCDLM-UHFFFAOYSA-N 0 2 311.386 0.408 20 0 DCADLN CS[C@H](C)CC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226943827 775900708 /nfs/dbraw/zinc/90/07/08/775900708.db2.gz SEFPSVSTUYJDHO-SECBINFHSA-N 0 2 313.427 0.733 20 0 DCADLN O=C1C[C@H](Oc2nc(I)cc(=O)[nH]2)CO1 ZINC001228947328 776140605 /nfs/dbraw/zinc/14/06/05/776140605.db2.gz WNRLSGLRYLRTRZ-BYPYZUCNSA-N 0 2 322.058 0.481 20 0 DCADLN CC(C)(F)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041144059 777086734 /nfs/dbraw/zinc/08/67/34/777086734.db2.gz MOTAEWNEBLRWBO-ZCFIWIBFSA-N 0 2 318.242 0.324 20 0 DCADLN CC(C)(F)C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001041144059 777086736 /nfs/dbraw/zinc/08/67/36/777086736.db2.gz MOTAEWNEBLRWBO-ZCFIWIBFSA-N 0 2 318.242 0.324 20 0 DCADLN CC(C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041536852 777343955 /nfs/dbraw/zinc/34/39/55/777343955.db2.gz QPZZLDNMIHTBDV-SSDOTTSWSA-N 0 2 300.252 0.232 20 0 DCADLN CC(C)C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001041536852 777343960 /nfs/dbraw/zinc/34/39/60/777343960.db2.gz QPZZLDNMIHTBDV-SSDOTTSWSA-N 0 2 300.252 0.232 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CC[C@@]2(CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001041713159 777443000 /nfs/dbraw/zinc/44/30/00/777443000.db2.gz DMBCOMPICXDTBB-CZUORRHYSA-N 0 2 319.409 0.141 20 0 DCADLN CC(F)(F)C(=O)N1CC[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001041887524 777568410 /nfs/dbraw/zinc/56/84/10/777568410.db2.gz MBHFQWSGHYPPMW-IUCAKERBSA-N 0 2 315.324 0.588 20 0 DCADLN CSCC(=O)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041918687 777584305 /nfs/dbraw/zinc/58/43/05/777584305.db2.gz BUHCFTDRZIAFSV-VHSXEESVSA-N 0 2 311.411 0.296 20 0 DCADLN CSCC(=O)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041918687 777584309 /nfs/dbraw/zinc/58/43/09/777584309.db2.gz BUHCFTDRZIAFSV-VHSXEESVSA-N 0 2 311.411 0.296 20 0 DCADLN O=C(c1ccoc1)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041939934 777594842 /nfs/dbraw/zinc/59/48/42/777594842.db2.gz YDKJHDSUSCAAMP-PWSUYJOCSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccoc1)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041939934 777594846 /nfs/dbraw/zinc/59/48/46/777594846.db2.gz YDKJHDSUSCAAMP-PWSUYJOCSA-N 0 2 317.349 0.840 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C(F)(F)C1(O)CCCC1 ZINC001602169097 1169013525 /nfs/dbraw/zinc/01/35/25/1169013525.db2.gz FJPWKYYHTHKCRB-SECBINFHSA-N 0 2 317.292 0.462 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C(F)(F)C1(O)CCCC1 ZINC001602169097 1169013534 /nfs/dbraw/zinc/01/35/34/1169013534.db2.gz FJPWKYYHTHKCRB-SECBINFHSA-N 0 2 317.292 0.462 20 0 DCADLN O=C([O-])c1coc(S(=O)(=O)N2CC[NH2+]C[C@H]2C(F)F)c1 ZINC001602558384 1169116423 /nfs/dbraw/zinc/11/64/23/1169116423.db2.gz MAUXDCNZPOXOMS-ZETCQYMHSA-N 0 2 310.278 0.205 20 0 DCADLN CNC(=O)C[N@H+](C)CC1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001494195067 945102793 /nfs/dbraw/zinc/10/27/93/945102793.db2.gz CNNHPIUOIMXXJP-UHFFFAOYSA-N 0 2 321.425 0.259 20 0 DCADLN O=C([O-])[C@]1(NC(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCOC1 ZINC000902061730 939283395 /nfs/dbraw/zinc/28/33/95/939283395.db2.gz DOHFWJIUDQPQQD-KCJUWKMLSA-N 0 2 321.255 0.737 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)n1cccn1 ZINC001481160007 939589652 /nfs/dbraw/zinc/58/96/52/939589652.db2.gz SOJRNFZVLATEMO-SNVBAGLBSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)n1cccn1 ZINC001481160007 939589655 /nfs/dbraw/zinc/58/96/55/939589655.db2.gz SOJRNFZVLATEMO-SNVBAGLBSA-N 0 2 319.369 0.049 20 0 DCADLN CCN(C(=O)COC(C)C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481763101 940126981 /nfs/dbraw/zinc/12/69/81/940126981.db2.gz WRYACCFQJDASQP-LLVKDONJSA-N 0 2 311.386 0.358 20 0 DCADLN CCN(C(=O)COC(C)C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481763101 940126983 /nfs/dbraw/zinc/12/69/83/940126983.db2.gz WRYACCFQJDASQP-LLVKDONJSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@@H](CNC(=O)c1[nH]nc(C)c1C)[NH2+]CCS(C)(=O)=O ZINC001481797121 940140923 /nfs/dbraw/zinc/14/09/23/940140923.db2.gz JECVTHJJJZIZGB-NSHDSACASA-N 0 2 316.427 0.169 20 0 DCADLN CN1C[C@@H]2CN(C(=O)c3csc4c3[nH]cnc4=O)C[C@H](C1)O2 ZINC001269225790 940653001 /nfs/dbraw/zinc/65/30/01/940653001.db2.gz IKXDJKUTFRZPAI-DTORHVGOSA-N 0 2 320.374 0.552 20 0 DCADLN C[N@H+]1CCC[C@H]1C(=O)N1C[C@@H]2OCC[NH+](C3CCCC3)[C@H]2C1 ZINC001270673644 940962822 /nfs/dbraw/zinc/96/28/22/940962822.db2.gz VZTXPHUSRUTPDW-JYJNAYRXSA-N 0 2 307.438 0.935 20 0 DCADLN Cc1conc1CN[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001423214644 941449208 /nfs/dbraw/zinc/44/92/08/941449208.db2.gz NWTDIWVJXXJBHS-IONNQARKSA-N 0 2 313.251 0.450 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC001601001632 970902889 /nfs/dbraw/zinc/90/28/89/970902889.db2.gz OYKOWIIFEQNVGH-UHFFFAOYSA-N 0 2 314.345 0.864 20 0 DCADLN CC(=O)N[C@@H]1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC001413149950 942532108 /nfs/dbraw/zinc/53/21/08/942532108.db2.gz FPDVFNBHJRWNPT-GFCCVEGCSA-N 0 2 314.345 0.929 20 0 DCADLN O=C(C[C@@H]1C[C@H]1C1CC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001483667548 942648544 /nfs/dbraw/zinc/64/85/44/942648544.db2.gz LSIXDTQTMHXQCJ-TUAOUCFPSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@H]1CCCc2ncc(C(=O)NCc3nc(O)cc(=O)[nH]3)n21 ZINC001413338320 942905136 /nfs/dbraw/zinc/90/51/36/942905136.db2.gz DVWDOPQUVIVHCS-MRVPVSSYSA-N 0 2 303.322 0.912 20 0 DCADLN C[C@H](NC(=O)Cc1cncs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409908431 942932586 /nfs/dbraw/zinc/93/25/86/942932586.db2.gz MAMCYBZYBXUTEV-QMMMGPOBSA-N 0 2 322.394 0.146 20 0 DCADLN NC(=O)CCCC(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001413407745 942956682 /nfs/dbraw/zinc/95/66/82/942956682.db2.gz KIMQJRNDFFQTRP-LLVKDONJSA-N 0 2 317.349 0.566 20 0 DCADLN COC[C@H](NC(=O)[C@@H](CC(C)C)n1ccnc1)c1nn[nH]n1 ZINC001413548814 943065326 /nfs/dbraw/zinc/06/53/26/943065326.db2.gz AFVHMEZDHDHPAA-WDEREUQCSA-N 0 2 307.358 0.487 20 0 DCADLN CC(=O)N1CCC[C@@](CO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001055976610 943156981 /nfs/dbraw/zinc/15/69/81/943156981.db2.gz JLTLGNSHWQMEKP-WCBMZHEXSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N1CCC[C@@](CO)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001055976610 943156986 /nfs/dbraw/zinc/15/69/86/943156986.db2.gz JLTLGNSHWQMEKP-WCBMZHEXSA-N 0 2 300.252 0.376 20 0 DCADLN COCCC(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001298203897 943518176 /nfs/dbraw/zinc/51/81/76/943518176.db2.gz CUKXOALUJMKOTP-PKRMOACSSA-N 0 2 300.252 0.712 20 0 DCADLN COCCC(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001298203897 943518187 /nfs/dbraw/zinc/51/81/87/943518187.db2.gz CUKXOALUJMKOTP-PKRMOACSSA-N 0 2 300.252 0.712 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)NCc1nccn1C(F)F ZINC001413630753 943536209 /nfs/dbraw/zinc/53/62/09/943536209.db2.gz KKSCUSXRCLYMKI-UHFFFAOYSA-N 0 2 314.256 0.078 20 0 DCADLN CCc1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n(C)n1 ZINC001413665385 943557602 /nfs/dbraw/zinc/55/76/02/943557602.db2.gz MMQPUFMHWVVRME-UHFFFAOYSA-N 0 2 319.365 0.807 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001491104005 943753921 /nfs/dbraw/zinc/75/39/21/943753921.db2.gz XQZAAMIYXQAWHE-JTQLQIEISA-N 0 2 323.397 0.454 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001491104005 943753925 /nfs/dbraw/zinc/75/39/25/943753925.db2.gz XQZAAMIYXQAWHE-JTQLQIEISA-N 0 2 323.397 0.454 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001491110587 943758493 /nfs/dbraw/zinc/75/84/93/943758493.db2.gz IHRJDPSVMHWROE-NEPJUHHUSA-N 0 2 322.413 0.161 20 0 DCADLN Cc1cnccc1CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485300784 943810759 /nfs/dbraw/zinc/81/07/59/943810759.db2.gz GEDCRJCWCPFHLL-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN Cc1cnccc1CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485300784 943810765 /nfs/dbraw/zinc/81/07/65/943810765.db2.gz GEDCRJCWCPFHLL-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001281257983 944313376 /nfs/dbraw/zinc/31/33/76/944313376.db2.gz DECQLCXOKNVKRH-JYUDYDOHSA-N 0 2 314.279 0.789 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001281257983 944313386 /nfs/dbraw/zinc/31/33/86/944313386.db2.gz DECQLCXOKNVKRH-JYUDYDOHSA-N 0 2 314.279 0.789 20 0 DCADLN NC(=O)[C@H]1CCC2(CN(C(=O)c3c(F)ccc(F)c3O)C2)O1 ZINC001276833715 944321247 /nfs/dbraw/zinc/32/12/47/944321247.db2.gz RVLUNHWEILSXQA-SECBINFHSA-N 0 2 312.272 0.529 20 0 DCADLN Cc1nc(C[NH2+][C@@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])co1 ZINC001485863326 944354803 /nfs/dbraw/zinc/35/48/03/944354803.db2.gz HXAAWWBKQZYMOI-QMMMGPOBSA-N 0 2 307.354 0.970 20 0 DCADLN Cn1nncc1C[NH2+]C[C@H](NC(=O)Cn1cc[nH+]c1)C(C)(C)C ZINC001486423290 944870774 /nfs/dbraw/zinc/87/07/74/944870774.db2.gz RRRBKYARHDNYAT-ZDUSSCGKSA-N 0 2 319.413 0.332 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NCCc1nc[nH]n1 ZINC001364814608 945928486 /nfs/dbraw/zinc/92/84/86/945928486.db2.gz MPFNOPKHRPTKIY-GOSISDBHSA-N 0 2 309.417 0.078 20 0 DCADLN CC(C)(C)S(=O)(=O)CC(=O)NCCc1n[nH]c(=S)o1 ZINC001320732081 945995942 /nfs/dbraw/zinc/99/59/42/945995942.db2.gz SRBCKZNMMSKVFW-UHFFFAOYSA-N 0 2 307.397 0.230 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cccnn1)C(F)C(F)(F)F ZINC001410581418 946602384 /nfs/dbraw/zinc/60/23/84/946602384.db2.gz VXELOIPJUYIICV-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cccnn1)[C@@H](F)C(F)(F)F ZINC001410581418 946602392 /nfs/dbraw/zinc/60/23/92/946602392.db2.gz VXELOIPJUYIICV-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN COC(=O)c1sccc1S(=O)(=O)Nc1ncn(C)n1 ZINC001259004670 946889980 /nfs/dbraw/zinc/88/99/80/946889980.db2.gz YUAQJMTZMNUSNQ-UHFFFAOYSA-N 0 2 302.337 0.464 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ncc(C)nc1Br ZINC001259018099 946891298 /nfs/dbraw/zinc/89/12/98/946891298.db2.gz TVQGFQDNWNXCES-UHFFFAOYSA-N 0 2 324.156 0.462 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2nnc(C3CC3)n2c1 ZINC001259018473 946891442 /nfs/dbraw/zinc/89/14/42/946891442.db2.gz NFDJJHXCFBBHEH-UHFFFAOYSA-N 0 2 310.335 0.521 20 0 DCADLN Cn1nncc1NS(=O)(=O)c1ccc(-n2cccn2)cc1 ZINC001259363536 946949626 /nfs/dbraw/zinc/94/96/26/946949626.db2.gz XLKRMZWBNPDJSQ-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN CCOC(=O)c1nc(Cl)ncc1NS(=O)(=O)CCOC ZINC001259966178 947040218 /nfs/dbraw/zinc/04/02/18/947040218.db2.gz OTNPGHIYPFPISK-UHFFFAOYSA-N 0 2 323.758 0.695 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CCc3[nH+]ccn3CC2)cc1 ZINC001260225610 947087001 /nfs/dbraw/zinc/08/70/01/947087001.db2.gz GVXFVKSTZRBGST-UHFFFAOYSA-N 0 2 321.358 0.828 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1ccccc1C1=NCCO1 ZINC001260593021 947122295 /nfs/dbraw/zinc/12/22/95/947122295.db2.gz ZAWARFKKYHPILJ-UHFFFAOYSA-N 0 2 318.376 0.207 20 0 DCADLN CS(=O)(=O)c1cccc(S(=O)(=O)Nc2ncco2)c1 ZINC001260599369 947127503 /nfs/dbraw/zinc/12/75/03/947127503.db2.gz YEWDFQFTADRWSR-UHFFFAOYSA-N 0 2 302.333 0.879 20 0 DCADLN CCOCCC[NH+]1CC(O)(CNC(=O)c2n[nH]c(C)c2C)C1 ZINC001325199642 947705163 /nfs/dbraw/zinc/70/51/63/947705163.db2.gz YIFUYMNXPOTIDL-UHFFFAOYSA-N 0 2 310.398 0.230 20 0 DCADLN CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C(=O)[O-])C(C)C)CC1 ZINC001326582760 948206349 /nfs/dbraw/zinc/20/63/49/948206349.db2.gz QBVSGOYJGXNMGC-CYBMUJFWSA-N 0 2 313.398 0.014 20 0 DCADLN CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C(=O)[O-])C(C)C)CC1 ZINC001326582760 948206355 /nfs/dbraw/zinc/20/63/55/948206355.db2.gz QBVSGOYJGXNMGC-CYBMUJFWSA-N 0 2 313.398 0.014 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001487769040 948249895 /nfs/dbraw/zinc/24/98/95/948249895.db2.gz JDJARDLWHLSWTG-BQBZGAKWSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ncc[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001487769040 948249900 /nfs/dbraw/zinc/24/99/00/948249900.db2.gz JDJARDLWHLSWTG-BQBZGAKWSA-N 0 2 310.251 0.887 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)NC[C@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001327235979 948431652 /nfs/dbraw/zinc/43/16/52/948431652.db2.gz PUWUAMVPEJFVNW-RWMBFGLXSA-N 0 2 315.414 0.304 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)NC[C@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001327235979 948431655 /nfs/dbraw/zinc/43/16/55/948431655.db2.gz PUWUAMVPEJFVNW-RWMBFGLXSA-N 0 2 315.414 0.304 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1(C)CC1)C(=O)C(F)C(F)(F)F ZINC001488369506 948740949 /nfs/dbraw/zinc/74/09/49/948740949.db2.gz XFHCTQLSFVGHOZ-JGVFFNPUSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1(C)CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001488369506 948740954 /nfs/dbraw/zinc/74/09/54/948740954.db2.gz XFHCTQLSFVGHOZ-JGVFFNPUSA-N 0 2 314.279 0.622 20 0 DCADLN Cc1[nH]nc(C(=O)NCCC[N@@H+](C)CCN2CCCC2=O)c1[O-] ZINC001264977673 949130580 /nfs/dbraw/zinc/13/05/80/949130580.db2.gz RLPDSCFEEFNLOP-UHFFFAOYSA-N 0 2 323.397 0.098 20 0 DCADLN Cc1[nH]nc(C(=O)NCCC[N@H+](C)CCN2CCCC2=O)c1[O-] ZINC001264977673 949130586 /nfs/dbraw/zinc/13/05/86/949130586.db2.gz RLPDSCFEEFNLOP-UHFFFAOYSA-N 0 2 323.397 0.098 20 0 DCADLN C[C@H](NC(=O)CNC(=O)c1ccncc1)c1nn(C)cc1O ZINC001364393658 949179300 /nfs/dbraw/zinc/17/93/00/949179300.db2.gz AWHGHZUMBPXUMH-VIFPVBQESA-N 0 2 303.322 0.128 20 0 DCADLN CC[C@]1(C(=O)[O-])CCCN(S(=O)(=O)N2CC[NH+](C)CC2)C1 ZINC001589607367 949298810 /nfs/dbraw/zinc/29/88/10/949298810.db2.gz FJCMXVUCCOTRBP-ZDUSSCGKSA-N 0 2 319.427 0.055 20 0 DCADLN CC[C@H](SC)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001489256416 949638064 /nfs/dbraw/zinc/63/80/64/949638064.db2.gz RAROPWMQRAPHBS-UTLUCORTSA-N 0 2 313.427 0.731 20 0 DCADLN CCCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001489550136 949864870 /nfs/dbraw/zinc/86/48/70/949864870.db2.gz WBXGWIRJUGSOFV-BKPPORCPSA-N 0 2 300.252 0.375 20 0 DCADLN CCCC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC001489550136 949864889 /nfs/dbraw/zinc/86/48/89/949864889.db2.gz WBXGWIRJUGSOFV-BKPPORCPSA-N 0 2 300.252 0.375 20 0 DCADLN Cc1nc([C@H]2CCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)no1 ZINC001364844602 950054117 /nfs/dbraw/zinc/05/41/17/950054117.db2.gz YLJLSSAJRLTAJZ-YUMQZZPRSA-N 0 2 309.351 0.250 20 0 DCADLN C[S@](=O)(=NS(=O)(=O)N[C@@H]1COC(=O)C1)C1CCCCC1 ZINC001364851864 950073095 /nfs/dbraw/zinc/07/30/95/950073095.db2.gz NNHVSUDYRPXGEF-ZRNGKTOUSA-N 0 2 324.424 0.567 20 0 DCADLN C[C@@H](NC(=O)[C@@]1(C)C=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001330037981 950079281 /nfs/dbraw/zinc/07/92/81/950079281.db2.gz IUKRUNUJOCIUFI-BMIGLBTASA-N 0 2 305.382 0.803 20 0 DCADLN CC[C@@](COC)(NS(=O)(=O)Cc1ccon1)C(=O)OC ZINC001364857535 950086015 /nfs/dbraw/zinc/08/60/15/950086015.db2.gz CHGGEVVHIDIKNE-NSHDSACASA-N 0 2 306.340 0.062 20 0 DCADLN CC[C@@H]1CCC[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364997690 950330087 /nfs/dbraw/zinc/33/00/87/950330087.db2.gz LOZGXJULZVYXOV-ZJUUUORDSA-N 0 2 314.411 0.792 20 0 DCADLN C[C@H](NC(=O)c1cc2n(n1)CCCC2=O)c1nn(C)cc1O ZINC001365119177 950553394 /nfs/dbraw/zinc/55/33/94/950553394.db2.gz IDXSTSULQWZMCA-QMMMGPOBSA-N 0 2 303.322 0.790 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NC(C)C)C1 ZINC001490458986 950762298 /nfs/dbraw/zinc/76/22/98/950762298.db2.gz JSTCURKYIWKGED-AWEZNQCLSA-N 0 2 321.425 0.297 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])ccn1 ZINC001604640170 1169640620 /nfs/dbraw/zinc/64/06/20/1169640620.db2.gz UTYQGTCQBPSKLV-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])ccn1 ZINC001604640170 1169640622 /nfs/dbraw/zinc/64/06/22/1169640622.db2.gz UTYQGTCQBPSKLV-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN CC[C@H]1CCCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365265051 950839886 /nfs/dbraw/zinc/83/98/86/950839886.db2.gz LZHMMPDGMPRTAF-VIFPVBQESA-N 0 2 315.399 0.433 20 0 DCADLN Cc1[nH][nH]c(=O)c1NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC001331201675 951015614 /nfs/dbraw/zinc/01/56/14/951015614.db2.gz POWWQVATSUQFCG-UHFFFAOYSA-N 0 2 302.298 0.165 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2ncc(C)cn2)c1[O-] ZINC001273957265 951139354 /nfs/dbraw/zinc/13/93/54/951139354.db2.gz OEWMAMVOVALCAB-ARJAWSKDSA-N 0 2 316.365 0.598 20 0 DCADLN CCN1CC[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001365657848 951395770 /nfs/dbraw/zinc/39/57/70/951395770.db2.gz DDMPCMURMHYAFG-UWVGGRQHSA-N 0 2 322.369 0.020 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001281676496 951595293 /nfs/dbraw/zinc/59/52/93/951595293.db2.gz DMPXHUZPCQSNEN-FISBNCQKSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001281676496 951595300 /nfs/dbraw/zinc/59/53/00/951595300.db2.gz DMPXHUZPCQSNEN-FISBNCQKSA-N 0 2 324.274 0.541 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCC([C@@H](O)C(F)(F)F)CC2)C1=O ZINC001595072101 951603683 /nfs/dbraw/zinc/60/36/83/951603683.db2.gz MJJNLKPAXBBAKZ-MWLCHTKSSA-N 0 2 324.299 0.307 20 0 DCADLN O=C([O-])COCC(=O)N1CC[NH2+][C@H](c2ccc(Cl)cc2)C1 ZINC001595105989 951877140 /nfs/dbraw/zinc/87/71/40/951877140.db2.gz QOUPGJFTQSEMGV-LBPRGKRZSA-N 0 2 312.753 0.914 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@H]1CN1CCCC1 ZINC001595124260 951998242 /nfs/dbraw/zinc/99/82/42/951998242.db2.gz OCHCUMSBBILHAM-LBPRGKRZSA-N 0 2 304.412 0.046 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@H]1CN1CCCC1 ZINC001595124260 951998250 /nfs/dbraw/zinc/99/82/50/951998250.db2.gz OCHCUMSBBILHAM-LBPRGKRZSA-N 0 2 304.412 0.046 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C(F)F)C1)C(F)C(F)(F)F ZINC001411763073 952435811 /nfs/dbraw/zinc/43/58/11/952435811.db2.gz MHKJNHAKTKESDB-RXMQYKEDSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C(F)F)C1)[C@@H](F)C(F)(F)F ZINC001411763073 952435813 /nfs/dbraw/zinc/43/58/13/952435813.db2.gz MHKJNHAKTKESDB-RXMQYKEDSA-N 0 2 322.205 0.232 20 0 DCADLN CCO[C@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)[C@@H]1CCOC1 ZINC001412062705 952627282 /nfs/dbraw/zinc/62/72/82/952627282.db2.gz DOMQBESFRBYUTJ-UTUOFQBUSA-N 0 2 324.381 0.658 20 0 DCADLN CC[C@@]1(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCOC1 ZINC001412102817 952645852 /nfs/dbraw/zinc/64/58/52/952645852.db2.gz KEXALHDVMWMJCB-OAHLLOKOSA-N 0 2 318.333 0.565 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2c(n1)CCC2 ZINC001412119249 952652713 /nfs/dbraw/zinc/65/27/13/952652713.db2.gz JSMODMKWERNBGX-UHFFFAOYSA-N 0 2 310.317 0.594 20 0 DCADLN CO[C@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1(C)C ZINC001412121735 952653513 /nfs/dbraw/zinc/65/35/13/952653513.db2.gz DYMNXYXRDBVRFR-NSHDSACASA-N 0 2 319.365 0.345 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CCCNC(=O)c1cnon1 ZINC001336250746 952666655 /nfs/dbraw/zinc/66/66/55/952666655.db2.gz RHQIPAZLCSLWHE-SECBINFHSA-N 0 2 320.353 0.359 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC2(CC(N)=O)CC2)cn1 ZINC001412251772 952718220 /nfs/dbraw/zinc/71/82/20/952718220.db2.gz MBNZBFRPSSPDRO-UHFFFAOYSA-N 0 2 316.365 0.679 20 0 DCADLN CC(C)(C)Oc1ccc(C(=O)Nn2ccc(=O)[nH]c2=O)nc1 ZINC001412416578 952787697 /nfs/dbraw/zinc/78/76/97/952787697.db2.gz WRNDSGYHTBTFRM-UHFFFAOYSA-N 0 2 304.306 0.905 20 0 DCADLN CCN(CCNC(=O)Cc1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001415719911 952901854 /nfs/dbraw/zinc/90/18/54/952901854.db2.gz JGPYMWBFZSBCGK-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN CCc1[nH+]ccn1CCNC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001412585226 952914856 /nfs/dbraw/zinc/91/48/56/952914856.db2.gz APZVCPHQUOLQAL-UHFFFAOYSA-N 0 2 308.342 0.045 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CN(C)C(=O)c1csnn1 ZINC001412627003 952948551 /nfs/dbraw/zinc/94/85/51/952948551.db2.gz QCMDXBIAPJOVTJ-QMMMGPOBSA-N 0 2 322.394 0.389 20 0 DCADLN CC(C)[C@@]1(C)C[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412690824 952998142 /nfs/dbraw/zinc/99/81/42/952998142.db2.gz XDIJUSZQWQKFIY-NOZJJQNGSA-N 0 2 314.411 0.648 20 0 DCADLN CC(C)CN1C[C@H](C(=O)N[C@H](C)c2nn(C)cc2O)CC1=O ZINC001412759566 953076723 /nfs/dbraw/zinc/07/67/23/953076723.db2.gz VIOHJIMPMWJJPH-GHMZBOCLSA-N 0 2 308.382 0.807 20 0 DCADLN CO[C@H]1CC[C@@H]1N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412791790 953095340 /nfs/dbraw/zinc/09/53/40/953095340.db2.gz OVIXSSSCWONJIW-RYUDHWBXSA-N 0 2 318.333 0.516 20 0 DCADLN C[C@H](O)CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1=NC(=O)N(C)C1 ZINC001479244064 953279804 /nfs/dbraw/zinc/27/98/04/953279804.db2.gz SHURORHIOBJPIC-NWDGAFQWSA-N 0 2 310.398 0.433 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCc2ccoc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001365889860 953365009 /nfs/dbraw/zinc/36/50/09/953365009.db2.gz ONVZTAQYXPXGBZ-PWSUYJOCSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCc2ccoc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001365889860 953365020 /nfs/dbraw/zinc/36/50/20/953365020.db2.gz ONVZTAQYXPXGBZ-PWSUYJOCSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)NCC[N@@H+]2CCO[C@H](C)C2)C1 ZINC001589274550 953451403 /nfs/dbraw/zinc/45/14/03/953451403.db2.gz UJTFHEDLSMASHM-UPJWGTAASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)NCC[N@H+]2CCO[C@H](C)C2)C1 ZINC001589274550 953451411 /nfs/dbraw/zinc/45/14/11/953451411.db2.gz UJTFHEDLSMASHM-UPJWGTAASA-N 0 2 313.398 0.459 20 0 DCADLN CCc1cc(N2CCO[C@](COC)(C(=O)[O-])C2)nc(CC)[nH+]1 ZINC001593632585 953585109 /nfs/dbraw/zinc/58/51/09/953585109.db2.gz CRODPAJQZAXFCS-OAHLLOKOSA-N 0 2 309.366 0.908 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCCCCC(=O)[O-] ZINC001589290228 953593366 /nfs/dbraw/zinc/59/33/66/953593366.db2.gz PQZWOONHQJIATB-STQMWFEESA-N 0 2 313.398 0.746 20 0 DCADLN O=C(CCc1ccon1)NCCNC(=O)C(F)C(F)(F)F ZINC001283056632 953962309 /nfs/dbraw/zinc/96/23/09/953962309.db2.gz DYHSFXLBBDRTHQ-VIFPVBQESA-N 0 2 311.235 0.740 20 0 DCADLN O=C(CCc1ccon1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001283056632 953962319 /nfs/dbraw/zinc/96/23/19/953962319.db2.gz DYHSFXLBBDRTHQ-VIFPVBQESA-N 0 2 311.235 0.740 20 0 DCADLN O=C([O-])[C@H](CNS(=O)(=O)CCn1cc[nH+]c1)C1CCC1 ZINC001594840685 954075464 /nfs/dbraw/zinc/07/54/64/954075464.db2.gz GMGQSTXCXVEEMO-LLVKDONJSA-N 0 2 301.368 0.303 20 0 DCADLN Cc1cc(Cl)cnc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001347455271 954097863 /nfs/dbraw/zinc/09/78/63/954097863.db2.gz ZSJJFJHLIZCMFO-UHFFFAOYSA-N 0 2 310.701 0.122 20 0 DCADLN Cc1cnn(CC(=O)NCCCNC(=O)C(F)C(F)(F)F)c1 ZINC001283199174 954127817 /nfs/dbraw/zinc/12/78/17/954127817.db2.gz YONUJKZMAVMBFC-JTQLQIEISA-N 0 2 324.278 0.714 20 0 DCADLN Cc1cnn(CC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001283199174 954127825 /nfs/dbraw/zinc/12/78/25/954127825.db2.gz YONUJKZMAVMBFC-JTQLQIEISA-N 0 2 324.278 0.714 20 0 DCADLN O=C([O-])C1(CC[N@@H+]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CCC1 ZINC001594879642 954411868 /nfs/dbraw/zinc/41/18/68/954411868.db2.gz NYDIDHAHMARZGY-AWEZNQCLSA-N 0 2 317.407 0.521 20 0 DCADLN O=C([O-])C1(CC[N@H+]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CCC1 ZINC001594879642 954411872 /nfs/dbraw/zinc/41/18/72/954411872.db2.gz NYDIDHAHMARZGY-AWEZNQCLSA-N 0 2 317.407 0.521 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001348954448 954547715 /nfs/dbraw/zinc/54/77/15/954547715.db2.gz XYZUTMQPCRGRBZ-JTQLQIEISA-N 0 2 324.278 0.702 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001348954448 954547730 /nfs/dbraw/zinc/54/77/30/954547730.db2.gz XYZUTMQPCRGRBZ-JTQLQIEISA-N 0 2 324.278 0.702 20 0 DCADLN Cc1ncc(CC(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)o1 ZINC001366658978 954602348 /nfs/dbraw/zinc/60/23/48/954602348.db2.gz XDZHBWAJPYJQGH-JTQLQIEISA-N 0 2 320.353 0.130 20 0 DCADLN Cc1ncc(CC(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)o1 ZINC001366658978 954602356 /nfs/dbraw/zinc/60/23/56/954602356.db2.gz XDZHBWAJPYJQGH-JTQLQIEISA-N 0 2 320.353 0.130 20 0 DCADLN O=C(NC1CN(CCNC(=O)C2CC2)C1)C(F)C(F)(F)F ZINC001366918049 955053808 /nfs/dbraw/zinc/05/38/08/955053808.db2.gz BYDPPJWATSJACA-VIFPVBQESA-N 0 2 311.279 0.213 20 0 DCADLN O=C(NC1CN(CCNC(=O)C2CC2)C1)[C@H](F)C(F)(F)F ZINC001366918049 955053820 /nfs/dbraw/zinc/05/38/20/955053820.db2.gz BYDPPJWATSJACA-VIFPVBQESA-N 0 2 311.279 0.213 20 0 DCADLN O=C(COc1ccccc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001367021925 955215460 /nfs/dbraw/zinc/21/54/60/955215460.db2.gz AYZXKXUIRKXWDC-NSHDSACASA-N 0 2 317.349 0.280 20 0 DCADLN Cc1conc1C[NH2+]CC1(NC(=O)c2ncn[nH]2)CCCC1 ZINC001367155409 955410411 /nfs/dbraw/zinc/41/04/11/955410411.db2.gz HAZRYDNMXQOLQD-UHFFFAOYSA-N 0 2 304.354 0.934 20 0 DCADLN Cc1conc1C[NH2+]CC1(NC(=O)c2nc[nH]n2)CCCC1 ZINC001367155409 955410416 /nfs/dbraw/zinc/41/04/16/955410416.db2.gz HAZRYDNMXQOLQD-UHFFFAOYSA-N 0 2 304.354 0.934 20 0 DCADLN Cn1cc[nH+]c1Cc1nnc(N2CCC(C)(C(=O)[O-])CC2)n1C ZINC001594555821 955760004 /nfs/dbraw/zinc/76/00/04/955760004.db2.gz MPJXTYFNFUENSD-UHFFFAOYSA-N 0 2 318.381 0.831 20 0 DCADLN Cn1cnc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)n1 ZINC001285538678 955780986 /nfs/dbraw/zinc/78/09/86/955780986.db2.gz OASYTIVAMSBIPP-XDVGHUOJSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1cnc(C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001285538678 955781004 /nfs/dbraw/zinc/78/10/04/955781004.db2.gz OASYTIVAMSBIPP-XDVGHUOJSA-N 0 2 323.250 0.118 20 0 DCADLN C[C@@H]1CCN1C(=O)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC001353048642 955894409 /nfs/dbraw/zinc/89/44/09/955894409.db2.gz UTMMNCMNBLUHFT-MRVPVSSYSA-N 0 2 320.374 0.976 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[NH2+]Cc1nncn1-c1ccccc1 ZINC001594572256 955903793 /nfs/dbraw/zinc/90/37/93/955903793.db2.gz PQFNITWSWLBMLN-UHFFFAOYSA-N 0 2 312.333 0.989 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC001593909239 955957725 /nfs/dbraw/zinc/95/77/25/955957725.db2.gz SPRKUYNJIQDWBA-GFCCVEGCSA-N 0 2 307.281 0.727 20 0 DCADLN Cn1nccc1[C@@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C(=O)[O-] ZINC001594587760 956014716 /nfs/dbraw/zinc/01/47/16/956014716.db2.gz LYDHVHIUBGPXBK-JOYOIKCWSA-N 0 2 303.322 0.121 20 0 DCADLN Cn1nccc1[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C(=O)[O-] ZINC001594588173 956018294 /nfs/dbraw/zinc/01/82/94/956018294.db2.gz RTYHNGNEQURISD-JOYOIKCWSA-N 0 2 303.322 0.121 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)N[C@@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC001593924162 956104451 /nfs/dbraw/zinc/10/44/51/956104451.db2.gz XJCYKVZOQZVBKI-JSGCOSHPSA-N 0 2 317.345 0.920 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC001354038265 956256912 /nfs/dbraw/zinc/25/69/12/956256912.db2.gz CEBQZTWYEOFJDA-HYXAFXHYSA-N 0 2 308.334 0.782 20 0 DCADLN C[C@H](C(=O)N(C)C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001472960752 956633294 /nfs/dbraw/zinc/63/32/94/956633294.db2.gz XVAWLJQVAJUQQC-RKDXNWHRSA-N 0 2 313.295 0.508 20 0 DCADLN C[C@H](C(=O)N(C)C)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001472960752 956633304 /nfs/dbraw/zinc/63/33/04/956633304.db2.gz XVAWLJQVAJUQQC-RKDXNWHRSA-N 0 2 313.295 0.508 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(C(=O)c2cccc3[nH+]ccn32)CCO1 ZINC001593982286 956720099 /nfs/dbraw/zinc/72/00/99/956720099.db2.gz FUHFPFVULNVAJY-OAHLLOKOSA-N 0 2 319.317 0.277 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](C[C@H](O)c2cccc(F)c2)CCO1 ZINC001593985643 956772428 /nfs/dbraw/zinc/77/24/28/956772428.db2.gz IFMZPVZXCIGSPH-DZGCQCFKSA-N 0 2 313.325 0.661 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](C[C@H](O)c2cccc(F)c2)CCO1 ZINC001593985643 956772432 /nfs/dbraw/zinc/77/24/32/956772432.db2.gz IFMZPVZXCIGSPH-DZGCQCFKSA-N 0 2 313.325 0.661 20 0 DCADLN C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001589263205 957060479 /nfs/dbraw/zinc/06/04/79/957060479.db2.gz YVPRODGRHTZRHU-HTQZYQBOSA-N 0 2 303.302 0.759 20 0 DCADLN C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001589263205 957060498 /nfs/dbraw/zinc/06/04/98/957060498.db2.gz YVPRODGRHTZRHU-HTQZYQBOSA-N 0 2 303.302 0.759 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)OC(=O)C1(C(=O)[O-])CCSCC1 ZINC001603158872 972259267 /nfs/dbraw/zinc/25/92/67/972259267.db2.gz IMMQYVAURXUGPI-NSHDSACASA-N 0 2 317.407 0.848 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cnc[nH]c1=O ZINC001293375706 957357876 /nfs/dbraw/zinc/35/78/76/957357876.db2.gz AEOIOQYCKFFALS-ZETCQYMHSA-N 0 2 324.234 0.319 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1cnc[nH]c1=O ZINC001293375706 957357884 /nfs/dbraw/zinc/35/78/84/957357884.db2.gz AEOIOQYCKFFALS-ZETCQYMHSA-N 0 2 324.234 0.319 20 0 DCADLN Cc1cc(C)c(CNC(=O)[C@@H]2CCCN(CC(=O)[O-])C2=O)c[nH+]1 ZINC001594294236 957856655 /nfs/dbraw/zinc/85/66/55/957856655.db2.gz ZNEWCMWKGVKBDY-ZDUSSCGKSA-N 0 2 319.361 0.638 20 0 DCADLN Cc1nc2ccc(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)cn2n1 ZINC001361376140 957904033 /nfs/dbraw/zinc/90/40/33/957904033.db2.gz UDHPRLFLYNVDPQ-UHFFFAOYSA-N 0 2 324.304 0.061 20 0 DCADLN CN1CC[C@](C)(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001297019422 958093698 /nfs/dbraw/zinc/09/36/98/958093698.db2.gz KQXZFXFDGRVTNA-XPTSAGLGSA-N 0 2 307.354 0.085 20 0 DCADLN CNC(=O)Cn1cnc(NC(=O)c2ocnc2C(F)F)n1 ZINC001361718949 958250012 /nfs/dbraw/zinc/25/00/12/958250012.db2.gz XPHOTOMDNFYPMI-UHFFFAOYSA-N 0 2 300.225 0.202 20 0 DCADLN COC[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)C ZINC001361787848 958333231 /nfs/dbraw/zinc/33/32/31/958333231.db2.gz MLPDPMZARFVSQK-JTQLQIEISA-N 0 2 307.354 0.106 20 0 DCADLN CC(C)O[C@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001361855297 958411419 /nfs/dbraw/zinc/41/14/19/958411419.db2.gz ZDKMZKPRAAVQSY-AOOOYVTPSA-N 0 2 305.338 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@H+](C)CCc2ccnn2C)c1[O-] ZINC001367797752 958604313 /nfs/dbraw/zinc/60/43/13/958604313.db2.gz UUAISVPYVBWCER-SNVBAGLBSA-N 0 2 320.397 0.450 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@@H+](C)CCc2ccnn2C)c1[O-] ZINC001367797752 958604318 /nfs/dbraw/zinc/60/43/18/958604318.db2.gz UUAISVPYVBWCER-SNVBAGLBSA-N 0 2 320.397 0.450 20 0 DCADLN CC(C)(C)[C@@H]1CC[N@H+](CCS(=O)(=O)CC(=O)[O-])CCO1 ZINC001573389383 958684792 /nfs/dbraw/zinc/68/47/92/958684792.db2.gz DKNWQJGXZQLXSE-NSHDSACASA-N 0 2 307.412 0.623 20 0 DCADLN CC(C)(C)[C@@H]1CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])CCO1 ZINC001573389383 958684800 /nfs/dbraw/zinc/68/48/00/958684800.db2.gz DKNWQJGXZQLXSE-NSHDSACASA-N 0 2 307.412 0.623 20 0 DCADLN CC(=O)N[C@H]1CCCN(C(=O)C[N@@H+]([C@@H](C)C(=O)[O-])C2CC2)C1 ZINC001588501608 958704965 /nfs/dbraw/zinc/70/49/65/958704965.db2.gz DHEOOVOYOWYPEC-JQWIXIFHSA-N 0 2 311.382 0.051 20 0 DCADLN CC(=O)N[C@H]1CCCN(C(=O)C[N@H+]([C@@H](C)C(=O)[O-])C2CC2)C1 ZINC001588501608 958704978 /nfs/dbraw/zinc/70/49/78/958704978.db2.gz DHEOOVOYOWYPEC-JQWIXIFHSA-N 0 2 311.382 0.051 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC001362117546 958763819 /nfs/dbraw/zinc/76/38/19/958763819.db2.gz KQKHMKDJRNEMMN-SECBINFHSA-N 0 2 308.367 0.663 20 0 DCADLN CC(=O)Oc1ccc(C[NH+]2CCN(C(=O)C(=O)[O-])CC2)cc1 ZINC001588526793 958861628 /nfs/dbraw/zinc/86/16/28/958861628.db2.gz BVQIZMHLLAIQMJ-UHFFFAOYSA-N 0 2 306.318 0.341 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC2(c3ccccn3)CC2)S1 ZINC001362226060 958960295 /nfs/dbraw/zinc/96/02/95/958960295.db2.gz XTSWJWUPSHUWHL-SECBINFHSA-N 0 2 304.375 0.786 20 0 DCADLN CN(C(=O)CCc1ccccn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001368081538 959200258 /nfs/dbraw/zinc/20/02/58/959200258.db2.gz ZTNYWFZVAHWYRF-UHFFFAOYSA-N 0 2 316.365 0.181 20 0 DCADLN C[C@]1(NC(=O)CCc2ccoc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368144911 959323817 /nfs/dbraw/zinc/32/38/17/959323817.db2.gz AIDGDEZFDKXYPN-HNNXBMFYSA-N 0 2 319.365 0.817 20 0 DCADLN C[C@]1(NC(=O)CCc2ccoc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368144911 959323826 /nfs/dbraw/zinc/32/38/26/959323826.db2.gz AIDGDEZFDKXYPN-HNNXBMFYSA-N 0 2 319.365 0.817 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368151430 959342919 /nfs/dbraw/zinc/34/29/19/959342919.db2.gz NRZPPOIMDBRTRP-HZMBPMFUSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368151430 959342932 /nfs/dbraw/zinc/34/29/32/959342932.db2.gz NRZPPOIMDBRTRP-HZMBPMFUSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)[C@@H](CO)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362521532 959518669 /nfs/dbraw/zinc/51/86/69/959518669.db2.gz KZUXCVFIAZYOEB-LLVKDONJSA-N 0 2 320.349 0.261 20 0 DCADLN Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)[C@@H](C)C(=O)[O-])cc[nH+]1 ZINC001594470632 959552429 /nfs/dbraw/zinc/55/24/29/959552429.db2.gz FJRNYYMBSQPBEA-DVVUODLYSA-N 0 2 308.338 0.191 20 0 DCADLN CCC1(CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)COC1 ZINC001362581922 959642712 /nfs/dbraw/zinc/64/27/12/959642712.db2.gz GTRZJRXKDAPIOC-UHFFFAOYSA-N 0 2 318.333 0.423 20 0 DCADLN CCc1ncc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001377282256 959853199 /nfs/dbraw/zinc/85/31/99/959853199.db2.gz NRGZHYQVDQASNU-UHFFFAOYSA-N 0 2 310.383 0.391 20 0 DCADLN CCc1ncc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001377282256 959853206 /nfs/dbraw/zinc/85/32/06/959853206.db2.gz NRGZHYQVDQASNU-UHFFFAOYSA-N 0 2 310.383 0.391 20 0 DCADLN CO[C@H](C)C(=O)NCCCN(C)C(=O)C(F)C(F)(F)F ZINC001492298354 959865437 /nfs/dbraw/zinc/86/54/37/959865437.db2.gz OTIJSRXSGZYZBB-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@H](C)C(=O)NCCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001492298354 959865441 /nfs/dbraw/zinc/86/54/41/959865441.db2.gz OTIJSRXSGZYZBB-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CCCCCS(=O)(=O)CC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001304545303 960091259 /nfs/dbraw/zinc/09/12/59/960091259.db2.gz QSNULZKUVZBUBH-JTQLQIEISA-N 0 2 317.411 0.908 20 0 DCADLN COc1ccc(CCNC(=O)C[C@H]2SC(=N)NC2=O)cc1O ZINC001362858169 960097785 /nfs/dbraw/zinc/09/77/85/960097785.db2.gz XLZBTVHTNVYYJP-LLVKDONJSA-N 0 2 323.374 0.616 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2[C@@H]2CCC[C@@H]2O)S1 ZINC001362898525 960148174 /nfs/dbraw/zinc/14/81/74/960148174.db2.gz JDAOQYFZBSPUQH-VLEAKVRGSA-N 0 2 311.407 0.695 20 0 DCADLN CC(=O)CN(CC(C)C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001362903660 960155475 /nfs/dbraw/zinc/15/54/75/960155475.db2.gz KDKVKNWHLQKVRC-UHFFFAOYSA-N 0 2 305.338 0.145 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1ncnn1C ZINC001368762196 960237888 /nfs/dbraw/zinc/23/78/88/960237888.db2.gz FWWBJJFNFNXEJZ-KHQFGBGNSA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CCN1Cc1ncnn1C ZINC001368762196 960237897 /nfs/dbraw/zinc/23/78/97/960237897.db2.gz FWWBJJFNFNXEJZ-KHQFGBGNSA-N 0 2 323.294 0.795 20 0 DCADLN CC[C@@H](NC(C)=O)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001362969623 960239354 /nfs/dbraw/zinc/23/93/54/960239354.db2.gz FYUKSVGUXNXGJO-SNVBAGLBSA-N 0 2 310.354 0.112 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCC[C@@H](CO)[C@H]1CO ZINC001362974154 960244058 /nfs/dbraw/zinc/24/40/58/960244058.db2.gz ULCDQEHCSJRQND-QPUJVOFHSA-N 0 2 301.289 0.876 20 0 DCADLN C[C@@H](NC(=O)Cc1nc(C2CC2)nn1C)c1nn(C)cc1O ZINC001363039294 960331050 /nfs/dbraw/zinc/33/10/50/960331050.db2.gz JETVZBVFKPZBNA-MRVPVSSYSA-N 0 2 304.354 0.552 20 0 DCADLN COCC(=O)NC[C@@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001377604665 960349871 /nfs/dbraw/zinc/34/98/71/960349871.db2.gz DLBOWFQQLHCYJS-LLVKDONJSA-N 0 2 305.338 0.104 20 0 DCADLN Cc1noc([C@H](C)[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001316820182 960389627 /nfs/dbraw/zinc/38/96/27/960389627.db2.gz TZBUVIVXPUTHRI-VIFPVBQESA-N 0 2 322.369 0.928 20 0 DCADLN Cc1noc([C@H](C)[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001316820182 960389637 /nfs/dbraw/zinc/38/96/37/960389637.db2.gz TZBUVIVXPUTHRI-VIFPVBQESA-N 0 2 322.369 0.928 20 0 DCADLN CCCN(C(=O)Cc1c[nH+]c[nH]1)[C@@H]1CCN(Cc2cnon2)C1 ZINC001377655543 960396997 /nfs/dbraw/zinc/39/69/97/960396997.db2.gz HHQSPDNODNQTTA-CQSZACIVSA-N 0 2 318.381 0.848 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378490329 960850644 /nfs/dbraw/zinc/85/06/44/960850644.db2.gz MWOMAYVFKRYHAW-MWLCHTKSSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1[nH]nc(CNC(=O)c2cc(S(N)(=O)=O)ccc2O)c1C ZINC001363312225 960866983 /nfs/dbraw/zinc/86/69/83/960866983.db2.gz PXVOWGGHJHGKEC-UHFFFAOYSA-N 0 2 324.362 0.310 20 0 DCADLN C[C@@H](NC(=O)CCc1ccoc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378499705 960878468 /nfs/dbraw/zinc/87/84/68/960878468.db2.gz OTWREGYRPFJCDQ-SNVBAGLBSA-N 0 2 319.365 0.673 20 0 DCADLN CC[C@H](C)NC(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001377892735 960919863 /nfs/dbraw/zinc/91/98/63/960919863.db2.gz SNSZUKXTQVXPJL-OIBJUYFYSA-N 0 2 313.295 0.602 20 0 DCADLN CC[C@H](C)NC(=O)CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001377892735 960919872 /nfs/dbraw/zinc/91/98/72/960919872.db2.gz SNSZUKXTQVXPJL-OIBJUYFYSA-N 0 2 313.295 0.602 20 0 DCADLN CCOC(=O)C1([NH2+]CCC(=O)NCC(=O)[O-])CCCCC1 ZINC001571072461 960923309 /nfs/dbraw/zinc/92/33/09/960923309.db2.gz ZCEGKFSUCJKXTQ-UHFFFAOYSA-N 0 2 300.355 0.433 20 0 DCADLN COc1ccnc(OC)c1NC(=O)CC1SC(=N)NC1=O ZINC001363347196 960952970 /nfs/dbraw/zinc/95/29/70/960952970.db2.gz YDDZFRINHVSAKH-ZETCQYMHSA-N 0 2 310.335 0.594 20 0 DCADLN CCC(=O)Nc1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1 ZINC001363355672 960970778 /nfs/dbraw/zinc/97/07/78/960970778.db2.gz HQCQCBVKGYCJKF-UHFFFAOYSA-N 0 2 310.339 0.359 20 0 DCADLN CN(CCn1cnnc1)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001363378202 961022772 /nfs/dbraw/zinc/02/27/72/961022772.db2.gz FZUKDHJYOPPXRJ-UHFFFAOYSA-N 0 2 307.276 0.194 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)c1cc(-n2cc[nH+]c2)ccc1O)C(=O)[O-] ZINC001571085240 961047948 /nfs/dbraw/zinc/04/79/48/961047948.db2.gz XCPQSIXYTOSCCA-PELKAZGASA-N 0 2 305.290 0.142 20 0 DCADLN CCC[C@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001378649380 961194723 /nfs/dbraw/zinc/19/47/23/961194723.db2.gz DSDUVVUSTMBXSN-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)C[C@H]1C ZINC000250353458 961215445 /nfs/dbraw/zinc/21/54/45/961215445.db2.gz RSCVPTARIXVFJP-LLVKDONJSA-N 0 2 319.427 0.054 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)C[C@H]1C ZINC000250353458 961215457 /nfs/dbraw/zinc/21/54/57/961215457.db2.gz RSCVPTARIXVFJP-LLVKDONJSA-N 0 2 319.427 0.054 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2CCOC2)CC1)C(F)C(F)(F)F ZINC001378671778 961250447 /nfs/dbraw/zinc/25/04/47/961250447.db2.gz BRZSOUNCNQPRFE-YUMQZZPRSA-N 0 2 312.263 0.688 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2CCOC2)CC1)[C@H](F)C(F)(F)F ZINC001378671778 961250463 /nfs/dbraw/zinc/25/04/63/961250463.db2.gz BRZSOUNCNQPRFE-YUMQZZPRSA-N 0 2 312.263 0.688 20 0 DCADLN NC(=O)[C@H]1CCO[C@H]1C1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC001549038425 1013241141 /nfs/dbraw/zinc/24/11/41/1013241141.db2.gz VTNWTSXVUHLBHK-JSGCOSHPSA-N 0 2 319.361 0.887 20 0 DCADLN O=C(Cc1cccnc1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001430216497 1013257752 /nfs/dbraw/zinc/25/77/52/1013257752.db2.gz GTJCGDAVPFUAMR-LLVKDONJSA-N 0 2 319.258 0.852 20 0 DCADLN O=C(Cc1cccnc1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430216497 1013257759 /nfs/dbraw/zinc/25/77/59/1013257759.db2.gz GTJCGDAVPFUAMR-LLVKDONJSA-N 0 2 319.258 0.852 20 0 DCADLN CC1(NC(=O)[C@H]2C[C@H]2C(=O)[O-])CC[NH+](Cc2ncccn2)CC1 ZINC001571138371 961637661 /nfs/dbraw/zinc/63/76/61/961637661.db2.gz YTNVNTVYGDRZQD-NWDGAFQWSA-N 0 2 318.377 0.668 20 0 DCADLN CCO[C@@H]1[C@H](C)[C@@H]1C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001363658898 961642988 /nfs/dbraw/zinc/64/29/88/961642988.db2.gz YJEHWEHEHAWYMZ-JFUSQASVSA-N 0 2 309.366 0.868 20 0 DCADLN COc1ccc2c(c1)[C@@H]([NH2+]Cc1cn(CC(=O)[O-])nn1)CCO2 ZINC001571140927 961665076 /nfs/dbraw/zinc/66/50/76/961665076.db2.gz VIDKLGKUGLWPLD-ZDUSSCGKSA-N 0 2 318.333 0.985 20 0 DCADLN Cc1nc(CC(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001378210418 961670491 /nfs/dbraw/zinc/67/04/91/961670491.db2.gz CWVKGAIHZJEXFC-QMMMGPOBSA-N 0 2 324.410 0.454 20 0 DCADLN Cc1nc(CC(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001378210418 961670498 /nfs/dbraw/zinc/67/04/98/961670498.db2.gz CWVKGAIHZJEXFC-QMMMGPOBSA-N 0 2 324.410 0.454 20 0 DCADLN COc1cc(C)nc(NCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001363765412 961829351 /nfs/dbraw/zinc/82/93/51/961829351.db2.gz VTZBOZRIVLOJMF-UHFFFAOYSA-N 0 2 312.355 0.068 20 0 DCADLN Cc1nnc2n1C[C@@H](C(=O)N[C@H](C)c1nn(C)cc1O)CC2 ZINC001363836076 961989573 /nfs/dbraw/zinc/98/95/73/961989573.db2.gz WTJFCCLGYTUVTG-SCZZXKLOSA-N 0 2 304.354 0.465 20 0 DCADLN C[C@@H](NC(=O)c1cccc(OCC(N)=O)c1)c1nn(C)cc1O ZINC001363853291 962028589 /nfs/dbraw/zinc/02/85/89/962028589.db2.gz QLIWNBOUNMHWJQ-SECBINFHSA-N 0 2 318.333 0.481 20 0 DCADLN COC(=O)c1ccnc(C(=O)N[C@@H](C)c2nn(C)cc2O)c1 ZINC001363855896 962031605 /nfs/dbraw/zinc/03/16/05/962031605.db2.gz XSJDPCAFMXXHTL-QMMMGPOBSA-N 0 2 304.306 0.798 20 0 DCADLN CC(C)OC(=O)C(C)(C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001363896306 962097430 /nfs/dbraw/zinc/09/74/30/962097430.db2.gz KEVNPMKNACSWCT-ZETCQYMHSA-N 0 2 301.368 0.389 20 0 DCADLN COc1ccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001379113727 962131727 /nfs/dbraw/zinc/13/17/27/962131727.db2.gz ODSKLLINRGCSBJ-UHFFFAOYSA-N 0 2 309.326 0.316 20 0 DCADLN COc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001379113727 962131744 /nfs/dbraw/zinc/13/17/44/962131744.db2.gz ODSKLLINRGCSBJ-UHFFFAOYSA-N 0 2 309.326 0.316 20 0 DCADLN CN(CC(=O)C(C)(C)C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001363957928 962190551 /nfs/dbraw/zinc/19/05/51/962190551.db2.gz MSDDWEIQGKYHIM-UHFFFAOYSA-N 0 2 305.338 0.145 20 0 DCADLN Cn1ncc(CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)n1 ZINC001363983149 962238934 /nfs/dbraw/zinc/23/89/34/962238934.db2.gz QKPOXXNWCZHUPL-GOSISDBHSA-N 0 2 309.417 0.046 20 0 DCADLN Cc1nn[nH]c1C(=O)N(C)C[C@@H](C)[NH2+][C@@H](C)c1nncn1C ZINC001379193071 962320160 /nfs/dbraw/zinc/32/01/60/962320160.db2.gz BNCAUYDYNLDSJJ-SCZZXKLOSA-N 0 2 306.374 0.053 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cc(Cl)cnc2N)n1 ZINC001364111584 962493394 /nfs/dbraw/zinc/49/33/94/962493394.db2.gz XDGOECRSAFARIY-UHFFFAOYSA-N 0 2 317.762 0.685 20 0 DCADLN Cc1noc([C@H](C)NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001364199073 962655774 /nfs/dbraw/zinc/65/57/74/962655774.db2.gz BHBPHUKALBIFOT-IONNQARKSA-N 0 2 321.341 0.852 20 0 DCADLN Cc1cnc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001379702888 963404107 /nfs/dbraw/zinc/40/41/07/963404107.db2.gz ANBDWFNNXWKXFX-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cnc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001379702888 963404111 /nfs/dbraw/zinc/40/41/11/963404111.db2.gz ANBDWFNNXWKXFX-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001430388990 1013424132 /nfs/dbraw/zinc/42/41/32/1013424132.db2.gz IMOWKXLLFUECLT-JTQLQIEISA-N 0 2 322.262 0.427 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430388990 1013424137 /nfs/dbraw/zinc/42/41/37/1013424137.db2.gz IMOWKXLLFUECLT-JTQLQIEISA-N 0 2 322.262 0.427 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccncn1 ZINC001380763691 963565774 /nfs/dbraw/zinc/56/57/74/963565774.db2.gz XGDBOBXVFKMXDJ-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ccncn1 ZINC001380763691 963565780 /nfs/dbraw/zinc/56/57/80/963565780.db2.gz XGDBOBXVFKMXDJ-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN CCC(=O)N1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001380979432 963738843 /nfs/dbraw/zinc/73/88/43/963738843.db2.gz DSAJWVANRNQNLQ-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)N1CC(CCO)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001380979432 963738848 /nfs/dbraw/zinc/73/88/48/963738848.db2.gz DSAJWVANRNQNLQ-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)NC[C@@H]1Cc2ccccc2CN1Cc1n[nH]c(=O)[nH]1 ZINC001381024260 963770900 /nfs/dbraw/zinc/77/09/00/963770900.db2.gz XDKQAQLLUFWOIA-ZDUSSCGKSA-N 0 2 315.377 0.963 20 0 DCADLN CC[N@@H+]1CCC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC000316287742 963999449 /nfs/dbraw/zinc/99/94/49/963999449.db2.gz UREWUHGZSXJAMQ-QMMMGPOBSA-N 0 2 303.340 0.135 20 0 DCADLN CC[N@H+]1CCC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC000316287742 963999458 /nfs/dbraw/zinc/99/94/58/963999458.db2.gz UREWUHGZSXJAMQ-QMMMGPOBSA-N 0 2 303.340 0.135 20 0 DCADLN CSC[C@H](C)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368934718 964150726 /nfs/dbraw/zinc/15/07/26/964150726.db2.gz QSUWKBLIFGMMRW-IUCAKERBSA-N 0 2 301.416 0.446 20 0 DCADLN CSC[C@H](C)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368934718 964150736 /nfs/dbraw/zinc/15/07/36/964150736.db2.gz QSUWKBLIFGMMRW-IUCAKERBSA-N 0 2 301.416 0.446 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](CO)[NH2+]C/C(Cl)=C/Cl)c1[O-] ZINC001369319528 964727873 /nfs/dbraw/zinc/72/78/73/964727873.db2.gz FOBUEBLRIGTNCK-CDETVPHDSA-N 0 2 323.180 0.423 20 0 DCADLN Cn1ncc(CN2CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001375829815 964882775 /nfs/dbraw/zinc/88/27/75/964882775.db2.gz ZDLILXPAOMDEPX-MWLCHTKSSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CC[C@@](C)(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001375829815 964882784 /nfs/dbraw/zinc/88/27/84/964882784.db2.gz ZDLILXPAOMDEPX-MWLCHTKSSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@H](c1nnnn1C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001374086467 964997160 /nfs/dbraw/zinc/99/71/60/964997160.db2.gz YBPPPPNNUKKJIB-SFYZADRCSA-N 0 2 324.282 0.316 20 0 DCADLN C[C@H](c1nnnn1C)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001374086467 964997168 /nfs/dbraw/zinc/99/71/68/964997168.db2.gz YBPPPPNNUKKJIB-SFYZADRCSA-N 0 2 324.282 0.316 20 0 DCADLN O=C(CC1CCCC1)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375998919 965063605 /nfs/dbraw/zinc/06/36/05/965063605.db2.gz SMEYEOGBPSQLOE-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(CC1CCCC1)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375998919 965063613 /nfs/dbraw/zinc/06/36/13/965063613.db2.gz SMEYEOGBPSQLOE-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H](C)C[NH2+]Cc2nccc(C)n2)c1[O-] ZINC001374218420 965147912 /nfs/dbraw/zinc/14/79/12/965147912.db2.gz LDCBFBZLHUICJC-JTQLQIEISA-N 0 2 318.381 0.772 20 0 DCADLN O=C(CCc1ccco1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369945015 965598567 /nfs/dbraw/zinc/59/85/67/965598567.db2.gz VYUPGPUCXCWARK-JTQLQIEISA-N 0 2 305.338 0.427 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccnnc2)C1)C(F)C(F)(F)F ZINC001374847267 966024775 /nfs/dbraw/zinc/02/47/75/966024775.db2.gz OBXVDZOJBAZAFJ-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccnnc2)C1)[C@@H](F)C(F)(F)F ZINC001374847267 966024791 /nfs/dbraw/zinc/02/47/91/966024791.db2.gz OBXVDZOJBAZAFJ-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001370644587 966324208 /nfs/dbraw/zinc/32/42/08/966324208.db2.gz QILCGERRGRMOGC-VHSXEESVSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccccc1 ZINC001370644587 966324215 /nfs/dbraw/zinc/32/42/15/966324215.db2.gz QILCGERRGRMOGC-VHSXEESVSA-N 0 2 322.258 0.794 20 0 DCADLN CCc1noc([C@H](C)N(C)[C@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001381537950 966515343 /nfs/dbraw/zinc/51/53/43/966515343.db2.gz CNHXHEPWPPRGAV-BDAKNGLRSA-N 0 2 307.358 0.561 20 0 DCADLN C[C@H](CN(C)C(=O)c1cccnn1)NC(=O)C(F)C(F)(F)F ZINC001382173597 967007758 /nfs/dbraw/zinc/00/77/58/967007758.db2.gz IHUMPHCVOIQGIM-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1cccnn1)NC(=O)[C@H](F)C(F)(F)F ZINC001382173597 967007765 /nfs/dbraw/zinc/00/77/65/967007765.db2.gz IHUMPHCVOIQGIM-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN CCn1cc(CN(C)CCNC(=O)C(F)C(F)(F)F)nn1 ZINC001371975912 967509517 /nfs/dbraw/zinc/50/95/17/967509517.db2.gz UPUDCVFJWXBNNH-SECBINFHSA-N 0 2 311.283 0.746 20 0 DCADLN CCn1cc(CN(C)CCNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001371975912 967509520 /nfs/dbraw/zinc/50/95/20/967509520.db2.gz UPUDCVFJWXBNNH-SECBINFHSA-N 0 2 311.283 0.746 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001372031882 967585829 /nfs/dbraw/zinc/58/58/29/967585829.db2.gz YMCZYOFLBCVRTN-IYSWYEEDSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cn[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001372031882 967585836 /nfs/dbraw/zinc/58/58/36/967585836.db2.gz YMCZYOFLBCVRTN-IYSWYEEDSA-N 0 2 309.223 0.036 20 0 DCADLN CCN(CC)C(=O)CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001372425785 967982717 /nfs/dbraw/zinc/98/27/17/967982717.db2.gz BXNZQYXUMZYYAG-JTQLQIEISA-N 0 2 324.385 0.268 20 0 DCADLN Cn1nnnc1CN1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001372619953 968184380 /nfs/dbraw/zinc/18/43/80/968184380.db2.gz XYURARJZWPBCHV-APPZFPTMSA-N 0 2 324.282 0.049 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001372905753 968518660 /nfs/dbraw/zinc/51/86/60/968518660.db2.gz NIERLRHIEBLKJM-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001372905753 968518667 /nfs/dbraw/zinc/51/86/67/968518667.db2.gz NIERLRHIEBLKJM-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2ncc[nH]2)C1)C(F)C(F)(F)F ZINC001373151694 968762486 /nfs/dbraw/zinc/76/24/86/968762486.db2.gz ODFCFIQRCZNZPE-HTQZYQBOSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2ncc[nH]2)C1)[C@@H](F)C(F)(F)F ZINC001373151694 968762490 /nfs/dbraw/zinc/76/24/90/968762490.db2.gz ODFCFIQRCZNZPE-HTQZYQBOSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(CCc1ccon1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373397266 969039613 /nfs/dbraw/zinc/03/96/13/969039613.db2.gz GTTOSBIFZCAEEF-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN O=C(CCn1cccc1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373400911 969044439 /nfs/dbraw/zinc/04/44/39/969044439.db2.gz IBKGSLTVEVRGOZ-LBPRGKRZSA-N 0 2 318.381 0.483 20 0 DCADLN CN(CCNC(=O)CCc1c[nH]c[nH+]1)C(=O)C[N@H+](C)C1CCC1 ZINC001373505519 969168945 /nfs/dbraw/zinc/16/89/45/969168945.db2.gz KITPQVMMLJSYAU-UHFFFAOYSA-N 0 2 321.425 0.401 20 0 DCADLN CC(C)(C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)F ZINC001373533238 969194659 /nfs/dbraw/zinc/19/46/59/969194659.db2.gz UYHGNSFTILLUPB-UHFFFAOYSA-N 0 2 303.313 0.350 20 0 DCADLN CN(CCNC(=O)c1cncn1C)C(=O)C(F)C(F)(F)F ZINC001373535874 969205216 /nfs/dbraw/zinc/20/52/16/969205216.db2.gz CTFWZEXPSSEYLE-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)c1cncn1C)C(=O)[C@H](F)C(F)(F)F ZINC001373535874 969205219 /nfs/dbraw/zinc/20/52/19/969205219.db2.gz CTFWZEXPSSEYLE-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CCN(C)C(=O)C[NH+]1CC[C@H](NC(=O)[C@@H]2CCC[N@@H+]2C(C)C)C1 ZINC001373591452 969271045 /nfs/dbraw/zinc/27/10/45/969271045.db2.gz FBXOGJRPWXEJAX-GJZGRUSLSA-N 0 2 324.469 0.528 20 0 DCADLN CCn1cc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)cn1 ZINC001431174249 1013932276 /nfs/dbraw/zinc/93/22/76/1013932276.db2.gz LSERISWCVZADDK-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1cc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001431174249 1013932277 /nfs/dbraw/zinc/93/22/77/1013932277.db2.gz LSERISWCVZADDK-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)c2cc(=O)c3ccccc3o2)CC1 ZINC000319514021 970543644 /nfs/dbraw/zinc/54/36/44/970543644.db2.gz DRJOYQFGOLYRPA-UHFFFAOYSA-N 0 2 316.313 0.635 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000319660510 970559024 /nfs/dbraw/zinc/55/90/24/970559024.db2.gz GPXKZCUPTLMTCL-LLVKDONJSA-N 0 2 322.252 0.883 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000319660510 970559025 /nfs/dbraw/zinc/55/90/25/970559025.db2.gz GPXKZCUPTLMTCL-LLVKDONJSA-N 0 2 322.252 0.883 20 0 DCADLN COc1ccc(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1F ZINC000320405873 970659789 /nfs/dbraw/zinc/65/97/89/970659789.db2.gz AIFLBQAMJFXCQG-GFCCVEGCSA-N 0 2 321.308 0.912 20 0 DCADLN COc1ccc(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1F ZINC000320405873 970659792 /nfs/dbraw/zinc/65/97/92/970659792.db2.gz AIFLBQAMJFXCQG-GFCCVEGCSA-N 0 2 321.308 0.912 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1 ZINC000320600718 970683062 /nfs/dbraw/zinc/68/30/62/970683062.db2.gz AJXQWPCWBMASLG-WOPDTQHZSA-N 0 2 312.366 0.241 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2CC[C@H](C(=O)[O-])c3ccccc32)C1 ZINC001604227789 972727440 /nfs/dbraw/zinc/72/74/40/972727440.db2.gz NKLSYMZXEKYLAQ-JSGCOSHPSA-N 0 2 304.346 0.922 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2CC[C@H](C(=O)[O-])c3ccccc32)C1 ZINC001604227789 972727449 /nfs/dbraw/zinc/72/74/49/972727449.db2.gz NKLSYMZXEKYLAQ-JSGCOSHPSA-N 0 2 304.346 0.922 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N2CC[C@@H](C(=O)[O-])c3ccccc32)C1 ZINC001604227793 972727958 /nfs/dbraw/zinc/72/79/58/972727958.db2.gz NKLSYMZXEKYLAQ-TZMCWYRMSA-N 0 2 304.346 0.922 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2CC[C@@H](C(=O)[O-])c3ccccc32)C1 ZINC001604227793 972727960 /nfs/dbraw/zinc/72/79/60/972727960.db2.gz NKLSYMZXEKYLAQ-TZMCWYRMSA-N 0 2 304.346 0.922 20 0 DCADLN COC(=O)[C@@H](c1cccnc1)[N@@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001604271017 972823006 /nfs/dbraw/zinc/82/30/06/972823006.db2.gz FYGOKLYVHPUSQV-ZOWXZIJZSA-N 0 2 306.318 0.329 20 0 DCADLN COC(=O)[C@@H](c1cccnc1)[N@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001604271017 972823016 /nfs/dbraw/zinc/82/30/16/972823016.db2.gz FYGOKLYVHPUSQV-ZOWXZIJZSA-N 0 2 306.318 0.329 20 0 DCADLN Cc1n[nH]nc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001448921923 1014097855 /nfs/dbraw/zinc/09/78/55/1014097855.db2.gz PSAQLFLFPCDWOZ-SSDOTTSWSA-N 0 2 311.239 0.202 20 0 DCADLN Cc1n[nH]nc1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001448921923 1014097858 /nfs/dbraw/zinc/09/78/58/1014097858.db2.gz PSAQLFLFPCDWOZ-SSDOTTSWSA-N 0 2 311.239 0.202 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC000401882610 973490507 /nfs/dbraw/zinc/49/05/07/973490507.db2.gz MWJNGQRHENWJJT-WPRPVWTQSA-N 0 2 314.288 0.671 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC000401882610 973490516 /nfs/dbraw/zinc/49/05/16/973490516.db2.gz MWJNGQRHENWJJT-WPRPVWTQSA-N 0 2 314.288 0.671 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH2+][C@@H](CO)c2ccc(F)cc2F)C1=O ZINC001606244173 973525957 /nfs/dbraw/zinc/52/59/57/973525957.db2.gz ZJYJEOIKHJBAPR-NEPJUHHUSA-N 0 2 314.288 0.273 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2cnc3c(cnn3C)c2)CCO1 ZINC001604563720 973619443 /nfs/dbraw/zinc/61/94/43/973619443.db2.gz BIRQSTLPXKCBHT-HNNXBMFYSA-N 0 2 320.349 0.270 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2cnc3c(cnn3C)c2)CCO1 ZINC001604563720 973619447 /nfs/dbraw/zinc/61/94/47/973619447.db2.gz BIRQSTLPXKCBHT-HNNXBMFYSA-N 0 2 320.349 0.270 20 0 DCADLN Cn1nccc1[C@@H]1CCC[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001605570528 973831144 /nfs/dbraw/zinc/83/11/44/973831144.db2.gz ZYKJEAJZDKTUNM-LLVKDONJSA-N 0 2 315.395 0.099 20 0 DCADLN Cn1nccc1[C@@H]1CCC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001605570528 973831152 /nfs/dbraw/zinc/83/11/52/973831152.db2.gz ZYKJEAJZDKTUNM-LLVKDONJSA-N 0 2 315.395 0.099 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)NC[C@H](C(=O)[O-])C(C)C ZINC001603628738 973951103 /nfs/dbraw/zinc/95/11/03/973951103.db2.gz WORHDLMENONQEZ-OLZOCXBDSA-N 0 2 315.414 0.753 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)NC[C@H](C(=O)[O-])C(C)C ZINC001603628738 973951111 /nfs/dbraw/zinc/95/11/11/973951111.db2.gz WORHDLMENONQEZ-OLZOCXBDSA-N 0 2 315.414 0.753 20 0 DCADLN CN(C)C(=O)OC1CC[NH+](CN2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001604045472 974573180 /nfs/dbraw/zinc/57/31/80/974573180.db2.gz BSUBCZWIOFMTLO-SNVBAGLBSA-N 0 2 313.354 0.040 20 0 DCADLN O=C(NC[C@@H]1C[C@@H](c2nc[nH]n2)C[C@@H]1O)c1cncc(O)c1 ZINC001549519434 1014287657 /nfs/dbraw/zinc/28/76/57/1014287657.db2.gz KOWPFUUOIWXZOI-PTRXPTGYSA-N 0 2 303.322 0.190 20 0 DCADLN C[C@@H](CC(=O)OCc1noc(CCC(=O)[O-])n1)n1cc[nH+]c1 ZINC001592433596 978068051 /nfs/dbraw/zinc/06/80/51/978068051.db2.gz LYWAEYRQLUKTAL-VIFPVBQESA-N 0 2 308.294 0.978 20 0 DCADLN C[C@@H](CN(C)c1ncc(C(=O)[O-])cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001592593065 978523280 /nfs/dbraw/zinc/52/32/80/978523280.db2.gz CJBNNJMHLFSREI-VIFPVBQESA-N 0 2 318.337 0.082 20 0 DCADLN CC[C@H](CNC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C(=O)[O-] ZINC001595134564 979566687 /nfs/dbraw/zinc/56/66/87/979566687.db2.gz XPRMKJKSCITOOF-SNVBAGLBSA-N 0 2 322.365 0.622 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)N1CCC(CC(=O)[O-])CC1 ZINC001592929711 979959779 /nfs/dbraw/zinc/95/97/79/979959779.db2.gz AJAPHFQINVYIOE-NSHDSACASA-N 0 2 322.365 0.101 20 0 DCADLN C[C@H](NC(=O)N(C)[C@H]1C[C@@H](C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC001593049911 980340078 /nfs/dbraw/zinc/34/00/78/980340078.db2.gz BNSPRVHZMJWJKR-ZDEQEGDKSA-N 0 2 313.398 0.600 20 0 DCADLN CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])cn1C ZINC001595484214 981218053 /nfs/dbraw/zinc/21/80/53/981218053.db2.gz URNQUEGUTJXBKO-NSHDSACASA-N 0 2 315.395 0.438 20 0 DCADLN CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])cn1C ZINC001595484214 981218054 /nfs/dbraw/zinc/21/80/54/981218054.db2.gz URNQUEGUTJXBKO-NSHDSACASA-N 0 2 315.395 0.438 20 0 DCADLN CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC001595484481 981221680 /nfs/dbraw/zinc/22/16/80/981221680.db2.gz ZTEIWOLROVTONB-SECBINFHSA-N 0 2 302.352 0.693 20 0 DCADLN CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC001595484481 981221684 /nfs/dbraw/zinc/22/16/84/981221684.db2.gz ZTEIWOLROVTONB-SECBINFHSA-N 0 2 302.352 0.693 20 0 DCADLN C[C@]1(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)CCOC1 ZINC001530486087 1014769538 /nfs/dbraw/zinc/76/95/38/1014769538.db2.gz WYHXUXBRJWXGDE-KCJUWKMLSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@]1(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)CCOC1 ZINC001530486087 1014769550 /nfs/dbraw/zinc/76/95/50/1014769550.db2.gz WYHXUXBRJWXGDE-KCJUWKMLSA-N 0 2 312.263 0.640 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)s1 ZINC000910816248 982135518 /nfs/dbraw/zinc/13/55/18/982135518.db2.gz NFIWPTAIVYSSKY-QMMMGPOBSA-N 0 2 306.347 0.917 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+]1CCc2n[nH]c(=O)cc2C1)C(=O)[O-] ZINC001594894466 982321378 /nfs/dbraw/zinc/32/13/78/982321378.db2.gz SIMJGAYHEZUCBI-YMTOWFKASA-N 0 2 322.365 0.156 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+]1CCc2n[nH]c(=O)cc2C1)C(=O)[O-] ZINC001594894466 982321386 /nfs/dbraw/zinc/32/13/86/982321386.db2.gz SIMJGAYHEZUCBI-YMTOWFKASA-N 0 2 322.365 0.156 20 0 DCADLN CCCC[N@H+](CC(N)=O)Cc1snc(OC)c1C(=O)[O-] ZINC001595741747 982404037 /nfs/dbraw/zinc/40/40/37/982404037.db2.gz QMVRNWZMDKWVIX-UHFFFAOYSA-N 0 2 301.368 0.937 20 0 DCADLN CCCC[N@@H+](CC(N)=O)Cc1snc(OC)c1C(=O)[O-] ZINC001595741747 982404042 /nfs/dbraw/zinc/40/40/42/982404042.db2.gz QMVRNWZMDKWVIX-UHFFFAOYSA-N 0 2 301.368 0.937 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1cc(OC)no1 ZINC001596332100 983769725 /nfs/dbraw/zinc/76/97/25/983769725.db2.gz GHLMPVLPARQKFW-WDEREUQCSA-N 0 2 311.338 0.342 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1cc(OC)no1 ZINC001596332100 983769727 /nfs/dbraw/zinc/76/97/27/983769727.db2.gz GHLMPVLPARQKFW-WDEREUQCSA-N 0 2 311.338 0.342 20 0 DCADLN Cn1cc([C@H]2CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@@H]2CO)cn1 ZINC001551352664 1015023268 /nfs/dbraw/zinc/02/32/68/1015023268.db2.gz DXFARBHMAJMTIR-CHWSQXEVSA-N 0 2 302.334 0.726 20 0 DCADLN CCOC(=O)[C@H]([NH2+]C[C@@H]1[C@H](C(=O)[O-])C1(F)F)C1CCOCC1 ZINC001596543075 984391403 /nfs/dbraw/zinc/39/14/03/984391403.db2.gz LLFJSVQVAYMRGM-GMTAPVOTSA-N 0 2 321.320 0.900 20 0 DCADLN CCOC(=O)[C@H]([NH2+]C[C@@H]1[C@@H](C(=O)[O-])C1(F)F)C1CCOCC1 ZINC001596543081 984391743 /nfs/dbraw/zinc/39/17/43/984391743.db2.gz LLFJSVQVAYMRGM-OUAUKWLOSA-N 0 2 321.320 0.900 20 0 DCADLN CCOC(=O)[C@H]1CC[N@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001596579848 984492311 /nfs/dbraw/zinc/49/23/11/984492311.db2.gz FUMCPGGJUZGGKI-JTQLQIEISA-N 0 2 321.333 0.603 20 0 DCADLN CCOC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001596579848 984492313 /nfs/dbraw/zinc/49/23/13/984492313.db2.gz FUMCPGGJUZGGKI-JTQLQIEISA-N 0 2 321.333 0.603 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)OCC(F)(F)F)[nH]1 ZINC001475727080 1017146344 /nfs/dbraw/zinc/14/63/44/1017146344.db2.gz RKHHDNMIEUUWNO-UHFFFAOYSA-N 0 2 316.261 0.138 20 0 DCADLN CNC(=O)[C@]12CN(c3[nH+]cccc3C(=O)[O-])C[C@@H]1COCC2 ZINC001551411413 1015047590 /nfs/dbraw/zinc/04/75/90/1015047590.db2.gz TVJBDRMKQBJBMR-MEBBXXQBSA-N 0 2 305.334 0.369 20 0 DCADLN C/C(=C/C(=O)N[C@H](CC(F)F)C(=O)[O-])C[NH+]1CCOCC1 ZINC001588938568 984623094 /nfs/dbraw/zinc/62/30/94/984623094.db2.gz HKCLIYOKFAHRCB-ABRRARGCSA-N 0 2 306.309 0.490 20 0 DCADLN CNC(=O)[C@@]12CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@H]1COCC2 ZINC001551415722 1015052088 /nfs/dbraw/zinc/05/20/88/1015052088.db2.gz ZCBZJMVVRCDNNM-NHYWBVRUSA-N 0 2 305.334 0.369 20 0 DCADLN CCOC(=O)NCCCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001596662508 984716934 /nfs/dbraw/zinc/71/69/34/984716934.db2.gz IILSJOVCTIEVHV-SNVBAGLBSA-N 0 2 312.326 0.048 20 0 DCADLN CCOC(=O)NCCCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001596662508 984716941 /nfs/dbraw/zinc/71/69/41/984716941.db2.gz IILSJOVCTIEVHV-SNVBAGLBSA-N 0 2 312.326 0.048 20 0 DCADLN CNC(=O)[C@@]12CN(C(=O)C(F)C(F)(F)F)C[C@H]1COCC2 ZINC001551437256 1015078361 /nfs/dbraw/zinc/07/83/61/1015078361.db2.gz LHPMARLGIPVDTM-RNSXUZJQSA-N 0 2 312.263 0.498 20 0 DCADLN CNC(=O)[C@@]12CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1COCC2 ZINC001551437256 1015078372 /nfs/dbraw/zinc/07/83/72/1015078372.db2.gz LHPMARLGIPVDTM-RNSXUZJQSA-N 0 2 312.263 0.498 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001531242972 1015118878 /nfs/dbraw/zinc/11/88/78/1015118878.db2.gz ZHBVJWUJBHCSDT-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001531242972 1015118882 /nfs/dbraw/zinc/11/88/82/1015118882.db2.gz ZHBVJWUJBHCSDT-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2=CCCC2)C[C@@H]1O)C(F)C(F)(F)F ZINC001384232540 985454055 /nfs/dbraw/zinc/45/40/55/985454055.db2.gz ZDHIJHPFKOTZLI-UTLUCORTSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2=CCCC2)C[C@@H]1O)[C@H](F)C(F)(F)F ZINC001384232540 985454058 /nfs/dbraw/zinc/45/40/58/985454058.db2.gz ZDHIJHPFKOTZLI-UTLUCORTSA-N 0 2 324.274 0.685 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CCN3CCOC[C@H]3C2)c(C)c1C(=O)[O-] ZINC001599944578 985502834 /nfs/dbraw/zinc/50/28/34/985502834.db2.gz GRISKBSRDPDJDG-GFCCVEGCSA-N 0 2 321.377 0.529 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CCN3CCOC[C@H]3C2)c(C)c1C(=O)[O-] ZINC001599944578 985502843 /nfs/dbraw/zinc/50/28/43/985502843.db2.gz GRISKBSRDPDJDG-GFCCVEGCSA-N 0 2 321.377 0.529 20 0 DCADLN CCOC[C@H](C(=O)[O-])N(C)C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001596876274 985725584 /nfs/dbraw/zinc/72/55/84/985725584.db2.gz XDRYESBXCGYROB-CYBMUJFWSA-N 0 2 318.333 0.829 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@H+](C)[C@@H]1CCN(C2CCOCC2)C1=O ZINC001596878917 985745129 /nfs/dbraw/zinc/74/51/29/985745129.db2.gz WTFBTJWSCYJPBC-OLZOCXBDSA-N 0 2 314.382 0.188 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@@H+](C)[C@@H]1CCN(C2CCOCC2)C1=O ZINC001596878917 985745141 /nfs/dbraw/zinc/74/51/41/985745141.db2.gz WTFBTJWSCYJPBC-OLZOCXBDSA-N 0 2 314.382 0.188 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@](C)(O)C2CC2)n[nH]1 ZINC001551660202 1015164742 /nfs/dbraw/zinc/16/47/42/1015164742.db2.gz OAEBHYRSCAMWCG-JMSVASOKSA-N 0 2 308.382 0.605 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2CCC(=O)C2)n[nH]1 ZINC001551659028 1015164813 /nfs/dbraw/zinc/16/48/13/1015164813.db2.gz KYTFHLZKTRZAGH-CYZMBNFOSA-N 0 2 306.366 0.813 20 0 DCADLN Cc1cn(C)nc1C(=O)N(C)C[C@@H]1OCC[C@H]1c1n[nH]c(C)n1 ZINC001551663425 1015167940 /nfs/dbraw/zinc/16/79/40/1015167940.db2.gz YNHZHPCZHKMKEH-NEPJUHHUSA-N 0 2 318.381 0.800 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2csnn2)n[nH]1 ZINC001551669403 1015171260 /nfs/dbraw/zinc/17/12/60/1015171260.db2.gz SMKSJSXCBULOMI-SCZZXKLOSA-N 0 2 308.367 0.609 20 0 DCADLN Cc1cc(CC(=O)N(C)C[C@H]2OCC[C@@H]2c2n[nH]c(C)n2)no1 ZINC001551676305 1015175781 /nfs/dbraw/zinc/17/57/81/1015175781.db2.gz SRCVZBBENJIZBN-QWHCGFSZSA-N 0 2 319.365 0.983 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)c1cccc2nn[nH]c21 ZINC001594520190 985971068 /nfs/dbraw/zinc/97/10/68/985971068.db2.gz MOGLRTPSKDCUKA-SNVBAGLBSA-N 0 2 317.349 0.579 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1cccc2nn[nH]c21 ZINC001594520190 985971073 /nfs/dbraw/zinc/97/10/73/985971073.db2.gz MOGLRTPSKDCUKA-SNVBAGLBSA-N 0 2 317.349 0.579 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2cn(C)nc2C)n[nH]1 ZINC001551676833 1015176720 /nfs/dbraw/zinc/17/67/20/1015176720.db2.gz UTDXZJAKPMYVKX-WCQYABFASA-N 0 2 318.381 0.800 20 0 DCADLN COC[C@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001385057393 986051462 /nfs/dbraw/zinc/05/14/62/986051462.db2.gz CRUBLSKVJYXBLS-IUCAKERBSA-N 0 2 314.279 0.840 20 0 DCADLN COC[C@H](C)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001385057393 986051471 /nfs/dbraw/zinc/05/14/71/986051471.db2.gz CRUBLSKVJYXBLS-IUCAKERBSA-N 0 2 314.279 0.840 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385334328 986263919 /nfs/dbraw/zinc/26/39/19/986263919.db2.gz YKQZFYOTFFSNKD-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385334328 986263931 /nfs/dbraw/zinc/26/39/31/986263931.db2.gz YKQZFYOTFFSNKD-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN CC[C@@H](C(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1)[NH+](C)C ZINC001385504607 986393924 /nfs/dbraw/zinc/39/39/24/986393924.db2.gz HNZOIWJXZKZLBZ-STQMWFEESA-N 0 2 321.425 0.400 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001594608315 986592595 /nfs/dbraw/zinc/59/25/95/986592595.db2.gz XFJODVTUDSKDMD-OXIQGZBJSA-N 0 2 311.382 0.260 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001594608315 986592597 /nfs/dbraw/zinc/59/25/97/986592597.db2.gz XFJODVTUDSKDMD-OXIQGZBJSA-N 0 2 311.382 0.260 20 0 DCADLN COCCC(=O)NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001385811443 986603714 /nfs/dbraw/zinc/60/37/14/986603714.db2.gz YXXSHQLTSQWUIC-SCZZXKLOSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001385811443 986603715 /nfs/dbraw/zinc/60/37/15/986603715.db2.gz YXXSHQLTSQWUIC-SCZZXKLOSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001386299527 987052206 /nfs/dbraw/zinc/05/22/06/987052206.db2.gz SXCDGNQTHRLDQA-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001386299527 987052219 /nfs/dbraw/zinc/05/22/19/987052219.db2.gz SXCDGNQTHRLDQA-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@H](C(=O)OC(C)(C)C)[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001589538851 987231597 /nfs/dbraw/zinc/23/15/97/987231597.db2.gz AFZFMSZCODGYHU-NXEZZACHSA-N 0 2 310.354 0.953 20 0 DCADLN C[C@H](C(=O)OC(C)(C)C)[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001589538851 987231602 /nfs/dbraw/zinc/23/16/02/987231602.db2.gz AFZFMSZCODGYHU-NXEZZACHSA-N 0 2 310.354 0.953 20 0 DCADLN CC(C)(C(=O)N1CC(c2cc(C(=O)[O-])n[nH]2)C1)c1c[nH+]c[nH]1 ZINC001589560587 987314278 /nfs/dbraw/zinc/31/42/78/987314278.db2.gz IJMTXNPZAOBOGT-UHFFFAOYSA-N 0 2 303.322 0.735 20 0 DCADLN Cc1ccn(CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001386842079 987445419 /nfs/dbraw/zinc/44/54/19/987445419.db2.gz LMAOEXJUGJEMSX-WCBMZHEXSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccn(CC(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001386842079 987445421 /nfs/dbraw/zinc/44/54/21/987445421.db2.gz LMAOEXJUGJEMSX-WCBMZHEXSA-N 0 2 324.278 0.713 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)CCOC ZINC001387214019 987710782 /nfs/dbraw/zinc/71/07/82/987710782.db2.gz JQCHDSLDLXSQCB-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)CCOC ZINC001387214019 987710796 /nfs/dbraw/zinc/71/07/96/987710796.db2.gz JQCHDSLDLXSQCB-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C(=O)c1ccon1)C1CC1 ZINC001387514085 987878377 /nfs/dbraw/zinc/87/83/77/987878377.db2.gz XDLATBTVHIOEHC-UHFFFAOYSA-N 0 2 317.349 0.670 20 0 DCADLN COCC1(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC001387765601 988131818 /nfs/dbraw/zinc/13/18/18/988131818.db2.gz KRZXNISHZPQYHT-MRVPVSSYSA-N 0 2 312.263 0.640 20 0 DCADLN COCC1(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)CC1 ZINC001387765601 988131831 /nfs/dbraw/zinc/13/18/31/988131831.db2.gz KRZXNISHZPQYHT-MRVPVSSYSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1nonc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001387877428 988245185 /nfs/dbraw/zinc/24/51/85/988245185.db2.gz KDHSAFJMJNVXNZ-MRVPVSSYSA-N 0 2 324.234 0.467 20 0 DCADLN Cc1nonc1C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387877428 988245195 /nfs/dbraw/zinc/24/51/95/988245195.db2.gz KDHSAFJMJNVXNZ-MRVPVSSYSA-N 0 2 324.234 0.467 20 0 DCADLN C[C@@H](CNc1ncc(C(=O)[O-])cn1)NC(=O)CCn1cc[nH+]c1 ZINC001599836153 988745977 /nfs/dbraw/zinc/74/59/77/988745977.db2.gz BSZCYQVEVWPBGX-JTQLQIEISA-N 0 2 318.337 0.378 20 0 DCADLN Cc1cc(CNC(=O)N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)cc(C)[nH+]1 ZINC001552399810 1015417263 /nfs/dbraw/zinc/41/72/63/1015417263.db2.gz ROPUDYMKQJAYRZ-BBRMVZONSA-N 0 2 319.361 0.941 20 0 DCADLN CCn1cc(C(=O)NC[C@@H](C)NC(=O)Cc2[nH]c[nH+]c2C)cn1 ZINC001389239034 989017105 /nfs/dbraw/zinc/01/71/05/989017105.db2.gz BFWFEXZQPUBIPR-SNVBAGLBSA-N 0 2 318.381 0.412 20 0 DCADLN CCc1nnc(C[NH2+]C/C=C\CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])s1 ZINC001597729698 989039770 /nfs/dbraw/zinc/03/97/70/989039770.db2.gz WSUCJADEGZNAOC-HWKXXFMVSA-N 0 2 324.406 0.583 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001389380644 989181918 /nfs/dbraw/zinc/18/19/18/989181918.db2.gz HMAAUQDRQDTUDI-POYBYMJQSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)[nH]n1 ZINC001389380644 989181924 /nfs/dbraw/zinc/18/19/24/989181924.db2.gz HMAAUQDRQDTUDI-POYBYMJQSA-N 0 2 310.251 0.853 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389405546 989206046 /nfs/dbraw/zinc/20/60/46/989206046.db2.gz WRALSEDKALNSSJ-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(CCc1ccoc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389447008 989258073 /nfs/dbraw/zinc/25/80/73/989258073.db2.gz GDIFIMRYAWPQDD-NSHDSACASA-N 0 2 305.338 0.427 20 0 DCADLN Cc1ncc(C[NH+]2CC[C@H](NC(=O)C[N@@H+](C)C(C)C)C2)cn1 ZINC001389503326 989340116 /nfs/dbraw/zinc/34/01/16/989340116.db2.gz DWOYURBMKMSXML-HNNXBMFYSA-N 0 2 305.426 0.816 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C1=CCOCC1)C(F)C(F)(F)F ZINC001389659549 989469986 /nfs/dbraw/zinc/46/99/86/989469986.db2.gz FJTPXNIDLBMPEO-NXEZZACHSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C1=CCOCC1)[C@@H](F)C(F)(F)F ZINC001389659549 989469990 /nfs/dbraw/zinc/46/99/90/989469990.db2.gz FJTPXNIDLBMPEO-NXEZZACHSA-N 0 2 324.274 0.951 20 0 DCADLN CCOCC(=O)N[C@@H](CC)CNC(=O)C(F)C(F)(F)F ZINC001450957590 1015483017 /nfs/dbraw/zinc/48/30/17/1015483017.db2.gz JWFPSULTHXOJBB-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)N[C@@H](CC)CNC(=O)[C@H](F)C(F)(F)F ZINC001450957590 1015483024 /nfs/dbraw/zinc/48/30/24/1015483024.db2.gz JWFPSULTHXOJBB-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN O=C(Cc1nc[nH]n1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001389868203 989648997 /nfs/dbraw/zinc/64/89/97/989648997.db2.gz NPZHBTLIMKRNRV-MRVPVSSYSA-N 0 2 323.250 0.013 20 0 DCADLN Cc1nn(C)cc1C[NH2+][C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001390390249 990049651 /nfs/dbraw/zinc/04/96/51/990049651.db2.gz WFNMTAWWDPTQRC-LLVKDONJSA-N 0 2 304.398 0.679 20 0 DCADLN CCCc1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001390807104 990402067 /nfs/dbraw/zinc/40/20/67/990402067.db2.gz REAPXLAMLSBLKJ-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN CCCc1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001390807104 990402073 /nfs/dbraw/zinc/40/20/73/990402073.db2.gz REAPXLAMLSBLKJ-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN CCOc1cccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001390825794 990415907 /nfs/dbraw/zinc/41/59/07/990415907.db2.gz UYOQIDCYIKNPSC-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN CCOc1cccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001390825794 990415910 /nfs/dbraw/zinc/41/59/10/990415910.db2.gz UYOQIDCYIKNPSC-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN C[NH+](C)[C@@H](CNS(=O)(=O)C1(C(=O)[O-])CCC1)c1ccco1 ZINC001598173651 990713588 /nfs/dbraw/zinc/71/35/88/990713588.db2.gz HGNXSIJNLKHDIG-JTQLQIEISA-N 0 2 316.379 0.809 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001391519804 990910599 /nfs/dbraw/zinc/91/05/99/990910599.db2.gz KXIVTIRYLRUHEU-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)N2CC[C@@H](C(=O)[O-])C2)n1 ZINC001598256530 991067332 /nfs/dbraw/zinc/06/73/32/991067332.db2.gz RNMGEKYMJRHYPT-SECBINFHSA-N 0 2 312.395 0.821 20 0 DCADLN COCCC(=O)N(C)CCN(C)C(=O)C(F)C(F)(F)F ZINC001391709874 991121148 /nfs/dbraw/zinc/12/11/48/991121148.db2.gz TXKQHAGIJYSCNZ-VIFPVBQESA-N 0 2 302.268 0.840 20 0 DCADLN COCCC(=O)N(C)CCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001391709874 991121156 /nfs/dbraw/zinc/12/11/56/991121156.db2.gz TXKQHAGIJYSCNZ-VIFPVBQESA-N 0 2 302.268 0.840 20 0 DCADLN CN(C)c1ccccc1C[NH+]1CC(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])C1 ZINC001598342602 991415766 /nfs/dbraw/zinc/41/57/66/991415766.db2.gz SXEZESDWALOUSF-ZIAGYGMSSA-N 0 2 317.389 0.774 20 0 DCADLN C[N@H+](CC(=O)Nc1n[nH]c(CC(=O)[O-])n1)Cc1ccccc1 ZINC001598403769 991700702 /nfs/dbraw/zinc/70/07/02/991700702.db2.gz JCRUPEACUGPYMG-UHFFFAOYSA-N 0 2 303.322 0.502 20 0 DCADLN C[N@@H+](CC(=O)Nc1n[nH]c(CC(=O)[O-])n1)Cc1ccccc1 ZINC001598403769 991700714 /nfs/dbraw/zinc/70/07/14/991700714.db2.gz JCRUPEACUGPYMG-UHFFFAOYSA-N 0 2 303.322 0.502 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001392454763 991949274 /nfs/dbraw/zinc/94/92/74/991949274.db2.gz DJUPFKRVKGOSQT-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001392454763 991949281 /nfs/dbraw/zinc/94/92/81/991949281.db2.gz DJUPFKRVKGOSQT-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN Cc1conc1C[NH2+]C[C@H](C)NC(=O)[C@@H](C)C[NH+]1CCOCC1 ZINC001392614100 992161074 /nfs/dbraw/zinc/16/10/74/992161074.db2.gz GJBUPITUSDDKEL-JSGCOSHPSA-N 0 2 324.425 0.546 20 0 DCADLN Cc1ccnn1CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001392813217 992420133 /nfs/dbraw/zinc/42/01/33/992420133.db2.gz DORODASXSQQRPS-XVKPBYJWSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccnn1CC(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001392813217 992420138 /nfs/dbraw/zinc/42/01/38/992420138.db2.gz DORODASXSQQRPS-XVKPBYJWSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1nn(C)c(Cl)c1C[NH2+]C1(CNC(=O)c2nnc[nH]2)CC1 ZINC001392941113 992568102 /nfs/dbraw/zinc/56/81/02/992568102.db2.gz KZZVAGIPSZZKOL-UHFFFAOYSA-N 0 2 323.788 0.552 20 0 DCADLN Cc1nn(C)c(Cl)c1C[NH2+]C1(CNC(=O)c2ncn[nH]2)CC1 ZINC001392941113 992568111 /nfs/dbraw/zinc/56/81/11/992568111.db2.gz KZZVAGIPSZZKOL-UHFFFAOYSA-N 0 2 323.788 0.552 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2coc(C3CCOCC3)n2)C[C@@H]1C(=O)[O-] ZINC001598576491 993284550 /nfs/dbraw/zinc/28/45/50/993284550.db2.gz SNOQOHFBHOZDQR-ZYHUDNBSSA-N 0 2 324.333 0.883 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2coc(C3CCOCC3)n2)C[C@@H]1C(=O)[O-] ZINC001598576491 993284556 /nfs/dbraw/zinc/28/45/56/993284556.db2.gz SNOQOHFBHOZDQR-ZYHUDNBSSA-N 0 2 324.333 0.883 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)CCS(C)(=O)=O ZINC001394061081 993445458 /nfs/dbraw/zinc/44/54/58/993445458.db2.gz JKXCEJBHZYHJAU-JGVFFNPUSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)CCS(C)(=O)=O ZINC001394061081 993445460 /nfs/dbraw/zinc/44/54/60/993445460.db2.gz JKXCEJBHZYHJAU-JGVFFNPUSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1CCCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394132416 993502832 /nfs/dbraw/zinc/50/28/32/993502832.db2.gz FVFLRIXKVZEUIR-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1CCCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394132416 993502838 /nfs/dbraw/zinc/50/28/38/993502838.db2.gz FVFLRIXKVZEUIR-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@]2(CC(=O)[O-])CCOC2)[nH]n1 ZINC001598599303 993552889 /nfs/dbraw/zinc/55/28/89/993552889.db2.gz VOZHUQUYGYUFBM-WFASDCNBSA-N 0 2 322.365 0.540 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@]2(CC(=O)[O-])CCOC2)[nH]n1 ZINC001598599303 993552894 /nfs/dbraw/zinc/55/28/94/993552894.db2.gz VOZHUQUYGYUFBM-WFASDCNBSA-N 0 2 322.365 0.540 20 0 DCADLN COCC(=O)N[C@@]1(C)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001394227292 993553597 /nfs/dbraw/zinc/55/35/97/993553597.db2.gz UAJAYESGMSCMRG-SCZZXKLOSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N[C@@]1(C)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001394227292 993553601 /nfs/dbraw/zinc/55/36/01/993553601.db2.gz UAJAYESGMSCMRG-SCZZXKLOSA-N 0 2 300.252 0.640 20 0 DCADLN COCC1(CC(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001394229206 993556177 /nfs/dbraw/zinc/55/61/77/993556177.db2.gz DZJJVXQFIDDOSC-SNVBAGLBSA-N 0 2 323.397 0.264 20 0 DCADLN CS[C@H](C)CC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394241122 993562609 /nfs/dbraw/zinc/56/26/09/993562609.db2.gz XSASWZGLMVFDNP-RKDXNWHRSA-N 0 2 313.427 0.588 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCO[C@@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001399791875 993777907 /nfs/dbraw/zinc/77/79/07/993777907.db2.gz FTZIKQPMPKYADQ-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCO[C@@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001399791875 993777911 /nfs/dbraw/zinc/77/79/11/993777911.db2.gz FTZIKQPMPKYADQ-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CCCC[C@H](C(N)=O)[N@@H+]1CCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001399869819 993863994 /nfs/dbraw/zinc/86/39/94/993863994.db2.gz GEXDYDNELQOLFL-GHMZBOCLSA-N 0 2 308.386 0.043 20 0 DCADLN CCCC[C@H](C(N)=O)[N@H+]1CCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001399869819 993864003 /nfs/dbraw/zinc/86/40/03/993864003.db2.gz GEXDYDNELQOLFL-GHMZBOCLSA-N 0 2 308.386 0.043 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)c2occc2C(=O)[O-])C(C)(C)C1 ZINC001598619802 993980665 /nfs/dbraw/zinc/98/06/65/993980665.db2.gz LGQQYYXADMZAJG-UHFFFAOYSA-N 0 2 302.352 0.693 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)c2occc2C(=O)[O-])C(C)(C)C1 ZINC001598619802 993980675 /nfs/dbraw/zinc/98/06/75/993980675.db2.gz LGQQYYXADMZAJG-UHFFFAOYSA-N 0 2 302.352 0.693 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)c2ccccc2O)C[C@H](C(=O)[O-])C1 ZINC001598619916 993985286 /nfs/dbraw/zinc/98/52/86/993985286.db2.gz PXPONRLYLKTHKH-SNVBAGLBSA-N 0 2 314.363 0.029 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)c2ccccc2O)C[C@H](C(=O)[O-])C1 ZINC001598619916 993985292 /nfs/dbraw/zinc/98/52/92/993985292.db2.gz PXPONRLYLKTHKH-SNVBAGLBSA-N 0 2 314.363 0.029 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001399976618 994009985 /nfs/dbraw/zinc/00/99/85/994009985.db2.gz SKCFELGYOSSIHV-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001399976618 994009995 /nfs/dbraw/zinc/00/99/95/994009995.db2.gz SKCFELGYOSSIHV-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)NC(=O)C(F)C(F)(F)F ZINC001399983035 994016988 /nfs/dbraw/zinc/01/69/88/994016988.db2.gz OVCUHSOBPSSNPQ-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001399983035 994016994 /nfs/dbraw/zinc/01/69/94/994016994.db2.gz OVCUHSOBPSSNPQ-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN Cc1ccoc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001399985084 994019206 /nfs/dbraw/zinc/01/92/06/994019206.db2.gz DUBAPGFYXVYYRH-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1ccoc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001399985084 994019211 /nfs/dbraw/zinc/01/92/11/994019211.db2.gz DUBAPGFYXVYYRH-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN CCCN(C(=O)c1[nH]nc(C)c1[O-])[C@@H]1CC[N@H+](CCOC)C1 ZINC001400110805 994162587 /nfs/dbraw/zinc/16/25/87/994162587.db2.gz DGGCCPLTHQDFGA-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN CCCN(C(=O)c1[nH]nc(C)c1[O-])[C@@H]1CC[N@@H+](CCOC)C1 ZINC001400110805 994162592 /nfs/dbraw/zinc/16/25/92/994162592.db2.gz DGGCCPLTHQDFGA-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN CNC(=O)[C@@H]1C[N@H+](Cc2ccccc2O[C@H](C)C(=O)[O-])CCO1 ZINC001598637163 994261760 /nfs/dbraw/zinc/26/17/60/994261760.db2.gz HCAVYMJAFSALDJ-RISCZKNCSA-N 0 2 322.361 0.485 20 0 DCADLN CNC(=O)[C@@H]1C[N@@H+](Cc2ccccc2O[C@H](C)C(=O)[O-])CCO1 ZINC001598637163 994261772 /nfs/dbraw/zinc/26/17/72/994261772.db2.gz HCAVYMJAFSALDJ-RISCZKNCSA-N 0 2 322.361 0.485 20 0 DCADLN C[C@@H]([NH2+][C@H]1CCN(CCC(=O)[O-])C1=O)c1nnc2ccccn21 ZINC001593217647 994274658 /nfs/dbraw/zinc/27/46/58/994274658.db2.gz BBTQXUPEYJPAIW-MNOVXSKESA-N 0 2 317.349 0.456 20 0 DCADLN C[C@@]([NH2+]CC(=O)N1CCOCC1)(C(=O)[O-])c1ccc(F)cc1 ZINC001593232937 994388590 /nfs/dbraw/zinc/38/85/90/994388590.db2.gz XWKONRFSHMDKKC-HNNXBMFYSA-N 0 2 310.325 0.574 20 0 DCADLN CSCC(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001400439662 994517480 /nfs/dbraw/zinc/51/74/80/994517480.db2.gz ZOSHUHZKEQYBES-HTRCEHHLSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001400439662 994517493 /nfs/dbraw/zinc/51/74/93/994517493.db2.gz ZOSHUHZKEQYBES-HTRCEHHLSA-N 0 2 302.293 0.967 20 0 DCADLN O=C(C[C@@H]1CC[C@H]2C[C@H]21)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400510738 994600916 /nfs/dbraw/zinc/60/09/16/994600916.db2.gz KVLJKWYPAAGHTN-SDDRHHMPSA-N 0 2 305.382 0.495 20 0 DCADLN COCC1(C(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001400707206 994821542 /nfs/dbraw/zinc/82/15/42/994821542.db2.gz XYSRUSDYRLAQPH-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN COCC1(C(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001400707206 994821552 /nfs/dbraw/zinc/82/15/52/994821552.db2.gz XYSRUSDYRLAQPH-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)[C@H](C)COC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400748259 994878976 /nfs/dbraw/zinc/87/89/76/994878976.db2.gz BHJLKYVFABOBTQ-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN CCN(C(=O)[C@H](C)COC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400748259 994878988 /nfs/dbraw/zinc/87/89/88/994878988.db2.gz BHJLKYVFABOBTQ-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)C[N@@H+]([C@@H](C)c2ncc(C(=O)[O-])s2)C1 ZINC001598757510 995737830 /nfs/dbraw/zinc/73/78/30/995737830.db2.gz PDDXVKSNJHXVJR-YIZRAAEISA-N 0 2 314.363 0.758 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)C[N@H+]([C@@H](C)c2ncc(C(=O)[O-])s2)C1 ZINC001598757510 995737839 /nfs/dbraw/zinc/73/78/39/995737839.db2.gz PDDXVKSNJHXVJR-YIZRAAEISA-N 0 2 314.363 0.758 20 0 DCADLN CCOCC(=O)N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001397007742 995739855 /nfs/dbraw/zinc/73/98/55/995739855.db2.gz CLTJSQOKRHKOJA-WPRPVWTQSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)N[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001397007742 995739858 /nfs/dbraw/zinc/73/98/58/995739858.db2.gz CLTJSQOKRHKOJA-WPRPVWTQSA-N 0 2 314.279 0.934 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])CN1C(=O)Cc1[nH]c[nH+]c1C ZINC001598764956 995820382 /nfs/dbraw/zinc/82/03/82/995820382.db2.gz GGRCATUUHXOYDR-MWLCHTKSSA-N 0 2 309.322 0.125 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001397235629 995878840 /nfs/dbraw/zinc/87/88/40/995878840.db2.gz KLKRPQPSBPQXMN-AEJSXWLSSA-N 0 2 313.427 0.731 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001397235629 995878844 /nfs/dbraw/zinc/87/88/44/995878844.db2.gz KLKRPQPSBPQXMN-AEJSXWLSSA-N 0 2 313.427 0.731 20 0 DCADLN CC[C@@H](OC)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1CC ZINC001397740858 996151897 /nfs/dbraw/zinc/15/18/97/996151897.db2.gz YQBXYNJCZWXVNV-HBNTYKKESA-N 0 2 311.386 0.404 20 0 DCADLN CC[C@@H](OC)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1CC ZINC001397740858 996151899 /nfs/dbraw/zinc/15/18/99/996151899.db2.gz YQBXYNJCZWXVNV-HBNTYKKESA-N 0 2 311.386 0.404 20 0 DCADLN CN(CCCNC(=O)Cc1c[nH]c[nH+]1)C(=O)[C@H]1CCC[N@H+]1C ZINC001492865369 996230113 /nfs/dbraw/zinc/23/01/13/996230113.db2.gz LXHFMOCVDQXKRZ-CYBMUJFWSA-N 0 2 307.398 0.011 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@@]1(F)CCOC1 ZINC001397936919 996266872 /nfs/dbraw/zinc/26/68/72/996266872.db2.gz HCTKILULPYJERO-GMSGAONNSA-N 0 2 318.242 0.590 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@]1(F)CCOC1 ZINC001397936919 996266867 /nfs/dbraw/zinc/26/68/67/996266867.db2.gz HCTKILULPYJERO-GMSGAONNSA-N 0 2 318.242 0.590 20 0 DCADLN COC(=O)[C@H]1C[N@H+](CC(=O)c2ccccc2F)C[C@@H]1C(=O)[O-] ZINC001598819751 996311515 /nfs/dbraw/zinc/31/15/15/996311515.db2.gz FZXCRMWEJBSPOX-QWRGUYRKSA-N 0 2 309.293 0.814 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](CC(=O)c2ccccc2F)C[C@@H]1C(=O)[O-] ZINC001598819751 996311520 /nfs/dbraw/zinc/31/15/20/996311520.db2.gz FZXCRMWEJBSPOX-QWRGUYRKSA-N 0 2 309.293 0.814 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001398097669 996363844 /nfs/dbraw/zinc/36/38/44/996363844.db2.gz JJDFMJPCQDTSOV-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001398097669 996363851 /nfs/dbraw/zinc/36/38/51/996363851.db2.gz JJDFMJPCQDTSOV-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)C1 ZINC001593783820 996498557 /nfs/dbraw/zinc/49/85/57/996498557.db2.gz XBDBQMBVDFAMKS-OAHLLOKOSA-N 0 2 322.365 0.103 20 0 DCADLN COC(=O)N1CCC(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1 ZINC001598879965 996798363 /nfs/dbraw/zinc/79/83/63/996798363.db2.gz MTZRSHBOKCPMTE-NSHDSACASA-N 0 2 324.337 0.000 20 0 DCADLN COC(=O)N1CCC(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1 ZINC001598879965 996798371 /nfs/dbraw/zinc/79/83/71/996798371.db2.gz MTZRSHBOKCPMTE-NSHDSACASA-N 0 2 324.337 0.000 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001510919497 1016068188 /nfs/dbraw/zinc/06/81/88/1016068188.db2.gz BHKLCYSIEQMZKR-SDXJJSEUSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001510919497 1016068195 /nfs/dbraw/zinc/06/81/95/1016068195.db2.gz BHKLCYSIEQMZKR-SDXJJSEUSA-N 0 2 314.279 0.836 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCC[N@@H+]1Cc1cnc(C(=O)OC)cn1 ZINC001599190795 997119470 /nfs/dbraw/zinc/11/94/70/997119470.db2.gz OBMSXVOYYFMWOW-HNNXBMFYSA-N 0 2 323.349 0.719 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCC[N@H+]1Cc1cnc(C(=O)OC)cn1 ZINC001599190795 997119475 /nfs/dbraw/zinc/11/94/75/997119475.db2.gz OBMSXVOYYFMWOW-HNNXBMFYSA-N 0 2 323.349 0.719 20 0 DCADLN COCc1noc(C[NH2+][C@H](C(=O)[O-])[C@H]2CCC[C@@H](OC)C2)n1 ZINC001599308986 997379813 /nfs/dbraw/zinc/37/98/13/997379813.db2.gz ZFOVCSAJVFJOKG-CWSCBRNRSA-N 0 2 313.354 0.964 20 0 DCADLN CON(C(=O)/C=C(\C)C[NH+]1CCOCC1)[C@@H](C)CC(=O)[O-] ZINC001599310751 997410859 /nfs/dbraw/zinc/41/08/59/997410859.db2.gz MPYJYVPDTDSZGH-OBIHZWKSSA-N 0 2 300.355 0.518 20 0 DCADLN COc1ccc([C@@H](NC(=O)Cc2c[nH]c[nH+]2)C(=O)[O-])cc1O ZINC001599519020 997444765 /nfs/dbraw/zinc/44/47/65/997444765.db2.gz LDQZDFCAWJJBGS-CYBMUJFWSA-N 0 2 305.290 0.609 20 0 DCADLN CCCNC(=O)[C@@H](C)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404779849 997455797 /nfs/dbraw/zinc/45/57/97/997455797.db2.gz YMMAZNHLKWDBAB-VXNVDRBHSA-N 0 2 313.295 0.602 20 0 DCADLN CCCNC(=O)[C@@H](C)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404779849 997455802 /nfs/dbraw/zinc/45/58/02/997455802.db2.gz YMMAZNHLKWDBAB-VXNVDRBHSA-N 0 2 313.295 0.602 20 0 DCADLN CC1(CS(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)CCC1 ZINC001594036378 997625443 /nfs/dbraw/zinc/62/54/43/997625443.db2.gz GHKGYQSJXFDHSS-UHFFFAOYSA-N 0 2 304.412 0.599 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001405013660 997685013 /nfs/dbraw/zinc/68/50/13/997685013.db2.gz WVAXTMRAOHWPIG-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001405013660 997685017 /nfs/dbraw/zinc/68/50/17/997685017.db2.gz WVAXTMRAOHWPIG-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@H](O)[C@H]2C(=O)[O-])n(C)c1C ZINC001598933503 997816753 /nfs/dbraw/zinc/81/67/53/997816753.db2.gz YCNSSNIQUDYZCD-STQMWFEESA-N 0 2 310.350 0.530 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@H](O)[C@H]2C(=O)[O-])n(C)c1C ZINC001598933503 997816760 /nfs/dbraw/zinc/81/67/60/997816760.db2.gz YCNSSNIQUDYZCD-STQMWFEESA-N 0 2 310.350 0.530 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001405127885 997833306 /nfs/dbraw/zinc/83/33/06/997833306.db2.gz MKWPNSIGSLXPSB-JGVFFNPUSA-N 0 2 321.303 0.741 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001405127885 997833317 /nfs/dbraw/zinc/83/33/17/997833317.db2.gz MKWPNSIGSLXPSB-JGVFFNPUSA-N 0 2 321.303 0.741 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001405127885 997833324 /nfs/dbraw/zinc/83/33/24/997833324.db2.gz MKWPNSIGSLXPSB-JGVFFNPUSA-N 0 2 321.303 0.741 20 0 DCADLN CN(C(=O)C1(C(F)F)CC1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405145796 997855649 /nfs/dbraw/zinc/85/56/49/997855649.db2.gz YAQKAMRXRUTOSQ-QMMMGPOBSA-N 0 2 315.324 0.588 20 0 DCADLN O=C(CC1CC1)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405285315 998059379 /nfs/dbraw/zinc/05/93/79/998059379.db2.gz GESRYFUDAXIDSX-VXNVDRBHSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001405285315 998059392 /nfs/dbraw/zinc/05/93/92/998059392.db2.gz GESRYFUDAXIDSX-VXNVDRBHSA-N 0 2 300.252 0.280 20 0 DCADLN COC(=O)c1cncc(C[N@H+]2C[C@H](OC)C[C@]2(C)C(=O)[O-])c1 ZINC001599011322 998901095 /nfs/dbraw/zinc/90/10/95/998901095.db2.gz UIYDNDSJGAENMY-IUODEOHRSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cncc(C[N@@H+]2C[C@H](OC)C[C@]2(C)C(=O)[O-])c1 ZINC001599011322 998901097 /nfs/dbraw/zinc/90/10/97/998901097.db2.gz UIYDNDSJGAENMY-IUODEOHRSA-N 0 2 308.334 0.932 20 0 DCADLN CO[C@@H](C)c1noc(C[NH+]2CCC3(CC(C(=O)[O-])=NO3)CC2)n1 ZINC001599042130 999125443 /nfs/dbraw/zinc/12/54/43/999125443.db2.gz JYFILWPZLIRTHW-VIFPVBQESA-N 0 2 324.337 0.973 20 0 DCADLN C[C@@H]1CCc2[nH]nc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])c2C1 ZINC001594446882 999640596 /nfs/dbraw/zinc/64/05/96/999640596.db2.gz DCAQPHZVZCLGQY-SKDRFNHKSA-N 0 2 317.349 0.614 20 0 DCADLN O=C(Cc1n[nH]c(C2CC2)n1)NCc1nc([C@H]2CCCO2)n[nH]1 ZINC001469518525 1016345108 /nfs/dbraw/zinc/34/51/08/1016345108.db2.gz FIFAUTJZDPFBGZ-SECBINFHSA-N 0 2 317.353 0.511 20 0 DCADLN CN(CCCS(C)(=O)=O)CCNC(=O)C(F)C(F)(F)F ZINC001418460336 1000244439 /nfs/dbraw/zinc/24/44/39/1000244439.db2.gz VEDBMQLPTQURJC-MRVPVSSYSA-N 0 2 322.324 0.370 20 0 DCADLN Cc1csc(CCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001418479054 1000266053 /nfs/dbraw/zinc/26/60/53/1000266053.db2.gz UUCZTGRYYXGZPS-UHFFFAOYSA-N 0 2 324.410 0.456 20 0 DCADLN Cc1csc(CCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001418479054 1000266060 /nfs/dbraw/zinc/26/60/60/1000266060.db2.gz UUCZTGRYYXGZPS-UHFFFAOYSA-N 0 2 324.410 0.456 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(C(F)F)CC2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001418789907 1000519745 /nfs/dbraw/zinc/51/97/45/1000519745.db2.gz GSZRJPDOQPKMLY-SFYZADRCSA-N 0 2 315.324 0.635 20 0 DCADLN O=C(CCn1cccc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401933607 1000873768 /nfs/dbraw/zinc/87/37/68/1000873768.db2.gz ZYQBWQWLGRRKHY-LLVKDONJSA-N 0 2 304.354 0.093 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2=NC(=O)CC(=O)N2)c1C(F)(F)F ZINC001470585360 1016462146 /nfs/dbraw/zinc/46/21/46/1016462146.db2.gz ADFHHVQCGVKYQS-UHFFFAOYSA-N 0 2 317.227 0.868 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@@]1(C)CC[N@@H+](Cc2cnn(C)c2)C1 ZINC001402127011 1001041288 /nfs/dbraw/zinc/04/12/88/1001041288.db2.gz GBOXTIGJOAXJFW-INIZCTEOSA-N 0 2 316.409 0.706 20 0 DCADLN O=C(CC1CCCCC1)NCC1(O)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402292955 1001186245 /nfs/dbraw/zinc/18/62/45/1001186245.db2.gz RPEZEGZKYWQZTQ-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CCc1noc(C[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001419730396 1001259467 /nfs/dbraw/zinc/25/94/67/1001259467.db2.gz OZIQWGBHWWCRSR-ZETCQYMHSA-N 0 2 308.342 0.277 20 0 DCADLN COC1(CC(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001419966310 1001730629 /nfs/dbraw/zinc/73/06/29/1001730629.db2.gz HJKUMRSKOBQTMF-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN COC1(CC(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001419966310 1001730634 /nfs/dbraw/zinc/73/06/34/1001730634.db2.gz HJKUMRSKOBQTMF-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccc(F)cn1 ZINC001419970196 1001734205 /nfs/dbraw/zinc/73/42/05/1001734205.db2.gz CBWPZVWHJQOSQW-UHFFFAOYSA-N 0 2 322.344 0.177 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccc(F)cn1 ZINC001419970196 1001734210 /nfs/dbraw/zinc/73/42/10/1001734210.db2.gz CBWPZVWHJQOSQW-UHFFFAOYSA-N 0 2 322.344 0.177 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cncc(Cl)c1 ZINC001420021685 1001768390 /nfs/dbraw/zinc/76/83/90/1001768390.db2.gz BZVRJCYFWOMVAX-UHFFFAOYSA-N 0 2 324.772 0.763 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cncc(Cl)c1 ZINC001420021685 1001768391 /nfs/dbraw/zinc/76/83/91/1001768391.db2.gz BZVRJCYFWOMVAX-UHFFFAOYSA-N 0 2 324.772 0.763 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)Cc1csnn1 ZINC001420216259 1002056866 /nfs/dbraw/zinc/05/68/66/1002056866.db2.gz WNXHWNNPBGCNKZ-ZETCQYMHSA-N 0 2 300.281 0.987 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1csnn1 ZINC001420216259 1002056881 /nfs/dbraw/zinc/05/68/81/1002056881.db2.gz WNXHWNNPBGCNKZ-ZETCQYMHSA-N 0 2 300.281 0.987 20 0 DCADLN C[C@@H](CNC(=O)c1ccncc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424947033 1002176204 /nfs/dbraw/zinc/17/62/04/1002176204.db2.gz ZIKQSKXFWIZIPY-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](CNC(=O)c1ccncc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424947033 1002176210 /nfs/dbraw/zinc/17/62/10/1002176210.db2.gz ZIKQSKXFWIZIPY-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](CNC(=O)c1coc(C2CC2)n1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425023725 1002263295 /nfs/dbraw/zinc/26/32/95/1002263295.db2.gz IGKCEPWRVJHHPU-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CNC(=O)c1coc(C2CC2)n1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425023725 1002263306 /nfs/dbraw/zinc/26/33/06/1002263306.db2.gz IGKCEPWRVJHHPU-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN Cc1cnc(CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F)nc1 ZINC001403669166 1002318293 /nfs/dbraw/zinc/31/82/93/1002318293.db2.gz JYQOUGXFCXSMFK-SCZZXKLOSA-N 0 2 324.278 0.252 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001420555938 1002609896 /nfs/dbraw/zinc/60/98/96/1002609896.db2.gz SQPAHAQORBXBND-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001420555938 1002609901 /nfs/dbraw/zinc/60/99/01/1002609901.db2.gz SQPAHAQORBXBND-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN CO[C@@H](C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001420607505 1002680709 /nfs/dbraw/zinc/68/07/09/1002680709.db2.gz GMEFVJWPATVPEF-BXKDBHETSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001420607505 1002680717 /nfs/dbraw/zinc/68/07/17/1002680717.db2.gz GMEFVJWPATVPEF-BXKDBHETSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)(F)F)CC1 ZINC001420629600 1002711403 /nfs/dbraw/zinc/71/14/03/1002711403.db2.gz BRRTWYNPQASJRQ-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)(F)F)CC1 ZINC001420629600 1002711410 /nfs/dbraw/zinc/71/14/10/1002711410.db2.gz BRRTWYNPQASJRQ-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN O=C([C@@H]1CCc2[nH]cnc2C1)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001471853219 1016676242 /nfs/dbraw/zinc/67/62/42/1016676242.db2.gz HTZPMJQVHIBZNW-WDEREUQCSA-N 0 2 315.381 0.509 20 0 DCADLN O=C([C@@H]1CCc2nc[nH]c2C1)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001471853219 1016676250 /nfs/dbraw/zinc/67/62/50/1016676250.db2.gz HTZPMJQVHIBZNW-WDEREUQCSA-N 0 2 315.381 0.509 20 0 DCADLN Cn1nnc(CNC[C@H](NC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001420724642 1002859860 /nfs/dbraw/zinc/85/98/60/1002859860.db2.gz AGAFQRCRWUEOFZ-CBAPKCEASA-N 0 2 324.282 0.095 20 0 DCADLN CCCC(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001420795448 1002956402 /nfs/dbraw/zinc/95/64/02/1002956402.db2.gz KZUYNLAGAFMVEL-GFCCVEGCSA-N 0 2 303.366 0.513 20 0 DCADLN C[C@@H]([NH2+][C@H](CO)CNC(=O)C[N@H+](C)C1CCC1)c1ncccn1 ZINC001425751222 1003053110 /nfs/dbraw/zinc/05/31/10/1003053110.db2.gz IWAAHCWNQQJNTE-OLZOCXBDSA-N 0 2 321.425 0.089 20 0 DCADLN CC(C)C(=O)NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001425812890 1003139453 /nfs/dbraw/zinc/13/94/53/1003139453.db2.gz MPYOVXVMHLAISI-SFYZADRCSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)C(=O)NC[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001425812890 1003139458 /nfs/dbraw/zinc/13/94/58/1003139458.db2.gz MPYOVXVMHLAISI-SFYZADRCSA-N 0 2 302.268 0.478 20 0 DCADLN CCc1nnc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)o1 ZINC001421030715 1003248295 /nfs/dbraw/zinc/24/82/95/1003248295.db2.gz NIHFNAFRKQFKJS-SECBINFHSA-N 0 2 310.251 0.833 20 0 DCADLN CCc1nnc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)o1 ZINC001421030715 1003248306 /nfs/dbraw/zinc/24/83/06/1003248306.db2.gz NIHFNAFRKQFKJS-SECBINFHSA-N 0 2 310.251 0.833 20 0 DCADLN COC[C@H](O)CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001421057761 1003277549 /nfs/dbraw/zinc/27/75/49/1003277549.db2.gz KZHJQORIGAUQPW-RKDXNWHRSA-N 0 2 302.268 0.037 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406119295 1003386937 /nfs/dbraw/zinc/38/69/37/1003386937.db2.gz CJQKEQXNKBRRSO-MRVPVSSYSA-N 0 2 323.319 0.989 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406119295 1003386945 /nfs/dbraw/zinc/38/69/45/1003386945.db2.gz CJQKEQXNKBRRSO-MRVPVSSYSA-N 0 2 323.319 0.989 20 0 DCADLN CCC(=O)N1CCC[C@H](C(=O)N[C@H](C)c2nn(C)cc2O)C1 ZINC001472237492 1016769028 /nfs/dbraw/zinc/76/90/28/1016769028.db2.gz SSKNAYUVUPGOLV-MNOVXSKESA-N 0 2 308.382 0.952 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@H]1O)C(F)C(F)(F)F ZINC001406250451 1003526961 /nfs/dbraw/zinc/52/69/61/1003526961.db2.gz HJCQZMKFSYPDNT-IWSPIJDZSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@H]1O)[C@@H](F)C(F)(F)F ZINC001406250451 1003526963 /nfs/dbraw/zinc/52/69/63/1003526963.db2.gz HJCQZMKFSYPDNT-IWSPIJDZSA-N 0 2 323.246 0.217 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1C=CC=CC=C1 ZINC001406607048 1003916430 /nfs/dbraw/zinc/91/64/30/1003916430.db2.gz KZJBHUPCNRRSEK-LLVKDONJSA-N 0 2 303.366 0.745 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1C=CC=CC=C1 ZINC001406607048 1003916435 /nfs/dbraw/zinc/91/64/35/1003916435.db2.gz KZJBHUPCNRRSEK-LLVKDONJSA-N 0 2 303.366 0.745 20 0 DCADLN CCn1ncc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001406829872 1004130884 /nfs/dbraw/zinc/13/08/84/1004130884.db2.gz ODLMVDBJORWNAE-ZJUUUORDSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncc(CN2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001406829872 1004130888 /nfs/dbraw/zinc/13/08/88/1004130888.db2.gz ODLMVDBJORWNAE-ZJUUUORDSA-N 0 2 323.294 0.889 20 0 DCADLN CN(C(=O)CCc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407059245 1004342487 /nfs/dbraw/zinc/34/24/87/1004342487.db2.gz NTKIJARFFPEEKY-UHFFFAOYSA-N 0 2 305.338 0.379 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001429084365 1004373193 /nfs/dbraw/zinc/37/31/93/1004373193.db2.gz PIFZVABTHKFDIG-RNJXMRFFSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001429084365 1004373199 /nfs/dbraw/zinc/37/31/99/1004373199.db2.gz PIFZVABTHKFDIG-RNJXMRFFSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnccn1)NC(=O)C(F)C(F)(F)F ZINC001427459468 1004400686 /nfs/dbraw/zinc/40/06/86/1004400686.db2.gz RSAAQWQYWXJLNV-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001427459468 1004400693 /nfs/dbraw/zinc/40/06/93/1004400693.db2.gz RSAAQWQYWXJLNV-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN CCCCC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001427824266 1004630782 /nfs/dbraw/zinc/63/07/82/1004630782.db2.gz KBGROLMINOWDBP-VXNVDRBHSA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001427824266 1004630787 /nfs/dbraw/zinc/63/07/87/1004630787.db2.gz KBGROLMINOWDBP-VXNVDRBHSA-N 0 2 302.268 0.670 20 0 DCADLN COCCC(=O)N(C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001429581349 1004682139 /nfs/dbraw/zinc/68/21/39/1004682139.db2.gz GPDLVRREBLIHNY-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N(C)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001429581349 1004682140 /nfs/dbraw/zinc/68/21/40/1004682140.db2.gz GPDLVRREBLIHNY-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CC(=O)CCCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416038412 1005654171 /nfs/dbraw/zinc/65/41/71/1005654171.db2.gz YMNYEYRZSISYMN-SNVBAGLBSA-N 0 2 312.263 0.973 20 0 DCADLN CC(=O)CCCC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416038412 1005654174 /nfs/dbraw/zinc/65/41/74/1005654174.db2.gz YMNYEYRZSISYMN-SNVBAGLBSA-N 0 2 312.263 0.973 20 0 DCADLN O=C(CCc1nc[nH]n1)NC1(CCO)C[NH+](CCC2CC2)C1 ZINC001506088782 1017000548 /nfs/dbraw/zinc/00/05/48/1017000548.db2.gz BIGAIMPGWLWVBH-UHFFFAOYSA-N 0 2 307.398 0.090 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ncccn1 ZINC001417190528 1005800898 /nfs/dbraw/zinc/80/08/98/1005800898.db2.gz YGLCAJHOOSSSQU-SSDOTTSWSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1ncccn1 ZINC001417190528 1005800899 /nfs/dbraw/zinc/80/08/99/1005800899.db2.gz YGLCAJHOOSSSQU-SSDOTTSWSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CC2(CCC2)CO1 ZINC001417580987 1005846284 /nfs/dbraw/zinc/84/62/84/1005846284.db2.gz ADQVIKBAYUNXQR-SNVBAGLBSA-N 0 2 317.349 0.147 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3[nH]c(=O)[nH]c32)S1 ZINC001417886270 1005915435 /nfs/dbraw/zinc/91/54/35/1005915435.db2.gz NVTINYSEOBTVEK-ZETCQYMHSA-N 0 2 305.319 0.763 20 0 DCADLN O=C(NCc1ccc[nH]c1=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434826105 1006014952 /nfs/dbraw/zinc/01/49/52/1006014952.db2.gz UBNLNJISXTYVCC-JTQLQIEISA-N 0 2 318.337 0.700 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@]1(C)CCCOC1 ZINC001436332978 1006124190 /nfs/dbraw/zinc/12/41/90/1006124190.db2.gz ZLPGDHZIHGINRZ-NHYWBVRUSA-N 0 2 323.397 0.360 20 0 DCADLN CCc1ocnc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001438866528 1006176657 /nfs/dbraw/zinc/17/66/57/1006176657.db2.gz NIYHQQTZRFGTRF-UHFFFAOYSA-N 0 2 308.342 0.265 20 0 DCADLN CCc1ocnc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001438866528 1006176664 /nfs/dbraw/zinc/17/66/64/1006176664.db2.gz NIYHQQTZRFGTRF-UHFFFAOYSA-N 0 2 308.342 0.265 20 0 DCADLN Cc1ccc(CC(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001438868923 1006179880 /nfs/dbraw/zinc/17/98/80/1006179880.db2.gz WWHDDMHAHYFOKL-UHFFFAOYSA-N 0 2 307.354 0.545 20 0 DCADLN Cc1ccc(CC(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001438868923 1006179891 /nfs/dbraw/zinc/17/98/91/1006179891.db2.gz WWHDDMHAHYFOKL-UHFFFAOYSA-N 0 2 307.354 0.545 20 0 DCADLN C[C@H](CNC(=O)c1ccn[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001451502435 1006218867 /nfs/dbraw/zinc/21/88/67/1006218867.db2.gz NTUPGONULUDHSA-HTRCEHHLSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@H](CNC(=O)c1ccn[nH]1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001451502435 1006218876 /nfs/dbraw/zinc/21/88/76/1006218876.db2.gz NTUPGONULUDHSA-HTRCEHHLSA-N 0 2 310.251 0.792 20 0 DCADLN COc1cccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001438926559 1006234578 /nfs/dbraw/zinc/23/45/78/1006234578.db2.gz DHYJUAXPWOFCIY-UHFFFAOYSA-N 0 2 319.365 0.723 20 0 DCADLN COc1cccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001438926559 1006234584 /nfs/dbraw/zinc/23/45/84/1006234584.db2.gz DHYJUAXPWOFCIY-UHFFFAOYSA-N 0 2 319.365 0.723 20 0 DCADLN O=C(Cc1ccon1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437034178 1006542882 /nfs/dbraw/zinc/54/28/82/1006542882.db2.gz OTFNKXMNJQPLOX-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN O=C(Cc1ccon1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437034178 1006542891 /nfs/dbraw/zinc/54/28/91/1006542891.db2.gz OTFNKXMNJQPLOX-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN COC1(CC(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001439856980 1007256202 /nfs/dbraw/zinc/25/62/02/1007256202.db2.gz RYPXRXLRKIRHIU-CQSZACIVSA-N 0 2 323.397 0.550 20 0 DCADLN COC1(CC(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001439856980 1007256215 /nfs/dbraw/zinc/25/62/15/1007256215.db2.gz RYPXRXLRKIRHIU-CQSZACIVSA-N 0 2 323.397 0.550 20 0 DCADLN CCC[N@@H+]1CCC[C@@H]1C(=O)NCC1C[NH+](CC(=O)NCC)C1 ZINC001438123962 1007652253 /nfs/dbraw/zinc/65/22/53/1007652253.db2.gz YKGUCTWJVWJPBE-CQSZACIVSA-N 0 2 310.442 0.045 20 0 DCADLN O=C(CCc1c[nH]nn1)N[C@H]1COC2(C[NH+](CCC3CC3)C2)C1 ZINC001440512442 1007854665 /nfs/dbraw/zinc/85/46/65/1007854665.db2.gz RHYMPUBWIBKXLQ-CQSZACIVSA-N 0 2 319.409 0.497 20 0 DCADLN Cc1noc(C[NH2+][C@@H](C)[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001440769142 1008008521 /nfs/dbraw/zinc/00/85/21/1008008521.db2.gz DAUUUXPSUDVDMB-BQBZGAKWSA-N 0 2 308.342 0.412 20 0 DCADLN CCc1ccnc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001440926405 1008120800 /nfs/dbraw/zinc/12/08/00/1008120800.db2.gz PGRCROSSGNAQLQ-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CCc1ccnc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001440926405 1008120803 /nfs/dbraw/zinc/12/08/03/1008120803.db2.gz PGRCROSSGNAQLQ-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@H]2C[C@H]([NH2+]CC=C(Cl)Cl)C2)C1 ZINC001441389707 1008343212 /nfs/dbraw/zinc/34/32/12/1008343212.db2.gz UKMKMLVDVUXTHU-DCAQKATOSA-N 0 2 322.236 0.873 20 0 DCADLN CO[C@@H](C)CC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001453624921 1008622419 /nfs/dbraw/zinc/62/24/19/1008622419.db2.gz GDALYJNSZGLGOH-XVKPBYJWSA-N 0 2 314.279 0.981 20 0 DCADLN CO[C@@H](C)CC(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001453624921 1008622426 /nfs/dbraw/zinc/62/24/26/1008622426.db2.gz GDALYJNSZGLGOH-XVKPBYJWSA-N 0 2 314.279 0.981 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccnnc2)C1 ZINC001453673024 1008656781 /nfs/dbraw/zinc/65/67/81/1008656781.db2.gz HBZKVEIZGLTYMM-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2ccnnc2)C1 ZINC001453673024 1008656785 /nfs/dbraw/zinc/65/67/85/1008656785.db2.gz HBZKVEIZGLTYMM-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN Cc1nonc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001433447432 1008797087 /nfs/dbraw/zinc/79/70/87/1008797087.db2.gz SYHLMSHUVCMYGE-CLZZGJSISA-N 0 2 312.223 0.513 20 0 DCADLN Cc1nonc1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001433447432 1008797093 /nfs/dbraw/zinc/79/70/93/1008797093.db2.gz SYHLMSHUVCMYGE-CLZZGJSISA-N 0 2 312.223 0.513 20 0 DCADLN COCC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCC1 ZINC001433506760 1008871428 /nfs/dbraw/zinc/87/14/28/1008871428.db2.gz HPCFZTNGSMOSKG-UHFFFAOYSA-N 0 2 319.365 0.394 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1ccncc1C(F)(F)F ZINC001454277666 1009034018 /nfs/dbraw/zinc/03/40/18/1009034018.db2.gz MSRADIYXQPJVQA-UHFFFAOYSA-N 0 2 300.196 0.747 20 0 DCADLN CCCOc1nc(C)ccc1C(=O)Nn1ccc(=O)[nH]c1=O ZINC001454277726 1009035077 /nfs/dbraw/zinc/03/50/77/1009035077.db2.gz NNRMOHCDBFSLJR-UHFFFAOYSA-N 0 2 304.306 0.825 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cc2cc[nH]c(=O)c2s1 ZINC001454276699 1009035132 /nfs/dbraw/zinc/03/51/32/1009035132.db2.gz DOTGUADVOGWPSA-UHFFFAOYSA-N 0 2 304.287 0.236 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnc(-c2ccccn2)s1 ZINC001454274739 1009035239 /nfs/dbraw/zinc/03/52/39/1009035239.db2.gz KSBIROZZUBHZFM-UHFFFAOYSA-N 0 2 315.314 0.851 20 0 DCADLN CC1(C)[C@@H]2CN(C(=O)c3ccc(-n4[nH]c(=O)[nH]c4=O)cc3)C[C@@H]21 ZINC001433731763 1009129134 /nfs/dbraw/zinc/12/91/34/1009129134.db2.gz BZIMIISJGYJWQE-TXEJJXNPSA-N 0 2 314.345 0.994 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001421829671 1009143056 /nfs/dbraw/zinc/14/30/56/1009143056.db2.gz TZGATJRPLYVINF-GFCCVEGCSA-N 0 2 323.397 0.740 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001421829671 1009143060 /nfs/dbraw/zinc/14/30/60/1009143060.db2.gz TZGATJRPLYVINF-GFCCVEGCSA-N 0 2 323.397 0.740 20 0 DCADLN C[C@H](CCNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[NH2+]Cc1ncccn1 ZINC001442262876 1009145213 /nfs/dbraw/zinc/14/52/13/1009145213.db2.gz CHPSWWYQTHKAED-JHJVBQTASA-N 0 2 314.393 0.988 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)N(C)C[C@H](C)c2nn[nH]n2)cc1=O ZINC001433891035 1009313436 /nfs/dbraw/zinc/31/34/36/1009313436.db2.gz NHGRKKMPUORJJH-JTQLQIEISA-N 0 2 321.385 0.150 20 0 DCADLN C[C@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)[C@H]1CCCO1 ZINC001442585944 1009495115 /nfs/dbraw/zinc/49/51/15/1009495115.db2.gz ZWIOODAEXMTXBE-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)[C@H]1CCCO1 ZINC001442585944 1009495116 /nfs/dbraw/zinc/49/51/16/1009495116.db2.gz ZWIOODAEXMTXBE-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccn(C)n2)C1 ZINC001434066282 1009516719 /nfs/dbraw/zinc/51/67/19/1009516719.db2.gz HEZGVQPPNZJCRG-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2ccn(C)n2)C1 ZINC001434066282 1009516733 /nfs/dbraw/zinc/51/67/33/1009516733.db2.gz HEZGVQPPNZJCRG-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CC(C)c1ocnc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422214123 1009656742 /nfs/dbraw/zinc/65/67/42/1009656742.db2.gz UVQNBKPIZAOEIY-UHFFFAOYSA-N 0 2 322.369 0.826 20 0 DCADLN CC(C)c1ocnc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422214123 1009656751 /nfs/dbraw/zinc/65/67/51/1009656751.db2.gz UVQNBKPIZAOEIY-UHFFFAOYSA-N 0 2 322.369 0.826 20 0 DCADLN Cc1nc(SCC(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)n[nH]1 ZINC001434365125 1009836173 /nfs/dbraw/zinc/83/61/73/1009836173.db2.gz LRNPEFPICMCJKL-SECBINFHSA-N 0 2 322.398 0.200 20 0 DCADLN CNC(=O)c1cccc(C(=O)N[C@@H](C)c2nn(C)cc2O)n1 ZINC001434407467 1009914707 /nfs/dbraw/zinc/91/47/07/1009914707.db2.gz OIUZRLBRXBRTKD-QMMMGPOBSA-N 0 2 303.322 0.371 20 0 DCADLN C[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)c1ccccc1 ZINC001456313795 1010190603 /nfs/dbraw/zinc/19/06/03/1010190603.db2.gz VVNXFMAOTFFAMZ-SNVBAGLBSA-N 0 2 322.390 0.769 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001443438457 1010405574 /nfs/dbraw/zinc/40/55/74/1010405574.db2.gz PKQVAXMJZORAHU-JQWIXIFHSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NCC1CN(Cc2cnns2)C1)C(F)C(F)(F)F ZINC001443579858 1010507426 /nfs/dbraw/zinc/50/74/26/1010507426.db2.gz ORDZPRYGDLCURQ-QMMMGPOBSA-N 0 2 312.292 0.987 20 0 DCADLN O=C(NCC1CN(Cc2cnns2)C1)[C@H](F)C(F)(F)F ZINC001443579858 1010507445 /nfs/dbraw/zinc/50/74/45/1010507445.db2.gz ORDZPRYGDLCURQ-QMMMGPOBSA-N 0 2 312.292 0.987 20 0 DCADLN Cc1cc(C[NH+]2CC(CNC(=O)C[N@@H+](C)C3CCC3)C2)ncn1 ZINC001443589207 1010518725 /nfs/dbraw/zinc/51/87/25/1010518725.db2.gz QJEXICXGTATNPY-UHFFFAOYSA-N 0 2 317.437 0.817 20 0 DCADLN COC[C@H](C)CC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443828979 1010689014 /nfs/dbraw/zinc/68/90/14/1010689014.db2.gz BPVHONRPGIRZLN-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN COC[C@H](C)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443828979 1010689018 /nfs/dbraw/zinc/68/90/18/1010689018.db2.gz BPVHONRPGIRZLN-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C(C)(C)C(F)F ZINC001422881008 1010697728 /nfs/dbraw/zinc/69/77/28/1010697728.db2.gz AAZVXJBAAVEILN-ZETCQYMHSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C(C)(C)C(F)F ZINC001422881008 1010697721 /nfs/dbraw/zinc/69/77/21/1010697721.db2.gz AAZVXJBAAVEILN-ZETCQYMHSA-N 0 2 305.329 0.738 20 0 DCADLN CCO[C@@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001423099196 1010887145 /nfs/dbraw/zinc/88/71/45/1010887145.db2.gz UIOFVFRSANTGFU-CMPLNLGQSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001423106994 1010892229 /nfs/dbraw/zinc/89/22/29/1010892229.db2.gz FAPQCVYEMBSEBU-SFYZADRCSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(CCCF)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001423108241 1010893813 /nfs/dbraw/zinc/89/38/13/1010893813.db2.gz IHMAWSUNAASXRF-GFCCVEGCSA-N 0 2 321.356 0.463 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001444205268 1010904934 /nfs/dbraw/zinc/90/49/34/1010904934.db2.gz CUVGVJRCSPPKCH-JGVFFNPUSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001444205268 1010904938 /nfs/dbraw/zinc/90/49/38/1010904938.db2.gz CUVGVJRCSPPKCH-JGVFFNPUSA-N 0 2 311.235 0.122 20 0 DCADLN CCOC(=O)c1n[n-]c([C@@H](C)[NH2+]C2CC(S(C)(=O)=O)C2)n1 ZINC001423294430 1011054722 /nfs/dbraw/zinc/05/47/22/1011054722.db2.gz VJBGMRDEIPFMFL-AFPNSQJFSA-N 0 2 316.383 0.208 20 0 DCADLN CCN(C)C(=O)C[N@@H+]1CC[C@]2(NC(=O)c3nnc[nH]3)CCC[C@@H]12 ZINC001423349297 1011095654 /nfs/dbraw/zinc/09/56/54/1011095654.db2.gz KUPILDHARNUEMR-IAQYHMDHSA-N 0 2 320.397 0.010 20 0 DCADLN CCN(C)C(=O)C[N@H+]1CC[C@]2(NC(=O)c3nnc[nH]3)CCC[C@@H]12 ZINC001423349297 1011095656 /nfs/dbraw/zinc/09/56/56/1011095656.db2.gz KUPILDHARNUEMR-IAQYHMDHSA-N 0 2 320.397 0.010 20 0 DCADLN CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2n[nH]c(C)c2C)[C@@H]1C ZINC001423369481 1011105590 /nfs/dbraw/zinc/10/55/90/1011105590.db2.gz GKZGRBJSNJXUAS-QWHCGFSZSA-N 0 2 321.425 0.745 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCOC1 ZINC001423564242 1011270428 /nfs/dbraw/zinc/27/04/28/1011270428.db2.gz LQCAOHWZLORWDD-LLVKDONJSA-N 0 2 311.386 0.216 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCOC1 ZINC001423564242 1011270445 /nfs/dbraw/zinc/27/04/45/1011270445.db2.gz LQCAOHWZLORWDD-LLVKDONJSA-N 0 2 311.386 0.216 20 0 DCADLN CN(CCN(C)C(=O)C(C)(C)C(F)F)Cc1n[nH]c(=O)[nH]1 ZINC001445039693 1011349693 /nfs/dbraw/zinc/34/96/93/1011349693.db2.gz WPSYPYPDINILQQ-UHFFFAOYSA-N 0 2 305.329 0.692 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(C)(C)C(F)F ZINC001445039693 1011349702 /nfs/dbraw/zinc/34/97/02/1011349702.db2.gz WPSYPYPDINILQQ-UHFFFAOYSA-N 0 2 305.329 0.692 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(C)(C)C(F)F ZINC001445039693 1011349709 /nfs/dbraw/zinc/34/97/09/1011349709.db2.gz WPSYPYPDINILQQ-UHFFFAOYSA-N 0 2 305.329 0.692 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)[C@H](C)CNC(=O)COC ZINC001445271484 1011603079 /nfs/dbraw/zinc/60/30/79/1011603079.db2.gz QIJQRIQDACISLF-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)[C@H](C)CNC(=O)COC ZINC001445271484 1011603093 /nfs/dbraw/zinc/60/30/93/1011603093.db2.gz QIJQRIQDACISLF-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001534851672 1011699909 /nfs/dbraw/zinc/69/99/09/1011699909.db2.gz ZURUECZQINNQPM-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001534851672 1011699919 /nfs/dbraw/zinc/69/99/19/1011699919.db2.gz ZURUECZQINNQPM-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN COc1ccc(CCC(=O)NCCCc2n[nH]c(=O)[nH]2)cn1 ZINC001457670253 1011799351 /nfs/dbraw/zinc/79/93/51/1011799351.db2.gz IQINXJHAGSDRCE-UHFFFAOYSA-N 0 2 305.338 0.596 20 0 DCADLN CCCC(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001445508337 1011857424 /nfs/dbraw/zinc/85/74/24/1011857424.db2.gz TZDVIVGIWYETTJ-BHNWBGBOSA-N 0 2 300.252 0.375 20 0 DCADLN CCCC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001445508337 1011857443 /nfs/dbraw/zinc/85/74/43/1011857443.db2.gz TZDVIVGIWYETTJ-BHNWBGBOSA-N 0 2 300.252 0.375 20 0 DCADLN COC[C@H](C)C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001535696447 1011963766 /nfs/dbraw/zinc/96/37/66/1011963766.db2.gz HYORUOGYLCNIBM-JTQLQIEISA-N 0 2 308.382 0.308 20 0 DCADLN CO[C@@H]1CN(C(=O)C(C)C)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001445610667 1011998749 /nfs/dbraw/zinc/99/87/49/1011998749.db2.gz FYSWQIZCRYKZRF-HLTSFMKQSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@@H]1CN(C(=O)C(C)C)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001445610667 1011998768 /nfs/dbraw/zinc/99/87/68/1011998768.db2.gz FYSWQIZCRYKZRF-HLTSFMKQSA-N 0 2 314.279 0.885 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+]Cc3csnn3)CCC2)c1[O-] ZINC001424161749 1012103588 /nfs/dbraw/zinc/10/35/88/1012103588.db2.gz DMTVIPPKVIABLE-UHFFFAOYSA-N 0 2 322.394 0.718 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccnn2C)C1 ZINC001536392431 1012109231 /nfs/dbraw/zinc/10/92/31/1012109231.db2.gz FCQACEYGDWCTON-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2ccnn2C)C1 ZINC001536392431 1012109251 /nfs/dbraw/zinc/10/92/51/1012109251.db2.gz FCQACEYGDWCTON-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001432260200 1012218568 /nfs/dbraw/zinc/21/85/68/1012218568.db2.gz LIVOGQCVTTVSPO-ZETCQYMHSA-N 0 2 324.234 0.265 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001432260200 1012218578 /nfs/dbraw/zinc/21/85/78/1012218578.db2.gz LIVOGQCVTTVSPO-ZETCQYMHSA-N 0 2 324.234 0.265 20 0 DCADLN CO[C@@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001432261522 1012219756 /nfs/dbraw/zinc/21/97/56/1012219756.db2.gz WKTVUTKMYQWPPL-BDAKNGLRSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@@H](C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1)C1CC1 ZINC001432261522 1012219770 /nfs/dbraw/zinc/21/97/70/1012219770.db2.gz WKTVUTKMYQWPPL-BDAKNGLRSA-N 0 2 312.263 0.639 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001445779407 1012254901 /nfs/dbraw/zinc/25/49/01/1012254901.db2.gz BNRJGXKFXPPNRZ-NKWVEPMBSA-N 0 2 309.292 0.645 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001445779407 1012254918 /nfs/dbraw/zinc/25/49/18/1012254918.db2.gz BNRJGXKFXPPNRZ-NKWVEPMBSA-N 0 2 309.292 0.645 20 0 DCADLN O=C(Cc1ccon1)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458671125 1012279186 /nfs/dbraw/zinc/27/91/86/1012279186.db2.gz FYNOCGMUWJFZPB-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001458671125 1012279202 /nfs/dbraw/zinc/27/92/02/1012279202.db2.gz FYNOCGMUWJFZPB-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccncn1)C(F)C(F)(F)F ZINC001458725215 1012326329 /nfs/dbraw/zinc/32/63/29/1012326329.db2.gz KUZGANPPESSVNX-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccncn1)[C@@H](F)C(F)(F)F ZINC001458725215 1012326337 /nfs/dbraw/zinc/32/63/37/1012326337.db2.gz KUZGANPPESSVNX-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@H]1CC[C@@H](Nc2cccc[nH+]2)CC1 ZINC001558636988 1012373949 /nfs/dbraw/zinc/37/39/49/1012373949.db2.gz HAPCMRVGVJWUIL-TXEJJXNPSA-N 0 2 306.366 0.846 20 0 DCADLN CC(C)(O)CC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432409159 1012450180 /nfs/dbraw/zinc/45/01/80/1012450180.db2.gz MPAUNFWRCDLBTA-VIFPVBQESA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)(O)CC(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432409159 1012450202 /nfs/dbraw/zinc/45/02/02/1012450202.db2.gz MPAUNFWRCDLBTA-VIFPVBQESA-N 0 2 314.279 0.622 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001432430445 1012477463 /nfs/dbraw/zinc/47/74/63/1012477463.db2.gz TWDWPWRONRSBKC-VXNVDRBHSA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432430445 1012477480 /nfs/dbraw/zinc/47/74/80/1012477480.db2.gz TWDWPWRONRSBKC-VXNVDRBHSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446118803 1012610094 /nfs/dbraw/zinc/61/00/94/1012610094.db2.gz VNXILESZASLKQT-GHMZBOCLSA-N 0 2 319.365 0.673 20 0 DCADLN O=C(COc1ccsc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446125452 1012618443 /nfs/dbraw/zinc/61/84/43/1012618443.db2.gz LDYJMPLJLSMFIB-VIFPVBQESA-N 0 2 323.378 0.341 20 0 DCADLN C[C@@H](CNC(=O)CCn1cc[nH+]c1)N(C)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001508988890 1017130650 /nfs/dbraw/zinc/13/06/50/1017130650.db2.gz LTHOTYSZIBBGON-KBPBESRZSA-N 0 2 321.425 0.331 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nnnn2C)C1 ZINC001506616355 1017410573 /nfs/dbraw/zinc/41/05/73/1017410573.db2.gz CJLIYOWXBBKRLB-IMTBSYHQSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2nnnn2C)C1 ZINC001506616355 1017410576 /nfs/dbraw/zinc/41/05/76/1017410576.db2.gz CJLIYOWXBBKRLB-IMTBSYHQSA-N 0 2 324.282 0.047 20 0 DCADLN Cc1nn(C)cc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001494647434 1017910394 /nfs/dbraw/zinc/91/03/94/1017910394.db2.gz DTJCXQLKWNOIKC-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1nn(C)cc1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001494647434 1017910397 /nfs/dbraw/zinc/91/03/97/1017910397.db2.gz DTJCXQLKWNOIKC-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN CCc1cc(C(=O)N(CCNC(=O)c2ncn[nH]2)C2CC2)[nH]n1 ZINC001497512655 1018181243 /nfs/dbraw/zinc/18/12/43/1018181243.db2.gz BDLCBIJIDCYBCN-UHFFFAOYSA-N 0 2 317.353 0.125 20 0 DCADLN C[C@H](CCNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001495543849 1018569273 /nfs/dbraw/zinc/56/92/73/1018569273.db2.gz YGANDHPVXVTVTD-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1ccn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001495543849 1018569284 /nfs/dbraw/zinc/56/92/84/1018569284.db2.gz YGANDHPVXVTVTD-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C(C1CC1)C1CC1 ZINC001498430298 1019108752 /nfs/dbraw/zinc/10/87/52/1019108752.db2.gz STXRWSVVTQPMES-SECBINFHSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C(C1CC1)C1CC1 ZINC001498430298 1019108760 /nfs/dbraw/zinc/10/87/60/1019108760.db2.gz STXRWSVVTQPMES-SECBINFHSA-N 0 2 307.398 0.883 20 0 DCADLN CCC(=O)NCCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001493140654 1019137888 /nfs/dbraw/zinc/13/78/88/1019137888.db2.gz PHUMJIGGZJOPTA-JTQLQIEISA-N 0 2 313.295 0.557 20 0 DCADLN CCC(=O)NCCN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001493140654 1019137898 /nfs/dbraw/zinc/13/78/98/1019137898.db2.gz PHUMJIGGZJOPTA-JTQLQIEISA-N 0 2 313.295 0.557 20 0 DCADLN Cc1ccc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)nn1 ZINC001493370648 1019367465 /nfs/dbraw/zinc/36/74/65/1019367465.db2.gz KOSWLDBQHLHSSX-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1ccc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)nn1 ZINC001493370648 1019367475 /nfs/dbraw/zinc/36/74/75/1019367475.db2.gz KOSWLDBQHLHSSX-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1cc(C)nc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001496734962 1019591262 /nfs/dbraw/zinc/59/12/62/1019591262.db2.gz XXGJGOMNKRDBBF-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1cc(C)nc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001496734962 1019591272 /nfs/dbraw/zinc/59/12/72/1019591272.db2.gz XXGJGOMNKRDBBF-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN CCCN(C(=O)[C@@H](C)OC)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001493940181 1019834296 /nfs/dbraw/zinc/83/42/96/1019834296.db2.gz QTDBYZGWTKRCPP-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC001494205097 1020073246 /nfs/dbraw/zinc/07/32/46/1020073246.db2.gz GECMUWIYGQNARC-YIZRAAEISA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cncnc1 ZINC001494205097 1020073258 /nfs/dbraw/zinc/07/32/58/1020073258.db2.gz GECMUWIYGQNARC-YIZRAAEISA-N 0 2 320.246 0.754 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cnn(C)c2C)cn1C ZINC000330929990 529932445 /nfs/dbraw/zinc/93/24/45/529932445.db2.gz OMMLRSCIWAEDSV-UHFFFAOYSA-N 0 2 311.367 0.227 20 0 DCADLN C[NH+](C)[C@@H](C(=O)NCc1nc(=O)o[n-]1)c1ccc(Cl)cc1 ZINC001645914944 1172183087 /nfs/dbraw/zinc/18/30/87/1172183087.db2.gz HVYNXQHVCFEMHD-LLVKDONJSA-N 0 2 310.741 0.935 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000262413489 283111688 /nfs/dbraw/zinc/11/16/88/283111688.db2.gz YGXUVHFKYNCBRW-OAHLLOKOSA-N 0 2 321.377 0.387 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000262413489 283111693 /nfs/dbraw/zinc/11/16/93/283111693.db2.gz YGXUVHFKYNCBRW-OAHLLOKOSA-N 0 2 321.377 0.387 20 0 DCADLN O=C(Cn1cn[nH]c1=O)Nc1nc(-c2ccccc2F)n[nH]1 ZINC000189251375 237389111 /nfs/dbraw/zinc/38/91/11/237389111.db2.gz QETRNADDOZCPAK-UHFFFAOYSA-N 0 2 303.257 0.134 20 0 DCADLN COc1ccccc1CNC(=O)C1=C(C)OCCS1(=O)=O ZINC000281140180 216106153 /nfs/dbraw/zinc/10/61/53/216106153.db2.gz JWLBTSWGUQGBHQ-UHFFFAOYSA-N 0 2 311.359 0.988 20 0 DCADLN O=C([C@H]1CCCCC(=O)N1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000566284602 291290961 /nfs/dbraw/zinc/29/09/61/291290961.db2.gz WBHWFSGEVNWUMY-NXEZZACHSA-N 0 2 307.354 0.275 20 0 DCADLN COc1ccc2c(c1)CC[N@@H+](Cc1cn(CC(=O)[O-])nn1)C2 ZINC000566490102 291307088 /nfs/dbraw/zinc/30/70/88/291307088.db2.gz CQZJVDNTNKIZBU-UHFFFAOYSA-N 0 2 302.334 0.930 20 0 DCADLN COc1ccc2c(c1)CC[N@H+](Cc1cn(CC(=O)[O-])nn1)C2 ZINC000566490102 291307090 /nfs/dbraw/zinc/30/70/90/291307090.db2.gz CQZJVDNTNKIZBU-UHFFFAOYSA-N 0 2 302.334 0.930 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC1(CC(N)=O)CC1)c2=O ZINC000421926095 240111413 /nfs/dbraw/zinc/11/14/13/240111413.db2.gz WRMNIEDKWGSXII-UHFFFAOYSA-N 0 2 303.322 0.059 20 0 DCADLN COc1ccc(OC)c(CNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000274922255 212034403 /nfs/dbraw/zinc/03/44/03/212034403.db2.gz XZRCEBAPZWCHLY-UHFFFAOYSA-N 0 2 324.362 0.524 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)OCCO2 ZINC000274893033 212015252 /nfs/dbraw/zinc/01/52/52/212015252.db2.gz MKOLLHGDRNUKJC-UHFFFAOYSA-N 0 2 308.319 0.600 20 0 DCADLN CC[C@H](C)[C@@H](OC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000355038791 261299641 /nfs/dbraw/zinc/29/96/41/261299641.db2.gz NOZHDKWJZANCAJ-NKWVEPMBSA-N 0 2 322.412 0.909 20 0 DCADLN C[C@@](O)(CNC(=O)Cc1c[nH+]cn1Cc1ccccc1)C(=O)[O-] ZINC000571799140 291533536 /nfs/dbraw/zinc/53/35/36/291533536.db2.gz AHXDQCBGLJJGPZ-MRXNPFEDSA-N 0 2 317.345 0.426 20 0 DCADLN C[C@@H]1CCCCN1S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000175330593 296320949 /nfs/dbraw/zinc/32/09/49/296320949.db2.gz BZIQFZWVHSRWHO-MRVPVSSYSA-N 0 2 324.362 0.175 20 0 DCADLN COCc1cnc(NC(=O)CSc2n[nH]c(=O)[nH]2)s1 ZINC000340832938 253311611 /nfs/dbraw/zinc/31/16/11/253311611.db2.gz LMQVCEPOCIAWOX-UHFFFAOYSA-N 0 2 301.353 0.844 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2cn(Cc3cccs3)nn2)C(=O)O1 ZINC000288649246 220320462 /nfs/dbraw/zinc/32/04/62/220320462.db2.gz QUGFORJJKBZVLL-SCZZXKLOSA-N 0 2 306.347 0.822 20 0 DCADLN C[C@@H](CNC(=O)C(=O)N1C[C@@H](C)O[C@@H](C)C1)c1nccs1 ZINC000288648901 220322337 /nfs/dbraw/zinc/32/23/37/220322337.db2.gz ABRQTQDDNJBKEC-GARJFASQSA-N 0 2 311.407 0.999 20 0 DCADLN CO[C@@H](CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)C1CC1 ZINC000294632250 224131806 /nfs/dbraw/zinc/13/18/06/224131806.db2.gz QPBPKMHBJAKKPM-JTQLQIEISA-N 0 2 318.333 0.899 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2CC[C@H](O)C2)s1 ZINC000346218416 254023342 /nfs/dbraw/zinc/02/33/42/254023342.db2.gz HRJUPDSBLCQWHO-WDSKDSINSA-N 0 2 306.369 0.009 20 0 DCADLN CNC(=O)CCOc1ccc(NS(=O)(=O)c2c[nH]cn2)cc1 ZINC000346689328 254083753 /nfs/dbraw/zinc/08/37/53/254083753.db2.gz ZJFUAMXWLIAUOX-UHFFFAOYSA-N 0 2 324.362 0.725 20 0 DCADLN Cc1cc(C(=O)NC[C@@](C)(O)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000347742554 254184597 /nfs/dbraw/zinc/18/45/97/254184597.db2.gz PTVRWWNHGBUEEV-OAHLLOKOSA-N 0 2 318.333 0.635 20 0 DCADLN CS(=O)(=O)C1(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC1 ZINC000348336666 254232602 /nfs/dbraw/zinc/23/26/02/254232602.db2.gz AQCXYLJHICFASO-UHFFFAOYSA-N 0 2 323.330 0.546 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nccc(C)n2)cn1C ZINC000351726353 254363200 /nfs/dbraw/zinc/36/32/00/254363200.db2.gz DGTZZLXFDXTNLF-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COC(=O)CCc1nnc(NC(=O)C(N)C(F)(F)F)s1 ZINC000352962471 254437743 /nfs/dbraw/zinc/43/77/43/254437743.db2.gz RTYVYCLXBNFOGW-LURJTMIESA-N 0 2 312.273 0.472 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)N[C@H](C)Cc1ccc(O)cc1 ZINC000284250214 131064322 /nfs/dbraw/zinc/06/43/22/131064322.db2.gz RHEJYVHEQSTQEG-SNVBAGLBSA-N 0 2 316.361 0.787 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000285373208 131161745 /nfs/dbraw/zinc/16/17/45/131161745.db2.gz XJORBNJBIKNXGP-LURJTMIESA-N 0 2 321.380 0.148 20 0 DCADLN CC(C)(C)OC(=O)c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000092490311 395731309 /nfs/dbraw/zinc/73/13/09/395731309.db2.gz KUOREIBMHDVKLM-UHFFFAOYSA-N 0 2 318.333 0.983 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2ccccc2C)cn1 ZINC000112332524 395816364 /nfs/dbraw/zinc/81/63/64/395816364.db2.gz POANKULSGMLZAS-UHFFFAOYSA-N 0 2 308.363 0.738 20 0 DCADLN C[C@@H](CONC(=O)CSCC(N)=O)NC(=O)OC(C)(C)C ZINC000270974461 395828090 /nfs/dbraw/zinc/82/80/90/395828090.db2.gz UJAJRIJDASVXIL-QMMMGPOBSA-N 0 2 321.399 0.166 20 0 DCADLN C[C@H](C(=O)Nc1nc(-c2ccccc2F)n[nH]1)S(C)(=O)=O ZINC000113428411 395830929 /nfs/dbraw/zinc/83/09/29/395830929.db2.gz DCJBBOHCMJEKTP-SSDOTTSWSA-N 0 2 312.326 0.982 20 0 DCADLN C[C@H](CS(C)(=O)=O)NC(=O)c1cc(F)c(F)c(O)c1F ZINC000273387245 395917969 /nfs/dbraw/zinc/91/79/69/395917969.db2.gz DNRBCBYTHTXAIR-RXMQYKEDSA-N 0 2 311.281 0.972 20 0 DCADLN C[C@@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H]1C ZINC000131533770 395924931 /nfs/dbraw/zinc/92/49/31/395924931.db2.gz IVBUFSHDHOPANW-BDAKNGLRSA-N 0 2 302.334 0.730 20 0 DCADLN CC(C)(C)c1ccc(C(=O)NCC(=O)NOCC(N)=O)cc1 ZINC000271456006 395867000 /nfs/dbraw/zinc/86/70/00/395867000.db2.gz WJSJOJFZUPSBJR-UHFFFAOYSA-N 0 2 307.350 0.247 20 0 DCADLN COc1ccc2c(c1)CCC[C@H]2CNC(=O)c1nc(=O)[nH][nH]1 ZINC000119063540 395867103 /nfs/dbraw/zinc/86/71/03/395867103.db2.gz NJSFPURLEMXRDL-JTQLQIEISA-N 0 2 302.334 0.957 20 0 DCADLN COCCCS(=O)(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000151127486 395979720 /nfs/dbraw/zinc/97/97/20/395979720.db2.gz SPNNQLNBPWKZOX-CYBMUJFWSA-N 0 2 300.380 0.901 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)n1 ZINC000065832401 395997731 /nfs/dbraw/zinc/99/77/31/395997731.db2.gz DZELPUXFHYWMPD-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN COCCN1C[C@H](C(=O)Nc2ccc3nn[nH]c3c2)CC1=O ZINC000154080050 396030542 /nfs/dbraw/zinc/03/05/42/396030542.db2.gz ADASWEPJTWZAJY-SECBINFHSA-N 0 2 303.322 0.391 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1c[nH]c2ncccc12 ZINC000067659893 396031170 /nfs/dbraw/zinc/03/11/70/396031170.db2.gz RCGJZSYNFKCYDE-UHFFFAOYSA-N 0 2 307.335 0.553 20 0 DCADLN COCCn1cc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC000277046954 396046589 /nfs/dbraw/zinc/04/65/89/396046589.db2.gz PWDIGTBAWCYENH-UHFFFAOYSA-N 0 2 322.287 0.925 20 0 DCADLN [NH3+][C@@H](C(=O)N1CC[NH+](Cc2ccccn2)CC1)C1CCOCC1 ZINC000261610363 396048735 /nfs/dbraw/zinc/04/87/35/396048735.db2.gz BEGPSWMILFRHDK-MRXNPFEDSA-N 0 2 318.421 0.480 20 0 DCADLN C[C@@H]1C[N@H+](CC(=O)[N-]OCc2ccccc2)CC[S@@](=O)C1 ZINC000277528458 396073013 /nfs/dbraw/zinc/07/30/13/396073013.db2.gz RHVFSEPRKOFQND-LRTDBIEQSA-N 0 2 310.419 0.935 20 0 DCADLN C[C@@H]1C[N@@H+](CC(=O)[N-]OCc2ccccc2)CC[S@@](=O)C1 ZINC000277528458 396073015 /nfs/dbraw/zinc/07/30/15/396073015.db2.gz RHVFSEPRKOFQND-LRTDBIEQSA-N 0 2 310.419 0.935 20 0 DCADLN O=C([O-])[C@]1(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCOC1 ZINC000262152993 396104825 /nfs/dbraw/zinc/10/48/25/396104825.db2.gz MAXUSGRGZJMUFV-HNNXBMFYSA-N 0 2 301.302 0.846 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@@H+]1CC(=O)NC(=O)NCc1cccs1 ZINC000262169976 396106401 /nfs/dbraw/zinc/10/64/01/396106401.db2.gz UXSCTYHDFYILJD-JTQLQIEISA-N 0 2 311.363 0.623 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@H+]1CC(=O)NC(=O)NCc1cccs1 ZINC000262169976 396106402 /nfs/dbraw/zinc/10/64/02/396106402.db2.gz UXSCTYHDFYILJD-JTQLQIEISA-N 0 2 311.363 0.623 20 0 DCADLN CC(C)Sc1n[nH]c(NS(=O)(=O)[C@H](C)C(=O)N(C)C)n1 ZINC000278527656 396129301 /nfs/dbraw/zinc/12/93/01/396129301.db2.gz HUJZZZJBJNIUIR-SSDOTTSWSA-N 0 2 321.428 0.524 20 0 DCADLN CCc1ncc(NS(=O)(=O)c2ccc(C(N)=O)cc2)cn1 ZINC000161896733 396078105 /nfs/dbraw/zinc/07/81/05/396078105.db2.gz YOCRKTYIFAFHQM-UHFFFAOYSA-N 0 2 306.347 0.939 20 0 DCADLN C[C@@H]1NC(=O)N(Cc2nnc(COc3ccccc3)[nH]2)C1=O ZINC000277747211 396085011 /nfs/dbraw/zinc/08/50/11/396085011.db2.gz KMMNHVXEPSDUOE-VIFPVBQESA-N 0 2 301.306 0.824 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000262682848 396172331 /nfs/dbraw/zinc/17/23/31/396172331.db2.gz WTERFCOJGJRDSK-LBPRGKRZSA-N 0 2 323.368 0.879 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000262682848 396172332 /nfs/dbraw/zinc/17/23/32/396172332.db2.gz WTERFCOJGJRDSK-LBPRGKRZSA-N 0 2 323.368 0.879 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000262687606 396172422 /nfs/dbraw/zinc/17/24/22/396172422.db2.gz YLKBUBWZUQYSNY-ZDUSSCGKSA-N 0 2 305.378 0.646 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000262687606 396172424 /nfs/dbraw/zinc/17/24/24/396172424.db2.gz YLKBUBWZUQYSNY-ZDUSSCGKSA-N 0 2 305.378 0.646 20 0 DCADLN CC(=O)NCCNC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000177636181 396180496 /nfs/dbraw/zinc/18/04/96/396180496.db2.gz FLCMCXODSWEFKP-UHFFFAOYSA-N 0 2 320.324 0.891 20 0 DCADLN COCCCn1ccc(NS(=O)(=O)C[C@@H]2CCCO2)n1 ZINC000265386080 396312234 /nfs/dbraw/zinc/31/22/34/396312234.db2.gz LJPULOCFLHQHLF-NSHDSACASA-N 0 2 303.384 0.840 20 0 DCADLN COc1ccc([C@@H]2C[C@H]2C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000081815209 396308578 /nfs/dbraw/zinc/30/85/78/396308578.db2.gz BKQGVTYWLOWDAQ-QWHCGFSZSA-N 0 2 316.361 0.959 20 0 DCADLN CCO[C@H]1C[C@H](N(C)C(=O)CSc2n[nH]c(=O)[nH]2)C1(C)C ZINC000185716619 396333639 /nfs/dbraw/zinc/33/36/39/396333639.db2.gz NWURYFLVEMBVLI-IUCAKERBSA-N 0 2 314.411 0.852 20 0 DCADLN C[C@@]1(CNC(=O)C(=O)NCCCn2cc[nH+]c2)CCCS1 ZINC000283462835 396338737 /nfs/dbraw/zinc/33/87/37/396338737.db2.gz DUNJYBYMWCAZDR-AWEZNQCLSA-N 0 2 310.423 0.791 20 0 DCADLN CNC(=O)C[NH+]1CCN([C@H]2CC[N@H+](Cc3ccccc3)C2)CC1 ZINC000286109375 396375080 /nfs/dbraw/zinc/37/50/80/396375080.db2.gz UIMLNLMVTGBDJB-KRWDZBQOSA-N 0 2 316.449 0.625 20 0 DCADLN CS[C@@H](CO)[C@@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000269132602 396387973 /nfs/dbraw/zinc/38/79/73/396387973.db2.gz HVCYWSZHAVXJLQ-MUWHJKNJSA-N 0 2 324.362 0.278 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(Cc3ncnn3CC(F)F)C2)[nH]1 ZINC000580797560 396500642 /nfs/dbraw/zinc/50/06/42/396500642.db2.gz IUYKZOHQPIYFKF-QMMMGPOBSA-N 0 2 313.312 0.747 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2nc(-c3ccoc3)no2)[C@H](C[NH3+])C1 ZINC000581189654 396532928 /nfs/dbraw/zinc/53/29/28/396532928.db2.gz BRJRDXWDXGLQQJ-GFCCVEGCSA-N 0 2 319.365 0.364 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@H+](C)[C@H](C)C(=O)[O-] ZINC000581432363 396554417 /nfs/dbraw/zinc/55/44/17/396554417.db2.gz UEFKIXPZGQNDBO-GHMZBOCLSA-N 0 2 300.355 0.336 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@@H+](C)[C@H](C)C(=O)[O-] ZINC000581432363 396554419 /nfs/dbraw/zinc/55/44/19/396554419.db2.gz UEFKIXPZGQNDBO-GHMZBOCLSA-N 0 2 300.355 0.336 20 0 DCADLN COC(=O)[C@@H](CNC(=O)c1cc(F)c(F)c(O)c1F)OC ZINC000294143455 396655500 /nfs/dbraw/zinc/65/55/00/396655500.db2.gz USPDRFHAOXDHHV-SSDOTTSWSA-N 0 2 307.224 0.727 20 0 DCADLN CC(C)[C@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)CCCO1 ZINC000294411701 396673207 /nfs/dbraw/zinc/67/32/07/396673207.db2.gz MWZBIKJUMWJTSA-SECBINFHSA-N 0 2 300.384 0.464 20 0 DCADLN COC(=O)c1[nH]c(C)cc1NS(=O)(=O)c1cn(C)nc1C ZINC000588845734 396695314 /nfs/dbraw/zinc/69/53/14/396695314.db2.gz RPHFMMIJBZVPDP-UHFFFAOYSA-N 0 2 312.351 0.952 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000589269547 396711963 /nfs/dbraw/zinc/71/19/63/396711963.db2.gz IYWDYAMRVWKLBO-UHFFFAOYSA-N 0 2 308.319 0.552 20 0 DCADLN C[N@@H+]1CCO[C@H]([C@H](NC(=O)C(=O)[O-])c2ccc(Cl)cc2)C1 ZINC000375155134 396714210 /nfs/dbraw/zinc/71/42/10/396714210.db2.gz WXRUVAHUPMDZCR-NWDGAFQWSA-N 0 2 312.753 0.913 20 0 DCADLN C[N@H+]1CCO[C@H]([C@H](NC(=O)C(=O)[O-])c2ccc(Cl)cc2)C1 ZINC000375155134 396714213 /nfs/dbraw/zinc/71/42/13/396714213.db2.gz WXRUVAHUPMDZCR-NWDGAFQWSA-N 0 2 312.753 0.913 20 0 DCADLN O=S(=O)(CCOCC1CC1)Nc1ncnn1-c1ccccc1 ZINC000563225391 396715529 /nfs/dbraw/zinc/71/55/29/396715529.db2.gz IBSSPFURLLYLEC-UHFFFAOYSA-N 0 2 322.390 0.858 20 0 DCADLN CCCn1nc(C)c(C[N@@H+]2CCN3C(=O)[C@H]([NH3+])C[C@@H]3C2)c1C ZINC000563430963 396733521 /nfs/dbraw/zinc/73/35/21/396733521.db2.gz CPIPPSSMKUGRNI-UKRRQHHQSA-N 0 2 305.426 0.654 20 0 DCADLN O=C([O-])C1(C(=O)NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)CC1 ZINC000311653086 396761283 /nfs/dbraw/zinc/76/12/83/396761283.db2.gz CYWPMJKKVULVOM-AWEZNQCLSA-N 0 2 318.373 0.868 20 0 DCADLN O=C([O-])C1(C(=O)NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)CC1 ZINC000311653086 396761284 /nfs/dbraw/zinc/76/12/84/396761284.db2.gz CYWPMJKKVULVOM-AWEZNQCLSA-N 0 2 318.373 0.868 20 0 DCADLN CCOC(=O)c1nnc(NS(=O)(=O)c2ccnn2C)s1 ZINC000610796690 396764610 /nfs/dbraw/zinc/76/46/10/396764610.db2.gz YLEAJSPCVPQMOC-UHFFFAOYSA-N 0 2 317.352 0.249 20 0 DCADLN O=C(NCc1cc[nH+]c(N2CCSCC2)c1)c1cc(=O)[nH][n-]1 ZINC000634302809 396836845 /nfs/dbraw/zinc/83/68/45/396836845.db2.gz URXIYPMAYHSJRD-UHFFFAOYSA-N 0 2 319.390 0.994 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C[C@@H]2CCCO2)s1 ZINC000330504514 396859360 /nfs/dbraw/zinc/85/93/60/396859360.db2.gz DYZKIIKAHZZLHF-LURJTMIESA-N 0 2 306.369 0.417 20 0 DCADLN COc1cc(CC(=O)N2CC[N@H+](C)C[C@H]2C[NH3+])cc(OC)c1O ZINC000564943884 396906340 /nfs/dbraw/zinc/90/63/40/396906340.db2.gz AYMVYSRWBCQYAI-GFCCVEGCSA-N 0 2 323.393 0.053 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)N1CCc2ccc(C(=O)[O-])cc2C1 ZINC000630155714 396950777 /nfs/dbraw/zinc/95/07/77/396950777.db2.gz IACWIXPZCXRNLN-HNNXBMFYSA-N 0 2 318.373 0.990 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)N1CCc2ccc(C(=O)[O-])cc2C1 ZINC000630155714 396950781 /nfs/dbraw/zinc/95/07/81/396950781.db2.gz IACWIXPZCXRNLN-HNNXBMFYSA-N 0 2 318.373 0.990 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000630167817 396953065 /nfs/dbraw/zinc/95/30/65/396953065.db2.gz XQMPGOYZJCBDRQ-DYEKYZERSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000630167817 396953070 /nfs/dbraw/zinc/95/30/70/396953070.db2.gz XQMPGOYZJCBDRQ-DYEKYZERSA-N 0 2 310.394 0.999 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCO[C@@H](OC)C2)c1 ZINC000597538925 397005388 /nfs/dbraw/zinc/00/53/88/397005388.db2.gz FQKMIZDSXUZQIW-SPLOXXLWSA-N 0 2 313.375 0.634 20 0 DCADLN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000377896424 397160381 /nfs/dbraw/zinc/16/03/81/397160381.db2.gz CBFSDDXFGOOHHT-BQBZGAKWSA-N 0 2 306.281 0.427 20 0 DCADLN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000377896424 397160396 /nfs/dbraw/zinc/16/03/96/397160396.db2.gz CBFSDDXFGOOHHT-BQBZGAKWSA-N 0 2 306.281 0.427 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)C[C@@H](OC)C(C)C)c[nH]1 ZINC000592385126 397165992 /nfs/dbraw/zinc/16/59/92/397165992.db2.gz KIEXNPXYULOTGH-SECBINFHSA-N 0 2 319.383 0.999 20 0 DCADLN CCOC(=O)c1ncc(NS(=O)(=O)C[C@@H](OC)C(C)C)[nH]1 ZINC000592385126 397165995 /nfs/dbraw/zinc/16/59/95/397165995.db2.gz KIEXNPXYULOTGH-SECBINFHSA-N 0 2 319.383 0.999 20 0 DCADLN Cn1cc(OCC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000572963665 397115905 /nfs/dbraw/zinc/11/59/05/397115905.db2.gz LCUYNNAGWVQMGX-UHFFFAOYSA-N 0 2 306.326 0.029 20 0 DCADLN Cc1[nH]nc(C(=O)N(CCN2CCOCC2)CC2CC2)c1O ZINC000619886890 397204842 /nfs/dbraw/zinc/20/48/42/397204842.db2.gz DMWUYUZWOIQOSQ-UHFFFAOYSA-N 0 2 308.382 0.608 20 0 DCADLN O=C1CN(CCCNc2nc(C(F)(F)F)ns2)CCN1 ZINC000577210346 397316114 /nfs/dbraw/zinc/31/61/14/397316114.db2.gz DHNWIDHKFZVUEB-UHFFFAOYSA-N 0 2 309.317 0.213 20 0 DCADLN O=C1C[N@H+](CCCN=c2[n-]c(C(F)(F)F)ns2)CCN1 ZINC000577210346 397316118 /nfs/dbraw/zinc/31/61/18/397316118.db2.gz DHNWIDHKFZVUEB-UHFFFAOYSA-N 0 2 309.317 0.213 20 0 DCADLN O=C1C[N@@H+](CCCN=c2[n-]c(C(F)(F)F)ns2)CCN1 ZINC000577210346 397316120 /nfs/dbraw/zinc/31/61/20/397316120.db2.gz DHNWIDHKFZVUEB-UHFFFAOYSA-N 0 2 309.317 0.213 20 0 DCADLN CC(C)(C)c1cc(NC[C@H]2CC[C@@H](CO)O2)nc(C(=O)[O-])[nH+]1 ZINC000574879665 397308283 /nfs/dbraw/zinc/30/82/83/397308283.db2.gz HHCDRRCZDLGLSR-ZJUUUORDSA-N 0 2 309.366 0.846 20 0 DCADLN CCOC(=O)Cn1ccc(NS(=O)(=O)CCOC(C)C)n1 ZINC000594463345 397557628 /nfs/dbraw/zinc/55/76/28/397557628.db2.gz WDWJMVCSQSEHQX-UHFFFAOYSA-N 0 2 319.383 0.613 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NC[C@@H](O)[C@@H]2CCOC2)s[nH]1 ZINC000605920622 397674635 /nfs/dbraw/zinc/67/46/35/397674635.db2.gz QVJHTFXQIZDSRQ-RKDXNWHRSA-N 0 2 314.411 0.776 20 0 DCADLN CN(Cc1cnn(C)c1)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119150793 158184314 /nfs/dbraw/zinc/18/43/14/158184314.db2.gz VIZRNCMWJJPDKX-UHFFFAOYSA-N 0 2 300.322 0.680 20 0 DCADLN CN1CCOc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc21 ZINC000119411766 158187629 /nfs/dbraw/zinc/18/76/29/158187629.db2.gz GOOXXUTYUHRORL-UHFFFAOYSA-N 0 2 304.310 0.248 20 0 DCADLN C[C@@H]1OCC[C@H]1S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328810724 159031577 /nfs/dbraw/zinc/03/15/77/159031577.db2.gz FEKVQQUPNHTJLT-LPEHRKFASA-N 0 2 316.383 0.197 20 0 DCADLN C[C@H]1CCCN1S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329596714 159105731 /nfs/dbraw/zinc/10/57/31/159105731.db2.gz PEWLORSNSHKDIM-UWVGGRQHSA-N 0 2 315.399 0.419 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2CCS(=O)(=O)CC2)s[nH]1 ZINC000408179487 160053628 /nfs/dbraw/zinc/05/36/28/160053628.db2.gz DRARLABJQRHXTN-UHFFFAOYSA-N 0 2 318.424 0.520 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1CCOc2ccccc21 ZINC000080975598 286927898 /nfs/dbraw/zinc/92/78/98/286927898.db2.gz XGFDIBPHELULFI-LLVKDONJSA-N 0 2 302.334 0.713 20 0 DCADLN O=C(NCCOc1ccccc1C(F)(F)F)c1nc(=O)[nH][nH]1 ZINC000106918785 286940325 /nfs/dbraw/zinc/94/03/25/286940325.db2.gz JPDZYFJTZSXTMD-UHFFFAOYSA-N 0 2 316.239 0.926 20 0 DCADLN CC[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H]1CCCO1 ZINC000152342101 287040483 /nfs/dbraw/zinc/04/04/83/287040483.db2.gz RKTYBQCDFBRDBT-WDEREUQCSA-N 0 2 318.333 0.299 20 0 DCADLN Cn1cc(S(=O)(=O)NC(=O)/C=C\c2cncc(F)c2)cn1 ZINC000255495746 287125289 /nfs/dbraw/zinc/12/52/89/287125289.db2.gz NEHZYBWAOBLKCK-IHWYPQMZSA-N 0 2 310.310 0.473 20 0 DCADLN C[S@](=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1F ZINC000354198167 287168337 /nfs/dbraw/zinc/16/83/37/287168337.db2.gz DYKLGNCYJBMIIJ-NRFANRHFSA-N 0 2 313.314 0.709 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1c[nH]nc1-c1ccccn1 ZINC000354960228 287172302 /nfs/dbraw/zinc/17/23/02/287172302.db2.gz RLSANZDQGRVOKU-UHFFFAOYSA-N 0 2 313.321 0.658 20 0 DCADLN COC(=O)[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C1CC1 ZINC000358404473 287209418 /nfs/dbraw/zinc/20/94/18/287209418.db2.gz XEHXBWKTDYRDCV-SNVBAGLBSA-N 0 2 304.306 0.355 20 0 DCADLN C[C@H](CS(C)(=O)=O)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000173569819 298868058 /nfs/dbraw/zinc/86/80/58/298868058.db2.gz FDGLAGWULCUVBC-SNVBAGLBSA-N 0 2 323.374 0.741 20 0 DCADLN Cc1cc(C(=O)NC2(CO)CCCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000352405007 415164278 /nfs/dbraw/zinc/16/42/78/415164278.db2.gz KGFMVUSLGKBGRX-UHFFFAOYSA-N 0 2 318.333 0.779 20 0 DCADLN C[C@@]1(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCCOC1 ZINC000332579815 415417652 /nfs/dbraw/zinc/41/76/52/415417652.db2.gz FLHFBARIVKXQOK-CQSZACIVSA-N 0 2 304.306 0.735 20 0 DCADLN NC(=O)[C@H]1CC[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)O1 ZINC000353087987 415433776 /nfs/dbraw/zinc/43/37/76/415433776.db2.gz VSEIDEGJUOTQNY-ZJUUUORDSA-N 0 2 318.289 0.001 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCC(=O)N(C)C)c1 ZINC000424611601 287310472 /nfs/dbraw/zinc/31/04/72/287310472.db2.gz XLQXTLSJDUSVMJ-OAQYLSRUSA-N 0 2 312.391 0.397 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCn2cccn2)c1 ZINC000424600444 287310090 /nfs/dbraw/zinc/31/00/90/287310090.db2.gz ODKZETFMNLTZAC-OAQYLSRUSA-N 0 2 307.375 0.816 20 0 DCADLN CNS(=O)(=O)c1ccc(CCC(=O)Nc2nc(C)n[nH]2)cc1 ZINC000103254191 415612689 /nfs/dbraw/zinc/61/26/89/415612689.db2.gz FZNQHJCCRMZFLG-UHFFFAOYSA-N 0 2 323.378 0.593 20 0 DCADLN Cc1n[nH]c(NC(=O)Cn2nc(-c3ccccc3)ccc2=O)n1 ZINC000103257211 415613825 /nfs/dbraw/zinc/61/38/25/415613825.db2.gz GUWSMHKMQHKGAP-UHFFFAOYSA-N 0 2 310.317 0.976 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N(CC(F)F)C1CC1 ZINC000106832257 415647343 /nfs/dbraw/zinc/64/73/43/415647343.db2.gz AMRCGHVFZKRNQQ-UHFFFAOYSA-N 0 2 310.260 0.481 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)N=c2nc(C(C)(C)C)[nH]s2)O1 ZINC000354000636 415717621 /nfs/dbraw/zinc/71/76/21/415717621.db2.gz CYSVOWQDRUBRBU-YUMQZZPRSA-N 0 2 313.379 0.917 20 0 DCADLN CN(C)C(=O)c1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)ccc1F ZINC000354159166 415775497 /nfs/dbraw/zinc/77/54/97/415775497.db2.gz SVVJPGVTXMCQND-UHFFFAOYSA-N 0 2 322.300 0.673 20 0 DCADLN COC(=O)[C@H](NC(=O)c1c[nH]c2nc(C)ccc2c1=O)[C@@H](C)O ZINC000354157513 415775596 /nfs/dbraw/zinc/77/55/96/415775596.db2.gz IIJOMNBUDPIYJC-LDYMZIIASA-N 0 2 319.317 0.296 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCN(c2ccc(Cl)cn2)CC1 ZINC000119720118 415751603 /nfs/dbraw/zinc/75/16/03/415751603.db2.gz DRGDTPZGBLAKLR-UHFFFAOYSA-N 0 2 308.729 0.109 20 0 DCADLN NC(=O)NCCC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000354104893 415755112 /nfs/dbraw/zinc/75/51/12/415755112.db2.gz UDQGRXMVOUJLQY-UHFFFAOYSA-N 0 2 318.337 0.058 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)N[C@@H](C)C2=CC[N@H+](C)CC2)CCO1 ZINC000334028972 415788303 /nfs/dbraw/zinc/78/83/03/415788303.db2.gz RVDFPLWGYLJHBH-WCQYABFASA-N 0 2 311.382 0.220 20 0 DCADLN Cc1oc(C(=O)N[C@@H](C)C[C@@H](C)O)cc1S(=O)(=O)N(C)C ZINC000334036749 415788359 /nfs/dbraw/zinc/78/83/59/415788359.db2.gz OWWOBJJDTQFQEI-DTWKUNHWSA-N 0 2 318.395 0.728 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C(=O)OC)c(C)c2)c1O ZINC000290455066 415805230 /nfs/dbraw/zinc/80/52/30/415805230.db2.gz DQVBWOGNALUILC-NSHDSACASA-N 0 2 320.301 0.770 20 0 DCADLN CCOC(=O)CN(Cc1ccccc1)C(=O)c1nc(=O)[nH][nH]1 ZINC000127043688 415881753 /nfs/dbraw/zinc/88/17/53/415881753.db2.gz DKWXXKYGRUKHGL-UHFFFAOYSA-N 0 2 304.306 0.304 20 0 DCADLN CC1(C)OCC[C@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000295845418 415900750 /nfs/dbraw/zinc/90/07/50/415900750.db2.gz JPEWNADKAALRKR-SECBINFHSA-N 0 2 304.306 0.733 20 0 DCADLN O=C(CO)N1CCN(C(=O)c2cc(F)cc(Cl)c2O)CC1 ZINC000129603655 415910860 /nfs/dbraw/zinc/91/08/60/415910860.db2.gz UTFATDFVOQGIIA-UHFFFAOYSA-N 0 2 316.716 0.461 20 0 DCADLN COCCC1(C)CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000356571110 415953968 /nfs/dbraw/zinc/95/39/68/415953968.db2.gz WVVILUPSMGGPPG-UHFFFAOYSA-N 0 2 318.333 0.935 20 0 DCADLN COCCOCCN(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000356611356 415960330 /nfs/dbraw/zinc/96/03/30/415960330.db2.gz WFRLJQLFAOZREY-UHFFFAOYSA-N 0 2 322.321 0.171 20 0 DCADLN O=C(NC[C@@H]1CC[C@H](O)C1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000356634608 415965903 /nfs/dbraw/zinc/96/59/03/415965903.db2.gz MYNCRHZHPWTFSR-APPZFPTMSA-N 0 2 304.306 0.327 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc2c1OCCC2=O ZINC000356669266 415970515 /nfs/dbraw/zinc/97/05/15/415970515.db2.gz JYUTZTPBBVAACT-UHFFFAOYSA-N 0 2 303.278 0.797 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cccc2c1OCC(=O)N2 ZINC000357329217 416062430 /nfs/dbraw/zinc/06/24/30/416062430.db2.gz MAXBCXVWUSJRRF-UHFFFAOYSA-N 0 2 322.346 0.860 20 0 DCADLN C[C@H](CNS(=O)(=O)NCC(F)(F)F)N1CCCCC1=O ZINC000337788113 416009170 /nfs/dbraw/zinc/00/91/70/416009170.db2.gz YVLISJNQRHQWKP-MRVPVSSYSA-N 0 2 317.333 0.374 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCC2=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000356965848 416013782 /nfs/dbraw/zinc/01/37/82/416013782.db2.gz FJNPLORDWRWYJM-MRVPVSSYSA-N 0 2 316.317 0.843 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCCC[C@@H]1CCO ZINC000298709103 416024869 /nfs/dbraw/zinc/02/48/69/416024869.db2.gz GXIKOQLFLADRBL-SNVBAGLBSA-N 0 2 318.333 0.813 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000298916501 416038125 /nfs/dbraw/zinc/03/81/25/416038125.db2.gz GGXGHFNADAZIHQ-PHDIDXHHSA-N 0 2 306.369 0.273 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1nc2cc(Cl)ccn2n1 ZINC000357474210 416086664 /nfs/dbraw/zinc/08/66/64/416086664.db2.gz LRWMAAOUUGRVHM-UHFFFAOYSA-N 0 2 312.742 0.917 20 0 DCADLN COC[C@@H](O)CNC(=O)N=c1nc(-c2ccsc2)[nH]s1 ZINC000338426972 416096552 /nfs/dbraw/zinc/09/65/52/416096552.db2.gz RMCRAVTVOVEPFH-QMMMGPOBSA-N 0 2 314.392 0.817 20 0 DCADLN Cc1cc(NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)nn1C ZINC000338831027 416145507 /nfs/dbraw/zinc/14/55/07/416145507.db2.gz SCRCVXOGQPQQKO-UHFFFAOYSA-N 0 2 322.394 0.877 20 0 DCADLN CCn1ncnc1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358010712 416170992 /nfs/dbraw/zinc/17/09/92/416170992.db2.gz UPGYTZREPZOXKH-UHFFFAOYSA-N 0 2 301.310 0.215 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCNC(=O)C1(C)C)c2=O ZINC000179479323 416187928 /nfs/dbraw/zinc/18/79/28/416187928.db2.gz INOBMDYYRDPBOJ-UHFFFAOYSA-N 0 2 303.322 0.025 20 0 DCADLN CC(C)C(=O)NCCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179483545 416188652 /nfs/dbraw/zinc/18/86/52/416188652.db2.gz UESNWTUZBBQWPU-UHFFFAOYSA-N 0 2 305.338 0.176 20 0 DCADLN CCOC(=O)c1c(C)[nH]c(C(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC000358357814 416228399 /nfs/dbraw/zinc/22/83/99/416228399.db2.gz OXLLYLBUTBDPPL-UHFFFAOYSA-N 0 2 307.310 0.562 20 0 DCADLN Cc1nncn1CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358376170 416230686 /nfs/dbraw/zinc/23/06/86/416230686.db2.gz VPPUIACVBKTESO-UHFFFAOYSA-N 0 2 301.310 0.004 20 0 DCADLN CC1CC[NH+](C[C@H](O)CNc2ncnc3c2C[N@H+](C)CC3)CC1 ZINC000329258758 416159775 /nfs/dbraw/zinc/15/97/75/416159775.db2.gz FHYXESLKQJEZHH-CQSZACIVSA-N 0 2 319.453 0.969 20 0 DCADLN O=C(CCCNC(=O)c1ccco1)NCCCc1n[nH]c(=O)[nH]1 ZINC000358583615 416258090 /nfs/dbraw/zinc/25/80/90/416258090.db2.gz BOZOMEQDFQAFJX-UHFFFAOYSA-N 0 2 321.337 0.362 20 0 DCADLN O=C(NCCOCC1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000341996364 416264600 /nfs/dbraw/zinc/26/46/00/416264600.db2.gz OJWDTNQNFVEMQN-UHFFFAOYSA-N 0 2 304.306 0.592 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc(F)cc1Cl ZINC000342062034 416275257 /nfs/dbraw/zinc/27/52/57/416275257.db2.gz AUOOWVCHIGMIGG-UHFFFAOYSA-N 0 2 313.676 0.558 20 0 DCADLN CCOCCO[C@@H](C)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000352261416 416312983 /nfs/dbraw/zinc/31/29/83/416312983.db2.gz RGBISRSLKBGTAE-QWRGUYRKSA-N 0 2 312.370 0.658 20 0 DCADLN CN(CC(=O)OC(C)(C)C)S(=O)(=O)NCC(F)(F)F ZINC000195201492 416325470 /nfs/dbraw/zinc/32/54/70/416325470.db2.gz PTMKXCCRUZIJOE-UHFFFAOYSA-N 0 2 306.306 0.657 20 0 DCADLN C[C@](O)(CO)CNC(=O)c1cc(F)cc(Br)c1O ZINC000416227842 416362469 /nfs/dbraw/zinc/36/24/69/416362469.db2.gz QIXDHWXYUOUHGT-LLVKDONJSA-N 0 2 322.130 0.767 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(=O)[nH]c2cc(F)ccc21 ZINC000359809854 416360182 /nfs/dbraw/zinc/36/01/82/416360182.db2.gz TVRUAORMDQKKNB-UHFFFAOYSA-N 0 2 303.253 0.833 20 0 DCADLN CCC(CC)[C@@H](C(=O)NCc1n[nH]c(=O)[n-]1)[NH+]1CCOCC1 ZINC000359812538 416360425 /nfs/dbraw/zinc/36/04/25/416360425.db2.gz GONBBKVPENEMPL-LBPRGKRZSA-N 0 2 311.386 0.264 20 0 DCADLN COc1c(NS(=O)(=O)C[C@@H]2CCCO2)cccc1C(N)=O ZINC000360278137 416383679 /nfs/dbraw/zinc/38/36/79/416383679.db2.gz IALNHUIIQPAQNJ-VIFPVBQESA-N 0 2 314.363 0.715 20 0 DCADLN CN(C)C(=O)CN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000434233410 416464539 /nfs/dbraw/zinc/46/45/39/416464539.db2.gz FRBXSNRYRZKGJJ-UHFFFAOYSA-N 0 2 304.306 0.190 20 0 DCADLN C[C@@H](CNC(=O)c1ccnc(C[NH3+])c1)CN1CC[NH+](C)CC1 ZINC000361631229 416467279 /nfs/dbraw/zinc/46/72/79/416467279.db2.gz JJQAKQXJQLTYBM-ZDUSSCGKSA-N 0 2 305.426 0.154 20 0 DCADLN CC[C@H](C)N(CC(=O)[O-])C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000533089201 416474007 /nfs/dbraw/zinc/47/40/07/416474007.db2.gz WWHUYWXXHQIOBJ-JTQLQIEISA-N 0 2 303.322 0.988 20 0 DCADLN COc1ccc(-c2n[nH]c(NC(=O)c3nc[nH]n3)n2)c(OC)c1 ZINC000435944748 416542286 /nfs/dbraw/zinc/54/22/86/416542286.db2.gz SNJUNPZCRHCRLU-UHFFFAOYSA-N 0 2 315.293 0.859 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2ccc(C(N)=O)cc2)cn1 ZINC000439046753 416605337 /nfs/dbraw/zinc/60/53/37/416605337.db2.gz ZIADPPBTUHDMOO-UHFFFAOYSA-N 0 2 324.362 0.429 20 0 DCADLN O=c1[nH]nc(CN2CCNC(=O)[C@@H]2c2ccccc2Cl)[nH]1 ZINC000541392044 416605943 /nfs/dbraw/zinc/60/59/43/416605943.db2.gz DGVIPHLJLUHCGL-NSHDSACASA-N 0 2 307.741 0.837 20 0 DCADLN O=C(NCc1nnnn1CC1CC1)c1cc(F)c(O)c(F)c1 ZINC000436914132 416573426 /nfs/dbraw/zinc/57/34/26/416573426.db2.gz KRYRWUUHBYGRLA-UHFFFAOYSA-N 0 2 309.276 0.997 20 0 DCADLN CC(C)C[C@H](CNC(=O)NCC[N@@H+]1CCOC[C@H]1C)C(=O)[O-] ZINC000424403320 416639920 /nfs/dbraw/zinc/63/99/20/416639920.db2.gz FLAMXJDVFWAULP-CHWSQXEVSA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)C[C@H](CNC(=O)NCC[N@H+]1CCOC[C@H]1C)C(=O)[O-] ZINC000424403320 416639923 /nfs/dbraw/zinc/63/99/23/416639923.db2.gz FLAMXJDVFWAULP-CHWSQXEVSA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)C[C@@H](CNC(=O)NCC[N@@H+]1CCOC[C@@H]1C)C(=O)[O-] ZINC000424403327 416640606 /nfs/dbraw/zinc/64/06/06/416640606.db2.gz FLAMXJDVFWAULP-STQMWFEESA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)C[C@@H](CNC(=O)NCC[N@H+]1CCOC[C@@H]1C)C(=O)[O-] ZINC000424403327 416640610 /nfs/dbraw/zinc/64/06/10/416640610.db2.gz FLAMXJDVFWAULP-STQMWFEESA-N 0 2 315.414 0.753 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@H]2COCCO2)c1 ZINC000424587637 416665614 /nfs/dbraw/zinc/66/56/14/416665614.db2.gz AKCZIVWKVFEMAE-XUSGNXJCSA-N 0 2 313.375 0.334 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@](C)(C(N)=O)C2)c1 ZINC000424807401 416670100 /nfs/dbraw/zinc/67/01/00/416670100.db2.gz FDILLUHKKPCSLH-OYHNWAKOSA-N 0 2 324.402 0.527 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CCC[N@H+](Cc3ncon3)C2)c1[O-] ZINC000614663510 416689547 /nfs/dbraw/zinc/68/95/47/416689547.db2.gz OLQHBJOYBDESDE-SNVBAGLBSA-N 0 2 320.353 0.449 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CCC[N@@H+](Cc3ncon3)C2)c1[O-] ZINC000614663510 416689552 /nfs/dbraw/zinc/68/95/52/416689552.db2.gz OLQHBJOYBDESDE-SNVBAGLBSA-N 0 2 320.353 0.449 20 0 DCADLN O=C(c1cc(O)cc(=O)[nH]1)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000614764380 416704021 /nfs/dbraw/zinc/70/40/21/416704021.db2.gz UMUDVRRGRFJZTI-VIFPVBQESA-N 0 2 305.294 0.684 20 0 DCADLN C[C@@H](NS(=O)(=O)NCC(F)(F)F)C(=O)N1CCCCC1 ZINC000442919445 416720723 /nfs/dbraw/zinc/72/07/23/416720723.db2.gz JHFHSYFQIZVJBN-MRVPVSSYSA-N 0 2 317.333 0.374 20 0 DCADLN C[C@@H]1OCC[C@]12CN(S(=O)(=O)NCC(F)(F)F)CCO2 ZINC000369128269 416726099 /nfs/dbraw/zinc/72/60/99/416726099.db2.gz RZNRFWQAXHIHDG-IUCAKERBSA-N 0 2 318.317 0.263 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1CCO[C@@]2(CCOC2)C1 ZINC000443044531 416727110 /nfs/dbraw/zinc/72/71/10/416727110.db2.gz PRTRXHKLPWVOFX-BDAKNGLRSA-N 0 2 318.317 0.311 20 0 DCADLN Cc1cccc(CCCNC(=O)N2CC[N@H+](C)C[C@H]2C[NH3+])n1 ZINC000516634603 416731210 /nfs/dbraw/zinc/73/12/10/416731210.db2.gz TWQAMUHEUGSYOD-OAHLLOKOSA-N 0 2 305.426 0.607 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1Cc2cccc(O)c2C1 ZINC000443218162 416735670 /nfs/dbraw/zinc/73/56/70/416735670.db2.gz FSCUNAFFONNCCJ-MRVPVSSYSA-N 0 2 310.297 0.846 20 0 DCADLN CC(=O)N1CCC[C@@H](CNS(=O)(=O)NCC(F)(F)F)C1 ZINC000443217216 416737248 /nfs/dbraw/zinc/73/72/48/416737248.db2.gz CHDIYSQEUADKAG-VIFPVBQESA-N 0 2 317.333 0.231 20 0 DCADLN C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC000623198687 416766681 /nfs/dbraw/zinc/76/66/81/416766681.db2.gz ULXDMVWVRJDPEJ-WPRPVWTQSA-N 0 2 304.354 0.648 20 0 DCADLN Cc1nn(C)cc1CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000517570996 416811324 /nfs/dbraw/zinc/81/13/24/416811324.db2.gz KHUVUJWWSIBVQI-JTQLQIEISA-N 0 2 304.354 0.501 20 0 DCADLN CC(C)(C)c1cc(NC[C@@H](CO)[C@H]2CCOC2)nc(C(=O)[O-])[nH+]1 ZINC000518776702 416876185 /nfs/dbraw/zinc/87/61/85/416876185.db2.gz IWDLHCVODKBCPZ-QWRGUYRKSA-N 0 2 323.393 0.951 20 0 DCADLN O=C(Nc1cc2ccccn2n1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000563738350 416887175 /nfs/dbraw/zinc/88/71/75/416887175.db2.gz JSZXRAJFVREMLV-UHFFFAOYSA-N 0 2 322.284 1.336 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(c2cc(NC[C@@H](C)O)[nH+]cn2)C1 ZINC000520818306 416977549 /nfs/dbraw/zinc/97/75/49/416977549.db2.gz FNSYLACVKLIHMZ-IAQYHMDHSA-N 0 2 324.381 0.587 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(c2cc(NC[C@@H](C)O)nc[nH+]2)C1 ZINC000520818306 416977555 /nfs/dbraw/zinc/97/75/55/416977555.db2.gz FNSYLACVKLIHMZ-IAQYHMDHSA-N 0 2 324.381 0.587 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@@H](O)C[NH2+]CCNC(=O)C(C)(C)C)C[C@H](C)O1 ZINC000521227543 416998911 /nfs/dbraw/zinc/99/89/11/416998911.db2.gz OYXNYWZCXKVZSB-MJBXVCDLSA-N 0 2 315.458 0.208 20 0 DCADLN O=C(N[C@H]1CNC(=O)C1)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000447543249 417004959 /nfs/dbraw/zinc/00/49/59/417004959.db2.gz QZALBYUCCCFWNR-SECBINFHSA-N 0 2 320.736 0.850 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H](CO)CC(F)F)c2=O ZINC000430531698 417044024 /nfs/dbraw/zinc/04/40/24/417044024.db2.gz JXPVDQKAVUISIL-LURJTMIESA-N 0 2 300.265 0.420 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1[N@@H+]1CC[C@H](O)C1)[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000616436708 417047515 /nfs/dbraw/zinc/04/75/15/417047515.db2.gz FCKZUUKGLSGFHI-GMZLATJGSA-N 0 2 318.421 0.619 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1[N@H+]1CC[C@H](O)C1)[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000616436708 417047526 /nfs/dbraw/zinc/04/75/26/417047526.db2.gz FCKZUUKGLSGFHI-GMZLATJGSA-N 0 2 318.421 0.619 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](C[C@H](O)COc2ccccc2Cl)CCO1 ZINC000568066706 417075881 /nfs/dbraw/zinc/07/58/81/417075881.db2.gz ABJHWRGRCFQOCW-GWCFXTLKSA-N 0 2 315.753 0.865 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](C[C@H](O)COc2ccccc2Cl)CCO1 ZINC000568066706 417075886 /nfs/dbraw/zinc/07/58/86/417075886.db2.gz ABJHWRGRCFQOCW-GWCFXTLKSA-N 0 2 315.753 0.865 20 0 DCADLN Cn1cnc(CCNc2cc(C(C)(C)C)[nH+]c(C(=O)[O-])n2)n1 ZINC000568397807 417130891 /nfs/dbraw/zinc/13/08/91/417130891.db2.gz CFZSNCJWMYAWAH-UHFFFAOYSA-N 0 2 304.354 0.677 20 0 DCADLN O=C(N[C@@H]1CCc2nnnn2CC1)c1c(O)cc(F)cc1F ZINC000643367245 417181309 /nfs/dbraw/zinc/18/13/09/417181309.db2.gz UMMUUEJWFIKIJU-MRVPVSSYSA-N 0 2 309.276 0.792 20 0 DCADLN Cc1cccc2c1C[C@@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)O2 ZINC000450005454 417190680 /nfs/dbraw/zinc/19/06/80/417190680.db2.gz OOMZPJRDGLUOKH-LBPRGKRZSA-N 0 2 302.334 0.871 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@H](O)C12CCCC2 ZINC000432275452 417167443 /nfs/dbraw/zinc/16/74/43/417167443.db2.gz BZUXHIRNRGAAKQ-QMMMGPOBSA-N 0 2 302.318 0.763 20 0 DCADLN COCc1nsc(NC[C@@H]2C[C@@H](c3nnc[nH]3)C[C@@H]2O)n1 ZINC000527564678 417258430 /nfs/dbraw/zinc/25/84/30/417258430.db2.gz AHULHZCLBXDQLC-VGMNWLOBSA-N 0 2 310.383 0.191 20 0 DCADLN COc1cccc([C@@H]2C[C@H]2NC(=O)N2CC[N@@H+](C)C[C@H]2C[NH3+])c1 ZINC000569822145 417298235 /nfs/dbraw/zinc/29/82/35/417298235.db2.gz GMKILDWGUCBWPB-VNQPRFMTSA-N 0 2 318.421 0.835 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000574919557 417493780 /nfs/dbraw/zinc/49/37/80/417493780.db2.gz DSUVSJZBASFQCC-FOGDFJRCSA-N 0 2 300.355 0.239 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000574919557 417493788 /nfs/dbraw/zinc/49/37/88/417493788.db2.gz DSUVSJZBASFQCC-FOGDFJRCSA-N 0 2 300.355 0.239 20 0 DCADLN CC(C)[C@@H]1C[NH2+]CCN1C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000529308466 417435239 /nfs/dbraw/zinc/43/52/39/417435239.db2.gz RGLLIQQYLCNYMF-CABCVRRESA-N 0 2 311.474 0.262 20 0 DCADLN CC(C)[C@@H]1C[NH2+]CCN1C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000529308467 417435420 /nfs/dbraw/zinc/43/54/20/417435420.db2.gz RGLLIQQYLCNYMF-GJZGRUSLSA-N 0 2 311.474 0.262 20 0 DCADLN CCc1nc(CNC(=O)c2ccc(NS(C)(=O)=O)nc2)n[nH]1 ZINC000627558906 417459762 /nfs/dbraw/zinc/45/97/62/417459762.db2.gz MPCFBPKYWXTJNN-UHFFFAOYSA-N 0 2 324.366 0.064 20 0 DCADLN Cc1c(S(=O)(=O)Nc2nnn(C)n2)c2ccccc2n1C ZINC000634663823 417525172 /nfs/dbraw/zinc/52/51/72/417525172.db2.gz AMMNGAZTXRSQLV-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN Cc1[nH]c(C(=O)N[C@H]2CCN([C@H](C(=O)[O-])C(C)C)C2=O)c[nH+]1 ZINC000455796699 417616639 /nfs/dbraw/zinc/61/66/39/417616639.db2.gz QJDIYCJJKLSNFG-ONGXEEELSA-N 0 2 308.338 0.158 20 0 DCADLN CNC(=O)C[C@H](C)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000456472870 417650854 /nfs/dbraw/zinc/65/08/54/417650854.db2.gz BBQFPYBLIWKJND-JTQLQIEISA-N 0 2 302.334 0.832 20 0 DCADLN C[C@H]1CC[C@@H](CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)O1 ZINC000457374371 417706310 /nfs/dbraw/zinc/70/63/10/417706310.db2.gz PJPSLLNDDQIJSG-CBAPKCEASA-N 0 2 304.306 0.733 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC1(CO)CCSCC1)c2=O ZINC000458076495 417719871 /nfs/dbraw/zinc/71/98/71/417719871.db2.gz MFASMHTUMDBYFP-UHFFFAOYSA-N 0 2 322.390 0.662 20 0 DCADLN CCn1nncc1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000645267538 417720556 /nfs/dbraw/zinc/72/05/56/417720556.db2.gz XFDDPIQKQXZWQG-UHFFFAOYSA-N 0 2 300.278 0.894 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ncn(CC(F)(F)F)n2)n1C ZINC000629351101 417785338 /nfs/dbraw/zinc/78/53/38/417785338.db2.gz DEOLIXHWKVBIEF-UHFFFAOYSA-N 0 2 324.288 0.683 20 0 DCADLN Cc1cc(-c2nnc(NS(=O)(=O)c3cnnn3C)o2)c(C)o1 ZINC000629350407 417785417 /nfs/dbraw/zinc/78/54/17/417785417.db2.gz NZLHHIJFRACKNR-UHFFFAOYSA-N 0 2 324.322 0.876 20 0 DCADLN COc1cc[nH+]cc1CNC(=O)NCC1(C(=O)[O-])CCOCC1 ZINC000646159004 417792831 /nfs/dbraw/zinc/79/28/31/417792831.db2.gz KXQVNEQKOJPNJK-UHFFFAOYSA-N 0 2 323.349 0.771 20 0 DCADLN Cc1cn2c([nH+]1)CN(C(=O)NCC1(C(=O)[O-])CCOCC1)CC2 ZINC000646169315 417794838 /nfs/dbraw/zinc/79/48/38/417794838.db2.gz UYRNZCXXOFVQJS-UHFFFAOYSA-N 0 2 322.365 0.598 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H](C2CC2)C2CCC2)[nH]1 ZINC000651847032 417796453 /nfs/dbraw/zinc/79/64/53/417796453.db2.gz PQOIZJNNKMBBPE-GFCCVEGCSA-N 0 2 305.382 0.914 20 0 DCADLN O=C(CNC(=O)c1ccco1)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000646186048 417802024 /nfs/dbraw/zinc/80/20/24/417802024.db2.gz MKDHSQASPCFOPF-VIFPVBQESA-N 0 2 319.321 0.587 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cc(C)cc(F)c2)[nH]1 ZINC000657103058 417812045 /nfs/dbraw/zinc/81/20/45/417812045.db2.gz AECIADQZUZNMJV-UHFFFAOYSA-N 0 2 314.342 0.877 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CCC2CCCC2)[nH]1 ZINC000657104194 417812417 /nfs/dbraw/zinc/81/24/17/417812417.db2.gz MLTYDWZQZFRPAS-UHFFFAOYSA-N 0 2 302.400 0.951 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCO[C@H](Cn2ccnn2)C1 ZINC000636217054 417900355 /nfs/dbraw/zinc/90/03/55/417900355.db2.gz HSDMHJBWNWAHDE-JTQLQIEISA-N 0 2 324.287 0.803 20 0 DCADLN CCCCNc1cc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@@H]2O)nc(N)[nH+]1 ZINC000662897104 417940891 /nfs/dbraw/zinc/94/08/91/417940891.db2.gz NCZAXZJMYBKWNU-BBBLOLIVSA-N 0 2 309.370 0.907 20 0 DCADLN CCCCNc1cc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@@H]2O)[nH+]c(N)n1 ZINC000662897104 417940895 /nfs/dbraw/zinc/94/08/95/417940895.db2.gz NCZAXZJMYBKWNU-BBBLOLIVSA-N 0 2 309.370 0.907 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H](C1CC1)C1CCOCC1 ZINC000652769523 417965930 /nfs/dbraw/zinc/96/59/30/417965930.db2.gz IVIAMTHHNKHJNC-NSHDSACASA-N 0 2 312.395 0.924 20 0 DCADLN O=C(NCC[C@@]1(O)CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000652802031 417971616 /nfs/dbraw/zinc/97/16/16/417971616.db2.gz ZNMYWAXLSADFGZ-MRXNPFEDSA-N 0 2 317.345 0.849 20 0 DCADLN COCc1nnc(CNC(=O)C(=O)Nc2cccc(C)c2F)[nH]1 ZINC000658472605 417973374 /nfs/dbraw/zinc/97/33/74/417973374.db2.gz YGMJMTBQQFPLLJ-UHFFFAOYSA-N 0 2 321.312 0.654 20 0 DCADLN CN1C(=O)NC2(CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)C1=O ZINC000647452144 418011843 /nfs/dbraw/zinc/01/18/43/418011843.db2.gz SAKOCLHPOBFFIG-UHFFFAOYSA-N 0 2 304.306 0.300 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC000647484919 418013498 /nfs/dbraw/zinc/01/34/98/418013498.db2.gz RHNZLVLUJCTKOI-UHFFFAOYSA-N 0 2 321.333 0.742 20 0 DCADLN Cc1nc(=NC(=O)N[C@@H](CO)[C@@H](C)c2ccccc2)[nH]n1C ZINC000653238728 418046105 /nfs/dbraw/zinc/04/61/05/418046105.db2.gz PZLQSQDRBGWSST-GWCFXTLKSA-N 0 2 303.366 0.832 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@H](C(=O)[O-])C(C)(C)C)[NH+]1CCOCC1 ZINC000663113393 417991798 /nfs/dbraw/zinc/99/17/98/417991798.db2.gz CBXMKUSIYMNUPT-QJPTWQEYSA-N 0 2 300.399 0.959 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000663110694 417991950 /nfs/dbraw/zinc/99/19/50/417991950.db2.gz IGZQHCMITLBGTL-HNNXBMFYSA-N 0 2 305.334 0.791 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000663110695 417992125 /nfs/dbraw/zinc/99/21/25/417992125.db2.gz IGZQHCMITLBGTL-OAHLLOKOSA-N 0 2 305.334 0.791 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000663125333 417993454 /nfs/dbraw/zinc/99/34/54/417993454.db2.gz JWVPFMNLRJNUPY-HNNXBMFYSA-N 0 2 307.350 0.788 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CCO1 ZINC000647219881 418000349 /nfs/dbraw/zinc/00/03/49/418000349.db2.gz NACFRKMYLGLTQH-LBPRGKRZSA-N 0 2 302.290 0.193 20 0 DCADLN COCC[N@H+](C)C[C@@H](O)C[NH+]1CCC[C@@H](OC2CCC2)C1 ZINC000653812150 418110378 /nfs/dbraw/zinc/11/03/78/418110378.db2.gz CPABYSZERUTYCX-GDBMZVCRSA-N 0 2 300.443 0.959 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000647779939 418054827 /nfs/dbraw/zinc/05/48/27/418054827.db2.gz IERQKUMGEBWBPM-KFWWJZLASA-N 0 2 318.373 0.897 20 0 DCADLN C[C@H]1C[NH+](CCCCNc2nc[nH+]c3c2OCCN3)C[C@H](C)O1 ZINC000647871769 418068838 /nfs/dbraw/zinc/06/88/38/418068838.db2.gz MEJSFQMWYDDYTJ-STQMWFEESA-N 0 2 321.425 0.695 20 0 DCADLN C[C@H](C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1)c1ccnn1C ZINC000648887653 418170095 /nfs/dbraw/zinc/17/00/95/418170095.db2.gz CYBYSEJGKKYTDH-VIFPVBQESA-N 0 2 304.354 0.754 20 0 DCADLN CCCC[C@H](NC(N)=O)C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000648888623 418170417 /nfs/dbraw/zinc/17/04/17/418170417.db2.gz DJIVUGNSTMDIMO-JTQLQIEISA-N 0 2 324.385 0.443 20 0 DCADLN O=C([O-])c1cncc(NC[C@@]2([NH+]3CCOCC3)CCSC2)n1 ZINC000649386890 418249623 /nfs/dbraw/zinc/24/96/23/418249623.db2.gz LSQHOUQARUIWIK-AWEZNQCLSA-N 0 2 324.406 0.795 20 0 DCADLN CO[C@@H]1C[C@H](CC(=O)[O-])N(c2nc3c(cnn3C)c(N)[nH+]2)C1 ZINC000649406724 418250861 /nfs/dbraw/zinc/25/08/61/418250861.db2.gz BXKIWJWNCLZINE-HTQZYQBOSA-N 0 2 306.326 0.014 20 0 DCADLN CN=c1[nH]nc(CC(=O)Nc2ccc3c(c2)nnn3C)s1 ZINC000649012529 418184675 /nfs/dbraw/zinc/18/46/75/418184675.db2.gz BOIONNWQLANKQW-UHFFFAOYSA-N 0 2 303.351 0.465 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cnc(C(C)(C)C)nc2)[nH]n1 ZINC000650818862 418326625 /nfs/dbraw/zinc/32/66/25/418326625.db2.gz ZUOMGHTZMNQEKV-UHFFFAOYSA-N 0 2 314.349 0.598 20 0 DCADLN Cc1cc(=NC(=O)N2CCOC[C@H]2c2ccnn2C)[nH]nc1C ZINC000650039929 418289227 /nfs/dbraw/zinc/28/92/27/418289227.db2.gz BRHTUHXSHYBLFF-ZDUSSCGKSA-N 0 2 316.365 0.854 20 0 DCADLN Cc1cc(CNC(=O)C(=O)NC[C@H](C(=O)[O-])C(C)C)cc(C)[nH+]1 ZINC000655998573 418314644 /nfs/dbraw/zinc/31/46/44/418314644.db2.gz VAFZORZJKJVDSZ-ZDUSSCGKSA-N 0 2 321.377 0.788 20 0 DCADLN NC(=O)c1cc([C@@H]2CCCN(c3cc[nH+]c(C(=O)[O-])c3)C2)n[nH]1 ZINC000650767925 418323105 /nfs/dbraw/zinc/32/31/05/418323105.db2.gz IIFPXUIBJFPOGA-SECBINFHSA-N 0 2 315.333 0.986 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1scc2c1OCCO2 ZINC000655392539 418257874 /nfs/dbraw/zinc/25/78/74/418257874.db2.gz ZZCFIUHYGLHJQF-UHFFFAOYSA-N 0 2 310.335 0.706 20 0 DCADLN O=C(NCCn1cc[nH+]c1)C(=O)N[C@@H]1CCC[N@@H+]2CCCC[C@H]12 ZINC000656286687 418340328 /nfs/dbraw/zinc/34/03/28/418340328.db2.gz PBOMNTMOLFVOSZ-ZIAGYGMSSA-N 0 2 319.409 0.132 20 0 DCADLN CN(C(=O)N[C@@H]1CC[N@@H+](C(C)(C)C)C1)[C@@H]1CC[NH+]2CCO[C@H]1C2 ZINC000661512698 418343232 /nfs/dbraw/zinc/34/32/32/418343232.db2.gz LXWJSBDYDJHOAF-KFWWJZLASA-N 0 2 324.469 0.974 20 0 DCADLN COc1cncnc1NS(=O)(=O)C[C@H](OC)[C@@H]1CCOC1 ZINC000656736539 418374973 /nfs/dbraw/zinc/37/49/73/418374973.db2.gz BZLRVAZVSQNESR-KOLCDFICSA-N 0 2 317.367 0.278 20 0 DCADLN COc1cnc(NS(=O)(=O)C[C@@H](OC)[C@H]2CCOC2)nc1 ZINC000656737157 418375146 /nfs/dbraw/zinc/37/51/46/418375146.db2.gz GLHHFJASDCRMGR-GXSJLCMTSA-N 0 2 317.367 0.278 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H]2C[C@@H]2c2ccccc2)[nH]1 ZINC000651535099 418388525 /nfs/dbraw/zinc/38/85/25/418388525.db2.gz BZIDFQLUQWNORJ-CHWSQXEVSA-N 0 2 313.361 0.892 20 0 DCADLN CC1(C)CN(C(=O)NCc2n[nH]c(C3CC3)n2)CC[N@@H+]1CCO ZINC000656119767 418327905 /nfs/dbraw/zinc/32/79/05/418327905.db2.gz MFPHGYWWVICKGJ-UHFFFAOYSA-N 0 2 322.413 0.280 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCO[C@@H](C(C)(C)C)C2)[nH]1 ZINC000651761950 418408654 /nfs/dbraw/zinc/40/86/54/418408654.db2.gz QTKGLOPCXXEBSF-LLVKDONJSA-N 0 2 323.397 0.493 20 0 DCADLN CCN(C[C@@H]1CCCO1)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651697827 418404841 /nfs/dbraw/zinc/40/48/41/418404841.db2.gz VPENBGHVPFBQHY-NSHDSACASA-N 0 2 309.370 0.247 20 0 DCADLN Cc1cnn([C@@H]2CCN(C(=O)C(=O)N3CC[C@H](C)[C@@H]3CO)C2)c1 ZINC000298045455 227800490 /nfs/dbraw/zinc/80/04/90/227800490.db2.gz WLMJCYRMFBCAIR-MJBXVCDLSA-N 0 2 320.393 0.194 20 0 DCADLN CS(=O)(=O)c1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000354393166 261213186 /nfs/dbraw/zinc/21/31/86/261213186.db2.gz BNMIIEPNGNMJPE-UHFFFAOYSA-N 0 2 311.323 0.236 20 0 DCADLN CCOC(=O)c1cc(S(=O)(=O)Nc2ccn(C)n2)cn1C ZINC000354465962 261222744 /nfs/dbraw/zinc/22/27/44/261222744.db2.gz JEDBJSCVKOKUMO-UHFFFAOYSA-N 0 2 312.351 0.736 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1[nH]cnc1C(=O)c1ccccc1 ZINC000354511285 261229895 /nfs/dbraw/zinc/22/98/95/261229895.db2.gz LOHPQSUFYAATPY-UHFFFAOYSA-N 0 2 312.289 0.394 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)C1(O)CCOCC1 ZINC000355788377 261366602 /nfs/dbraw/zinc/36/66/02/261366602.db2.gz GAWJGIHSYPJYCQ-UHFFFAOYSA-N 0 2 304.306 0.657 20 0 DCADLN COC(=O)CCCN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355863532 261371802 /nfs/dbraw/zinc/37/18/02/261371802.db2.gz XVMKKCQTKIOQRZ-UHFFFAOYSA-N 0 2 306.322 0.699 20 0 DCADLN CC(C)Oc1ccccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000355990894 261381921 /nfs/dbraw/zinc/38/19/21/261381921.db2.gz OWGKFRSVYDTBFB-UHFFFAOYSA-N 0 2 319.321 0.552 20 0 DCADLN COC(=O)Cc1cccc(S(=O)(=O)Nc2ccnn2C)c1 ZINC000357365129 261532176 /nfs/dbraw/zinc/53/21/76/261532176.db2.gz BOVNZYZDHWYWAD-UHFFFAOYSA-N 0 2 309.347 0.936 20 0 DCADLN COC(=O)C1(C(=O)N=c2nc(-c3cccnc3)[nH]s2)CC1 ZINC000362116639 262001206 /nfs/dbraw/zinc/00/12/06/262001206.db2.gz HSALLAFDYMQSGR-UHFFFAOYSA-N 0 2 304.331 0.914 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1cncnc1 ZINC000362213300 262010193 /nfs/dbraw/zinc/01/01/93/262010193.db2.gz HRDDBNAXRRBKNL-UHFFFAOYSA-N 0 2 300.321 0.521 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358325002 271049847 /nfs/dbraw/zinc/04/98/47/271049847.db2.gz PQMSBVKSRVRLJM-SECBINFHSA-N 0 2 300.322 0.689 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC1(CCO)CCC1)c2=O ZINC000358382838 271050571 /nfs/dbraw/zinc/05/05/71/271050571.db2.gz IQTGGONWCLDPNY-UHFFFAOYSA-N 0 2 304.350 0.956 20 0 DCADLN CCOC[C@@H](O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000362944855 271183518 /nfs/dbraw/zinc/18/35/18/271183518.db2.gz PAFCOFUDHLPLPV-LBPRGKRZSA-N 0 2 305.334 0.705 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H](CO)CC(F)(F)F ZINC000443023747 271692944 /nfs/dbraw/zinc/69/29/44/271692944.db2.gz HRJZQJCEFYSPRQ-BYPYZUCNSA-N 0 2 304.212 0.286 20 0 DCADLN C[C@](O)(CNS(=O)(=O)NCC(F)(F)F)C(F)(F)F ZINC000443049921 271694989 /nfs/dbraw/zinc/69/49/89/271694989.db2.gz QIWNXFPPOUQZIU-BYPYZUCNSA-N 0 2 304.212 0.286 20 0 DCADLN CNC(=O)C(C)(C)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000448423710 271823505 /nfs/dbraw/zinc/82/35/05/271823505.db2.gz CBUXIMKDPYLPCN-UHFFFAOYSA-N 0 2 318.333 0.532 20 0 DCADLN Cn1nccc1C=CC(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000492360660 272125459 /nfs/dbraw/zinc/12/54/59/272125459.db2.gz AEFUWHFQHZWTAO-NSCUHMNNSA-N 0 2 302.338 0.663 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)c1cccnc1 ZINC000492470240 272131110 /nfs/dbraw/zinc/13/11/10/272131110.db2.gz GZERGEQWVMNPDE-WAYWQWQTSA-N 0 2 306.347 0.816 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)[C@@H](C)COC ZINC000492475036 272131714 /nfs/dbraw/zinc/13/17/14/272131714.db2.gz QAWRELZAPNXMJB-PORFMDCZSA-N 0 2 301.368 0.397 20 0 DCADLN COc1ncc(/C=C/C(=O)NS(=O)(=O)c2cccnc2)cn1 ZINC000492738308 272146183 /nfs/dbraw/zinc/14/61/83/272146183.db2.gz QTIXUFBFQYJYDW-SNAWJCMRSA-N 0 2 320.330 0.399 20 0 DCADLN O=C(/C=C/c1ccc[nH]1)NS(=O)(=O)c1cnc2n1CCC2 ZINC000493161529 272172199 /nfs/dbraw/zinc/17/21/99/272172199.db2.gz INFLSCJQGSHELT-AATRIKPKSA-N 0 2 306.347 0.676 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1ccncn1 ZINC000493401914 272186416 /nfs/dbraw/zinc/18/64/16/272186416.db2.gz OVDJLRVJMQXGDX-ONEGZZNKSA-N 0 2 307.335 0.037 20 0 DCADLN CS(=O)(=O)[N-]c1nnc(NC(=O)C=Cc2c[nH]c[nH+]2)s1 ZINC000493526420 272194883 /nfs/dbraw/zinc/19/48/83/272194883.db2.gz QWFBPCALKNHNPF-IHWYPQMZSA-N 0 2 314.352 0.285 20 0 DCADLN CC[C@H](NC(=O)OC(C)(C)C)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000494506677 272224154 /nfs/dbraw/zinc/22/41/54/272224154.db2.gz UEPXDVUPLKZVJP-ZETCQYMHSA-N 0 2 300.315 0.857 20 0 DCADLN COC(=O)NC(C)(C)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000496513432 272320232 /nfs/dbraw/zinc/32/02/32/272320232.db2.gz OFRYTHORJXQBHT-MRVPVSSYSA-N 0 2 311.342 0.351 20 0 DCADLN CC(C)(C)OC(=O)NC(=C(O)Nn1cnnc1)C(F)(F)F ZINC000496757110 272341723 /nfs/dbraw/zinc/34/17/23/272341723.db2.gz IUPGTJDWIGSVTB-ZCFIWIBFSA-N 0 2 309.248 0.804 20 0 DCADLN O=C(CN1CCCNC1=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000517562928 272469105 /nfs/dbraw/zinc/46/91/05/272469105.db2.gz BSVQLYPBUHSQFR-UHFFFAOYSA-N 0 2 317.374 0.981 20 0 DCADLN O=c1[nH]nc(CN2CCn3c(Cc4ccccc4)nnc3C2)[nH]1 ZINC000537049159 287863082 /nfs/dbraw/zinc/86/30/82/287863082.db2.gz WCFJVLNTIWPJKK-UHFFFAOYSA-N 0 2 311.349 0.708 20 0 DCADLN CC(=O)N1CCC[C@H](C(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)C1 ZINC000329158324 278018811 /nfs/dbraw/zinc/01/88/11/278018811.db2.gz DLTSMZKUTHTZPV-NWDGAFQWSA-N 0 2 321.381 0.822 20 0 DCADLN CC1(CS(=O)(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)COC1 ZINC000549451732 288198962 /nfs/dbraw/zinc/19/89/62/288198962.db2.gz FPNNQCHEYKDNFZ-VIFPVBQESA-N 0 2 316.383 0.056 20 0 DCADLN CC(=O)N[C@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC000552107123 288264827 /nfs/dbraw/zinc/26/48/27/288264827.db2.gz UWWWBZCUGJKFLL-QWRGUYRKSA-N 0 2 309.370 0.377 20 0 DCADLN COC(=O)CC[C@@H](NC(=O)c1cccc2[nH]nnc21)C(=O)OC ZINC000555422495 288351129 /nfs/dbraw/zinc/35/11/29/288351129.db2.gz UBSIWZSXPFORTD-SNVBAGLBSA-N 0 2 320.305 0.183 20 0 DCADLN CCCc1nc(=NC(=O)N(C)CC[NH+]2CCOCC2)s[n-]1 ZINC000564387397 288740744 /nfs/dbraw/zinc/74/07/44/288740744.db2.gz JJOQHGYPKGMYRA-UHFFFAOYSA-N 0 2 313.427 0.708 20 0 DCADLN CO[C@@](C)(CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000291194444 294075749 /nfs/dbraw/zinc/07/57/49/294075749.db2.gz JRQIMXHOWKLMSF-HNNXBMFYSA-N 0 2 318.333 0.981 20 0 DCADLN C[C@H]1C[NH+]=C(N2CCN(c3cnc(C(=O)[O-])cn3)CC2)S1 ZINC000263421516 300320274 /nfs/dbraw/zinc/32/02/74/300320274.db2.gz PQGOBDSTZOLHSY-VIFPVBQESA-N 0 2 307.379 0.788 20 0 DCADLN C[C@H]1OCC[C@H]1S(=O)(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000328835926 300631029 /nfs/dbraw/zinc/63/10/29/300631029.db2.gz MLVSSSBOHXLVHS-PSASIEDQSA-N 0 2 316.383 0.197 20 0 DCADLN Cc1cc(C(=O)[O-])cc(S(=O)(=O)N2CC[NH+](C)CC2)c1C ZINC000021502167 301154137 /nfs/dbraw/zinc/15/41/37/301154137.db2.gz JBVBTMMWJUURJS-UHFFFAOYSA-N 0 2 312.391 0.938 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)no1 ZINC000347853875 301364082 /nfs/dbraw/zinc/36/40/82/301364082.db2.gz AJNCNFNKGREIHR-UHFFFAOYSA-N 0 2 306.307 0.760 20 0 DCADLN Cc1cc(O)c(C(=O)[O-])cc1S(=O)(=O)N1CC[NH+](C)CC1 ZINC000021008116 301367571 /nfs/dbraw/zinc/36/75/71/301367571.db2.gz VJJSUVGHPBGTFI-UHFFFAOYSA-N 0 2 314.363 0.335 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc(Cl)cc2)c1C(N)=O ZINC000344935768 303016680 /nfs/dbraw/zinc/01/66/80/303016680.db2.gz QNZUTZOCMJINIW-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN NC(=O)C[C@@H]1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000377181414 303258506 /nfs/dbraw/zinc/25/85/06/303258506.db2.gz BTFAGTMRLRLZMV-NSHDSACASA-N 0 2 314.345 0.915 20 0 DCADLN NC(=O)N1CC[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000292035140 303266060 /nfs/dbraw/zinc/26/60/60/303266060.db2.gz XDCWBRFARQFRKS-JTQLQIEISA-N 0 2 315.333 0.461 20 0 DCADLN CO[C@H]1CCN(C(=O)Cc2cn3ccccc3[nH+]2)[C@H](C(=O)[O-])C1 ZINC000584064816 331660211 /nfs/dbraw/zinc/66/02/11/331660211.db2.gz OOXODHWSRBQISJ-STQMWFEESA-N 0 2 317.345 0.967 20 0 DCADLN CC(C)(C)n1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC000584174917 331854046 /nfs/dbraw/zinc/85/40/46/331854046.db2.gz ZVIZUZYJTLZIFW-VIFPVBQESA-N 0 2 319.369 0.877 20 0 DCADLN COC[C@H](NC(=O)NCCn1c(C)[nH+]c2ccccc21)C(=O)[O-] ZINC000584268752 332177544 /nfs/dbraw/zinc/17/75/44/332177544.db2.gz LWYMQBCGBJLQDH-LBPRGKRZSA-N 0 2 320.349 0.744 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)C[C@@]1(C)C(=O)[O-] ZINC000584354048 336077672 /nfs/dbraw/zinc/07/76/72/336077672.db2.gz ZLWNRWOERSVVII-BFQNTYOBSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)C[C@@]1(C)C(=O)[O-] ZINC000584354048 336077674 /nfs/dbraw/zinc/07/76/74/336077674.db2.gz ZLWNRWOERSVVII-BFQNTYOBSA-N 0 2 320.393 0.985 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](Cc2ccc(-n3ccnc3)cc2)CC1 ZINC000396648617 337241779 /nfs/dbraw/zinc/24/17/79/337241779.db2.gz MYUJYPYNSMDLFQ-UHFFFAOYSA-N 0 2 314.345 0.601 20 0 DCADLN O=C([O-])CCCNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000583260971 337293362 /nfs/dbraw/zinc/29/33/62/337293362.db2.gz DKFJLDQVCFUGMS-UHFFFAOYSA-N 0 2 322.365 0.582 20 0 DCADLN O=C([O-])CCC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000583871325 337364395 /nfs/dbraw/zinc/36/43/95/337364395.db2.gz BFYYQMDYQYCXKU-HNNXBMFYSA-N 0 2 320.389 0.911 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ncn(CC(F)(F)F)n2)cnn1C ZINC000576091341 341825563 /nfs/dbraw/zinc/82/55/63/341825563.db2.gz JGMWSSKNORRYLS-UHFFFAOYSA-N 0 2 324.288 0.683 20 0 DCADLN CCN(CC(=O)OC)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266794584 520383566 /nfs/dbraw/zinc/38/35/66/520383566.db2.gz CXZNNUYDMREUHZ-UHFFFAOYSA-N 0 2 320.305 0.380 20 0 DCADLN CC(=O)N[C@@H]1CCN(C(=O)N=c2nc(C(C)(C)C)[nH]s2)C1 ZINC000421063758 534586927 /nfs/dbraw/zinc/58/69/27/534586927.db2.gz NKRPSOGBUGPFBM-SECBINFHSA-N 0 2 311.411 1.000 20 0 DCADLN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000494590249 534829562 /nfs/dbraw/zinc/82/95/62/534829562.db2.gz NPZLSKWHGSUDSD-QMMMGPOBSA-N 0 2 312.326 0.953 20 0 DCADLN Cc1cc(C(=O)NCC2CCOCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266810069 526265736 /nfs/dbraw/zinc/26/57/36/526265736.db2.gz HEZLLAKYRNILQL-UHFFFAOYSA-N 0 2 318.333 0.901 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)n1 ZINC000189705880 526990152 /nfs/dbraw/zinc/99/01/52/526990152.db2.gz SADQSXIIXZBWJL-NWDGAFQWSA-N 0 2 319.365 0.493 20 0 DCADLN CCOC(=O)NCCCC(=O)NS(=O)(=O)c1cccnc1 ZINC000493996165 535387634 /nfs/dbraw/zinc/38/76/34/535387634.db2.gz XIXJYAAHDSCSKB-UHFFFAOYSA-N 0 2 315.351 0.413 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCCN(C)C2=O)c1 ZINC000424713626 536919610 /nfs/dbraw/zinc/91/96/10/536919610.db2.gz YECOMEQGWJCWCK-DMZKTXOQSA-N 0 2 324.402 0.540 20 0 DCADLN CCC1(CNS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000176739633 545889636 /nfs/dbraw/zinc/88/96/36/545889636.db2.gz OZLPGTBKMDJXFZ-UHFFFAOYSA-N 0 2 324.362 0.080 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@@]1(O)CCSC1 ZINC000289092335 546006648 /nfs/dbraw/zinc/00/66/48/546006648.db2.gz QTVXTYONAYKEIK-CYBMUJFWSA-N 0 2 306.347 0.572 20 0 DCADLN NC(=O)[C@@H]1CC[C@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000654687060 546360313 /nfs/dbraw/zinc/36/03/13/546360313.db2.gz NMHIVAGMBQLZMG-NXEZZACHSA-N 0 2 302.290 0.480 20 0 DCADLN Cn1[n-]c(C(=O)N2CCC[N@H+](Cc3cscn3)CC2)cc1=O ZINC000668038132 546686482 /nfs/dbraw/zinc/68/64/82/546686482.db2.gz NUKZBZVANKCOFI-UHFFFAOYSA-N 0 2 321.406 0.930 20 0 DCADLN Cn1[n-]c(C(=O)N2CCC[N@@H+](Cc3cscn3)CC2)cc1=O ZINC000668038132 546686486 /nfs/dbraw/zinc/68/64/86/546686486.db2.gz NUKZBZVANKCOFI-UHFFFAOYSA-N 0 2 321.406 0.930 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCCCOc2ccccc2)[C@@H](C[NH3+])C1 ZINC000668867915 546864682 /nfs/dbraw/zinc/86/46/82/546864682.db2.gz RTHBDELHECCDKN-AWEZNQCLSA-N 0 2 306.410 0.740 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)NCc1c[nH]nn1 ZINC000673951359 547546376 /nfs/dbraw/zinc/54/63/76/547546376.db2.gz LFLHTWURACGVJM-UHFFFAOYSA-N 0 2 315.337 0.419 20 0 DCADLN Cc1[nH]nc(C(=O)Nc2ccc(N3CC[NH+](C)CC3)nc2)c1[O-] ZINC000676629942 547797275 /nfs/dbraw/zinc/79/72/75/547797275.db2.gz JSNBUEAZVIMADY-UHFFFAOYSA-N 0 2 316.365 0.823 20 0 DCADLN COCCCNC(=O)C1CCN(C(=O)c2n[nH]c(C)c2O)CC1 ZINC000676694008 547804094 /nfs/dbraw/zinc/80/40/94/547804094.db2.gz LOWCLCVHPBAONM-UHFFFAOYSA-N 0 2 324.381 0.429 20 0 DCADLN CN(C[C@H](O)C1CC1)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000677674035 547896569 /nfs/dbraw/zinc/89/65/69/547896569.db2.gz YSKRFRGZJPQBLE-LBPRGKRZSA-N 0 2 303.318 0.873 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(c3ncccc3F)CC2)c1O ZINC000677801246 547904349 /nfs/dbraw/zinc/90/43/49/547904349.db2.gz PCFLXODTVWZIOB-UHFFFAOYSA-N 0 2 305.313 0.920 20 0 DCADLN CC(=O)N1CCc2c1cccc2NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000681101112 548203915 /nfs/dbraw/zinc/20/39/15/548203915.db2.gz WJWQGNZBJQPAQD-UHFFFAOYSA-N 0 2 316.321 0.741 20 0 DCADLN Cc1nc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)co1 ZINC000681581026 548266872 /nfs/dbraw/zinc/26/68/72/548266872.db2.gz YFKGKDLTCVXUCP-UHFFFAOYSA-N 0 2 303.325 0.458 20 0 DCADLN CNC(=O)CCCC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000681982962 548335794 /nfs/dbraw/zinc/33/57/94/548335794.db2.gz DHSWMSMCVGANBF-UHFFFAOYSA-N 0 2 304.306 0.885 20 0 DCADLN CC(=O)N1CC[C@H](NC(=O)c2cccc3[nH]nnc32)[C@H](C)C1 ZINC000682164034 548355375 /nfs/dbraw/zinc/35/53/75/548355375.db2.gz UJWCRGBQWIHOHB-SKDRFNHKSA-N 0 2 301.350 0.945 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(NC(=O)C2CC2)cc1 ZINC000682832665 548429820 /nfs/dbraw/zinc/42/98/20/548429820.db2.gz CSFSQDWGCIKFRS-UHFFFAOYSA-N 0 2 321.362 0.964 20 0 DCADLN O=C(NC(CO)CO)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000684057110 548560712 /nfs/dbraw/zinc/56/07/12/548560712.db2.gz FCRZQCQEHHQKAL-UHFFFAOYSA-N 0 2 311.725 0.314 20 0 DCADLN C[C@@H](C(=O)NCC(F)(F)F)[N@@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000826004953 601919819 /nfs/dbraw/zinc/91/98/19/601919819.db2.gz OGVFRVZHWISNIZ-YUMQZZPRSA-N 0 2 314.329 0.946 20 0 DCADLN C[C@@H](C(=O)NCC(F)(F)F)[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000826004953 601919824 /nfs/dbraw/zinc/91/98/24/601919824.db2.gz OGVFRVZHWISNIZ-YUMQZZPRSA-N 0 2 314.329 0.946 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CCC[C@@H](CC(=O)[O-])C2)CCO1 ZINC000818113537 597122765 /nfs/dbraw/zinc/12/27/65/597122765.db2.gz QAZYIMJYYKBUQU-OLZOCXBDSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CCC[C@@H](CC(=O)[O-])C2)CCO1 ZINC000818113537 597122767 /nfs/dbraw/zinc/12/27/67/597122767.db2.gz QAZYIMJYYKBUQU-OLZOCXBDSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CC[C@H](Oc2ccccc2Cl)C1 ZINC000821529942 597800532 /nfs/dbraw/zinc/80/05/32/597800532.db2.gz STBRGOBQGDCYCY-JTQLQIEISA-N 0 2 312.753 0.994 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CC[C@H](Oc2ccccc2Cl)C1 ZINC000821529942 597800535 /nfs/dbraw/zinc/80/05/35/597800535.db2.gz STBRGOBQGDCYCY-JTQLQIEISA-N 0 2 312.753 0.994 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)[N@H+](C)CC(=O)[O-] ZINC000819882667 598043747 /nfs/dbraw/zinc/04/37/47/598043747.db2.gz CRIZIQHCQBXZJX-GHMZBOCLSA-N 0 2 308.334 0.348 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)[N@@H+](C)CC(=O)[O-] ZINC000819882667 598043749 /nfs/dbraw/zinc/04/37/49/598043749.db2.gz CRIZIQHCQBXZJX-GHMZBOCLSA-N 0 2 308.334 0.348 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2ccc(F)c(NC(=O)[O-])c2)CC1 ZINC000737518132 599724083 /nfs/dbraw/zinc/72/40/83/599724083.db2.gz NUKXMEWUIULOJO-UHFFFAOYSA-N 0 2 324.312 0.628 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000313998247 599776188 /nfs/dbraw/zinc/77/61/88/599776188.db2.gz CPRUKZBUTMEAFM-JTQLQIEISA-N 0 2 304.262 0.744 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000313998247 599776190 /nfs/dbraw/zinc/77/61/90/599776190.db2.gz CPRUKZBUTMEAFM-JTQLQIEISA-N 0 2 304.262 0.744 20 0 DCADLN COc1ccc(COCC[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000738010434 599854775 /nfs/dbraw/zinc/85/47/75/599854775.db2.gz JMZHWMOTOJNRJY-CQSZACIVSA-N 0 2 322.361 0.487 20 0 DCADLN COc1ccc(COCC[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000738010434 599854776 /nfs/dbraw/zinc/85/47/76/599854776.db2.gz JMZHWMOTOJNRJY-CQSZACIVSA-N 0 2 322.361 0.487 20 0 DCADLN COc1ccc([C@@H]([NH2+]CC(=O)NC2CCOCC2)C(=O)[O-])cc1 ZINC000737974819 599863318 /nfs/dbraw/zinc/86/33/18/599863318.db2.gz WSPPWDXGSNBBGX-OAHLLOKOSA-N 0 2 322.361 0.706 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC[NH+](C[C@@H](O)c2ccccc2)CC1 ZINC000739545915 599916253 /nfs/dbraw/zinc/91/62/53/599916253.db2.gz ZBBKFOYLQSATRT-RBSFLKMASA-N 0 2 318.373 0.585 20 0 DCADLN NC(=O)C[N@@H+]1CCCN(C(=O)c2cccc(NC(=O)[O-])c2)CC1 ZINC000739162637 599989541 /nfs/dbraw/zinc/98/95/41/599989541.db2.gz FMNYOPMCAWJMKV-UHFFFAOYSA-N 0 2 320.349 0.410 20 0 DCADLN NC(=O)C[N@H+]1CCCN(C(=O)c2cccc(NC(=O)[O-])c2)CC1 ZINC000739162637 599989544 /nfs/dbraw/zinc/98/95/44/599989544.db2.gz FMNYOPMCAWJMKV-UHFFFAOYSA-N 0 2 320.349 0.410 20 0 DCADLN C[C@H](C(=O)N1CCC2(C[C@@H]2C(=O)[O-])CC1)[NH+]1CCN(C)CC1 ZINC000736204187 600276603 /nfs/dbraw/zinc/27/66/03/600276603.db2.gz HHRFSNZGVKZFRK-CHWSQXEVSA-N 0 2 309.410 0.336 20 0 DCADLN Cn1c(Cl)cnc1CN(CC[NH+]1CCOCC1)CC(=O)[O-] ZINC000739014925 600316238 /nfs/dbraw/zinc/31/62/38/600316238.db2.gz YNAYEFFXUIVISQ-UHFFFAOYSA-N 0 2 316.789 0.292 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)N2CCC3(C[C@@H]3C(=O)[O-])CC2)C1 ZINC000405346338 600352401 /nfs/dbraw/zinc/35/24/01/600352401.db2.gz URVQOOFIINOSMI-NWDGAFQWSA-N 0 2 311.382 0.213 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)N2CCC3(C[C@@H]3C(=O)[O-])CC2)C1 ZINC000405346338 600352403 /nfs/dbraw/zinc/35/24/03/600352403.db2.gz URVQOOFIINOSMI-NWDGAFQWSA-N 0 2 311.382 0.213 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC(C(=O)[O-])CC1 ZINC000736736243 600564330 /nfs/dbraw/zinc/56/43/30/600564330.db2.gz AITJRTUCXOLJIY-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N1CCC(C(=O)[O-])CC1 ZINC000736736243 600564333 /nfs/dbraw/zinc/56/43/33/600564333.db2.gz AITJRTUCXOLJIY-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@H+]1CCC[C@H](OCCO)C1 ZINC000738465792 600629146 /nfs/dbraw/zinc/62/91/46/600629146.db2.gz MEELFTZVOHMTCS-LBPRGKRZSA-N 0 2 322.361 0.797 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@@H+]1CCC[C@H](OCCO)C1 ZINC000738465792 600629148 /nfs/dbraw/zinc/62/91/48/600629148.db2.gz MEELFTZVOHMTCS-LBPRGKRZSA-N 0 2 322.361 0.797 20 0 DCADLN CC(C)(CNC(=O)N1CCC[C@H](C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000736321912 600699966 /nfs/dbraw/zinc/69/99/66/600699966.db2.gz MRGHAGUROUDJEU-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])c1cn(C[NH+]2CCC(c3nnc4n3CCC4)CC2)nn1 ZINC000833337678 600712659 /nfs/dbraw/zinc/71/26/59/600712659.db2.gz GSYJLDFSBWOXEI-UHFFFAOYSA-N 0 2 317.353 0.351 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)c[nH]1 ZINC000378664204 600748060 /nfs/dbraw/zinc/74/80/60/600748060.db2.gz IPUBTJSJWNGKSR-UHFFFAOYSA-N 0 2 313.379 0.572 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)N(C)CC(=O)[O-])C[C@@H](C(F)(F)F)O1 ZINC000828438513 600800420 /nfs/dbraw/zinc/80/04/20/600800420.db2.gz DSCFILIVLCZYNY-IUCAKERBSA-N 0 2 312.288 0.571 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)N(C)CC(=O)[O-])C[C@@H](C(F)(F)F)O1 ZINC000828438513 600800417 /nfs/dbraw/zinc/80/04/17/600800417.db2.gz DSCFILIVLCZYNY-IUCAKERBSA-N 0 2 312.288 0.571 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)Nc2ccc3[nH]nc(C(=O)[O-])c3c2)C1 ZINC000830960530 600883216 /nfs/dbraw/zinc/88/32/16/600883216.db2.gz QEMNUUCZOWOIBX-NSHDSACASA-N 0 2 304.306 0.530 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)Nc2ccc3[nH]nc(C(=O)[O-])c3c2)C1 ZINC000830960530 600883218 /nfs/dbraw/zinc/88/32/18/600883218.db2.gz QEMNUUCZOWOIBX-NSHDSACASA-N 0 2 304.306 0.530 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCC2(C1)OCCO2 ZINC000736206904 600952634 /nfs/dbraw/zinc/95/26/34/600952634.db2.gz ZTZSYPARNSKKEC-NSHDSACASA-N 0 2 312.366 0.147 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCC2(C1)OCCO2 ZINC000736206904 600952636 /nfs/dbraw/zinc/95/26/36/600952636.db2.gz ZTZSYPARNSKKEC-NSHDSACASA-N 0 2 312.366 0.147 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@H]2C[N@H+](C)CCO2)c1OC ZINC000831891450 600970978 /nfs/dbraw/zinc/97/09/78/600970978.db2.gz DABHGEWPWRNRBX-GFCCVEGCSA-N 0 2 324.333 0.671 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@H]2C[N@@H+](C)CCO2)c1OC ZINC000831891450 600970981 /nfs/dbraw/zinc/97/09/81/600970981.db2.gz DABHGEWPWRNRBX-GFCCVEGCSA-N 0 2 324.333 0.671 20 0 DCADLN CCOC(=O)C1CCN(C(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])CC1 ZINC000737134829 600977570 /nfs/dbraw/zinc/97/75/70/600977570.db2.gz DXQLZSKCWKRDAG-JTQLQIEISA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)C1CCN(C(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])CC1 ZINC000737134829 600977572 /nfs/dbraw/zinc/97/75/72/600977572.db2.gz DXQLZSKCWKRDAG-JTQLQIEISA-N 0 2 300.355 0.193 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])cn2)CC1 ZINC000827030423 600982547 /nfs/dbraw/zinc/98/25/47/600982547.db2.gz GGZYOWHTZUMPID-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CC(=O)c1[nH]c(CC(=O)N2CC[N@H+](C)[C@H](C)C2)c(C(=O)[O-])c1C ZINC000736182061 601095261 /nfs/dbraw/zinc/09/52/61/601095261.db2.gz OQEXKJPQKKXOPU-SECBINFHSA-N 0 2 321.377 0.929 20 0 DCADLN CC(=O)c1[nH]c(CC(=O)N2CC[N@@H+](C)[C@H](C)C2)c(C(=O)[O-])c1C ZINC000736182061 601095264 /nfs/dbraw/zinc/09/52/64/601095264.db2.gz OQEXKJPQKKXOPU-SECBINFHSA-N 0 2 321.377 0.929 20 0 DCADLN CN(C[C@@H](O)C[NH+]1CCOCC1)C(=O)c1ccc(C(=O)[O-])cc1 ZINC000820574353 601294541 /nfs/dbraw/zinc/29/45/41/601294541.db2.gz SQZXKTSENPDTPM-CQSZACIVSA-N 0 2 322.361 0.150 20 0 DCADLN COC(=O)[C@H]1c2ccccc2CC[N@H+]1CCC(=O)NCC(=O)[O-] ZINC000831118509 601548152 /nfs/dbraw/zinc/54/81/52/601548152.db2.gz AVUVTKTVYYYZLK-OAHLLOKOSA-N 0 2 320.345 0.350 20 0 DCADLN COC(=O)[C@H]1c2ccccc2CC[N@@H+]1CCC(=O)NCC(=O)[O-] ZINC000831118509 601548154 /nfs/dbraw/zinc/54/81/54/601548154.db2.gz AVUVTKTVYYYZLK-OAHLLOKOSA-N 0 2 320.345 0.350 20 0 DCADLN C[C@H](C[N@H+](Cn1c2cnccc2n(C)c1=O)C1CC1)C(=O)[O-] ZINC000827454520 602191070 /nfs/dbraw/zinc/19/10/70/602191070.db2.gz BWPIYIBXLAUUEN-SNVBAGLBSA-N 0 2 304.350 0.878 20 0 DCADLN C[C@H](C[N@@H+](Cn1c2cnccc2n(C)c1=O)C1CC1)C(=O)[O-] ZINC000827454520 602191072 /nfs/dbraw/zinc/19/10/72/602191072.db2.gz BWPIYIBXLAUUEN-SNVBAGLBSA-N 0 2 304.350 0.878 20 0 DCADLN O=C([O-])c1c(N2CCC[C@@H](O)C2)nc[nH+]c1N1CCC[C@H](O)C1 ZINC000833293804 602294106 /nfs/dbraw/zinc/29/41/06/602294106.db2.gz JAIXCHGVCAHJJG-PHIMTYICSA-N 0 2 322.365 0.097 20 0 DCADLN O=C([O-])c1c(N2CCC[C@H](O)C2)nc[nH+]c1N1CCC[C@@H](O)C1 ZINC000833293804 602294109 /nfs/dbraw/zinc/29/41/09/602294109.db2.gz JAIXCHGVCAHJJG-PHIMTYICSA-N 0 2 322.365 0.097 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000736413916 603014108 /nfs/dbraw/zinc/01/41/08/603014108.db2.gz DWVCWVBVGKISSH-QWHCGFSZSA-N 0 2 313.398 0.602 20 0 DCADLN C[N@@H+]1CCN(C(=O)CSc2ccncc2)[C@H](CNC(=O)[O-])C1 ZINC000828499787 603515698 /nfs/dbraw/zinc/51/56/98/603515698.db2.gz ABCDXYGVLRZZSE-LLVKDONJSA-N 0 2 324.406 0.584 20 0 DCADLN C[N@H+]1CCN(C(=O)CSc2ccncc2)[C@H](CNC(=O)[O-])C1 ZINC000828499787 603515701 /nfs/dbraw/zinc/51/57/01/603515701.db2.gz ABCDXYGVLRZZSE-LLVKDONJSA-N 0 2 324.406 0.584 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830904184 603522379 /nfs/dbraw/zinc/52/23/79/603522379.db2.gz LUOJHMUTOSKRPO-CABZTGNLSA-N 0 2 324.381 0.805 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830904184 603522380 /nfs/dbraw/zinc/52/23/80/603522380.db2.gz LUOJHMUTOSKRPO-CABZTGNLSA-N 0 2 324.381 0.805 20 0 DCADLN C[N@H+](CN1C(=O)CC2(CCCC2)C1=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828346135 603595220 /nfs/dbraw/zinc/59/52/20/603595220.db2.gz RTODWBXCBOSDCK-LLVKDONJSA-N 0 2 309.366 0.947 20 0 DCADLN C[N@@H+](CN1C(=O)CC2(CCCC2)C1=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828346135 603595223 /nfs/dbraw/zinc/59/52/23/603595223.db2.gz RTODWBXCBOSDCK-LLVKDONJSA-N 0 2 309.366 0.947 20 0 DCADLN C[C@@H](CNC(=O)N1CC[C@@H](N(C)C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000824930722 603619612 /nfs/dbraw/zinc/61/96/12/603619612.db2.gz QAGCBYBJFUMVIE-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN Cc1cc(CC(=O)N(C)CC[NH+]2CCN(C(=O)[O-])CC2)no1 ZINC000830031446 603754712 /nfs/dbraw/zinc/75/47/12/603754712.db2.gz VFCLCXXCWSTFOC-UHFFFAOYSA-N 0 2 310.354 0.280 20 0 DCADLN COCCS(=O)(=O)CCC[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000829017644 603795300 /nfs/dbraw/zinc/79/53/00/603795300.db2.gz CKPFCAOTHHLLQA-NSHDSACASA-N 0 2 308.400 0.122 20 0 DCADLN COCCS(=O)(=O)CCC[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000829017644 603795305 /nfs/dbraw/zinc/79/53/05/603795305.db2.gz CKPFCAOTHHLLQA-NSHDSACASA-N 0 2 308.400 0.122 20 0 DCADLN COc1ccc(C[N@H+](C)CC(=O)N2CCN(C(=O)[O-])CC2)cn1 ZINC000829362742 604109434 /nfs/dbraw/zinc/10/94/34/604109434.db2.gz NVSQFCLCFIMKCX-UHFFFAOYSA-N 0 2 322.365 0.344 20 0 DCADLN COc1ccc(C[N@@H+](C)CC(=O)N2CCN(C(=O)[O-])CC2)cn1 ZINC000829362742 604109435 /nfs/dbraw/zinc/10/94/35/604109435.db2.gz NVSQFCLCFIMKCX-UHFFFAOYSA-N 0 2 322.365 0.344 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)Nc1nc(C2CC2)no1)C1CCOCC1 ZINC000833247738 604482635 /nfs/dbraw/zinc/48/26/35/604482635.db2.gz WJVYMICNXKTBSR-UHFFFAOYSA-N 0 2 324.337 0.451 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)Nc1nc(C2CC2)no1)C1CCOCC1 ZINC000833247738 604482639 /nfs/dbraw/zinc/48/26/39/604482639.db2.gz WJVYMICNXKTBSR-UHFFFAOYSA-N 0 2 324.337 0.451 20 0 DCADLN CC[C@H](c1ccccc1)[N@H+](CC(=O)[O-])CC(=O)NC(=O)NC ZINC000829027506 604651024 /nfs/dbraw/zinc/65/10/24/604651024.db2.gz NXXBZJSXUDRXFP-GFCCVEGCSA-N 0 2 307.350 0.980 20 0 DCADLN CC[C@H](c1ccccc1)[N@@H+](CC(=O)[O-])CC(=O)NC(=O)NC ZINC000829027506 604651025 /nfs/dbraw/zinc/65/10/25/604651025.db2.gz NXXBZJSXUDRXFP-GFCCVEGCSA-N 0 2 307.350 0.980 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)NCCCCNc2cccc[nH+]2)C1 ZINC000831665597 604839825 /nfs/dbraw/zinc/83/98/25/604839825.db2.gz KYVZOGHCAYHMLN-LBPRGKRZSA-N 0 2 322.365 0.769 20 0 DCADLN CN(C[C@H]1CC[N@H+](CC(=O)Nc2nc(C3CC3)no2)C1)C(=O)[O-] ZINC000828259513 605151103 /nfs/dbraw/zinc/15/11/03/605151103.db2.gz LNRAQMSGSVRBAA-SECBINFHSA-N 0 2 323.353 0.817 20 0 DCADLN CN(C[C@H]1CC[N@@H+](CC(=O)Nc2nc(C3CC3)no2)C1)C(=O)[O-] ZINC000828259513 605151106 /nfs/dbraw/zinc/15/11/06/605151106.db2.gz LNRAQMSGSVRBAA-SECBINFHSA-N 0 2 323.353 0.817 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)CN(C)C(=O)[O-] ZINC000830763851 605264418 /nfs/dbraw/zinc/26/44/18/605264418.db2.gz FECYMCHZJAXXMS-UHFFFAOYSA-N 0 2 308.338 0.775 20 0 DCADLN O=C([O-])N1CC[NH+](CCCNS(=O)(=O)CCC2CC2)CC1 ZINC000834113214 605699342 /nfs/dbraw/zinc/69/93/42/605699342.db2.gz SKJKMKCZAXHPFK-UHFFFAOYSA-N 0 2 319.427 0.392 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834033071 605736427 /nfs/dbraw/zinc/73/64/27/605736427.db2.gz DCSJSKRMVNEDHI-GFCCVEGCSA-N 0 2 319.365 0.569 20 0 DCADLN O=C([O-])NC1CC[NH+](CC(=O)Nc2cccc(CO)c2)CC1 ZINC000834188881 605762332 /nfs/dbraw/zinc/76/23/32/605762332.db2.gz DUDOMJHLQBZQOT-UHFFFAOYSA-N 0 2 307.350 0.849 20 0 DCADLN C[C@@](O)(CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1ccccc1 ZINC000833524720 605764211 /nfs/dbraw/zinc/76/42/11/605764211.db2.gz LZVWJBHCPXOIPO-XJKSGUPXSA-N 0 2 317.345 0.819 20 0 DCADLN C[C@@](O)(CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1ccccc1 ZINC000833524720 605764215 /nfs/dbraw/zinc/76/42/15/605764215.db2.gz LZVWJBHCPXOIPO-XJKSGUPXSA-N 0 2 317.345 0.819 20 0 DCADLN O=C([O-])NCC1CCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC000834225897 605767799 /nfs/dbraw/zinc/76/77/99/605767799.db2.gz NVXBFPCXPDQOAF-LBPRGKRZSA-N 0 2 306.366 0.952 20 0 DCADLN COC1(C(=O)N(C)CCCn2cc[nH+]c2)CCN(C(=O)[O-])CC1 ZINC000828849892 605802244 /nfs/dbraw/zinc/80/22/44/605802244.db2.gz XUXFFPQJCOPSLB-UHFFFAOYSA-N 0 2 324.381 0.891 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000834209626 605844561 /nfs/dbraw/zinc/84/45/61/605844561.db2.gz DHWWHGITHVTRKF-MDZLAQPJSA-N 0 2 306.366 0.998 20 0 DCADLN C[C@H]1C[C@@H](CNC(=O)[O-])C[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833827818 605901798 /nfs/dbraw/zinc/90/17/98/605901798.db2.gz BALMINMCJHLGQY-UWVGGRQHSA-N 0 2 305.338 0.501 20 0 DCADLN C[C@H]1C[C@@H](CNC(=O)[O-])C[N@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833827818 605901801 /nfs/dbraw/zinc/90/18/01/605901801.db2.gz BALMINMCJHLGQY-UWVGGRQHSA-N 0 2 305.338 0.501 20 0 DCADLN O=C([O-])NCC[NH+]1CCN(Cc2nc3ccccc3[nH]2)CC1 ZINC000834239256 605952992 /nfs/dbraw/zinc/95/29/92/605952992.db2.gz JDQWYBMVAVLJIV-UHFFFAOYSA-N 0 2 303.366 0.948 20 0 DCADLN O=C([O-])N1CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC000834113855 605972101 /nfs/dbraw/zinc/97/21/01/605972101.db2.gz XFTZFMXVNOMBFY-GFCCVEGCSA-N 0 2 319.365 0.666 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834017743 605975666 /nfs/dbraw/zinc/97/56/66/605975666.db2.gz JLZMYPASWLIXBG-NWDGAFQWSA-N 0 2 320.349 0.155 20 0 DCADLN O=C([O-])Nc1cnc(C(=O)N[C@H]2CCc3[nH+]ccn3C2)cn1 ZINC000834278516 606002638 /nfs/dbraw/zinc/00/26/38/606002638.db2.gz CEPAXMRAQBZUPS-QMMMGPOBSA-N 0 2 302.294 0.508 20 0 DCADLN O=C([O-])Nc1cnc(C(=O)N[C@@H]2CCc3[nH+]ccn3C2)cn1 ZINC000834278515 606002850 /nfs/dbraw/zinc/00/28/50/606002850.db2.gz CEPAXMRAQBZUPS-MRVPVSSYSA-N 0 2 302.294 0.508 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@@H](CNC(=O)[O-])C2CC2)C1 ZINC000833956543 606076771 /nfs/dbraw/zinc/07/67/71/606076771.db2.gz ZSLXHHKHZHNKGA-NEPJUHHUSA-N 0 2 321.381 0.965 20 0 DCADLN O=C([O-])N1CC(OCCC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)C1 ZINC000834074301 606113989 /nfs/dbraw/zinc/11/39/89/606113989.db2.gz QQILFXFIAONUKG-UHFFFAOYSA-N 0 2 322.365 0.885 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1nnc(-c2ccco2)o1 ZINC000823656955 607173507 /nfs/dbraw/zinc/17/35/07/607173507.db2.gz KMZKWRNIQHCRIJ-UHFFFAOYSA-N 0 2 311.261 0.720 20 0 DCADLN CCc1nn(Cc2ccn(C)n2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000825762773 608198211 /nfs/dbraw/zinc/19/82/11/608198211.db2.gz IFZZMSCCXONKOJ-UHFFFAOYSA-N 0 2 314.353 0.330 20 0 DCADLN CC(=O)NCc1ccc(C(=O)NNC(=O)c2ccccn2)cc1 ZINC000027694600 660622627 /nfs/dbraw/zinc/62/26/27/660622627.db2.gz FEMWQTKPZZSLHI-UHFFFAOYSA-N 0 2 312.329 0.793 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001027809718 660759344 /nfs/dbraw/zinc/75/93/44/660759344.db2.gz ZUYQQOWSEUWBDX-SNVBAGLBSA-N 0 2 320.353 0.510 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC1(F)F ZINC001027869992 660861823 /nfs/dbraw/zinc/86/18/23/660861823.db2.gz QMJVSVIRXUTFJE-JGVFFNPUSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC1(F)F ZINC001027869992 660861825 /nfs/dbraw/zinc/86/18/25/660861825.db2.gz QMJVSVIRXUTFJE-JGVFFNPUSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC1(F)F ZINC001027869992 660861827 /nfs/dbraw/zinc/86/18/27/660861827.db2.gz QMJVSVIRXUTFJE-JGVFFNPUSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(Cl)no1 ZINC001038945049 661317181 /nfs/dbraw/zinc/31/71/81/661317181.db2.gz OSFPUFKHPBIXOF-ZCFIWIBFSA-N 0 2 312.717 0.156 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1ccsn1 ZINC001027963363 660986250 /nfs/dbraw/zinc/98/62/50/660986250.db2.gz KRKABXLDQAZJOF-QMMMGPOBSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1ccc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000980720839 660991551 /nfs/dbraw/zinc/99/15/51/660991551.db2.gz LQJMTBLUFNVTLZ-UHFFFAOYSA-N 0 2 316.365 0.562 20 0 DCADLN Cc1noc([C@@H](C)N2CCC[C@@H]2CNC(=O)c2cnn[nH]2)n1 ZINC001027990903 661025300 /nfs/dbraw/zinc/02/53/00/661025300.db2.gz RQVUEJBBXGZRLS-PSASIEDQSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@@H](C)[N@@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990903 661025301 /nfs/dbraw/zinc/02/53/01/661025301.db2.gz RQVUEJBBXGZRLS-PSASIEDQSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@@H](C)[N@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990903 661025302 /nfs/dbraw/zinc/02/53/02/661025302.db2.gz RQVUEJBBXGZRLS-PSASIEDQSA-N 0 2 305.342 0.452 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980853163 661029452 /nfs/dbraw/zinc/02/94/52/661029452.db2.gz APTLWTBQWZUKHX-UHFFFAOYSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)n1cccc1 ZINC000980986242 661058943 /nfs/dbraw/zinc/05/89/43/661058943.db2.gz MGJJENPZZLMYFZ-GFCCVEGCSA-N 0 2 318.381 0.607 20 0 DCADLN CO[C@@H]1CCC[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981485644 661159431 /nfs/dbraw/zinc/15/94/31/661159431.db2.gz FZCPSLBKKWCWTJ-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@H]1COCC[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981903985 661243739 /nfs/dbraw/zinc/24/37/39/661243739.db2.gz BHGDMNZHPURVNM-NWDGAFQWSA-N 0 2 323.397 0.217 20 0 DCADLN C[C@@H]1CN(c2c3ccccc3[nH+]nc2C(=O)[O-])C[C@H](CO)O1 ZINC000320007479 661257586 /nfs/dbraw/zinc/25/75/86/661257586.db2.gz QBWNZLSFFDBVQT-NXEZZACHSA-N 0 2 303.318 0.914 20 0 DCADLN CCn1ccc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001028179405 661262151 /nfs/dbraw/zinc/26/21/51/661262151.db2.gz DIBNDEFRBLMALS-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN Cc1ncsc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038077593 665450321 /nfs/dbraw/zinc/45/03/21/665450321.db2.gz PQNNWZHCLHVVNZ-QMMMGPOBSA-N 0 2 308.367 0.280 20 0 DCADLN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC000890977634 657496645 /nfs/dbraw/zinc/49/66/45/657496645.db2.gz HARXDQASIDCAIR-PRJMDXOYSA-N 0 2 316.251 0.452 20 0 DCADLN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC000890977634 657496653 /nfs/dbraw/zinc/49/66/53/657496653.db2.gz HARXDQASIDCAIR-PRJMDXOYSA-N 0 2 316.251 0.452 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001023460884 657893244 /nfs/dbraw/zinc/89/32/44/657893244.db2.gz JBIJJGCQNLSKHD-NSHDSACASA-N 0 2 319.409 0.086 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3cn[nH]n3)C[C@H]2C)on1 ZINC000947484334 658021398 /nfs/dbraw/zinc/02/13/98/658021398.db2.gz KMLICPRATWHMOG-GHMZBOCLSA-N 0 2 304.354 0.884 20 0 DCADLN C[C@H](NC(=O)[C@H]1CC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969631758 658041806 /nfs/dbraw/zinc/04/18/06/658041806.db2.gz JKQHHPIHWYMSEE-POYBYMJQSA-N 0 2 301.297 0.102 20 0 DCADLN O=C(NNC(=O)c1ccccn1)c1ccnc(-n2ccnc2)c1 ZINC000048297739 658042577 /nfs/dbraw/zinc/04/25/77/658042577.db2.gz HCPOUEQRBUZKLZ-UHFFFAOYSA-N 0 2 308.301 0.737 20 0 DCADLN CCc1ccnc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038287178 658059190 /nfs/dbraw/zinc/05/91/90/658059190.db2.gz JYUFCQSXNPUGKZ-NSHDSACASA-N 0 2 316.365 0.472 20 0 DCADLN Cc1noc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC000969922272 658264476 /nfs/dbraw/zinc/26/44/76/658264476.db2.gz JDNRRBKARSGUFL-VIFPVBQESA-N 0 2 320.353 0.365 20 0 DCADLN Cn1ccc(C[NH+](C)[C@@H]2CCN(C(=O)[C@@H]3CCC[N@H+]3C)C2)n1 ZINC000971206087 658407814 /nfs/dbraw/zinc/40/78/14/658407814.db2.gz ZREKONYUHZIWKJ-CABCVRRESA-N 0 2 305.426 0.547 20 0 DCADLN Cn1cc(Cl)c(C[NH2+][C@H]2C[C@H](NC(=O)c3nnc[nH]3)C2)n1 ZINC001020777395 658459558 /nfs/dbraw/zinc/45/95/58/658459558.db2.gz VNNCNZWLKSBAFC-ZKCHVHJHSA-N 0 2 309.761 0.242 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccoc1 ZINC001024330700 658569741 /nfs/dbraw/zinc/56/97/41/658569741.db2.gz WAYVPXAIBZKYKA-NSHDSACASA-N 0 2 305.338 0.888 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCOC1 ZINC001024350476 658584298 /nfs/dbraw/zinc/58/42/98/658584298.db2.gz OOIBVIGDLIMZDO-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCOC1 ZINC001024350476 658584305 /nfs/dbraw/zinc/58/43/05/658584305.db2.gz OOIBVIGDLIMZDO-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN Cc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)CCc3c[nH]nn3)C2)on1 ZINC000972372979 658635887 /nfs/dbraw/zinc/63/58/87/658635887.db2.gz JDTFABREOSZEKY-ZDUSSCGKSA-N 0 2 318.381 0.767 20 0 DCADLN CCc1nc(C[NH2+][C@H]2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)no1 ZINC001021974141 658700271 /nfs/dbraw/zinc/70/02/71/658700271.db2.gz VGJUSMIROQNVCR-DTORHVGOSA-N 0 2 320.353 0.420 20 0 DCADLN CC1CC(C(=O)N[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)C1 ZINC000973019371 658945391 /nfs/dbraw/zinc/94/53/91/658945391.db2.gz WKCQJVRKZWUVMQ-ILDUYXDCSA-N 0 2 307.398 0.883 20 0 DCADLN CC1CC(C(=O)N[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)C1 ZINC000973019371 658945395 /nfs/dbraw/zinc/94/53/95/658945395.db2.gz WKCQJVRKZWUVMQ-ILDUYXDCSA-N 0 2 307.398 0.883 20 0 DCADLN CCn1ccnc1C[NH2+]C[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001027141335 658998706 /nfs/dbraw/zinc/99/87/06/658998706.db2.gz ZTABMSHNDLPHHX-CYBMUJFWSA-N 0 2 316.409 0.807 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038361129 659091599 /nfs/dbraw/zinc/09/15/99/659091599.db2.gz TYELPQJWTGEQFG-SCVCMEIPSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC000973353384 659255173 /nfs/dbraw/zinc/25/51/73/659255173.db2.gz WZFLDVHANKDROP-YWDSYVAPSA-N 0 2 318.377 0.061 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)[C@H]1CCOC1 ZINC000976243321 659255820 /nfs/dbraw/zinc/25/58/20/659255820.db2.gz ZGZZHKRKALGCDO-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)[C@H]1CCOC1 ZINC000976243321 659255822 /nfs/dbraw/zinc/25/58/22/659255822.db2.gz ZGZZHKRKALGCDO-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN Cc1cnc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001006635608 659271916 /nfs/dbraw/zinc/27/19/16/659271916.db2.gz TXECQPUXWALLQX-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(C[C@H]1C=CCC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006708673 659335999 /nfs/dbraw/zinc/33/59/99/659335999.db2.gz YNUYBVYHONMXBF-NWDGAFQWSA-N 0 2 305.382 0.947 20 0 DCADLN CC[C@H](C[NH+]1CC(N(CC)C(=O)c2n[nH]c(C)c2[O-])C1)OC ZINC000949900740 659399371 /nfs/dbraw/zinc/39/93/71/659399371.db2.gz OZKJGESCNULAAI-GFCCVEGCSA-N 0 2 310.398 0.995 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N(C)[C@@H]1CCC[N@@H+](C)C1)[NH+]1CCOCC1 ZINC000892838360 659480109 /nfs/dbraw/zinc/48/01/09/659480109.db2.gz MZJCALFAZWAECK-RRFJBIMHSA-N 0 2 312.458 0.831 20 0 DCADLN Cc1cc(C[NH2+]C[C@H]2CCN(C(=O)[C@H]3C[N@@H+](C)CCO3)C2)no1 ZINC001026749265 659504911 /nfs/dbraw/zinc/50/49/11/659504911.db2.gz DUGCFZBWPKYRNB-UKRRQHHQSA-N 0 2 322.409 0.252 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1cnon1 ZINC000977037338 659535920 /nfs/dbraw/zinc/53/59/20/659535920.db2.gz SSSSLGONYJZWHY-HETMPLHPSA-N 0 2 322.218 0.157 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)c1cnon1 ZINC000977037338 659535922 /nfs/dbraw/zinc/53/59/22/659535922.db2.gz SSSSLGONYJZWHY-HETMPLHPSA-N 0 2 322.218 0.157 20 0 DCADLN Cn1nccc1C[N@@H+]1CCC[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001008680609 659662661 /nfs/dbraw/zinc/66/26/61/659662661.db2.gz HHSLGADQKRNFFG-GFCCVEGCSA-N 0 2 302.382 0.467 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cnoc1 ZINC000974935692 659736164 /nfs/dbraw/zinc/73/61/64/659736164.db2.gz ODBZOMXHFCZPPB-SNVBAGLBSA-N 0 2 320.353 0.068 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cnoc1 ZINC000974935692 659736165 /nfs/dbraw/zinc/73/61/65/659736165.db2.gz ODBZOMXHFCZPPB-SNVBAGLBSA-N 0 2 320.353 0.068 20 0 DCADLN COCC[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C(C)(C)C1 ZINC000975043639 659772384 /nfs/dbraw/zinc/77/23/84/659772384.db2.gz FCLRTGNLCHWOIB-UMVBOHGHSA-N 0 2 320.437 0.997 20 0 DCADLN CC1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CCOCC1 ZINC000982222038 661310989 /nfs/dbraw/zinc/31/09/89/661310989.db2.gz LTAMOXODSYSMGO-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(C2CC2)on1 ZINC001031883532 665757308 /nfs/dbraw/zinc/75/73/08/665757308.db2.gz SXURCKOIMQQEGH-UHFFFAOYSA-N 0 2 318.337 0.238 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(=O)[nH]c1 ZINC001028300178 661448251 /nfs/dbraw/zinc/44/82/51/661448251.db2.gz RLPMSTRIOZVBKF-JTQLQIEISA-N 0 2 318.337 0.005 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(=O)[nH]c1 ZINC001028300178 661448252 /nfs/dbraw/zinc/44/82/52/661448252.db2.gz RLPMSTRIOZVBKF-JTQLQIEISA-N 0 2 318.337 0.005 20 0 DCADLN Cc1nccc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001028327002 661460080 /nfs/dbraw/zinc/46/00/80/661460080.db2.gz ZTGCJYQRUJQIAK-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000982851455 661700569 /nfs/dbraw/zinc/70/05/69/661700569.db2.gz ZFXJKIJWOXAPAW-POYBYMJQSA-N 0 2 324.234 0.548 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC000982851455 661700571 /nfs/dbraw/zinc/70/05/71/661700571.db2.gz ZFXJKIJWOXAPAW-POYBYMJQSA-N 0 2 324.234 0.548 20 0 DCADLN C[C@@H]([NH2+][C@@H]1CCN(CC[NH+]2CCOCC2)C1)c1cn(C)cn1 ZINC000925082337 662009141 /nfs/dbraw/zinc/00/91/41/662009141.db2.gz VSMLGMCKINBVOF-HUUCEWRRSA-N 0 2 307.442 0.477 20 0 DCADLN O=C(C=C1CCC1)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001042490077 662046593 /nfs/dbraw/zinc/04/65/93/662046593.db2.gz HMDVIXVDSNKDLG-JTQLQIEISA-N 0 2 324.274 0.687 20 0 DCADLN O=C(C=C1CCC1)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001042490077 662046595 /nfs/dbraw/zinc/04/65/95/662046595.db2.gz HMDVIXVDSNKDLG-JTQLQIEISA-N 0 2 324.274 0.687 20 0 DCADLN CO[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001029291179 662087454 /nfs/dbraw/zinc/08/74/54/662087454.db2.gz LXBYHLNVXURUJN-LOWVWBTDSA-N 0 2 321.381 0.111 20 0 DCADLN Cc1cc(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)on1 ZINC001000420865 665836573 /nfs/dbraw/zinc/83/65/73/665836573.db2.gz PYXVXGXOZKQZEX-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN Cc1cc(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)on1 ZINC001000420865 665836577 /nfs/dbraw/zinc/83/65/77/665836577.db2.gz PYXVXGXOZKQZEX-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN O=C(COc1ccc(F)c(F)c1)NCCCc1n[nH]c(=O)[nH]1 ZINC000895899329 662227295 /nfs/dbraw/zinc/22/72/95/662227295.db2.gz LSOVOALPWUPTIA-UHFFFAOYSA-N 0 2 312.276 0.916 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)[C@@H]3CCn4c[nH+]cc4C3)C[C@H]1COCC2 ZINC000909562245 662366550 /nfs/dbraw/zinc/36/65/50/662366550.db2.gz IIAXBNAALFXHSG-BFQNTYOBSA-N 0 2 319.361 0.395 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@]1(O)CCSC1 ZINC000866907501 662380725 /nfs/dbraw/zinc/38/07/25/662380725.db2.gz FQLBWBUWODZVDU-SECBINFHSA-N 0 2 316.470 0.197 20 0 DCADLN Cc1n[nH]c(NC(=O)CN2c3ccccc3OCCC2=O)n1 ZINC000728703191 662412814 /nfs/dbraw/zinc/41/28/14/662412814.db2.gz JPLTUGQNIAJTOI-UHFFFAOYSA-N 0 2 301.306 0.867 20 0 DCADLN C[N@@H+](CC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1)[C@@H]1CCNC1=O ZINC001029999857 662629521 /nfs/dbraw/zinc/62/95/21/662629521.db2.gz MGUFVPDGZVYONX-CQSZACIVSA-N 0 2 319.409 0.011 20 0 DCADLN Cc1ncoc1C[NH2+][C@@H]1CCN(C(=O)CCc2cnn[nH]2)[C@H]1C ZINC000987020438 662635410 /nfs/dbraw/zinc/63/54/10/662635410.db2.gz XBCRXTHVYCQOJP-WCQYABFASA-N 0 2 318.381 0.813 20 0 DCADLN CO[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)C1CC1 ZINC000940959749 665889046 /nfs/dbraw/zinc/88/90/46/665889046.db2.gz YVNRMTQWILBESS-HLTSFMKQSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1NC(=O)C1CC1 ZINC000940959749 665889047 /nfs/dbraw/zinc/88/90/47/665889047.db2.gz YVNRMTQWILBESS-HLTSFMKQSA-N 0 2 312.263 0.639 20 0 DCADLN O=C([O-])[C@H](CC(F)(F)F)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000909673097 662720694 /nfs/dbraw/zinc/72/06/94/662720694.db2.gz ZNWFEGYIFWKJOZ-APPZFPTMSA-N 0 2 305.256 0.967 20 0 DCADLN C[C@@H]1C[NH+](C2CC2)C[C@H]1NC(=O)N(C)[C@H]1CC[N@H+]2CCO[C@H]1C2 ZINC000454663676 662794763 /nfs/dbraw/zinc/79/47/63/662794763.db2.gz KLQMDFWVPLPQNB-OJLVUWQFSA-N 0 2 322.453 0.584 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cocn1 ZINC000937750524 662815339 /nfs/dbraw/zinc/81/53/39/662815339.db2.gz USAIEEDSWYENND-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cocn1 ZINC000937750524 662815341 /nfs/dbraw/zinc/81/53/41/662815341.db2.gz USAIEEDSWYENND-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000937906116 662840868 /nfs/dbraw/zinc/84/08/68/662840868.db2.gz WZCSIQMWHSYSLC-NKWVEPMBSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000937906116 662840869 /nfs/dbraw/zinc/84/08/69/662840869.db2.gz WZCSIQMWHSYSLC-NKWVEPMBSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1c[nH]cc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000989655796 662969677 /nfs/dbraw/zinc/96/96/77/662969677.db2.gz HCJWVXWHDWVOOQ-UHFFFAOYSA-N 0 2 304.354 0.495 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)C1CCOCC1 ZINC000990046885 662997020 /nfs/dbraw/zinc/99/70/20/662997020.db2.gz NUAJOSJBXQBGND-SECBINFHSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1CCOCC1 ZINC000990046885 662997021 /nfs/dbraw/zinc/99/70/21/662997021.db2.gz NUAJOSJBXQBGND-SECBINFHSA-N 0 2 312.263 0.640 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2CCC([NH2+]Cc3cscn3)CC2)C1 ZINC000990572150 663067697 /nfs/dbraw/zinc/06/76/97/663067697.db2.gz YRSOVAPEUBVBOJ-CQSZACIVSA-N 0 2 324.450 0.554 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2nn(C)c3ccccc23)C(=O)N1C ZINC000899039998 663118894 /nfs/dbraw/zinc/11/88/94/663118894.db2.gz JKBLYWZVIPEFHM-MRVPVSSYSA-N 0 2 301.306 0.501 20 0 DCADLN COc1ccc(C[NH2+]Cc2cn(CC(=O)[O-])nn2)c(OC)c1 ZINC000901803067 663368480 /nfs/dbraw/zinc/36/84/80/663368480.db2.gz NQKJFBHPRQNNSD-UHFFFAOYSA-N 0 2 306.322 0.670 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000938276504 663406711 /nfs/dbraw/zinc/40/67/11/663406711.db2.gz DLSXBWPLLNSHDK-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000938276504 663406712 /nfs/dbraw/zinc/40/67/12/663406712.db2.gz DLSXBWPLLNSHDK-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cnc(C)cn1)[C@@H]1CCOC1 ZINC000903656733 663473375 /nfs/dbraw/zinc/47/33/75/663473375.db2.gz RRMCHSJANLDOFU-GHMZBOCLSA-N 0 2 301.368 0.578 20 0 DCADLN COCc1ccnc(NS(=O)(=O)c2ncn(C)c2Cl)n1 ZINC000903675241 663473677 /nfs/dbraw/zinc/47/36/77/663473677.db2.gz YECYMSQTSFVVBQ-UHFFFAOYSA-N 0 2 317.758 0.811 20 0 DCADLN C[NH+]1CC2(C1)CCN(C(=O)c1cc([C@H]3CCC[N@@H+]3C)[nH]n1)C2 ZINC000907763060 663715743 /nfs/dbraw/zinc/71/57/43/663715743.db2.gz JHZSTPCODBOEBY-CQSZACIVSA-N 0 2 303.410 0.954 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000908989122 663777849 /nfs/dbraw/zinc/77/78/49/663777849.db2.gz MESBJPUNMBIQBQ-IJLUTSLNSA-N 0 2 307.350 0.996 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000909216221 663785812 /nfs/dbraw/zinc/78/58/12/663785812.db2.gz DXLYQMWCLHJQGW-CQSZACIVSA-N 0 2 315.329 0.727 20 0 DCADLN C/C(=C/C(=O)N1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC000909678389 663792827 /nfs/dbraw/zinc/79/28/27/663792827.db2.gz LUMYZEZQPJFIOI-KFHWJQRWSA-N 0 2 322.405 0.977 20 0 DCADLN Cc1cccn2cc(CC(=O)N[C@]3(CC(=O)[O-])CCOC3)[nH+]c12 ZINC000909700143 663795440 /nfs/dbraw/zinc/79/54/40/663795440.db2.gz RBSSMCGJOZLLKU-INIZCTEOSA-N 0 2 317.345 0.935 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000910148355 663850067 /nfs/dbraw/zinc/85/00/67/663850067.db2.gz ATNRADJIMZUVLG-MNOVXSKESA-N 0 2 309.391 0.722 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@H]2CCn3c[nH+]cc3C2)[C@@](C)(C(=O)[O-])C1 ZINC000910268848 663862291 /nfs/dbraw/zinc/86/22/91/663862291.db2.gz XYFCWUCSHKXFPX-ITDIGPHOSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])[C@@]1(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)CCCOC1 ZINC000910306758 663866944 /nfs/dbraw/zinc/86/69/44/663866944.db2.gz PZRBRAKRULDQBU-IAQYHMDHSA-N 0 2 307.350 0.443 20 0 DCADLN O=C([O-])[C@H](CC1CCOCC1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000910450579 663884787 /nfs/dbraw/zinc/88/47/87/663884787.db2.gz DRXMEHKJLQISCY-JSGCOSHPSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000910590203 663910352 /nfs/dbraw/zinc/91/03/52/663910352.db2.gz LCEIISOSMFQVSI-QJPTWQEYSA-N 0 2 307.350 0.584 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CO1 ZINC000911170750 664000849 /nfs/dbraw/zinc/00/08/49/664000849.db2.gz ZJRLVFFUNMRJFJ-YPMHNXCESA-N 0 2 319.361 0.853 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCCc2cn3c([nH+]2)CCCC3)O[C@H]1C(=O)[O-] ZINC000911221422 664007952 /nfs/dbraw/zinc/00/79/52/664007952.db2.gz CEFRKTKPUSDFBK-MPKXVKKWSA-N 0 2 321.377 0.756 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)Cn3cc[nH+]c3)CC2)s1 ZINC000911760300 664108730 /nfs/dbraw/zinc/10/87/30/664108730.db2.gz JWZWDLGNEJQYQU-UHFFFAOYSA-N 0 2 320.374 0.992 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2c(C(F)(F)F)cnn2C)C(=O)N1C ZINC000912816796 664234346 /nfs/dbraw/zinc/23/43/46/664234346.db2.gz HKPJKAKQSVBYNX-YFKPBYRVSA-N 0 2 319.243 0.366 20 0 DCADLN CN(C)c1ccc(CCC(=O)NCc2nnc(CO)[nH]2)cc1 ZINC000912940956 664247587 /nfs/dbraw/zinc/24/75/87/664247587.db2.gz FBZFPAQTDSLLGX-UHFFFAOYSA-N 0 2 303.366 0.612 20 0 DCADLN Cc1nccc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991830447 664578871 /nfs/dbraw/zinc/57/88/71/664578871.db2.gz ZXFIPSLWXXYSFR-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1nccc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000991830447 664578873 /nfs/dbraw/zinc/57/88/73/664578873.db2.gz ZXFIPSLWXXYSFR-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCC12CC2 ZINC001014928184 664586156 /nfs/dbraw/zinc/58/61/56/664586156.db2.gz SEKCWMIASUWPPC-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1cc(C)nc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030587898 664594255 /nfs/dbraw/zinc/59/42/55/664594255.db2.gz NXBQVKVTLJKMEF-UHFFFAOYSA-N 0 2 302.338 0.136 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000953830157 664614335 /nfs/dbraw/zinc/61/43/35/664614335.db2.gz OTVASLJFPUWLEF-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(C(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC000953830157 664614338 /nfs/dbraw/zinc/61/43/38/664614338.db2.gz OTVASLJFPUWLEF-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN O=C(NNC(=O)c1ccc2c(c1)OCCO2)c1cscn1 ZINC000063474256 664629595 /nfs/dbraw/zinc/62/95/95/664629595.db2.gz CJYXMCINETYDFW-UHFFFAOYSA-N 0 2 305.315 0.989 20 0 DCADLN Cc1cc(C)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1 ZINC001030710276 664643404 /nfs/dbraw/zinc/64/34/04/664643404.db2.gz YVAQRYHONVSEJU-UHFFFAOYSA-N 0 2 316.365 0.445 20 0 DCADLN O=C(NC1C[NH+](C[C@@H]2CCCOC2)C1)[C@@H]1CCCc2n[nH]nc21 ZINC001030860051 664689053 /nfs/dbraw/zinc/68/90/53/664689053.db2.gz TXTRHWYVZGQYNG-WCQYABFASA-N 0 2 319.409 0.452 20 0 DCADLN CCOC1CC2(C[C@@H]2C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030954343 664733285 /nfs/dbraw/zinc/73/32/85/664733285.db2.gz ZQMNCSUQJKQTNC-LOIXOFCESA-N 0 2 321.381 0.016 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCOc1ccccc1 ZINC000730515555 664783698 /nfs/dbraw/zinc/78/36/98/664783698.db2.gz PKHMBZIVSYBIMN-UHFFFAOYSA-N 0 2 313.317 0.266 20 0 DCADLN C[C@H]1[C@H](NC(=O)C(C)(F)F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992985033 664788799 /nfs/dbraw/zinc/78/87/99/664788799.db2.gz UTAKARSFCVRGTF-JGVFFNPUSA-N 0 2 303.313 0.635 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccc[nH]2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993036215 664794520 /nfs/dbraw/zinc/79/45/20/664794520.db2.gz VYROILLSMIYMCU-NXEZZACHSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccc[nH]2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993036215 664794521 /nfs/dbraw/zinc/79/45/21/664794521.db2.gz VYROILLSMIYMCU-NXEZZACHSA-N 0 2 304.354 0.621 20 0 DCADLN CCCn1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1 ZINC001031144051 664803341 /nfs/dbraw/zinc/80/33/41/664803341.db2.gz PKCXGLYXWBARAI-UHFFFAOYSA-N 0 2 319.369 0.039 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C2CC=CC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993169167 664855948 /nfs/dbraw/zinc/85/59/48/664855948.db2.gz HOVIGPJWOHFTEY-ZYHUDNBSSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C2CC=CC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993169167 664855957 /nfs/dbraw/zinc/85/59/57/664855957.db2.gz HOVIGPJWOHFTEY-ZYHUDNBSSA-N 0 2 305.382 0.946 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C)co1 ZINC000993232718 664914392 /nfs/dbraw/zinc/91/43/92/664914392.db2.gz INEULEDWIICPTK-WPRPVWTQSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cc[nH]c2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993369638 664949160 /nfs/dbraw/zinc/94/91/60/664949160.db2.gz ZVNDMIXLNHJHMB-ONGXEEELSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cc[nH]c2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993369638 664949161 /nfs/dbraw/zinc/94/91/61/664949161.db2.gz ZVNDMIXLNHJHMB-ONGXEEELSA-N 0 2 304.354 0.621 20 0 DCADLN COc1ccsc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031290207 664967877 /nfs/dbraw/zinc/96/78/77/664967877.db2.gz CLRCEIDWVLKXMV-UHFFFAOYSA-N 0 2 309.351 0.195 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2c1CCC2 ZINC001031468163 665163060 /nfs/dbraw/zinc/16/30/60/665163060.db2.gz QBEYRXVBEWJNCR-UHFFFAOYSA-N 0 2 313.361 0.613 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2C[NH+](Cc3ccc(F)cn3)C2)c1[O-] ZINC001031616609 665233283 /nfs/dbraw/zinc/23/32/83/665233283.db2.gz AHRRWAAUZHPQTH-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032531696 665314219 /nfs/dbraw/zinc/31/42/19/665314219.db2.gz FLMSUUTUNHTLGQ-YFKTTZPYSA-N 0 2 321.381 0.111 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000938469636 665372704 /nfs/dbraw/zinc/37/27/04/665372704.db2.gz OKGYGFKBKNXVNZ-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000938469636 665372705 /nfs/dbraw/zinc/37/27/05/665372705.db2.gz OKGYGFKBKNXVNZ-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)C[C@H]2C)c1[O-] ZINC000941964151 666087909 /nfs/dbraw/zinc/08/79/09/666087909.db2.gz NYYMDGKSGLYTSW-KCJUWKMLSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)C[C@H]2C)c1[O-] ZINC000941964151 666087910 /nfs/dbraw/zinc/08/79/10/666087910.db2.gz NYYMDGKSGLYTSW-KCJUWKMLSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)C[C@H]2C)c1[O-] ZINC000941964155 666087913 /nfs/dbraw/zinc/08/79/13/666087913.db2.gz NYYMDGKSGLYTSW-LDYMZIIASA-N 0 2 320.353 0.447 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)C[C@H]2C)c1[O-] ZINC000941964155 666087914 /nfs/dbraw/zinc/08/79/14/666087914.db2.gz NYYMDGKSGLYTSW-LDYMZIIASA-N 0 2 320.353 0.447 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@]12C[C@H]1COC2 ZINC001002405211 666168555 /nfs/dbraw/zinc/16/85/55/666168555.db2.gz QSXIFCKVDRETHP-AUTRQRHGSA-N 0 2 324.274 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@]12C[C@H]1COC2 ZINC001002405211 666168556 /nfs/dbraw/zinc/16/85/56/666168556.db2.gz QSXIFCKVDRETHP-AUTRQRHGSA-N 0 2 324.274 0.498 20 0 DCADLN C[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCO1 ZINC001003588382 666330825 /nfs/dbraw/zinc/33/08/25/666330825.db2.gz WBGUZMYOHMGVQR-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1cc(C(=O)N2CCC[C@@H]2CNC(=O)c2ncn[nH]2)[nH]n1 ZINC000943880841 666340253 /nfs/dbraw/zinc/34/02/53/666340253.db2.gz RNWMDPWJRIGWEI-SNVBAGLBSA-N 0 2 317.353 0.125 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1CCSCC1 ZINC000733226079 666351396 /nfs/dbraw/zinc/35/13/96/666351396.db2.gz XULRXRWYXRUASI-UHFFFAOYSA-N 0 2 307.379 0.331 20 0 DCADLN Cc1cc(F)ccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032141542 666371961 /nfs/dbraw/zinc/37/19/61/666371961.db2.gz AAGAVILZJYDHHL-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN CN(C(=O)[C@H]1CC=CCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032902504 666381133 /nfs/dbraw/zinc/38/11/33/666381133.db2.gz TUIDJDKSJSOPTM-RYUDHWBXSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@H]1CC=CCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032902504 666381135 /nfs/dbraw/zinc/38/11/35/666381135.db2.gz TUIDJDKSJSOPTM-RYUDHWBXSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)c1cccn1C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032917998 666390272 /nfs/dbraw/zinc/39/02/72/666390272.db2.gz JECAAOFVHDUDPT-JTQLQIEISA-N 0 2 304.354 0.195 20 0 DCADLN CN(C(=O)c1cccn1C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032917998 666390273 /nfs/dbraw/zinc/39/02/73/666390273.db2.gz JECAAOFVHDUDPT-JTQLQIEISA-N 0 2 304.354 0.195 20 0 DCADLN Cc1cc(C(=O)N2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cn[nH]n2)C3)n[nH]1 ZINC001003925967 666407512 /nfs/dbraw/zinc/40/75/12/666407512.db2.gz HGTLHCSRWWYXRK-LNLATYFQSA-N 0 2 315.337 0.012 20 0 DCADLN CN(C(=O)c1ccc(F)cn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033027901 666438543 /nfs/dbraw/zinc/43/85/43/666438543.db2.gz BARVHYXZZXFEIC-JTQLQIEISA-N 0 2 320.328 0.391 20 0 DCADLN CN(C(=O)C1(C)CC=CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033392284 666698122 /nfs/dbraw/zinc/69/81/22/666698122.db2.gz XNMYLLMORKSOOH-NSHDSACASA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)C1(C)CC=CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033392284 666698124 /nfs/dbraw/zinc/69/81/24/666698124.db2.gz XNMYLLMORKSOOH-NSHDSACASA-N 0 2 305.382 0.899 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005148648 666709470 /nfs/dbraw/zinc/70/94/70/666709470.db2.gz IPYUUGXPOFYCHZ-RYUDHWBXSA-N 0 2 323.397 0.358 20 0 DCADLN CN(C(=O)C[C@@H]1CCCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033666718 666787233 /nfs/dbraw/zinc/78/72/33/666787233.db2.gz FCLTYYIFGAATLC-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)C[C@@H]1CCCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033666718 666787235 /nfs/dbraw/zinc/78/72/35/666787235.db2.gz FCLTYYIFGAATLC-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)C1=CCOCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033803969 666834852 /nfs/dbraw/zinc/83/48/52/666834852.db2.gz MXCWYSBTAAIUGJ-GFCCVEGCSA-N 0 2 321.381 0.280 20 0 DCADLN CCN(C(=O)C1=CCOCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033803969 666834854 /nfs/dbraw/zinc/83/48/54/666834854.db2.gz MXCWYSBTAAIUGJ-GFCCVEGCSA-N 0 2 321.381 0.280 20 0 DCADLN C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2cc(=O)[nH][n-]2)CC1 ZINC000734176933 666852728 /nfs/dbraw/zinc/85/27/28/666852728.db2.gz GHDGPPLCYLJORR-LLVKDONJSA-N 0 2 301.350 0.163 20 0 DCADLN O=C(c1ccncc1F)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032329316 666922194 /nfs/dbraw/zinc/92/21/94/666922194.db2.gz IAUXUJMPBINKKV-IUCAKERBSA-N 0 2 318.312 0.143 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCOC1 ZINC001034118490 666926551 /nfs/dbraw/zinc/92/65/51/666926551.db2.gz DXISUPVBCIFHLU-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCOC1 ZINC001034118490 666926554 /nfs/dbraw/zinc/92/65/54/666926554.db2.gz DXISUPVBCIFHLU-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2ncc3c(F)cccn32)C1=O ZINC000861446730 666928217 /nfs/dbraw/zinc/92/82/17/666928217.db2.gz LXDLPXRMXJOASV-AWEZNQCLSA-N 0 2 319.296 0.839 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccsn1 ZINC001034265889 666970713 /nfs/dbraw/zinc/97/07/13/666970713.db2.gz SFNYRTDCEUFXOJ-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccsn1 ZINC001034265889 666970715 /nfs/dbraw/zinc/97/07/15/666970715.db2.gz SFNYRTDCEUFXOJ-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN O=C(NN1CCS(=O)(=O)CC1)c1c(O)cc(F)cc1F ZINC000862733350 667077067 /nfs/dbraw/zinc/07/70/67/667077067.db2.gz CZWGUIAYIPNUTD-UHFFFAOYSA-N 0 2 306.290 0.046 20 0 DCADLN C[C@@H]1CC(F)(F)CCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000863012868 667109015 /nfs/dbraw/zinc/10/90/15/667109015.db2.gz JTEGQSFVOQNGDU-MRVPVSSYSA-N 0 2 311.292 0.717 20 0 DCADLN O=C(c1ccoc1Cl)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032376917 667121840 /nfs/dbraw/zinc/12/18/40/667121840.db2.gz YKEYWTLMBHPMNY-YUMQZZPRSA-N 0 2 323.740 0.856 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCc3c(O)cccc3C2)S1 ZINC000863307939 667126313 /nfs/dbraw/zinc/12/63/13/667126313.db2.gz NOURQPAGTUVLRZ-LLVKDONJSA-N 0 2 305.359 0.833 20 0 DCADLN CS(=O)(=O)CC(=O)C1(NC(=O)C(F)(F)F)CCCC1 ZINC000863344463 667133281 /nfs/dbraw/zinc/13/32/81/667133281.db2.gz HCUALSFKMFQHNC-UHFFFAOYSA-N 0 2 301.286 0.591 20 0 DCADLN CC1(C)CC(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)C1 ZINC001032386274 667146050 /nfs/dbraw/zinc/14/60/50/667146050.db2.gz ZEYRYXHUXNDOFW-QWRGUYRKSA-N 0 2 305.382 0.732 20 0 DCADLN Cc1occc1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035285098 667287858 /nfs/dbraw/zinc/28/78/58/667287858.db2.gz XOQIUIMZBZSPGM-JTQLQIEISA-N 0 2 321.337 0.043 20 0 DCADLN Cc1nc(C(=O)N2CC[C@](C)(NC(=O)C(F)(F)F)C2)no1 ZINC000866179198 667367556 /nfs/dbraw/zinc/36/75/56/667367556.db2.gz KWBKWBZJQOMFOY-JTQLQIEISA-N 0 2 306.244 0.661 20 0 DCADLN C[C@H](C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1)C1CC1 ZINC001035683941 667398374 /nfs/dbraw/zinc/39/83/74/667398374.db2.gz OIKUBPLRCMERGE-NSHDSACASA-N 0 2 319.409 0.981 20 0 DCADLN CC(C)(O)CC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035696212 667401375 /nfs/dbraw/zinc/40/13/75/667401375.db2.gz KXQOTZQMJWAUDN-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NC[C@H](O)c1ccccc1F ZINC000866833849 667404075 /nfs/dbraw/zinc/40/40/75/667404075.db2.gz UYIICYRRKFJCHE-WLRWDXFRSA-N 0 2 324.399 0.811 20 0 DCADLN C[C@H]1C[C@@H]1CC(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005929634 667462897 /nfs/dbraw/zinc/46/28/97/667462897.db2.gz KPOLUHDQWIZZCC-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN CC1(NS(=O)(=O)N=[S@](C)(=O)c2ccccc2)COC1 ZINC000867630782 667477618 /nfs/dbraw/zinc/47/76/18/667477618.db2.gz MCMPKVNMDHTTFR-GOSISDBHSA-N 0 2 304.393 0.767 20 0 DCADLN CCOC(=O)C1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC000742465762 667792005 /nfs/dbraw/zinc/79/20/05/667792005.db2.gz KPWFFYSRMQTFBM-VIFPVBQESA-N 0 2 313.379 0.345 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2nc3ccccc3[nH]2)S1 ZINC000742491362 667792273 /nfs/dbraw/zinc/79/22/73/667792273.db2.gz LBHLKZSSLJKNDQ-SNVBAGLBSA-N 0 2 317.374 0.778 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCOc2ccccc2F)S1 ZINC000742479097 667792735 /nfs/dbraw/zinc/79/27/35/667792735.db2.gz UOILRMDQBGWNBM-JTQLQIEISA-N 0 2 311.338 0.877 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cccc3c2OCO3)S1 ZINC000742570525 667793719 /nfs/dbraw/zinc/79/37/19/667793719.db2.gz RWIMSFHUBGKDRQ-VIFPVBQESA-N 0 2 307.331 0.588 20 0 DCADLN COc1nc(C)cc(C)c1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742625456 667795695 /nfs/dbraw/zinc/79/56/95/667795695.db2.gz CQJYBVRBUPQCHK-SNVBAGLBSA-N 0 2 322.390 0.880 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC(Oc3ccccc3)C2)S1 ZINC000742627904 667795813 /nfs/dbraw/zinc/79/58/13/667795813.db2.gz LXOBAVPBXIQGBL-LLVKDONJSA-N 0 2 305.359 0.833 20 0 DCADLN Cc1nc(C)c(CCNC(=O)C[C@H]2SC(=N)NC2=O)s1 ZINC000742648846 667796229 /nfs/dbraw/zinc/79/62/29/667796229.db2.gz DPNPYIZMIQSPQU-SECBINFHSA-N 0 2 312.420 0.975 20 0 DCADLN CCCN(CC(=O)OCC)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742672281 667796776 /nfs/dbraw/zinc/79/67/76/667796776.db2.gz OZWYVKFSZHGXGR-MRVPVSSYSA-N 0 2 301.368 0.345 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2CCC23CCOCC3)S1 ZINC000871577013 667838438 /nfs/dbraw/zinc/83/84/38/667838438.db2.gz GQPJIJNQCATVGS-VHSXEESVSA-N 0 2 311.407 0.866 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)S1 ZINC000745422205 667847702 /nfs/dbraw/zinc/84/77/02/667847702.db2.gz CMZDUIPJIPPSOY-QMMMGPOBSA-N 0 2 305.319 0.763 20 0 DCADLN Cc1c(F)cc(S(=O)(=O)NCC[NH+]2CCC2)cc1C(=O)[O-] ZINC000871923914 667857136 /nfs/dbraw/zinc/85/71/36/667857136.db2.gz UJZLBDPSPFLOCD-UHFFFAOYSA-N 0 2 316.354 0.816 20 0 DCADLN COCc1nc(Cl)cc(N2N=C(C)[C@@H](NC(C)=O)C2=O)n1 ZINC000871958643 667859431 /nfs/dbraw/zinc/85/94/31/667859431.db2.gz ZFCSMUFAERIIDC-LLVKDONJSA-N 0 2 311.729 0.504 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)N[C@H](CO)CC(F)F ZINC000872479764 667901277 /nfs/dbraw/zinc/90/12/77/667901277.db2.gz PQMBWSZOEPOBPC-ULCDLSAGSA-N 0 2 322.399 0.733 20 0 DCADLN CCCCCOC1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000748405252 667927159 /nfs/dbraw/zinc/92/71/59/667927159.db2.gz HROOMYMWCLHJLB-UHFFFAOYSA-N 0 2 319.365 0.489 20 0 DCADLN C[C@@H](OC(=O)c1cc(F)c(O)c(F)c1)C(=O)N1CCNC1=O ZINC000748484009 667930236 /nfs/dbraw/zinc/93/02/36/667930236.db2.gz VRUMGOJXYQARTI-ZCFIWIBFSA-N 0 2 314.244 0.768 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nc3cc(F)ccc3[nH]2)S1 ZINC000748717700 667935557 /nfs/dbraw/zinc/93/55/57/667935557.db2.gz FONHXSRIUNSSIK-VIFPVBQESA-N 0 2 321.337 0.875 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)OCCCC(N)=O)cc2[nH]c1=S ZINC000751856169 668008423 /nfs/dbraw/zinc/00/84/23/668008423.db2.gz OPXYDUCWMBKDCA-UHFFFAOYSA-N 0 2 321.358 0.645 20 0 DCADLN CCOC(=O)[C@@H](CC1CC1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000752724357 668021204 /nfs/dbraw/zinc/02/12/04/668021204.db2.gz KMCKIYZSXGSARP-RKDXNWHRSA-N 0 2 313.379 0.391 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2COc3ccc(F)cc3C2)S1 ZINC000752889549 668023045 /nfs/dbraw/zinc/02/30/45/668023045.db2.gz GASDXCFZGRTWTC-KOLCDFICSA-N 0 2 323.349 0.802 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](CCO)C2CCCCC2)S1 ZINC000757076917 668111796 /nfs/dbraw/zinc/11/17/96/668111796.db2.gz SGWFQVNCCQHSBE-MNOVXSKESA-N 0 2 313.423 0.990 20 0 DCADLN CCn1cc(C(=O)NOCC(N)=O)c(=O)c2cc(Cl)ccc21 ZINC000758455923 668175806 /nfs/dbraw/zinc/17/58/06/668175806.db2.gz VOMHFUFVMJJWAG-UHFFFAOYSA-N 0 2 323.736 0.822 20 0 DCADLN Cc1cccc2c1CN(C(=O)Cn1[nH]cc3c(=O)ncnc1-3)CC2 ZINC000759805732 668239383 /nfs/dbraw/zinc/23/93/83/668239383.db2.gz QDSPKUDQGNJLRA-UHFFFAOYSA-N 0 2 323.356 0.965 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H](c1cccnc1)C1CC1 ZINC000760009470 668250295 /nfs/dbraw/zinc/25/02/95/668250295.db2.gz GBUXKXCSQXBWGD-CQSZACIVSA-N 0 2 324.344 0.734 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCC[C@@H]1CCCCO1 ZINC000760727187 668285007 /nfs/dbraw/zinc/28/50/07/668285007.db2.gz UNOJWHRVGQXHJH-NSHDSACASA-N 0 2 319.365 0.537 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](O)Cc2ccccc2)S1 ZINC000762249860 668353474 /nfs/dbraw/zinc/35/34/74/668353474.db2.gz XIVJQDFICJDUGN-QWRGUYRKSA-N 0 2 307.375 0.263 20 0 DCADLN COC1(c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)CCC1 ZINC000762386940 668358965 /nfs/dbraw/zinc/35/89/65/668358965.db2.gz FXIBRBJYNVIPPJ-UHFFFAOYSA-N 0 2 302.294 0.528 20 0 DCADLN CO[N-]C(=O)CNC(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000927220445 668456270 /nfs/dbraw/zinc/45/62/70/668456270.db2.gz RQZPHUHWUQXONJ-UHFFFAOYSA-N 0 2 307.354 0.345 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@@H]1CC[C@H]2C[C@H]21 ZINC000927490135 668470808 /nfs/dbraw/zinc/47/08/08/668470808.db2.gz NURHNXMSAXYVBD-DCAQKATOSA-N 0 2 301.350 0.624 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N3CC(C)(O)C3)cc2[nH]c1=S ZINC000765454442 668492712 /nfs/dbraw/zinc/49/27/12/668492712.db2.gz WTOVSDNRRIHBDW-UHFFFAOYSA-N 0 2 319.386 0.912 20 0 DCADLN O=C(NN1CN=NC1=O)c1ccc(OCC(F)(F)F)cn1 ZINC000765907714 668513511 /nfs/dbraw/zinc/51/35/11/668513511.db2.gz YDBKMAIEGMYLFD-UHFFFAOYSA-N 0 2 303.200 0.704 20 0 DCADLN C[C@@H](O)[C@@H](CO)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000839801081 668709100 /nfs/dbraw/zinc/70/91/00/668709100.db2.gz MVZKHJRUPCIIFE-LDYMZIIASA-N 0 2 309.297 0.189 20 0 DCADLN CCCc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n(C)n1 ZINC000772536441 668777307 /nfs/dbraw/zinc/77/73/07/668777307.db2.gz SAJOOXSJYBEMFF-UHFFFAOYSA-N 0 2 315.337 0.396 20 0 DCADLN C[C@H](NC(=O)C(C)(C)C)C(=O)NCCc1n[nH]c(=S)o1 ZINC000773107169 668792730 /nfs/dbraw/zinc/79/27/30/668792730.db2.gz QTXXUOQGDRDCAA-ZETCQYMHSA-N 0 2 300.384 0.568 20 0 DCADLN COC(=O)COc1cccc(C(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774935640 668851993 /nfs/dbraw/zinc/85/19/93/668851993.db2.gz YNIZHFIGDXFJSR-UHFFFAOYSA-N 0 2 307.262 0.419 20 0 DCADLN Cc1nc2ccnn2c(C)c1CCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774931580 668852039 /nfs/dbraw/zinc/85/20/39/668852039.db2.gz CXGBHRVRUWAUGD-UHFFFAOYSA-N 0 2 316.321 0.846 20 0 DCADLN COc1ccc(C=O)c(OCC(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774952912 668852263 /nfs/dbraw/zinc/85/22/63/668852263.db2.gz XRSGQBVNSGAVOQ-UHFFFAOYSA-N 0 2 307.262 0.454 20 0 DCADLN O=C(CCCNC(=O)c1cccnc1)OCc1n[nH]c(=O)[nH]1 ZINC000774971220 668852965 /nfs/dbraw/zinc/85/29/65/668852965.db2.gz HVXZPOPOIKOEMI-UHFFFAOYSA-N 0 2 305.294 0.159 20 0 DCADLN CCn1nc(C(=O)OCc2n[nH]c(=O)[nH]2)c(=O)c2ccccc21 ZINC000774960685 668853111 /nfs/dbraw/zinc/85/31/11/668853111.db2.gz LNWRZMZQZQPMLL-UHFFFAOYSA-N 0 2 315.289 0.597 20 0 DCADLN O=C(Cn1cnc(-c2ccccc2)n1)OCc1n[nH]c(=O)[nH]1 ZINC000774991168 668853787 /nfs/dbraw/zinc/85/37/87/668853787.db2.gz GFRPAPHMFCXYNK-UHFFFAOYSA-N 0 2 300.278 0.512 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cccc(-n2ccnn2)c1 ZINC000776539376 668877352 /nfs/dbraw/zinc/87/73/52/668877352.db2.gz YASLBWIHOKYCMT-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC000127292716 668914679 /nfs/dbraw/zinc/91/46/79/668914679.db2.gz LMNQJFJEFUNLFC-NEPJUHHUSA-N 0 2 318.333 0.063 20 0 DCADLN O=C(CNC(CO)(CO)C(F)(F)F)NOCc1ccccc1 ZINC000878979535 668960209 /nfs/dbraw/zinc/96/02/09/668960209.db2.gz SVQFGKZLPYFOFU-UHFFFAOYSA-N 0 2 322.283 0.110 20 0 DCADLN CCC[C@@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780511053 668990928 /nfs/dbraw/zinc/99/09/28/668990928.db2.gz LBNUVIKILFNWKV-LLVKDONJSA-N 0 2 301.387 0.650 20 0 DCADLN CCC[C@@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780511053 668990924 /nfs/dbraw/zinc/99/09/24/668990924.db2.gz LBNUVIKILFNWKV-LLVKDONJSA-N 0 2 301.387 0.650 20 0 DCADLN C[C@@](NC(=O)NCC[N@@H+]1CCOCC1(C)C)(C(=O)[O-])C1CC1 ZINC000780543413 668993094 /nfs/dbraw/zinc/99/30/94/668993094.db2.gz NZZHAIRTORTBOK-HNNXBMFYSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@](NC(=O)NCC[N@H+]1CCOCC1(C)C)(C(=O)[O-])C1CC1 ZINC000780543413 668993095 /nfs/dbraw/zinc/99/30/95/668993095.db2.gz NZZHAIRTORTBOK-HNNXBMFYSA-N 0 2 313.398 0.650 20 0 DCADLN CCOc1cc(C=CC(=O)NCc2n[nH]c(=O)[nH]2)ccc1O ZINC000780964940 669023062 /nfs/dbraw/zinc/02/30/62/669023062.db2.gz XYUXQJNGAACLEA-GQCTYLIASA-N 0 2 304.306 0.944 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCCN(C(=O)C3CC3)CC2)S1 ZINC000781117981 669030925 /nfs/dbraw/zinc/03/09/25/669030925.db2.gz DYBHOLHTWKFODK-JTQLQIEISA-N 0 2 324.406 0.014 20 0 DCADLN Cc1nnc(SCC(=O)NOCCO)n1Cc1ccccc1 ZINC000787295666 669365594 /nfs/dbraw/zinc/36/55/94/669365594.db2.gz YKTQXFQJFDFEAI-UHFFFAOYSA-N 0 2 322.390 0.767 20 0 DCADLN COc1nn(C)cc1NS(=O)(=O)c1cccc2c1COC2=O ZINC000788757670 669457139 /nfs/dbraw/zinc/45/71/39/669457139.db2.gz WILHQLSNMZHTNW-UHFFFAOYSA-N 0 2 323.330 0.900 20 0 DCADLN Cc1c(C(=O)OCc2n[nH]c(=O)[nH]2)nnn1CC1CCCC1 ZINC000789186770 669476493 /nfs/dbraw/zinc/47/64/93/669476493.db2.gz DJZRBTBAKCWXHQ-UHFFFAOYSA-N 0 2 306.326 0.957 20 0 DCADLN Cc1nnc(CNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)o1 ZINC000789900540 669517165 /nfs/dbraw/zinc/51/71/65/669517165.db2.gz UIEXJJFJGBYLDI-QMMMGPOBSA-N 0 2 308.260 0.697 20 0 DCADLN COC(=O)[C@]1(O)CCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000882851469 669604301 /nfs/dbraw/zinc/60/43/01/669604301.db2.gz KGRIHARTYWRSIW-ZDUSSCGKSA-N 0 2 301.245 0.420 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@H]1CCO[C@H]1C ZINC000793432986 669717386 /nfs/dbraw/zinc/71/73/86/669717386.db2.gz XLFGPRTXWCFTDW-WDEREUQCSA-N 0 2 305.334 0.842 20 0 DCADLN COc1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c(OC)n1 ZINC000794149115 669798793 /nfs/dbraw/zinc/79/87/93/669798793.db2.gz YJIHQBRFEQFITB-QMMMGPOBSA-N 0 2 324.362 0.271 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@@H]1CCC[C@H](O)C1 ZINC000795394157 669886700 /nfs/dbraw/zinc/88/67/00/669886700.db2.gz FFHAEWHMTNBTFV-ZJUUUORDSA-N 0 2 306.322 0.166 20 0 DCADLN O=C(c1ccncc1Cl)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950690842 670094561 /nfs/dbraw/zinc/09/45/61/670094561.db2.gz KIRKJDXXPUNFLY-UHFFFAOYSA-N 0 2 322.756 0.517 20 0 DCADLN O=C(NC[C@@H]1c2ccccc2CC[N@H+]1CCO)c1nnc[nH]1 ZINC000948969239 670228116 /nfs/dbraw/zinc/22/81/16/670228116.db2.gz CCPUPAPJWWUIQT-CYBMUJFWSA-N 0 2 301.350 0.126 20 0 DCADLN O=C(NC[C@@H]1c2ccccc2CC[N@@H+]1CCO)c1nnc[nH]1 ZINC000948969239 670228118 /nfs/dbraw/zinc/22/81/18/670228118.db2.gz CCPUPAPJWWUIQT-CYBMUJFWSA-N 0 2 301.350 0.126 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCCc1nnc(N)o1 ZINC000803149888 670237114 /nfs/dbraw/zinc/23/71/14/670237114.db2.gz ZWULQNIFIXNZCA-ZETCQYMHSA-N 0 2 323.275 0.014 20 0 DCADLN CCO[C@@H]1C[C@H]1COC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000193134380 670243204 /nfs/dbraw/zinc/24/32/04/670243204.db2.gz QJMMEABPDXTQKL-CMPLNLGQSA-N 0 2 305.334 0.842 20 0 DCADLN O=C([C@@H]1CC[C@@H]2C[C@@H]2CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949417195 670336874 /nfs/dbraw/zinc/33/68/74/670336874.db2.gz UABZHJAFAAXGKB-XYYAHUGASA-N 0 2 319.409 0.981 20 0 DCADLN O=C(c1cncc(Cl)c1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000951742602 670354244 /nfs/dbraw/zinc/35/42/44/670354244.db2.gz BNCUSYJGGNWXOI-UHFFFAOYSA-N 0 2 322.756 0.517 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@@H]1CCCCO1 ZINC000847250521 670527440 /nfs/dbraw/zinc/52/74/40/670527440.db2.gz NBJTZGQKOKXMSY-RYUDHWBXSA-N 0 2 319.365 0.535 20 0 DCADLN Cn1nc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1C1CC1 ZINC000811609707 670539339 /nfs/dbraw/zinc/53/93/39/670539339.db2.gz NKZOEGJNDYNKPU-UHFFFAOYSA-N 0 2 313.321 0.321 20 0 DCADLN Cc1[nH+][n-]c2nnc(NC(=O)CC3SC(=N)NC3=O)c-2c1C ZINC000854408675 670562982 /nfs/dbraw/zinc/56/29/82/670562982.db2.gz KWRCBSUQBMPMHZ-LURJTMIESA-N 0 2 319.350 0.465 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc(C2CC2)ccn1 ZINC000812358739 670577615 /nfs/dbraw/zinc/57/76/15/670577615.db2.gz FAXNABDZNLQIRN-UHFFFAOYSA-N 0 2 310.317 0.982 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@@H]3COC(=O)C3)=N2)cc1 ZINC000854556028 670588532 /nfs/dbraw/zinc/58/85/32/670588532.db2.gz GOUSUIVZORHCTA-VIFPVBQESA-N 0 2 317.301 0.632 20 0 DCADLN CCn1ncn(NC(=O)c2cn(CC(F)(F)F)nc2C)c1=O ZINC000854629650 670601554 /nfs/dbraw/zinc/60/15/54/670601554.db2.gz GORDAYOJOFSFCK-UHFFFAOYSA-N 0 2 318.259 0.516 20 0 DCADLN CC(C)(C)OC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC000854714629 670615705 /nfs/dbraw/zinc/61/57/05/670615705.db2.gz FPNSRDABVMUGPN-RQJHMYQMSA-N 0 2 318.267 0.889 20 0 DCADLN CC(C)(C)OC(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC000854714629 670615709 /nfs/dbraw/zinc/61/57/09/670615709.db2.gz FPNSRDABVMUGPN-RQJHMYQMSA-N 0 2 318.267 0.889 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c2ncnn21 ZINC000855327968 670697822 /nfs/dbraw/zinc/69/78/22/670697822.db2.gz NXBQIGQBOVBGGV-NKWVEPMBSA-N 0 2 319.287 0.857 20 0 DCADLN COc1ccnc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c1F ZINC000855808547 670757305 /nfs/dbraw/zinc/75/73/05/670757305.db2.gz XWNZFUSJKWONGJ-QMMMGPOBSA-N 0 2 312.326 0.402 20 0 DCADLN Cc1cc(C)nc(SCC(=O)NOCCN2CCCC2=O)n1 ZINC000856035749 670777832 /nfs/dbraw/zinc/77/78/32/670777832.db2.gz MOBYFJUZNFHBAJ-UHFFFAOYSA-N 0 2 324.406 0.856 20 0 DCADLN O=C(c1cncc(Cl)n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000816541234 670819974 /nfs/dbraw/zinc/81/99/74/670819974.db2.gz GZIMSSFLSWBZIY-SSDOTTSWSA-N 0 2 308.729 0.974 20 0 DCADLN COCC1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCCC1 ZINC000856454453 670821708 /nfs/dbraw/zinc/82/17/08/670821708.db2.gz XQHNNORKNOXSAV-UHFFFAOYSA-N 0 2 319.365 0.537 20 0 DCADLN Cc1ccoc1CN(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000817026751 670890437 /nfs/dbraw/zinc/89/04/37/670890437.db2.gz RONUQCPSXMEKAO-UHFFFAOYSA-N 0 2 301.306 0.631 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2C[C@@H](CO)c3ccccc32)S1 ZINC000817791172 670937451 /nfs/dbraw/zinc/93/74/51/670937451.db2.gz VGJRETTZXDIXER-KWQFWETISA-N 0 2 305.359 0.666 20 0 DCADLN COc1cccc(S([O-])=CC(=O)N2CC[N@H+](C)[C@H](C)C2)c1 ZINC000819319875 671111918 /nfs/dbraw/zinc/11/19/18/671111918.db2.gz VMNQJVLGYGYXNI-XUSGNXJCSA-N 0 2 310.419 0.965 20 0 DCADLN COc1cccc(S([O-])=CC(=O)N2CC[N@@H+](C)[C@H](C)C2)c1 ZINC000819319875 671111922 /nfs/dbraw/zinc/11/19/22/671111922.db2.gz VMNQJVLGYGYXNI-XUSGNXJCSA-N 0 2 310.419 0.965 20 0 DCADLN O=C(N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C(F)(F)C1(O)CCC1 ZINC000819715348 671135224 /nfs/dbraw/zinc/13/52/24/671135224.db2.gz AZLUFLIATUFWKY-MRVPVSSYSA-N 0 2 316.308 0.767 20 0 DCADLN CCn1ncn(NC(=O)c2csc(-c3cnccn3)n2)c1=O ZINC000820395850 671198467 /nfs/dbraw/zinc/19/84/67/671198467.db2.gz FUWDZEIFFMJEGI-UHFFFAOYSA-N 0 2 317.334 0.362 20 0 DCADLN CCOC(=O)[C@@H](C(=O)NCCc1n[nH]c(=S)o1)C(C)C ZINC000822043358 671304081 /nfs/dbraw/zinc/30/40/81/671304081.db2.gz GIQJUIKHPHFQPN-SECBINFHSA-N 0 2 301.368 0.852 20 0 DCADLN CSc1ccc(O)c(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)c1 ZINC000826833450 671502193 /nfs/dbraw/zinc/50/21/93/671502193.db2.gz DBJKEEPISKTZPH-LLVKDONJSA-N 0 2 321.362 0.841 20 0 DCADLN COc1ccc(CNC(=O)C[N@@H+]2CC[C@](F)(C(=O)[O-])C2)cc1 ZINC000832324449 671714538 /nfs/dbraw/zinc/71/45/38/671714538.db2.gz WPVLLVJWGIOYSG-OAHLLOKOSA-N 0 2 310.325 0.810 20 0 DCADLN COc1ccc(CNC(=O)C[N@H+]2CC[C@](F)(C(=O)[O-])C2)cc1 ZINC000832324449 671714539 /nfs/dbraw/zinc/71/45/39/671714539.db2.gz WPVLLVJWGIOYSG-OAHLLOKOSA-N 0 2 310.325 0.810 20 0 DCADLN C[C@@]1(C(=O)NOCCO)CC1(Br)Br ZINC000832411693 671718589 /nfs/dbraw/zinc/71/85/89/671718589.db2.gz ISEJZBIWJANONQ-LURJTMIESA-N 0 2 316.977 0.923 20 0 DCADLN O=C([O-])[C@H]([NH2+][C@H]1CCN(CC(F)(F)F)C1=O)c1cccnc1 ZINC000833168040 671746372 /nfs/dbraw/zinc/74/63/72/671746372.db2.gz SMYXTHLZDKISJC-VHSXEESVSA-N 0 2 317.267 0.960 20 0 DCADLN Cc1nccn1C[C@@H]1CCC[N@@H+]1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833918890 671750735 /nfs/dbraw/zinc/75/07/35/671750735.db2.gz QYQULVVOUDMKHG-STQMWFEESA-N 0 2 321.381 0.482 20 0 DCADLN Cc1nccn1C[C@@H]1CCC[N@H+]1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833918890 671750737 /nfs/dbraw/zinc/75/07/37/671750737.db2.gz QYQULVVOUDMKHG-STQMWFEESA-N 0 2 321.381 0.482 20 0 DCADLN Cc1[nH+]ccn1C[C@@H]1CCCN1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833918890 671750738 /nfs/dbraw/zinc/75/07/38/671750738.db2.gz QYQULVVOUDMKHG-STQMWFEESA-N 0 2 321.381 0.482 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)N[C@@H](CO)CC(F)F ZINC000867191504 671830914 /nfs/dbraw/zinc/83/09/14/671830914.db2.gz QQHZFSRGFJRCHS-SSDOTTSWSA-N 0 2 306.356 0.099 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](CO)CC2CCCCC2)S1 ZINC000841469867 671935093 /nfs/dbraw/zinc/93/50/93/671935093.db2.gz LZMDRCCNBBMLNM-WDEREUQCSA-N 0 2 313.423 0.990 20 0 DCADLN O=C([O-])c1ccc(NCCS(=O)(=O)C2CCOCC2)[nH+]c1 ZINC000843035919 672055382 /nfs/dbraw/zinc/05/53/82/672055382.db2.gz LOZOIFGEIVAJTG-UHFFFAOYSA-N 0 2 314.363 0.786 20 0 DCADLN Cn1c(C=O)ccc1C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843872273 672126400 /nfs/dbraw/zinc/12/64/00/672126400.db2.gz BCZVVEKRVDEPBS-UHFFFAOYSA-N 0 2 303.322 0.681 20 0 DCADLN O=C([C@@H](O)c1cccnc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843878105 672126839 /nfs/dbraw/zinc/12/68/39/672126839.db2.gz LMGOSXNTULUHAD-MNOVXSKESA-N 0 2 303.322 0.345 20 0 DCADLN CC(C)O[C@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000844770342 672201898 /nfs/dbraw/zinc/20/18/98/672201898.db2.gz UAXQYEBBVOVSEB-NSHDSACASA-N 0 2 319.365 0.487 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)[N-]C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845435484 672248104 /nfs/dbraw/zinc/24/81/04/672248104.db2.gz MZOFDQMRAYBGJX-MRVPVSSYSA-N 0 2 312.351 0.944 20 0 DCADLN COC[C@@H](C)S(=O)(=O)[N-]C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845467392 672250849 /nfs/dbraw/zinc/25/08/49/672250849.db2.gz BMOHIGHNECPDNV-SNVBAGLBSA-N 0 2 315.395 0.243 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@@](C)(O)c1ccccc1 ZINC000846411780 672311605 /nfs/dbraw/zinc/31/16/05/672311605.db2.gz SRJDNXOXVFPSSA-ZVWUFJHRSA-N 0 2 321.402 0.955 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@H](CO)CCF ZINC000846563620 672328088 /nfs/dbraw/zinc/32/80/88/672328088.db2.gz JEKNDEPFILIBDA-YUMQZZPRSA-N 0 2 302.268 0.526 20 0 DCADLN CN(C(=O)c1cncnc1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954122026 685948536 /nfs/dbraw/zinc/94/85/36/685948536.db2.gz YZGBMLUPYPRBKP-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1cncnc1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954122026 685948538 /nfs/dbraw/zinc/94/85/38/685948538.db2.gz YZGBMLUPYPRBKP-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN Cc1nn(C)cc1C[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038164502 694002236 /nfs/dbraw/zinc/00/22/36/694002236.db2.gz KHCALSHQPSBJSP-LBPRGKRZSA-N 0 2 318.381 0.470 20 0 DCADLN Cc1nn(C)cc1C[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038164502 694002240 /nfs/dbraw/zinc/00/22/40/694002240.db2.gz KHCALSHQPSBJSP-LBPRGKRZSA-N 0 2 318.381 0.470 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc2occc2[nH]1 ZINC001038311011 694040719 /nfs/dbraw/zinc/04/07/19/694040719.db2.gz RNOPPUWSIJSLIL-QMMMGPOBSA-N 0 2 316.321 0.589 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cncc(Cl)c1 ZINC001038808326 694198361 /nfs/dbraw/zinc/19/83/61/694198361.db2.gz JDSRPPPBUXVQLE-JTQLQIEISA-N 0 2 322.756 0.563 20 0 DCADLN CC(C)(F)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000961160861 688557954 /nfs/dbraw/zinc/55/79/54/688557954.db2.gz HQPGQZREHUYPFH-DSYKOEDSSA-N 0 2 318.242 0.323 20 0 DCADLN CC(C)(F)C(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC000961160861 688557957 /nfs/dbraw/zinc/55/79/57/688557957.db2.gz HQPGQZREHUYPFH-DSYKOEDSSA-N 0 2 318.242 0.323 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C(C)(F)F ZINC000964619195 689272108 /nfs/dbraw/zinc/27/21/08/689272108.db2.gz RDMBGJPOGYGCCH-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001039070849 694292473 /nfs/dbraw/zinc/29/24/73/694292473.db2.gz RKIWYJIHEOSNPS-HBNJOMJRSA-N 0 2 317.393 0.493 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000965973437 689597864 /nfs/dbraw/zinc/59/78/64/689597864.db2.gz BTVWYGOZTHXDIG-ONGXEEELSA-N 0 2 318.381 0.787 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1cnoc1 ZINC000967971578 690138863 /nfs/dbraw/zinc/13/88/63/690138863.db2.gz UHJGXXAEDLYFHU-KOLCDFICSA-N 0 2 320.353 0.068 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1cnoc1 ZINC000967971578 690138866 /nfs/dbraw/zinc/13/88/66/690138866.db2.gz UHJGXXAEDLYFHU-KOLCDFICSA-N 0 2 320.353 0.068 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1nccs1 ZINC000968463266 690281577 /nfs/dbraw/zinc/28/15/77/690281577.db2.gz AMJFKZQEHNEOFS-RKDXNWHRSA-N 0 2 322.394 0.607 20 0 DCADLN O=C(C1CCC1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039497492 694374361 /nfs/dbraw/zinc/37/43/61/694374361.db2.gz CNQJZIHUQDWTPQ-TXEJJXNPSA-N 0 2 305.382 0.876 20 0 DCADLN Cc1noc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001007568247 690705869 /nfs/dbraw/zinc/70/58/69/690705869.db2.gz FCAIDDZXYIBSQT-SNVBAGLBSA-N 0 2 320.353 0.510 20 0 DCADLN CC(=O)N1CCO[C@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001007673030 690725771 /nfs/dbraw/zinc/72/57/71/690725771.db2.gz NGGVDRNHXLOYGV-GXSJLCMTSA-N 0 2 312.263 0.737 20 0 DCADLN CC(=O)N1CCO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001007673030 690725768 /nfs/dbraw/zinc/72/57/68/690725768.db2.gz NGGVDRNHXLOYGV-GXSJLCMTSA-N 0 2 312.263 0.737 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC12CCC2 ZINC001008700952 690912317 /nfs/dbraw/zinc/91/23/17/690912317.db2.gz YGFLTMRLGGSUCP-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN O=C(c1cnccn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001009991275 691141977 /nfs/dbraw/zinc/14/19/77/691141977.db2.gz FRUGMBQKXYKCCI-SECBINFHSA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1cnccn1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001009991275 691141979 /nfs/dbraw/zinc/14/19/79/691141979.db2.gz FRUGMBQKXYKCCI-SECBINFHSA-N 0 2 320.246 0.661 20 0 DCADLN Cc1ccncc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010426516 691274057 /nfs/dbraw/zinc/27/40/57/691274057.db2.gz FKGLQTSPWKAYAM-JTQLQIEISA-N 0 2 302.338 0.218 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001010436770 691278750 /nfs/dbraw/zinc/27/87/50/691278750.db2.gz IHLDAIVVXIGYGZ-MEWQQHAOSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@]1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC=CCC1 ZINC001014555247 692041923 /nfs/dbraw/zinc/04/19/23/692041923.db2.gz XMOXXYMVTWTDIB-NHYWBVRUSA-N 0 2 305.382 0.947 20 0 DCADLN CC(C)c1n[nH]cc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015065394 692178369 /nfs/dbraw/zinc/17/83/69/692178369.db2.gz NMSVWFGQGDPOIE-SECBINFHSA-N 0 2 319.369 0.361 20 0 DCADLN CC(C)c1n[nH]cc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015065397 692178508 /nfs/dbraw/zinc/17/85/08/692178508.db2.gz NMSVWFGQGDPOIE-VIFPVBQESA-N 0 2 319.369 0.361 20 0 DCADLN Cc1cncc(C)c1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015268094 692244568 /nfs/dbraw/zinc/24/45/68/692244568.db2.gz FISCULNZEGTVRR-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(F)c1F ZINC001015626446 692370275 /nfs/dbraw/zinc/37/02/75/692370275.db2.gz AKBRMYIQMMZWMH-QMMMGPOBSA-N 0 2 323.303 0.793 20 0 DCADLN CCc1ccoc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015660602 692384658 /nfs/dbraw/zinc/38/46/58/692384658.db2.gz FRQKIGPNNZVSLW-JTQLQIEISA-N 0 2 305.338 0.670 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ocnc1C1CC1 ZINC001015703581 692405777 /nfs/dbraw/zinc/40/57/77/692405777.db2.gz KBBHZJIFRKHCEZ-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN CCc1cc(C)c(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015999584 692542049 /nfs/dbraw/zinc/54/20/49/692542049.db2.gz QTWJJZVWKVMEBO-JTQLQIEISA-N 0 2 319.365 0.978 20 0 DCADLN Cc1cc(F)ccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016044237 692556729 /nfs/dbraw/zinc/55/67/29/692556729.db2.gz ISZVXNABZAJWQP-LLVKDONJSA-N 0 2 319.340 0.962 20 0 DCADLN O=C(C[C@H]1CCCOC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016274996 692630372 /nfs/dbraw/zinc/63/03/72/692630372.db2.gz CZCLCGMYPBHWFR-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CCN(C(=O)[C@@H]3C[N@H+](C)CCO3)C2)sn1 ZINC001018664423 693187001 /nfs/dbraw/zinc/18/70/01/693187001.db2.gz DZMXIOQYRJJMQA-JSGCOSHPSA-N 0 2 324.450 0.473 20 0 DCADLN Cc1cnc(CN2CCCO[C@@H](CNC(=O)c3cnn[nH]3)C2)o1 ZINC001073674750 694765264 /nfs/dbraw/zinc/76/52/64/694765264.db2.gz MNQMVBMXBCGDHO-NSHDSACASA-N 0 2 320.353 0.122 20 0 DCADLN CC(F)(F)C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075484383 694953446 /nfs/dbraw/zinc/95/34/46/694953446.db2.gz JHPYCRZPXQMOOQ-JGVFFNPUSA-N 0 2 301.297 0.198 20 0 DCADLN O=C(C[C@H]1CCCO1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075576766 694960402 /nfs/dbraw/zinc/96/04/02/694960402.db2.gz FOGSQDNBKUHUGK-QJPTWQEYSA-N 0 2 321.381 0.112 20 0 DCADLN O=C(C[C@H]1CCCO1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075576766 694960403 /nfs/dbraw/zinc/96/04/03/694960403.db2.gz FOGSQDNBKUHUGK-QJPTWQEYSA-N 0 2 321.381 0.112 20 0 DCADLN CC1(C)CC[C@@H]1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076153523 695001813 /nfs/dbraw/zinc/00/18/13/695001813.db2.gz DRBFTKMKHAVBFR-QJPTWQEYSA-N 0 2 319.409 0.979 20 0 DCADLN CC1(C)CC[C@@H]1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076153523 695001814 /nfs/dbraw/zinc/00/18/14/695001814.db2.gz DRBFTKMKHAVBFR-QJPTWQEYSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(CC1=CCCCC1)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001076811130 695061380 /nfs/dbraw/zinc/06/13/80/695061380.db2.gz AIBFTQFKUWLPMM-VXGBXAGGSA-N 0 2 321.381 0.062 20 0 DCADLN COCCn1nc(C)c(NS(=O)(=O)c2cnn(C)c2)c1C ZINC001649715484 1157422752 /nfs/dbraw/zinc/42/27/52/1157422752.db2.gz IJZLKMCAWVSUPG-UHFFFAOYSA-N 0 2 313.383 0.681 20 0 DCADLN CNC(=O)Cn1cc(-n2c(O)c(CC(F)(F)F)[nH]c2=O)cn1 ZINC000348717383 1158903265 /nfs/dbraw/zinc/90/32/65/1158903265.db2.gz KWRSCAZETNSYLZ-SSDOTTSWSA-N 0 2 319.243 0.006 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001446644713 1159493891 /nfs/dbraw/zinc/49/38/91/1159493891.db2.gz ROAVVTJCKFLJEN-SVRRBLITSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001446644713 1159493896 /nfs/dbraw/zinc/49/38/96/1159493896.db2.gz ROAVVTJCKFLJEN-SVRRBLITSA-N 0 2 323.250 0.378 20 0 DCADLN COCCC(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447388683 1159629521 /nfs/dbraw/zinc/62/95/21/1159629521.db2.gz VFPGYSDAGCHIJX-ZXFLCMHBSA-N 0 2 300.252 0.687 20 0 DCADLN COCCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001447388683 1159629526 /nfs/dbraw/zinc/62/95/26/1159629526.db2.gz VFPGYSDAGCHIJX-ZXFLCMHBSA-N 0 2 300.252 0.687 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)CCn1cnnn1 ZINC001567975936 1160554027 /nfs/dbraw/zinc/55/40/27/1160554027.db2.gz SUGUYPILRHJAEJ-UHFFFAOYSA-N 0 2 314.309 0.501 20 0 DCADLN C[C@@H](CCCCNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001572748339 1163308448 /nfs/dbraw/zinc/30/84/48/1163308448.db2.gz OCHLNLYHCNFLLL-BQBZGAKWSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CCCCNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001572748339 1163308453 /nfs/dbraw/zinc/30/84/53/1163308453.db2.gz OCHLNLYHCNFLLL-BQBZGAKWSA-N 0 2 315.267 0.163 20 0 DCADLN CCC(CC)[C@H](C(=O)N[C@@H](CO)CC(=O)[O-])[NH+]1CCOCC1 ZINC001573700089 1163538625 /nfs/dbraw/zinc/53/86/25/1163538625.db2.gz RKDCLIUGUDYCIQ-TZMCWYRMSA-N 0 2 316.398 0.075 20 0 DCADLN CCCC(=O)NC[C@@H]1CCC[N@H+](Cc2nc(C(=O)[O-])no2)C1 ZINC001573721764 1163540323 /nfs/dbraw/zinc/54/03/23/1163540323.db2.gz INJQNFPYWXOSRC-JTQLQIEISA-N 0 2 310.354 0.896 20 0 DCADLN CCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2nc(C(=O)[O-])no2)C1 ZINC001573721764 1163540329 /nfs/dbraw/zinc/54/03/29/1163540329.db2.gz INJQNFPYWXOSRC-JTQLQIEISA-N 0 2 310.354 0.896 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C(=O)[O-])cc1 ZINC001573925164 1163588715 /nfs/dbraw/zinc/58/87/15/1163588715.db2.gz GEKSQZOHDQNFNB-GFCCVEGCSA-N 0 2 317.301 0.622 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C(=O)[O-])cc1 ZINC001573925164 1163588721 /nfs/dbraw/zinc/58/87/21/1163588721.db2.gz GEKSQZOHDQNFNB-GFCCVEGCSA-N 0 2 317.301 0.622 20 0 DCADLN Cc1onc(C[NH2+][C@H]2C(=O)NCC23CCOCC3)c1C(=O)[O-] ZINC001574207931 1163663251 /nfs/dbraw/zinc/66/32/51/1163663251.db2.gz HTNRRZVWXMEVNW-NSHDSACASA-N 0 2 309.322 0.066 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@@H](C(=O)[O-])[C@H](O)c1ccncc1 ZINC001574221682 1163668180 /nfs/dbraw/zinc/66/81/80/1163668180.db2.gz VQIYOOLOCNQNAS-ZIAGYGMSSA-N 0 2 318.333 0.051 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@@H](C(=O)[O-])[C@H](O)c1ccncc1 ZINC001574221417 1163668713 /nfs/dbraw/zinc/66/87/13/1163668713.db2.gz PPZADGUNWRXTRP-ZIAGYGMSSA-N 0 2 318.333 0.051 20 0 DCADLN O=C(C[C@H]1CCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001574986391 1163834764 /nfs/dbraw/zinc/83/47/64/1163834764.db2.gz OCRLSBWPKHXUHM-APPZFPTMSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(C[C@H]1CCOC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001574986391 1163834769 /nfs/dbraw/zinc/83/47/69/1163834769.db2.gz OCRLSBWPKHXUHM-APPZFPTMSA-N 0 2 300.252 0.546 20 0 DCADLN CCn1ccc(C(=O)NCC[C@H]2CC[N@H+](Cc3c[nH]nn3)C2)n1 ZINC001576694415 1164435033 /nfs/dbraw/zinc/43/50/33/1164435033.db2.gz VDTIYYAJLVWBEQ-LBPRGKRZSA-N 0 2 317.397 0.663 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCc1c[nH]nn1 ZINC001577503530 1164708008 /nfs/dbraw/zinc/70/80/08/1164708008.db2.gz WXDZPPGVQLKUDS-AGIUHOORSA-N 0 2 316.365 0.679 20 0 DCADLN CCOc1cc(C(=O)N=c2cc[nH]cc2-c2nn[nH]n2)on1 ZINC001578391505 1164996355 /nfs/dbraw/zinc/99/63/55/1164996355.db2.gz ZTHJROITTHUVGB-UHFFFAOYSA-N 0 2 301.266 0.323 20 0 DCADLN Cc1cc(C[NH3+])oc1C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001579595956 1165355419 /nfs/dbraw/zinc/35/54/19/1165355419.db2.gz NFOYOALCLSRLRJ-JTQLQIEISA-N 0 2 304.354 0.655 20 0 DCADLN CO[C@H](Cn1cncc(-c2nn[nH]n2)c1=O)c1ccc(F)cc1 ZINC001579595957 1165355882 /nfs/dbraw/zinc/35/58/82/1165355882.db2.gz MBWCBUSTMJIOTK-GFCCVEGCSA-N 0 2 316.296 0.950 20 0 DCADLN COCC1(CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)CC1 ZINC001579746885 1165386481 /nfs/dbraw/zinc/38/64/81/1165386481.db2.gz JBLOXSMSVJEXTF-UHFFFAOYSA-N 0 2 318.337 0.432 20 0 DCADLN C[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1cccs1 ZINC001580308644 1165566189 /nfs/dbraw/zinc/56/61/89/1165566189.db2.gz PIMJDNFFIGHEEV-ZCFIWIBFSA-N 0 2 319.350 0.901 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@H](O)C1(C)C ZINC001580598497 1165649788 /nfs/dbraw/zinc/64/97/88/1165649788.db2.gz XZGQTFLGAUTLOY-SECBINFHSA-N 0 2 318.337 0.261 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC001580601195 1165651659 /nfs/dbraw/zinc/65/16/59/1165651659.db2.gz JQFWZEKEXHBBJS-NQMVMOMDSA-N 0 2 318.337 0.571 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H](C)C[C@H](C)O ZINC001580604323 1165653155 /nfs/dbraw/zinc/65/31/55/1165653155.db2.gz QIADICLENQHEOF-CBAPKCEASA-N 0 2 320.353 0.413 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H](CO)C(C)C ZINC001580604296 1165653225 /nfs/dbraw/zinc/65/32/25/1165653225.db2.gz PYSHBBZWEBWAQW-SECBINFHSA-N 0 2 320.353 0.270 20 0 DCADLN Cc1ccc(F)c(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)c1F ZINC001581204963 1165797320 /nfs/dbraw/zinc/79/73/20/1165797320.db2.gz OWELGZWVMXIJNU-UHFFFAOYSA-N 0 2 320.259 0.764 20 0 DCADLN CCCN(CCNC(=O)C(F)C(F)(F)F)C(=O)CC(N)=O ZINC001581383533 1165819486 /nfs/dbraw/zinc/81/94/86/1165819486.db2.gz AOLFATMDXBFYDZ-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN CCCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)CC(N)=O ZINC001581383533 1165819495 /nfs/dbraw/zinc/81/94/95/1165819495.db2.gz AOLFATMDXBFYDZ-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN O=C(NCC1=CC(=O)C(=O)CO1)c1csc(-c2nn[nH]n2)c1 ZINC001582545038 1166037716 /nfs/dbraw/zinc/03/77/16/1166037716.db2.gz YEUCKWRYKZFMNB-UHFFFAOYSA-N 0 2 319.302 0.517 20 0 DCADLN C[C@](CNC(=O)C(N)=O)(NC(=O)c1ncoc1C1CC1)C1CC1 ZINC001582659024 1166062746 /nfs/dbraw/zinc/06/27/46/1166062746.db2.gz LUNWAFBTSKDEQN-OAHLLOKOSA-N 0 2 320.349 0.052 20 0 DCADLN CCOCCn1cc(C(=O)N[C@](C)(CNC(C)=O)C2CC2)cn1 ZINC001582656391 1166063627 /nfs/dbraw/zinc/06/36/27/1166063627.db2.gz PRRCEIFUVCEZNG-MRXNPFEDSA-N 0 2 322.409 0.954 20 0 DCADLN CC(C)(C)OC(=O)CCCn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001589151626 1166637358 /nfs/dbraw/zinc/63/73/58/1166637358.db2.gz LSRIIVLPTCGSHT-UHFFFAOYSA-N 0 2 322.325 0.251 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cscc1-c1nn[nH]n1)c1nn[nH]n1 ZINC001589841457 1166697632 /nfs/dbraw/zinc/69/76/32/1166697632.db2.gz TXJZRWGFGOKMPD-LURJTMIESA-N 0 2 319.354 0.317 20 0 DCADLN C[C@H]1CCCC[C@H]1Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC001590252177 1166827127 /nfs/dbraw/zinc/82/71/27/1166827127.db2.gz LDTDOCLVAVMLGH-UWVGGRQHSA-N 0 2 304.354 0.553 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1ccc(-c2nn[nH]n2)cn1)OC ZINC001590448966 1166908842 /nfs/dbraw/zinc/90/88/42/1166908842.db2.gz YXCOSBORKFWDRR-SECBINFHSA-N 0 2 312.355 0.428 20 0 DCADLN CC[C@]1(C)CCCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001590490323 1166923917 /nfs/dbraw/zinc/92/39/17/1166923917.db2.gz WOMZVFUNUIALIR-CQSZACIVSA-N 0 2 319.369 0.270 20 0 DCADLN CCN(CC)C(=O)OCn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001590684145 1166973891 /nfs/dbraw/zinc/97/38/91/1166973891.db2.gz QPHVTHKMSGRQIL-UHFFFAOYSA-N 0 2 306.326 0.773 20 0 DCADLN CCOC(=O)CCCCCn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001590762356 1167011312 /nfs/dbraw/zinc/01/13/12/1167011312.db2.gz NLKHOXKYPHURTG-UHFFFAOYSA-N 0 2 322.325 0.253 20 0 DCADLN CCOCCCn1c(=O)c(-c2nn[nH]n2)c(C)n(C2CC2)c1=O ZINC001590812140 1167026663 /nfs/dbraw/zinc/02/66/63/1167026663.db2.gz VMMVEWCKDMBTOW-UHFFFAOYSA-N 0 2 320.353 0.260 20 0 DCADLN CCc1nc([C@@H](C)NC(=O)c2ccnc(-c3nn[nH]n3)c2)n[nH]1 ZINC001590910463 1167049239 /nfs/dbraw/zinc/04/92/39/1167049239.db2.gz KZRLQWUUDQPEBU-SSDOTTSWSA-N 0 2 313.325 0.433 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2csc(C)c2)c1=O ZINC001590949925 1167056700 /nfs/dbraw/zinc/05/67/00/1167056700.db2.gz QKTNNVVGJHVGME-UHFFFAOYSA-N 0 2 318.362 0.628 20 0 DCADLN CCc1noc(C)c1Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001590936563 1167057507 /nfs/dbraw/zinc/05/75/07/1167057507.db2.gz RLMYBYMSOWUJII-UHFFFAOYSA-N 0 2 303.282 0.036 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCOCC2CC2)c1 ZINC001591285335 1167200176 /nfs/dbraw/zinc/20/01/76/1167200176.db2.gz IMCQDIXLQYXFIT-UHFFFAOYSA-N 0 2 319.321 0.242 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)Nc1ccc(-c2nn[nH]n2)c(F)c1 ZINC001591324489 1167214465 /nfs/dbraw/zinc/21/44/65/1167214465.db2.gz NTUPCEPCNZOHHO-ZETCQYMHSA-N 0 2 315.330 0.782 20 0 DCADLN COC[C@@H](Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O)C(C)C ZINC001591379668 1167249447 /nfs/dbraw/zinc/24/94/47/1167249447.db2.gz RXPUYHSNWYEXTR-LLVKDONJSA-N 0 2 306.370 0.959 20 0 DCADLN C[C@@H]1CC=CC[C@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001591732116 1167364579 /nfs/dbraw/zinc/36/45/79/1167364579.db2.gz GDQPYSARKIDBLE-HTQZYQBOSA-N 0 2 303.326 0.433 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCCSC1 ZINC001591836171 1167391291 /nfs/dbraw/zinc/39/12/91/1167391291.db2.gz ZJEGGALHJDSBIV-MRVPVSSYSA-N 0 2 320.378 0.901 20 0 DCADLN Cc1cc(Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)cs1 ZINC001591977657 1167427695 /nfs/dbraw/zinc/42/76/95/1167427695.db2.gz SUVLZIAESQQDCP-UHFFFAOYSA-N 0 2 304.335 0.145 20 0 DCADLN Cc1cc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)nc(C)n1 ZINC001591978187 1167428336 /nfs/dbraw/zinc/42/83/36/1167428336.db2.gz YMUNXGZPJIFILK-UHFFFAOYSA-N 0 2 323.360 0.972 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2cncnc2)ccc1-c1nn[nH]n1 ZINC001592067902 1167449415 /nfs/dbraw/zinc/44/94/15/1167449415.db2.gz XSMKBGKVBWROHJ-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cc1ccn(CN2CCCOC[C@H]2C)c(=O)c1-c1nn[nH]n1 ZINC001592266484 1167480433 /nfs/dbraw/zinc/48/04/33/1167480433.db2.gz CYXBEYBYSXBNNP-LLVKDONJSA-N 0 2 304.354 0.405 20 0 DCADLN Cc1cnccc1CCn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001592302271 1167486096 /nfs/dbraw/zinc/48/60/96/1167486096.db2.gz ZYVKMPGEUCAGRI-UHFFFAOYSA-N 0 2 311.349 0.986 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001592331912 1167491275 /nfs/dbraw/zinc/49/12/75/1167491275.db2.gz YLYBIVAZJNYWRK-UHFFFAOYSA-N 0 2 305.323 0.699 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1[C@H](C)C(=O)N[C@H](C)[C@@H]1C ZINC001592496353 1167539147 /nfs/dbraw/zinc/53/91/47/1167539147.db2.gz DLYXTHFOTTWOML-GJMOJQLCSA-N 0 2 318.337 0.506 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H](C)CN1CCCC1=O ZINC001592499781 1167539226 /nfs/dbraw/zinc/53/92/26/1167539226.db2.gz RCHOJXJGRFFUGC-MRVPVSSYSA-N 0 2 318.337 0.509 20 0 DCADLN Cn1c(=O)[nH]c(Oc2ccc(F)cc2)c(-c2nn[nH]n2)c1=O ZINC001592545462 1167550174 /nfs/dbraw/zinc/55/01/74/1167550174.db2.gz YRXJBTCCGHQBNJ-UHFFFAOYSA-N 0 2 304.241 0.185 20 0 DCADLN C[C@](Cn1cccn1)(NC(=O)c1cccc2[nH+]ccn21)C(=O)[O-] ZINC001592924604 1167665577 /nfs/dbraw/zinc/66/55/77/1167665577.db2.gz JGRDDQRTHMRVLG-OAHLLOKOSA-N 0 2 313.317 0.804 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1cccc(Cl)c1 ZINC001592941740 1167669732 /nfs/dbraw/zinc/66/97/32/1167669732.db2.gz SGAXGOLOTVSBNZ-UHFFFAOYSA-N 0 2 316.708 0.960 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001598077018 1168017288 /nfs/dbraw/zinc/01/72/88/1168017288.db2.gz ISMRUNASIHNHPD-UHFFFAOYSA-N 0 2 312.333 0.766 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)c2ccc(C(=O)[O-])o2)[C@H](C)C1 ZINC001598853698 1168046863 /nfs/dbraw/zinc/04/68/63/1168046863.db2.gz KCMOVHAJZGMXBT-SNVBAGLBSA-N 0 2 324.333 0.687 20 0 DCADLN COC(=O)CC[N@H+]1CCN(C(=O)c2ccc(C(=O)[O-])o2)[C@H](C)C1 ZINC001598853698 1168046866 /nfs/dbraw/zinc/04/68/66/1168046866.db2.gz KCMOVHAJZGMXBT-SNVBAGLBSA-N 0 2 324.333 0.687 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[NH2+]Cc2nnc3n2CCOC3)n1C ZINC001599993701 1168081552 /nfs/dbraw/zinc/08/15/52/1168081552.db2.gz DCTAZTPPXKSPFG-UHFFFAOYSA-N 0 2 305.338 0.443 20 0 DCADLN Cc1c(F)cc(C(=O)[O-])cc1OC[C@H](O)C[NH+]1CCOCC1 ZINC001600019218 1168100189 /nfs/dbraw/zinc/10/01/89/1168100189.db2.gz KVMZDBMLCXNECE-GFCCVEGCSA-N 0 2 313.325 0.904 20 0 DCADLN Cc1cc(C(=O)[O-])nc(N2CC[NH+](Cc3cnn(C)c3)CC2)n1 ZINC001600125947 1168123188 /nfs/dbraw/zinc/12/31/88/1168123188.db2.gz GFQOVMCMRYOHNH-UHFFFAOYSA-N 0 2 316.365 0.539 20 0 DCADLN Cc1cc(C[N@@H+]2CCCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)ncn1 ZINC001600194860 1168136118 /nfs/dbraw/zinc/13/61/18/1168136118.db2.gz BSWZREQKIDDZIR-KGLIPLIRSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1cc(C[N@H+]2CCCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)ncn1 ZINC001600194860 1168136124 /nfs/dbraw/zinc/13/61/24/1168136124.db2.gz BSWZREQKIDDZIR-KGLIPLIRSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@H](NS(C)(=O)=O)C2)[nH]c1C(=O)[O-] ZINC001600193270 1168136225 /nfs/dbraw/zinc/13/62/25/1168136225.db2.gz JUQFSYCDRSIZIP-VIFPVBQESA-N 0 2 301.368 0.145 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@H](NS(C)(=O)=O)C2)[nH]c1C(=O)[O-] ZINC001600193270 1168136229 /nfs/dbraw/zinc/13/62/29/1168136229.db2.gz JUQFSYCDRSIZIP-VIFPVBQESA-N 0 2 301.368 0.145 20 0 DCADLN Cc1cc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c(C(=O)[O-])[nH]1 ZINC001600262539 1168153280 /nfs/dbraw/zinc/15/32/80/1168153280.db2.gz UXERNWFMEJMQFM-NSHDSACASA-N 0 2 322.365 0.960 20 0 DCADLN Cc1cccc(N2CC[NH+](CC(=O)NCCC(=O)[O-])CC2)c1 ZINC001600539211 1168196577 /nfs/dbraw/zinc/19/65/77/1168196577.db2.gz NHXVEIVPNJYIBO-UHFFFAOYSA-N 0 2 305.378 0.708 20 0 DCADLN Cc1cccnc1C=CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600592396 1168205179 /nfs/dbraw/zinc/20/51/79/1168205179.db2.gz VQBSSIVAQKJRAD-IHVVCDCBSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1cccnc1C=CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600592396 1168205184 /nfs/dbraw/zinc/20/51/84/1168205184.db2.gz VQBSSIVAQKJRAD-IHVVCDCBSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1ccn(C[C@@H](C)C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001600596996 1168206252 /nfs/dbraw/zinc/20/62/52/1168206252.db2.gz AANBPVNRARGWNC-ZYHUDNBSSA-N 0 2 305.338 0.294 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)NC(=O)NCC(F)(F)F ZINC001600685734 1168250440 /nfs/dbraw/zinc/25/04/40/1168250440.db2.gz ZIPOFBMZATYOFE-UHFFFAOYSA-N 0 2 308.216 0.278 20 0 DCADLN Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])co1 ZINC001600700760 1168257367 /nfs/dbraw/zinc/25/73/67/1168257367.db2.gz AQXLLPQNTVVZDP-FHUSYTEZSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])co1 ZINC001600700760 1168257373 /nfs/dbraw/zinc/25/73/73/1168257373.db2.gz AQXLLPQNTVVZDP-FHUSYTEZSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1ncc(NC(=O)NCC[N@H+]2CCOC[C@@H]2C)cc1C(=O)[O-] ZINC001600743795 1168272000 /nfs/dbraw/zinc/27/20/00/1168272000.db2.gz RJXUIBPNWQQAEE-JTQLQIEISA-N 0 2 322.365 0.930 20 0 DCADLN Cc1ncc(NC(=O)NCC[N@@H+]2CCOC[C@@H]2C)cc1C(=O)[O-] ZINC001600743795 1168272005 /nfs/dbraw/zinc/27/20/05/1168272005.db2.gz RJXUIBPNWQQAEE-JTQLQIEISA-N 0 2 322.365 0.930 20 0 DCADLN Cc1nc(N2CCC(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC001600750860 1168277252 /nfs/dbraw/zinc/27/72/52/1168277252.db2.gz OVMBIKZMLNTENO-STQMWFEESA-N 0 2 318.377 0.838 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)c2cc(C(=O)[O-])nn2C)C1 ZINC001600983956 1168543942 /nfs/dbraw/zinc/54/39/42/1168543942.db2.gz FPRIHMZLPVOBHG-VIFPVBQESA-N 0 2 303.322 0.482 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001601023525 1168568052 /nfs/dbraw/zinc/56/80/52/1168568052.db2.gz UTGGHYOWKBMSDN-SNVBAGLBSA-N 0 2 323.353 0.057 20 0 DCADLN O=C([O-])[C@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccc(O)cc1 ZINC001601231956 1168670969 /nfs/dbraw/zinc/67/09/69/1168670969.db2.gz PNLCHOXYPBLPHR-DJYNDVHFSA-N 0 2 320.345 0.523 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Oc1ccccc1 ZINC001601286723 1168691699 /nfs/dbraw/zinc/69/16/99/1168691699.db2.gz LIUZZQPHDSOUIO-CYBMUJFWSA-N 0 2 303.318 0.991 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Oc1ccccc1 ZINC001601286723 1168691702 /nfs/dbraw/zinc/69/17/02/1168691702.db2.gz LIUZZQPHDSOUIO-CYBMUJFWSA-N 0 2 303.318 0.991 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@H+](CC(=O)Nc2nc3c(s2)CCC3)C1 ZINC001601304918 1168697554 /nfs/dbraw/zinc/69/75/54/1168697554.db2.gz XOAYASSTZXNXTR-ZDUSSCGKSA-N 0 2 311.363 0.092 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@@H+](CC(=O)Nc2nc3c(s2)CCC3)C1 ZINC001601304918 1168697561 /nfs/dbraw/zinc/69/75/61/1168697561.db2.gz XOAYASSTZXNXTR-ZDUSSCGKSA-N 0 2 311.363 0.092 20 0 DCADLN O=C([O-])[C@H](CCC1OCCO1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001601415956 1168738185 /nfs/dbraw/zinc/73/81/85/1168738185.db2.gz VVPFZHJZFKAKHT-PWSUYJOCSA-N 0 2 323.349 0.168 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccnc2ccncc21 ZINC001601425424 1168741211 /nfs/dbraw/zinc/74/12/11/1168741211.db2.gz AENWSUSMMFZHDU-CYBMUJFWSA-N 0 2 311.301 0.710 20 0 DCADLN O=C([O-])C1(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC(CO)C1 ZINC001601426962 1168742308 /nfs/dbraw/zinc/74/23/08/1168742308.db2.gz FIWMQBKOZJEOGD-UHFFFAOYSA-N 0 2 315.329 0.828 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)[C@H]1CCn2cc[nH+]c2C1)[C@@H]1CCOC1 ZINC001601451494 1168751992 /nfs/dbraw/zinc/75/19/92/1168751992.db2.gz HMCGHOMUVXXMOO-TUAOUCFPSA-N 0 2 307.350 0.299 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)c1cccc2[nH+]ccn21)[C@H]1CCOC1 ZINC001601454445 1168753147 /nfs/dbraw/zinc/75/31/47/1168753147.db2.gz RTOLANOIZNKZEX-QWRGUYRKSA-N 0 2 303.318 0.801 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2cccnc2n1 ZINC001601565376 1168783745 /nfs/dbraw/zinc/78/37/45/1168783745.db2.gz VWNAZLABSBEJTJ-LBPRGKRZSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2cccnc2n1 ZINC001601565376 1168783754 /nfs/dbraw/zinc/78/37/54/1168783754.db2.gz VWNAZLABSBEJTJ-LBPRGKRZSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1COc2ccccc2O1 ZINC001601662824 1168807897 /nfs/dbraw/zinc/80/78/97/1168807897.db2.gz LBTRDOAKESSTDY-MFKMUULPSA-N 0 2 317.301 0.293 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](Cc2cn(-c3ccccc3F)nn2)C1 ZINC001601769981 1168869407 /nfs/dbraw/zinc/86/94/07/1168869407.db2.gz RLLIGOYGFKANTB-ZYHUDNBSSA-N 0 2 320.324 0.674 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](Cc2cn(-c3ccccc3F)nn2)C1 ZINC001601769981 1168869422 /nfs/dbraw/zinc/86/94/22/1168869422.db2.gz RLLIGOYGFKANTB-ZYHUDNBSSA-N 0 2 320.324 0.674 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001601778145 1168879606 /nfs/dbraw/zinc/87/96/06/1168879606.db2.gz HZPSCDOMSFSPBH-QWHCGFSZSA-N 0 2 305.334 0.644 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001601778145 1168879617 /nfs/dbraw/zinc/87/96/17/1168879617.db2.gz HZPSCDOMSFSPBH-QWHCGFSZSA-N 0 2 305.334 0.644 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001601779688 1168885585 /nfs/dbraw/zinc/88/55/85/1168885585.db2.gz QKBQNFNPYIJDPL-NWDGAFQWSA-N 0 2 309.391 0.551 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@@H](C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001601802848 1168901244 /nfs/dbraw/zinc/90/12/44/1168901244.db2.gz FPERPBQDIWVRPF-SYQHCUMBSA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@@H](C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001601802848 1168901246 /nfs/dbraw/zinc/90/12/46/1168901246.db2.gz FPERPBQDIWVRPF-SYQHCUMBSA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC001601911542 1168932048 /nfs/dbraw/zinc/93/20/48/1168932048.db2.gz ZUWSBXXXGPYWKH-ZDUSSCGKSA-N 0 2 303.318 0.367 20 0 DCADLN O=C([O-])CCCS(=O)(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC001601999623 1168957358 /nfs/dbraw/zinc/95/73/58/1168957358.db2.gz SYAPNQKUEPXMNI-LLVKDONJSA-N 0 2 313.379 0.445 20 0 DCADLN O=C([O-])Cc1ccc(NC(=O)C(=O)NCCn2cc[nH+]c2)cc1 ZINC001602122118 1168998562 /nfs/dbraw/zinc/99/85/62/1168998562.db2.gz ZOCXMTOXMWXIIF-UHFFFAOYSA-N 0 2 316.317 0.265 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CC[C@H](OCc3ccncc3)C2)nn1 ZINC001602163188 1169009758 /nfs/dbraw/zinc/00/97/58/1169009758.db2.gz ZZGWXMNMKDWAGP-AWEZNQCLSA-N 0 2 317.349 0.549 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CC[C@H](OCc3ccncc3)C2)nn1 ZINC001602163188 1169009767 /nfs/dbraw/zinc/00/97/67/1169009767.db2.gz ZZGWXMNMKDWAGP-AWEZNQCLSA-N 0 2 317.349 0.549 20 0 DCADLN O=C([O-])[C@@H]1CCCC[C@@H]1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001602173185 1169015601 /nfs/dbraw/zinc/01/56/01/1169015601.db2.gz PQGYSXCAGODRSK-XQQFMLRXSA-N 0 2 306.366 0.774 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)CN1C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC001602191757 1169022355 /nfs/dbraw/zinc/02/23/55/1169022355.db2.gz GUVUYOOXBPSBPR-KGLIPLIRSA-N 0 2 315.329 0.591 20 0 DCADLN O=C([O-])[C@]12CCC[C@H]1C[N@H+](Cn1nc3n(c1=O)CCCCC3)C2 ZINC001602221459 1169035269 /nfs/dbraw/zinc/03/52/69/1169035269.db2.gz FDLFVIMHONQLBZ-LRDDRELGSA-N 0 2 320.393 0.915 20 0 DCADLN O=C([O-])[C@]12CCC[C@H]1C[N@@H+](Cn1nc3n(c1=O)CCCCC3)C2 ZINC001602221459 1169035279 /nfs/dbraw/zinc/03/52/79/1169035279.db2.gz FDLFVIMHONQLBZ-LRDDRELGSA-N 0 2 320.393 0.915 20 0 DCADLN O=C([O-])c1c(N2CCC(O)CC2)nc[nH+]c1N1CCC(O)CC1 ZINC001602232215 1169038279 /nfs/dbraw/zinc/03/82/79/1169038279.db2.gz RDNBUJAGCHQOGJ-UHFFFAOYSA-N 0 2 322.365 0.097 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@H+]3CCC(CO)(CO)C3)nc2c1 ZINC001602406541 1169073908 /nfs/dbraw/zinc/07/39/08/1169073908.db2.gz LDBHFDWTIVZKGW-UHFFFAOYSA-N 0 2 305.334 0.438 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@@H+]3CCC(CO)(CO)C3)nc2c1 ZINC001602406541 1169073913 /nfs/dbraw/zinc/07/39/13/1169073913.db2.gz LDBHFDWTIVZKGW-UHFFFAOYSA-N 0 2 305.334 0.438 20 0 DCADLN O=C([O-])c1ccc2c(n1)CN(C(=O)NCCn1cc[nH+]c1)CC2 ZINC001602426288 1169077672 /nfs/dbraw/zinc/07/76/72/1169077672.db2.gz JFILZRQOQDWELK-UHFFFAOYSA-N 0 2 315.333 0.744 20 0 DCADLN O=C([O-])c1ccnc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC001602477687 1169086460 /nfs/dbraw/zinc/08/64/60/1169086460.db2.gz CCMGMUJTFWADHW-GFCCVEGCSA-N 0 2 305.334 0.327 20 0 DCADLN O=C([O-])c1cn(C2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)nn1 ZINC001602501080 1169096846 /nfs/dbraw/zinc/09/68/46/1169096846.db2.gz MKTUURAUAWHSQI-UHFFFAOYSA-N 0 2 312.289 0.550 20 0 DCADLN CC(C)(CNC(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001603639833 1169339855 /nfs/dbraw/zinc/33/98/55/1169339855.db2.gz RHNMBWKNBDVQLE-UHFFFAOYSA-N 0 2 323.393 0.661 20 0 DCADLN C[C@@H]1CC(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C[C@@H](C)C1 ZINC001603847368 1169409727 /nfs/dbraw/zinc/40/97/27/1169409727.db2.gz YLTDVMPWCVMWBE-YUMQZZPRSA-N 0 2 319.369 0.903 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001603917054 1169434679 /nfs/dbraw/zinc/43/46/79/1169434679.db2.gz UPBNWEIONPVSQU-NWDGAFQWSA-N 0 2 309.366 0.782 20 0 DCADLN C[C@H](CNC(=O)CCc1ocnc1C(=O)[O-])Cn1cc[nH+]c1 ZINC001604174580 1169514337 /nfs/dbraw/zinc/51/43/37/1169514337.db2.gz YPSJKOGUHPLVMJ-SNVBAGLBSA-N 0 2 306.322 0.954 20 0 DCADLN CC1(NC(=O)[C@H]2C[C@H]2C(=O)[O-])CC[NH+](Cc2ccon2)CC1 ZINC001604589610 1169624950 /nfs/dbraw/zinc/62/49/50/1169624950.db2.gz UTYBWBWNBRIEOH-NWDGAFQWSA-N 0 2 307.350 0.866 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])ccn1 ZINC001604640169 1169640645 /nfs/dbraw/zinc/64/06/45/1169640645.db2.gz UTYQGTCQBPSKLV-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])ccn1 ZINC001604640169 1169640646 /nfs/dbraw/zinc/64/06/46/1169640646.db2.gz UTYQGTCQBPSKLV-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN COC(=O)CC1(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)CC1 ZINC001604930935 1169750114 /nfs/dbraw/zinc/75/01/14/1169750114.db2.gz UHJAEWAWUNLTLM-UHFFFAOYSA-N 0 2 303.322 0.680 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)NC1CC(CC(=O)[O-])C1 ZINC001605179921 1169858858 /nfs/dbraw/zinc/85/88/58/1169858858.db2.gz CIHQRVIYGHNNBL-BPCQOVAHSA-N 0 2 313.398 0.650 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)NC1CC(CC(=O)[O-])C1 ZINC001605179921 1169858870 /nfs/dbraw/zinc/85/88/70/1169858870.db2.gz CIHQRVIYGHNNBL-BPCQOVAHSA-N 0 2 313.398 0.650 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC(F)(F)C1 ZINC001605506649 1169941399 /nfs/dbraw/zinc/94/13/99/1169941399.db2.gz JKSGZCUCPVRUIS-UHFFFAOYSA-N 0 2 310.264 0.757 20 0 DCADLN CCc1[nH]c(CN2CC[NH+](C(C)(C)C(N)=O)CC2)cc1C(=O)[O-] ZINC001605771651 1169999542 /nfs/dbraw/zinc/99/95/42/1169999542.db2.gz GVOGMLOPIKTOQG-UHFFFAOYSA-N 0 2 322.409 0.657 20 0 DCADLN CCn1c[nH+]c2c1CCN([C@@H]1CCN(CCC(=O)[O-])C1=O)C2 ZINC001605933166 1170029663 /nfs/dbraw/zinc/02/96/63/1170029663.db2.gz YDKYPOZUZPVBLR-CYBMUJFWSA-N 0 2 306.366 0.337 20 0 DCADLN Cc1ccn(CCOC2CCOCC2)c(=O)c1-c1nn[nH]n1 ZINC001605948813 1170031626 /nfs/dbraw/zinc/03/16/26/1170031626.db2.gz HTOHLZVRJFXZPN-UHFFFAOYSA-N 0 2 305.338 0.532 20 0 DCADLN Cc1ccn(C[C@@H]2C[C@@H]3COC[C@@H]3O2)c(=O)c1-c1nn[nH]n1 ZINC001605950561 1170032668 /nfs/dbraw/zinc/03/26/68/1170032668.db2.gz YMCSBKPFKYGFMS-VWYCJHECSA-N 0 2 303.322 0.141 20 0 DCADLN CN(C(=O)[C@@H]1C[C@@H]1C(=O)[O-])C1CC[NH+](Cc2cnns2)CC1 ZINC001605956625 1170035752 /nfs/dbraw/zinc/03/57/52/1170035752.db2.gz KKAZRXXXKMROHU-NEPJUHHUSA-N 0 2 324.406 0.682 20 0 DCADLN Cc1nn(C[C@@H]2C[C@@]23CCOC3)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114404 1170080054 /nfs/dbraw/zinc/08/00/54/1170080054.db2.gz MUSYRQMXOWWGHJ-IINYFYTJSA-N 0 2 302.338 0.467 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)CC1(C(=O)[O-])CCC1 ZINC001606125730 1170085426 /nfs/dbraw/zinc/08/54/26/1170085426.db2.gz PCWWSFXTUCXFDS-UHFFFAOYSA-N 0 2 315.395 0.790 20 0 DCADLN Cn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@@H](C(=O)[O-])C1 ZINC001606224476 1170122755 /nfs/dbraw/zinc/12/27/55/1170122755.db2.gz JNBRWOFRHNETOR-GHMZBOCLSA-N 0 2 309.370 0.262 20 0 DCADLN Cn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCO[C@@H](C(=O)[O-])C1 ZINC001606224476 1170122757 /nfs/dbraw/zinc/12/27/57/1170122757.db2.gz JNBRWOFRHNETOR-GHMZBOCLSA-N 0 2 309.370 0.262 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)Cn1cc(C(=O)[O-])nn1 ZINC001606258947 1170138902 /nfs/dbraw/zinc/13/89/02/1170138902.db2.gz KPBZVKFQNSJIRR-ZDUSSCGKSA-N 0 2 315.333 0.279 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)Cn1cc(C(=O)[O-])nn1 ZINC001606258947 1170138904 /nfs/dbraw/zinc/13/89/04/1170138904.db2.gz KPBZVKFQNSJIRR-ZDUSSCGKSA-N 0 2 315.333 0.279 20 0 DCADLN CNS(=O)(=O)[C@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001606298710 1170154149 /nfs/dbraw/zinc/15/41/49/1170154149.db2.gz DEGNPFDWGQDOSW-NSHDSACASA-N 0 2 316.354 0.647 20 0 DCADLN CNS(=O)(=O)[C@H]1CC[N@@H+](Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001606298710 1170154151 /nfs/dbraw/zinc/15/41/51/1170154151.db2.gz DEGNPFDWGQDOSW-NSHDSACASA-N 0 2 316.354 0.647 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2c(C)cc(C)[nH+]c2C)C[C@@H]1C(=O)[O-] ZINC001606424131 1170194982 /nfs/dbraw/zinc/19/49/82/1170194982.db2.gz ZUDCQRZOZJLLAV-RYUDHWBXSA-N 0 2 320.345 0.953 20 0 DCADLN O=C(CC[C@H]1CCCCO1)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001606511923 1170224124 /nfs/dbraw/zinc/22/41/24/1170224124.db2.gz FXOHWTAFEQKDSA-LLVKDONJSA-N 0 2 318.337 0.342 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@@H+]2CC[C@@H](CO)[C@H](O)C2)c1 ZINC001606612505 1170242167 /nfs/dbraw/zinc/24/21/67/1170242167.db2.gz FTDDTQPAZDWIKX-BFHYXJOUSA-N 0 2 323.345 0.274 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](CO)[C@H](O)C2)c1 ZINC001606612505 1170242170 /nfs/dbraw/zinc/24/21/70/1170242170.db2.gz FTDDTQPAZDWIKX-BFHYXJOUSA-N 0 2 323.345 0.274 20 0 DCADLN CO[C@@]1(CNc2cc(CC(=O)[O-])cc[nH+]2)CCS(=O)(=O)C1 ZINC001606717837 1170272060 /nfs/dbraw/zinc/27/20/60/1170272060.db2.gz VFTJRQVWIKVTEO-CYBMUJFWSA-N 0 2 314.363 0.324 20 0 DCADLN O=C(NN1Cc2ccccc2C1=O)c1cccc(-c2nn[nH]n2)n1 ZINC001606850723 1170314085 /nfs/dbraw/zinc/31/40/85/1170314085.db2.gz PAZZNFSODWTGBL-UHFFFAOYSA-N 0 2 321.300 0.563 20 0 DCADLN COCC[N@H+](CC(=O)N(C)C)Cc1cc(C(=O)[O-])c(C)n1C ZINC001606881428 1170322520 /nfs/dbraw/zinc/32/25/20/1170322520.db2.gz WTOYVFNIWPFNFO-UHFFFAOYSA-N 0 2 311.382 0.568 20 0 DCADLN COCC[N@@H+](CC(=O)N(C)C)Cc1cc(C(=O)[O-])c(C)n1C ZINC001606881428 1170322526 /nfs/dbraw/zinc/32/25/26/1170322526.db2.gz WTOYVFNIWPFNFO-UHFFFAOYSA-N 0 2 311.382 0.568 20 0 DCADLN O=S(=O)(NCc1ncccc1O)c1ccc(-c2nn[nH]n2)o1 ZINC001607066848 1170375769 /nfs/dbraw/zinc/37/57/69/1170375769.db2.gz DAOXYNHWUPHDHN-UHFFFAOYSA-N 0 2 322.306 0.039 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1CCN1CCCc2ccccc21 ZINC001607089868 1170386729 /nfs/dbraw/zinc/38/67/29/1170386729.db2.gz DJWONTXCFBMYLK-UHFFFAOYSA-N 0 2 323.360 0.876 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@@H]1CCC2(CCC2)CO1 ZINC001607090037 1170388036 /nfs/dbraw/zinc/38/80/36/1170388036.db2.gz IXFJSOYEZGILNA-JTQLQIEISA-N 0 2 302.338 0.773 20 0 DCADLN Cc1c(-c2cc(C[N@@H+]3CCC[C@@H](O)[C@H]3C(=O)[O-])on2)cnn1C ZINC001607502721 1170468580 /nfs/dbraw/zinc/46/85/80/1170468580.db2.gz UFVLPEBFQROAIC-KGLIPLIRSA-N 0 2 320.349 0.793 20 0 DCADLN Cc1c(-c2cc(C[N@H+]3CCC[C@@H](O)[C@H]3C(=O)[O-])on2)cnn1C ZINC001607502721 1170468584 /nfs/dbraw/zinc/46/85/84/1170468584.db2.gz UFVLPEBFQROAIC-KGLIPLIRSA-N 0 2 320.349 0.793 20 0 DCADLN Cc1cnc(COCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])o1 ZINC001607908342 1170521960 /nfs/dbraw/zinc/52/19/60/1170521960.db2.gz PTTDWKSARKAZGY-SNVBAGLBSA-N 0 2 308.294 0.035 20 0 DCADLN Cc1cnc(COCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])o1 ZINC001607908342 1170521961 /nfs/dbraw/zinc/52/19/61/1170521961.db2.gz PTTDWKSARKAZGY-SNVBAGLBSA-N 0 2 308.294 0.035 20 0 DCADLN Cc1nc(N(C)C)sc1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001607961105 1170534468 /nfs/dbraw/zinc/53/44/68/1170534468.db2.gz MUOBGRHXDSXDIY-VIFPVBQESA-N 0 2 323.378 0.597 20 0 DCADLN Cc1nn(C)cc1CCC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001608003396 1170545921 /nfs/dbraw/zinc/54/59/21/1170545921.db2.gz XYEFSBOWAKPHHR-LBPRGKRZSA-N 0 2 305.338 0.127 20 0 DCADLN Cn1c(Cl)ncc1C[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001608086792 1170567781 /nfs/dbraw/zinc/56/77/81/1170567781.db2.gz HLIYZCBYRYMQLG-MRVPVSSYSA-N 0 2 310.745 0.810 20 0 DCADLN Cn1c(Cl)ncc1C[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001608086792 1170567786 /nfs/dbraw/zinc/56/77/86/1170567786.db2.gz HLIYZCBYRYMQLG-MRVPVSSYSA-N 0 2 310.745 0.810 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001608132611 1170586767 /nfs/dbraw/zinc/58/67/67/1170586767.db2.gz IBSRTAJKHNYAEC-CQSZACIVSA-N 0 2 306.322 0.185 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)Nc2cc(C(=O)[O-])nn2C)C1 ZINC001608133536 1170587906 /nfs/dbraw/zinc/58/79/06/1170587906.db2.gz JZPOFQKKZLVHGM-SECBINFHSA-N 0 2 318.337 0.873 20 0 DCADLN Cn1c[nH+]cc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001608137122 1170589482 /nfs/dbraw/zinc/58/94/82/1170589482.db2.gz UFIHXUSSGOLLKS-XCCSTKFXSA-N 0 2 318.377 0.316 20 0 DCADLN NC(=O)C[NH+]1CCC(Nc2nc(Cl)c(C(=O)[O-])s2)CC1 ZINC001608235290 1170623906 /nfs/dbraw/zinc/62/39/06/1170623906.db2.gz ZPHVJKCKXVTMBB-UHFFFAOYSA-N 0 2 318.786 0.856 20 0 DCADLN Nc1cccc2c1cc[nH+]c2N1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001608274637 1170639957 /nfs/dbraw/zinc/63/99/57/1170639957.db2.gz OXDSOMXPYXWNMG-ZDUSSCGKSA-N 0 2 314.345 0.987 20 0 DCADLN O=C([O-])c1cnc(NC/C=C/CNC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001608370001 1170660463 /nfs/dbraw/zinc/66/04/63/1170660463.db2.gz QUDLKWVXHZQOTQ-OWOJBTEDSA-N 0 2 316.321 0.225 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1nnc2ccccc2n1 ZINC001608486060 1170689114 /nfs/dbraw/zinc/68/91/14/1170689114.db2.gz PYANIVXVHIEJIU-NSHDSACASA-N 0 2 312.289 0.174 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1nnc2ccccc2n1 ZINC001608486060 1170689117 /nfs/dbraw/zinc/68/91/17/1170689117.db2.gz PYANIVXVHIEJIU-NSHDSACASA-N 0 2 312.289 0.174 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)Cc2c[nH]c[nH+]2)c2ccc(F)cc2O1 ZINC001608643192 1170729187 /nfs/dbraw/zinc/72/91/87/1170729187.db2.gz YXSPTGBEXVSYKS-GFCCVEGCSA-N 0 2 305.265 0.970 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)c2ccc(F)cc2O1 ZINC001608643192 1170729188 /nfs/dbraw/zinc/72/91/88/1170729188.db2.gz YXSPTGBEXVSYKS-GFCCVEGCSA-N 0 2 305.265 0.970 20 0 DCADLN O=C([O-])/C=C\c1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)o1 ZINC001608657870 1170732781 /nfs/dbraw/zinc/73/27/81/1170732781.db2.gz VJGSUIYXTLPBNB-DTRQGZQOSA-N 0 2 320.345 0.924 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]Cc2ccnc(OC(F)F)c2)nn1 ZINC001608797453 1170756293 /nfs/dbraw/zinc/75/62/93/1170756293.db2.gz HUPBAYWTACAYIY-UHFFFAOYSA-N 0 2 313.264 0.649 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@@H+]2CCS[C@@H]3COCC[C@H]32)C(=O)O1 ZINC001608809023 1170758281 /nfs/dbraw/zinc/75/82/81/1170758281.db2.gz VCNOWTALAIFVFU-KXUCPTDWSA-N 0 2 302.352 0.056 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@H+]2CCS[C@@H]3COCC[C@H]32)C(=O)O1 ZINC001608809023 1170758283 /nfs/dbraw/zinc/75/82/83/1170758283.db2.gz VCNOWTALAIFVFU-KXUCPTDWSA-N 0 2 302.352 0.056 20 0 DCADLN CCCC[C@@H](C)N(C)c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001609207567 1170869489 /nfs/dbraw/zinc/86/94/89/1170869489.db2.gz LURMLDCEEGMAHJ-SECBINFHSA-N 0 2 321.385 0.279 20 0 DCADLN COC(=O)c1ccc(Cn2cncc(-c3nn[nH]n3)c2=O)s1 ZINC001609303340 1170924213 /nfs/dbraw/zinc/92/42/13/1170924213.db2.gz OBKYEGZNTHLUAG-UHFFFAOYSA-N 0 2 318.318 0.320 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+](C)[C@H]1CCCCNC1=O)C(=O)[O-] ZINC001609693530 1171067825 /nfs/dbraw/zinc/06/78/25/1171067825.db2.gz SBIGBEJJABPFGY-RYUDHWBXSA-N 0 2 313.398 0.202 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+](C)[C@H]1CCCCNC1=O)C(=O)[O-] ZINC001609693530 1171067834 /nfs/dbraw/zinc/06/78/34/1171067834.db2.gz SBIGBEJJABPFGY-RYUDHWBXSA-N 0 2 313.398 0.202 20 0 DCADLN CC(C)C[N@H+](CC(N)=O)CC(=O)Nc1ccccc1C(=O)[O-] ZINC001609697716 1171069573 /nfs/dbraw/zinc/06/95/73/1171069573.db2.gz GTUFERYHLFXSAP-UHFFFAOYSA-N 0 2 307.350 0.767 20 0 DCADLN CC(C)C[N@@H+](CC(N)=O)CC(=O)Nc1ccccc1C(=O)[O-] ZINC001609697716 1171069577 /nfs/dbraw/zinc/06/95/77/1171069577.db2.gz GTUFERYHLFXSAP-UHFFFAOYSA-N 0 2 307.350 0.767 20 0 DCADLN CC(C)OC[C@H]1C[N@H+](CCc2cn(CC(=O)[O-])nn2)CCO1 ZINC001609710395 1171072639 /nfs/dbraw/zinc/07/26/39/1171072639.db2.gz SGVAMPHAMYBXSF-CYBMUJFWSA-N 0 2 312.370 0.031 20 0 DCADLN CC(C)OC[C@H]1C[N@@H+](CCc2cn(CC(=O)[O-])nn2)CCO1 ZINC001609710395 1171072651 /nfs/dbraw/zinc/07/26/51/1171072651.db2.gz SGVAMPHAMYBXSF-CYBMUJFWSA-N 0 2 312.370 0.031 20 0 DCADLN C[C@H]1C[N@H+](C[C@@H](O)COc2ccc(C(=O)[O-])cc2)CC(=O)N1C ZINC001609871754 1171112033 /nfs/dbraw/zinc/11/20/33/1171112033.db2.gz XNPWJYFPWXQBOT-WCQYABFASA-N 0 2 322.361 0.287 20 0 DCADLN C[C@H]1C[N@@H+](C[C@@H](O)COc2ccc(C(=O)[O-])cc2)CC(=O)N1C ZINC001609871754 1171112038 /nfs/dbraw/zinc/11/20/38/1171112038.db2.gz XNPWJYFPWXQBOT-WCQYABFASA-N 0 2 322.361 0.287 20 0 DCADLN CCc1ccc(C[N@H+](CCN2CCOCC2)CC(=O)[O-])nc1 ZINC001610063299 1171148542 /nfs/dbraw/zinc/14/85/42/1171148542.db2.gz FMIYACBPLGWPPA-UHFFFAOYSA-N 0 2 307.394 0.863 20 0 DCADLN CCc1ccc(C[N@@H+](CCN2CCOCC2)CC(=O)[O-])nc1 ZINC001610063299 1171148545 /nfs/dbraw/zinc/14/85/45/1171148545.db2.gz FMIYACBPLGWPPA-UHFFFAOYSA-N 0 2 307.394 0.863 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCCn1cc[nH+]c1 ZINC001610175277 1171187456 /nfs/dbraw/zinc/18/74/56/1171187456.db2.gz PKKRLZLBMXCNPR-RYUDHWBXSA-N 0 2 323.349 0.528 20 0 DCADLN COCCN1CCC[N@H+](Cc2cc(C(=O)[O-])c(C)n2C)CC1=O ZINC001610260684 1171211693 /nfs/dbraw/zinc/21/16/93/1171211693.db2.gz IEBGQDTXVXGYKW-UHFFFAOYSA-N 0 2 323.393 0.712 20 0 DCADLN COCCN1CCC[N@@H+](Cc2cc(C(=O)[O-])c(C)n2C)CC1=O ZINC001610260684 1171211697 /nfs/dbraw/zinc/21/16/97/1171211697.db2.gz IEBGQDTXVXGYKW-UHFFFAOYSA-N 0 2 323.393 0.712 20 0 DCADLN COc1ccc2nc(C[NH+]3CCC([C@H](O)C(=O)[O-])CC3)[nH]c2n1 ZINC001610352002 1171223186 /nfs/dbraw/zinc/22/31/86/1171223186.db2.gz KSYJVADTFHTBSM-ZDUSSCGKSA-N 0 2 320.349 0.624 20 0 DCADLN COc1ncc(C=CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001610371395 1171226381 /nfs/dbraw/zinc/22/63/81/1171226381.db2.gz WGSJCDHWLGBRFT-VUDBWIFFSA-N 0 2 317.305 0.034 20 0 DCADLN COc1ncc(C=CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001610371395 1171226385 /nfs/dbraw/zinc/22/63/85/1171226385.db2.gz WGSJCDHWLGBRFT-VUDBWIFFSA-N 0 2 317.305 0.034 20 0 DCADLN Cc1cc(N2CCC[C@H]2C(=O)NCC(=O)[O-])nc(C2CC2)[nH+]1 ZINC001610468615 1171239070 /nfs/dbraw/zinc/23/90/70/1171239070.db2.gz VXZZYSZQFOJLFD-NSHDSACASA-N 0 2 304.350 0.832 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1noc2c1CCCC2 ZINC001610682515 1171289985 /nfs/dbraw/zinc/28/99/85/1171289985.db2.gz WMCOXGKDWJUWPT-SNVBAGLBSA-N 0 2 304.306 0.633 20 0 DCADLN O=C([O-])c1ccc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)cc1F ZINC001610689833 1171292609 /nfs/dbraw/zinc/29/26/09/1171292609.db2.gz HFMRYQDLMWHOOX-NSHDSACASA-N 0 2 307.325 0.254 20 0 DCADLN O=C([O-])CC1(NC(=O)C(=O)Nc2ccn3cc[nH+]c3c2)CCC1 ZINC001610756245 1171311020 /nfs/dbraw/zinc/31/10/20/1171311020.db2.gz SXFZDFITAFBECD-UHFFFAOYSA-N 0 2 316.317 0.786 20 0 DCADLN O=C([O-])c1n[nH]c2c1C[N@H+](C[C@H]1CN(C3CC3)C(=O)O1)CC2 ZINC001610871332 1171345256 /nfs/dbraw/zinc/34/52/56/1171345256.db2.gz QFBXDZSEHBXKRW-VIFPVBQESA-N 0 2 306.322 0.449 20 0 DCADLN O=C([O-])c1n[nH]c2c1C[N@@H+](C[C@H]1CN(C3CC3)C(=O)O1)CC2 ZINC001610871332 1171345264 /nfs/dbraw/zinc/34/52/64/1171345264.db2.gz QFBXDZSEHBXKRW-VIFPVBQESA-N 0 2 306.322 0.449 20 0 DCADLN C#CCNC(=O)CN(CCC)Cc1nc(=O)c2sccc2[nH]1 ZINC000010089652 1171360342 /nfs/dbraw/zinc/36/03/42/1171360342.db2.gz UCCJIXDRBCALMK-UHFFFAOYSA-N 0 2 318.402 0.946 20 0 DCADLN O=C(c1cnc2nccn2c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001617922392 1171391185 /nfs/dbraw/zinc/39/11/85/1171391185.db2.gz VOCRJMGBBYJRIF-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN CCn1ncn(NC(=O)c2n[nH]c3ccc(Cl)cc32)c1=O ZINC001625344536 1171469460 /nfs/dbraw/zinc/46/94/60/1171469460.db2.gz KUBNTPCWEHDXJT-UHFFFAOYSA-N 0 2 306.713 0.978 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(-c3ccc(F)cc3)c2)c1=O ZINC001628810781 1171535530 /nfs/dbraw/zinc/53/55/30/1171535530.db2.gz JEPMYCRCNSCSAX-UHFFFAOYSA-N 0 2 316.296 0.773 20 0 DCADLN O=C(NCc1nc(=O)o[n-]1)c1c[nH+]ccc1Nc1ccccc1 ZINC001632444150 1171616159 /nfs/dbraw/zinc/61/61/59/1171616159.db2.gz ROAPVCVDAHVCHH-UHFFFAOYSA-N 0 2 311.301 0.853 20 0 DCADLN COCCn1cc(C(=O)NCCc2n[nH]c(=S)o2)c(C)n1 ZINC001633468081 1171643384 /nfs/dbraw/zinc/64/33/84/1171643384.db2.gz MFVODSFOLVAENR-UHFFFAOYSA-N 0 2 311.367 0.482 20 0 DCADLN CCCCCS(=O)(=O)CC(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001634152631 1171662413 /nfs/dbraw/zinc/66/24/13/1171662413.db2.gz RGCFHDPWGSGUBA-UHFFFAOYSA-N 0 2 317.367 0.109 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cn[nH]c1-c1ccccc1F ZINC001634351065 1171671964 /nfs/dbraw/zinc/67/19/64/1171671964.db2.gz PFSAHARQULLEKB-UHFFFAOYSA-N 0 2 315.264 0.862 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2c(c1)CC(=O)N2 ZINC001645561625 1172056437 /nfs/dbraw/zinc/05/64/37/1172056437.db2.gz GRSGUPDZVWOXBS-UHFFFAOYSA-N 0 2 324.300 0.205 20 0 DCADLN NC(=O)CN1CCC[C@H](NC(=O)c2cc(F)c(O)c(F)c2)C1 ZINC001645681218 1172100306 /nfs/dbraw/zinc/10/03/06/1172100306.db2.gz NFOCJUOONYOMNW-VIFPVBQESA-N 0 2 313.304 0.350 20 0 DCADLN C[C@@H](NC(=O)c1ccc(Cn2ccnn2)o1)c1nn(C)cc1O ZINC001646317249 1172334084 /nfs/dbraw/zinc/33/40/84/1172334084.db2.gz JNNHFTHXZWPGJV-SECBINFHSA-N 0 2 316.321 0.850 20 0 DCADLN CC(C)(O)[C@H]([NH3+])C(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC001646739752 1172501888 /nfs/dbraw/zinc/50/18/88/1172501888.db2.gz HFEZOEMMFNBQKV-CYBMUJFWSA-N 0 2 306.341 0.726 20 0 DCADLN [NH3+]Cc1ncc(C(=O)N[C@@H]2CCC[N@@H+]3CCSC[C@H]23)cn1 ZINC001646791465 1172535357 /nfs/dbraw/zinc/53/53/57/1172535357.db2.gz MTHKPVJPJBDYDF-VXGBXAGGSA-N 0 2 307.423 0.245 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C1CCOCC1 ZINC001647421791 1172878748 /nfs/dbraw/zinc/87/87/48/1172878748.db2.gz LPZSYHVEGARRIY-DGCLKSJQSA-N 0 2 307.350 0.442 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)N2CC[C@@H](c3nnc[nH]3)C2)cc1=O ZINC001650064973 1173416990 /nfs/dbraw/zinc/41/69/90/1173416990.db2.gz ULMUVJAFQWBJIS-LLVKDONJSA-N 0 2 318.381 0.509 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)N2CC[C@@H](c3nc[nH]n3)C2)cc1=O ZINC001650064973 1173416996 /nfs/dbraw/zinc/41/69/96/1173416996.db2.gz ULMUVJAFQWBJIS-LLVKDONJSA-N 0 2 318.381 0.509 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)N2CC[C@H](c3nn[nH]n3)C2)c1 ZINC001650857896 1173734354 /nfs/dbraw/zinc/73/43/54/1173734354.db2.gz LEMHGDDDVLWVQI-VIFPVBQESA-N 0 2 301.306 0.656 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCC1)c1ccc(Cc2nnc[nH]2)cc1 ZINC001651218661 1173745144 /nfs/dbraw/zinc/74/51/44/1173745144.db2.gz PXHWVJMQURFFPT-UHFFFAOYSA-N 0 2 324.348 0.718 20 0 DCADLN CN(CCn1cnnc1)C(=O)C1=NN(c2ccccc2)CC1=O ZINC001651267418 1173746333 /nfs/dbraw/zinc/74/63/33/1173746333.db2.gz TYRQFWLKBNEYSR-UHFFFAOYSA-N 0 2 312.333 0.942 20 0 DCADLN Nc1nc2nc(CN3CCc4ncsc4C3)cc(=O)n2[nH]1 ZINC001653325518 1173786297 /nfs/dbraw/zinc/78/62/97/1173786297.db2.gz OMPVUIHERKPNGY-UHFFFAOYSA-N 0 2 303.351 0.015 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2n[nH]c(=S)n2c1 ZINC001654365996 1173803420 /nfs/dbraw/zinc/80/34/20/1173803420.db2.gz KGMLBDIDTQBQHH-UHFFFAOYSA-N 0 2 319.350 0.204 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccnn1CC1CCC1 ZINC001654365868 1173803695 /nfs/dbraw/zinc/80/36/95/1173803695.db2.gz FUHTXBZIHPPDLH-UHFFFAOYSA-N 0 2 304.354 0.870 20 0 DCADLN COCc1nc(CNS(=O)(=O)c2ccc(F)nc2F)n[nH]1 ZINC001655924237 1173830669 /nfs/dbraw/zinc/83/06/69/1173830669.db2.gz FQCYSZOUMAAWIP-UHFFFAOYSA-N 0 2 319.293 0.103 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2ccc3[nH]c(=O)oc3c2)n1 ZINC001666276464 1174596163 /nfs/dbraw/zinc/59/61/63/1174596163.db2.gz LFIZJMXRVOOBBC-UHFFFAOYSA-N 0 2 324.322 0.902 20 0 DCADLN Cc1noc(C[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001689797131 1177050865 /nfs/dbraw/zinc/05/08/65/1177050865.db2.gz KLRVACYOMNYLQO-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN Cc1noc(C[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001689797131 1177050874 /nfs/dbraw/zinc/05/08/74/1177050874.db2.gz KLRVACYOMNYLQO-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN COCCOCCN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001699714027 1178260763 /nfs/dbraw/zinc/26/07/63/1178260763.db2.gz NROZFCXKRUTXKA-JTQLQIEISA-N 0 2 316.295 0.692 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1csc(=O)[nH]1 ZINC001720130353 1178681955 /nfs/dbraw/zinc/68/19/55/1178681955.db2.gz QOZIABQAPFCWAU-RXMQYKEDSA-N 0 2 315.248 0.595 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1csc(=O)[nH]1 ZINC001720130353 1178681957 /nfs/dbraw/zinc/68/19/57/1178681957.db2.gz QOZIABQAPFCWAU-RXMQYKEDSA-N 0 2 315.248 0.595 20 0 DCADLN O=C(COCC1CC1)NCCNC(=O)C(F)C(F)(F)F ZINC001703109423 1179299396 /nfs/dbraw/zinc/29/93/96/1179299396.db2.gz ATQPTLZWEGOCKT-SECBINFHSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(COCC1CC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703109423 1179299401 /nfs/dbraw/zinc/29/94/01/1179299401.db2.gz ATQPTLZWEGOCKT-SECBINFHSA-N 0 2 300.252 0.546 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCNC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC001703278678 1179439282 /nfs/dbraw/zinc/43/92/82/1179439282.db2.gz YVYOUMULUNXUQL-CMPLNLGQSA-N 0 2 308.382 0.308 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1nncn1C ZINC001713010444 1180623826 /nfs/dbraw/zinc/62/38/26/1180623826.db2.gz DMXSOKWUJPCNJH-UHFFFAOYSA-N 0 2 321.385 0.194 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1nncn1C ZINC001713010444 1180623829 /nfs/dbraw/zinc/62/38/29/1180623829.db2.gz DMXSOKWUJPCNJH-UHFFFAOYSA-N 0 2 321.385 0.194 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2ccccc2[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001731792492 1185490127 /nfs/dbraw/zinc/49/01/27/1185490127.db2.gz BFZKZDZEDGGILO-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2ccccc2[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001731792492 1185490133 /nfs/dbraw/zinc/49/01/33/1185490133.db2.gz BFZKZDZEDGGILO-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN NC(=O)CCCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001742497300 1187995174 /nfs/dbraw/zinc/99/51/74/1187995174.db2.gz MEFFOHUHCQKCIE-VIFPVBQESA-N 0 2 315.267 0.165 20 0 DCADLN NC(=O)CCCCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001742497300 1187995178 /nfs/dbraw/zinc/99/51/78/1187995178.db2.gz MEFFOHUHCQKCIE-VIFPVBQESA-N 0 2 315.267 0.165 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H]1CCOC1)C(F)C(F)(F)F ZINC001747118559 1188240357 /nfs/dbraw/zinc/24/03/57/1188240357.db2.gz JFSHQTQJLRRUQI-FXFPHERDSA-N 0 2 312.263 0.712 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H]1CCOC1)[C@H](F)C(F)(F)F ZINC001747118559 1188240360 /nfs/dbraw/zinc/24/03/60/1188240360.db2.gz JFSHQTQJLRRUQI-FXFPHERDSA-N 0 2 312.263 0.712 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H]1CCOC1)C(F)C(F)(F)F ZINC001747118558 1188240568 /nfs/dbraw/zinc/24/05/68/1188240568.db2.gz JFSHQTQJLRRUQI-ABKJGRNXSA-N 0 2 312.263 0.712 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H]1CCOC1)[C@H](F)C(F)(F)F ZINC001747118558 1188240573 /nfs/dbraw/zinc/24/05/73/1188240573.db2.gz JFSHQTQJLRRUQI-ABKJGRNXSA-N 0 2 312.263 0.712 20 0 DCADLN C[C@@H]1CCC[N@@H+]1CC(=O)NCCCNC(=O)CCn1cc[nH+]c1 ZINC001758220645 1189786775 /nfs/dbraw/zinc/78/67/75/1189786775.db2.gz TXNYVACPGMPIBJ-CQSZACIVSA-N 0 2 321.425 0.380 20 0 DCADLN CCOC(=O)C1(NC(=O)C[C@@H]2SC(=N)NC2=O)CCCC1 ZINC001771612568 1190451166 /nfs/dbraw/zinc/45/11/66/1190451166.db2.gz WITXIKSFTJDMQG-QMMMGPOBSA-N 0 2 313.379 0.535 20 0 DCADLN C[C@H](NC(=O)[C@@H]1C[C@H](C)[C@@H](C(=O)[O-])O1)[C@H](C)[NH+]1CCOCC1 ZINC001771921824 1190557567 /nfs/dbraw/zinc/55/75/67/1190557567.db2.gz ZMOJUPILXSDHRQ-VLJOUNFMSA-N 0 2 314.382 0.090 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)c1ccccn1 ZINC001771921593 1190557890 /nfs/dbraw/zinc/55/78/90/1190557890.db2.gz QAZZLCPCMCGGSO-GXFFZTMASA-N 0 2 300.318 0.783 20 0 DCADLN O=C([O-])c1ccc(F)c(S(=O)(=O)NCC[NH+]2CC=CC2)c1 ZINC000392253023 1190701384 /nfs/dbraw/zinc/70/13/84/1190701384.db2.gz KLCCRUAKFKEJPV-UHFFFAOYSA-N 0 2 314.338 0.674 20 0 DCADLN Cc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001042422680 751634262 /nfs/dbraw/zinc/63/42/62/751634262.db2.gz HUOFLTKEOSUASY-UHFFFAOYSA-N 0 2 307.379 0.837 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001043103751 752076462 /nfs/dbraw/zinc/07/64/62/752076462.db2.gz ZORSAESQOQKIPB-UHFFFAOYSA-N 0 2 304.354 0.412 20 0 DCADLN CO[C@H](C)c1noc(C[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001125729369 747540658 /nfs/dbraw/zinc/54/06/58/747540658.db2.gz YOKULRLTVKRTFC-MRVPVSSYSA-N 0 2 324.341 0.034 20 0 DCADLN C[C@@]1(CNC(=O)C2CCC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107677012 752202999 /nfs/dbraw/zinc/20/29/99/752202999.db2.gz HPPJFUXONVKPCZ-CQSZACIVSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1c(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)ccn1C ZINC001088842601 748574301 /nfs/dbraw/zinc/57/43/01/748574301.db2.gz QDYHQIJUXXZFMI-PWSUYJOCSA-N 0 2 318.381 0.550 20 0 DCADLN Cc1c(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)ccn1C ZINC001088842601 748574304 /nfs/dbraw/zinc/57/43/04/748574304.db2.gz QDYHQIJUXXZFMI-PWSUYJOCSA-N 0 2 318.381 0.550 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccc[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087501088 748863436 /nfs/dbraw/zinc/86/34/36/748863436.db2.gz NYBFJLHJQQXCSM-GXSJLCMTSA-N 0 2 304.354 0.621 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccc[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087501088 748863440 /nfs/dbraw/zinc/86/34/40/748863440.db2.gz NYBFJLHJQQXCSM-GXSJLCMTSA-N 0 2 304.354 0.621 20 0 DCADLN CC(=O)N1CCC[C@@H](Oc2[nH]c(=O)nnc2Br)C1 ZINC001227065281 749132038 /nfs/dbraw/zinc/13/20/38/749132038.db2.gz ZUVZQUUYIXWFLK-SSDOTTSWSA-N 0 2 317.143 0.729 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CC=CCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088465071 749472080 /nfs/dbraw/zinc/47/20/80/749472080.db2.gz DOCZFFRYDVVWOY-UTUOFQBUSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CC=CCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088465071 749472084 /nfs/dbraw/zinc/47/20/84/749472084.db2.gz DOCZFFRYDVVWOY-UTUOFQBUSA-N 0 2 305.382 0.946 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CCC1CCC1 ZINC001212100040 749789698 /nfs/dbraw/zinc/78/96/98/749789698.db2.gz BSYJHXDAEMOKDP-VXGBXAGGSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)[nH]1 ZINC001088865289 750671085 /nfs/dbraw/zinc/67/10/85/750671085.db2.gz GDWPROJRIHRMDB-SCZZXKLOSA-N 0 2 319.369 0.243 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)[nH]1 ZINC001088865289 750671090 /nfs/dbraw/zinc/67/10/90/750671090.db2.gz GDWPROJRIHRMDB-SCZZXKLOSA-N 0 2 319.369 0.243 20 0 DCADLN O=C(COCC1CCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112975019 762062347 /nfs/dbraw/zinc/06/23/47/762062347.db2.gz YRFKVXCLBKKCCI-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088925896 750729644 /nfs/dbraw/zinc/72/96/44/750729644.db2.gz XSLCTYADYYPGEN-ZJUUUORDSA-N 0 2 319.369 0.243 20 0 DCADLN Cc1cc(C)nc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106141287 750978042 /nfs/dbraw/zinc/97/80/42/750978042.db2.gz AOTWMXRHWBPKRD-RKDXNWHRSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1cc(C)nc(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001106141287 750978043 /nfs/dbraw/zinc/97/80/43/750978043.db2.gz AOTWMXRHWBPKRD-RKDXNWHRSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1nsc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142387 750978963 /nfs/dbraw/zinc/97/89/63/750978963.db2.gz OVPWMVVFKWSDLR-WDSKDSINSA-N 0 2 316.280 0.636 20 0 DCADLN Cc1nsc(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001106142387 750978966 /nfs/dbraw/zinc/97/89/66/750978966.db2.gz OVPWMVVFKWSDLR-WDSKDSINSA-N 0 2 316.280 0.636 20 0 DCADLN Cc1ncc(C)c(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142819 750980456 /nfs/dbraw/zinc/98/04/56/750980456.db2.gz ZCAWQSWDAWWNGB-RKDXNWHRSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1ncc(C)c(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001106142819 750980457 /nfs/dbraw/zinc/98/04/57/750980457.db2.gz ZCAWQSWDAWWNGB-RKDXNWHRSA-N 0 2 324.278 0.883 20 0 DCADLN C[C@H]1CCCC[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043687271 752350481 /nfs/dbraw/zinc/35/04/81/752350481.db2.gz NMDSAEBAVPWMCS-CMPLNLGQSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)c1conc1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043828641 752407098 /nfs/dbraw/zinc/40/70/98/752407098.db2.gz IREPZCYCEQFKOY-UHFFFAOYSA-N 0 2 318.337 0.332 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1C ZINC001044275458 752658020 /nfs/dbraw/zinc/65/80/20/752658020.db2.gz GIHQYVMWYYEJBX-UHFFFAOYSA-N 0 2 318.381 0.422 20 0 DCADLN CC1(NC(=O)c2cocn2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045387900 753174695 /nfs/dbraw/zinc/17/46/95/753174695.db2.gz DPBRBJINGLPQHZ-UHFFFAOYSA-N 0 2 306.326 0.283 20 0 DCADLN C[C@]1(NC(=O)c2cccs2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046116301 753487819 /nfs/dbraw/zinc/48/78/19/753487819.db2.gz UQYHZKQGAGMARZ-ZDUSSCGKSA-N 0 2 307.379 0.966 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC)[NH+](C)C ZINC001212460719 753492684 /nfs/dbraw/zinc/49/26/84/753492684.db2.gz KNTXQJZCAQDKNQ-RBSFLKMASA-N 0 2 324.425 0.639 20 0 DCADLN CCc1noc([C@H](C)[N@@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046442824 753722208 /nfs/dbraw/zinc/72/22/08/753722208.db2.gz ROHILBNAUXIBJI-LKFCYVNXSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1noc([C@H](C)[N@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046442824 753722211 /nfs/dbraw/zinc/72/22/11/753722211.db2.gz ROHILBNAUXIBJI-LKFCYVNXSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nocc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046600449 753821156 /nfs/dbraw/zinc/82/11/56/753821156.db2.gz NTRFNQWMCVUVBD-CQSZACIVSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1c(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1C ZINC001046606718 753825184 /nfs/dbraw/zinc/82/51/84/753825184.db2.gz GOXJJNBFORXHJL-OAHLLOKOSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1c(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1C ZINC001046606718 753825192 /nfs/dbraw/zinc/82/51/92/753825192.db2.gz GOXJJNBFORXHJL-OAHLLOKOSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)n1 ZINC001096135484 754018784 /nfs/dbraw/zinc/01/87/84/754018784.db2.gz SIUNPEUBBITNOX-UTLUCORTSA-N 0 2 317.353 0.077 20 0 DCADLN CSCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049310876 755220491 /nfs/dbraw/zinc/22/04/91/755220491.db2.gz BSFCDJOFGCHJRI-UWVGGRQHSA-N 0 2 311.411 0.439 20 0 DCADLN CSCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049310876 755220492 /nfs/dbraw/zinc/22/04/92/755220492.db2.gz BSFCDJOFGCHJRI-UWVGGRQHSA-N 0 2 311.411 0.439 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C2CC2)CCO1)C(F)C(F)(F)F ZINC001064732988 755481609 /nfs/dbraw/zinc/48/16/09/755481609.db2.gz JUFPVKQVXWVIOG-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C2CC2)CCO1)[C@H](F)C(F)(F)F ZINC001064732988 755481612 /nfs/dbraw/zinc/48/16/12/755481612.db2.gz JUFPVKQVXWVIOG-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1(C2CC2)CC1 ZINC001097285657 755639601 /nfs/dbraw/zinc/63/96/01/755639601.db2.gz RZGFLGJLGLRHKO-UTUOFQBUSA-N 0 2 317.393 0.922 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCCCO1 ZINC001079577790 755914647 /nfs/dbraw/zinc/91/46/47/755914647.db2.gz PYOVCOXBWKSGQB-GMTAPVOTSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCCCO1 ZINC001079577790 755914649 /nfs/dbraw/zinc/91/46/49/755914649.db2.gz PYOVCOXBWKSGQB-GMTAPVOTSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H](C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1)C1CC1 ZINC001053768171 756192523 /nfs/dbraw/zinc/19/25/23/756192523.db2.gz UZVGMSHTQVALSV-MWLCHTKSSA-N 0 2 321.381 0.016 20 0 DCADLN COC[C@H](C)[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774847 756197196 /nfs/dbraw/zinc/19/71/96/756197196.db2.gz KWBFAGWKBRBADI-ONGXEEELSA-N 0 2 324.381 0.032 20 0 DCADLN CC1(C(=O)N[C@H]2COC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)CCC1 ZINC001053896132 756265214 /nfs/dbraw/zinc/26/52/14/756265214.db2.gz RKNRVVNOTPSUHH-SNVBAGLBSA-N 0 2 321.381 0.160 20 0 DCADLN CCC[N@@H+](C)CC(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001097989640 756785595 /nfs/dbraw/zinc/78/55/95/756785595.db2.gz CEKHLNBOFKQUPE-LLVKDONJSA-N 0 2 319.413 0.622 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084238654 757756195 /nfs/dbraw/zinc/75/61/95/757756195.db2.gz JOIOPGOVQRUNDR-NXEZZACHSA-N 0 2 321.381 0.158 20 0 DCADLN Cc1nc(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)co1 ZINC001084297093 757762334 /nfs/dbraw/zinc/76/23/34/757762334.db2.gz MZMZOCGWJVAZOU-MWLCHTKSSA-N 0 2 318.337 0.153 20 0 DCADLN CC(=O)NCc1cccc2c1CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001051272663 758562169 /nfs/dbraw/zinc/56/21/69/758562169.db2.gz HENLAOHVGWQFPV-UHFFFAOYSA-N 0 2 301.350 0.705 20 0 DCADLN CC(=O)NCc1cccc2c1CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001051272663 758562175 /nfs/dbraw/zinc/56/21/75/758562175.db2.gz HENLAOHVGWQFPV-UHFFFAOYSA-N 0 2 301.350 0.705 20 0 DCADLN CCc1nc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001085555156 759036592 /nfs/dbraw/zinc/03/65/92/759036592.db2.gz MXHULTVXIYHVEQ-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001108228426 759531089 /nfs/dbraw/zinc/53/10/89/759531089.db2.gz VYTSZBSLYCEHDQ-HFAKWTLXSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1ccc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001122761317 767870746 /nfs/dbraw/zinc/87/07/46/767870746.db2.gz QGLRLXFNWVCZKR-CBAPKCEASA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccc(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001122761317 767870750 /nfs/dbraw/zinc/87/07/50/767870750.db2.gz QGLRLXFNWVCZKR-CBAPKCEASA-N 0 2 310.251 0.574 20 0 DCADLN NC(=O)c1cc(F)c(Cl)nc1N[C@@H]1SC(=O)NC1=O ZINC001155837139 760194746 /nfs/dbraw/zinc/19/47/46/760194746.db2.gz KHLATIADSPJQSU-MRVPVSSYSA-N 0 2 304.690 0.694 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)c2cc[nH+]c(C)n2)c1[O-] ZINC001108901410 761128460 /nfs/dbraw/zinc/12/84/60/761128460.db2.gz MAOOVAGYOOEPQZ-QMMMGPOBSA-N 0 2 304.354 0.777 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109185591 761281228 /nfs/dbraw/zinc/28/12/28/761281228.db2.gz FHAIGBFXBMHUOR-USZNOCQGSA-N 0 2 323.397 0.547 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109185591 761281232 /nfs/dbraw/zinc/28/12/32/761281232.db2.gz FHAIGBFXBMHUOR-USZNOCQGSA-N 0 2 323.397 0.547 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cnn(C)c2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071338456 761784602 /nfs/dbraw/zinc/78/46/02/761784602.db2.gz UCQKBJAPHTWQDI-GXSJLCMTSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cncnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071447422 761865570 /nfs/dbraw/zinc/86/55/70/761865570.db2.gz MQGPALFSILLWDO-ONGXEEELSA-N 0 2 317.353 0.083 20 0 DCADLN CO[C@@H](C(=O)N[C@@H](C)c1nn(C)cc1O)C(=O)OC(C)(C)C ZINC001158307663 763724943 /nfs/dbraw/zinc/72/49/43/763724943.db2.gz QVUZNIMTIATTDI-KWQFWETISA-N 0 2 313.354 0.660 20 0 DCADLN C[C@@H](CN(C)C(=O)COCC1CC1)Nc1ccc2nnnn2n1 ZINC001115616243 765768462 /nfs/dbraw/zinc/76/84/62/765768462.db2.gz IHKLGRFUWNEFGA-JTQLQIEISA-N 0 2 319.369 0.205 20 0 DCADLN Cc1cc(N[C@@H](C)CN(C)C(=O)c2cnccn2)nc(CO)n1 ZINC001115615075 765768768 /nfs/dbraw/zinc/76/87/68/765768768.db2.gz PJKYJHOOYYTEQD-NSHDSACASA-N 0 2 316.365 0.640 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc2[nH]ccc21 ZINC001116514321 765878550 /nfs/dbraw/zinc/87/85/50/765878550.db2.gz WNFJJNDDWGORPU-UHFFFAOYSA-N 0 2 300.278 0.247 20 0 DCADLN O=C(Cn1cc[nH+]c1)N[C@@H]1CC[N@H+](Cc2ccccc2)C[C@@H]1O ZINC001090847295 766663852 /nfs/dbraw/zinc/66/38/52/766663852.db2.gz QRGMIWKVJCJKFV-CVEARBPZSA-N 0 2 314.389 0.635 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[NH2+]CC(=O)NCC(C)(C)C ZINC001149124533 767762555 /nfs/dbraw/zinc/76/25/55/767762555.db2.gz TYBLTFJLYMVMBQ-UHFFFAOYSA-N 0 2 309.414 0.129 20 0 DCADLN O=C(CCCn1c(=O)[nH]c2ccccc21)NCc1n[nH]c(=O)[nH]1 ZINC001142461820 768647003 /nfs/dbraw/zinc/64/70/03/768647003.db2.gz KXGUNVVXJXBIOO-UHFFFAOYSA-N 0 2 316.321 0.662 20 0 DCADLN CC(=O)O[C@H]1CO[C@@H]2[C@H](Oc3nc4[nH]ccc(C)c-4n3)CO[C@@H]21 ZINC001231063618 769162249 /nfs/dbraw/zinc/16/22/49/769162249.db2.gz LHDRBCULMHGRML-XKAARJIMSA-N 0 2 319.317 0.743 20 0 DCADLN CN1CC[N@H+](C)C2(CCN(Cc3[nH]nc4c3CCC4)CC2)C1=O ZINC001232426986 769313980 /nfs/dbraw/zinc/31/39/80/769313980.db2.gz LHOTWHMQUWTOLJ-UHFFFAOYSA-N 0 2 317.437 0.637 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCc1ccoc1 ZINC001233590677 769404319 /nfs/dbraw/zinc/40/43/19/769404319.db2.gz QGEOJGHMHXKDOE-LBPRGKRZSA-N 0 2 319.365 0.769 20 0 DCADLN CC[C@H](C)OCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233728819 769434646 /nfs/dbraw/zinc/43/46/46/769434646.db2.gz CQASGTINHUFZPV-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1ccoc1 ZINC001233871631 769479073 /nfs/dbraw/zinc/47/90/73/769479073.db2.gz FECRLHUSPPDQLP-NSHDSACASA-N 0 2 305.338 0.379 20 0 DCADLN Cc1ncc(CC(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001235317506 769808261 /nfs/dbraw/zinc/80/82/61/769808261.db2.gz BSPJFECCJQLTNU-SNVBAGLBSA-N 0 2 320.353 0.082 20 0 DCADLN Cc1cnc(CCNC(=O)c2c[nH]c3cccnc3c2=O)nc1 ZINC001153853750 769963301 /nfs/dbraw/zinc/96/33/01/769963301.db2.gz CFHUDPRAYQQPQM-UHFFFAOYSA-N 0 2 309.329 0.994 20 0 DCADLN CN1CCC[C@H](NC(=O)c2c[nH]c3cccnc3c2=O)C1=O ZINC001153862564 769972187 /nfs/dbraw/zinc/97/21/87/769972187.db2.gz ZGOPPHMMKOUMEP-NSHDSACASA-N 0 2 300.318 0.274 20 0 DCADLN CCCCC(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001176916944 770250670 /nfs/dbraw/zinc/25/06/70/770250670.db2.gz ABBJFWVUGGUSBE-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN Cc1nc([C@H](C)[NH2+]CCCNC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001156626703 770880224 /nfs/dbraw/zinc/88/02/24/770880224.db2.gz NFYPWIANUVQTTR-QMMMGPOBSA-N 0 2 308.342 0.586 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccc2c1OCCCO2 ZINC001156715602 770903773 /nfs/dbraw/zinc/90/37/73/770903773.db2.gz ZHUKZADXZHSZJI-GFCCVEGCSA-N 0 2 308.315 0.887 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H]1C[C@@]12C(=O)Nc1ccccc12 ZINC001179432311 771004423 /nfs/dbraw/zinc/00/44/23/771004423.db2.gz XIEBCVOUXICIJD-NRBYFXAUSA-N 0 2 317.326 0.322 20 0 DCADLN Cc1nc2ccnn2cc1C(=O)N[C@H](C)c1nn(C)cc1O ZINC001179925903 771034431 /nfs/dbraw/zinc/03/44/31/771034431.db2.gz BTIDICCJHPUKTB-SECBINFHSA-N 0 2 300.322 0.968 20 0 DCADLN COc1cc(C)c[nH+]c1N[C@@H]1C(=O)N(S(=O)(=O)[O-])[C@H]1C ZINC001157070251 771527150 /nfs/dbraw/zinc/52/71/50/771527150.db2.gz DQAUDORZEIQREU-CBAPKCEASA-N 0 2 301.324 0.213 20 0 DCADLN C[C@@H]1C[C@]1(C(=O)NCCCc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC001182832365 771562812 /nfs/dbraw/zinc/56/28/12/771562812.db2.gz JIQIKWSCDNYCQG-PSLIRLAXSA-N 0 2 324.381 0.927 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H]1CCN1Cc1ccccc1 ZINC001183751695 771701752 /nfs/dbraw/zinc/70/17/52/771701752.db2.gz FHYGUUGBWAAILT-GWCFXTLKSA-N 0 2 305.359 0.686 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H]1CCOc2ccccc2O1 ZINC001184716212 771816692 /nfs/dbraw/zinc/81/66/92/771816692.db2.gz CFPOZOYCJCNECB-SKDRFNHKSA-N 0 2 308.315 0.642 20 0 DCADLN COC(=O)c1cncc(NC(=O)c2nc(SC)ncc2O)n1 ZINC001185161986 771871645 /nfs/dbraw/zinc/87/16/45/771871645.db2.gz XLINDKQIKAWYIH-UHFFFAOYSA-N 0 2 321.318 0.733 20 0 DCADLN C[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(F)c(C(=O)[O-])c1 ZINC001186171049 772016004 /nfs/dbraw/zinc/01/60/04/772016004.db2.gz JUULWGUDJFNRJC-IUCAKERBSA-N 0 2 314.338 0.601 20 0 DCADLN C[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(F)c(C(=O)[O-])c1 ZINC001186171049 772016010 /nfs/dbraw/zinc/01/60/10/772016010.db2.gz JUULWGUDJFNRJC-IUCAKERBSA-N 0 2 314.338 0.601 20 0 DCADLN CCOCCS(=O)(=O)Nc1ncc(C)cc1C(=O)OC ZINC001187246952 772153548 /nfs/dbraw/zinc/15/35/48/772153548.db2.gz ZLICWSABAKGEKA-UHFFFAOYSA-N 0 2 302.352 0.955 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccc(N2CCOCC2)c1 ZINC001187411961 772181507 /nfs/dbraw/zinc/18/15/07/772181507.db2.gz UWDRJYGFLOAWMC-CYBMUJFWSA-N 0 2 321.358 0.562 20 0 DCADLN O=C(Nc1nc(=O)[nH]c2[nH]cnc21)c1[nH]nnc1C(F)(F)F ZINC001187770259 772216948 /nfs/dbraw/zinc/21/69/48/772216948.db2.gz JPGKPWDKHUSLMJ-UHFFFAOYSA-N 0 2 314.187 0.448 20 0 DCADLN O=C(/C=C/C1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110426690 772626129 /nfs/dbraw/zinc/62/61/29/772626129.db2.gz DXVHBFBRBKCZPC-JCZFSZSMSA-N 0 2 303.366 0.698 20 0 DCADLN O=C(/C=C/C1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110426690 772626131 /nfs/dbraw/zinc/62/61/31/772626131.db2.gz DXVHBFBRBKCZPC-JCZFSZSMSA-N 0 2 303.366 0.698 20 0 DCADLN O=S(=O)(Nc1nccnc1Br)c1ncc[nH]1 ZINC001190689969 772665572 /nfs/dbraw/zinc/66/55/72/772665572.db2.gz SBAZLBWFVVCCRZ-UHFFFAOYSA-N 0 2 304.129 0.763 20 0 DCADLN CC(C)NC(=O)C[NH+](C)[C@@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190947600 772696835 /nfs/dbraw/zinc/69/68/35/772696835.db2.gz RCBNWMAICWNCCW-HUUCEWRRSA-N 0 2 324.469 0.528 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]cn1C)NC(=O)c1n[nH]c(C)c1C ZINC001191130321 772730204 /nfs/dbraw/zinc/73/02/04/772730204.db2.gz VXIRKVGWSZXLRV-LLVKDONJSA-N 0 2 305.338 0.274 20 0 DCADLN COC(=O)C1(Nc2ccc([P@@](=O)([O-])O)cc2)CC[NH2+]CC1 ZINC001166967865 772892446 /nfs/dbraw/zinc/89/24/46/772892446.db2.gz NNPOSIVCTFOAEO-UHFFFAOYSA-N 0 2 314.278 0.197 20 0 DCADLN COC(=O)C1(Nc2ccc([P@](=O)([O-])O)cc2)CC[NH2+]CC1 ZINC001166967865 772892451 /nfs/dbraw/zinc/89/24/51/772892451.db2.gz NNPOSIVCTFOAEO-UHFFFAOYSA-N 0 2 314.278 0.197 20 0 DCADLN CC[NH+](CC)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001192960834 772979942 /nfs/dbraw/zinc/97/99/42/772979942.db2.gz UVXFKSJSQGBLPI-ZDUSSCGKSA-N 0 2 308.430 0.190 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnnn1-c1ccccc1 ZINC001193430211 773064865 /nfs/dbraw/zinc/06/48/65/773064865.db2.gz ZOLUHWGCXVYEKS-LLVKDONJSA-N 0 2 303.303 0.306 20 0 DCADLN Cn1cc(Br)cc(NC(=O)c2cc(O)n[nH]2)c1=O ZINC001193472923 773067962 /nfs/dbraw/zinc/06/79/62/773067962.db2.gz IJKXKDHKKDIFOS-UHFFFAOYSA-N 0 2 313.111 0.829 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ncnc3c2cnn3C)[nH]n1 ZINC001194279117 773166006 /nfs/dbraw/zinc/16/60/06/773166006.db2.gz OTHOTQOTHTYWGY-UHFFFAOYSA-N 0 2 301.266 0.125 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ncnc3c2cnn3C)n[nH]1 ZINC001194279117 773166007 /nfs/dbraw/zinc/16/60/07/773166007.db2.gz OTHOTQOTHTYWGY-UHFFFAOYSA-N 0 2 301.266 0.125 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc(C(=O)OC)nc2)[nH]n1 ZINC001194278599 773166101 /nfs/dbraw/zinc/16/61/01/773166101.db2.gz FBLLDPJFMROFFM-UHFFFAOYSA-N 0 2 304.262 0.630 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc(C(=O)OC)nc2)n[nH]1 ZINC001194278599 773166102 /nfs/dbraw/zinc/16/61/02/773166102.db2.gz FBLLDPJFMROFFM-UHFFFAOYSA-N 0 2 304.262 0.630 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(C(N)=O)ccc2C)[nH]n1 ZINC001194288450 773177812 /nfs/dbraw/zinc/17/78/12/773177812.db2.gz DARTVHAWFCMEKZ-UHFFFAOYSA-N 0 2 302.290 0.856 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(C(N)=O)ccc2C)n[nH]1 ZINC001194288450 773177815 /nfs/dbraw/zinc/17/78/15/773177815.db2.gz DARTVHAWFCMEKZ-UHFFFAOYSA-N 0 2 302.290 0.856 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnc(OC)nc2C)cn1 ZINC001195695160 773464049 /nfs/dbraw/zinc/46/40/49/773464049.db2.gz PLVSAWDJIZCZQZ-UHFFFAOYSA-N 0 2 310.335 0.998 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cc(C(N)=O)ccc1Cl ZINC001195973628 773509311 /nfs/dbraw/zinc/50/93/11/773509311.db2.gz WLQBOOMYENRYQF-UHFFFAOYSA-N 0 2 320.754 0.744 20 0 DCADLN COCc1[nH]nc2c1CN(C(=O)c1c[nH]c(=S)[nH]c1=O)C2 ZINC001196013625 773520109 /nfs/dbraw/zinc/52/01/09/773520109.db2.gz KDDWBKSZBYTWCR-UHFFFAOYSA-N 0 2 307.335 0.496 20 0 DCADLN O=C(NOCc1cccnc1)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001210458479 773709895 /nfs/dbraw/zinc/70/98/95/773709895.db2.gz NRYKNIJYAIUUTQ-UHFFFAOYSA-N 0 2 317.309 0.345 20 0 DCADLN Cn1cnc2c1ncnc2NS(=O)(=O)Cc1ccccn1 ZINC001197789256 773801010 /nfs/dbraw/zinc/80/10/10/773801010.db2.gz QERRJJKXXHVFIF-UHFFFAOYSA-N 0 2 304.335 0.700 20 0 DCADLN Cn1cc2cccc(-c3noc(-c4c[nH]c(=O)c(=O)[nH]4)n3)c2n1 ZINC001213927823 773902351 /nfs/dbraw/zinc/90/23/51/773902351.db2.gz DBVDUXPMLXBYDZ-UHFFFAOYSA-N 0 2 310.273 0.667 20 0 DCADLN COC[C@@H](O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1[nH]cc[nH+]1)C2 ZINC001110511506 773936540 /nfs/dbraw/zinc/93/65/40/773936540.db2.gz HEOBUDBEBLKKPB-RQJABVFESA-N 0 2 322.409 0.071 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cnn(C2CCOCC2)c1 ZINC001218589111 774257303 /nfs/dbraw/zinc/25/73/03/774257303.db2.gz SFEPKKDNABKIGM-ZDUSSCGKSA-N 0 2 304.354 0.466 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc2c(cnn2CCO)c1 ZINC001218878965 774318719 /nfs/dbraw/zinc/31/87/19/774318719.db2.gz KHLDBJBDHPYVEM-CYBMUJFWSA-N 0 2 314.349 0.260 20 0 DCADLN COC(=O)c1ccc(CS(=O)(=O)Nc2cnn(C)n2)cc1 ZINC001201110293 774447880 /nfs/dbraw/zinc/44/78/80/774447880.db2.gz SQIXIJFPSKOZHY-UHFFFAOYSA-N 0 2 310.335 0.544 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCC1CCCC1 ZINC001222707773 775421491 /nfs/dbraw/zinc/42/14/91/775421491.db2.gz IGBAIYNKUBLQJU-CYBMUJFWSA-N 0 2 307.412 0.782 20 0 DCADLN O=C(Cc1c[nH]c[nH+]1)NCC1CC[NH+]([C@@H]2CCCNC2=O)CC1 ZINC001224665572 775595524 /nfs/dbraw/zinc/59/55/24/775595524.db2.gz KJPKPSCSSAEYFA-CQSZACIVSA-N 0 2 319.409 0.059 20 0 DCADLN O=c1c([O-])c(O[C@@H]2CCC[N@H+](Cc3ccccc3)C2)c(=O)c1=O ZINC001225831512 775726310 /nfs/dbraw/zinc/72/63/10/775726310.db2.gz WLVYOCJQOBCXAT-GFCCVEGCSA-N 0 2 315.325 0.392 20 0 DCADLN O=c1c([O-])c(O[C@@H]2CCC[N@@H+](Cc3ccccc3)C2)c(=O)c1=O ZINC001225831512 775726321 /nfs/dbraw/zinc/72/63/21/775726321.db2.gz WLVYOCJQOBCXAT-GFCCVEGCSA-N 0 2 315.325 0.392 20 0 DCADLN CCOC(=O)[C@@H](Oc1[nH]c(=O)nc2nc[nH]c21)C(F)(F)F ZINC001227071046 775922026 /nfs/dbraw/zinc/92/20/26/775922026.db2.gz VGBDBTKVXKAUOS-RXMQYKEDSA-N 0 2 306.200 0.931 20 0 DCADLN CO[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CCC1 ZINC001228493850 776090839 /nfs/dbraw/zinc/09/08/39/776090839.db2.gz VHRBUXWEWLGNKZ-CYBMUJFWSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(CC1CC1)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041140539 777083992 /nfs/dbraw/zinc/08/39/92/777083992.db2.gz FWVSXENQVYESMF-SECBINFHSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(CC1CC1)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001041140539 777083997 /nfs/dbraw/zinc/08/39/97/777083997.db2.gz FWVSXENQVYESMF-SECBINFHSA-N 0 2 312.263 0.376 20 0 DCADLN CCS(=O)(=O)C1(CNCc2ccc(-c3nn[nH]n3)o2)CC1 ZINC001590845331 1167035947 /nfs/dbraw/zinc/03/59/47/1167035947.db2.gz KWEUJKPNVBZXNY-UHFFFAOYSA-N 0 2 311.367 0.517 20 0 DCADLN Cc1nc(N2C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C2)nc(N)[nH+]1 ZINC001600709928 1168259681 /nfs/dbraw/zinc/25/96/81/1168259681.db2.gz YWPATOXUSQUECK-RQJHMYQMSA-N 0 2 305.260 0.852 20 0 DCADLN CC(C)(C)OC(=O)C[N@@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001603374084 1169301410 /nfs/dbraw/zinc/30/14/10/1169301410.db2.gz ZHBVWVPTBYUHKU-JTQLQIEISA-N 0 2 310.354 0.955 20 0 DCADLN CC(C)(C)OC(=O)C[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001603374084 1169301417 /nfs/dbraw/zinc/30/14/17/1169301417.db2.gz ZHBVWVPTBYUHKU-JTQLQIEISA-N 0 2 310.354 0.955 20 0 DCADLN C[N@H+](CCNC(=O)c1[nH]cnc1C(C)(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001480866231 939053471 /nfs/dbraw/zinc/05/34/71/939053471.db2.gz WMUIFYRAEGHMFX-UHFFFAOYSA-N 0 2 321.385 0.393 20 0 DCADLN C[N@@H+](CCNC(=O)c1[nH]cnc1C(C)(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001480866231 939053474 /nfs/dbraw/zinc/05/34/74/939053474.db2.gz WMUIFYRAEGHMFX-UHFFFAOYSA-N 0 2 321.385 0.393 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCO[C@@H]2C[N@@H+](CC3CC3)C[C@@H]21 ZINC001083219965 939233790 /nfs/dbraw/zinc/23/37/90/939233790.db2.gz WOMUURVBTUHZRR-VBNZEHGJSA-N 0 2 318.421 0.910 20 0 DCADLN C[N@H+](CCNC(=O)c1c[nH]c2ncccc12)Cc1n[nH]c(=O)[n-]1 ZINC001267231424 939397046 /nfs/dbraw/zinc/39/70/46/939397046.db2.gz YTNDIJDRUXUARE-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN C[N@@H+](CCNC(=O)c1c[nH]c2ncccc12)Cc1n[nH]c(=O)[n-]1 ZINC001267231424 939397048 /nfs/dbraw/zinc/39/70/48/939397048.db2.gz YTNDIJDRUXUARE-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN CN(CCNC(=O)Cc1cncn1C)C(=O)C(F)C(F)(F)F ZINC001408634239 939481409 /nfs/dbraw/zinc/48/14/09/939481409.db2.gz MYGOULNOINCKGX-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN Cc1[nH]nc(C(=O)NCC=CC[NH2+]Cc2cnn(C)n2)c1C ZINC001268518292 940212646 /nfs/dbraw/zinc/21/26/46/940212646.db2.gz HANLOLGICLPGDX-SNAWJCMRSA-N 0 2 303.370 0.231 20 0 DCADLN CCCOCC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001482240345 940772606 /nfs/dbraw/zinc/77/26/06/940772606.db2.gz PFHLGRPCHZXQII-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN CN1C(=O)COCC12CN(C(=O)C=C(O)c1ccccc1)C2 ZINC001271008240 941239823 /nfs/dbraw/zinc/23/98/23/941239823.db2.gz JDJPTGNUYYWVPV-UHFFFAOYSA-N 0 2 302.330 0.329 20 0 DCADLN O=C(NC1CN(C(=O)c2csnn2)C1)C(F)C(F)(F)F ZINC001409061624 941301952 /nfs/dbraw/zinc/30/19/52/941301952.db2.gz PYMWXRHUHXRRPO-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)c2csnn2)C1)[C@H](F)C(F)(F)F ZINC001409061624 941301954 /nfs/dbraw/zinc/30/19/54/941301954.db2.gz PYMWXRHUHXRRPO-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN COCC(=O)NC[C@](C)(NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001409519969 942031562 /nfs/dbraw/zinc/03/15/62/942031562.db2.gz ZGMZECHUODRCJI-ONGXEEELSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)NC[C@](C)(NC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001409519969 942031565 /nfs/dbraw/zinc/03/15/65/942031565.db2.gz ZGMZECHUODRCJI-ONGXEEELSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1cn[nH]n1)C(F)C(F)(F)F ZINC001409606961 942081798 /nfs/dbraw/zinc/08/17/98/942081798.db2.gz CEPKTMXAIUFBSO-SVRRBLITSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1cn[nH]n1)[C@H](F)C(F)(F)F ZINC001409606961 942081805 /nfs/dbraw/zinc/08/18/05/942081805.db2.gz CEPKTMXAIUFBSO-SVRRBLITSA-N 0 2 323.250 0.426 20 0 DCADLN Cc1c(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001409621825 942092814 /nfs/dbraw/zinc/09/28/14/942092814.db2.gz AWRBRGRJOMAKMP-SECBINFHSA-N 0 2 306.370 0.408 20 0 DCADLN Cc1c(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001409621825 942092817 /nfs/dbraw/zinc/09/28/17/942092817.db2.gz AWRBRGRJOMAKMP-SECBINFHSA-N 0 2 306.370 0.408 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001412856125 942111107 /nfs/dbraw/zinc/11/11/07/942111107.db2.gz KMDCEEGLHBOTSA-JGVFFNPUSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001412856125 942111108 /nfs/dbraw/zinc/11/11/08/942111108.db2.gz KMDCEEGLHBOTSA-JGVFFNPUSA-N 0 2 311.235 0.122 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)c1cccnc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409648220 942118308 /nfs/dbraw/zinc/11/83/08/942118308.db2.gz PZZMMZFPAFGULF-MNOVXSKESA-N 0 2 318.381 0.646 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)c1cccnc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409648220 942118311 /nfs/dbraw/zinc/11/83/11/942118311.db2.gz PZZMMZFPAFGULF-MNOVXSKESA-N 0 2 318.381 0.646 20 0 DCADLN COc1cccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001409663973 942128108 /nfs/dbraw/zinc/12/81/08/942128108.db2.gz SLWFUIZQAJHYJF-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1cccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001409663973 942128112 /nfs/dbraw/zinc/12/81/12/942128112.db2.gz SLWFUIZQAJHYJF-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)c3ccc(C(=O)[O-])cc3)CC[NH2+]2)cn1 ZINC001600944847 970885968 /nfs/dbraw/zinc/88/59/68/970885968.db2.gz UTVVZHHYRMHHGB-AWEZNQCLSA-N 0 2 314.345 0.905 20 0 DCADLN Cc1ccc(CC(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001483360285 942297917 /nfs/dbraw/zinc/29/79/17/942297917.db2.gz ZLZDAYCKQIIEKR-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1ccc(CC(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001483360285 942297920 /nfs/dbraw/zinc/29/79/20/942297920.db2.gz ZLZDAYCKQIIEKR-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN COc1cccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001483402791 942333868 /nfs/dbraw/zinc/33/38/68/942333868.db2.gz TWLBMSOBTCEFAM-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1cccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001483402791 942333873 /nfs/dbraw/zinc/33/38/73/942333873.db2.gz TWLBMSOBTCEFAM-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)c1cc(S(N)(=O)=O)cnc1C ZINC001413187276 942549948 /nfs/dbraw/zinc/54/99/48/942549948.db2.gz LYCNVUMJOJTARP-UHFFFAOYSA-N 0 2 323.378 0.341 20 0 DCADLN C[NH+](C)CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cc[nH]n1)C2 ZINC001272542390 942814265 /nfs/dbraw/zinc/81/42/65/942814265.db2.gz WGZIRNVLHYOSLK-INIZCTEOSA-N 0 2 321.425 0.022 20 0 DCADLN CO[C@@](C)(CO)CNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001413387957 942944547 /nfs/dbraw/zinc/94/45/47/942944547.db2.gz OSEYLJQHCLHFIK-LLVKDONJSA-N 0 2 324.786 0.881 20 0 DCADLN C[C@H]1[C@@H](O)CCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001413573709 943091581 /nfs/dbraw/zinc/09/15/81/943091581.db2.gz JTWBRDALUMWSAO-CABZTGNLSA-N 0 2 318.333 0.252 20 0 DCADLN COC(=O)c1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1OC ZINC001413611646 943525545 /nfs/dbraw/zinc/52/55/45/943525545.db2.gz VVCGLJGXFYZHAT-UHFFFAOYSA-N 0 2 321.293 0.627 20 0 DCADLN CC1=NO[C@](C)(C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)C1 ZINC001413671459 943561394 /nfs/dbraw/zinc/56/13/94/943561394.db2.gz XDWHLLSQCMBHQT-AWEZNQCLSA-N 0 2 308.338 0.752 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2ccccc2F)[nH]1 ZINC001413675412 943564645 /nfs/dbraw/zinc/56/46/45/943564645.db2.gz YGZHEWOMISHEPB-UHFFFAOYSA-N 0 2 312.326 0.418 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2[C@@H]2CCOC2)S1 ZINC001413704722 943595479 /nfs/dbraw/zinc/59/54/79/943595479.db2.gz VJQHQLRMLBJUDQ-SFYZADRCSA-N 0 2 309.351 0.339 20 0 DCADLN C[C@H](NC(=O)COCC(=O)OC(C)(C)C)c1nn(C)cc1O ZINC001413755733 943667404 /nfs/dbraw/zinc/66/74/04/943667404.db2.gz DWVAHDVUQOSIPF-VIFPVBQESA-N 0 2 313.354 0.661 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491159557 943796146 /nfs/dbraw/zinc/79/61/46/943796146.db2.gz AITCAUGCGQAAJA-CBAPKCEASA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491159557 943796148 /nfs/dbraw/zinc/79/61/48/943796148.db2.gz AITCAUGCGQAAJA-CBAPKCEASA-N 0 2 314.279 0.934 20 0 DCADLN CC(C)[C@@H](F)C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001491221398 943838390 /nfs/dbraw/zinc/83/83/90/943838390.db2.gz GQCDIHHOKZGHIJ-CMPLNLGQSA-N 0 2 313.377 0.975 20 0 DCADLN CN(C[C@H](O)c1cccnc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC001413778168 944085147 /nfs/dbraw/zinc/08/51/47/944085147.db2.gz VKGQRDIHIQABPM-VHSXEESVSA-N 0 2 308.363 0.130 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001414687308 944188031 /nfs/dbraw/zinc/18/80/31/944188031.db2.gz ZJAOHALPGBMSDR-RQJHMYQMSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001414687308 944188038 /nfs/dbraw/zinc/18/80/38/944188038.db2.gz ZJAOHALPGBMSDR-RQJHMYQMSA-N 0 2 323.250 0.378 20 0 DCADLN NC(=O)c1[nH]nc2c1CN(C(=O)c1c(F)ccc(F)c1O)C2 ZINC001276833564 944320292 /nfs/dbraw/zinc/32/02/92/944320292.db2.gz PRGZHUWUROLHRU-UHFFFAOYSA-N 0 2 308.244 0.648 20 0 DCADLN NC(=O)c1cnc2n1CCN(C(=O)c1ccc(O)c(F)c1F)C2 ZINC001276858309 944332208 /nfs/dbraw/zinc/33/22/08/944332208.db2.gz FMXWBYLOXDQBFP-UHFFFAOYSA-N 0 2 322.271 0.622 20 0 DCADLN COC[C@H](C)[NH+]1CC(O)(CNC(=O)C[N@H+](C)CC(C)(C)C)C1 ZINC001446882967 1013058472 /nfs/dbraw/zinc/05/84/72/1013058472.db2.gz GDWALSCHKYMUOS-ZDUSSCGKSA-N 0 2 315.458 0.162 20 0 DCADLN O=C(CCC1CC1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001486504619 944932679 /nfs/dbraw/zinc/93/26/79/944932679.db2.gz FOCZCDPKASQZMR-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN O=C(CCC1CC1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001486504619 944932689 /nfs/dbraw/zinc/93/26/89/944932689.db2.gz FOCZCDPKASQZMR-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN O=C(NC1CC(CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001486606115 944993799 /nfs/dbraw/zinc/99/37/99/944993799.db2.gz RUPBGNNJLRPKTD-QIECLKSESA-N 0 2 323.250 0.330 20 0 DCADLN COCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)CC1(C)C ZINC001487246614 945623822 /nfs/dbraw/zinc/62/38/22/945623822.db2.gz QTJKCOFQLNWBEW-APPZFPTMSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CC1(C)C ZINC001487246614 945623825 /nfs/dbraw/zinc/62/38/25/945623825.db2.gz QTJKCOFQLNWBEW-APPZFPTMSA-N 0 2 314.279 0.886 20 0 DCADLN Nc1cncc(S(=O)(=O)Nc2cnc3c(c2)NCCO3)c1 ZINC001249802955 945644745 /nfs/dbraw/zinc/64/47/45/945644745.db2.gz HKSMOIVNVNIAKZ-UHFFFAOYSA-N 0 2 307.335 0.664 20 0 DCADLN CC(=O)Nc1nc(C)c(S(=O)(=O)Nc2ncn(C)n2)s1 ZINC001250749061 945673195 /nfs/dbraw/zinc/67/31/95/945673195.db2.gz ANJYPTGKMWUARO-UHFFFAOYSA-N 0 2 316.368 0.339 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1c[nH]c(Br)c1 ZINC001252538606 945957918 /nfs/dbraw/zinc/95/79/18/945957918.db2.gz ZILWNQMKRDHGIN-UHFFFAOYSA-N 0 2 314.143 0.964 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnc3nccnc3c2)C1=O ZINC001320831798 946033328 /nfs/dbraw/zinc/03/33/28/946033328.db2.gz UONQCFASYIOKQY-AWEZNQCLSA-N 0 2 314.305 0.390 20 0 DCADLN Cc1ccc(C)c(S(=O)(=O)Nc2cnc(C(N)=O)nc2)c1 ZINC001254718010 946426689 /nfs/dbraw/zinc/42/66/89/946426689.db2.gz LRSIAWPRJDMZNC-UHFFFAOYSA-N 0 2 306.347 0.993 20 0 DCADLN O=C(Cc1cc[nH]n1)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001410567409 946570089 /nfs/dbraw/zinc/57/00/89/946570089.db2.gz SPIRKWFJFYSOLB-WCBMZHEXSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001410567409 946570100 /nfs/dbraw/zinc/57/01/00/946570100.db2.gz SPIRKWFJFYSOLB-WCBMZHEXSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(N2C(=O)CCC2=O)c1 ZINC001322443570 946680107 /nfs/dbraw/zinc/68/01/07/946680107.db2.gz CJDZCZGKDWSPCU-UHFFFAOYSA-N 0 2 315.289 0.094 20 0 DCADLN CCOC(=O)[C@H](NS(=O)(=O)c1ccccn1)c1cnccn1 ZINC001259292758 946940456 /nfs/dbraw/zinc/94/04/56/946940456.db2.gz FJYKSLHLGVXGGB-GFCCVEGCSA-N 0 2 322.346 0.454 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cnon1 ZINC001410792119 946949695 /nfs/dbraw/zinc/94/96/95/946949695.db2.gz CRNIPANJQPNPNZ-ZCFIWIBFSA-N 0 2 310.207 0.349 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1cnon1 ZINC001410792119 946949701 /nfs/dbraw/zinc/94/97/01/946949701.db2.gz CRNIPANJQPNPNZ-ZCFIWIBFSA-N 0 2 310.207 0.349 20 0 DCADLN CS(=O)(=O)c1ccc(NS(=O)(=O)c2cccnc2)cn1 ZINC001259602370 946968661 /nfs/dbraw/zinc/96/86/61/946968661.db2.gz WPKQGJDXVMHGIX-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN COc1ccc(C(N)=O)cc1NS(=O)(=O)c1cccnc1 ZINC001259607836 946971288 /nfs/dbraw/zinc/97/12/88/946971288.db2.gz LPFPWBAAPNYCMQ-UHFFFAOYSA-N 0 2 307.331 0.990 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cc(F)cc(C(N)=O)c2)cn1 ZINC001259838588 946995947 /nfs/dbraw/zinc/99/59/47/946995947.db2.gz WEVIULUEAGTRHN-UHFFFAOYSA-N 0 2 312.326 0.942 20 0 DCADLN Cc1nc(S(C)(=O)=O)ccc1NS(=O)(=O)C(F)F ZINC001259950998 947035699 /nfs/dbraw/zinc/03/56/99/947035699.db2.gz LUNJGLJEUDKEEO-UHFFFAOYSA-N 0 2 300.308 0.758 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccnn1C1CCCC1 ZINC001323445815 947085786 /nfs/dbraw/zinc/08/57/86/947085786.db2.gz OAWVIPIPADSSLI-UHFFFAOYSA-N 0 2 319.325 0.077 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cccnc1-n1cccn1 ZINC001260583019 947113208 /nfs/dbraw/zinc/11/32/08/947113208.db2.gz ISROJAWRHDDPJY-UHFFFAOYSA-N 0 2 316.364 0.011 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cnc(Cl)c(F)c1 ZINC001260584079 947115787 /nfs/dbraw/zinc/11/57/87/947115787.db2.gz ARMBWURHEQYPEE-UHFFFAOYSA-N 0 2 302.736 0.618 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cccc2c1OCCC2 ZINC001260592113 947122089 /nfs/dbraw/zinc/12/20/89/947122089.db2.gz DIFYEXKFHPOSNF-UHFFFAOYSA-N 0 2 305.377 0.755 20 0 DCADLN O=c1[nH]c(=O)c2cc(S(=O)(=O)Nc3ncco3)ccc2[nH]1 ZINC001260610152 947129776 /nfs/dbraw/zinc/12/97/76/947129776.db2.gz DYGWCPAWOITFAT-UHFFFAOYSA-N 0 2 308.275 0.830 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2nnc(-c3cnn(C)c3)o2)n1 ZINC001324758378 947524431 /nfs/dbraw/zinc/52/44/31/947524431.db2.gz HCSXZFZKANRKDP-UHFFFAOYSA-N 0 2 309.311 0.004 20 0 DCADLN C/C(=C/C(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001262179338 947591592 /nfs/dbraw/zinc/59/15/92/947591592.db2.gz LGZJTULBHOZMER-AUSWNFFYSA-N 0 2 300.330 0.289 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCOCC[C@H]1C ZINC001570921770 948627245 /nfs/dbraw/zinc/62/72/45/948627245.db2.gz OHVBMVPOZFJRNA-SECBINFHSA-N 0 2 318.337 0.527 20 0 DCADLN Nc1nc2nc(C[N@@H+]3CCc4sccc4C3)cc(=O)n2[n-]1 ZINC001328640810 949002881 /nfs/dbraw/zinc/00/28/81/949002881.db2.gz UZGMKAJFESOERK-UHFFFAOYSA-N 0 2 302.363 0.620 20 0 DCADLN Nc1nc2nc(C[N@H+]3CCc4sccc4C3)cc(=O)n2[n-]1 ZINC001328640810 949002897 /nfs/dbraw/zinc/00/28/97/949002897.db2.gz UZGMKAJFESOERK-UHFFFAOYSA-N 0 2 302.363 0.620 20 0 DCADLN C[C@H](NC(=O)[C@H]1CC(c2cnn(C)c2)=NO1)c1nn(C)cc1O ZINC001364397331 949187236 /nfs/dbraw/zinc/18/72/36/949187236.db2.gz JYOCNLMWCJRZBX-QPUJVOFHSA-N 0 2 318.337 0.230 20 0 DCADLN O=C([O-])c1ccc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)s1 ZINC001595227727 949327667 /nfs/dbraw/zinc/32/76/67/949327667.db2.gz UXOSVBNOQGCNRT-UHFFFAOYSA-N 0 2 307.379 0.882 20 0 DCADLN CCC[C@H](C)NC(=O)[C@H](C)[N@@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001589631632 949549883 /nfs/dbraw/zinc/54/98/83/949549883.db2.gz RLAMEOPZTYDKMX-SLEUVZQESA-N 0 2 316.398 0.482 20 0 DCADLN CCC[C@H](C)NC(=O)[C@H](C)[N@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001589631632 949549894 /nfs/dbraw/zinc/54/98/94/949549894.db2.gz RLAMEOPZTYDKMX-SLEUVZQESA-N 0 2 316.398 0.482 20 0 DCADLN CCO[C@H](C(=O)NCCc1n[nH]c(=S)o1)[C@H]1CCOC1 ZINC001329421947 949576799 /nfs/dbraw/zinc/57/67/99/949576799.db2.gz DSNWWBNRJMDXLO-WPRPVWTQSA-N 0 2 301.368 0.459 20 0 DCADLN CCCNC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001589677343 950012137 /nfs/dbraw/zinc/01/21/37/950012137.db2.gz JNYHDEFSCVJLRA-NSHDSACASA-N 0 2 315.370 0.178 20 0 DCADLN CCCNC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001589677343 950012161 /nfs/dbraw/zinc/01/21/61/950012161.db2.gz JNYHDEFSCVJLRA-NSHDSACASA-N 0 2 315.370 0.178 20 0 DCADLN COC(=O)c1ccc(CNS(=O)(=O)N=S(C)(C)=O)o1 ZINC001364832506 950035077 /nfs/dbraw/zinc/03/50/77/950035077.db2.gz JEJHGGFNXGPPRE-UHFFFAOYSA-N 0 2 310.353 0.128 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](c2ncccn2)C2CC2)S1 ZINC001364918136 950189106 /nfs/dbraw/zinc/18/91/06/950189106.db2.gz LREBZVKWTHLQFB-WPRPVWTQSA-N 0 2 305.363 0.600 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC000308595440 950646298 /nfs/dbraw/zinc/64/62/98/950646298.db2.gz AZGLWMGWZUICPT-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN CCc1noc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001365212747 950749330 /nfs/dbraw/zinc/74/93/30/950749330.db2.gz WBDAWJYRGJVJIF-ZETCQYMHSA-N 0 2 307.314 0.867 20 0 DCADLN CC(C)S(=O)(=O)Nc1c(C(N)=O)cnn1C1CCOCC1 ZINC001252428068 951127783 /nfs/dbraw/zinc/12/77/83/951127783.db2.gz XELAEICNMPGMEU-UHFFFAOYSA-N 0 2 316.383 0.484 20 0 DCADLN O=C(c1cncc(F)c1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365624172 951338818 /nfs/dbraw/zinc/33/88/18/951338818.db2.gz ANIQLZQZCLWOAO-UHFFFAOYSA-N 0 2 306.301 0.002 20 0 DCADLN O=C(N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1(C(F)F)CC1 ZINC001365693133 951442408 /nfs/dbraw/zinc/44/24/08/951442408.db2.gz CZIWTTZJKBTNBO-UHFFFAOYSA-N 0 2 301.297 0.200 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)N[C@H](C)C(F)(F)F ZINC001365717018 951470155 /nfs/dbraw/zinc/47/01/55/951470155.db2.gz SERNTKLOIFSDQL-RXMQYKEDSA-N 0 2 315.273 0.734 20 0 DCADLN C[C@@]1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCCO1 ZINC001463141230 1013110942 /nfs/dbraw/zinc/11/09/42/1013110942.db2.gz AAFOZCGWGGXCRV-AWEZNQCLSA-N 0 2 305.338 0.147 20 0 DCADLN CCCC[C@H](O)C[NH+]1CC2(C1)CN(CC[NH+](C)C)C(=O)CO2 ZINC001274573054 951708785 /nfs/dbraw/zinc/70/87/85/951708785.db2.gz RHZVNTBOILNNAE-AWEZNQCLSA-N 0 2 313.442 0.012 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)C1(C(=O)[O-])CC2(CCC2)C1 ZINC001334217289 952261695 /nfs/dbraw/zinc/26/16/95/952261695.db2.gz CGHCMNHVRNAINJ-CYBMUJFWSA-N 0 2 323.437 0.774 20 0 DCADLN C[C@@H](NC(=O)C12CC(NC(=O)c3cnn[nH]3)(C1)C2)c1ncc[nH]1 ZINC001275710732 952398988 /nfs/dbraw/zinc/39/89/88/952398988.db2.gz WEDSVPBHTOPARG-UWEQVVMYSA-N 0 2 315.337 0.058 20 0 DCADLN C[C@@H](NC(=O)C12CC(NC(=O)c3cnn[n-]3)(C1)C2)c1[nH]cc[nH+]1 ZINC001275710732 952398995 /nfs/dbraw/zinc/39/89/95/952398995.db2.gz WEDSVPBHTOPARG-UWEQVVMYSA-N 0 2 315.337 0.058 20 0 DCADLN C[C@@H](CNC(=O)CCCn1c(=O)[n-][nH]c1=O)Cn1cc[nH+]c1 ZINC001411801650 952458932 /nfs/dbraw/zinc/45/89/32/952458932.db2.gz ASPKHCTUGCJWFI-JTQLQIEISA-N 0 2 308.342 0.119 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@H]1CCCOC1 ZINC001412277031 952729675 /nfs/dbraw/zinc/72/96/75/952729675.db2.gz YSODHQVYOMMCKW-JQWIXIFHSA-N 0 2 319.365 0.393 20 0 DCADLN Cc1cnn(C)c1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001412326347 952749237 /nfs/dbraw/zinc/74/92/37/952749237.db2.gz KYSWNUQJHDLJAL-UHFFFAOYSA-N 0 2 310.335 0.334 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc(CO)cc(CO)c2)S1 ZINC001412405594 952781856 /nfs/dbraw/zinc/78/18/56/952781856.db2.gz ZNMWYEBCFHKQBM-JTQLQIEISA-N 0 2 309.347 0.166 20 0 DCADLN CC(C)(O)[C@@H](O)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001412466220 952822794 /nfs/dbraw/zinc/82/27/94/952822794.db2.gz UOKHZKDVZDSPIO-MNOVXSKESA-N 0 2 320.349 0.042 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(C(=O)N2CCCC2)n1 ZINC001412634583 952955002 /nfs/dbraw/zinc/95/50/02/952955002.db2.gz LVTYPULPSLTDEM-UHFFFAOYSA-N 0 2 316.321 0.071 20 0 DCADLN Cc1cccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1C ZINC001412688440 952997404 /nfs/dbraw/zinc/99/74/04/952997404.db2.gz QBEHYZXMANOVOF-UHFFFAOYSA-N 0 2 322.390 0.896 20 0 DCADLN CCc1cncc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001412688740 952997477 /nfs/dbraw/zinc/99/74/77/952997477.db2.gz SHNGMOGUEFKVLN-UHFFFAOYSA-N 0 2 323.378 0.237 20 0 DCADLN COCn1ccc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n1 ZINC001412696824 953002249 /nfs/dbraw/zinc/00/22/49/953002249.db2.gz MTGLSKFZIRRDHM-UHFFFAOYSA-N 0 2 321.337 0.311 20 0 DCADLN O=C([O-])CSCCNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccn1 ZINC001339509781 953099151 /nfs/dbraw/zinc/09/91/51/953099151.db2.gz PFXUSDOTNXGSGH-ZDUSSCGKSA-N 0 2 323.418 0.980 20 0 DCADLN O=C([O-])CSCCNC(=O)[C@@H]1CCC[N@H+]1Cc1ccccn1 ZINC001339509781 953099155 /nfs/dbraw/zinc/09/91/55/953099155.db2.gz PFXUSDOTNXGSGH-ZDUSSCGKSA-N 0 2 323.418 0.980 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C)C(F)(F)F ZINC001365892717 953370678 /nfs/dbraw/zinc/37/06/78/953370678.db2.gz JBLMQSPWZURHLK-PRJMDXOYSA-N 0 2 321.303 0.788 20 0 DCADLN C[C@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(F)(F)F ZINC001365892717 953370691 /nfs/dbraw/zinc/37/06/91/953370691.db2.gz JBLMQSPWZURHLK-PRJMDXOYSA-N 0 2 321.303 0.788 20 0 DCADLN C[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(F)(F)F ZINC001365892717 953370705 /nfs/dbraw/zinc/37/07/05/953370705.db2.gz JBLMQSPWZURHLK-PRJMDXOYSA-N 0 2 321.303 0.788 20 0 DCADLN C=C/C(C)=C\CC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001282735096 953663566 /nfs/dbraw/zinc/66/35/66/953663566.db2.gz VDTOBFVRHBZPND-GULOHRGCSA-N 0 2 305.382 0.969 20 0 DCADLN O=C(CCc1ccon1)NCCNC(=O)C(F)C(F)(F)F ZINC001283056629 953962108 /nfs/dbraw/zinc/96/21/08/953962108.db2.gz DYHSFXLBBDRTHQ-SECBINFHSA-N 0 2 311.235 0.740 20 0 DCADLN O=C(CCc1ccon1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001283056629 953962112 /nfs/dbraw/zinc/96/21/12/953962112.db2.gz DYHSFXLBBDRTHQ-SECBINFHSA-N 0 2 311.235 0.740 20 0 DCADLN CN(C(=O)c1cc(C(=O)[O-])n[nH]1)C(C)(C)C[NH+]1CCOCC1 ZINC001593682632 953972195 /nfs/dbraw/zinc/97/21/95/953972195.db2.gz LIYGGTWULWOJPG-UHFFFAOYSA-N 0 2 310.354 0.291 20 0 DCADLN O=C([O-])[C@@H](CNS(=O)(=O)CCn1cc[nH+]c1)C1CCC1 ZINC001594840686 954075049 /nfs/dbraw/zinc/07/50/49/954075049.db2.gz GMGQSTXCXVEEMO-NSHDSACASA-N 0 2 301.368 0.303 20 0 DCADLN COC[C@@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001283203415 954136173 /nfs/dbraw/zinc/13/61/73/954136173.db2.gz DTVYZXGSYMJGMX-SFYZADRCSA-N 0 2 302.268 0.792 20 0 DCADLN COC[C@@H](C)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001283203415 954136177 /nfs/dbraw/zinc/13/61/77/954136177.db2.gz DTVYZXGSYMJGMX-SFYZADRCSA-N 0 2 302.268 0.792 20 0 DCADLN O=C([O-])C1(C(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)CCCCCC1 ZINC001594868867 954327965 /nfs/dbraw/zinc/32/79/65/954327965.db2.gz OGBAKTCYMMGJOG-LBPRGKRZSA-N 0 2 309.366 0.855 20 0 DCADLN O=C([O-])C1(C(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)CCCCCC1 ZINC001594868867 954327975 /nfs/dbraw/zinc/32/79/75/954327975.db2.gz OGBAKTCYMMGJOG-LBPRGKRZSA-N 0 2 309.366 0.855 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)Cc1cnsn1 ZINC001366532381 954359876 /nfs/dbraw/zinc/35/98/76/954359876.db2.gz UJUIQLRKWVEWHY-SSDOTTSWSA-N 0 2 300.281 0.987 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1cnsn1 ZINC001366532381 954359888 /nfs/dbraw/zinc/35/98/88/954359888.db2.gz UJUIQLRKWVEWHY-SSDOTTSWSA-N 0 2 300.281 0.987 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C(=O)[O-])cn1 ZINC001593751744 954422301 /nfs/dbraw/zinc/42/23/01/954422301.db2.gz JSRPMUGCEYHPEG-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C(=O)[O-])cn1 ZINC001593751744 954422308 /nfs/dbraw/zinc/42/23/08/954422308.db2.gz JSRPMUGCEYHPEG-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN Cn1ncc2c1CCC[C@@H]2[N@H+](C)CN1C[C@H](C(=O)[O-])CC1=O ZINC001593756711 954457618 /nfs/dbraw/zinc/45/76/18/954457618.db2.gz DQVDCGCSNOSRCT-PWSUYJOCSA-N 0 2 306.366 0.620 20 0 DCADLN Cn1ncc2c1CCC[C@@H]2[N@@H+](C)CN1C[C@H](C(=O)[O-])CC1=O ZINC001593756711 954457624 /nfs/dbraw/zinc/45/76/24/954457624.db2.gz DQVDCGCSNOSRCT-PWSUYJOCSA-N 0 2 306.366 0.620 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCC[C@H](F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366612098 954518077 /nfs/dbraw/zinc/51/80/77/954518077.db2.gz PXEGFVLXRWEOBB-QWRGUYRKSA-N 0 2 313.377 0.977 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCC[C@H](F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366612098 954518089 /nfs/dbraw/zinc/51/80/89/954518089.db2.gz PXEGFVLXRWEOBB-QWRGUYRKSA-N 0 2 313.377 0.977 20 0 DCADLN CCN(CCCNC(=O)C(F)C(F)(F)F)C(=O)CC(N)=O ZINC001283727771 954559748 /nfs/dbraw/zinc/55/97/48/954559748.db2.gz PSOJKOCEKAUOET-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN CCN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)CC(N)=O ZINC001283727771 954559759 /nfs/dbraw/zinc/55/97/59/954559759.db2.gz PSOJKOCEKAUOET-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN Cn1c(N2CCC(C(=O)[O-])CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001593773735 954567946 /nfs/dbraw/zinc/56/79/46/954567946.db2.gz ISBHEMSXRCGRNC-IAQYHMDHSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC(C(=O)[O-])CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001593773735 954567950 /nfs/dbraw/zinc/56/79/50/954567950.db2.gz ISBHEMSXRCGRNC-IAQYHMDHSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC[C@@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001593774049 954575352 /nfs/dbraw/zinc/57/53/52/954575352.db2.gz MGEXLYASXPTPRP-ZETOZRRWSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC[C@@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001593774049 954575358 /nfs/dbraw/zinc/57/53/58/954575358.db2.gz MGEXLYASXPTPRP-ZETOZRRWSA-N 0 2 323.397 0.028 20 0 DCADLN CCN(CCCNC(=O)Cc1[nH]cc[nH+]1)C(=O)[C@H]1CCC[N@@H+]1C ZINC001283753183 954580147 /nfs/dbraw/zinc/58/01/47/954580147.db2.gz UYYYXVNOQLNIRR-CYBMUJFWSA-N 0 2 321.425 0.401 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001366650134 954584593 /nfs/dbraw/zinc/58/45/93/954584593.db2.gz DSUCOTMOHHPCNX-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001366650134 954584601 /nfs/dbraw/zinc/58/46/01/954584601.db2.gz DSUCOTMOHHPCNX-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@H]1CCC[N@H+]1Cc1ccn(C)n1 ZINC001366661694 954604918 /nfs/dbraw/zinc/60/49/18/954604918.db2.gz AWLCTTCOHQPWLS-OAHLLOKOSA-N 0 2 307.442 0.843 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC[C@H](F)C1 ZINC001366754127 954778621 /nfs/dbraw/zinc/77/86/21/954778621.db2.gz RBSWPFRDEAPHEK-UWVGGRQHSA-N 0 2 311.361 0.729 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC[C@H](F)C1 ZINC001366754127 954778627 /nfs/dbraw/zinc/77/86/27/954778627.db2.gz RBSWPFRDEAPHEK-UWVGGRQHSA-N 0 2 311.361 0.729 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](CC(=O)NC(C)(C)C)C2)c1[O-] ZINC001367006328 955186082 /nfs/dbraw/zinc/18/60/82/955186082.db2.gz NIZREQOTOLXTQK-JTQLQIEISA-N 0 2 323.397 0.143 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](CC(=O)NC(C)(C)C)C2)c1[O-] ZINC001367006328 955186090 /nfs/dbraw/zinc/18/60/90/955186090.db2.gz NIZREQOTOLXTQK-JTQLQIEISA-N 0 2 323.397 0.143 20 0 DCADLN CC(C)C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001285259649 955541991 /nfs/dbraw/zinc/54/19/91/955541991.db2.gz BMUMNPLGKZLHCG-BQBZGAKWSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001285259649 955542002 /nfs/dbraw/zinc/54/20/02/955542002.db2.gz BMUMNPLGKZLHCG-BQBZGAKWSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)NC1CCC(C(=O)[O-])CC1 ZINC001589081626 955860624 /nfs/dbraw/zinc/86/06/24/955860624.db2.gz WWMIYMMQFMUMHE-UNXYVOJBSA-N 0 2 322.365 0.147 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[NH+]1CCN(c2cnccn2)CC1 ZINC001594581634 955979332 /nfs/dbraw/zinc/97/93/32/955979332.db2.gz YPXBZPGVHIUIDK-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN COC[C@@]1(C(=O)[O-])CC[N@@H+]([C@H](C(=O)OC)c2cccnc2)C1 ZINC001593967399 956570727 /nfs/dbraw/zinc/57/07/27/956570727.db2.gz HTUNXIROJRIODO-SWLSCSKDSA-N 0 2 308.334 0.719 20 0 DCADLN COC[C@@]1(C(=O)[O-])CC[N@H+]([C@H](C(=O)OC)c2cccnc2)C1 ZINC001593967399 956570733 /nfs/dbraw/zinc/57/07/33/956570733.db2.gz HTUNXIROJRIODO-SWLSCSKDSA-N 0 2 308.334 0.719 20 0 DCADLN COC[C@]1(C(=O)[O-])CC[N@@H+]([C@@H](C(=O)OC)c2cccnc2)C1 ZINC001593967397 956570953 /nfs/dbraw/zinc/57/09/53/956570953.db2.gz HTUNXIROJRIODO-DOMZBBRYSA-N 0 2 308.334 0.719 20 0 DCADLN COC[C@]1(C(=O)[O-])CC[N@H+]([C@@H](C(=O)OC)c2cccnc2)C1 ZINC001593967397 956570963 /nfs/dbraw/zinc/57/09/63/956570963.db2.gz HTUNXIROJRIODO-DOMZBBRYSA-N 0 2 308.334 0.719 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)N[C@@H](Cc1ccccc1)C(=O)[O-] ZINC001594668918 956603122 /nfs/dbraw/zinc/60/31/22/956603122.db2.gz YSJUWXHLOVMKIA-QZDDGCDVSA-N 0 2 318.373 0.687 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+]([C@H](C)C(=O)N2CCCCC2)CCO1 ZINC001593981931 956718787 /nfs/dbraw/zinc/71/87/87/956718787.db2.gz CRXZDRIOXDRLLR-IUODEOHRSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+]([C@H](C)C(=O)N2CCCCC2)CCO1 ZINC001593981931 956718798 /nfs/dbraw/zinc/71/87/98/956718798.db2.gz CRXZDRIOXDRLLR-IUODEOHRSA-N 0 2 314.382 0.189 20 0 DCADLN CCOCCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001295443692 957709389 /nfs/dbraw/zinc/70/93/89/957709389.db2.gz PRCYZBXIQKAGSL-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCCC(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001295443692 957709401 /nfs/dbraw/zinc/70/94/01/957709401.db2.gz PRCYZBXIQKAGSL-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN COCc1ncsc1C(=O)OC[C@@H]1CCC[N@@H+]1CC(=O)[O-] ZINC001594032643 957773909 /nfs/dbraw/zinc/77/39/09/957773909.db2.gz HYXZGRSMJYWLMJ-VIFPVBQESA-N 0 2 314.363 0.995 20 0 DCADLN COCc1ncsc1C(=O)OC[C@@H]1CCC[N@H+]1CC(=O)[O-] ZINC001594032643 957773917 /nfs/dbraw/zinc/77/39/17/957773917.db2.gz HYXZGRSMJYWLMJ-VIFPVBQESA-N 0 2 314.363 0.995 20 0 DCADLN CO[C@@](C)([C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC001361409956 957941617 /nfs/dbraw/zinc/94/16/17/957941617.db2.gz CBRBECMAUQJOIL-VFZGTOFNSA-N 0 2 319.365 0.391 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccnn1C1CCC1 ZINC001361704980 958235219 /nfs/dbraw/zinc/23/52/19/958235219.db2.gz JUJLKKYZKWQKNG-UHFFFAOYSA-N 0 2 313.321 0.631 20 0 DCADLN Cn1c2ccccc2nc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361755773 958294476 /nfs/dbraw/zinc/29/44/76/958294476.db2.gz ZSCQJKXCSSYWMF-UHFFFAOYSA-N 0 2 323.316 0.597 20 0 DCADLN Cn1cc(S(=O)(=O)N2CC[N@H+](C)C(C)(C)C2)cc1C(=O)[O-] ZINC000110719433 958395477 /nfs/dbraw/zinc/39/54/77/958395477.db2.gz JUXPKTUZBUGCOM-UHFFFAOYSA-N 0 2 315.395 0.438 20 0 DCADLN Cn1cc(S(=O)(=O)N2CC[N@@H+](C)C(C)(C)C2)cc1C(=O)[O-] ZINC000110719433 958395497 /nfs/dbraw/zinc/39/54/97/958395497.db2.gz JUXPKTUZBUGCOM-UHFFFAOYSA-N 0 2 315.395 0.438 20 0 DCADLN CCOC1CC2(C[C@@H]2NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001361895106 958460173 /nfs/dbraw/zinc/46/01/73/958460173.db2.gz ZMECRTKJSRLVLU-UQACVICXSA-N 0 2 317.349 0.145 20 0 DCADLN C[N@H+](CC(F)F)C1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001361948493 958528222 /nfs/dbraw/zinc/52/82/22/958528222.db2.gz FHFICCARCYHGSP-UHFFFAOYSA-N 0 2 317.296 0.084 20 0 DCADLN C[N@@H+](CC(F)F)C1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001361948493 958528226 /nfs/dbraw/zinc/52/82/26/958528226.db2.gz FHFICCARCYHGSP-UHFFFAOYSA-N 0 2 317.296 0.084 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1conc1C1CC1 ZINC001367834469 958676781 /nfs/dbraw/zinc/67/67/81/958676781.db2.gz UFOGWOUURHKSIB-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1conc1C1CC1 ZINC001367834469 958676787 /nfs/dbraw/zinc/67/67/87/958676787.db2.gz UFOGWOUURHKSIB-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@]1(CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCCO1 ZINC001362099986 958737924 /nfs/dbraw/zinc/73/79/24/958737924.db2.gz CBSPOBYGMBSUMN-HNNXBMFYSA-N 0 2 318.333 0.565 20 0 DCADLN CC[C@@H](CCO)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362122510 958773253 /nfs/dbraw/zinc/77/32/53/958773253.db2.gz DCKZHYNFLMDTHG-JTQLQIEISA-N 0 2 320.349 0.405 20 0 DCADLN CC(C)C[C@H](NCC(F)(F)F)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362220957 958951862 /nfs/dbraw/zinc/95/18/62/958951862.db2.gz SOSGZXOWIZPKFP-ZETCQYMHSA-N 0 2 309.292 0.693 20 0 DCADLN O=C(NC1=NCCS1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001463970503 1013185001 /nfs/dbraw/zinc/18/50/01/1013185001.db2.gz GNHUWSCVCYIWTI-UHFFFAOYSA-N 0 2 305.319 0.099 20 0 DCADLN C[C@H](O)C(C)(C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362249962 959002516 /nfs/dbraw/zinc/00/25/16/959002516.db2.gz JRNUCKBNNUMKNA-QMMMGPOBSA-N 0 2 306.322 0.155 20 0 DCADLN C[C@H](O)C[C@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362277534 959056604 /nfs/dbraw/zinc/05/66/04/959056604.db2.gz JKAKASSQSXEGIA-IUCAKERBSA-N 0 2 306.322 0.155 20 0 DCADLN CCN(CCCO)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362316302 959130092 /nfs/dbraw/zinc/13/00/92/959130092.db2.gz YJCTYUYNLLWGNZ-UHFFFAOYSA-N 0 2 306.322 0.111 20 0 DCADLN C[C@@H](CC(N)=O)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001491725872 959150521 /nfs/dbraw/zinc/15/05/21/959150521.db2.gz MUOSRKCBBWQMMX-POYBYMJQSA-N 0 2 315.267 0.021 20 0 DCADLN C[C@@H](CC(N)=O)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491725872 959150529 /nfs/dbraw/zinc/15/05/29/959150529.db2.gz MUOSRKCBBWQMMX-POYBYMJQSA-N 0 2 315.267 0.021 20 0 DCADLN C[C@@H]1CCC[C@@H]1NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001368212215 959450165 /nfs/dbraw/zinc/45/01/65/959450165.db2.gz UJHDCDUXMRLIID-BDAKNGLRSA-N 0 2 315.399 0.337 20 0 DCADLN C[C@H](O)C[NH+]1CCN(C(=O)c2coc(/C=C\C(=O)[O-])c2)CC1 ZINC001594243376 959548329 /nfs/dbraw/zinc/54/83/29/959548329.db2.gz TVXUFFOLDZOYAK-QUCGXOGASA-N 0 2 308.334 0.516 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594251423 959608351 /nfs/dbraw/zinc/60/83/51/959608351.db2.gz LHUVQGUZTYMDFE-LOIXOFCESA-N 0 2 307.350 0.789 20 0 DCADLN CC[C@H](C(=O)NCC[N@H+](C)Cc1ccnc(OC)n1)[NH+](C)C ZINC001377179979 959637773 /nfs/dbraw/zinc/63/77/73/959637773.db2.gz GWJXVTZMDPMCTK-CYBMUJFWSA-N 0 2 309.414 0.373 20 0 DCADLN CCNC(=O)C[N@@H+]1CCC[C@@H]1CCCNC(=O)Cn1cc[nH+]c1 ZINC001492178341 959657169 /nfs/dbraw/zinc/65/71/69/959657169.db2.gz ONOZDDPHTHNTQC-AWEZNQCLSA-N 0 2 321.425 0.380 20 0 DCADLN C[N@H+](CCNC(=O)c1ccnc(C2CC2)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377190715 959658872 /nfs/dbraw/zinc/65/88/72/959658872.db2.gz OWBXKPRHFULXDI-UHFFFAOYSA-N 0 2 316.365 0.645 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccnc(C2CC2)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377190715 959658881 /nfs/dbraw/zinc/65/88/81/959658881.db2.gz OWBXKPRHFULXDI-UHFFFAOYSA-N 0 2 316.365 0.645 20 0 DCADLN CC(C)(C)OC(=O)c1cc(NC(=O)CCc2nn[nH]n2)[nH]n1 ZINC001362593018 959662902 /nfs/dbraw/zinc/66/29/02/959662902.db2.gz BCAQTBQYGPHSLX-UHFFFAOYSA-N 0 2 307.314 0.449 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@H]1CCC(=O)O1 ZINC001362680886 959821242 /nfs/dbraw/zinc/82/12/42/959821242.db2.gz VVNJHBQIJQXOGT-LLVKDONJSA-N 0 2 316.317 0.706 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(NCCOCC(=O)[O-])[nH+]cn2)C1 ZINC001603266471 972460303 /nfs/dbraw/zinc/46/03/03/972460303.db2.gz WYURGDLQMQRTKJ-GHMZBOCLSA-N 0 2 310.354 0.197 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(NCCOCC(=O)[O-])nc[nH+]2)C1 ZINC001603266471 972460309 /nfs/dbraw/zinc/46/03/09/972460309.db2.gz WYURGDLQMQRTKJ-GHMZBOCLSA-N 0 2 310.354 0.197 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1cc(C2CCCCC2)n[nH]1 ZINC001362691420 959837509 /nfs/dbraw/zinc/83/75/09/959837509.db2.gz WGIRXTLTKPIASU-UHFFFAOYSA-N 0 2 304.354 0.998 20 0 DCADLN CC[N@H+](CCNC(=O)c1cccc(C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001377293704 959872208 /nfs/dbraw/zinc/87/22/08/959872208.db2.gz XZXHKUZLACLCEK-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cccc(C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001377293704 959872214 /nfs/dbraw/zinc/87/22/14/959872214.db2.gz XZXHKUZLACLCEK-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362722977 959890104 /nfs/dbraw/zinc/89/01/04/959890104.db2.gz MIUYUIQMGSMQCK-OUAUKWLOSA-N 0 2 322.365 0.806 20 0 DCADLN COC(=O)NCC(=O)Nc1nccc(Br)c1O ZINC001362729682 959901700 /nfs/dbraw/zinc/90/17/00/959901700.db2.gz NBOQQCVZNMCDBV-UHFFFAOYSA-N 0 2 304.100 0.844 20 0 DCADLN CCc1cncc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001492342634 959911718 /nfs/dbraw/zinc/91/17/18/959911718.db2.gz RXSAEALJHWVLOF-UHFFFAOYSA-N 0 2 304.354 0.330 20 0 DCADLN CCc1cncc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001492342634 959911728 /nfs/dbraw/zinc/91/17/28/959911728.db2.gz RXSAEALJHWVLOF-UHFFFAOYSA-N 0 2 304.354 0.330 20 0 DCADLN Cn1c(=O)[nH]c2cc(C(=O)NCc3nc(O)cc(=O)[nH]3)ccc21 ZINC001301575795 960005887 /nfs/dbraw/zinc/00/58/87/960005887.db2.gz ULEIVEZZXVUEGP-UHFFFAOYSA-N 0 2 315.289 0.410 20 0 DCADLN CC(C)(C)N1CCC[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1=O ZINC001306659361 960130509 /nfs/dbraw/zinc/13/05/09/960130509.db2.gz BPRKBFPMQPLTBQ-SNVBAGLBSA-N 0 2 323.397 0.596 20 0 DCADLN C[C@H]1COCC[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362901156 960151315 /nfs/dbraw/zinc/15/13/15/960151315.db2.gz ODSKUQIOMCEZNA-CABZTGNLSA-N 0 2 318.333 0.421 20 0 DCADLN CO[C@@H](C)[C@@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362962959 960231166 /nfs/dbraw/zinc/23/11/66/960231166.db2.gz PBLVUTAFBCHWAG-BDAKNGLRSA-N 0 2 306.322 0.419 20 0 DCADLN CCc1ncncc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001362967717 960239470 /nfs/dbraw/zinc/23/94/70/960239470.db2.gz BLFOXUIJBNJIQJ-UHFFFAOYSA-N 0 2 317.349 0.863 20 0 DCADLN Cc1nonc1CC(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001362974729 960247198 /nfs/dbraw/zinc/24/71/98/960247198.db2.gz XEPCPYGBECQHIS-UHFFFAOYSA-N 0 2 307.310 0.131 20 0 DCADLN CSCC[C@H](NC(=O)[C@@H]1CCCc2nn[nH]c21)c1nn[nH]n1 ZINC001362998589 960278865 /nfs/dbraw/zinc/27/88/65/960278865.db2.gz LZASUGFEFFJGMT-APPZFPTMSA-N 0 2 322.398 0.348 20 0 DCADLN CSCC[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)c1nn[nH]n1 ZINC001362998589 960278877 /nfs/dbraw/zinc/27/88/77/960278877.db2.gz LZASUGFEFFJGMT-APPZFPTMSA-N 0 2 322.398 0.348 20 0 DCADLN O=C(NC[C@H]1CNC(=O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC001363051723 960347206 /nfs/dbraw/zinc/34/72/06/960347206.db2.gz MXRGHGSWIGFLCR-SECBINFHSA-N 0 2 318.308 0.583 20 0 DCADLN COCC(=O)NC[C@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001377604668 960348495 /nfs/dbraw/zinc/34/84/95/960348495.db2.gz DLBOWFQQLHCYJS-NSHDSACASA-N 0 2 305.338 0.104 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC(=O)N[C@@H]2CCCC[C@H]21)c1nn(C)cc1O ZINC001363054349 960352503 /nfs/dbraw/zinc/35/25/03/960352503.db2.gz WVROKDJPXKQFKI-NNYUYHANSA-N 0 2 320.393 0.998 20 0 DCADLN O=C(NC[C@@H]1CC[C@@H](O)C1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363133945 960448563 /nfs/dbraw/zinc/44/85/63/960448563.db2.gz CUSNHTLLLALAOK-BXKDBHETSA-N 0 2 318.333 0.157 20 0 DCADLN CS(=O)(=O)Cc1n[nH]c(C[NH2+]C2CCC(C(=O)[O-])CC2)n1 ZINC001574242959 960472880 /nfs/dbraw/zinc/47/28/80/960472880.db2.gz PTDMPOLZKHZFEL-UHFFFAOYSA-N 0 2 316.383 0.082 20 0 DCADLN Cc1cccc2c1NC(=O)N(Cc1n[nH]c(=O)[nH]1)S2(=O)=O ZINC001363192329 960571671 /nfs/dbraw/zinc/57/16/71/960571671.db2.gz WGXKMRIMEHOETL-UHFFFAOYSA-N 0 2 309.307 0.555 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC[C@@H]2CNC(=O)C2)cn1 ZINC001363315385 960875075 /nfs/dbraw/zinc/87/50/75/960875075.db2.gz ATQDGGNVWMQPSF-JTQLQIEISA-N 0 2 316.365 0.549 20 0 DCADLN O=C(COCC1CCCC1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377888197 960910919 /nfs/dbraw/zinc/91/09/19/960910919.db2.gz BBUSVAXOKNRENT-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCc3cc[nH+]c(N)c3C2)O[C@H]1C(=O)[O-] ZINC001571087902 961089291 /nfs/dbraw/zinc/08/92/91/961089291.db2.gz OLHCRHXLVDAPSU-GGZOMVNGSA-N 0 2 305.334 0.427 20 0 DCADLN O=C(c1ccc(Cl)c(O)c1)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001363410578 961100316 /nfs/dbraw/zinc/10/03/16/961100316.db2.gz XNTNZMFTTUUQHI-SECBINFHSA-N 0 2 309.713 0.772 20 0 DCADLN CC(C)(CC(=O)[O-])NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001588757594 961227642 /nfs/dbraw/zinc/22/76/42/961227642.db2.gz AAEJYVHXQNIUTM-NEPJUHHUSA-N 0 2 314.382 0.236 20 0 DCADLN CCOC(=O)c1n[nH]c(C[NH2+][C@@H]2CC[C@@H](CC(=O)[O-])[C@@H]2C)n1 ZINC001571106366 961278030 /nfs/dbraw/zinc/27/80/30/961278030.db2.gz NNGUDWPJJVXADK-LPEHRKFASA-N 0 2 310.354 0.960 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)N2CC[C@@H](c3nn[nH]n3)C2)C1 ZINC001363523730 961356311 /nfs/dbraw/zinc/35/63/11/961356311.db2.gz LIQHXELZNMINSU-FXPVBKGRSA-N 0 2 301.354 0.605 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[NH2+][C@](C)(C(=O)[O-])c1ccccc1 ZINC001573896569 961400482 /nfs/dbraw/zinc/40/04/82/961400482.db2.gz RACNSCHOSJVBIX-INIZCTEOSA-N 0 2 322.361 0.598 20 0 DCADLN CCc1[nH]ccc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363634586 961591361 /nfs/dbraw/zinc/59/13/61/961591361.db2.gz QPPXCPWBPQZPPT-UHFFFAOYSA-N 0 2 311.367 0.170 20 0 DCADLN NC(=O)c1ccc(NC(=O)COCC(=O)[O-])c(-n2cc[nH+]c2)c1 ZINC001574502745 961766913 /nfs/dbraw/zinc/76/69/13/961766913.db2.gz GNRQHDMQXSCURO-UHFFFAOYSA-N 0 2 318.289 0.011 20 0 DCADLN CN(C)C(=O)CN1CCC[N@H+](Cc2cccc(C(=O)[O-])n2)CC1 ZINC001571149300 961767879 /nfs/dbraw/zinc/76/78/79/961767879.db2.gz RZMGMYRIKQRHHD-UHFFFAOYSA-N 0 2 320.393 0.376 20 0 DCADLN CN(C)C(=O)CN1CCC[N@@H+](Cc2cccc(C(=O)[O-])n2)CC1 ZINC001571149300 961767894 /nfs/dbraw/zinc/76/78/94/961767894.db2.gz RZMGMYRIKQRHHD-UHFFFAOYSA-N 0 2 320.393 0.376 20 0 DCADLN C[C@@H](NC(=O)C1CCCC1)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001571156572 961879672 /nfs/dbraw/zinc/87/96/72/961879672.db2.gz ZREFXLNUDNRLLW-PWSUYJOCSA-N 0 2 322.365 0.147 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001379076093 962038163 /nfs/dbraw/zinc/03/81/63/962038163.db2.gz HZOMDFFHCQDSMD-GMXVVIOVSA-N 0 2 323.397 0.216 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001379076093 962038185 /nfs/dbraw/zinc/03/81/85/962038185.db2.gz HZOMDFFHCQDSMD-GMXVVIOVSA-N 0 2 323.397 0.216 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@H]2CCO[C@@H](C)C2)[nH]1 ZINC001364013919 962294981 /nfs/dbraw/zinc/29/49/81/962294981.db2.gz XXXNDQVQDHJOBC-UWVGGRQHSA-N 0 2 318.399 0.186 20 0 DCADLN Cc1nocc1S(=O)(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001364049438 962370100 /nfs/dbraw/zinc/37/01/00/962370100.db2.gz CIJIQNUUDPUSDF-QMMMGPOBSA-N 0 2 313.339 0.084 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)C[C@H]1CCO[C@@H](C)C1 ZINC001364056256 962378990 /nfs/dbraw/zinc/37/89/90/962378990.db2.gz STKXXIKDNWFFBC-IUCAKERBSA-N 0 2 315.338 0.529 20 0 DCADLN Cc1ncccc1C(=O)N(C)C[C@@H](C)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001379222762 962397897 /nfs/dbraw/zinc/39/78/97/962397897.db2.gz NZLGVXCDLBDABW-SNVBAGLBSA-N 0 2 318.381 0.062 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccon1 ZINC001379996259 962466927 /nfs/dbraw/zinc/46/69/27/962466927.db2.gz JWSOQCQJGQZTLQ-UHFFFAOYSA-N 0 2 308.342 0.481 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccon1 ZINC001379996259 962466937 /nfs/dbraw/zinc/46/69/37/962466937.db2.gz JWSOQCQJGQZTLQ-UHFFFAOYSA-N 0 2 308.342 0.481 20 0 DCADLN O=C(CCC1CCOCC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001380008125 962489527 /nfs/dbraw/zinc/48/95/27/962489527.db2.gz JNBKTZRRQDIZAU-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001380027371 962525270 /nfs/dbraw/zinc/52/52/70/962525270.db2.gz YZVHHTOPGUDOMO-MNOVXSKESA-N 0 2 319.365 0.906 20 0 DCADLN NC(=O)c1cc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nc(Cl)n1 ZINC001364176340 962615454 /nfs/dbraw/zinc/61/54/54/962615454.db2.gz XVIGMERGWWRPQV-LURJTMIESA-N 0 2 323.744 0.437 20 0 DCADLN C[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001364180175 962625050 /nfs/dbraw/zinc/62/50/50/962625050.db2.gz GOXMSTGRWBSUIR-JTQLQIEISA-N 0 2 318.333 0.517 20 0 DCADLN C/C(=C\C(=O)NC[C@@H](CC(=O)[O-])C1CC1)C[NH+]1CCOCC1 ZINC001354053171 962707461 /nfs/dbraw/zinc/70/74/61/962707461.db2.gz YLGVGLWEYRMRHP-CEFACKQISA-N 0 2 310.394 0.882 20 0 DCADLN COc1cnc(C(C)(C)NC(=O)C2=NC(=O)N(C)C2)[nH]c1=O ZINC001364236691 962728658 /nfs/dbraw/zinc/72/86/58/962728658.db2.gz SGEWQWYKCSXHTK-UHFFFAOYSA-N 0 2 307.310 0.295 20 0 DCADLN O=C([O-])C[NH+]1CCC(NC(=O)c2ccc3oc(=O)nc-3[nH]2)CC1 ZINC001574717617 962737846 /nfs/dbraw/zinc/73/78/46/962737846.db2.gz QJKPSBHZSGXKBD-UHFFFAOYSA-N 0 2 320.305 0.207 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)Cc1ncc[nH]1 ZINC001380760119 963563286 /nfs/dbraw/zinc/56/32/86/963563286.db2.gz NGRKAPYUMSLYIG-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1 ZINC000313198909 963622602 /nfs/dbraw/zinc/62/26/02/963622602.db2.gz OSENYHCBWHIPJJ-UHFFFAOYSA-N 0 2 309.347 0.639 20 0 DCADLN O=C(COC1CCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001381117526 963825543 /nfs/dbraw/zinc/82/55/43/963825543.db2.gz WAHFPHSCZRHNCJ-UHFFFAOYSA-N 0 2 309.370 0.114 20 0 DCADLN COCCC1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001375312680 964240788 /nfs/dbraw/zinc/24/07/88/964240788.db2.gz CDFWAROSFIVSHG-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN CCC(CC)NC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001375320028 964253283 /nfs/dbraw/zinc/25/32/83/964253283.db2.gz DPIUBIAUOHZANJ-JQWIXIFHSA-N 0 2 322.413 0.302 20 0 DCADLN CCC(CC)NC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001375320028 964253290 /nfs/dbraw/zinc/25/32/90/964253290.db2.gz DPIUBIAUOHZANJ-JQWIXIFHSA-N 0 2 322.413 0.302 20 0 DCADLN Cc1nnc(CN2CC([C@H](C)NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001369070824 964363573 /nfs/dbraw/zinc/36/35/73/964363573.db2.gz FFLROVPCMWBFCZ-QUBYGPBYSA-N 0 2 323.294 0.950 20 0 DCADLN O=C(NC[C@H](CO)NCc1csnn1)C(F)C(F)(F)F ZINC001369327983 964750478 /nfs/dbraw/zinc/75/04/78/964750478.db2.gz UPDZQIINNCTVHE-VDTYLAMSSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@H](CO)NCc1csnn1)[C@H](F)C(F)(F)F ZINC001369327983 964750488 /nfs/dbraw/zinc/75/04/88/964750488.db2.gz UPDZQIINNCTVHE-VDTYLAMSSA-N 0 2 316.280 0.005 20 0 DCADLN COCC(=O)NC[C@H](NC(=O)C(F)C(F)(F)F)C(C)C ZINC001375935072 964987734 /nfs/dbraw/zinc/98/77/34/964987734.db2.gz SSRFXQSJCLNXHD-IONNQARKSA-N 0 2 302.268 0.790 20 0 DCADLN COCC(=O)NC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C(C)C ZINC001375935072 964987739 /nfs/dbraw/zinc/98/77/39/964987739.db2.gz SSRFXQSJCLNXHD-IONNQARKSA-N 0 2 302.268 0.790 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369790662 965403380 /nfs/dbraw/zinc/40/33/80/965403380.db2.gz BIHJVXDDXPERLV-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(CC(F)(F)F)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369794447 965409773 /nfs/dbraw/zinc/40/97/73/965409773.db2.gz JVMYSVKPUUPVTR-ZETCQYMHSA-N 0 2 307.276 0.543 20 0 DCADLN CCO[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001369982860 965652470 /nfs/dbraw/zinc/65/24/70/965652470.db2.gz FGYNBUGACMJMIF-JQWIXIFHSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001430589229 1013609122 /nfs/dbraw/zinc/60/91/22/1013609122.db2.gz RVVVIDIOMYSRHG-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001430589229 1013609125 /nfs/dbraw/zinc/60/91/25/1013609125.db2.gz RVVVIDIOMYSRHG-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN CO[C@H](C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001381567452 966542838 /nfs/dbraw/zinc/54/28/38/966542838.db2.gz UVFYKUZRQBYIJQ-SKDRFNHKSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@H](C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001381567452 966542847 /nfs/dbraw/zinc/54/28/47/966542847.db2.gz UVFYKUZRQBYIJQ-SKDRFNHKSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001447977020 1013678904 /nfs/dbraw/zinc/67/89/04/1013678904.db2.gz DJUPFKRVKGOSQT-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001447977020 1013678908 /nfs/dbraw/zinc/67/89/08/1013678908.db2.gz DJUPFKRVKGOSQT-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1sccc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448016447 1013711528 /nfs/dbraw/zinc/71/15/28/1013711528.db2.gz UHWPOBMIOZSXAJ-ZETCQYMHSA-N 0 2 313.358 0.961 20 0 DCADLN C[C@@H](CNC(=O)c1sccc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448016447 1013711533 /nfs/dbraw/zinc/71/15/33/1013711533.db2.gz UHWPOBMIOZSXAJ-ZETCQYMHSA-N 0 2 313.358 0.961 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001372068314 967621047 /nfs/dbraw/zinc/62/10/47/967621047.db2.gz DQGSAVIGOFFXTC-SFYZADRCSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001372068314 967621052 /nfs/dbraw/zinc/62/10/52/967621052.db2.gz DQGSAVIGOFFXTC-SFYZADRCSA-N 0 2 322.262 0.983 20 0 DCADLN CCOCC(=O)NC[C@@H](C)N(C)C(=O)C(F)C(F)(F)F ZINC001382897989 967731831 /nfs/dbraw/zinc/73/18/31/967731831.db2.gz WTFFJISVWMQUFO-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)NC[C@@H](C)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001382897989 967731836 /nfs/dbraw/zinc/73/18/36/967731836.db2.gz WTFFJISVWMQUFO-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CC[NH+](CC)CC(=O)N1CC[C@@H](NC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001372237038 967777337 /nfs/dbraw/zinc/77/73/37/967777337.db2.gz GRMPJGLORRVBFZ-LLVKDONJSA-N 0 2 323.397 0.096 20 0 DCADLN Cc1ccc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001372243044 967785196 /nfs/dbraw/zinc/78/51/96/967785196.db2.gz PWAWBZXRRJAGLX-UHFFFAOYSA-N 0 2 309.395 0.671 20 0 DCADLN Cc1ccc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001372243044 967785212 /nfs/dbraw/zinc/78/52/12/967785212.db2.gz PWAWBZXRRJAGLX-UHFFFAOYSA-N 0 2 309.395 0.671 20 0 DCADLN Cn1cnnc1CN(CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001372809038 968405983 /nfs/dbraw/zinc/40/59/83/968405983.db2.gz MATCSDCDZFOIAV-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN(CCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001372809038 968405990 /nfs/dbraw/zinc/40/59/90/968405990.db2.gz MATCSDCDZFOIAV-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN COCC1(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001373048974 968665270 /nfs/dbraw/zinc/66/52/70/968665270.db2.gz NCMREPGSNFNBKG-PHIMTYICSA-N 0 2 321.381 0.112 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)C1=COCCO1 ZINC001373490051 969143812 /nfs/dbraw/zinc/14/38/12/969143812.db2.gz URQYRBXNWWTFAX-MRVPVSSYSA-N 0 2 314.235 0.350 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1=COCCO1 ZINC001373490051 969143822 /nfs/dbraw/zinc/14/38/22/969143822.db2.gz URQYRBXNWWTFAX-MRVPVSSYSA-N 0 2 314.235 0.350 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)C1CCOCC1 ZINC001373490129 969150835 /nfs/dbraw/zinc/15/08/35/969150835.db2.gz WJVPBPSDQBNUML-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)C1CCOCC1 ZINC001373490129 969150839 /nfs/dbraw/zinc/15/08/39/969150839.db2.gz WJVPBPSDQBNUML-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN CCN(C)C(=O)C[NH+]1CC[C@H](NC(=O)[C@H]2CCC[N@@H+]2C(C)C)C1 ZINC001373591456 969271098 /nfs/dbraw/zinc/27/10/98/969271098.db2.gz FBXOGJRPWXEJAX-LSDHHAIUSA-N 0 2 324.469 0.528 20 0 DCADLN C[C@H](CCNC(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001448608831 1013966951 /nfs/dbraw/zinc/96/69/51/1013966951.db2.gz GPAAGUYWCAALRH-IYSWYEEDSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@H](CCNC(=O)c1cnon1)NC(=O)[C@@H](F)C(F)(F)F ZINC001448608831 1013966953 /nfs/dbraw/zinc/96/69/53/1013966953.db2.gz GPAAGUYWCAALRH-IYSWYEEDSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C1(CC(=O)[O-])CCC1 ZINC001603375249 972919632 /nfs/dbraw/zinc/91/96/32/972919632.db2.gz NNMQHJGBPDEZGY-QWHCGFSZSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC001606000047 973096363 /nfs/dbraw/zinc/09/63/63/973096363.db2.gz LYFDGEOFMGZYTL-NSHDSACASA-N 0 2 320.349 0.049 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC001606000047 973096374 /nfs/dbraw/zinc/09/63/74/973096374.db2.gz LYFDGEOFMGZYTL-NSHDSACASA-N 0 2 320.349 0.049 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)C(C)(C)C(=O)[O-])C2 ZINC001605417086 973430562 /nfs/dbraw/zinc/43/05/62/973430562.db2.gz TWSROVUFHVYTBP-SNVBAGLBSA-N 0 2 309.366 0.268 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCN(c3ccccc3O)CC2)C1=O ZINC001606232024 973497438 /nfs/dbraw/zinc/49/74/38/973497438.db2.gz OLWNQKQDBJWQFA-ZDUSSCGKSA-N 0 2 319.361 0.200 20 0 DCADLN Cn1cc(Cl)c(C[NH2+][C@H]2CCCCN(CC(=O)[O-])C2=O)n1 ZINC001605496387 973661522 /nfs/dbraw/zinc/66/15/22/973661522.db2.gz BMAXYBPUDISECF-JTQLQIEISA-N 0 2 314.773 0.629 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1coc(/C=C\C(=O)[O-])c1 ZINC001605522612 973707376 /nfs/dbraw/zinc/70/73/76/973707376.db2.gz IJLVGBYJJRRKIT-OTDNITJGSA-N 0 2 305.290 0.574 20 0 DCADLN CC[C@]1(C(=O)[O-])CCCN(C(=O)C(=O)NCCn2cc[nH+]c2)C1 ZINC001603594178 973818668 /nfs/dbraw/zinc/81/86/68/973818668.db2.gz QPMMFFXBZMNHBB-HNNXBMFYSA-N 0 2 322.365 0.103 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC001606395692 973844725 /nfs/dbraw/zinc/84/47/25/973844725.db2.gz JAPYAGJFOJGHQL-FRRDWIJNSA-N 0 2 311.382 0.356 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001606529217 974043895 /nfs/dbraw/zinc/04/38/95/974043895.db2.gz PQLSOCKPGOWADU-SNVBAGLBSA-N 0 2 305.334 0.247 20 0 DCADLN Nc1nc(N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)[nH+]c2ccccc12 ZINC001549299524 1014209513 /nfs/dbraw/zinc/20/95/13/1014209513.db2.gz QXBHFZJOWYWTCZ-VFZGTOFNSA-N 0 2 300.318 0.749 20 0 DCADLN CCOC1CC(C(=O)NCCNC(=O)C(F)C(F)(F)F)C1 ZINC001522616065 1014246925 /nfs/dbraw/zinc/24/69/25/1014246925.db2.gz YKFWCYMMBOBBOZ-AMDVSUOASA-N 0 2 314.279 0.934 20 0 DCADLN CCOC1CC(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001522616065 1014246936 /nfs/dbraw/zinc/24/69/36/1014246936.db2.gz YKFWCYMMBOBBOZ-AMDVSUOASA-N 0 2 314.279 0.934 20 0 DCADLN CN(CCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(=O)C(F)C(F)(F)F ZINC001528536286 1014329670 /nfs/dbraw/zinc/32/96/70/1014329670.db2.gz DSIWHOQMBUSIEF-RYPBNFRJSA-N 0 2 312.263 0.354 20 0 DCADLN CN(CCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(=O)[C@@H](F)C(F)(F)F ZINC001528536286 1014329682 /nfs/dbraw/zinc/32/96/82/1014329682.db2.gz DSIWHOQMBUSIEF-RYPBNFRJSA-N 0 2 312.263 0.354 20 0 DCADLN C[C@@H](CC(=O)[O-])CC(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC001592374485 977900485 /nfs/dbraw/zinc/90/04/85/977900485.db2.gz ANWGJUMKYVCNJE-CYBMUJFWSA-N 0 2 311.426 0.726 20 0 DCADLN C[C@@H](CN(C)C(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C(=O)[O-])cn1 ZINC001592574948 978475558 /nfs/dbraw/zinc/47/55/58/978475558.db2.gz QFBIPCWDIKJDRT-VIFPVBQESA-N 0 2 318.337 0.399 20 0 DCADLN C[C@@H](CNC(=O)NCC(C)(C)CC(=O)[O-])[NH+]1CCN(C)CC1 ZINC001592664863 979066650 /nfs/dbraw/zinc/06/66/50/979066650.db2.gz HAZHRVUJOSOEHB-LBPRGKRZSA-N 0 2 314.430 0.422 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC001595131556 979554971 /nfs/dbraw/zinc/55/49/71/979554971.db2.gz XCLXDSTZABIQBG-LLVKDONJSA-N 0 2 324.381 0.956 20 0 DCADLN C[C@@H](Cc1cnn(C)c1)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001592909047 979869966 /nfs/dbraw/zinc/86/99/66/979869966.db2.gz NXYSVRJFNNIYMT-JQWIXIFHSA-N 0 2 305.338 0.065 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)N1CCC(CC(=O)[O-])CC1 ZINC001592929710 979960012 /nfs/dbraw/zinc/96/00/12/979960012.db2.gz AJAPHFQINVYIOE-LLVKDONJSA-N 0 2 322.365 0.101 20 0 DCADLN CC[C@]1(C)CC(=O)N(C[N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001595369185 980836281 /nfs/dbraw/zinc/83/62/81/980836281.db2.gz SDOILXUGEJDWBJ-HUUCEWRRSA-N 0 2 309.366 0.898 20 0 DCADLN CC[C@]1(C)CC(=O)N(C[N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001595369185 980836286 /nfs/dbraw/zinc/83/62/86/980836286.db2.gz SDOILXUGEJDWBJ-HUUCEWRRSA-N 0 2 309.366 0.898 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC001523438342 1014703999 /nfs/dbraw/zinc/70/39/99/1014703999.db2.gz SOPURLVSTKUHPD-BDAKNGLRSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCCNC(=O)[C@H](F)C(F)(F)F ZINC001523438342 1014704008 /nfs/dbraw/zinc/70/40/08/1014704008.db2.gz SOPURLVSTKUHPD-BDAKNGLRSA-N 0 2 316.295 0.868 20 0 DCADLN CCCCCC[C@@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001595696626 982232170 /nfs/dbraw/zinc/23/21/70/982232170.db2.gz CWSHNRYQAQLNIN-JHJVBQTASA-N 0 2 300.399 0.981 20 0 DCADLN CCCCCC[C@@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001595696626 982232176 /nfs/dbraw/zinc/23/21/76/982232176.db2.gz CWSHNRYQAQLNIN-JHJVBQTASA-N 0 2 300.399 0.981 20 0 DCADLN CC[C@@H](C)NC(=O)CN1CC[NH+](Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC001594989799 982846832 /nfs/dbraw/zinc/84/68/32/982846832.db2.gz TYLDWGKVQDFGNC-GFCCVEGCSA-N 0 2 322.409 0.745 20 0 DCADLN CCCN(CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])C(=O)Cc1c[nH+]c[nH]1 ZINC001595880245 982888998 /nfs/dbraw/zinc/88/89/98/982888998.db2.gz VHOYOHXEXSUNME-NEPJUHHUSA-N 0 2 322.365 0.028 20 0 DCADLN CCOC(=O)C1(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCC1 ZINC001596541790 984383494 /nfs/dbraw/zinc/38/34/94/984383494.db2.gz CJNZAZILMQOECT-JTQLQIEISA-N 0 2 309.322 0.255 20 0 DCADLN CCOC(=O)C1(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCC1 ZINC001596541790 984383500 /nfs/dbraw/zinc/38/35/00/984383500.db2.gz CJNZAZILMQOECT-JTQLQIEISA-N 0 2 309.322 0.255 20 0 DCADLN CCOC(=O)[C@H]1C[N@@H+](CC(=O)NCCC(=O)[O-])CCC1(F)F ZINC001596585588 984511826 /nfs/dbraw/zinc/51/18/26/984511826.db2.gz PYBVCTHXRJDIGQ-SECBINFHSA-N 0 2 322.308 0.098 20 0 DCADLN CCOC(=O)[C@H]1C[N@H+](CC(=O)NCCC(=O)[O-])CCC1(F)F ZINC001596585588 984511821 /nfs/dbraw/zinc/51/18/21/984511821.db2.gz PYBVCTHXRJDIGQ-SECBINFHSA-N 0 2 322.308 0.098 20 0 DCADLN C/C(=C/CNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC001588982667 984882332 /nfs/dbraw/zinc/88/23/32/984882332.db2.gz WVYBVCPZXAHUMU-KMKOMSMNSA-N 0 2 305.338 0.198 20 0 DCADLN C/C(=C/CNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC001588982667 984882343 /nfs/dbraw/zinc/88/23/43/984882343.db2.gz WVYBVCPZXAHUMU-KMKOMSMNSA-N 0 2 305.338 0.198 20 0 DCADLN C/C(=C\CNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC001588982668 984882661 /nfs/dbraw/zinc/88/26/61/984882661.db2.gz WVYBVCPZXAHUMU-XCVCLJGOSA-N 0 2 305.338 0.198 20 0 DCADLN C/C(=C\CNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC001588982668 984882681 /nfs/dbraw/zinc/88/26/81/984882681.db2.gz WVYBVCPZXAHUMU-XCVCLJGOSA-N 0 2 305.338 0.198 20 0 DCADLN C[C@H]1CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C[C@]1(C)C(=O)[O-] ZINC001599918718 985099077 /nfs/dbraw/zinc/09/90/77/985099077.db2.gz DHCWGDCUWOFFJU-RWSFTLGLSA-N 0 2 306.366 0.950 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1nc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001384380604 985538632 /nfs/dbraw/zinc/53/86/32/985538632.db2.gz XMNWAFRIGYXOOE-RQJHMYQMSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1nc[nH]n1)C(=O)[C@H](F)C(F)(F)F ZINC001384380604 985538637 /nfs/dbraw/zinc/53/86/37/985538637.db2.gz XMNWAFRIGYXOOE-RQJHMYQMSA-N 0 2 323.250 0.378 20 0 DCADLN COCCC(=O)N1C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001384771421 985802505 /nfs/dbraw/zinc/80/25/05/985802505.db2.gz DLMQOSYLFYGWQA-NQMVMOMDSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N1C[C@@H](C)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001384771421 985802512 /nfs/dbraw/zinc/80/25/12/985802512.db2.gz DLMQOSYLFYGWQA-NQMVMOMDSA-N 0 2 314.279 0.886 20 0 DCADLN CCC1(C(=O)N(C)C[C@@H]2OCC[C@@H]2c2n[nH]c(C)n2)COC1 ZINC001551664469 1015167437 /nfs/dbraw/zinc/16/74/37/1015167437.db2.gz DOPZVDNEBFRXTE-RYUDHWBXSA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)Cc2cnc(C)o2)n[nH]1 ZINC001551661848 1015168841 /nfs/dbraw/zinc/16/88/41/1015168841.db2.gz UHDNSCVGRQUWFG-QWHCGFSZSA-N 0 2 319.365 0.983 20 0 DCADLN CC(=O)c1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1O ZINC001589277398 985995429 /nfs/dbraw/zinc/99/54/29/985995429.db2.gz PIGNOUIAUNIJPA-GFCCVEGCSA-N 0 2 317.301 0.744 20 0 DCADLN CC(=O)c1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1O ZINC001589277398 985995439 /nfs/dbraw/zinc/99/54/39/985995439.db2.gz PIGNOUIAUNIJPA-GFCCVEGCSA-N 0 2 317.301 0.744 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cscn1 ZINC001594529074 986109197 /nfs/dbraw/zinc/10/91/97/986109197.db2.gz VLPCFDXUTUSWGJ-VIFPVBQESA-N 0 2 319.408 0.313 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cscn1 ZINC001594529074 986109202 /nfs/dbraw/zinc/10/92/02/986109202.db2.gz VLPCFDXUTUSWGJ-VIFPVBQESA-N 0 2 319.408 0.313 20 0 DCADLN COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)C(F)C(F)(F)F ZINC001385191392 986148964 /nfs/dbraw/zinc/14/89/64/986148964.db2.gz ZIJDSHKRASNNGU-VEVYYDQMSA-N 0 2 312.263 0.781 20 0 DCADLN COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@H](F)C(F)(F)F ZINC001385191392 986148967 /nfs/dbraw/zinc/14/89/67/986148967.db2.gz ZIJDSHKRASNNGU-VEVYYDQMSA-N 0 2 312.263 0.781 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1occc1C(=O)[O-] ZINC001594560780 986307321 /nfs/dbraw/zinc/30/73/21/986307321.db2.gz CHXJYIHGYXQJNA-DTWKUNHWSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1occc1C(=O)[O-] ZINC001594560780 986307325 /nfs/dbraw/zinc/30/73/25/986307325.db2.gz CHXJYIHGYXQJNA-DTWKUNHWSA-N 0 2 302.352 0.691 20 0 DCADLN CC[NH+](CC)CC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001385506970 986394306 /nfs/dbraw/zinc/39/43/06/986394306.db2.gz VVCRRBBHVBJIRM-ZDUSSCGKSA-N 0 2 321.425 0.401 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)CCCn1ccc(C(=O)[O-])n1 ZINC001594607292 986576843 /nfs/dbraw/zinc/57/68/43/986576843.db2.gz GXEOJMJZJSDHRC-LBPRGKRZSA-N 0 2 324.381 0.198 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)CCCn1ccc(C(=O)[O-])n1 ZINC001594607292 986576851 /nfs/dbraw/zinc/57/68/51/986576851.db2.gz GXEOJMJZJSDHRC-LBPRGKRZSA-N 0 2 324.381 0.198 20 0 DCADLN COCCC(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385973531 986723797 /nfs/dbraw/zinc/72/37/97/986723797.db2.gz VFPGYSDAGCHIJX-OOZYFLPDSA-N 0 2 300.252 0.687 20 0 DCADLN COCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385973531 986723810 /nfs/dbraw/zinc/72/38/10/986723810.db2.gz VFPGYSDAGCHIJX-OOZYFLPDSA-N 0 2 300.252 0.687 20 0 DCADLN Cc1cnn(CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)c1 ZINC001386189282 986933849 /nfs/dbraw/zinc/93/38/49/986933849.db2.gz LSEKQBYSFDKDDQ-PSASIEDQSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1cnn(CC(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001386189282 986933856 /nfs/dbraw/zinc/93/38/56/986933856.db2.gz LSEKQBYSFDKDDQ-PSASIEDQSA-N 0 2 324.278 0.713 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)COCC1CC1 ZINC001386303015 987056107 /nfs/dbraw/zinc/05/61/07/987056107.db2.gz IBQDGURNDYOYKH-GMSGAONNSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)COCC1CC1 ZINC001386303015 987056119 /nfs/dbraw/zinc/05/61/19/987056119.db2.gz IBQDGURNDYOYKH-GMSGAONNSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)NC(=O)C(F)C(F)(F)F ZINC001386506745 987186009 /nfs/dbraw/zinc/18/60/09/987186009.db2.gz KOWWFYQJEWUIIN-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001386506745 987186012 /nfs/dbraw/zinc/18/60/12/987186012.db2.gz KOWWFYQJEWUIIN-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN Cc1cn(C)nc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001386841617 987444513 /nfs/dbraw/zinc/44/45/13/987444513.db2.gz JGGJCSQKIJYJOH-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cn(C)nc1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001386841617 987444519 /nfs/dbraw/zinc/44/45/19/987444519.db2.gz JGGJCSQKIJYJOH-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN CCc1[nH]c(C(=O)N2CC[N@H+](C)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001597361261 987518435 /nfs/dbraw/zinc/51/84/35/987518435.db2.gz DANGDXSHMQFNHZ-JTQLQIEISA-N 0 2 309.366 0.332 20 0 DCADLN CCc1[nH]c(C(=O)N2CC[N@@H+](C)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001597361261 987518437 /nfs/dbraw/zinc/51/84/37/987518437.db2.gz DANGDXSHMQFNHZ-JTQLQIEISA-N 0 2 309.366 0.332 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN(Cc2cncc(C(=O)[O-])c2)CC1 ZINC001589681736 987714088 /nfs/dbraw/zinc/71/40/88/987714088.db2.gz CCYOYTRXXBASDE-UHFFFAOYSA-N 0 2 306.366 0.161 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001387796729 988117967 /nfs/dbraw/zinc/11/79/67/988117967.db2.gz UQKJFWUPQRFUNK-SFYZADRCSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001387796729 988117979 /nfs/dbraw/zinc/11/79/79/988117979.db2.gz UQKJFWUPQRFUNK-SFYZADRCSA-N 0 2 302.268 0.478 20 0 DCADLN CC[C@@H](OC)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001387792197 988156282 /nfs/dbraw/zinc/15/62/82/988156282.db2.gz AXEZAGDWKSFYJC-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@@H](OC)C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001387792197 988156288 /nfs/dbraw/zinc/15/62/88/988156288.db2.gz AXEZAGDWKSFYJC-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@@H](CNC(=O)c1ccn[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001450848210 1015393079 /nfs/dbraw/zinc/39/30/79/1015393079.db2.gz PRNJERLEMNAEBS-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001450848210 1015393066 /nfs/dbraw/zinc/39/30/66/1015393066.db2.gz PRNJERLEMNAEBS-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN O=C(COC1CCCC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389372345 989171389 /nfs/dbraw/zinc/17/13/89/989171389.db2.gz NJAFZJCBNPKQOZ-NSHDSACASA-N 0 2 323.397 0.550 20 0 DCADLN C[N@H+](CCNC(=O)COc1ccsc1)Cc1n[nH]c(=O)[n-]1 ZINC001390842963 990431800 /nfs/dbraw/zinc/43/18/00/990431800.db2.gz FBEXXZRVCDOKBF-UHFFFAOYSA-N 0 2 311.367 0.199 20 0 DCADLN C[N@@H+](CCNC(=O)COc1ccsc1)Cc1n[nH]c(=O)[n-]1 ZINC001390842963 990431803 /nfs/dbraw/zinc/43/18/03/990431803.db2.gz FBEXXZRVCDOKBF-UHFFFAOYSA-N 0 2 311.367 0.199 20 0 DCADLN CCOc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001390854463 990438464 /nfs/dbraw/zinc/43/84/64/990438464.db2.gz YMFVZUCRLWNYHW-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN CCOc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001390854463 990438471 /nfs/dbraw/zinc/43/84/71/990438471.db2.gz YMFVZUCRLWNYHW-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN CC(C)(CNC(=O)N[C@@H]1CC=C(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC001590724178 990510818 /nfs/dbraw/zinc/51/08/18/990510818.db2.gz UURZJDDVPUTESD-GFCCVEGCSA-N 0 2 311.382 0.570 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391031971 990528526 /nfs/dbraw/zinc/52/85/26/990528526.db2.gz UQAOEEDGTNVIAD-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391031971 990528531 /nfs/dbraw/zinc/52/85/31/990528531.db2.gz UQAOEEDGTNVIAD-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN CC[NH+]1CCCC[C@@H]1C(=O)NCC[N@H+]([C@@H](C)C(=O)NC)C1CC1 ZINC001391194552 990656906 /nfs/dbraw/zinc/65/69/06/990656906.db2.gz BAGMXUFYLNCCBW-DZGCQCFKSA-N 0 2 324.469 0.576 20 0 DCADLN CN(C)[C@@H](C(=O)Nc1cc(C(=O)[O-])n(C)c1)c1c[nH+]cn1C ZINC001598164767 990676170 /nfs/dbraw/zinc/67/61/70/990676170.db2.gz FSRRCMGCRYQUPY-GFCCVEGCSA-N 0 2 305.338 0.698 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)c2ccoc2CC(=O)[O-])co1 ZINC001598256961 991069622 /nfs/dbraw/zinc/06/96/22/991069622.db2.gz ZBPXKZZHEPVEQG-UHFFFAOYSA-N 0 2 307.306 0.886 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)Cn1cc[nH+]c1 ZINC001391856375 991227364 /nfs/dbraw/zinc/22/73/64/991227364.db2.gz JRUKFZXTBHGDHZ-AWEZNQCLSA-N 0 2 321.425 0.284 20 0 DCADLN Cc1conc1C[NH2+]C[C@H](C)CNC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001391879165 991249586 /nfs/dbraw/zinc/24/95/86/991249586.db2.gz AKTGCFKZMCVCFY-FZMZJTMJSA-N 0 2 310.398 0.156 20 0 DCADLN O=C(CC1CCC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001391983882 991364419 /nfs/dbraw/zinc/36/44/19/991364419.db2.gz PQKFYSWIXOGFFR-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CN(C)c1cccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001598335457 991388097 /nfs/dbraw/zinc/38/80/97/991388097.db2.gz LFHXCIMHAYVKCB-CYBMUJFWSA-N 0 2 302.334 0.832 20 0 DCADLN CN(C)c1ccccc1C[NH+]1CC(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C1 ZINC001598342600 991415849 /nfs/dbraw/zinc/41/58/49/991415849.db2.gz SXEZESDWALOUSF-KGLIPLIRSA-N 0 2 317.389 0.774 20 0 DCADLN CC(C)[C@@H]1C[C@H](C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])CCO1 ZINC001591071424 991529293 /nfs/dbraw/zinc/52/92/93/991529293.db2.gz BXTYISVNXUBBCS-UPJWGTAASA-N 0 2 309.366 0.904 20 0 DCADLN COc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001392288881 991700521 /nfs/dbraw/zinc/70/05/21/991700521.db2.gz MOSFSZJLPBRGEK-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN COc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001392288881 991700531 /nfs/dbraw/zinc/70/05/31/991700531.db2.gz MOSFSZJLPBRGEK-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ncc3c(n2)CCC3)S1 ZINC001451224646 1015653080 /nfs/dbraw/zinc/65/30/80/1015653080.db2.gz APNCKODUTSMPCC-VIFPVBQESA-N 0 2 305.363 0.138 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+]1CCO[C@@](C)(C(F)(F)F)C1 ZINC001598409360 991726332 /nfs/dbraw/zinc/72/63/32/991726332.db2.gz KOGPVCGQGLHHLD-LLVKDONJSA-N 0 2 312.288 0.573 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+]1CCO[C@@](C)(C(F)(F)F)C1 ZINC001598409360 991726341 /nfs/dbraw/zinc/72/63/41/991726341.db2.gz KOGPVCGQGLHHLD-LLVKDONJSA-N 0 2 312.288 0.573 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCC(=O)[O-] ZINC001591108956 991755990 /nfs/dbraw/zinc/75/59/90/991755990.db2.gz PPSOWRNMZZPCKI-NSHDSACASA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCC(=O)[O-] ZINC001591108956 991756002 /nfs/dbraw/zinc/75/60/02/991756002.db2.gz PPSOWRNMZZPCKI-NSHDSACASA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CC[C@@](O)(C(F)F)C1)C(=O)[O-] ZINC001591167779 991940504 /nfs/dbraw/zinc/94/05/04/991940504.db2.gz MXISSYDIXKKFLW-RNCFNFMXSA-N 0 2 308.325 0.304 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CC[C@@](O)(C(F)F)C1)C(=O)[O-] ZINC001591167779 991940507 /nfs/dbraw/zinc/94/05/07/991940507.db2.gz MXISSYDIXKKFLW-RNCFNFMXSA-N 0 2 308.325 0.304 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCCCO1 ZINC001392656135 992213966 /nfs/dbraw/zinc/21/39/66/992213966.db2.gz YPAWXRGFZRYJMD-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCCCO1 ZINC001392656135 992213976 /nfs/dbraw/zinc/21/39/76/992213976.db2.gz YPAWXRGFZRYJMD-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2cc(C(=O)[O-])cs2)CC1 ZINC001599776912 992314891 /nfs/dbraw/zinc/31/48/91/992314891.db2.gz MNBVCAPSEIWOMR-FQEVSTJZSA-N 0 2 316.448 0.942 20 0 DCADLN C[N@H+](CCNS(C)(=O)=O)Cc1cc(C(=O)[O-])ccc1F ZINC001598494414 992342657 /nfs/dbraw/zinc/34/26/57/992342657.db2.gz VTUNCDDKRUKVJG-UHFFFAOYSA-N 0 2 304.343 0.505 20 0 DCADLN C[N@@H+](CCNS(C)(=O)=O)Cc1cc(C(=O)[O-])ccc1F ZINC001598494414 992342665 /nfs/dbraw/zinc/34/26/65/992342665.db2.gz VTUNCDDKRUKVJG-UHFFFAOYSA-N 0 2 304.343 0.505 20 0 DCADLN C[N@@H+]1C2(CCC2)COC[C@]1(CO)CNc1ncc(C(=O)[O-])cn1 ZINC001598555753 993054970 /nfs/dbraw/zinc/05/49/70/993054970.db2.gz AMMXRFPXNYUMTN-OAHLLOKOSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@H+]1C2(CCC2)COC[C@]1(CO)CNc1ncc(C(=O)[O-])cn1 ZINC001598555753 993054975 /nfs/dbraw/zinc/05/49/75/993054975.db2.gz AMMXRFPXNYUMTN-OAHLLOKOSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2csc(-c3ncc[nH]3)n2)C[C@H]1C(=O)[O-] ZINC001598571973 993211793 /nfs/dbraw/zinc/21/17/93/993211793.db2.gz CDIHMZKLJYJUMY-APPZFPTMSA-N 0 2 322.346 0.847 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2csc(-c3ncc[nH]3)n2)C[C@H]1C(=O)[O-] ZINC001598571973 993211797 /nfs/dbraw/zinc/21/17/97/993211797.db2.gz CDIHMZKLJYJUMY-APPZFPTMSA-N 0 2 322.346 0.847 20 0 DCADLN COc1ccc(F)cc1C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001599582545 993570899 /nfs/dbraw/zinc/57/08/99/993570899.db2.gz AGTAPXMKWGBQHR-LBPRGKRZSA-N 0 2 310.325 1.000 20 0 DCADLN COc1ccc(F)cc1C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001599582545 993570905 /nfs/dbraw/zinc/57/09/05/993570905.db2.gz AGTAPXMKWGBQHR-LBPRGKRZSA-N 0 2 310.325 1.000 20 0 DCADLN C[N@H+](CCNC(=O)CCC1CCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001399586633 993589606 /nfs/dbraw/zinc/58/96/06/993589606.db2.gz KDBLDEGSLBYWAY-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN C[N@@H+](CCNC(=O)CCC1CCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001399586633 993589612 /nfs/dbraw/zinc/58/96/12/993589612.db2.gz KDBLDEGSLBYWAY-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001399803018 993792161 /nfs/dbraw/zinc/79/21/61/993792161.db2.gz CJSOZZHBEJLTRL-UHFFFAOYSA-N 0 2 321.356 0.830 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001399803018 993792166 /nfs/dbraw/zinc/79/21/66/993792166.db2.gz CJSOZZHBEJLTRL-UHFFFAOYSA-N 0 2 321.356 0.830 20 0 DCADLN CC[C@@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1cnnn1C)[NH+](C)C ZINC001399856466 993848940 /nfs/dbraw/zinc/84/89/40/993848940.db2.gz AVJDMSQXPAKGTP-OCCSQVGLSA-N 0 2 308.430 0.236 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001399877511 993872137 /nfs/dbraw/zinc/87/21/37/993872137.db2.gz YWWWOUMYSNPDQY-CMPLNLGQSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001399877511 993872140 /nfs/dbraw/zinc/87/21/40/993872140.db2.gz YWWWOUMYSNPDQY-CMPLNLGQSA-N 0 2 311.386 0.262 20 0 DCADLN CN1CC[N@H+](CC[S@](=O)C(F)(F)F)C[C@H](C(=O)[O-])C1 ZINC001598618361 993939129 /nfs/dbraw/zinc/93/91/29/993939129.db2.gz BSDWWXPYFOZBTK-YLVJLNSGSA-N 0 2 302.318 0.203 20 0 DCADLN CN1CC[N@@H+](CC[S@](=O)C(F)(F)F)C[C@H](C(=O)[O-])C1 ZINC001598618361 993939141 /nfs/dbraw/zinc/93/91/41/993939141.db2.gz BSDWWXPYFOZBTK-YLVJLNSGSA-N 0 2 302.318 0.203 20 0 DCADLN CNC(=O)C[NH2+][C@@H]1C[C@@H](C)N(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001394675521 994007544 /nfs/dbraw/zinc/00/75/44/994007544.db2.gz WZFHKBRYDMKAOG-MWLCHTKSSA-N 0 2 305.382 0.226 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)Nc2ccc3cnn(CC(=O)[O-])c3c2)C1 ZINC001598623687 994051222 /nfs/dbraw/zinc/05/12/22/994051222.db2.gz NPPCIXKPMREBFQ-CYBMUJFWSA-N 0 2 318.333 0.390 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)Nc2ccc3cnn(CC(=O)[O-])c3c2)C1 ZINC001598623687 994051227 /nfs/dbraw/zinc/05/12/27/994051227.db2.gz NPPCIXKPMREBFQ-CYBMUJFWSA-N 0 2 318.333 0.390 20 0 DCADLN C[C@H](CNC(=O)c1ccn[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001395051063 994383272 /nfs/dbraw/zinc/38/32/72/994383272.db2.gz WSPMXSHQWFZLCN-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)c1ccn[nH]1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001395051063 994383280 /nfs/dbraw/zinc/38/32/80/994383280.db2.gz WSPMXSHQWFZLCN-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN CCc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001492836030 994417700 /nfs/dbraw/zinc/41/77/00/994417700.db2.gz VZSGYRJAHQRVDV-UHFFFAOYSA-N 0 2 303.366 0.935 20 0 DCADLN CCc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001492836030 994417707 /nfs/dbraw/zinc/41/77/07/994417707.db2.gz VZSGYRJAHQRVDV-UHFFFAOYSA-N 0 2 303.366 0.935 20 0 DCADLN C[C@H]([NH2+]CC(=O)Nc1ccsc1C(=O)[O-])c1nncn1C ZINC001593238306 994420122 /nfs/dbraw/zinc/42/01/22/994420122.db2.gz ZUMVOXZXANJIKR-ZETCQYMHSA-N 0 2 309.351 0.864 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001400412766 994489038 /nfs/dbraw/zinc/48/90/38/994489038.db2.gz CRFUQUHGGQJHDP-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001400412766 994489046 /nfs/dbraw/zinc/48/90/46/994489046.db2.gz CRFUQUHGGQJHDP-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001395589665 994783104 /nfs/dbraw/zinc/78/31/04/994783104.db2.gz IBQGGTXBXXFBTA-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001395589665 994783115 /nfs/dbraw/zinc/78/31/15/994783115.db2.gz IBQGGTXBXXFBTA-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+](C)Cc1cccc(C(N)=O)c1 ZINC001598696221 995141554 /nfs/dbraw/zinc/14/15/54/995141554.db2.gz HPAGGOXICQIJIR-LBPRGKRZSA-N 0 2 308.334 0.624 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+](C)Cc1cccc(C(N)=O)c1 ZINC001598696221 995141557 /nfs/dbraw/zinc/14/15/57/995141557.db2.gz HPAGGOXICQIJIR-LBPRGKRZSA-N 0 2 308.334 0.624 20 0 DCADLN COC(=O)[C@H](C[C@@H]1CCCO1)[NH2+]C[C@@H]1[C@H](C(=O)[O-])C1(F)F ZINC001598699800 995202572 /nfs/dbraw/zinc/20/25/72/995202572.db2.gz ZSSLIAOAGNRUMX-QCLAVDOMSA-N 0 2 307.293 0.653 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnco2)C1)C(F)C(F)(F)F ZINC001397155468 995829978 /nfs/dbraw/zinc/82/99/78/995829978.db2.gz AZJCZUNJJXVIFE-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnco2)C1)[C@H](F)C(F)(F)F ZINC001397155468 995829982 /nfs/dbraw/zinc/82/99/82/995829982.db2.gz AZJCZUNJJXVIFE-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001397191669 995853589 /nfs/dbraw/zinc/85/35/89/995853589.db2.gz PNKDDAGALPSXKL-ZXFLCMHBSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)[nH]n1 ZINC001397191669 995853592 /nfs/dbraw/zinc/85/35/92/995853592.db2.gz PNKDDAGALPSXKL-ZXFLCMHBSA-N 0 2 322.262 0.996 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])N(C(=O)CNc2cccc[nH+]2)C1 ZINC001598777060 995940514 /nfs/dbraw/zinc/94/05/14/995940514.db2.gz QAYGEWIXBQEJGU-MNOVXSKESA-N 0 2 321.333 0.358 20 0 DCADLN C[C@H](Oc1cccnc1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593655507 996020034 /nfs/dbraw/zinc/02/00/34/996020034.db2.gz GVKMWZFUGCIFNZ-CABZTGNLSA-N 0 2 304.306 0.384 20 0 DCADLN C[C@H](Oc1cccnc1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593655507 996020039 /nfs/dbraw/zinc/02/00/39/996020039.db2.gz GVKMWZFUGCIFNZ-CABZTGNLSA-N 0 2 304.306 0.384 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n1C ZINC001397533850 996052128 /nfs/dbraw/zinc/05/21/28/996052128.db2.gz CNUZFNQULHJIOU-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)n1C ZINC001397533850 996052133 /nfs/dbraw/zinc/05/21/33/996052133.db2.gz CNUZFNQULHJIOU-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN COCCC(=O)N1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001397608248 996094575 /nfs/dbraw/zinc/09/45/75/996094575.db2.gz OXXUXOIQMYNCFI-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN COCCC(=O)N1CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397608248 996094581 /nfs/dbraw/zinc/09/45/81/996094581.db2.gz OXXUXOIQMYNCFI-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN Cc1nc[nH]c1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001397965068 996283025 /nfs/dbraw/zinc/28/30/25/996283025.db2.gz DKWKBGYJSWDHJS-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1nc[nH]c1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001397965068 996283032 /nfs/dbraw/zinc/28/30/32/996283032.db2.gz DKWKBGYJSWDHJS-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN O=C(Cc1nc(C(F)(F)F)cs1)NCc1n[nH]c(=O)[nH]1 ZINC001465724789 1016020689 /nfs/dbraw/zinc/02/06/89/1016020689.db2.gz DADQBHOAWVGEGM-UHFFFAOYSA-N 0 2 307.257 0.845 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001398097673 996363294 /nfs/dbraw/zinc/36/32/94/996363294.db2.gz JJDFMJPCQDTSOV-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001398097673 996363301 /nfs/dbraw/zinc/36/33/01/996363301.db2.gz JJDFMJPCQDTSOV-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2c(F)ccc(O)c2F)C[C@@H]1C(=O)[O-] ZINC001598826047 996383078 /nfs/dbraw/zinc/38/30/78/996383078.db2.gz FPJOIYQSNXSRDL-YUMQZZPRSA-N 0 2 315.272 0.976 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2c(F)ccc(O)c2F)C[C@@H]1C(=O)[O-] ZINC001598826047 996383080 /nfs/dbraw/zinc/38/30/80/996383080.db2.gz FPJOIYQSNXSRDL-YUMQZZPRSA-N 0 2 315.272 0.976 20 0 DCADLN Cc1ncoc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001398603688 996599558 /nfs/dbraw/zinc/59/95/58/996599558.db2.gz HVCUDCSPHMZKBK-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1ncoc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001398603688 996599560 /nfs/dbraw/zinc/59/95/60/996599560.db2.gz HVCUDCSPHMZKBK-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN COC1CC(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001404240786 996852836 /nfs/dbraw/zinc/85/28/36/996852836.db2.gz DPOFOCQAACRCFO-UHFFFAOYSA-N 0 2 309.370 0.016 20 0 DCADLN COC1CC(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001404240786 996852846 /nfs/dbraw/zinc/85/28/46/996852846.db2.gz DPOFOCQAACRCFO-UHFFFAOYSA-N 0 2 309.370 0.016 20 0 DCADLN COC(=O)[C@@H](C)[N@H+](C)C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001598888692 996949346 /nfs/dbraw/zinc/94/93/46/996949346.db2.gz ADEOVERCUFUTBK-ZYHUDNBSSA-N 0 2 311.334 0.618 20 0 DCADLN COC(=O)[C@@H](C)[N@@H+](C)C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001598888692 996949351 /nfs/dbraw/zinc/94/93/51/996949351.db2.gz ADEOVERCUFUTBK-ZYHUDNBSSA-N 0 2 311.334 0.618 20 0 DCADLN CN=[S@@](C)(=O)c1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001466556367 1016090196 /nfs/dbraw/zinc/09/01/96/1016090196.db2.gz WDXIJVKSKHUJKA-QFIPXVFZSA-N 0 2 324.366 0.919 20 0 DCADLN CC1(C)C[N@H+](CCOCCO)CC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001502839218 1016095353 /nfs/dbraw/zinc/09/53/53/1016095353.db2.gz ULYRXYUWTDDNMO-AWEZNQCLSA-N 0 2 324.425 0.109 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001404493954 997167746 /nfs/dbraw/zinc/16/77/46/997167746.db2.gz ZPSICJLZJLTYBM-MNOVXSKESA-N 0 2 323.397 0.129 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001404493954 997167753 /nfs/dbraw/zinc/16/77/53/997167753.db2.gz ZPSICJLZJLTYBM-MNOVXSKESA-N 0 2 323.397 0.129 20 0 DCADLN CON1CCC(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1 ZINC001599319053 997549865 /nfs/dbraw/zinc/54/98/65/997549865.db2.gz RUTFUVJOLWKWBV-LBPRGKRZSA-N 0 2 310.354 0.185 20 0 DCADLN CON1CCC(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1 ZINC001599319053 997549874 /nfs/dbraw/zinc/54/98/74/997549874.db2.gz RUTFUVJOLWKWBV-LBPRGKRZSA-N 0 2 310.354 0.185 20 0 DCADLN O=C(C[C@H]1CCCCO1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404873429 997551395 /nfs/dbraw/zinc/55/13/95/997551395.db2.gz HBFOGWVUHPVYJR-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN CO[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001404931098 997602930 /nfs/dbraw/zinc/60/29/30/997602930.db2.gz MRJNVEDNVXVWOG-CYBMUJFWSA-N 0 2 323.397 0.264 20 0 DCADLN COC(=O)c1cc(C[N@H+](C)[C@H](C)C(=O)NCC(=O)[O-])oc1C ZINC001598928225 997691111 /nfs/dbraw/zinc/69/11/11/997691111.db2.gz MWZCXBMBCQHVLD-MRVPVSSYSA-N 0 2 312.322 0.396 20 0 DCADLN COC(=O)c1cc(C[N@@H+](C)[C@H](C)C(=O)NCC(=O)[O-])oc1C ZINC001598928225 997691119 /nfs/dbraw/zinc/69/11/19/997691119.db2.gz MWZCXBMBCQHVLD-MRVPVSSYSA-N 0 2 312.322 0.396 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2ncnn2C)C1 ZINC001405124236 997829115 /nfs/dbraw/zinc/82/91/15/997829115.db2.gz INBVJNJOTFQURF-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(Cc2ncnn2C)C1 ZINC001405124236 997829125 /nfs/dbraw/zinc/82/91/25/997829125.db2.gz INBVJNJOTFQURF-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN COCC[N@H+](C)Cc1cn([C@H](C)c2nc(C(=O)[O-])co2)nn1 ZINC001599232193 997880329 /nfs/dbraw/zinc/88/03/29/997880329.db2.gz NSEJJSDIOHDGAJ-SECBINFHSA-N 0 2 309.326 0.652 20 0 DCADLN COCC[N@@H+](C)Cc1cn([C@H](C)c2nc(C(=O)[O-])co2)nn1 ZINC001599232193 997880340 /nfs/dbraw/zinc/88/03/40/997880340.db2.gz NSEJJSDIOHDGAJ-SECBINFHSA-N 0 2 309.326 0.652 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2ccsc2CC(=O)[O-])CC1 ZINC001599243207 998086448 /nfs/dbraw/zinc/08/64/48/998086448.db2.gz NODKROVQOKVWDD-UHFFFAOYSA-N 0 2 312.391 0.779 20 0 DCADLN COc1cc(S(=O)(=O)NC[C@@H]2CC[N@@H+]2C)ccc1C(=O)[O-] ZINC001599458916 998237351 /nfs/dbraw/zinc/23/73/51/998237351.db2.gz QVLLNVCGXJCBJX-VIFPVBQESA-N 0 2 314.363 0.376 20 0 DCADLN COc1cc(S(=O)(=O)NC[C@@H]2CC[N@H+]2C)ccc1C(=O)[O-] ZINC001599458916 998237364 /nfs/dbraw/zinc/23/73/64/998237364.db2.gz QVLLNVCGXJCBJX-VIFPVBQESA-N 0 2 314.363 0.376 20 0 DCADLN COC(=O)c1cccc([C@@H]([NH2+]CC(=O)NCC(C)C)C(=O)[O-])c1 ZINC001599000109 998816339 /nfs/dbraw/zinc/81/63/39/998816339.db2.gz WOTUMSYTJYJZNG-CQSZACIVSA-N 0 2 322.361 0.961 20 0 DCADLN COC(=O)c1coc(C(=O)O[C@@H]2C[N@H+](C)[C@](C)(C(=O)[O-])C2)c1 ZINC001599013909 998922298 /nfs/dbraw/zinc/92/22/98/998922298.db2.gz GMIWCHXQFSKZDM-XPTSAGLGSA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1coc(C(=O)O[C@@H]2C[N@@H+](C)[C@](C)(C(=O)[O-])C2)c1 ZINC001599013909 998922303 /nfs/dbraw/zinc/92/23/03/998922303.db2.gz GMIWCHXQFSKZDM-XPTSAGLGSA-N 0 2 311.290 0.770 20 0 DCADLN Cc1[nH][nH]c(=O)c1NC(=O)c1nc[nH]c(=O)c1Br ZINC001468546678 1016262752 /nfs/dbraw/zinc/26/27/52/1016262752.db2.gz OOUASUZTVAXJKX-UHFFFAOYSA-N 0 2 314.099 0.934 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])n1C ZINC001594251926 998970431 /nfs/dbraw/zinc/97/04/31/998970431.db2.gz ZVHVWVUVOGCWDP-RYUDHWBXSA-N 0 2 321.377 0.659 20 0 DCADLN CC(C)=CC(=O)N(C)C[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001511479280 1016300722 /nfs/dbraw/zinc/30/07/22/1016300722.db2.gz XJTGNMDGGRXOPA-WPRPVWTQSA-N 0 2 314.279 0.789 20 0 DCADLN CC(C)=CC(=O)N(C)C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001511479280 1016300727 /nfs/dbraw/zinc/30/07/27/1016300727.db2.gz XJTGNMDGGRXOPA-WPRPVWTQSA-N 0 2 314.279 0.789 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)C[N@@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001594365246 999379619 /nfs/dbraw/zinc/37/96/19/999379619.db2.gz DTYLBHFDFOREBH-XHBSWPGZSA-N 0 2 323.393 0.987 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)C[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001594365246 999379621 /nfs/dbraw/zinc/37/96/21/999379621.db2.gz DTYLBHFDFOREBH-XHBSWPGZSA-N 0 2 323.393 0.987 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)[C@@H]1CO ZINC001594416278 999556376 /nfs/dbraw/zinc/55/63/76/999556376.db2.gz HACKGIBGLIDPNI-PSASIEDQSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)[C@@H]1CO ZINC001594416278 999556378 /nfs/dbraw/zinc/55/63/78/999556378.db2.gz HACKGIBGLIDPNI-PSASIEDQSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@@H]1CCn2ncc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])c2C1 ZINC001594452182 999651974 /nfs/dbraw/zinc/65/19/74/999651974.db2.gz LXGOMSFHEQAZIQ-PWSUYJOCSA-N 0 2 317.349 0.545 20 0 DCADLN Cc1cc(=O)[nH]c(C(C)(C)NC(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC001469697955 1016355995 /nfs/dbraw/zinc/35/59/95/1016355995.db2.gz HVICVUHESNHFOR-SSDOTTSWSA-N 0 2 323.378 0.398 20 0 DCADLN Cn1cc(Cl)cc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418477048 1000262324 /nfs/dbraw/zinc/26/23/24/1000262324.db2.gz XGTYIPWPRZUYSR-UHFFFAOYSA-N 0 2 312.761 0.364 20 0 DCADLN Cn1cc(Cl)cc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418477048 1000262327 /nfs/dbraw/zinc/26/23/27/1000262327.db2.gz XGTYIPWPRZUYSR-UHFFFAOYSA-N 0 2 312.761 0.364 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C2CC2)on1)Cc1n[nH]c(=O)[n-]1 ZINC001418554987 1000343333 /nfs/dbraw/zinc/34/33/33/1000343333.db2.gz QFCBIVVDSKBGDZ-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C2CC2)on1)Cc1n[nH]c(=O)[n-]1 ZINC001418554987 1000343337 /nfs/dbraw/zinc/34/33/37/1000343337.db2.gz QFCBIVVDSKBGDZ-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN COC[C@@H](C)[N@H+](C)CCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001401394496 1000406205 /nfs/dbraw/zinc/40/62/05/1000406205.db2.gz HTXALHGDRISLEL-MGPQQGTHSA-N 0 2 308.426 0.949 20 0 DCADLN O=C(CCCc1ccc(O)c(F)c1)NCc1nnc(CO)[nH]1 ZINC001470348339 1016422935 /nfs/dbraw/zinc/42/29/35/1016422935.db2.gz LLIJMHMZXYLXCT-UHFFFAOYSA-N 0 2 308.313 0.781 20 0 DCADLN O=C(NC1CN(CCN2CCCC2=O)C1)C(F)C(F)(F)F ZINC001418973592 1000658509 /nfs/dbraw/zinc/65/85/09/1000658509.db2.gz XNUSOUDHIGQDSR-SNVBAGLBSA-N 0 2 311.279 0.310 20 0 DCADLN O=C(NC1CN(CCN2CCCC2=O)C1)[C@@H](F)C(F)(F)F ZINC001418973592 1000658512 /nfs/dbraw/zinc/65/85/12/1000658512.db2.gz XNUSOUDHIGQDSR-SNVBAGLBSA-N 0 2 311.279 0.310 20 0 DCADLN C[C@@H](CN(C)Cc1cnn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001401749450 1000686831 /nfs/dbraw/zinc/68/68/31/1000686831.db2.gz KKYFZUKXBPCFBG-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CN(C)Cc1cnn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001401749450 1000686837 /nfs/dbraw/zinc/68/68/37/1000686837.db2.gz KKYFZUKXBPCFBG-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN CN1CCC[C@H]([NH2+]C2(CNC(=O)CCn3cc[nH+]c3)CC2)C1=O ZINC001401955097 1000900099 /nfs/dbraw/zinc/90/00/99/1000900099.db2.gz DEPDUFDEGDPFGG-ZDUSSCGKSA-N 0 2 319.409 0.132 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CCC1 ZINC001419572657 1001149372 /nfs/dbraw/zinc/14/93/72/1001149372.db2.gz XFFODGHRZDVKLC-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN CC[C@@H](F)C[N@@H+]1CC[C@](O)(CNC(=O)CCc2cnn[nH]2)C1 ZINC001402291216 1001183719 /nfs/dbraw/zinc/18/37/19/1001183719.db2.gz JLQKYUAIQHEXRB-RISCZKNCSA-N 0 2 313.377 0.038 20 0 DCADLN CC[C@@H](F)C[N@H+]1CC[C@](O)(CNC(=O)CCc2cnn[nH]2)C1 ZINC001402291216 1001183723 /nfs/dbraw/zinc/18/37/23/1001183723.db2.gz JLQKYUAIQHEXRB-RISCZKNCSA-N 0 2 313.377 0.038 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC1CC(N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001511997466 1016525570 /nfs/dbraw/zinc/52/55/70/1016525570.db2.gz ZYOXAQIGYSMEFD-UHFFFAOYSA-N 0 2 321.425 0.398 20 0 DCADLN C[N@H+](CCNC(=O)c1coc(C2CCC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001420331519 1002236661 /nfs/dbraw/zinc/23/66/61/1002236661.db2.gz WJKLDEMVMDUQSV-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN C[N@@H+](CCNC(=O)c1coc(C2CCC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001420331519 1002236669 /nfs/dbraw/zinc/23/66/69/1002236669.db2.gz WJKLDEMVMDUQSV-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN Cc1cnc(CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F)nc1 ZINC001403669164 1002317908 /nfs/dbraw/zinc/31/79/08/1002317908.db2.gz JYQOUGXFCXSMFK-PSASIEDQSA-N 0 2 324.278 0.252 20 0 DCADLN Cc1nsc(C)c1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425088842 1002343914 /nfs/dbraw/zinc/34/39/14/1002343914.db2.gz RVINPTZKZMLYBO-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nsc(C)c1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425088842 1002343928 /nfs/dbraw/zinc/34/39/28/1002343928.db2.gz RVINPTZKZMLYBO-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN O=C(Cc1ccccc1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420487660 1002488848 /nfs/dbraw/zinc/48/88/48/1002488848.db2.gz QGZORKRMBHYCPC-ZDUSSCGKSA-N 0 2 315.377 0.834 20 0 DCADLN O=C(Cc1ccccc1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420487660 1002488852 /nfs/dbraw/zinc/48/88/52/1002488852.db2.gz QGZORKRMBHYCPC-ZDUSSCGKSA-N 0 2 315.377 0.834 20 0 DCADLN COc1cc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001425412158 1002653754 /nfs/dbraw/zinc/65/37/54/1002653754.db2.gz QKUFUTHYFCYPLN-QMMMGPOBSA-N 0 2 319.325 0.720 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cc(=O)[nH]c(C3CC3)n2)S1 ZINC001471809290 1016657689 /nfs/dbraw/zinc/65/76/89/1016657689.db2.gz AHFSXFXPJJYWJG-QMMMGPOBSA-N 0 2 321.362 0.232 20 0 DCADLN CCc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001420666641 1002775465 /nfs/dbraw/zinc/77/54/65/1002775465.db2.gz WCQMWTFRLVAKPV-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001420666641 1002775472 /nfs/dbraw/zinc/77/54/72/1002775472.db2.gz WCQMWTFRLVAKPV-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN O=C([C@@H]1CCc2[nH]cnc2C1)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001471853214 1016674825 /nfs/dbraw/zinc/67/48/25/1016674825.db2.gz HTZPMJQVHIBZNW-GHMZBOCLSA-N 0 2 315.381 0.509 20 0 DCADLN O=C([C@@H]1CCc2nc[nH]c2C1)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001471853214 1016674837 /nfs/dbraw/zinc/67/48/37/1016674837.db2.gz HTZPMJQVHIBZNW-GHMZBOCLSA-N 0 2 315.381 0.509 20 0 DCADLN Cc1c(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)ccn1C ZINC001420691333 1002808114 /nfs/dbraw/zinc/80/81/14/1002808114.db2.gz PLXAVLHOKXFPGR-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1c(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)ccn1C ZINC001420691333 1002808133 /nfs/dbraw/zinc/80/81/33/1002808133.db2.gz PLXAVLHOKXFPGR-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN O=C(Cc1ccoc1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403987654 1002861266 /nfs/dbraw/zinc/86/12/66/1002861266.db2.gz YQNULJJKLXBWSK-NSHDSACASA-N 0 2 305.338 0.427 20 0 DCADLN O=C(Cc1ccoc1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403987654 1002861281 /nfs/dbraw/zinc/86/12/81/1002861281.db2.gz YQNULJJKLXBWSK-NSHDSACASA-N 0 2 305.338 0.427 20 0 DCADLN CC[C@H](C)C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001420810253 1002969741 /nfs/dbraw/zinc/96/97/41/1002969741.db2.gz HAJCESFMEQFBIQ-AAEUAGOBSA-N 0 2 317.393 0.759 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001425721320 1003000822 /nfs/dbraw/zinc/00/08/22/1003000822.db2.gz GCAUCVOCZABTEI-CRCLSJGQSA-N 0 2 310.194 0.087 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(F)F ZINC001425721320 1003000829 /nfs/dbraw/zinc/00/08/29/1003000829.db2.gz GCAUCVOCZABTEI-CRCLSJGQSA-N 0 2 310.194 0.087 20 0 DCADLN CCC[NH+](CCNC(=O)[C@H]1CCC[N@H+]1C)Cc1ccn(C)n1 ZINC001404113204 1003023297 /nfs/dbraw/zinc/02/32/97/1003023297.db2.gz WRLDZFLDNZQKJE-OAHLLOKOSA-N 0 2 307.442 0.843 20 0 DCADLN CCn1nc(C)c(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001421030827 1003248658 /nfs/dbraw/zinc/24/86/58/1003248658.db2.gz OKZVFOKYGQUGIZ-JTQLQIEISA-N 0 2 323.294 0.807 20 0 DCADLN CCn1nc(C)c(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001421030827 1003248669 /nfs/dbraw/zinc/24/86/69/1003248669.db2.gz OKZVFOKYGQUGIZ-JTQLQIEISA-N 0 2 323.294 0.807 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN([C@H]2CCN(C)C2=O)C1 ZINC001421059636 1003278708 /nfs/dbraw/zinc/27/87/08/1003278708.db2.gz YVWIJMCSDUQRFU-DTWKUNHWSA-N 0 2 311.279 0.260 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN([C@H]2CCN(C)C2=O)C1 ZINC001421059636 1003278719 /nfs/dbraw/zinc/27/87/19/1003278719.db2.gz YVWIJMCSDUQRFU-DTWKUNHWSA-N 0 2 311.279 0.260 20 0 DCADLN CN(C(=O)C(C)(C)C(C)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421086220 1003305489 /nfs/dbraw/zinc/30/54/89/1003305489.db2.gz FUFQRORVWJVPAD-UHFFFAOYSA-N 0 2 317.340 0.834 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1ncnn1C ZINC001406102451 1003366952 /nfs/dbraw/zinc/36/69/52/1003366952.db2.gz TUOFYPUJEPXGKK-VIFPVBQESA-N 0 2 311.283 0.606 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)Cc1ncnn1C ZINC001406102451 1003366959 /nfs/dbraw/zinc/36/69/59/1003366959.db2.gz TUOFYPUJEPXGKK-VIFPVBQESA-N 0 2 311.283 0.606 20 0 DCADLN C[C@H](NC(=O)c1ccnc2c1nnn2C)c1nn(C)cc1O ZINC001472235414 1016763803 /nfs/dbraw/zinc/76/38/03/1016763803.db2.gz BZPVVPYUPURTGE-ZETCQYMHSA-N 0 2 301.310 0.293 20 0 DCADLN COCCC(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001428078022 1003690523 /nfs/dbraw/zinc/69/05/23/1003690523.db2.gz ZZRWQFFUOVKCKX-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428078022 1003690519 /nfs/dbraw/zinc/69/05/19/1003690519.db2.gz ZZRWQFFUOVKCKX-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)NC[C@@H](C)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001426678743 1003961599 /nfs/dbraw/zinc/96/15/99/1003961599.db2.gz MQTZIAVFDGKZMK-CYBMUJFWSA-N 0 2 323.441 0.646 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cncnc1 ZINC001428654932 1004090967 /nfs/dbraw/zinc/09/09/67/1004090967.db2.gz OTRGPYILTOOGFK-XCBNKYQSSA-N 0 2 322.262 0.540 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cncnc1 ZINC001428654932 1004090980 /nfs/dbraw/zinc/09/09/80/1004090980.db2.gz OTRGPYILTOOGFK-XCBNKYQSSA-N 0 2 322.262 0.540 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cn[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001428884966 1004240330 /nfs/dbraw/zinc/24/03/30/1004240330.db2.gz QMNIQPAQHJRJSN-XPUUQOCRSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001428884966 1004240327 /nfs/dbraw/zinc/24/03/27/1004240327.db2.gz QMNIQPAQHJRJSN-XPUUQOCRSA-N 0 2 323.250 0.283 20 0 DCADLN Cn1nccc1CCNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427325024 1004324306 /nfs/dbraw/zinc/32/43/06/1004324306.db2.gz UPNKWAXCDOGWPD-SNVBAGLBSA-N 0 2 319.369 0.376 20 0 DCADLN Cc1nn(C)cc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001429304330 1004518158 /nfs/dbraw/zinc/51/81/58/1004518158.db2.gz PJBLGIMBUAIBOU-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1nn(C)cc1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001429304330 1004518165 /nfs/dbraw/zinc/51/81/65/1004518165.db2.gz PJBLGIMBUAIBOU-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN CC1(C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001427822042 1004629016 /nfs/dbraw/zinc/62/90/16/1004629016.db2.gz FSZYDKWJZSMPSA-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)CCC1 ZINC001427822042 1004629018 /nfs/dbraw/zinc/62/90/18/1004629018.db2.gz FSZYDKWJZSMPSA-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001427828046 1004631700 /nfs/dbraw/zinc/63/17/00/1004631700.db2.gz RGGBKNANEGWHJN-UWVGGRQHSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccccc1 ZINC001427828046 1004631705 /nfs/dbraw/zinc/63/17/05/1004631705.db2.gz RGGBKNANEGWHJN-UWVGGRQHSA-N 0 2 322.258 0.794 20 0 DCADLN CO[C@@H](C)C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001429505293 1004636523 /nfs/dbraw/zinc/63/65/23/1004636523.db2.gz AENINBYRDDZJSI-RNJXMRFFSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001429505293 1004636529 /nfs/dbraw/zinc/63/65/29/1004636529.db2.gz AENINBYRDDZJSI-RNJXMRFFSA-N 0 2 300.252 0.639 20 0 DCADLN CN(CCC[NH+](C)Cc1cn(C)nn1)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001407878744 1004918258 /nfs/dbraw/zinc/91/82/58/1004918258.db2.gz OLIKTPBMQWYTQO-AWEZNQCLSA-N 0 2 308.430 0.190 20 0 DCADLN COCC(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)[C@@H]1C ZINC001414311893 1005283951 /nfs/dbraw/zinc/28/39/51/1005283951.db2.gz QKZMOWVQRXLMIF-FJXKBIBVSA-N 0 2 300.252 0.639 20 0 DCADLN COCC(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1C ZINC001414311893 1005283953 /nfs/dbraw/zinc/28/39/53/1005283953.db2.gz QKZMOWVQRXLMIF-FJXKBIBVSA-N 0 2 300.252 0.639 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NCCC(F)F)cc-2c(=O)[nH]1 ZINC001414379514 1005303976 /nfs/dbraw/zinc/30/39/76/1005303976.db2.gz UZAOMRWPPJAIGU-UHFFFAOYSA-N 0 2 320.277 0.370 20 0 DCADLN CCC[C@H](CC)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001414542111 1005356784 /nfs/dbraw/zinc/35/67/84/1005356784.db2.gz JHTNINVSVMPBSD-VIFPVBQESA-N 0 2 317.415 0.727 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC001414969103 1005434810 /nfs/dbraw/zinc/43/48/10/1005434810.db2.gz FEIYPUBVLPUVCK-ZXFLCMHBSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cccnn1 ZINC001414969103 1005434811 /nfs/dbraw/zinc/43/48/11/1005434811.db2.gz FEIYPUBVLPUVCK-ZXFLCMHBSA-N 0 2 320.246 0.754 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccnn1C ZINC001415182302 1005477115 /nfs/dbraw/zinc/47/71/15/1005477115.db2.gz NFTLQNYTFRNHKD-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1ccnn1C ZINC001415182302 1005477116 /nfs/dbraw/zinc/47/71/16/1005477116.db2.gz NFTLQNYTFRNHKD-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN Cc1nonc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001415200874 1005480407 /nfs/dbraw/zinc/48/04/07/1005480407.db2.gz HZAVHQSVOFPUTN-MHTLYPKNSA-N 0 2 312.223 0.513 20 0 DCADLN Cc1nonc1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001415200874 1005480410 /nfs/dbraw/zinc/48/04/10/1005480410.db2.gz HZAVHQSVOFPUTN-MHTLYPKNSA-N 0 2 312.223 0.513 20 0 DCADLN CCN(CCNC(=O)c1ccn(C)n1)C(=O)C(F)C(F)(F)F ZINC001415674246 1005590221 /nfs/dbraw/zinc/59/02/21/1005590221.db2.gz WIZRFUNUZVARNQ-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)c1ccn(C)n1)C(=O)[C@@H](F)C(F)(F)F ZINC001415674246 1005590225 /nfs/dbraw/zinc/59/02/25/1005590225.db2.gz WIZRFUNUZVARNQ-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CNC(=O)NC(=O)CC[N@@H+]1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001473864451 1016982667 /nfs/dbraw/zinc/98/26/67/1016982667.db2.gz WHEXEIAFLLMTRB-SECBINFHSA-N 0 2 323.353 0.173 20 0 DCADLN CNC(=O)NC(=O)CC[N@H+]1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001473864451 1016982677 /nfs/dbraw/zinc/98/26/77/1016982677.db2.gz WHEXEIAFLLMTRB-SECBINFHSA-N 0 2 323.353 0.173 20 0 DCADLN Cc1cccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC001415809658 1005615132 /nfs/dbraw/zinc/61/51/32/1005615132.db2.gz ZAERTFMOQFCEJO-UHFFFAOYSA-N 0 2 301.350 0.777 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001416720223 1005727456 /nfs/dbraw/zinc/72/74/56/1005727456.db2.gz GIBAQXVKNPCKDU-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1cccnc1 ZINC001416720223 1005727457 /nfs/dbraw/zinc/72/74/57/1005727457.db2.gz GIBAQXVKNPCKDU-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2ccc(F)cn2)c1 ZINC001417614887 1005851883 /nfs/dbraw/zinc/85/18/83/1005851883.db2.gz SQRWVIDYGFVHMT-UHFFFAOYSA-N 0 2 311.294 0.826 20 0 DCADLN CC(C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001417731716 1005877824 /nfs/dbraw/zinc/87/78/24/1005877824.db2.gz NVNMPWMGOPVYMW-CYBMUJFWSA-N 0 2 317.393 0.711 20 0 DCADLN CC(C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001417731716 1005877825 /nfs/dbraw/zinc/87/78/25/1005877825.db2.gz NVNMPWMGOPVYMW-CYBMUJFWSA-N 0 2 317.393 0.711 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001417837848 1005900903 /nfs/dbraw/zinc/90/09/03/1005900903.db2.gz IDYZSIPXSIPORN-FOGDFJRCSA-N 0 2 323.397 0.404 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001417837848 1005900905 /nfs/dbraw/zinc/90/09/05/1005900905.db2.gz IDYZSIPXSIPORN-FOGDFJRCSA-N 0 2 323.397 0.404 20 0 DCADLN CC[C@H](C)CC(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001417924541 1005926855 /nfs/dbraw/zinc/92/68/55/1005926855.db2.gz GFZLHVDVSBMIKV-LBPRGKRZSA-N 0 2 324.429 0.180 20 0 DCADLN CCCN(C(=O)COC)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001417955095 1005939885 /nfs/dbraw/zinc/93/98/85/1005939885.db2.gz JQFNPSJLLVPJKY-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN O=C(CCC1CC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001451420347 1006164119 /nfs/dbraw/zinc/16/41/19/1006164119.db2.gz SKTRVRWMRBPFDV-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001451420347 1006164125 /nfs/dbraw/zinc/16/41/25/1006164125.db2.gz SKTRVRWMRBPFDV-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN CCn1nncc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001439523857 1006957025 /nfs/dbraw/zinc/95/70/25/1006957025.db2.gz LCAJKQCAUYNRCO-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1nncc1CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001439523857 1006957040 /nfs/dbraw/zinc/95/70/40/1006957040.db2.gz LCAJKQCAUYNRCO-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)NC(=O)C[N@@H+]2CC[C@H](C)C2)c1[O-] ZINC001452701687 1006997756 /nfs/dbraw/zinc/99/77/56/1006997756.db2.gz GGIPMWQTQPHALT-VHSXEESVSA-N 0 2 323.397 0.000 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)NC(=O)C[N@H+]2CC[C@H](C)C2)c1[O-] ZINC001452701687 1006997760 /nfs/dbraw/zinc/99/77/60/1006997760.db2.gz GGIPMWQTQPHALT-VHSXEESVSA-N 0 2 323.397 0.000 20 0 DCADLN CCn1ccc(C[N@H+](CC)CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001437587520 1007152350 /nfs/dbraw/zinc/15/23/50/1007152350.db2.gz JADHWQGBSIPMLC-UHFFFAOYSA-N 0 2 320.397 0.892 20 0 DCADLN CCn1ccc(C[N@@H+](CC)CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001437587520 1007152353 /nfs/dbraw/zinc/15/23/53/1007152353.db2.gz JADHWQGBSIPMLC-UHFFFAOYSA-N 0 2 320.397 0.892 20 0 DCADLN CC[C@H](C)[C@@H](OC)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001439728456 1007158953 /nfs/dbraw/zinc/15/89/53/1007158953.db2.gz KSUNVDYIQIIMMM-JOYOIKCWSA-N 0 2 311.386 0.214 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ccc(C)nc1)Cc1n[nH]c(=O)[n-]1 ZINC001437648765 1007210598 /nfs/dbraw/zinc/21/05/98/1007210598.db2.gz UPCMKCBVBGNIKU-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ccc(C)nc1)Cc1n[nH]c(=O)[n-]1 ZINC001437648765 1007210604 /nfs/dbraw/zinc/21/06/04/1007210604.db2.gz UPCMKCBVBGNIKU-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN CCOCC1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001437675183 1007243695 /nfs/dbraw/zinc/24/36/95/1007243695.db2.gz RHNKMVQPNOEHCK-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CCOCC1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001437675183 1007243707 /nfs/dbraw/zinc/24/37/07/1007243707.db2.gz RHNKMVQPNOEHCK-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN Cc1c[nH]c(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001453405174 1007456229 /nfs/dbraw/zinc/45/62/29/1007456229.db2.gz QYFIAKWQYRZPPE-SSDOTTSWSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1c[nH]c(C(=O)NCC2(NC(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001453405174 1007456237 /nfs/dbraw/zinc/45/62/37/1007456237.db2.gz QYFIAKWQYRZPPE-SSDOTTSWSA-N 0 2 322.262 0.997 20 0 DCADLN CCOCC(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001453417477 1007461911 /nfs/dbraw/zinc/46/19/11/1007461911.db2.gz UDTUUPSQSQFIMI-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN CCOCC(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001453417477 1007461918 /nfs/dbraw/zinc/46/19/18/1007461918.db2.gz UDTUUPSQSQFIMI-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN CCOCC1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001438169188 1007684960 /nfs/dbraw/zinc/68/49/60/1007684960.db2.gz KDEWHSLGNFBORI-QWRGUYRKSA-N 0 2 321.381 0.112 20 0 DCADLN CC(=O)N1CCC[C@H](N(CCO)C(=O)C(F)C(F)(F)F)C1 ZINC001520064601 1017245082 /nfs/dbraw/zinc/24/50/82/1017245082.db2.gz SZUNRYQOIATQRT-UWVGGRQHSA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N1CCC[C@H](N(CCO)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001520064601 1017245088 /nfs/dbraw/zinc/24/50/88/1017245088.db2.gz SZUNRYQOIATQRT-UWVGGRQHSA-N 0 2 314.279 0.719 20 0 DCADLN COc1cc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC001477106292 1017253471 /nfs/dbraw/zinc/25/34/71/1017253471.db2.gz WZPVYLIBNHAPHW-MRVPVSSYSA-N 0 2 321.341 0.664 20 0 DCADLN Cc1conc1C[NH2+]CC1(NC(=O)c2nnc[nH]2)CCOCC1 ZINC001440575510 1007896527 /nfs/dbraw/zinc/89/65/27/1007896527.db2.gz QSGMSBPNQVPXGY-UHFFFAOYSA-N 0 2 320.353 0.170 20 0 DCADLN Cc1conc1C[NH2+]CC1(NC(=O)c2ncn[nH]2)CCOCC1 ZINC001440575510 1007896536 /nfs/dbraw/zinc/89/65/36/1007896536.db2.gz QSGMSBPNQVPXGY-UHFFFAOYSA-N 0 2 320.353 0.170 20 0 DCADLN COCC1(CC(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001440915544 1008111412 /nfs/dbraw/zinc/11/14/12/1008111412.db2.gz HZLHEISIKYLNIJ-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COCC1(CC(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001440915544 1008111414 /nfs/dbraw/zinc/11/14/14/1008111414.db2.gz HZLHEISIKYLNIJ-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(CC1CCCC1)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441026387 1008183326 /nfs/dbraw/zinc/18/33/26/1008183326.db2.gz WTRLGPBZORRFND-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001453554474 1008578211 /nfs/dbraw/zinc/57/82/11/1008578211.db2.gz DWMZKQYWMWNESI-IWSPIJDZSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001453554474 1008578223 /nfs/dbraw/zinc/57/82/23/1008578223.db2.gz DWMZKQYWMWNESI-IWSPIJDZSA-N 0 2 314.279 0.621 20 0 DCADLN O=C(CCC1CC1)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433250385 1008644789 /nfs/dbraw/zinc/64/47/89/1008644789.db2.gz RJRRICPGQXPYJA-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001433250385 1008644795 /nfs/dbraw/zinc/64/47/95/1008644795.db2.gz RJRRICPGQXPYJA-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](O)CNC(=O)[C@@H](C)C(C)C ZINC001433327294 1008693711 /nfs/dbraw/zinc/69/37/11/1008693711.db2.gz SYTCEOPPASJPRZ-JQWIXIFHSA-N 0 2 310.398 0.146 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)nn1C ZINC001454639876 1009239526 /nfs/dbraw/zinc/23/95/26/1009239526.db2.gz VAEQCRWSJGINTQ-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)nn1C ZINC001454639876 1009239539 /nfs/dbraw/zinc/23/95/39/1009239539.db2.gz VAEQCRWSJGINTQ-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN O=C(Cc1cnoc1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001433902935 1009328783 /nfs/dbraw/zinc/32/87/83/1009328783.db2.gz HZKBMCQIWKREKW-VIFPVBQESA-N 0 2 323.246 0.883 20 0 DCADLN O=C(Cc1cnoc1)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001433902935 1009328799 /nfs/dbraw/zinc/32/87/99/1009328799.db2.gz HZKBMCQIWKREKW-VIFPVBQESA-N 0 2 323.246 0.883 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@@H]2CCCO2)CC1 ZINC001433951774 1009391455 /nfs/dbraw/zinc/39/14/55/1009391455.db2.gz HJSHDGXEACKKGO-LBPRGKRZSA-N 0 2 306.366 0.205 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)CC[N@H+](C)CC[C@H]2CCCO2)c1[O-] ZINC001422158158 1009577237 /nfs/dbraw/zinc/57/72/37/1009577237.db2.gz ZRRUVYARIDDGRE-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)CC[N@@H+](C)CC[C@H]2CCCO2)c1[O-] ZINC001422158158 1009577248 /nfs/dbraw/zinc/57/72/48/1009577248.db2.gz ZRRUVYARIDDGRE-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN COCC1(CC(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001422158796 1009581479 /nfs/dbraw/zinc/58/14/79/1009581479.db2.gz CGDSGRHVXWXXER-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN COCC1(CC(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001422158796 1009581485 /nfs/dbraw/zinc/58/14/85/1009581485.db2.gz CGDSGRHVXWXXER-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1ccc(C(F)(F)F)c(=O)[nH]1 ZINC001434203564 1009659742 /nfs/dbraw/zinc/65/97/42/1009659742.db2.gz QBDSJRJXGOMAKW-UHFFFAOYSA-N 0 2 317.227 0.346 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001442783971 1009730244 /nfs/dbraw/zinc/73/02/44/1009730244.db2.gz FZHQDIZKPXEMOJ-NEPJUHHUSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001442783971 1009730254 /nfs/dbraw/zinc/73/02/54/1009730254.db2.gz FZHQDIZKPXEMOJ-NEPJUHHUSA-N 0 2 318.381 0.654 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC(CO)(CO)C1 ZINC001455623892 1009865225 /nfs/dbraw/zinc/86/52/25/1009865225.db2.gz WAXSHMODZWFJQK-UHFFFAOYSA-N 0 2 303.318 0.005 20 0 DCADLN CCN1CC[C@@H]([N@@H+]2CC[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)C1=O ZINC001442921821 1009939619 /nfs/dbraw/zinc/93/96/19/1009939619.db2.gz YVOYLAGPPVVLBU-CHWSQXEVSA-N 0 2 319.409 0.011 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001442983374 1010005260 /nfs/dbraw/zinc/00/52/60/1010005260.db2.gz TVBYQLSQXKIBCA-QMMMGPOBSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)[C@H](F)C(F)(F)F)C1)C1CC1 ZINC001442983374 1010005270 /nfs/dbraw/zinc/00/52/70/1010005270.db2.gz TVBYQLSQXKIBCA-QMMMGPOBSA-N 0 2 312.263 0.376 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CCC1(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422516680 1010228465 /nfs/dbraw/zinc/22/84/65/1010228465.db2.gz BUHRYZRRNNFLHK-YUMQZZPRSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CCC1(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422516680 1010228474 /nfs/dbraw/zinc/22/84/74/1010228474.db2.gz BUHRYZRRNNFLHK-YUMQZZPRSA-N 0 2 303.313 0.492 20 0 DCADLN CNC(=O)N(C)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001434745914 1010230168 /nfs/dbraw/zinc/23/01/68/1010230168.db2.gz GMVYAWRSXJEWPP-UHFFFAOYSA-N 0 2 319.325 0.608 20 0 DCADLN CO[C@@H](C)CN1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001422620929 1010414826 /nfs/dbraw/zinc/41/48/26/1010414826.db2.gz MBXDUCMZSPIANO-DTWKUNHWSA-N 0 2 316.295 0.475 20 0 DCADLN C[C@@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001443539665 1010481185 /nfs/dbraw/zinc/48/11/85/1010481185.db2.gz FMCVNQJZCDRMEH-VIFPVBQESA-N 0 2 305.338 0.598 20 0 DCADLN O=C(NCC1CN(Cc2cnns2)C1)[C@@H](F)C(F)(F)F ZINC001443579854 1010508836 /nfs/dbraw/zinc/50/88/36/1010508836.db2.gz ORDZPRYGDLCURQ-MRVPVSSYSA-N 0 2 312.292 0.987 20 0 DCADLN O=C(NCC1CN(Cc2cnns2)C1)C(F)C(F)(F)F ZINC001443579854 1010508825 /nfs/dbraw/zinc/50/88/25/1010508825.db2.gz ORDZPRYGDLCURQ-MRVPVSSYSA-N 0 2 312.292 0.987 20 0 DCADLN CCO[C@@H](C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001422736480 1010545008 /nfs/dbraw/zinc/54/50/08/1010545008.db2.gz WQEOUIIOROFDSB-NOZJJQNGSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](NC(=O)[C@@H](C)C(C)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001422737451 1010549816 /nfs/dbraw/zinc/54/98/16/1010549816.db2.gz HLKLRWIZSPPEJW-HTQZYQBOSA-N 0 2 317.340 0.738 20 0 DCADLN Cc1noc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001477802832 1017307497 /nfs/dbraw/zinc/30/74/97/1017307497.db2.gz LEMSWZNUKKSDBB-QMMMGPOBSA-N 0 2 307.314 0.291 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001443887626 1010727287 /nfs/dbraw/zinc/72/72/87/1010727287.db2.gz HEDUCAPYZLCGPP-VDTYLAMSSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001443887626 1010727291 /nfs/dbraw/zinc/72/72/91/1010727291.db2.gz HEDUCAPYZLCGPP-VDTYLAMSSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423080205 1010870831 /nfs/dbraw/zinc/87/08/31/1010870831.db2.gz XHPDEYBLOBUKAT-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](CN(C)C(=O)CCc1cnn[nH]1)[NH2+][C@H](C)c1cnccn1 ZINC001423133285 1010915081 /nfs/dbraw/zinc/91/50/81/1010915081.db2.gz QVOBLIRJNFFFAL-VXGBXAGGSA-N 0 2 317.397 0.725 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+]Cc3ncccn3)CC2)c1[O-] ZINC001423198588 1010969685 /nfs/dbraw/zinc/96/96/85/1010969685.db2.gz VPPBEDOZKRYUHW-UHFFFAOYSA-N 0 2 302.338 0.266 20 0 DCADLN CCCn1cc(C(=O)NC[C@@H](CO)NCc2csnn2)cn1 ZINC001423283397 1011046040 /nfs/dbraw/zinc/04/60/40/1011046040.db2.gz GDFVIALVTPLQII-NSHDSACASA-N 0 2 324.410 0.025 20 0 DCADLN C[C@@H](NC(=O)CN1CSCCSC1)c1nn(C)cc1O ZINC001456782058 1011458664 /nfs/dbraw/zinc/45/86/64/1011458664.db2.gz GUCWZESPWMXKAY-SECBINFHSA-N 0 2 316.452 1.000 20 0 DCADLN Cc1occc(=O)c1OCC(=O)N[C@H](C)c1nn(C)cc1O ZINC001456788166 1011460703 /nfs/dbraw/zinc/46/07/03/1011460703.db2.gz ODOBAPDFGRTOQV-MRVPVSSYSA-N 0 2 307.306 0.644 20 0 DCADLN CCCC(=O)N[C@@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001431757180 1011619629 /nfs/dbraw/zinc/61/96/29/1011619629.db2.gz IIPGJQYTPFWMIA-JTQLQIEISA-N 0 2 318.381 0.845 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cnccn1 ZINC001535098838 1011799183 /nfs/dbraw/zinc/79/91/83/1011799183.db2.gz NRSBPUVTBZTWJS-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1cnccn1 ZINC001535098838 1011799194 /nfs/dbraw/zinc/79/91/94/1011799194.db2.gz NRSBPUVTBZTWJS-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001535235734 1011876108 /nfs/dbraw/zinc/87/61/08/1011876108.db2.gz SGDDZGSPZVYIIT-HTQZYQBOSA-N 0 2 314.279 0.669 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001535235734 1011876122 /nfs/dbraw/zinc/87/61/22/1011876122.db2.gz SGDDZGSPZVYIIT-HTQZYQBOSA-N 0 2 314.279 0.669 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001445681024 1012107673 /nfs/dbraw/zinc/10/76/73/1012107673.db2.gz ZMDDZXWCEUPKPI-QMMMGPOBSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001445681024 1012107681 /nfs/dbraw/zinc/10/76/81/1012107681.db2.gz ZMDDZXWCEUPKPI-QMMMGPOBSA-N 0 2 307.354 0.970 20 0 DCADLN C[C@H](CN(C)Cc1ncnn1C)NC(=O)C(F)C(F)(F)F ZINC001445717848 1012159875 /nfs/dbraw/zinc/15/98/75/1012159875.db2.gz DNTVBONZGVLXLR-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CN(C)Cc1ncnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001445717848 1012159883 /nfs/dbraw/zinc/15/98/83/1012159883.db2.gz DNTVBONZGVLXLR-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN Cn1ncc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001432244325 1012192850 /nfs/dbraw/zinc/19/28/50/1012192850.db2.gz LTOIXRZFDJYMSM-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1ncc(C(=O)NCC2(NC(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001432244325 1012192859 /nfs/dbraw/zinc/19/28/59/1012192859.db2.gz LTOIXRZFDJYMSM-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1ccc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001432261428 1012220210 /nfs/dbraw/zinc/22/02/10/1012220210.db2.gz VMNWMFRPPDMLTP-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN Cn1ccc(CC(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001432261428 1012220222 /nfs/dbraw/zinc/22/02/22/1012220222.db2.gz VMNWMFRPPDMLTP-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN COCc1nnc(CNC(=O)c2n[nH]c(=O)c3ccccc32)[nH]1 ZINC001557388203 1012277809 /nfs/dbraw/zinc/27/78/09/1012277809.db2.gz RJFZDDATSZYGMQ-UHFFFAOYSA-N 0 2 314.305 0.530 20 0 DCADLN O=C(Cc1ccon1)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458671123 1012281100 /nfs/dbraw/zinc/28/11/00/1012281100.db2.gz FYNOCGMUWJFZPB-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001458671123 1012281113 /nfs/dbraw/zinc/28/11/13/1012281113.db2.gz FYNOCGMUWJFZPB-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN Cc1cc(C)n2nc(C(=O)N[C@@H](C)c3nn(C)cc3O)nc2n1 ZINC001558682842 1012379064 /nfs/dbraw/zinc/37/90/64/1012379064.db2.gz NFZNOIHDYJPPOH-VIFPVBQESA-N 0 2 315.337 0.671 20 0 DCADLN CCOC(OCC)[C@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001558877913 1012390030 /nfs/dbraw/zinc/39/00/30/1012390030.db2.gz LVJJKOJMXVVDJP-YUMQZZPRSA-N 0 2 303.384 0.447 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1[nH]ncc1C(F)(F)F ZINC001558940996 1012396273 /nfs/dbraw/zinc/39/62/73/1012396273.db2.gz ROQOPHDYKWJEPL-UHFFFAOYSA-N 0 2 304.232 0.615 20 0 DCADLN CC[C@H](C)C(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001424350287 1012417011 /nfs/dbraw/zinc/41/70/11/1012417011.db2.gz RVTWLIOJEHHMLN-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc[nH]c1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001458973802 1012503647 /nfs/dbraw/zinc/50/36/47/1012503647.db2.gz UZGDOZZONBVQIX-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1nc[nH]c1C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001458973802 1012503656 /nfs/dbraw/zinc/50/36/56/1012503656.db2.gz UZGDOZZONBVQIX-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN CCOC(=O)c1cc(CNS(=O)(=O)c2conc2C)on1 ZINC001424536276 1012624489 /nfs/dbraw/zinc/62/44/89/1012624489.db2.gz IUJLVQYXZCJXOP-UHFFFAOYSA-N 0 2 315.307 0.626 20 0 DCADLN Nc1nc2nc(CN3CCO[C@@H](c4ccco4)C3)cc(=O)n2[nH]1 ZINC001459632432 1012792639 /nfs/dbraw/zinc/79/26/39/1012792639.db2.gz QORFBSHXDXVVGI-LLVKDONJSA-N 0 2 316.321 0.166 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001432712854 1012797784 /nfs/dbraw/zinc/79/77/84/1012797784.db2.gz KMVXULQWYIOVGS-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001432712854 1012797796 /nfs/dbraw/zinc/79/77/96/1012797796.db2.gz KMVXULQWYIOVGS-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001432715677 1012798478 /nfs/dbraw/zinc/79/84/78/1012798478.db2.gz QCFFKWLLHAEDOD-NKWVEPMBSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001432715677 1012798493 /nfs/dbraw/zinc/79/84/93/1012798493.db2.gz QCFFKWLLHAEDOD-NKWVEPMBSA-N 0 2 310.251 0.935 20 0 DCADLN Cc1nc([C@@H]2CCC[N@@H+](CCN3C(=O)N[C@H](C)C3=O)C2)n[nH]1 ZINC001459843599 1012829210 /nfs/dbraw/zinc/82/92/10/1012829210.db2.gz XCZQDMMEGUQMQC-MWLCHTKSSA-N 0 2 306.370 0.233 20 0 DCADLN Cc1nc([C@@H]2CCC[N@H+](CCN3C(=O)N[C@H](C)C3=O)C2)n[nH]1 ZINC001459843599 1012829233 /nfs/dbraw/zinc/82/92/33/1012829233.db2.gz XCZQDMMEGUQMQC-MWLCHTKSSA-N 0 2 306.370 0.233 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)C2=CCOCC2)C1 ZINC001506293098 1017186681 /nfs/dbraw/zinc/18/66/81/1017186681.db2.gz HFEYDQRZIZNLBN-JTQLQIEISA-N 0 2 324.274 0.903 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)C2=CCOCC2)C1 ZINC001506293098 1017186692 /nfs/dbraw/zinc/18/66/92/1017186692.db2.gz HFEYDQRZIZNLBN-JTQLQIEISA-N 0 2 324.274 0.903 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nnnn2C)C1 ZINC001506616369 1017410345 /nfs/dbraw/zinc/41/03/45/1017410345.db2.gz CJLIYOWXBBKRLB-RCOVLWMOSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2nnnn2C)C1 ZINC001506616369 1017410358 /nfs/dbraw/zinc/41/03/58/1017410358.db2.gz CJLIYOWXBBKRLB-RCOVLWMOSA-N 0 2 324.282 0.047 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506620270 1017414037 /nfs/dbraw/zinc/41/40/37/1017414037.db2.gz RFRZEFYNMHDSLX-UWVGGRQHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](NC(=O)Cc1ccccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506632141 1017421403 /nfs/dbraw/zinc/42/14/03/1017421403.db2.gz LKDQGUKNTLDSBC-NSHDSACASA-N 0 2 315.377 0.690 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2C[C@@H](CNC(=O)Cn3ccnc3C)C2)[nH]1 ZINC001479340739 1017504046 /nfs/dbraw/zinc/50/40/46/1017504046.db2.gz JWHGGNYTFMTNPM-BETUJISGSA-N 0 2 317.397 0.303 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001498316544 1018943995 /nfs/dbraw/zinc/94/39/95/1018943995.db2.gz DIFZVFFFWACNGQ-JTQLQIEISA-N 0 2 306.370 0.706 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001498316544 1018944003 /nfs/dbraw/zinc/94/40/03/1018944003.db2.gz DIFZVFFFWACNGQ-JTQLQIEISA-N 0 2 306.370 0.706 20 0 DCADLN C[C@@H](C[N@H+](C)C[C@H]1CCc2ncnn21)NC(=O)c1[nH]ncc1F ZINC001498374662 1019023523 /nfs/dbraw/zinc/02/35/23/1019023523.db2.gz ABVCSVLWUDDHEE-VHSXEESVSA-N 0 2 321.360 0.378 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1nccnc1N ZINC001496124256 1019093733 /nfs/dbraw/zinc/09/37/33/1019093733.db2.gz YVJLLFQPOQXVCK-ZETCQYMHSA-N 0 2 323.250 0.147 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1nccnc1N ZINC001496124256 1019093751 /nfs/dbraw/zinc/09/37/51/1019093751.db2.gz YVJLLFQPOQXVCK-ZETCQYMHSA-N 0 2 323.250 0.147 20 0 DCADLN CC(C)CCC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001501413040 1019146957 /nfs/dbraw/zinc/14/69/57/1019146957.db2.gz VCAPCWOGHQHPHK-WPRPVWTQSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001501413040 1019146960 /nfs/dbraw/zinc/14/69/60/1019146960.db2.gz VCAPCWOGHQHPHK-WPRPVWTQSA-N 0 2 316.295 0.916 20 0 DCADLN Cn1cnnc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001498978448 1019610204 /nfs/dbraw/zinc/61/02/04/1019610204.db2.gz DUHHFNZEBYRITD-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cnnc1CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001498978448 1019610210 /nfs/dbraw/zinc/61/02/10/1019610210.db2.gz DUHHFNZEBYRITD-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN CCCN(C(=O)[C@@H](C)OC)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001493940179 1019832313 /nfs/dbraw/zinc/83/23/13/1019832313.db2.gz QTDBYZGWTKRCPP-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN CS(=O)(=O)N1CCC[N@H+](Cc2ccc(C(=O)[O-])cn2)CC1 ZINC001607371907 1170440646 /nfs/dbraw/zinc/44/06/46/1170440646.db2.gz JVQGKVZLCMCYIL-UHFFFAOYSA-N 0 2 313.379 0.247 20 0 DCADLN CS(=O)(=O)N1CCC[N@@H+](Cc2ccc(C(=O)[O-])cn2)CC1 ZINC001607371907 1170440649 /nfs/dbraw/zinc/44/06/49/1170440649.db2.gz JVQGKVZLCMCYIL-UHFFFAOYSA-N 0 2 313.379 0.247 20 0 DCADLN O=C([O-])CCCc1nc(C[NH2+][C@@H](CO)C2CCOCC2)no1 ZINC001608719460 1170742667 /nfs/dbraw/zinc/74/26/67/1170742667.db2.gz VBCIHSGKPZXMRM-NSHDSACASA-N 0 2 313.354 0.354 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@H+](C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC001610036210 1171144567 /nfs/dbraw/zinc/14/45/67/1171144567.db2.gz YMJPWAOKSSHDQB-DTWKUNHWSA-N 0 2 312.288 0.571 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@@H+](C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC001610036210 1171144568 /nfs/dbraw/zinc/14/45/68/1171144568.db2.gz YMJPWAOKSSHDQB-DTWKUNHWSA-N 0 2 312.288 0.571 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)c1ccccc1)C(=O)OCC ZINC000035176407 1171431803 /nfs/dbraw/zinc/43/18/03/1171431803.db2.gz UJQADSWMBJKHDP-UHFFFAOYSA-N 0 2 315.347 0.460 20 0 DCADLN CN(CC1CC1)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000083981753 192394601 /nfs/dbraw/zinc/39/46/01/192394601.db2.gz BQQPYIMEJZRJNJ-UHFFFAOYSA-N 0 2 310.335 0.054 20 0 DCADLN C[C@H](C(=O)N1CC[C@H](CO)C1)n1nnc(-c2ccccc2)n1 ZINC000179701550 186207215 /nfs/dbraw/zinc/20/72/15/186207215.db2.gz PTLBNERSKRDPFR-NEPJUHHUSA-N 0 2 301.350 0.742 20 0 DCADLN CCn1cc(C(=O)C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000331794153 234130600 /nfs/dbraw/zinc/13/06/00/234130600.db2.gz OPSABJCIFBRXRO-SECBINFHSA-N 0 2 318.337 0.316 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CCCN(C(=O)c3ccncc3)C2)n1 ZINC000173522206 198222961 /nfs/dbraw/zinc/22/29/61/198222961.db2.gz DKEWTUVRPWPFPG-LBPRGKRZSA-N 0 2 314.349 0.999 20 0 DCADLN COCC[C@@H](CO)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000281190849 216142107 /nfs/dbraw/zinc/14/21/07/216142107.db2.gz CSDAYNMGGSYEKH-NSHDSACASA-N 0 2 323.324 0.844 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)CCC1CCC1 ZINC000312780279 291252092 /nfs/dbraw/zinc/25/20/92/291252092.db2.gz FUKJMLXVLZTDPD-NSHDSACASA-N 0 2 301.368 0.515 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)CCC1CCC1 ZINC000312780279 291252093 /nfs/dbraw/zinc/25/20/93/291252093.db2.gz FUKJMLXVLZTDPD-NSHDSACASA-N 0 2 301.368 0.515 20 0 DCADLN C[C@@H](O)CNc1cc(N2CCC3(C[C@H]3C(=O)[O-])CC2)nc[nH+]1 ZINC000566071996 291267183 /nfs/dbraw/zinc/26/71/83/291267183.db2.gz SOXXAWZPRBVDFM-MNOVXSKESA-N 0 2 306.366 0.960 20 0 DCADLN C[C@@H](O)CNc1cc(N2CCC3(C[C@H]3C(=O)[O-])CC2)[nH+]cn1 ZINC000566071996 291267185 /nfs/dbraw/zinc/26/71/85/291267185.db2.gz SOXXAWZPRBVDFM-MNOVXSKESA-N 0 2 306.366 0.960 20 0 DCADLN CC(=O)N[C@@H](CC(C)C)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000566283941 291290995 /nfs/dbraw/zinc/29/09/95/291290995.db2.gz QLMXUSZGDFZITK-RYUDHWBXSA-N 0 2 323.397 0.767 20 0 DCADLN O=C([O-])[C@@H]1CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000263525772 304122830 /nfs/dbraw/zinc/12/28/30/304122830.db2.gz TXQYRWJLNQNQOQ-NEPJUHHUSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@@H]1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000263525772 304122834 /nfs/dbraw/zinc/12/28/34/304122834.db2.gz TXQYRWJLNQNQOQ-NEPJUHHUSA-N 0 2 306.366 0.739 20 0 DCADLN CCc1n[nH]c(NC(=O)c2ccc(S(=O)(=O)N(C)C)o2)n1 ZINC000479079738 241072941 /nfs/dbraw/zinc/07/29/41/241072941.db2.gz LPVIAABUZAKWAC-UHFFFAOYSA-N 0 2 313.339 0.463 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/c2cscn2)cnn1C ZINC000493771835 241155713 /nfs/dbraw/zinc/15/57/13/241155713.db2.gz OCPLPJAOHMNVAF-ONEGZZNKSA-N 0 2 312.376 0.703 20 0 DCADLN CC[C@@H](C)CONC(=O)CNC(=O)CNC(=O)OC(C)(C)C ZINC000496285316 241239833 /nfs/dbraw/zinc/23/98/33/241239833.db2.gz RLTDRGGMQWAOHQ-SNVBAGLBSA-N 0 2 317.386 0.721 20 0 DCADLN Cn1cccc1C(=O)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000567738694 291374405 /nfs/dbraw/zinc/37/44/05/291374405.db2.gz VZMFQASSFOZHIS-VIFPVBQESA-N 0 2 303.322 0.438 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000567738643 291374443 /nfs/dbraw/zinc/37/44/43/291374443.db2.gz UESSMXZFRUYJKJ-KCJUWKMLSA-N 0 2 316.317 0.687 20 0 DCADLN NC(=O)[C@H]1CC[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000567914022 291391529 /nfs/dbraw/zinc/39/15/29/291391529.db2.gz LYQCOQIJUWINPB-UWVGGRQHSA-N 0 2 301.306 0.627 20 0 DCADLN C[C@@H](C[C@H](O)c1ccccc1)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274991911 212061356 /nfs/dbraw/zinc/06/13/56/212061356.db2.gz RTFKMSPQSCZPFB-ONGXEEELSA-N 0 2 322.390 0.819 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N(CCO)Cc1ccccc1 ZINC000274873772 212000067 /nfs/dbraw/zinc/00/00/67/212000067.db2.gz FWTXSEKFFXSBHE-UHFFFAOYSA-N 0 2 308.363 0.211 20 0 DCADLN CC(C)c1cc(C(=O)N2CCC[C@H](c3nc(=O)[nH][nH]3)C2)n[nH]1 ZINC000282977119 217363745 /nfs/dbraw/zinc/36/37/45/217363745.db2.gz STWGVIFQFWFKMI-VIFPVBQESA-N 0 2 304.354 0.964 20 0 DCADLN CCn1c(-c2n[nH]c(Cl)n2)nnc1N1CCC(CO)CC1 ZINC001646696635 1172479753 /nfs/dbraw/zinc/47/97/53/1172479753.db2.gz CNKSOXAXAYBZDV-UHFFFAOYSA-N 0 2 311.777 0.945 20 0 DCADLN C[C@@H]1CCCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000186431602 296307355 /nfs/dbraw/zinc/30/73/55/296307355.db2.gz JMIBBQAMHOOECO-SECBINFHSA-N 0 2 302.334 0.874 20 0 DCADLN COC[C@H](C)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000338992400 253019167 /nfs/dbraw/zinc/01/91/67/253019167.db2.gz MFIYDYFDEVMLRS-DTWKUNHWSA-N 0 2 304.372 0.054 20 0 DCADLN O=C(NCCc1cn2ccccc2[nH+]1)c1c[n-]n2c1nccc2=O ZINC000339243960 253046069 /nfs/dbraw/zinc/04/60/69/253046069.db2.gz SCACEHGLKSXGST-UHFFFAOYSA-N 0 2 322.328 0.643 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc(-n3cnnn3)cc2)cn1C ZINC000339749563 253137263 /nfs/dbraw/zinc/13/72/63/253137263.db2.gz IGEQNKYCZFBALM-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN C[C@@H]1CC(=O)N[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000339691831 253128314 /nfs/dbraw/zinc/12/83/14/253128314.db2.gz RQDGIHHFKFWGAN-GZMMTYOYSA-N 0 2 316.317 0.883 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1nncn1-c1ccccc1 ZINC000340644644 253287140 /nfs/dbraw/zinc/28/71/40/253287140.db2.gz MOXBGJHQESPLGL-JTQLQIEISA-N 0 2 323.378 0.486 20 0 DCADLN COC[C@H](CNC(=O)C1=NN(c2ccccc2)CC1=O)OC ZINC000272987607 210367410 /nfs/dbraw/zinc/36/74/10/210367410.db2.gz PQBASGGNPVMOGB-LBPRGKRZSA-N 0 2 305.334 0.969 20 0 DCADLN O=c1[nH]nc(CSc2nnc(N3CCOCC3)n2C2CC2)[nH]1 ZINC000340858032 253314122 /nfs/dbraw/zinc/31/41/22/253314122.db2.gz XDZYJSSBCPPSRB-UHFFFAOYSA-N 0 2 323.382 0.566 20 0 DCADLN Cc1cc(C(=O)N(C)c2cnn(C)c2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000346325755 254037247 /nfs/dbraw/zinc/03/72/47/254037247.db2.gz BZKHHBRVHKOXDA-UHFFFAOYSA-N 0 2 314.305 0.755 20 0 DCADLN C[C@H](CO)NC(=O)c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000346762362 254094157 /nfs/dbraw/zinc/09/41/57/254094157.db2.gz JNJCVRJYSYKCRE-SECBINFHSA-N 0 2 324.362 0.321 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccn(CCC(C)C)n2)c1O ZINC000348679231 254248895 /nfs/dbraw/zinc/24/88/95/254248895.db2.gz RMHAGFKOEHWMGJ-NSHDSACASA-N 0 2 308.338 0.917 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nccn2C(F)F)S1 ZINC001647093303 1172670312 /nfs/dbraw/zinc/67/03/12/1172670312.db2.gz SSFKXWUZKYRBCE-RXMQYKEDSA-N 0 2 303.294 0.451 20 0 DCADLN CCN(Cc1n[nH]c(=O)[nH]1)Cc1nc2ccccc2c(=O)[nH]1 ZINC000091537187 395726018 /nfs/dbraw/zinc/72/60/18/395726018.db2.gz RBTPWXLDFWOQSI-UHFFFAOYSA-N 0 2 300.322 0.357 20 0 DCADLN O=c1[nH]nc(CN2CCOC[C@H]2C[C@H](O)c2cccs2)[nH]1 ZINC000091590827 395726471 /nfs/dbraw/zinc/72/64/71/395726471.db2.gz DNQULCXSWFTYDD-ZJUUUORDSA-N 0 2 310.379 0.484 20 0 DCADLN CCS(=O)(=O)C[C@@H](C)N(C)Cc1cc(=O)n2[nH]ccc2n1 ZINC000113003473 395826150 /nfs/dbraw/zinc/82/61/50/395826150.db2.gz PAWKXBHBVONECK-SNVBAGLBSA-N 0 2 312.395 0.278 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2cccc(F)c2F)cn1 ZINC000195443065 395826999 /nfs/dbraw/zinc/82/69/99/395826999.db2.gz ACRVNMCLDDSHBD-UHFFFAOYSA-N 0 2 316.289 0.447 20 0 DCADLN CCS(=O)(=O)CCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000272580907 395901276 /nfs/dbraw/zinc/90/12/76/395901276.db2.gz FNVVQGSIJBTRTK-UHFFFAOYSA-N 0 2 311.281 0.974 20 0 DCADLN Cc1nn(-c2ccccc2)c(C)c1CNC(=O)c1nc(=O)[nH][nH]1 ZINC000126500659 395913268 /nfs/dbraw/zinc/91/32/68/395913268.db2.gz BZULVZUTHVPHTK-UHFFFAOYSA-N 0 2 312.333 0.831 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)NC(=O)CO3)cn1 ZINC000055569478 395920071 /nfs/dbraw/zinc/92/00/71/395920071.db2.gz WGJCHOIWOMEHMR-UHFFFAOYSA-N 0 2 308.319 0.552 20 0 DCADLN CC[C@@H](CO)NC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000274580737 395959666 /nfs/dbraw/zinc/95/96/66/395959666.db2.gz GKOFUYKUKSMHBZ-NSHDSACASA-N 0 2 319.365 0.954 20 0 DCADLN CC(F)(F)CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000277022628 396044262 /nfs/dbraw/zinc/04/42/62/396044262.db2.gz DCNBNWCQSJVQEB-UHFFFAOYSA-N 0 2 300.312 0.893 20 0 DCADLN C[C@H]1C[C@H](C(=O)[O-])CN(c2cc(N3CCOCC3)nc[nH+]2)C1 ZINC000263249946 396209578 /nfs/dbraw/zinc/20/95/78/396209578.db2.gz SRKGGGNDOXZAPJ-RYUDHWBXSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@H]1C[C@H](C(=O)[O-])CN(c2cc(N3CCOCC3)[nH+]cn2)C1 ZINC000263249946 396209580 /nfs/dbraw/zinc/20/95/80/396209580.db2.gz SRKGGGNDOXZAPJ-RYUDHWBXSA-N 0 2 306.366 0.860 20 0 DCADLN NC(=O)[C@@H]1CN(C(=O)c2cc(F)cc(Cl)c2O)CCO1 ZINC000177129630 396167010 /nfs/dbraw/zinc/16/70/10/396167010.db2.gz SSBCTTBANOKORG-VIFPVBQESA-N 0 2 302.689 0.511 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000262682845 396172647 /nfs/dbraw/zinc/17/26/47/396172647.db2.gz WTERFCOJGJRDSK-GFCCVEGCSA-N 0 2 323.368 0.879 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000262682845 396172648 /nfs/dbraw/zinc/17/26/48/396172648.db2.gz WTERFCOJGJRDSK-GFCCVEGCSA-N 0 2 323.368 0.879 20 0 DCADLN O=C(CCN1CCCS1(=O)=O)Nc1ccc2nn[nH]c2c1 ZINC000177841083 396185731 /nfs/dbraw/zinc/18/57/31/396185731.db2.gz UGQBPNFFZKOENB-UHFFFAOYSA-N 0 2 309.351 0.322 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)NCCc2cn3ccccc3[nH+]2)C1 ZINC000262908555 396191435 /nfs/dbraw/zinc/19/14/35/396191435.db2.gz DLSFUMSDGXDSEW-LLVKDONJSA-N 0 2 302.334 0.993 20 0 DCADLN Cc1[nH]ncc1CNC(=O)CNS(=O)(=O)c1cccs1 ZINC000263968272 396246519 /nfs/dbraw/zinc/24/65/19/396246519.db2.gz CZRAXJLCHSKIPV-UHFFFAOYSA-N 0 2 314.392 0.374 20 0 DCADLN C[C@@H](CCO)NC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185425432 396327491 /nfs/dbraw/zinc/32/74/91/396327491.db2.gz WYTBRXGDUNWOMT-NSHDSACASA-N 0 2 319.365 0.954 20 0 DCADLN CCOc1cccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000186950626 396360815 /nfs/dbraw/zinc/36/08/15/396360815.db2.gz BGAZUSDORODDQZ-UHFFFAOYSA-N 0 2 308.363 0.905 20 0 DCADLN COC(=O)[C@@H]1[C@@H](O)CCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000189606747 396397021 /nfs/dbraw/zinc/39/70/21/396397021.db2.gz XNGGIZZGNIIHHA-ONGXEEELSA-N 0 2 323.374 0.483 20 0 DCADLN O=C(NCCCc1nc(=O)[nH][nH]1)c1[nH]nc2c1CCCCC2 ZINC000188151978 396379574 /nfs/dbraw/zinc/37/95/74/396379574.db2.gz GBYIOJNBRIRBAY-UHFFFAOYSA-N 0 2 304.354 0.453 20 0 DCADLN Cc1cc(C(=O)NCC2=CCCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000289073862 396454270 /nfs/dbraw/zinc/45/42/70/396454270.db2.gz SYRHEQQRFPIUED-UHFFFAOYSA-N 0 2 316.317 0.821 20 0 DCADLN Cn1nccc1[C@H]1[C@H](C[N@@H+](C)[C@H]2COC[C@H]2O)CCC[NH+]1C ZINC000581641466 396571213 /nfs/dbraw/zinc/57/12/13/396571213.db2.gz WQUWAXLDVXTGQD-ARLBYUKCSA-N 0 2 308.426 0.495 20 0 DCADLN C[C@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000581726226 396579127 /nfs/dbraw/zinc/57/91/27/396579127.db2.gz DFZPXGGAKAFQOX-WDEREUQCSA-N 0 2 315.333 0.845 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)NC[C@H]2C[N@@H+](CC(C)C)CCO2)n[nH]1 ZINC000562201502 396652108 /nfs/dbraw/zinc/65/21/08/396652108.db2.gz VVKZWTRKJKMGQD-YPMHNXCESA-N 0 2 324.429 0.830 20 0 DCADLN CC[C@@H](C)[C@@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000581941313 396595192 /nfs/dbraw/zinc/59/51/92/396595192.db2.gz AXKMSDIYLAYJFU-VXGBXAGGSA-N 0 2 322.427 0.127 20 0 DCADLN COC(=O)c1nccc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000596226525 396738318 /nfs/dbraw/zinc/73/83/18/396738318.db2.gz QOONITKGMICLBF-MRVPVSSYSA-N 0 2 304.310 0.471 20 0 DCADLN O=S(=O)(NC[C@H]1CCC[C@@H](CO)C1)NCC(F)(F)F ZINC000311964372 396761316 /nfs/dbraw/zinc/76/13/16/396761316.db2.gz MBBIXDWIHKKTGR-DTWKUNHWSA-N 0 2 304.334 0.771 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cnn(CCO)c2)cc1C(N)=O ZINC000625080608 396765350 /nfs/dbraw/zinc/76/53/50/396765350.db2.gz HEEQWBCXFAPHMR-UHFFFAOYSA-N 0 2 324.362 0.084 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000329763618 396849252 /nfs/dbraw/zinc/84/92/52/396849252.db2.gz UTXQFGJSHUACFT-RWMBFGLXSA-N 0 2 315.329 0.873 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)[C@]1(C)COc2ccccc2O1 ZINC000630008973 396912377 /nfs/dbraw/zinc/91/23/77/396912377.db2.gz HISQQYMEJOREQM-AWEZNQCLSA-N 0 2 305.290 0.964 20 0 DCADLN COCc1cc(NS(=O)(=O)c2cnnn2C)ccc1OC ZINC000634798044 396918498 /nfs/dbraw/zinc/91/84/98/396918498.db2.gz GZXKANZGVLENAO-UHFFFAOYSA-N 0 2 312.351 0.771 20 0 DCADLN C[C@H](C(F)(F)F)S(=O)(=O)N[C@@H](CO)[C@@H]1CCCOC1 ZINC000571582260 396967157 /nfs/dbraw/zinc/96/71/57/396967157.db2.gz NSQLCJNLCCUWOY-HLTSFMKQSA-N 0 2 305.318 0.644 20 0 DCADLN CC1CC[NH+](CCNC(=O)NCC2([NH+]3CCOCC3)CC2)CC1 ZINC000571720153 396980545 /nfs/dbraw/zinc/98/05/45/396980545.db2.gz OEKNRKQPRCISOZ-UHFFFAOYSA-N 0 2 324.469 0.882 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000376923174 396996926 /nfs/dbraw/zinc/99/69/26/396996926.db2.gz OUNBFFUEDYCBLE-MRVPVSSYSA-N 0 2 320.317 0.434 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC2(C(N)=O)CCC2)c1 ZINC000591772490 397001200 /nfs/dbraw/zinc/00/12/00/397001200.db2.gz JVKMQSPWAKJQTN-NRFANRHFSA-N 0 2 310.375 0.327 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCO[C@H](OC)C2)c1 ZINC000597538924 397004929 /nfs/dbraw/zinc/00/49/29/397004929.db2.gz FQKMIZDSXUZQIW-QKKBWIMNSA-N 0 2 313.375 0.634 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N(CCO)C1CCSCC1 ZINC000572377622 397052847 /nfs/dbraw/zinc/05/28/47/397052847.db2.gz KGRYNFNNTIDCRY-UHFFFAOYSA-N 0 2 318.424 0.319 20 0 DCADLN COCC[N@@H+](C)C[C@@H](O)C[NH+]1CCCC[C@H]1c1ccnn1C ZINC000573054576 397127381 /nfs/dbraw/zinc/12/73/81/397127381.db2.gz ZBCRKMPAEOOPFG-ZBFHGGJFSA-N 0 2 310.442 0.886 20 0 DCADLN COC(=O)c1ccc(N2N=C(C)[C@H](NC(C)=O)C2=O)cc1F ZINC000601947749 397272236 /nfs/dbraw/zinc/27/22/36/397272236.db2.gz LGVWIWJKFUMPTC-LBPRGKRZSA-N 0 2 307.281 0.840 20 0 DCADLN CC[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000592893099 397275712 /nfs/dbraw/zinc/27/57/12/397275712.db2.gz GZSAQSUSQZYOLT-ZETCQYMHSA-N 0 2 316.383 0.839 20 0 DCADLN COC(=O)c1cccc(C[NH+]2CCN(C(=O)C(=O)[O-])CC2)c1 ZINC000592990895 397309834 /nfs/dbraw/zinc/30/98/34/397309834.db2.gz PILHFFIGUIGMIL-UHFFFAOYSA-N 0 2 306.318 0.202 20 0 DCADLN CC(C)N(C(=O)[C@H](C)[NH+]1CCN(C(=O)C(=O)[O-])CC1)C(C)C ZINC000577518911 397340069 /nfs/dbraw/zinc/34/00/69/397340069.db2.gz NZUUTIVWLMFXNO-LBPRGKRZSA-N 0 2 313.398 0.249 20 0 DCADLN C[C@@H]1CCNC(=O)[C@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614452982 397440964 /nfs/dbraw/zinc/44/09/64/397440964.db2.gz GOLUQPWHJSISHC-KCJUWKMLSA-N 0 2 316.317 0.284 20 0 DCADLN Cc1n[nH]c(C(=O)NCc2nc(C[NH+]3CCCCC3)no2)c1[O-] ZINC000614466411 397445418 /nfs/dbraw/zinc/44/54/18/397445418.db2.gz QTMFXEDKTAIHNT-UHFFFAOYSA-N 0 2 320.353 0.723 20 0 DCADLN CC(C)NC(=O)C[NH+]1CCN(c2ncccc2C(=O)[O-])CC1 ZINC000614259485 397407982 /nfs/dbraw/zinc/40/79/82/397407982.db2.gz PMBZOHNYHDIETQ-UHFFFAOYSA-N 0 2 306.366 0.426 20 0 DCADLN CC(C)(C)OC(=O)N1CC[C@@H]2C[C@@]21C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000495626775 397549458 /nfs/dbraw/zinc/54/94/58/397549458.db2.gz RDADATLADIKWCP-CLAHSXSESA-N 0 2 324.337 0.953 20 0 DCADLN CCOC(=O)Cn1ccc(NS(=O)(=O)c2ccc(C)nc2)n1 ZINC000594462992 397556493 /nfs/dbraw/zinc/55/64/93/397556493.db2.gz TVRSQKXUFZNIHM-UHFFFAOYSA-N 0 2 324.362 0.950 20 0 DCADLN CCS(=O)(=O)CC[N@@H+]1CCO[C@@H]2C[C@@H]([NH+](C)C)CC[C@@H]21 ZINC000371301920 397571135 /nfs/dbraw/zinc/57/11/35/397571135.db2.gz WNVUTFNJUNDNAP-MELADBBJSA-N 0 2 304.456 0.605 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)no1 ZINC000606051804 397676561 /nfs/dbraw/zinc/67/65/61/397676561.db2.gz OIKPDCDBHZJAKU-UHFFFAOYSA-N 0 2 323.378 0.809 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC[C@H](C(N)=O)C1)c2=O ZINC000119276069 158186063 /nfs/dbraw/zinc/18/60/63/158186063.db2.gz PLLXQMZRUNMPQC-DTWKUNHWSA-N 0 2 317.349 0.448 20 0 DCADLN CC(C)c1ccc(N2CC(=O)C(C(=O)NC(CO)CO)=N2)cc1 ZINC000132363166 158310613 /nfs/dbraw/zinc/31/06/13/158310613.db2.gz XFLXJNHVXWTRHG-UHFFFAOYSA-N 0 2 319.361 0.784 20 0 DCADLN CC(=O)c1cccc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)c1 ZINC000315768804 159002055 /nfs/dbraw/zinc/00/20/55/159002055.db2.gz QQFLOCVLPHPDOY-UHFFFAOYSA-N 0 2 322.346 0.372 20 0 DCADLN O=C(c1cnn2ccncc12)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329089933 159061361 /nfs/dbraw/zinc/06/13/61/159061361.db2.gz WJCLDBKTPALDJL-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)NCCn1ncnn1 ZINC000359291154 159263337 /nfs/dbraw/zinc/26/33/37/159263337.db2.gz SIUVDCLERBOYGB-UHFFFAOYSA-N 0 2 316.350 0.435 20 0 DCADLN Cc1nc([C@@H](C)N2CCC[N@@H+](CC(=O)N3CCCC3)CC2)n[nH]1 ZINC000408469203 160114239 /nfs/dbraw/zinc/11/42/39/160114239.db2.gz SPHVEPPBNKELAI-CYBMUJFWSA-N 0 2 320.441 0.804 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cc(C)nn2C)cn1C ZINC000072913293 286912052 /nfs/dbraw/zinc/91/20/52/286912052.db2.gz HNNRDHGUXWEAPV-UHFFFAOYSA-N 0 2 312.351 0.654 20 0 DCADLN CCn1cc(NS(=O)(=O)c2cc(C(=O)OC)n(C)c2)cn1 ZINC000075081495 286917517 /nfs/dbraw/zinc/91/75/17/286917517.db2.gz NZMJSJDDUGRBHT-UHFFFAOYSA-N 0 2 312.351 0.829 20 0 DCADLN CC[C@]1(C)CCN(c2nc(NCCO)[nH+]c(NC(C)C)n2)C1 ZINC000342544019 415207105 /nfs/dbraw/zinc/20/71/05/415207105.db2.gz GIIYOMOUZNYNIC-OAHLLOKOSA-N 0 2 308.430 0.566 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc2nccnc2cc1F ZINC000352590236 415240727 /nfs/dbraw/zinc/24/07/27/415240727.db2.gz YCBBPHQCUSNEOG-UHFFFAOYSA-N 0 2 316.296 0.955 20 0 DCADLN Cn1cc[nH+]c1[C@H](NS(=O)(=O)[N-]CC(F)(F)F)C1CC1 ZINC000352619566 415249951 /nfs/dbraw/zinc/24/99/51/415249951.db2.gz UVIYNVGZBMKFJZ-MRVPVSSYSA-N 0 2 312.317 0.858 20 0 DCADLN COc1ccc(NC(=O)C(N)C(F)(F)F)cc1OCC(N)=O ZINC000353129822 415445151 /nfs/dbraw/zinc/44/51/51/415445151.db2.gz NODNICSVBZTQLB-JTQLQIEISA-N 0 2 321.255 0.387 20 0 DCADLN COc1ccc(NC(=O)[C@H](N)C(F)(F)F)cc1OCC(N)=O ZINC000353129822 415445165 /nfs/dbraw/zinc/44/51/65/415445165.db2.gz NODNICSVBZTQLB-JTQLQIEISA-N 0 2 321.255 0.387 20 0 DCADLN CNC(=O)COc1ccc(NC(=O)C(N)C(F)(F)F)cc1 ZINC000353171716 415461284 /nfs/dbraw/zinc/46/12/84/415461284.db2.gz RZKVPVKNGQPNNU-JTQLQIEISA-N 0 2 305.256 0.640 20 0 DCADLN CNC(=O)COc1ccc(NC(=O)[C@H](N)C(F)(F)F)cc1 ZINC000353171716 415461291 /nfs/dbraw/zinc/46/12/91/415461291.db2.gz RZKVPVKNGQPNNU-JTQLQIEISA-N 0 2 305.256 0.640 20 0 DCADLN CN(C)S(=O)(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000353672711 415619236 /nfs/dbraw/zinc/61/92/36/415619236.db2.gz FTAFEQIHITXEMQ-UHFFFAOYSA-N 0 2 313.379 0.377 20 0 DCADLN CCNC(=O)NC(=O)C[NH+](C)CCC[N@H+](C)Cc1ccco1 ZINC000285762730 415620509 /nfs/dbraw/zinc/62/05/09/415620509.db2.gz SFXUPXWPLWNOKD-UHFFFAOYSA-N 0 2 310.398 0.879 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1COc2ccccc2O1 ZINC000343549325 415632102 /nfs/dbraw/zinc/63/21/02/415632102.db2.gz DSIDVIMLVGVYBQ-LLVKDONJSA-N 0 2 304.306 0.399 20 0 DCADLN NS(=O)(=O)c1cccc(NC(=O)c2ccc3[nH]nnc3c2)c1 ZINC000353785317 415656853 /nfs/dbraw/zinc/65/68/53/415656853.db2.gz JDBBRXGISZWLLS-UHFFFAOYSA-N 0 2 317.330 0.858 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)N=c2nc(C(C)(C)C)[nH]s2)O1 ZINC000354000632 415717559 /nfs/dbraw/zinc/71/75/59/415717559.db2.gz CYSVOWQDRUBRBU-HTQZYQBOSA-N 0 2 313.379 0.917 20 0 DCADLN CN(Cc1nnnn1C)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000343767258 415715585 /nfs/dbraw/zinc/71/55/85/415715585.db2.gz GNGDJHVPCNNJKN-UHFFFAOYSA-N 0 2 313.321 0.374 20 0 DCADLN CCC[C@@H]1C(=O)NCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119457809 415748302 /nfs/dbraw/zinc/74/83/02/415748302.db2.gz QEESPLOPXJVZDL-LLVKDONJSA-N 0 2 317.349 0.415 20 0 DCADLN Cc1nnc([C@@H](C)NS(=O)(=O)c2c(N)noc2C)s1 ZINC000289942369 415737238 /nfs/dbraw/zinc/73/72/38/415737238.db2.gz RYQFAQLQEMYNRC-SCSAIBSYSA-N 0 2 303.369 0.765 20 0 DCADLN CN(C(=O)[C@H]1CCN(C(=O)[C@@]2(O)CCOC2)C1)c1ccccc1 ZINC000334033369 415788616 /nfs/dbraw/zinc/78/86/16/415788616.db2.gz MIXUKMUOGIGKDX-SUMWQHHRSA-N 0 2 318.373 0.649 20 0 DCADLN CCC(=O)N[C@H]1CCCN(C(=O)N[C@@H](C)CS(C)(=O)=O)C1 ZINC000334032644 415789147 /nfs/dbraw/zinc/78/91/47/415789147.db2.gz SAYZYDBDQFEWHX-QWRGUYRKSA-N 0 2 319.427 0.120 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)N[C@@H](C)C[C@@H](C)O)c(C)o1 ZINC000334035008 415789171 /nfs/dbraw/zinc/78/91/71/415789171.db2.gz BRUWYDYGPPUVPB-JGVFFNPUSA-N 0 2 304.368 0.385 20 0 DCADLN CCCN1C[C@@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC000334041034 415791735 /nfs/dbraw/zinc/79/17/35/415791735.db2.gz OKBIPCRTQPSIAW-QWRGUYRKSA-N 0 2 321.381 0.475 20 0 DCADLN CCc1nnc(SCC(=O)NOCc2ccccc2)n1N ZINC000121558321 415809685 /nfs/dbraw/zinc/80/96/85/415809685.db2.gz YDFINPGKRMDCBC-UHFFFAOYSA-N 0 2 307.379 0.894 20 0 DCADLN CCn1c2ccccc2nc1[C@@H](C)NC(=O)c1nc(=O)[nH][nH]1 ZINC000128055716 415896873 /nfs/dbraw/zinc/89/68/73/415896873.db2.gz WIPSKVXRGRRDQR-MRVPVSSYSA-N 0 2 300.322 0.959 20 0 DCADLN C[C@H](O)C[C@@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000337578321 415979853 /nfs/dbraw/zinc/97/98/53/415979853.db2.gz YJJNNVFACINMQJ-WPRPVWTQSA-N 0 2 318.333 0.812 20 0 DCADLN O=C(NCCc1cscn1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000171825086 416041726 /nfs/dbraw/zinc/04/17/26/416041726.db2.gz NRGDKAIAXWWNSJ-UHFFFAOYSA-N 0 2 317.330 0.040 20 0 DCADLN CNS(=O)(=O)c1cc(NC(=O)c2cncc(O)c2)ccc1O ZINC000357348637 416065710 /nfs/dbraw/zinc/06/57/10/416065710.db2.gz QXNIWBWPICGBIC-UHFFFAOYSA-N 0 2 323.330 0.653 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)c2ccccc21 ZINC000356963753 416013260 /nfs/dbraw/zinc/01/32/60/416013260.db2.gz XTGFPDPBOSPNGJ-NSHDSACASA-N 0 2 300.318 0.929 20 0 DCADLN COc1c(NS(=O)(=O)C[C@H](C)OC)cccc1C(N)=O ZINC000337868674 416016870 /nfs/dbraw/zinc/01/68/70/416016870.db2.gz PPDWXMYYSDDOLS-QMMMGPOBSA-N 0 2 302.352 0.571 20 0 DCADLN CCc1oncc1NS(=O)(=O)c1cnn(CCOC)c1 ZINC000337871212 416017468 /nfs/dbraw/zinc/01/74/68/416017468.db2.gz RGVPWMLKAVCPGV-UHFFFAOYSA-N 0 2 300.340 0.881 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CCn2cccn2)s1 ZINC000298912942 416037657 /nfs/dbraw/zinc/03/76/57/416037657.db2.gz AHCUIHASKSXXBJ-UHFFFAOYSA-N 0 2 316.368 0.135 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)c1nncn1C ZINC000345404205 416090395 /nfs/dbraw/zinc/09/03/95/416090395.db2.gz GBPOXEDSLGBIIB-QMMMGPOBSA-N 0 2 314.305 0.649 20 0 DCADLN OCc1cn(CCCNc2nc(C(F)(F)F)ns2)nn1 ZINC000357589059 416102296 /nfs/dbraw/zinc/10/22/96/416102296.db2.gz BIGSPLPVYMIHES-UHFFFAOYSA-N 0 2 308.289 0.565 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2C[C@H]2C2CC2)s1 ZINC000175846592 416115222 /nfs/dbraw/zinc/11/52/22/416115222.db2.gz RYDQDPRGOSGFGK-NKWVEPMBSA-N 0 2 302.381 0.894 20 0 DCADLN C[C@H]1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@@H]1CO ZINC000358342905 416224942 /nfs/dbraw/zinc/22/49/42/416224942.db2.gz VARJASZJXVGCGH-JOYOIKCWSA-N 0 2 304.350 0.907 20 0 DCADLN COC(=O)[C@H]1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000358339578 416225547 /nfs/dbraw/zinc/22/55/47/416225547.db2.gz OJLFGZDLSYQIRJ-VIFPVBQESA-N 0 2 318.333 0.699 20 0 DCADLN CCOC(=O)C[C@H](C)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358359756 416228237 /nfs/dbraw/zinc/22/82/37/416228237.db2.gz TZMUQWLISGLVCB-VIFPVBQESA-N 0 2 320.349 0.993 20 0 DCADLN COc1cc(CCC(=O)NCc2n[nH]c(=O)[nH]2)cc(OC)c1 ZINC000358363921 416229145 /nfs/dbraw/zinc/22/91/45/416229145.db2.gz YISFFLCKQVQKPM-UHFFFAOYSA-N 0 2 306.322 0.777 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccnc1OCC(F)(F)F ZINC000358363799 416230293 /nfs/dbraw/zinc/23/02/93/416230293.db2.gz XODWJIDDIRBUMJ-UHFFFAOYSA-N 0 2 317.227 0.776 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC(C(N)=O)CC2)c1 ZINC000341752236 416230835 /nfs/dbraw/zinc/23/08/35/416230835.db2.gz VDWMUUOQJJBJTC-JOCHJYFZSA-N 0 2 324.402 0.527 20 0 DCADLN NC(=O)C[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000358462778 416241198 /nfs/dbraw/zinc/24/11/98/416241198.db2.gz UEWGRVDQUZDBOD-VIFPVBQESA-N 0 2 321.362 0.335 20 0 DCADLN CN(C(=O)CSc1n[nH]c(=O)[nH]1)C1CCC(CO)CC1 ZINC000331132754 416270906 /nfs/dbraw/zinc/27/09/06/416270906.db2.gz PJGKRASFZDFGJE-UHFFFAOYSA-N 0 2 300.384 0.612 20 0 DCADLN O=C(COc1ccc(Cl)c(F)c1)NCc1n[nH]c(=O)[nH]1 ZINC000341799961 416237131 /nfs/dbraw/zinc/23/71/31/416237131.db2.gz QBWCJTIRMORYMA-UHFFFAOYSA-N 0 2 300.677 0.998 20 0 DCADLN CC(C)OC(=O)[C@H](C)N(C)S(=O)(=O)NCC(F)(F)F ZINC000195389429 416326101 /nfs/dbraw/zinc/32/61/01/416326101.db2.gz RIPFWEAOECHISH-ZETCQYMHSA-N 0 2 306.306 0.655 20 0 DCADLN COCCO[C@@H]1CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000195465379 416326471 /nfs/dbraw/zinc/32/64/71/416326471.db2.gz FWTIGKPOUBVOCG-MRVPVSSYSA-N 0 2 306.306 0.120 20 0 DCADLN CC(C)[C@@H](NC(=O)NC(C)(C)C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000359832506 416361191 /nfs/dbraw/zinc/36/11/91/416361191.db2.gz DMQAGWDCRRNCPU-SECBINFHSA-N 0 2 312.374 0.249 20 0 DCADLN CCO[C@@H]1C[C@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)[C@H]1OC ZINC000361627498 416462891 /nfs/dbraw/zinc/46/28/91/416462891.db2.gz DTXSFQQXXCDIJN-DMDPSCGWSA-N 0 2 320.349 0.596 20 0 DCADLN O=C(CCCOc1ccc2c(c1)OCO2)NCc1n[nH]c(=O)[nH]1 ZINC000361153180 416423714 /nfs/dbraw/zinc/42/37/14/416423714.db2.gz LIFLUHBFXRDEBQ-UHFFFAOYSA-N 0 2 320.305 0.714 20 0 DCADLN COC(=O)c1ccc(O)c(NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000436501797 416561543 /nfs/dbraw/zinc/56/15/43/416561543.db2.gz AQWMZANFNVAXQX-UHFFFAOYSA-N 0 2 324.318 0.733 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)N1CCCC1 ZINC000436487726 416559775 /nfs/dbraw/zinc/55/97/75/416559775.db2.gz KKYYRRJEMWNZPN-VIFPVBQESA-N 0 2 317.349 0.415 20 0 DCADLN Cc1cc(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)nn1C ZINC000439611843 416619612 /nfs/dbraw/zinc/61/96/12/416619612.db2.gz OCORMRSQDPSGMT-UHFFFAOYSA-N 0 2 314.305 1.039 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)c2ccccc2C)n1 ZINC000424220051 416629773 /nfs/dbraw/zinc/62/97/73/416629773.db2.gz GIDQYOPWNBUTCJ-UHFFFAOYSA-N 0 2 324.362 0.950 20 0 DCADLN CC(C)(CCNC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000424400245 416640256 /nfs/dbraw/zinc/64/02/56/416640256.db2.gz ZBBYWHSIKJMJBN-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN CC(C)(CCNC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000424400245 416640259 /nfs/dbraw/zinc/64/02/59/416640259.db2.gz ZBBYWHSIKJMJBN-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@]2(CO)CCOC2)c1 ZINC000424784681 416669213 /nfs/dbraw/zinc/66/92/13/416669213.db2.gz RIJGPOCFHPFJJW-SPLOXXLWSA-N 0 2 313.375 0.070 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC(CC(N)=O)C2)c1 ZINC000424805891 416669687 /nfs/dbraw/zinc/66/96/87/416669687.db2.gz BWNSDWPGDMPSCY-NRFANRHFSA-N 0 2 310.375 0.137 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCC[C@@H]2C(N)=O)c1 ZINC000424893705 416673662 /nfs/dbraw/zinc/67/36/62/416673662.db2.gz PHMDZBIQSWODME-UAGWRWDDSA-N 0 2 324.402 0.573 20 0 DCADLN Cn1ncc2c1CCC[C@H]2NS(=O)(=O)NCC(F)(F)F ZINC000442909311 416718342 /nfs/dbraw/zinc/71/83/42/416718342.db2.gz RMAVAAWCEMXENT-MRVPVSSYSA-N 0 2 312.317 0.784 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@H](n2cncn2)C1 ZINC000442945163 416723128 /nfs/dbraw/zinc/72/31/28/416723128.db2.gz PVGATQDVFSJOLC-QMMMGPOBSA-N 0 2 313.305 0.312 20 0 DCADLN Cc1nnc([C@H](C)NS(=O)(=O)NCC(F)(F)F)s1 ZINC000443036770 416726558 /nfs/dbraw/zinc/72/65/58/416726558.db2.gz ARXMPNSHTMRVCK-BYPYZUCNSA-N 0 2 304.319 0.894 20 0 DCADLN CN(C)c1[nH+]cccc1CNC(=O)NCC[N@@H+]1CC[C@@H](O)C1 ZINC000636553252 416763736 /nfs/dbraw/zinc/76/37/36/416763736.db2.gz RUQGYWXWIWENIN-CYBMUJFWSA-N 0 2 307.398 0.013 20 0 DCADLN Cc1nc(CS(=O)(=O)c2n[nH]c(Cc3ccccc3)n2)n[nH]1 ZINC000427057568 416795348 /nfs/dbraw/zinc/79/53/48/416795348.db2.gz RXASXVKUFBACDC-UHFFFAOYSA-N 0 2 318.362 0.796 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@H]1CO ZINC000517804281 416824751 /nfs/dbraw/zinc/82/47/51/416824751.db2.gz NMLVZWXCUZYUHT-SKDRFNHKSA-N 0 2 303.318 0.730 20 0 DCADLN C[C@@H]1C[C@@H](N(C)S(=O)(=O)CCn2cc[nH+]c2)CC[N@@H+]1C ZINC000641350744 416803234 /nfs/dbraw/zinc/80/32/34/416803234.db2.gz KTCIMIJIRPMKBB-OLZOCXBDSA-N 0 2 300.428 0.627 20 0 DCADLN CC(C)[C@H]1CCN1S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000517685275 416819145 /nfs/dbraw/zinc/81/91/45/416819145.db2.gz WEBKKURKPXZONX-SNVBAGLBSA-N 0 2 324.362 0.855 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)c1ncn(C)n1 ZINC000444835027 416874479 /nfs/dbraw/zinc/87/44/79/416874479.db2.gz ILCDRSBRHBXXLE-QMMMGPOBSA-N 0 2 314.305 0.649 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCN2CCCC2=O)cn1 ZINC000565137166 416924566 /nfs/dbraw/zinc/92/45/66/416924566.db2.gz VZGMZKRPARHHNU-UHFFFAOYSA-N 0 2 302.338 0.162 20 0 DCADLN Cn1cc([C@@H](CO)Nc2cc(C(C)(C)C)[nH+]c(C(=O)[O-])n2)cn1 ZINC000520818473 416980235 /nfs/dbraw/zinc/98/02/35/416980235.db2.gz OSFHVXSIIAVRJK-SNVBAGLBSA-N 0 2 319.365 0.773 20 0 DCADLN O=C(NCCC1CSC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000616402212 417037088 /nfs/dbraw/zinc/03/70/88/417037088.db2.gz GNGYDRVARVFGID-UHFFFAOYSA-N 0 2 306.347 0.919 20 0 DCADLN O=C(NCCN1CCCC1=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616540370 417073038 /nfs/dbraw/zinc/07/30/38/417073038.db2.gz UEEYQAAGLUOXRQ-UHFFFAOYSA-N 0 2 316.317 0.382 20 0 DCADLN Cc1ncc(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)c(N)n1 ZINC000568312403 417124356 /nfs/dbraw/zinc/12/43/56/417124356.db2.gz QYBHLTWCWJVORJ-UHFFFAOYSA-N 0 2 311.305 1.110 20 0 DCADLN Cc1cc(C)cc(OCC[NH+]2CCN(C(=O)C(=O)[O-])CC2)c1 ZINC000396693050 417107006 /nfs/dbraw/zinc/10/70/06/417107006.db2.gz PZNRHWMNBKJSIF-UHFFFAOYSA-N 0 2 306.362 0.911 20 0 DCADLN CO[C@@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)Cc1ccccc1 ZINC000295759766 225204635 /nfs/dbraw/zinc/20/46/35/225204635.db2.gz OUDBTHRIYFFTKX-LLVKDONJSA-N 0 2 322.390 0.564 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-])C(=O)OC ZINC000568633165 417157726 /nfs/dbraw/zinc/15/77/26/417157726.db2.gz DSUVSJZBASFQCC-NHCYSSNCSA-N 0 2 300.355 0.239 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-])C(=O)OC ZINC000568633165 417157730 /nfs/dbraw/zinc/15/77/30/417157730.db2.gz DSUVSJZBASFQCC-NHCYSSNCSA-N 0 2 300.355 0.239 20 0 DCADLN O=C(N[C@H]1CCc2nnnn2CC1)c1c(O)cc(F)cc1F ZINC000643367246 417180926 /nfs/dbraw/zinc/18/09/26/417180926.db2.gz UMMUUEJWFIKIJU-QMMMGPOBSA-N 0 2 309.276 0.792 20 0 DCADLN COC[C@](C)(CC(=O)OC)NC(=O)c1cccc2[nH]nnc21 ZINC000526115400 417184846 /nfs/dbraw/zinc/18/48/46/417184846.db2.gz CVDMYJDURVWNSD-AWEZNQCLSA-N 0 2 306.322 0.656 20 0 DCADLN O=C1CCOC[C@H]1NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC000632596774 417185451 /nfs/dbraw/zinc/18/54/51/417185451.db2.gz ZYDKIGXQHYJGTG-SSDOTTSWSA-N 0 2 320.754 0.842 20 0 DCADLN O=C(NC[C@@H]1CCCC1=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000527008704 417201365 /nfs/dbraw/zinc/20/13/65/417201365.db2.gz YENLURUBAPQOTJ-ZETCQYMHSA-N 0 2 302.290 0.535 20 0 DCADLN C[C@H](CC[NH+]1CCNC(=O)[C@H]1C)CC[N@@H+]1CCNC(=O)[C@@H]1C ZINC000570496243 417359371 /nfs/dbraw/zinc/35/93/71/417359371.db2.gz QGAPYHJAJCFXKB-ZSOGYDGISA-N 0 2 310.442 0.043 20 0 DCADLN CCO[C@@H]1C[C@@]([NH3+])(C(=O)N=c2nc(COC)[n-]s2)C1(C)C ZINC000570159915 417318068 /nfs/dbraw/zinc/31/80/68/417318068.db2.gz RIUFLVOIUIGMMC-AMIZOPFISA-N 0 2 314.411 0.577 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2C[C@@H](CO)[C@H](CO)C2)s[nH]1 ZINC000528964250 417405553 /nfs/dbraw/zinc/40/55/53/417405553.db2.gz SCSCIFPFLDNLCQ-IUCAKERBSA-N 0 2 314.411 0.322 20 0 DCADLN C[C@@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H]1CO ZINC000622228922 417420017 /nfs/dbraw/zinc/42/00/17/417420017.db2.gz CFUVBDOHMWJBLT-PSASIEDQSA-N 0 2 318.333 0.527 20 0 DCADLN Cc1nnc(CCNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)[nH]1 ZINC000622359518 417434549 /nfs/dbraw/zinc/43/45/49/417434549.db2.gz XOQYOKJWRHRNBJ-UHFFFAOYSA-N 0 2 314.305 0.429 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC[C@H](CO)[C@H](O)C1 ZINC000574950976 417496470 /nfs/dbraw/zinc/49/64/70/417496470.db2.gz RPXFSUGJYGPFNL-DGCLKSJQSA-N 0 2 317.345 0.393 20 0 DCADLN C[C@@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000530207108 417550981 /nfs/dbraw/zinc/55/09/81/417550981.db2.gz OWATYALEFXOTHO-INIZCTEOSA-N 0 2 317.345 0.911 20 0 DCADLN C[C@@]1(C(=O)[O-])CCC[N@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000530207108 417550985 /nfs/dbraw/zinc/55/09/85/417550985.db2.gz OWATYALEFXOTHO-INIZCTEOSA-N 0 2 317.345 0.911 20 0 DCADLN CC[C@@](C)(CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)OC ZINC000452732093 417499363 /nfs/dbraw/zinc/49/93/63/417499363.db2.gz SZTBUFPZAOBDCB-AWEZNQCLSA-N 0 2 306.322 0.981 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N[C@@H]2COC[C@@H]2O)=N1 ZINC000633856815 417499411 /nfs/dbraw/zinc/49/94/11/417499411.db2.gz BCMHFXSVRSSMQY-RISCZKNCSA-N 0 2 317.345 0.630 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000576403277 417605676 /nfs/dbraw/zinc/60/56/76/417605676.db2.gz SQAASQCYJNCDFE-AGIUHOORSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000576403277 417605681 /nfs/dbraw/zinc/60/56/81/417605681.db2.gz SQAASQCYJNCDFE-AGIUHOORSA-N 0 2 320.393 0.985 20 0 DCADLN CC(C)CC[C@@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000628705812 417680755 /nfs/dbraw/zinc/68/07/55/417680755.db2.gz OSXGYFZVWIAYRG-JTQLQIEISA-N 0 2 320.349 0.963 20 0 DCADLN C[N@@H+]1CCC[C@@]2(CCN(C(=O)NCC[NH+]3CCOCC3)C2)C1 ZINC000457404029 417706189 /nfs/dbraw/zinc/70/61/89/417706189.db2.gz OLAZJNAOQFTKMW-MRXNPFEDSA-N 0 2 310.442 0.446 20 0 DCADLN O=C([O-])c1coc(NCc2cc[nH+]c(N3CCOCC3)c2)n1 ZINC000582124736 417732469 /nfs/dbraw/zinc/73/24/69/417732469.db2.gz QUBHUUBTVDPCTF-UHFFFAOYSA-N 0 2 304.306 1.217 20 0 DCADLN CC[C@H](C)N(CC(=O)[O-])S(=O)(=O)CCC[NH+]1CCOCC1 ZINC000645939399 417824452 /nfs/dbraw/zinc/82/44/52/417824452.db2.gz LFLHWFVGEDPVPO-LBPRGKRZSA-N 0 2 322.427 0.224 20 0 DCADLN CCC[C@H](C)[C@@H](CO)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651963857 417824738 /nfs/dbraw/zinc/82/47/38/417824738.db2.gz VKLPUNOUICJJQK-WDEREUQCSA-N 0 2 311.386 0.133 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)NC(=O)CC2 ZINC000629290824 417772374 /nfs/dbraw/zinc/77/23/74/417772374.db2.gz XPTQSROYNVDPOD-UHFFFAOYSA-N 0 2 307.335 0.501 20 0 DCADLN CC(=O)Nc1cc(NS(=O)(=O)c2cnnn2C)ccc1C ZINC000629286843 417772758 /nfs/dbraw/zinc/77/27/58/417772758.db2.gz HBUJEVSALDLZKW-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN Cc1nn(C)c2cc(NS(=O)(=O)c3cnnn3C)ccc12 ZINC000629304881 417775974 /nfs/dbraw/zinc/77/59/74/417775974.db2.gz NQGDXFJYJQAFJI-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN COc1cc(NS(=O)(=O)c2ccc3c(c2)OCCO3)[nH]n1 ZINC000629314750 417779321 /nfs/dbraw/zinc/77/93/21/417779321.db2.gz NDVNTDVNVVFCSA-UHFFFAOYSA-N 0 2 311.319 0.990 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC(C)(C)C(C)(C)O)[nH]1 ZINC000651842477 417794130 /nfs/dbraw/zinc/79/41/30/417794130.db2.gz XVTYACJQVCBABI-UHFFFAOYSA-N 0 2 311.386 0.133 20 0 DCADLN CC[C@@H](C)[NH+]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[n-]2)CC1 ZINC000651840274 417794186 /nfs/dbraw/zinc/79/41/86/417794186.db2.gz HGUGPCDIEIPCHQ-GFCCVEGCSA-N 0 2 322.413 0.162 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCO[C@@H](Cn2ccnn2)C1 ZINC000636217055 417900137 /nfs/dbraw/zinc/90/01/37/417900137.db2.gz HSDMHJBWNWAHDE-SNVBAGLBSA-N 0 2 324.287 0.803 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)[C@@H](Nc2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000662895816 417939838 /nfs/dbraw/zinc/93/98/38/417939838.db2.gz HHKRTEORSPZANJ-USZNOCQGSA-N 0 2 322.365 0.074 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)[C@@H](Nc2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000662895816 417939840 /nfs/dbraw/zinc/93/98/40/417939840.db2.gz HHKRTEORSPZANJ-USZNOCQGSA-N 0 2 322.365 0.074 20 0 DCADLN Nc1nsc(N2CCN(c3[nH+]cccc3C(=O)[O-])CC2)n1 ZINC000647450931 418011157 /nfs/dbraw/zinc/01/11/57/418011157.db2.gz FJZOSCBFLZYLOQ-UHFFFAOYSA-N 0 2 306.351 0.370 20 0 DCADLN O=C([O-])c1ccc(N[C@@H]2CCN(C3CCOCC3)C2=O)[nH+]c1 ZINC000647451283 418011305 /nfs/dbraw/zinc/01/13/05/418011305.db2.gz SPTATNIQHCQRKW-GFCCVEGCSA-N 0 2 305.334 0.972 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000663620472 418038053 /nfs/dbraw/zinc/03/80/53/418038053.db2.gz KQORLOVLRCXBPJ-ZYHUDNBSSA-N 0 2 322.365 0.005 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000663062866 417984563 /nfs/dbraw/zinc/98/45/63/417984563.db2.gz VGYOKVPFGOSKBJ-HNNXBMFYSA-N 0 2 307.350 0.788 20 0 DCADLN CS(=O)(=O)N1CC[C@@H](CSc2n[n-]c(=[NH2+])n2C2CC2)C1 ZINC000647116525 417991472 /nfs/dbraw/zinc/99/14/72/417991472.db2.gz UFLDSNWESWLHMF-MRVPVSSYSA-N 0 2 317.440 0.399 20 0 DCADLN CS(=O)(=O)N1CC[C@H](CSc2n[n-]c(=[NH2+])n2C2CC2)C1 ZINC000647116526 417991477 /nfs/dbraw/zinc/99/14/77/417991477.db2.gz UFLDSNWESWLHMF-QMMMGPOBSA-N 0 2 317.440 0.399 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccc2c(c1)nnn2C ZINC000647135785 417992668 /nfs/dbraw/zinc/99/26/68/417992668.db2.gz FHIMVIODAYZDJX-UHFFFAOYSA-N 0 2 306.351 0.986 20 0 DCADLN COCCOCC[NH+]1CCN(C(=O)[C@@H](C(=O)[O-])C(C)C)CC1 ZINC000653335378 418058869 /nfs/dbraw/zinc/05/88/69/418058869.db2.gz OGQXQAZWJFANJO-ZDUSSCGKSA-N 0 2 316.398 0.150 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N2CC[N@@H+]3C[C@H](O)C[C@H]3C2)c2[nH+]ccn21 ZINC000654583153 418163039 /nfs/dbraw/zinc/16/30/39/418163039.db2.gz JACJJQPIRNAGAM-XQHKEYJVSA-N 0 2 305.382 0.349 20 0 DCADLN CCOc1cnc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000648893618 418171581 /nfs/dbraw/zinc/17/15/81/418171581.db2.gz LTDOIGHSJJOYCK-SECBINFHSA-N 0 2 318.337 0.719 20 0 DCADLN CCNC(=O)[C@@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665448833 418207991 /nfs/dbraw/zinc/20/79/91/418207991.db2.gz OGCFWWCWEHVUGB-MRVPVSSYSA-N 0 2 304.306 0.284 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N1CN(C)C(=O)[C@H]1C)c2=O ZINC000649238173 418214008 /nfs/dbraw/zinc/21/40/08/418214008.db2.gz YDWVKBTVCQLPOL-SECBINFHSA-N 0 2 300.318 0.904 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1CCN(C)C1=O ZINC000655050044 418219841 /nfs/dbraw/zinc/21/98/41/418219841.db2.gz WKWZUEXAQPFKSA-JTQLQIEISA-N 0 2 315.333 0.903 20 0 DCADLN CC1(C)CC(NS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000660127379 418236492 /nfs/dbraw/zinc/23/64/92/418236492.db2.gz DAXFLBMMUVWCEW-UHFFFAOYSA-N 0 2 324.362 0.903 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000655867194 418294109 /nfs/dbraw/zinc/29/41/09/418294109.db2.gz VVZXOJMVOPRONO-GFCCVEGCSA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000655867194 418294111 /nfs/dbraw/zinc/29/41/11/418294111.db2.gz VVZXOJMVOPRONO-GFCCVEGCSA-N 0 2 315.414 0.753 20 0 DCADLN COc1nn(C)cc1NS(=O)(=O)CC1(OC)CCOCC1 ZINC000650198369 418297589 /nfs/dbraw/zinc/29/75/89/418297589.db2.gz DFGVIKMHXFVSHH-UHFFFAOYSA-N 0 2 319.383 0.366 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)N1CCC(C2(O)CC2)CC1 ZINC000650240864 418299444 /nfs/dbraw/zinc/29/94/44/418299444.db2.gz XDQCWEOKCRGTNX-UHFFFAOYSA-N 0 2 305.382 0.805 20 0 DCADLN C[C@H](O)CNc1cc(N[C@@H](Cc2ccncc2)C(=O)[O-])[nH+]cn1 ZINC000656092743 418327117 /nfs/dbraw/zinc/32/71/17/418327117.db2.gz PUXNQOVPBFWXCI-JQWIXIFHSA-N 0 2 317.349 0.772 20 0 DCADLN C[C@H](O)CNc1cc(N[C@@H](Cc2ccncc2)C(=O)[O-])nc[nH+]1 ZINC000656092743 418327120 /nfs/dbraw/zinc/32/71/20/418327120.db2.gz PUXNQOVPBFWXCI-JQWIXIFHSA-N 0 2 317.349 0.772 20 0 DCADLN CN(C)S(=O)(=O)[C@@H]1CCCN(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000650770424 418323118 /nfs/dbraw/zinc/32/31/18/418323118.db2.gz WBTAJDOZCFXLPU-LLVKDONJSA-N 0 2 313.379 0.640 20 0 DCADLN Cn1ccnc(N2CCN(c3cc[nH+]c(C(=O)[O-])c3)CC2)c1=O ZINC000650766981 418323814 /nfs/dbraw/zinc/32/38/14/418323814.db2.gz DJACDNZNUQSANS-UHFFFAOYSA-N 0 2 315.333 0.200 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000650778318 418324660 /nfs/dbraw/zinc/32/46/60/418324660.db2.gz LJOZUJPAPVGHTC-GRYCIOLGSA-N 0 2 308.382 0.983 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000650778318 418324661 /nfs/dbraw/zinc/32/46/61/418324661.db2.gz LJOZUJPAPVGHTC-GRYCIOLGSA-N 0 2 308.382 0.983 20 0 DCADLN COCCN(C)S(=O)(=O)Nc1cc(C)ccc1C(N)=O ZINC000655601102 418279695 /nfs/dbraw/zinc/27/96/95/418279695.db2.gz MITQCNTYFPVWJD-UHFFFAOYSA-N 0 2 301.368 0.329 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ccc(C(=O)[O-])s2)CCN1S(C)(=O)=O ZINC000656763132 418375350 /nfs/dbraw/zinc/37/53/50/418375350.db2.gz LSPYNDXHOWMFMC-SECBINFHSA-N 0 2 318.420 0.912 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2ccc(C(=O)[O-])s2)CCN1S(C)(=O)=O ZINC000656763132 418375352 /nfs/dbraw/zinc/37/53/52/418375352.db2.gz LSPYNDXHOWMFMC-SECBINFHSA-N 0 2 318.420 0.912 20 0 DCADLN CC[C@@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@@H]1CCCO1 ZINC000651638258 418398913 /nfs/dbraw/zinc/39/89/13/418398913.db2.gz SKGANHSGPIFSTJ-MNOVXSKESA-N 0 2 309.370 0.293 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@H](C)OC(C)(C)C2)[nH]1 ZINC000651536679 418387949 /nfs/dbraw/zinc/38/79/49/418387949.db2.gz DZWQFMNZGGBDTM-JTQLQIEISA-N 0 2 309.370 0.245 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H]2C[C@H]2c2ccccc2)[nH]1 ZINC000651535102 418388303 /nfs/dbraw/zinc/38/83/03/418388303.db2.gz BZIDFQLUQWNORJ-STQMWFEESA-N 0 2 313.361 0.892 20 0 DCADLN Cc1cnc(N2CC[NH+]([C@@H]3CCN(CC(=O)[O-])C3=O)CC2)s1 ZINC000662205888 418389359 /nfs/dbraw/zinc/38/93/59/418389359.db2.gz NIJLIVKVCXVGSD-LLVKDONJSA-N 0 2 324.406 0.259 20 0 DCADLN CC[N@H+](CC(=O)N[C@H](C)C(=O)N1CCCCC1)[C@@H](C)C(=O)[O-] ZINC000662218322 418390474 /nfs/dbraw/zinc/39/04/74/418390474.db2.gz XTONTFKLNICBGM-NEPJUHHUSA-N 0 2 313.398 0.299 20 0 DCADLN CC[N@@H+](CC(=O)N[C@H](C)C(=O)N1CCCCC1)[C@@H](C)C(=O)[O-] ZINC000662218322 418390476 /nfs/dbraw/zinc/39/04/76/418390476.db2.gz XTONTFKLNICBGM-NEPJUHHUSA-N 0 2 313.398 0.299 20 0 DCADLN CC[N@H+](CC(=O)N[C@H](C)C(=O)N1CCCCC1)[C@H](C)C(=O)[O-] ZINC000662218329 418390512 /nfs/dbraw/zinc/39/05/12/418390512.db2.gz XTONTFKLNICBGM-VXGBXAGGSA-N 0 2 313.398 0.299 20 0 DCADLN CC[N@@H+](CC(=O)N[C@H](C)C(=O)N1CCCCC1)[C@H](C)C(=O)[O-] ZINC000662218329 418390514 /nfs/dbraw/zinc/39/05/14/418390514.db2.gz XTONTFKLNICBGM-VXGBXAGGSA-N 0 2 313.398 0.299 20 0 DCADLN CC(C)C[C@H]1COCCN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651569535 418391709 /nfs/dbraw/zinc/39/17/09/418391709.db2.gz AXNYXTRGZOEOAJ-LBPRGKRZSA-N 0 2 323.397 0.493 20 0 DCADLN CCC(CC)[C@@H](O)CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651564975 418392225 /nfs/dbraw/zinc/39/22/25/418392225.db2.gz ZSGWEHHRJUDPHV-NSHDSACASA-N 0 2 311.386 0.133 20 0 DCADLN CO[C@@H]1C[C@@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651613798 418396994 /nfs/dbraw/zinc/39/69/94/418396994.db2.gz RJCMAMNEOLDZLU-NXEZZACHSA-N 0 2 309.370 0.149 20 0 DCADLN CCn1ccc(CN(C)C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)c1 ZINC000651747117 418408307 /nfs/dbraw/zinc/40/83/07/418408307.db2.gz ROGBUHXGPSDQGK-UHFFFAOYSA-N 0 2 318.381 0.699 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)c1cnn(C)c1 ZINC000492498090 287576295 /nfs/dbraw/zinc/57/62/95/287576295.db2.gz CXSVFBSCFQBHEH-SNAWJCMRSA-N 0 2 309.351 0.155 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)n(C)c(=O)n2C ZINC000340089597 261182000 /nfs/dbraw/zinc/18/20/00/261182000.db2.gz GIOHVFFKXCVAGE-UHFFFAOYSA-N 0 2 321.362 0.411 20 0 DCADLN CNC(=O)CNC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000354348800 261207367 /nfs/dbraw/zinc/20/73/67/261207367.db2.gz QCKYTIJDQCNVSI-UHFFFAOYSA-N 0 2 304.306 0.062 20 0 DCADLN Cc1nn(CC(F)F)c(C)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000354764461 261269098 /nfs/dbraw/zinc/26/90/98/261269098.db2.gz DULFZCAPQMCQNZ-UHFFFAOYSA-N 0 2 300.269 0.519 20 0 DCADLN CCOCCOCCN(C)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000356995978 261492516 /nfs/dbraw/zinc/49/25/16/261492516.db2.gz ZXNNEJBVRXDCAA-UHFFFAOYSA-N 0 2 304.372 0.114 20 0 DCADLN O=C(N[C@H]1CCO[C@@H]1C1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000362063991 261994502 /nfs/dbraw/zinc/99/45/02/261994502.db2.gz GPTDEDUCTOEOBK-WDEREUQCSA-N 0 2 316.317 0.733 20 0 DCADLN CCS(=O)(=O)N1CC[NH+](Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000362250862 262015316 /nfs/dbraw/zinc/01/53/16/262015316.db2.gz RHPIPVUJULPSRB-UHFFFAOYSA-N 0 2 312.391 0.852 20 0 DCADLN COC(=O)[C@H]1C[C@@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000412746803 262194053 /nfs/dbraw/zinc/19/40/53/262194053.db2.gz DGUWNHUXYQHSFR-OCAPTIKFSA-N 0 2 304.306 0.355 20 0 DCADLN COCCS(=O)(=O)Nc1ccc(C(N)=O)cc1OC(C)C ZINC000421177306 262391319 /nfs/dbraw/zinc/39/13/19/262391319.db2.gz UXUJAUDXOWYPQC-UHFFFAOYSA-N 0 2 316.379 0.961 20 0 DCADLN CO[C@H](CC(C)C)CS(=O)(=O)Nc1cn(C)nc1C(N)=O ZINC000421164194 262391476 /nfs/dbraw/zinc/39/14/76/262391476.db2.gz JVZFXJUSIRNMEA-SECBINFHSA-N 0 2 318.399 0.322 20 0 DCADLN O=C(NC[C@@H](O)[C@H]1CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000355551760 271014763 /nfs/dbraw/zinc/01/47/63/271014763.db2.gz ZALPQIRSQIFLPU-WCQYABFASA-N 0 2 317.345 0.705 20 0 DCADLN Cn1nnnc1CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000357103551 271041807 /nfs/dbraw/zinc/04/18/07/271041807.db2.gz SUCLXGCJKSWRMW-UHFFFAOYSA-N 0 2 317.284 0.171 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@@H]2COCC[C@H]21 ZINC000443047179 271694659 /nfs/dbraw/zinc/69/46/59/271694659.db2.gz LECCASBYROLQFO-RKDXNWHRSA-N 0 2 302.318 0.884 20 0 DCADLN CCOCCS(=O)(=O)[N-]C(=O)/C=C/c1[nH+]ccn1CC ZINC000492446245 272130459 /nfs/dbraw/zinc/13/04/59/272130459.db2.gz UXPCHJQSXHDHHZ-AATRIKPKSA-N 0 2 301.368 0.399 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C\c1ccncn1 ZINC000492743648 272147138 /nfs/dbraw/zinc/14/71/38/272147138.db2.gz YLNFRIPBNQLSRA-PLNGDYQASA-N 0 2 321.362 0.345 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000493005741 272163509 /nfs/dbraw/zinc/16/35/09/272163509.db2.gz NTKVFYLVLJNXQI-GZTOBOFZSA-N 0 2 313.379 0.541 20 0 DCADLN Cn1nccc1/C=C\C(=O)NS(=O)(=O)c1cnc2n1CCC2 ZINC000493086738 272167838 /nfs/dbraw/zinc/16/78/38/272167838.db2.gz OYDCQKQLIHERMX-PLNGDYQASA-N 0 2 321.362 0.081 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)c1cnn(C)c1C ZINC000493115003 272169323 /nfs/dbraw/zinc/16/93/23/272169323.db2.gz HVCIPCOMYPDEGB-AATRIKPKSA-N 0 2 323.378 0.463 20 0 DCADLN O=C(/C=C\C1CC1)NS(=O)(=O)c1cnn([C@@H]2CCOC2)c1 ZINC000493154498 272172444 /nfs/dbraw/zinc/17/24/44/272172444.db2.gz MKVHMYSBTNQGPC-DLRQAJBASA-N 0 2 311.363 0.616 20 0 DCADLN C[C@H](NC(=O)OCc1ccccc1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000494400503 272220802 /nfs/dbraw/zinc/22/08/02/272220802.db2.gz UXQBBCKKDUZZAS-VIFPVBQESA-N 0 2 320.305 0.868 20 0 DCADLN CCCC(=O)NCC(=O)NOC[C@@H](C)NC(=O)OC(C)(C)C ZINC000495012004 272235944 /nfs/dbraw/zinc/23/59/44/272235944.db2.gz MSHCPBUKUNPSGP-SNVBAGLBSA-N 0 2 317.386 0.864 20 0 DCADLN CC(C)(C)OC(=O)N[C@H]1C[C@@H](C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000496084327 272291794 /nfs/dbraw/zinc/29/17/94/272291794.db2.gz IHKYQCVTSDMWSP-OCAPTIKFSA-N 0 2 312.326 0.857 20 0 DCADLN CCOC(=O)c1n[nH]c([C@@H](CC(C)C)NC(=O)c2cn[nH]n2)n1 ZINC000548385763 288138165 /nfs/dbraw/zinc/13/81/65/288138165.db2.gz IICLPSOUMDOPMU-MRVPVSSYSA-N 0 2 321.341 0.617 20 0 DCADLN CCOC(=O)c1n[nH]c([C@@H](CC(C)C)NC(=O)c2cnn[nH]2)n1 ZINC000548385763 288138168 /nfs/dbraw/zinc/13/81/68/288138168.db2.gz IICLPSOUMDOPMU-MRVPVSSYSA-N 0 2 321.341 0.617 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn(-c3ccccn3)c2)c1O ZINC000553100096 288287062 /nfs/dbraw/zinc/28/70/62/288287062.db2.gz QPDOBJHFXCVOSV-NSHDSACASA-N 0 2 315.289 0.255 20 0 DCADLN COCCn1ccc(C(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)n1 ZINC000329469188 292738689 /nfs/dbraw/zinc/73/86/89/292738689.db2.gz XJENYXWSQHRCQO-LLVKDONJSA-N 0 2 320.353 0.721 20 0 DCADLN CONC(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@]21C ZINC000298361404 293371853 /nfs/dbraw/zinc/37/18/53/293371853.db2.gz YPAZDGWPQWIAHB-HNNXBMFYSA-N 0 2 303.318 0.663 20 0 DCADLN O=C1CC[C@@H](NS(=O)(=O)CC(F)(F)F)C2(CCC2)N1 ZINC000407982730 304632128 /nfs/dbraw/zinc/63/21/28/304632128.db2.gz KHCSLGGXHRLGLY-SSDOTTSWSA-N 0 2 300.302 0.669 20 0 DCADLN Cc1cc(C(=O)N2CCOC[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265792964 301106350 /nfs/dbraw/zinc/10/63/50/301106350.db2.gz IYTODDKNHQXQSA-MRVPVSSYSA-N 0 2 304.306 0.605 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](C)OC[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265831093 301119536 /nfs/dbraw/zinc/11/95/36/301119536.db2.gz QGDFRSBLTRPXRQ-RKDXNWHRSA-N 0 2 318.333 0.994 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC2(C1)CCOCC2 ZINC000370249544 304759214 /nfs/dbraw/zinc/75/92/14/304759214.db2.gz XPMJFOVQPKTTPA-UHFFFAOYSA-N 0 2 302.318 0.886 20 0 DCADLN NC(=O)[C@H]1CCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000123232997 303329808 /nfs/dbraw/zinc/32/98/08/303329808.db2.gz UZILKNZPAHBDCZ-LLVKDONJSA-N 0 2 300.318 0.668 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000571208814 333684188 /nfs/dbraw/zinc/68/41/88/333684188.db2.gz VJBAZDFDWJZXFN-AWEZNQCLSA-N 0 2 301.306 0.599 20 0 DCADLN CC(=O)N[C@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C(C)(C)C ZINC000577637362 341926323 /nfs/dbraw/zinc/92/63/23/341926323.db2.gz SIRHECIYZLNAQI-GHMZBOCLSA-N 0 2 323.397 0.767 20 0 DCADLN CSC[C@H](C)NC(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC000331709733 533774648 /nfs/dbraw/zinc/77/46/48/533774648.db2.gz YAWUKMVOHBMWOS-VIFPVBQESA-N 0 2 314.411 0.464 20 0 DCADLN CN(CC(C)(CO)CO)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000182918225 522558458 /nfs/dbraw/zinc/55/84/58/522558458.db2.gz XWHTXWXDSQJSAB-UHFFFAOYSA-N 0 2 319.361 0.641 20 0 DCADLN COC(=O)CCNC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000267094449 523102346 /nfs/dbraw/zinc/10/23/46/523102346.db2.gz VROTWJGGRRYOBM-UHFFFAOYSA-N 0 2 319.317 0.879 20 0 DCADLN CCc1ccc(S(=O)(=O)Nc2ncn(CC(=O)NC)n2)cc1 ZINC000266314079 524018173 /nfs/dbraw/zinc/01/81/73/524018173.db2.gz FPNYCYAMXYODGK-UHFFFAOYSA-N 0 2 323.378 0.387 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1nc2ccccn2n1 ZINC000130703149 527657916 /nfs/dbraw/zinc/65/79/16/527657916.db2.gz VPDBBUAKPZYDIQ-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN CC(=O)NCCc1ccc(S(=O)(=O)Nc2cn[nH]c2)cc1 ZINC000123561455 545755775 /nfs/dbraw/zinc/75/57/75/545755775.db2.gz KHCGFHHWBVVEFW-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN COCc1nc(OC)cc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000343156043 546133346 /nfs/dbraw/zinc/13/33/46/546133346.db2.gz WXAGSYHDMUXWHB-SECBINFHSA-N 0 2 320.353 0.839 20 0 DCADLN Cn1[n-]c(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)cc1=O ZINC000668564085 546785533 /nfs/dbraw/zinc/78/55/33/546785533.db2.gz DHKOTYZBKFPTGE-GFCCVEGCSA-N 0 2 308.382 0.453 20 0 DCADLN C[C@H](NC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+])c1ccc2c(c1)OCO2 ZINC000668975241 546894171 /nfs/dbraw/zinc/89/41/71/546894171.db2.gz FNNNQINJZXNMII-AAEUAGOBSA-N 0 2 320.393 0.761 20 0 DCADLN CNC(=O)NC1CN(C(=O)c2c[nH]c3nc(C)ccc3c2=O)C1 ZINC000670273855 547068705 /nfs/dbraw/zinc/06/87/05/547068705.db2.gz PHBWMMNBXXLVSW-UHFFFAOYSA-N 0 2 315.333 0.397 20 0 DCADLN CNS(=O)(=O)c1cc(NC(=O)Cc2cc(C)[nH]n2)ccc1O ZINC000673129384 547449561 /nfs/dbraw/zinc/44/95/61/547449561.db2.gz UJWGGQSWBFKILY-UHFFFAOYSA-N 0 2 324.362 0.513 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@H](O)C1CCOCC1 ZINC000673655584 547509776 /nfs/dbraw/zinc/50/97/76/547509776.db2.gz GOOOXARZDBZGPR-GFCCVEGCSA-N 0 2 319.317 0.756 20 0 DCADLN O=C(NOC/C=C\Cl)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001665265689 1174498349 /nfs/dbraw/zinc/49/83/49/1174498349.db2.gz DIEMHMDPSLCALG-RJRFIUFISA-N 0 2 300.706 0.503 20 0 DCADLN C[C@@H](C[C@H]1CCOC1)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000675352930 547677190 /nfs/dbraw/zinc/67/71/90/547677190.db2.gz NBGUFIIRZKLXNF-DTWKUNHWSA-N 0 2 318.333 0.981 20 0 DCADLN Cc1ccccc1CCNC(=O)[C@H](C)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231234 547746709 /nfs/dbraw/zinc/74/67/09/547746709.db2.gz JQTKACNPWBDRLS-ZFWWWQNUSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1ccccc1CCNC(=O)[C@H](C)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231234 547746714 /nfs/dbraw/zinc/74/67/14/547746714.db2.gz JQTKACNPWBDRLS-ZFWWWQNUSA-N 0 2 320.389 0.828 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+]([C@H]2CCCN(c3ccccc3)C2=O)CCO1 ZINC000676231213 547746837 /nfs/dbraw/zinc/74/68/37/547746837.db2.gz JKBWJYUZPWHSFD-KBPBESRZSA-N 0 2 304.346 0.967 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+]([C@H]2CCCN(c3ccccc3)C2=O)CCO1 ZINC000676231213 547746841 /nfs/dbraw/zinc/74/68/41/547746841.db2.gz JKBWJYUZPWHSFD-KBPBESRZSA-N 0 2 304.346 0.967 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1cccc(F)c1)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231671 547746898 /nfs/dbraw/zinc/74/68/98/547746898.db2.gz OKYGGHXJTDLCNV-SMDDNHRTSA-N 0 2 324.352 0.958 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1cccc(F)c1)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231671 547746900 /nfs/dbraw/zinc/74/69/00/547746900.db2.gz OKYGGHXJTDLCNV-SMDDNHRTSA-N 0 2 324.352 0.958 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)[C@H]([NH3+])c1c(F)cccc1F ZINC000677833406 547908110 /nfs/dbraw/zinc/90/81/10/547908110.db2.gz KTVMLGONENZTMN-GFCCVEGCSA-N 0 2 302.277 0.524 20 0 DCADLN Cc1cccc2[nH+]c(CNC(=O)c3n[nH]c(=O)[n-]c3=O)cn21 ZINC000677951759 547927692 /nfs/dbraw/zinc/92/76/92/547927692.db2.gz XEYRCIICAJASPB-UHFFFAOYSA-N 0 2 300.278 0.169 20 0 DCADLN O=C(N[C@@H](CO)c1c(F)cccc1F)c1n[nH]c(=O)[nH]c1=O ZINC000678392421 547971601 /nfs/dbraw/zinc/97/16/01/547971601.db2.gz HGGCDBOUYKNDQN-ZETCQYMHSA-N 0 2 312.232 0.024 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cccc3cnccc32)[nH]n1 ZINC000679204530 548033305 /nfs/dbraw/zinc/03/33/05/548033305.db2.gz KSYSELMJYZOCEK-UHFFFAOYSA-N 0 2 317.330 0.858 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N(C)C[C@H](O)CO)=N2)cc1 ZINC000680596182 548160760 /nfs/dbraw/zinc/16/07/60/548160760.db2.gz OFSNKSDDSZEXRF-NSHDSACASA-N 0 2 321.333 0.012 20 0 DCADLN Cn1ncc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1C(F)(F)F ZINC000682058813 548345241 /nfs/dbraw/zinc/34/52/41/548345241.db2.gz CVOVSQPBKYLYND-UHFFFAOYSA-N 0 2 305.220 0.584 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCCSC)c1 ZINC000821666935 599125712 /nfs/dbraw/zinc/12/57/12/599125712.db2.gz MVRPOVSLTOWHGV-UHFFFAOYSA-N 0 2 309.351 0.568 20 0 DCADLN C[NH+](C)CCN(CC(=O)[O-])C(=O)c1c(O)cc(F)cc1F ZINC000739606717 596909020 /nfs/dbraw/zinc/90/90/20/596909020.db2.gz KUJMGHKNTWEEEJ-UHFFFAOYSA-N 0 2 302.277 0.759 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000818120764 597065239 /nfs/dbraw/zinc/06/52/39/597065239.db2.gz PLPNLQBPJXXJLJ-QWHCGFSZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000818120764 597065243 /nfs/dbraw/zinc/06/52/43/597065243.db2.gz PLPNLQBPJXXJLJ-QWHCGFSZSA-N 0 2 313.398 0.603 20 0 DCADLN CC(C)(C)OC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(=O)[O-])C1 ZINC000819922088 597771473 /nfs/dbraw/zinc/77/14/73/597771473.db2.gz UYSVRERRXVVLJY-SNVBAGLBSA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(=O)[O-])C1 ZINC000819922088 597771475 /nfs/dbraw/zinc/77/14/75/597771475.db2.gz UYSVRERRXVVLJY-SNVBAGLBSA-N 0 2 315.370 0.176 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC000821530810 597804694 /nfs/dbraw/zinc/80/46/94/597804694.db2.gz PUDFNXDYTJETHT-JTQLQIEISA-N 0 2 307.354 0.062 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC000821530810 597804696 /nfs/dbraw/zinc/80/46/96/597804696.db2.gz PUDFNXDYTJETHT-JTQLQIEISA-N 0 2 307.354 0.062 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](Cc2ccc(-n3cncn3)c(F)c2)CCO1 ZINC000821512197 598122906 /nfs/dbraw/zinc/12/29/06/598122906.db2.gz CZKHKQBCFAZENQ-ZDUSSCGKSA-N 0 2 306.297 0.692 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](Cc2ccc(-n3cncn3)c(F)c2)CCO1 ZINC000821512197 598122909 /nfs/dbraw/zinc/12/29/09/598122909.db2.gz CZKHKQBCFAZENQ-ZDUSSCGKSA-N 0 2 306.297 0.692 20 0 DCADLN O=C([O-])c1cc[nH+]c(N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000821554544 598218881 /nfs/dbraw/zinc/21/88/81/598218881.db2.gz ZWBRKNWYJYGCAT-UHFFFAOYSA-N 0 2 318.377 0.524 20 0 DCADLN CCc1nn(CCCC(=O)OC)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705783 598642239 /nfs/dbraw/zinc/64/22/39/598642239.db2.gz OZDUWXPUDJNFML-UHFFFAOYSA-N 0 2 320.353 0.501 20 0 DCADLN COc1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1OC ZINC000378733510 599778630 /nfs/dbraw/zinc/77/86/30/599778630.db2.gz YDRWTHJBFRRWOW-NSHDSACASA-N 0 2 319.317 0.853 20 0 DCADLN COc1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1OC ZINC000378733510 599778633 /nfs/dbraw/zinc/77/86/33/599778633.db2.gz YDRWTHJBFRRWOW-NSHDSACASA-N 0 2 319.317 0.853 20 0 DCADLN CC(C)C(=O)NC[C@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736347322 599782783 /nfs/dbraw/zinc/78/27/83/599782783.db2.gz UEIMZDDRPGUECV-LLVKDONJSA-N 0 2 309.370 0.418 20 0 DCADLN CC(C)C(=O)NC[C@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736347322 599782785 /nfs/dbraw/zinc/78/27/85/599782785.db2.gz UEIMZDDRPGUECV-LLVKDONJSA-N 0 2 309.370 0.418 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2cccc(OCC(=O)[O-])c2)C1 ZINC000320829577 599833300 /nfs/dbraw/zinc/83/33/00/599833300.db2.gz UJKLJUIOMRLLFA-ZDUSSCGKSA-N 0 2 323.349 0.602 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2cccc(OCC(=O)[O-])c2)C1 ZINC000320829577 599833302 /nfs/dbraw/zinc/83/33/02/599833302.db2.gz UJKLJUIOMRLLFA-ZDUSSCGKSA-N 0 2 323.349 0.602 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2Cc3ccccc3C[C@H]2C(=O)[O-])n1 ZINC000739203640 599967273 /nfs/dbraw/zinc/96/72/73/599967273.db2.gz QYKRLXXMJLJACU-JTQLQIEISA-N 0 2 300.322 0.048 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2Cc3ccccc3C[C@H]2C(=O)[O-])n1 ZINC000739203640 599967275 /nfs/dbraw/zinc/96/72/75/599967275.db2.gz QYKRLXXMJLJACU-JTQLQIEISA-N 0 2 300.322 0.048 20 0 DCADLN COc1cc2c(cc1OC)CN(C(=O)C[N@H+](C)CC(=O)[O-])CC2 ZINC000737908417 599998412 /nfs/dbraw/zinc/99/84/12/599998412.db2.gz URLJHUKDAFIQQI-UHFFFAOYSA-N 0 2 322.361 0.605 20 0 DCADLN COc1cc2c(cc1OC)CN(C(=O)C[N@@H+](C)CC(=O)[O-])CC2 ZINC000737908417 599998414 /nfs/dbraw/zinc/99/84/14/599998414.db2.gz URLJHUKDAFIQQI-UHFFFAOYSA-N 0 2 322.361 0.605 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000319784580 600105429 /nfs/dbraw/zinc/10/54/29/600105429.db2.gz YWKFMNHQUNSMLZ-CABCVRRESA-N 0 2 318.373 0.856 20 0 DCADLN C[C@H](c1ccccc1)N(C)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000318156219 600141017 /nfs/dbraw/zinc/14/10/17/600141017.db2.gz CZCJHCGEAXJXAV-BNOWGMLFSA-N 0 2 306.362 0.726 20 0 DCADLN C[C@H](c1ccccc1)N(C)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000318156219 600141019 /nfs/dbraw/zinc/14/10/19/600141019.db2.gz CZCJHCGEAXJXAV-BNOWGMLFSA-N 0 2 306.362 0.726 20 0 DCADLN COC(=O)[C@@H](c1ccccc1)[N@H+](C)CCC(=O)NCC(=O)[O-] ZINC000737578493 600209699 /nfs/dbraw/zinc/20/96/99/600209699.db2.gz DHJIMVTZIDMRRA-CQSZACIVSA-N 0 2 308.334 0.423 20 0 DCADLN COC(=O)[C@@H](c1ccccc1)[N@@H+](C)CCC(=O)NCC(=O)[O-] ZINC000737578493 600209700 /nfs/dbraw/zinc/20/97/00/600209700.db2.gz DHJIMVTZIDMRRA-CQSZACIVSA-N 0 2 308.334 0.423 20 0 DCADLN Cc1ncc(C[NH+]2CCN(Cn3cnc(C(=O)[O-])n3)CC2)s1 ZINC000738885688 600280539 /nfs/dbraw/zinc/28/05/39/600280539.db2.gz SLTGGEMGZQAAEY-UHFFFAOYSA-N 0 2 322.394 0.517 20 0 DCADLN CN1CC[C@H]([N@H+](C)CC(=O)NC2(C(=O)[O-])CCCCC2)C1=O ZINC000737515641 600438278 /nfs/dbraw/zinc/43/82/78/600438278.db2.gz ZDJZVOSPKMVLOT-NSHDSACASA-N 0 2 311.382 0.053 20 0 DCADLN CN1CC[C@H]([N@@H+](C)CC(=O)NC2(C(=O)[O-])CCCCC2)C1=O ZINC000737515641 600438279 /nfs/dbraw/zinc/43/82/79/600438279.db2.gz ZDJZVOSPKMVLOT-NSHDSACASA-N 0 2 311.382 0.053 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(S(=O)(=O)CCCC(=O)[O-])C2 ZINC000738367415 600449195 /nfs/dbraw/zinc/44/91/95/600449195.db2.gz KIOCJPKRTPNRBQ-UHFFFAOYSA-N 0 2 301.368 0.510 20 0 DCADLN CCN(CCC(=O)[O-])C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000737095060 600682590 /nfs/dbraw/zinc/68/25/90/600682590.db2.gz OTZLGAHKXGBDBL-UHFFFAOYSA-N 0 2 320.393 0.527 20 0 DCADLN O=C([O-])C[C@@H]1CSCC[N@@H+]1CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000739657050 600703087 /nfs/dbraw/zinc/70/30/87/600703087.db2.gz AUQHXUMLGRNOEL-UTUOFQBUSA-N 0 2 324.402 0.787 20 0 DCADLN O=C([O-])C[C@@H]1CSCC[N@H+]1CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000739657050 600703090 /nfs/dbraw/zinc/70/30/90/600703090.db2.gz AUQHXUMLGRNOEL-UTUOFQBUSA-N 0 2 324.402 0.787 20 0 DCADLN C[S@](=O)CC[NH+]1CCN([C@H](C(=O)[O-])c2ccccc2)CC1 ZINC000832730148 601027355 /nfs/dbraw/zinc/02/73/55/601027355.db2.gz NMCSIAQIGPARCS-QKKBWIMNSA-N 0 2 310.419 0.808 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN(Cc2cccc(C(=O)[O-])c2)CC1 ZINC000819901377 601044575 /nfs/dbraw/zinc/04/45/75/601044575.db2.gz AJDRSNLMLWZOPD-UHFFFAOYSA-N 0 2 305.378 0.766 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@@H]3C2)c[nH]1 ZINC000037837231 601106456 /nfs/dbraw/zinc/10/64/56/601106456.db2.gz PCLUFUATGQUFKC-SNVBAGLBSA-N 0 2 313.379 0.572 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CC[N@H+]3CCCC[C@@H]3C2)c[nH]1 ZINC000037837231 601106458 /nfs/dbraw/zinc/10/64/58/601106458.db2.gz PCLUFUATGQUFKC-SNVBAGLBSA-N 0 2 313.379 0.572 20 0 DCADLN C/C(=C\C[N@H+](C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000825729866 601165354 /nfs/dbraw/zinc/16/53/54/601165354.db2.gz NNQIQCDHYXVSMC-BELPRIPISA-N 0 2 317.407 0.685 20 0 DCADLN C/C(=C\C[N@@H+](C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000825729866 601165356 /nfs/dbraw/zinc/16/53/56/601165356.db2.gz NNQIQCDHYXVSMC-BELPRIPISA-N 0 2 317.407 0.685 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@H+]1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000833355700 601180701 /nfs/dbraw/zinc/18/07/01/601180701.db2.gz ZQERGYQKFWBDBK-MNOVXSKESA-N 0 2 317.345 0.676 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000833355700 601180703 /nfs/dbraw/zinc/18/07/03/601180703.db2.gz ZQERGYQKFWBDBK-MNOVXSKESA-N 0 2 317.345 0.676 20 0 DCADLN Cc1oc(S(=O)(=O)N[C@H](C)Cn2cc[nH+]c2)cc1C(=O)[O-] ZINC000833027468 601217063 /nfs/dbraw/zinc/21/70/63/601217063.db2.gz FSBMBZYVCQEIMB-MRVPVSSYSA-N 0 2 313.335 0.850 20 0 DCADLN C[C@H](c1ccc([S@](C)=O)cc1)[N@H+](C)CC(=O)NCC(=O)[O-] ZINC000820199562 601307656 /nfs/dbraw/zinc/30/76/56/601307656.db2.gz BHZPQKFOSLSKFI-UZJPJQLHSA-N 0 2 312.391 0.618 20 0 DCADLN C[C@H](c1ccc([S@](C)=O)cc1)[N@@H+](C)CC(=O)NCC(=O)[O-] ZINC000820199562 601307658 /nfs/dbraw/zinc/30/76/58/601307658.db2.gz BHZPQKFOSLSKFI-UZJPJQLHSA-N 0 2 312.391 0.618 20 0 DCADLN O=C([O-])C[C@@H]1C[N@H+](CCN2C(=O)c3ccccc3C2=O)CCO1 ZINC000322005358 601454140 /nfs/dbraw/zinc/45/41/40/601454140.db2.gz RRKWEAOEBOYHAR-LLVKDONJSA-N 0 2 318.329 0.458 20 0 DCADLN O=C([O-])C[C@@H]1C[N@@H+](CCN2C(=O)c3ccccc3C2=O)CCO1 ZINC000322005358 601454142 /nfs/dbraw/zinc/45/41/42/601454142.db2.gz RRKWEAOEBOYHAR-LLVKDONJSA-N 0 2 318.329 0.458 20 0 DCADLN CC(C)OCC[NH+]1CCN(S(=O)(=O)C[C@@H](C)C(=O)[O-])CC1 ZINC000827031073 601797677 /nfs/dbraw/zinc/79/76/77/601797677.db2.gz UOHAMSXCLBOOLY-GFCCVEGCSA-N 0 2 322.427 0.080 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000828508359 602369897 /nfs/dbraw/zinc/36/98/97/602369897.db2.gz CMKCWSNCEXSCRV-JTQLQIEISA-N 0 2 310.354 0.566 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000828508359 602369900 /nfs/dbraw/zinc/36/99/00/602369900.db2.gz CMKCWSNCEXSCRV-JTQLQIEISA-N 0 2 310.354 0.566 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000830946738 602402891 /nfs/dbraw/zinc/40/28/91/602402891.db2.gz WDZHDQDWTJFMSZ-UHFFFAOYSA-N 0 2 309.297 0.236 20 0 DCADLN C[C@H](C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1)C(F)(F)F ZINC000823654628 602856451 /nfs/dbraw/zinc/85/64/51/602856451.db2.gz LHPVGSVMHXQWOD-SECBINFHSA-N 0 2 311.304 0.939 20 0 DCADLN C[C@@H](NC(=O)CN(CC1CC1)C(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000825115197 602862990 /nfs/dbraw/zinc/86/29/90/602862990.db2.gz JKCIJKDSXMQDJI-NEPJUHHUSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@H]1CN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)CCN1C(=O)[O-] ZINC000826075638 603192040 /nfs/dbraw/zinc/19/20/40/603192040.db2.gz ZUKFCTJAGHJQPK-NWDGAFQWSA-N 0 2 321.381 0.626 20 0 DCADLN C[C@H]1CN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)CCN1C(=O)[O-] ZINC000826075638 603192044 /nfs/dbraw/zinc/19/20/44/603192044.db2.gz ZUKFCTJAGHJQPK-NWDGAFQWSA-N 0 2 321.381 0.626 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCCc2ccccn2)[C@H](CNC(=O)[O-])C1 ZINC000828494489 603516415 /nfs/dbraw/zinc/51/64/15/603516415.db2.gz JYXVTUNRDITAAB-CQSZACIVSA-N 0 2 320.393 0.815 20 0 DCADLN C[N@H+]1CCN(C(=O)CCCc2ccccn2)[C@H](CNC(=O)[O-])C1 ZINC000828494489 603516417 /nfs/dbraw/zinc/51/64/17/603516417.db2.gz JYXVTUNRDITAAB-CQSZACIVSA-N 0 2 320.393 0.815 20 0 DCADLN Cc1ccc(CC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000830288984 603517046 /nfs/dbraw/zinc/51/70/46/603517046.db2.gz PXZQHNXQAHJBFB-ZDUSSCGKSA-N 0 2 306.366 0.343 20 0 DCADLN Cc1ccc(CC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000830288984 603517049 /nfs/dbraw/zinc/51/70/49/603517049.db2.gz PXZQHNXQAHJBFB-ZDUSSCGKSA-N 0 2 306.366 0.343 20 0 DCADLN Cc1csc(NC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000830667424 603597914 /nfs/dbraw/zinc/59/79/14/603597914.db2.gz VNXDAFJJNDKAAQ-VIFPVBQESA-N 0 2 313.383 0.867 20 0 DCADLN Cc1csc(NC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000830667424 603597916 /nfs/dbraw/zinc/59/79/16/603597916.db2.gz VNXDAFJJNDKAAQ-VIFPVBQESA-N 0 2 313.383 0.867 20 0 DCADLN C[C@H]1CSCC[N@@H+]1CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O ZINC000826093430 603604068 /nfs/dbraw/zinc/60/40/68/603604068.db2.gz DQSMZQDJVPANKQ-QWRGUYRKSA-N 0 2 314.411 0.481 20 0 DCADLN C[C@H]1CSCC[N@H+]1CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O ZINC000826093430 603604071 /nfs/dbraw/zinc/60/40/71/603604071.db2.gz DQSMZQDJVPANKQ-QWRGUYRKSA-N 0 2 314.411 0.481 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)[C@]2(F)CCN(C(=O)[O-])C2)CC1 ZINC000827399296 603890068 /nfs/dbraw/zinc/89/00/68/603890068.db2.gz CVPAMJAWPALFJY-AWEZNQCLSA-N 0 2 317.361 0.259 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@@]1(C)CCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000825076490 603927196 /nfs/dbraw/zinc/92/71/96/603927196.db2.gz SENJOPCIARADCA-ZOWXZIJZSA-N 0 2 313.398 0.602 20 0 DCADLN O=C([O-])N1CC(OCC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)C1 ZINC000831858882 604000400 /nfs/dbraw/zinc/00/04/00/604000400.db2.gz QAWNMVFEIYSDOH-NSHDSACASA-N 0 2 308.338 0.425 20 0 DCADLN Cc1cc(N2CC[C@H]([N@@H+]3CCC[C@@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073682 604034890 /nfs/dbraw/zinc/03/48/90/604034890.db2.gz VMHYGADNXWIKFF-NEPJUHHUSA-N 0 2 321.381 0.566 20 0 DCADLN Cc1cc(N2CC[C@H]([N@H+]3CCC[C@@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073682 604034894 /nfs/dbraw/zinc/03/48/94/604034894.db2.gz VMHYGADNXWIKFF-NEPJUHHUSA-N 0 2 321.381 0.566 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)N[C@H]2CCSC2)CC1 ZINC000831688897 604077887 /nfs/dbraw/zinc/07/78/87/604077887.db2.gz GYAJMSZXYXKNMS-JTQLQIEISA-N 0 2 302.400 0.087 20 0 DCADLN O=C([O-])N1CCC[C@H](C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000831974747 604301374 /nfs/dbraw/zinc/30/13/74/604301374.db2.gz YQNBSLVXBXYIGW-STQMWFEESA-N 0 2 311.382 0.310 20 0 DCADLN C[N@@H+]1CCN(C(=O)CSC(F)(F)F)[C@@H](CNC(=O)[O-])C1 ZINC000828501015 604397969 /nfs/dbraw/zinc/39/79/69/604397969.db2.gz STOFYZOUYSZBBJ-ZETCQYMHSA-N 0 2 315.317 0.650 20 0 DCADLN C[N@H+]1CCN(C(=O)CSC(F)(F)F)[C@@H](CNC(=O)[O-])C1 ZINC000828501015 604397970 /nfs/dbraw/zinc/39/79/70/604397970.db2.gz STOFYZOUYSZBBJ-ZETCQYMHSA-N 0 2 315.317 0.650 20 0 DCADLN CCO[C@@H](CC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)C(C)C ZINC000827371838 604435229 /nfs/dbraw/zinc/43/52/29/604435229.db2.gz LZBPDDKBISOPJX-ZDUSSCGKSA-N 0 2 315.414 0.849 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2cc(C(=O)[O-])cs2)CC1 ZINC000829770054 604480459 /nfs/dbraw/zinc/48/04/59/604480459.db2.gz BVAPNLYSNOKGTC-UHFFFAOYSA-N 0 2 311.363 0.549 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@H+](CCOC[C@H]2CCCO2)CCO1 ZINC000825353856 604624485 /nfs/dbraw/zinc/62/44/85/604624485.db2.gz YFCGHQOQZYCNDR-YNEHKIRRSA-N 0 2 302.371 0.539 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@@H+](CCOC[C@H]2CCCO2)CCO1 ZINC000825353856 604624489 /nfs/dbraw/zinc/62/44/89/604624489.db2.gz YFCGHQOQZYCNDR-YNEHKIRRSA-N 0 2 302.371 0.539 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@H+](CCOC[C@H]2CCCO2)CCO1 ZINC000825353854 604624838 /nfs/dbraw/zinc/62/48/38/604624838.db2.gz YFCGHQOQZYCNDR-XQQFMLRXSA-N 0 2 302.371 0.539 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@@H+](CCOC[C@H]2CCCO2)CCO1 ZINC000825353854 604624842 /nfs/dbraw/zinc/62/48/42/604624842.db2.gz YFCGHQOQZYCNDR-XQQFMLRXSA-N 0 2 302.371 0.539 20 0 DCADLN C[C@@H]1CCN(C(=O)NC2CN(C(=O)[O-])C2)C[C@H]1n1cc[nH+]c1 ZINC000825945157 604624953 /nfs/dbraw/zinc/62/49/53/604624953.db2.gz UFZNCARSLBEFIX-ZYHUDNBSSA-N 0 2 307.354 0.838 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC000832049839 604822633 /nfs/dbraw/zinc/82/26/33/604822633.db2.gz KEGUEOCLYSZPTP-GHMZBOCLSA-N 0 2 308.338 0.495 20 0 DCADLN CC(C)(C(=O)[O-])[C@H]1CCC[N@H+](Cn2nc3cnccn3c2=O)C1 ZINC000833419110 604863011 /nfs/dbraw/zinc/86/30/11/604863011.db2.gz FUFJPECTYLDSAY-NSHDSACASA-N 0 2 319.365 0.671 20 0 DCADLN CC(C)(C(=O)[O-])[C@H]1CCC[N@@H+](Cn2nc3cnccn3c2=O)C1 ZINC000833419110 604863015 /nfs/dbraw/zinc/86/30/15/604863015.db2.gz FUFJPECTYLDSAY-NSHDSACASA-N 0 2 319.365 0.671 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)C[C@@H]1CN(C(=O)[O-])CCO1)C2 ZINC000830800358 604945163 /nfs/dbraw/zinc/94/51/63/604945163.db2.gz YBJWZGKJRFWWLE-GFCCVEGCSA-N 0 2 322.365 0.611 20 0 DCADLN CN(C[C@@H]1CC[N@H+](CC(=O)Nc2nc(C3CC3)no2)C1)C(=O)[O-] ZINC000828259516 605151521 /nfs/dbraw/zinc/15/15/21/605151521.db2.gz LNRAQMSGSVRBAA-VIFPVBQESA-N 0 2 323.353 0.817 20 0 DCADLN CN(C[C@@H]1CC[N@@H+](CC(=O)Nc2nc(C3CC3)no2)C1)C(=O)[O-] ZINC000828259516 605151523 /nfs/dbraw/zinc/15/15/23/605151523.db2.gz LNRAQMSGSVRBAA-VIFPVBQESA-N 0 2 323.353 0.817 20 0 DCADLN O=C([O-])N1CC[C@](F)(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000831893953 605291640 /nfs/dbraw/zinc/29/16/40/605291640.db2.gz FRVLHGSWXWFGQI-SMDDNHRTSA-N 0 2 315.345 0.012 20 0 DCADLN O=C([O-])N1CCCC[C@H]1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000831273274 605394214 /nfs/dbraw/zinc/39/42/14/605394214.db2.gz PBXYGJHCXGXDBJ-STQMWFEESA-N 0 2 311.382 0.452 20 0 DCADLN Cc1nc([C@@H](C)[NH2+]CC(=O)N2CCN(C(=O)[O-])CC2)cs1 ZINC000830708534 605479378 /nfs/dbraw/zinc/47/93/78/605479378.db2.gz CAAOPUFSBNOUNZ-SECBINFHSA-N 0 2 312.395 0.924 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NC(C)(C)CNC(=O)[O-] ZINC000824909341 605562968 /nfs/dbraw/zinc/56/29/68/605562968.db2.gz ZOYIEHYJNKLUAU-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C[C@@H]1CSCCN1C(=O)[O-] ZINC000825054917 605604030 /nfs/dbraw/zinc/60/40/30/605604030.db2.gz ICUFSKVUHKNXRJ-GHMZBOCLSA-N 0 2 312.395 0.873 20 0 DCADLN O=C([O-])Nc1ccc(CC(=O)NC[C@@H]2C[NH+]3CCN2CC3)cc1 ZINC000834255628 605653014 /nfs/dbraw/zinc/65/30/14/605653014.db2.gz KJNBOVOJQPSKIP-CQSZACIVSA-N 0 2 318.377 0.435 20 0 DCADLN Cc1cccn2cc(CC(=O)NCC3CN(C(=O)[O-])C3)[nH+]c12 ZINC000833909013 605754758 /nfs/dbraw/zinc/75/47/58/605754758.db2.gz LVNQPLUKTBUDQA-UHFFFAOYSA-N 0 2 302.334 0.911 20 0 DCADLN Cc1cc(C(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)cc(=O)[nH]1 ZINC000833898975 606111515 /nfs/dbraw/zinc/11/15/15/606111515.db2.gz UZCCHYPHUMMECU-UHFFFAOYSA-N 0 2 308.338 0.121 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)cc1S(=O)(=O)Nc1cn[nH]c1 ZINC000826280147 608057572 /nfs/dbraw/zinc/05/75/72/608057572.db2.gz PHRFRNSOAHLJJW-UHFFFAOYSA-N 0 2 305.323 0.699 20 0 DCADLN CN(C(=O)c1cn[nH]c1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646249 665405805 /nfs/dbraw/zinc/40/58/05/665405805.db2.gz BFFZGZOPGMOWRI-DTWKUNHWSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1cn[nH]c1)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938646249 665405809 /nfs/dbraw/zinc/40/58/09/665405809.db2.gz BFFZGZOPGMOWRI-DTWKUNHWSA-N 0 2 322.262 0.983 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1cncc(F)c1 ZINC001027817510 660781584 /nfs/dbraw/zinc/78/15/84/660781584.db2.gz RJUPCEULNYSDAB-NSHDSACASA-N 0 2 320.328 0.439 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CC[C@H]3C[N@H+](CCCO)C[C@H]3C2)c1[O-] ZINC000979667121 660797921 /nfs/dbraw/zinc/79/79/21/660797921.db2.gz IIZBFUUOWBRHLV-YNEHKIRRSA-N 0 2 322.409 0.636 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CC[C@H]3C[N@@H+](CCCO)C[C@H]3C2)c1[O-] ZINC000979667121 660797922 /nfs/dbraw/zinc/79/79/22/660797922.db2.gz IIZBFUUOWBRHLV-YNEHKIRRSA-N 0 2 322.409 0.636 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC(O)CC1 ZINC001027852090 660838832 /nfs/dbraw/zinc/83/88/32/660838832.db2.gz BXSIHTBYUVBHPZ-MOENNCHZSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC(O)CC1 ZINC001027852090 660838835 /nfs/dbraw/zinc/83/88/35/660838835.db2.gz BXSIHTBYUVBHPZ-MOENNCHZSA-N 0 2 323.397 0.142 20 0 DCADLN CC[C@@](C)(NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908914198 663774154 /nfs/dbraw/zinc/77/41/54/663774154.db2.gz LMMKBYGUEWWPLY-CQSZACIVSA-N 0 2 301.387 0.650 20 0 DCADLN CC[C@@](C)(NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908914198 663774155 /nfs/dbraw/zinc/77/41/55/663774155.db2.gz LMMKBYGUEWWPLY-CQSZACIVSA-N 0 2 301.387 0.650 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cncc(F)c1 ZINC001038149963 660889533 /nfs/dbraw/zinc/88/95/33/660889533.db2.gz MGHYXWCIZZWCHZ-SNVBAGLBSA-N 0 2 306.301 0.049 20 0 DCADLN C[C@H]1C[C@H](C[NH2+]Cc2cnsn2)CN1C(=O)Cc1ccn[nH]1 ZINC000980460304 660944440 /nfs/dbraw/zinc/94/44/40/660944440.db2.gz HWTHYQWPZZEPMA-WDEREUQCSA-N 0 2 320.422 0.831 20 0 DCADLN C[C@@H]1C[C@H](C[NH2+]Cc2ccn(C)n2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC000980703421 660987399 /nfs/dbraw/zinc/98/73/99/660987399.db2.gz FOMAJGRGQVGCLA-CHWSQXEVSA-N 0 2 316.409 0.713 20 0 DCADLN C[C@H]1C[C@H](C[NH2+]Cc2ccn(C)n2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC000980703426 660987568 /nfs/dbraw/zinc/98/75/68/660987568.db2.gz FOMAJGRGQVGCLA-QWHCGFSZSA-N 0 2 316.409 0.713 20 0 DCADLN O=C(C[C@H]1CCCCO1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980953165 661051077 /nfs/dbraw/zinc/05/10/77/661051077.db2.gz LCMPRFINHYYHJL-GFCCVEGCSA-N 0 2 323.397 0.504 20 0 DCADLN Cc1nc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)co1 ZINC000981033167 661065580 /nfs/dbraw/zinc/06/55/80/661065580.db2.gz ILYVMEPUHGUJIG-UHFFFAOYSA-N 0 2 306.326 0.155 20 0 DCADLN O=C([C@@H]1CC1(F)F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981116506 661080348 /nfs/dbraw/zinc/08/03/48/661080348.db2.gz PGMAURYJIZYCKS-QMMMGPOBSA-N 0 2 301.297 0.200 20 0 DCADLN CO[C@@H]1CC[C@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000981500135 661161021 /nfs/dbraw/zinc/16/10/21/661161021.db2.gz SWKNQBFFVPPYJZ-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CCc1n[nH]cc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981527907 661166606 /nfs/dbraw/zinc/16/66/06/661166606.db2.gz RHRLCCGCRVQELO-UHFFFAOYSA-N 0 2 319.369 0.144 20 0 DCADLN CCOC(=O)[C@H](COC)NS(=O)(=O)Cc1csc(C)n1 ZINC000921379747 665298918 /nfs/dbraw/zinc/29/89/18/665298918.db2.gz NGJNIZQESVOJNK-JTQLQIEISA-N 0 2 322.408 0.449 20 0 DCADLN O=C([C@H]1CCCO1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981606553 661179494 /nfs/dbraw/zinc/17/94/94/661179494.db2.gz KKXRWDAJKSSBFT-LLVKDONJSA-N 0 2 321.381 0.114 20 0 DCADLN O=C([C@H]1CCCO1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981606553 661179496 /nfs/dbraw/zinc/17/94/96/661179496.db2.gz KKXRWDAJKSSBFT-LLVKDONJSA-N 0 2 321.381 0.114 20 0 DCADLN O=C(c1ccoc1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981620689 661181849 /nfs/dbraw/zinc/18/18/49/661181849.db2.gz KSKAEBGZEKOBGS-UHFFFAOYSA-N 0 2 317.349 0.842 20 0 DCADLN O=C(c1ccoc1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981620689 661181852 /nfs/dbraw/zinc/18/18/52/661181852.db2.gz KSKAEBGZEKOBGS-UHFFFAOYSA-N 0 2 317.349 0.842 20 0 DCADLN O=C(C1CC=CC1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981679577 661196076 /nfs/dbraw/zinc/19/60/76/661196076.db2.gz QMXXUUYQTBDJAF-UHFFFAOYSA-N 0 2 317.393 0.901 20 0 DCADLN O=C(C1CC=CC1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981679577 661196078 /nfs/dbraw/zinc/19/60/78/661196078.db2.gz QMXXUUYQTBDJAF-UHFFFAOYSA-N 0 2 317.393 0.901 20 0 DCADLN O=C([C@H]1C[C@H]2C[C@H]2C1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981798870 661221327 /nfs/dbraw/zinc/22/13/27/661221327.db2.gz YGHBMRRMKNHZTA-GDNZZTSVSA-N 0 2 305.382 0.591 20 0 DCADLN CO[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C(C)C ZINC000981878323 661241954 /nfs/dbraw/zinc/24/19/54/661241954.db2.gz RKOHSXFFSGBBBY-LBPRGKRZSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H]1CCC[N@H+]1CC(=O)N1CCC[NH+](CC(=O)NC2CC2)CC1 ZINC000981953473 661254178 /nfs/dbraw/zinc/25/41/78/661254178.db2.gz ODYUAUFKWUAXEY-CQSZACIVSA-N 0 2 322.453 0.284 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)N2CCC[N@@H+]([C@@H]3CCN(C)C3=O)CC2)C1 ZINC000981957644 661255505 /nfs/dbraw/zinc/25/55/05/661255505.db2.gz ONZLETDKKLWVLB-LSDHHAIUSA-N 0 2 322.453 0.093 20 0 DCADLN Cc1ncc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1C ZINC000998166226 665410435 /nfs/dbraw/zinc/41/04/35/665410435.db2.gz HKSVRORNQLCTCC-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ncc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1C ZINC000998166226 665410436 /nfs/dbraw/zinc/41/04/36/665410436.db2.gz HKSVRORNQLCTCC-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN CCc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001031774854 665483633 /nfs/dbraw/zinc/48/36/33/665483633.db2.gz OIYAXCSNBXGUAJ-UHFFFAOYSA-N 0 2 305.338 0.528 20 0 DCADLN CC(C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001023084874 657485526 /nfs/dbraw/zinc/48/55/26/657485526.db2.gz CNMPVIBXRWKGKJ-PRJMDXOYSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)C(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001023084874 657485527 /nfs/dbraw/zinc/48/55/27/657485527.db2.gz CNMPVIBXRWKGKJ-PRJMDXOYSA-N 0 2 300.252 0.231 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccn1 ZINC001006786329 657534047 /nfs/dbraw/zinc/53/40/47/657534047.db2.gz CXFJFMLSYXTMGW-MNOVXSKESA-N 0 2 319.369 0.049 20 0 DCADLN C[C@H](NC(=O)c1cccnc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969210882 657576043 /nfs/dbraw/zinc/57/60/43/657576043.db2.gz ZXFFFKKEOKAZOT-VIFPVBQESA-N 0 2 302.338 0.156 20 0 DCADLN Cc1ccn(C)c1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038191135 657669917 /nfs/dbraw/zinc/66/99/17/657669917.db2.gz OJCKBWDFJAOGHI-SNVBAGLBSA-N 0 2 304.354 0.162 20 0 DCADLN COc1ccc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC000970642987 657731231 /nfs/dbraw/zinc/73/12/31/657731231.db2.gz KYBQGYDYZWVSEP-QMMMGPOBSA-N 0 2 321.337 0.362 20 0 DCADLN CCc1cc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC000970759545 657885792 /nfs/dbraw/zinc/88/57/92/657885792.db2.gz HZWNHHFSKJZRBP-QMMMGPOBSA-N 0 2 320.353 0.311 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCOCC1 ZINC001010808735 657983372 /nfs/dbraw/zinc/98/33/72/657983372.db2.gz OYQVWIQXCPYCCH-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1cnccc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969644711 658050468 /nfs/dbraw/zinc/05/04/68/658050468.db2.gz JTXYXKBGTXQNHL-JTQLQIEISA-N 0 2 316.365 0.464 20 0 DCADLN CCc1ccnc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038287173 658059442 /nfs/dbraw/zinc/05/94/42/658059442.db2.gz JYUFCQSXNPUGKZ-LLVKDONJSA-N 0 2 316.365 0.472 20 0 DCADLN Cn1cc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000939354428 665559260 /nfs/dbraw/zinc/55/92/60/665559260.db2.gz AZOKDFPLPCICNN-RKDXNWHRSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC000939354428 665559262 /nfs/dbraw/zinc/55/92/62/665559262.db2.gz AZOKDFPLPCICNN-RKDXNWHRSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(c1cc(C(F)F)n[nH]1)N1CC[C@@H]([NH2+]Cc2cnon2)C1 ZINC000969907047 658246938 /nfs/dbraw/zinc/24/69/38/658246938.db2.gz VXGGDBPLWOYZOW-SSDOTTSWSA-N 0 2 312.280 0.735 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969942208 658278513 /nfs/dbraw/zinc/27/85/13/658278513.db2.gz WUSKLYQLHIOOQV-APPZFPTMSA-N 0 2 315.324 0.492 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CC[C@H](O)[C@H](F)C1 ZINC000890690686 658286687 /nfs/dbraw/zinc/28/66/87/658286687.db2.gz KNPAYWDSLQKGCU-VGMNWLOBSA-N 0 2 314.279 0.621 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@H+]2Cc2cnn(C)c2)c1[O-] ZINC001038172505 658318616 /nfs/dbraw/zinc/31/86/16/658318616.db2.gz PQDVZYJHIASLEE-LLVKDONJSA-N 0 2 304.354 0.162 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@@H+]2Cc2cnn(C)c2)c1[O-] ZINC001038172505 658318624 /nfs/dbraw/zinc/31/86/24/658318624.db2.gz PQDVZYJHIASLEE-LLVKDONJSA-N 0 2 304.354 0.162 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1CNC(=O)c1cn[nH]n1 ZINC001020699631 658401242 /nfs/dbraw/zinc/40/12/42/658401242.db2.gz ABKKCDMGQHJFAT-ZJUUUORDSA-N 0 2 317.353 0.056 20 0 DCADLN C[C@@H]1CN(C(=O)c2cc[nH]n2)CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001020699631 658401246 /nfs/dbraw/zinc/40/12/46/658401246.db2.gz ABKKCDMGQHJFAT-ZJUUUORDSA-N 0 2 317.353 0.056 20 0 DCADLN Cn1ccc(C[NH+](C)[C@H]2CCN(C(=O)[C@@H]3CCC[N@H+]3C)C2)n1 ZINC000971206088 658406829 /nfs/dbraw/zinc/40/68/29/658406829.db2.gz ZREKONYUHZIWKJ-GJZGRUSLSA-N 0 2 305.426 0.547 20 0 DCADLN Cc1ncc(C[NH2+][C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC000970102984 658462404 /nfs/dbraw/zinc/46/24/04/658462404.db2.gz JGEZDGSXLHTSQB-CYBMUJFWSA-N 0 2 300.366 0.441 20 0 DCADLN Cc1coc(C)c1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038209664 658530487 /nfs/dbraw/zinc/53/04/87/658530487.db2.gz DEKGTNIDMOKZPE-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccoc1 ZINC001024330699 658569355 /nfs/dbraw/zinc/56/93/55/658569355.db2.gz WAYVPXAIBZKYKA-LLVKDONJSA-N 0 2 305.338 0.888 20 0 DCADLN Cn1ccc(C(=O)NC[C@H]2CCCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001024395209 658599832 /nfs/dbraw/zinc/59/98/32/658599832.db2.gz SEOOGSCOBPPWSU-GFCCVEGCSA-N 0 2 318.381 0.633 20 0 DCADLN Cn1ccc(C(=O)NC[C@H]2CCCC[N@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001024395209 658599837 /nfs/dbraw/zinc/59/98/37/658599837.db2.gz SEOOGSCOBPPWSU-GFCCVEGCSA-N 0 2 318.381 0.633 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2nccn2C(F)F)C1)c1ncn[nH]1 ZINC001022869224 658710246 /nfs/dbraw/zinc/71/02/46/658710246.db2.gz RTEANYPUFHRMAJ-OCAPTIKFSA-N 0 2 311.296 0.447 20 0 DCADLN CN(Cc1nnnn1C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575317 658825033 /nfs/dbraw/zinc/82/50/33/658825033.db2.gz DEEAXPNEHSBYBS-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN CN(Cc1nnnn1C)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000971575317 658825045 /nfs/dbraw/zinc/82/50/45/658825045.db2.gz DEEAXPNEHSBYBS-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)C1=CCOCC1 ZINC000949544760 658853215 /nfs/dbraw/zinc/85/32/15/658853215.db2.gz AOXCJBGRIYWWFX-ZJUUUORDSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C1=CCOCC1 ZINC000949544760 658853221 /nfs/dbraw/zinc/85/32/21/658853221.db2.gz AOXCJBGRIYWWFX-ZJUUUORDSA-N 0 2 324.274 0.951 20 0 DCADLN CCn1ccnc1C[NH2+]C[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001027141336 658998533 /nfs/dbraw/zinc/99/85/33/658998533.db2.gz ZTABMSHNDLPHHX-ZDUSSCGKSA-N 0 2 316.409 0.807 20 0 DCADLN C[C@H]1CCCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949930939 659244504 /nfs/dbraw/zinc/24/45/04/659244504.db2.gz UCZJQZJODJQJSK-RYUDHWBXSA-N 0 2 307.398 0.981 20 0 DCADLN C[NH+](Cc1ncccn1)[C@H]1CCCN(C(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001027331567 659256236 /nfs/dbraw/zinc/25/62/36/659256236.db2.gz ILQCLSVOKZWVPR-LSDHHAIUSA-N 0 2 317.437 0.994 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC2(CC2)C1 ZINC001008481455 659397308 /nfs/dbraw/zinc/39/73/08/659397308.db2.gz RMWYDSKAAJALLH-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@@H]3C[C@H]3C)C[C@H]21 ZINC000976923337 659493026 /nfs/dbraw/zinc/49/30/26/659493026.db2.gz QVRYWJIXWFFJKA-LXRNVZLWSA-N 0 2 302.378 0.490 20 0 DCADLN CC[C@H](F)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1[nH]c[nH+]c1C ZINC000976927915 659498207 /nfs/dbraw/zinc/49/82/07/659498207.db2.gz RUIYZJIKUARBEY-BBGACYKPSA-N 0 2 308.357 0.582 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)C[C@@H]3C[C@@H]3C)C[C@H]21 ZINC000976928276 659498269 /nfs/dbraw/zinc/49/82/69/659498269.db2.gz RWKVDCJLBPLFHW-QFYPSMDRSA-N 0 2 316.405 0.880 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1[nH]ccc1C1CC1 ZINC001038332356 659524110 /nfs/dbraw/zinc/52/41/10/659524110.db2.gz JAZVFQYXDUMRJC-SNVBAGLBSA-N 0 2 316.365 0.720 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000974945927 659738379 /nfs/dbraw/zinc/73/83/79/659738379.db2.gz ULGYERXIMGXVDJ-NOOOWODRSA-N 0 2 319.409 0.883 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000974945927 659738383 /nfs/dbraw/zinc/73/83/83/659738383.db2.gz ULGYERXIMGXVDJ-NOOOWODRSA-N 0 2 319.409 0.883 20 0 DCADLN Cc1ccn(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000999979843 665723711 /nfs/dbraw/zinc/72/37/11/665723711.db2.gz VCSMGXWBECFEMY-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN Cc1ccn(CC(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000999979843 665723713 /nfs/dbraw/zinc/72/37/13/665723713.db2.gz VCSMGXWBECFEMY-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN Cc1noc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001038393027 661460516 /nfs/dbraw/zinc/46/05/16/661460516.db2.gz ZOXGKGILKAYPJK-VIFPVBQESA-N 0 2 306.326 0.119 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nc3c(s2)CCC3)S1 ZINC000927657521 661486718 /nfs/dbraw/zinc/48/67/18/661486718.db2.gz HDFYHOLAEYMGAM-QMMMGPOBSA-N 0 2 310.404 0.804 20 0 DCADLN Cc1nc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)c(C)o1 ZINC000982601829 661591386 /nfs/dbraw/zinc/59/13/86/661591386.db2.gz VNIQKWZNWJZDNG-UHFFFAOYSA-N 0 2 320.353 0.463 20 0 DCADLN Cn1nc(Br)cc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000758785394 668194803 /nfs/dbraw/zinc/19/48/03/668194803.db2.gz JADJCPOFOJHBDV-UHFFFAOYSA-N 0 2 302.088 0.363 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)CC[C@@H]1NC(=O)c1cocn1 ZINC000940625420 665816113 /nfs/dbraw/zinc/81/61/13/665816113.db2.gz SXNGTNXTAVBZSO-JTQLQIEISA-N 0 2 320.353 0.529 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC[C@@H]1NC(=O)c1cocn1 ZINC000940625420 665816114 /nfs/dbraw/zinc/81/61/14/665816114.db2.gz SXNGTNXTAVBZSO-JTQLQIEISA-N 0 2 320.353 0.529 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)CC[C@H]1NC(=O)c1cocn1 ZINC000940625421 665816350 /nfs/dbraw/zinc/81/63/50/665816350.db2.gz SXNGTNXTAVBZSO-SNVBAGLBSA-N 0 2 320.353 0.529 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC[C@H]1NC(=O)c1cocn1 ZINC000940625421 665816353 /nfs/dbraw/zinc/81/63/53/665816353.db2.gz SXNGTNXTAVBZSO-SNVBAGLBSA-N 0 2 320.353 0.529 20 0 DCADLN CN(C)S(=O)(=O)C1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC000894548370 661981226 /nfs/dbraw/zinc/98/12/26/661981226.db2.gz OURDQQSXLPPMDK-LURJTMIESA-N 0 2 306.281 0.427 20 0 DCADLN CN(C)S(=O)(=O)C1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC000894548370 661981227 /nfs/dbraw/zinc/98/12/27/661981227.db2.gz OURDQQSXLPPMDK-LURJTMIESA-N 0 2 306.281 0.427 20 0 DCADLN C[C@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c1ncn(C)n1 ZINC000759318075 668215661 /nfs/dbraw/zinc/21/56/61/668215661.db2.gz RJKRVGIQCSBGNU-LURJTMIESA-N 0 2 307.276 0.449 20 0 DCADLN O=C(N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)[C@]12C[C@H]1CCC2 ZINC001029400037 662141123 /nfs/dbraw/zinc/14/11/23/662141123.db2.gz JGVPVFUEQUFION-LSSIXWDNSA-N 0 2 317.393 0.876 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@H]1CCN(C2CCC2)C1=O ZINC000867569903 662142829 /nfs/dbraw/zinc/14/28/29/662142829.db2.gz ZRYIZFFPSDPDSZ-OVWNDWIMSA-N 0 2 323.440 0.092 20 0 DCADLN CCOCCO[C@H]1CCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000750531293 662253335 /nfs/dbraw/zinc/25/33/35/662253335.db2.gz WUGPHVKLNQRFKV-VHSXEESVSA-N 0 2 315.395 0.197 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029479921 662294375 /nfs/dbraw/zinc/29/43/75/662294375.db2.gz BXCUOOYDJRRDSS-DCQANWLSSA-N 0 2 321.381 0.111 20 0 DCADLN CC(C)[C@@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029534487 662363856 /nfs/dbraw/zinc/36/38/56/662363856.db2.gz LQGGGRZLBNHJFV-QNWHQSFQSA-N 0 2 319.409 0.978 20 0 DCADLN O=C(CCn1c(=O)c2ccccc2[nH]c1=S)Nc1nn[nH]n1 ZINC000728209141 662367907 /nfs/dbraw/zinc/36/79/07/662367907.db2.gz ZTQWRKZCEORUGU-UHFFFAOYSA-N 0 2 317.334 0.227 20 0 DCADLN Cc1nnc(CN2CC[C@H](NC(=O)c3cnn[nH]3)C(C)(C)C2)[nH]1 ZINC000940857946 665865857 /nfs/dbraw/zinc/86/58/57/665865857.db2.gz HHOYJSAGOJVVPB-NSHDSACASA-N 0 2 318.385 0.262 20 0 DCADLN O=C(Cc1ccc[nH]1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029591817 662422419 /nfs/dbraw/zinc/42/24/19/662422419.db2.gz LQNOXOGEAIVFEB-TXEJJXNPSA-N 0 2 316.365 0.256 20 0 DCADLN C[C@@H](C(N)=O)[N@H+](C)C1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC000985403254 662430366 /nfs/dbraw/zinc/43/03/66/662430366.db2.gz SZPXFBOQMPDWLO-NSHDSACASA-N 0 2 307.398 0.139 20 0 DCADLN C[C@H](O)[C@H](O)CNC(=O)c1cc(Br)c(F)cc1O ZINC000871136863 662512122 /nfs/dbraw/zinc/51/21/22/662512122.db2.gz CSNAZHXZPRBBHS-XUOSJQGZSA-N 0 2 322.130 0.765 20 0 DCADLN Cn1cnnc1C[N@H+](C)C1CCN(C(=O)C[NH+]2CCCC2)CC1 ZINC000985794294 662513808 /nfs/dbraw/zinc/51/38/08/662513808.db2.gz WRTJMTYDIMQOBF-UHFFFAOYSA-N 0 2 320.441 0.334 20 0 DCADLN C[C@@H]1[C@H]([NH2+]Cc2ccn(C)n2)CCN1C(=O)CCc1nc[nH]n1 ZINC000986118678 662557552 /nfs/dbraw/zinc/55/75/52/662557552.db2.gz VJMPYNXZDBMZLY-DGCLKSJQSA-N 0 2 317.397 0.250 20 0 DCADLN C[C@@H](CN(C)c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1)C(=O)[O-] ZINC000263557420 662715956 /nfs/dbraw/zinc/71/59/56/662715956.db2.gz PEZCZGVJNAPNQA-NWDGAFQWSA-N 0 2 308.382 0.842 20 0 DCADLN C[C@@H](CN(C)c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1)C(=O)[O-] ZINC000263557420 662715957 /nfs/dbraw/zinc/71/59/57/662715957.db2.gz PEZCZGVJNAPNQA-NWDGAFQWSA-N 0 2 308.382 0.842 20 0 DCADLN O=C([O-])[C@@H](CC(F)(F)F)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000909673100 662720697 /nfs/dbraw/zinc/72/06/97/662720697.db2.gz ZNWFEGYIFWKJOZ-IONNQARKSA-N 0 2 305.256 0.967 20 0 DCADLN Cc1ccoc1C(=O)N1C[C@@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@@H]1C ZINC000988090092 662728548 /nfs/dbraw/zinc/72/85/48/662728548.db2.gz NODQPXBYMXDYAE-QWRGUYRKSA-N 0 2 319.365 0.403 20 0 DCADLN CCn1ccnc1C[NH2+][C@@H]1C[C@H](C)N(C(=O)Cc2ccn[nH]2)C1 ZINC000988437411 662774508 /nfs/dbraw/zinc/77/45/08/662774508.db2.gz LXGVQRFMIXEZIG-GXTWGEPZSA-N 0 2 316.409 0.948 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N(C)[C@@H]2CC[N@H+]3CCO[C@H]2C3)C[NH+]1C1CC1 ZINC000454648974 662794618 /nfs/dbraw/zinc/79/46/18/662794618.db2.gz DPUGKAIIVPNTTA-CLWVCHIJSA-N 0 2 322.453 0.726 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000937721517 662811581 /nfs/dbraw/zinc/81/15/81/662811581.db2.gz DXJQMEZEZINCEG-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)[nH]n1 ZINC000937721517 662811582 /nfs/dbraw/zinc/81/15/82/662811582.db2.gz DXJQMEZEZINCEG-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000656051 665904476 /nfs/dbraw/zinc/90/44/76/665904476.db2.gz XYCWXIAZSXHVMQ-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN Cc1c[nH]nc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000656051 665904478 /nfs/dbraw/zinc/90/44/78/665904478.db2.gz XYCWXIAZSXHVMQ-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cnon2)CCN1C(=O)C(F)C(F)(F)F ZINC000989417089 662915825 /nfs/dbraw/zinc/91/58/25/662915825.db2.gz QIMZIQMDLNJRJI-CHKWXVPMSA-N 0 2 324.234 0.689 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cnon2)CCN1C(=O)[C@H](F)C(F)(F)F ZINC000989417089 662915827 /nfs/dbraw/zinc/91/58/27/662915827.db2.gz QIMZIQMDLNJRJI-CHKWXVPMSA-N 0 2 324.234 0.689 20 0 DCADLN C[C@@H](CC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC000989750081 662975840 /nfs/dbraw/zinc/97/58/40/662975840.db2.gz IQERCSBERWUMBV-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN CC(F)(F)C(=O)N[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[nH]1)CC2 ZINC000989906658 662986741 /nfs/dbraw/zinc/98/67/41/662986741.db2.gz UMYOPCHCOOHQSA-OQPBUACISA-N 0 2 315.324 0.779 20 0 DCADLN CC(F)(F)C(=O)N[C@@]12CCC[C@@H]1N(Cc1n[nH]c(=O)[nH]1)CC2 ZINC000989906657 662986743 /nfs/dbraw/zinc/98/67/43/662986743.db2.gz UMYOPCHCOOHQSA-ISVAXAHUSA-N 0 2 315.324 0.779 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCCOC1 ZINC000990460461 663053534 /nfs/dbraw/zinc/05/35/34/663053534.db2.gz FWTQGSNZLVHGPJ-VXNVDRBHSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCCOC1 ZINC000990460461 663053536 /nfs/dbraw/zinc/05/35/36/663053536.db2.gz FWTQGSNZLVHGPJ-VXNVDRBHSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)C1=COCCC1 ZINC000990467746 663054484 /nfs/dbraw/zinc/05/44/84/663054484.db2.gz IUWGKRQNDFIEOG-VIFPVBQESA-N 0 2 310.247 0.908 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)C1=COCCC1 ZINC000990467746 663054485 /nfs/dbraw/zinc/05/44/85/663054485.db2.gz IUWGKRQNDFIEOG-VIFPVBQESA-N 0 2 310.247 0.908 20 0 DCADLN Cc1cc(C)n(CC[NH+]2CC[C@@H]2CNC(=O)[C@@H]2CCC[N@H+]2C)n1 ZINC001038119759 663089658 /nfs/dbraw/zinc/08/96/58/663089658.db2.gz XIHFXKBNPGZXDO-CVEARBPZSA-N 0 2 319.453 0.785 20 0 DCADLN CNC(=O)C[N@@H+]1CCC[C@@H](N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000948304356 665933688 /nfs/dbraw/zinc/93/36/88/665933688.db2.gz XXBCDYNBCIKJFH-LLVKDONJSA-N 0 2 323.397 0.096 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@@H](N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000948304356 665933693 /nfs/dbraw/zinc/93/36/93/665933693.db2.gz XXBCDYNBCIKJFH-LLVKDONJSA-N 0 2 323.397 0.096 20 0 DCADLN CS(=O)(=O)C[C@@H]1CN(c2[nH+]cccc2C(=O)[O-])CCO1 ZINC000900976165 663297131 /nfs/dbraw/zinc/29/71/31/663297131.db2.gz CADRIEPAGGVRRR-VIFPVBQESA-N 0 2 300.336 0.030 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938213120 663343641 /nfs/dbraw/zinc/34/36/41/663343641.db2.gz NHCZSWRKNNTSHF-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938213120 663343643 /nfs/dbraw/zinc/34/36/43/663343643.db2.gz NHCZSWRKNNTSHF-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)ncn1 ZINC000990889323 663352062 /nfs/dbraw/zinc/35/20/62/663352062.db2.gz QHJNSXCOOCLERJ-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)ncn1 ZINC000990889323 663352063 /nfs/dbraw/zinc/35/20/63/663352063.db2.gz QHJNSXCOOCLERJ-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN COc1ccc(C[N@H+](C)CC(=O)N2CCC[C@@H](C(=O)[O-])C2)cn1 ZINC000901625711 663356939 /nfs/dbraw/zinc/35/69/39/663356939.db2.gz FLOPCOWMJPHHAQ-CYBMUJFWSA-N 0 2 321.377 0.845 20 0 DCADLN COc1ccc(C[N@@H+](C)CC(=O)N2CCC[C@@H](C(=O)[O-])C2)cn1 ZINC000901625711 663356941 /nfs/dbraw/zinc/35/69/41/663356941.db2.gz FLOPCOWMJPHHAQ-CYBMUJFWSA-N 0 2 321.377 0.845 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)/C=C/c2ccc(C(=O)[O-])o2)CCO1 ZINC000901921046 663372833 /nfs/dbraw/zinc/37/28/33/663372833.db2.gz MEPLAGKPXFRQFO-JOAKQRRISA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)/C=C/c2ccc(C(=O)[O-])o2)CCO1 ZINC000901921046 663372835 /nfs/dbraw/zinc/37/28/35/663372835.db2.gz MEPLAGKPXFRQFO-JOAKQRRISA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)n1cncn1 ZINC000990921599 663374580 /nfs/dbraw/zinc/37/45/80/663374580.db2.gz WVQYCAREFVBZFV-POYBYMJQSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@@H](C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)n1cncn1 ZINC000990921599 663374581 /nfs/dbraw/zinc/37/45/81/663374581.db2.gz WVQYCAREFVBZFV-POYBYMJQSA-N 0 2 323.250 0.067 20 0 DCADLN CC(=O)c1cc(F)c(O)c(NS(=O)(=O)N2CCOCC2)c1 ZINC000901953227 663375622 /nfs/dbraw/zinc/37/56/22/663375622.db2.gz VNJYVULGOBAHBZ-UHFFFAOYSA-N 0 2 318.326 0.723 20 0 DCADLN C[C@H]1CCCC[C@H]1OCCNC(=O)CON=C(N)CN(C)C ZINC000902612965 663422331 /nfs/dbraw/zinc/42/23/31/663422331.db2.gz MAUCETVLGQDTIP-QWHCGFSZSA-N 0 2 314.430 0.758 20 0 DCADLN COC(=O)c1cn(CN2CCOC[C@@H]2C[C@@H]2CCCO2)nn1 ZINC000902612382 663422396 /nfs/dbraw/zinc/42/23/96/663422396.db2.gz YXWZDXOBYYNMBC-RYUDHWBXSA-N 0 2 310.354 0.292 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC000903417109 663454148 /nfs/dbraw/zinc/45/41/48/663454148.db2.gz VSRZIXDNUVCKNG-HIFRSBDPSA-N 0 2 309.387 0.759 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC000903417109 663454150 /nfs/dbraw/zinc/45/41/50/663454150.db2.gz VSRZIXDNUVCKNG-HIFRSBDPSA-N 0 2 309.387 0.759 20 0 DCADLN COc1cnc(NS(=O)(=O)c2cnc3n2CCCC3)nc1 ZINC000903628867 663471456 /nfs/dbraw/zinc/47/14/56/663471456.db2.gz LFLULYVASYWDGT-UHFFFAOYSA-N 0 2 309.351 0.819 20 0 DCADLN [NH3+]CC(=O)N1CCC[C@H](C[N@H+]2CCn3nc(C4CC4)cc3C2)C1 ZINC000904389714 663511284 /nfs/dbraw/zinc/51/12/84/663511284.db2.gz RZYSMIOYQUQADP-CYBMUJFWSA-N 0 2 317.437 0.773 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1Cc2ccccc21 ZINC001031943593 665976914 /nfs/dbraw/zinc/97/69/14/665976914.db2.gz FYELUTIEIUMLFY-ZDUSSCGKSA-N 0 2 313.361 0.398 20 0 DCADLN O=C([O-])c1cc(NC[C@@]2([NH+]3CCOCC3)CCSC2)ncn1 ZINC000906139598 663601978 /nfs/dbraw/zinc/60/19/78/663601978.db2.gz MBFKSEYQWYETOL-AWEZNQCLSA-N 0 2 324.406 0.795 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H]2CCC[N@@H+]([C@H]3CCNC3=O)C2)c1C ZINC001006945917 663650501 /nfs/dbraw/zinc/65/05/01/663650501.db2.gz POHDDBQMKNLHEI-RYUDHWBXSA-N 0 2 305.382 0.109 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C1(C(=O)[O-])CCOCC1 ZINC000907919553 663724035 /nfs/dbraw/zinc/72/40/35/663724035.db2.gz UJPTUNRCPGDTQN-VXGBXAGGSA-N 0 2 321.377 0.832 20 0 DCADLN C[C@@H]1CCC[C@H](C)N1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000907987133 663726967 /nfs/dbraw/zinc/72/69/67/663726967.db2.gz BNDCWXCOESPQHO-AOOOYVTPSA-N 0 2 304.354 0.365 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000908982034 663777045 /nfs/dbraw/zinc/77/70/45/663777045.db2.gz CEEMAMZCLOVADI-STQMWFEESA-N 0 2 321.377 0.928 20 0 DCADLN CCCN(C(=O)NCCc1cn(C)c[nH+]1)[C@H](COC)C(=O)[O-] ZINC000909024276 663779427 /nfs/dbraw/zinc/77/94/27/663779427.db2.gz CACVHEGPGPIWDG-GFCCVEGCSA-N 0 2 312.370 0.484 20 0 DCADLN COc1cccc(C[C@@H](NC(=O)Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC000910208668 663857100 /nfs/dbraw/zinc/85/71/00/663857100.db2.gz FZABNADXJCKZTA-CYBMUJFWSA-N 0 2 303.318 0.704 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910407398 663883459 /nfs/dbraw/zinc/88/34/59/663883459.db2.gz ZBOIIORPYIEPTO-QJPTWQEYSA-N 0 2 306.366 0.595 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910407398 663883463 /nfs/dbraw/zinc/88/34/63/663883463.db2.gz ZBOIIORPYIEPTO-QJPTWQEYSA-N 0 2 306.366 0.595 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000910594930 663910781 /nfs/dbraw/zinc/91/07/81/663910781.db2.gz XRGQLSOHOSXBRV-CYZMBNFOSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)s1 ZINC000910815404 663941108 /nfs/dbraw/zinc/94/11/08/663941108.db2.gz GXOPMRDTLPXORB-QMMMGPOBSA-N 0 2 306.347 0.917 20 0 DCADLN C[C@@H]1C[N@@H+](CCO)[C@H](C)CN1C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000910930248 663953840 /nfs/dbraw/zinc/95/38/40/663953840.db2.gz HDTWEPYXMCFPEV-RGCMKSIDSA-N 0 2 320.437 0.989 20 0 DCADLN O=C([O-])C1(C(=O)N2CCN(c3cccc[nH+]3)CC2)CCOCC1 ZINC000911093958 663981207 /nfs/dbraw/zinc/98/12/07/663981207.db2.gz URDUYHDSUFNPOJ-UHFFFAOYSA-N 0 2 319.361 0.612 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(F)(F)F ZINC000911157603 663994358 /nfs/dbraw/zinc/99/43/58/663994358.db2.gz KDEQZEZJZGJCBY-HTQZYQBOSA-N 0 2 305.256 0.967 20 0 DCADLN CCC1(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)COC1 ZINC000911172493 664001123 /nfs/dbraw/zinc/00/11/23/664001123.db2.gz QMJVEARNUJAJDC-UHFFFAOYSA-N 0 2 319.361 0.855 20 0 DCADLN O=C([O-])c1ccc(N2CCCN(C(=O)[C@H]3CCCO3)CC2)[nH+]c1 ZINC000911305057 664023722 /nfs/dbraw/zinc/02/37/22/664023722.db2.gz JWUADDKXJCHFMF-CYBMUJFWSA-N 0 2 319.361 0.998 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)c1ccccn1 ZINC000911481906 664062776 /nfs/dbraw/zinc/06/27/76/664062776.db2.gz LBXNIEMCXQDZDV-GXFFZTMASA-N 0 2 300.318 0.783 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000911627900 664093587 /nfs/dbraw/zinc/09/35/87/664093587.db2.gz GADLKIUAAGPBRJ-FZMZJTMJSA-N 0 2 307.350 0.198 20 0 DCADLN O=C([O-])COc1cccc(C(=O)N[C@H]2Cc3c[nH+]cn3C2)c1 ZINC000911755883 664106607 /nfs/dbraw/zinc/10/66/07/664106607.db2.gz QXCHZECHBQKIKW-NSHDSACASA-N 0 2 301.302 0.701 20 0 DCADLN C[C@]1(CO)C[C@@H](O)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000912656834 664201527 /nfs/dbraw/zinc/20/15/27/664201527.db2.gz XBBWZIKGJLWADE-MLGOLLRUSA-N 0 2 317.345 0.536 20 0 DCADLN Cc1n[nH]c(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1C(F)(F)F ZINC000912816898 664234180 /nfs/dbraw/zinc/23/41/80/664234180.db2.gz JFURLUKORISGNF-YFKPBYRVSA-N 0 2 319.243 0.664 20 0 DCADLN COC[C@@](C)(O)C(=O)Nc1nccc(Br)c1O ZINC000913026562 664255883 /nfs/dbraw/zinc/25/58/83/664255883.db2.gz UZHSJSZJXFOFOY-SNVBAGLBSA-N 0 2 305.128 0.886 20 0 DCADLN CC(C)[N@H+]1CCC[C@@H]1C(=O)NC1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000991478151 664360635 /nfs/dbraw/zinc/36/06/35/664360635.db2.gz JJZJDCSYIIKPAN-CYBMUJFWSA-N 0 2 319.409 0.152 20 0 DCADLN CC(C)[N@@H+]1CCCC[C@H]1C(=O)NC1CN(Cc2c[nH+]cn2C)C1 ZINC001031050605 664776438 /nfs/dbraw/zinc/77/64/38/664776438.db2.gz QZANUFIHEADIMA-INIZCTEOSA-N 0 2 319.453 0.983 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCOC1CCCC1 ZINC000730530795 664786776 /nfs/dbraw/zinc/78/67/76/664786776.db2.gz JXIKFYCEIYBVHD-UHFFFAOYSA-N 0 2 305.338 0.147 20 0 DCADLN C[C@H](Cc1ccsc1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730536524 664790453 /nfs/dbraw/zinc/79/04/53/664790453.db2.gz MXPJSGMGKKPDQC-SECBINFHSA-N 0 2 317.374 0.880 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2[nH]cnc2c1 ZINC000730536475 664790572 /nfs/dbraw/zinc/79/05/72/664790572.db2.gz FPPAZHOIJKLHFM-UHFFFAOYSA-N 0 2 309.289 0.586 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031102728 664792559 /nfs/dbraw/zinc/79/25/59/664792559.db2.gz LNQGIAUTAXVRDF-ZETCQYMHSA-N 0 2 320.353 0.219 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccoc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993057363 664798394 /nfs/dbraw/zinc/79/83/94/664798394.db2.gz QVTOEVKJSMVXLV-MWLCHTKSSA-N 0 2 305.338 0.886 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cn[nH]c2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993122525 664818781 /nfs/dbraw/zinc/81/87/81/664818781.db2.gz YEBMORGDSVEBFY-WCBMZHEXSA-N 0 2 305.342 0.016 20 0 DCADLN CC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@@H](C)O1 ZINC000732145442 664905783 /nfs/dbraw/zinc/90/57/83/664905783.db2.gz CFXXVKMKGGRTHQ-NXEZZACHSA-N 0 2 305.338 0.097 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000993339159 664944112 /nfs/dbraw/zinc/94/41/12/664944112.db2.gz DFVUCGKZZQGMFU-UWVGGRQHSA-N 0 2 319.369 0.325 20 0 DCADLN CCCc1occc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031255959 664955751 /nfs/dbraw/zinc/95/57/51/664955751.db2.gz LSZKJNSWUAMHFN-UHFFFAOYSA-N 0 2 305.338 0.670 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ncccc1Cl ZINC001031283543 664966919 /nfs/dbraw/zinc/96/69/19/664966919.db2.gz ACLRVAIDKVTUDU-UHFFFAOYSA-N 0 2 308.729 0.173 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccnnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993881542 665012729 /nfs/dbraw/zinc/01/27/29/665012729.db2.gz VXDVIYXJJBUFEA-GXSJLCMTSA-N 0 2 317.353 0.083 20 0 DCADLN O=C(Cc1ccccc1Cl)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031354612 665108455 /nfs/dbraw/zinc/10/84/55/665108455.db2.gz RVBQDHQQDCGCAE-UHFFFAOYSA-N 0 2 321.768 0.707 20 0 DCADLN Cc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001031541532 665192069 /nfs/dbraw/zinc/19/20/69/665192069.db2.gz OMJNHRINVZJLQY-UHFFFAOYSA-N 0 2 305.338 0.582 20 0 DCADLN COc1ncc(S(=O)(=O)N[C@H](CCO)C(F)(F)F)s1 ZINC000919961858 665248115 /nfs/dbraw/zinc/24/81/15/665248115.db2.gz VSGAWXAUVOLSQU-RXMQYKEDSA-N 0 2 320.314 0.743 20 0 DCADLN C[C@H]1OCC[C@@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001304767 666032737 /nfs/dbraw/zinc/03/27/37/666032737.db2.gz KAOFBOPXZWKPGF-PWSUYJOCSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@H]1OCC[C@@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001304767 666032738 /nfs/dbraw/zinc/03/27/38/666032738.db2.gz KAOFBOPXZWKPGF-PWSUYJOCSA-N 0 2 321.381 0.184 20 0 DCADLN Cn1cnc(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC001001440599 666057664 /nfs/dbraw/zinc/05/76/64/666057664.db2.gz SIDWEWQKTNEJGD-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cnc(C(=O)NCC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC001001440599 666057665 /nfs/dbraw/zinc/05/76/65/666057665.db2.gz SIDWEWQKTNEJGD-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cc1ncc(C[NH+]2CCC(CNC(=O)c3ncn[nH]3)CC2)cn1 ZINC001001952733 666114262 /nfs/dbraw/zinc/11/42/62/666114262.db2.gz IEBFWVGERRNFDV-UHFFFAOYSA-N 0 2 315.381 0.545 20 0 DCADLN Cn1ccc(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC001002005283 666124000 /nfs/dbraw/zinc/12/40/00/666124000.db2.gz CTHDIVFTZSCVMX-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cn1ccc(C(=O)NCC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001002005283 666124001 /nfs/dbraw/zinc/12/40/01/666124001.db2.gz CTHDIVFTZSCVMX-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cc1nc(C)c(C[N@@H+]2CC[C@H](NC(=O)c3ncn[nH]3)C2)s1 ZINC001015871568 666146535 /nfs/dbraw/zinc/14/65/35/666146535.db2.gz OYWUBTHHNSNEHE-JTQLQIEISA-N 0 2 306.395 0.882 20 0 DCADLN Cc1nc(C)c(C[N@H+]2CC[C@H](NC(=O)c3ncn[nH]3)C2)s1 ZINC001015871568 666146536 /nfs/dbraw/zinc/14/65/36/666146536.db2.gz OYWUBTHHNSNEHE-JTQLQIEISA-N 0 2 306.395 0.882 20 0 DCADLN Cc1n[nH]c(C(=O)NC2CC[NH+](Cc3nonc3C)CC2)c1[O-] ZINC001002605828 666195697 /nfs/dbraw/zinc/19/56/97/666195697.db2.gz IAQABRMXOUHCHK-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN O=C(Cc1ccoc1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002661919 666203539 /nfs/dbraw/zinc/20/35/39/666203539.db2.gz ITWSSSQIHYBOAJ-UHFFFAOYSA-N 0 2 305.338 0.427 20 0 DCADLN O=C(NC1CCN(Cc2nnc(C3CC3)o2)CC1)c1cnn[nH]1 ZINC001003233542 666286117 /nfs/dbraw/zinc/28/61/17/666286117.db2.gz UCUCFILELVAOJK-UHFFFAOYSA-N 0 2 317.353 0.460 20 0 DCADLN C[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCO1 ZINC001003588379 666331009 /nfs/dbraw/zinc/33/10/09/666331009.db2.gz WBGUZMYOHMGVQR-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](c1cnccn1)[NH+]1CCC(NC(=O)c2nnc[nH]2)CC1 ZINC001003814364 666370124 /nfs/dbraw/zinc/37/01/24/666370124.db2.gz SQJVDGCQDRSLFO-SNVBAGLBSA-N 0 2 301.354 0.550 20 0 DCADLN C[C@H](c1cnccn1)[NH+]1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC001003814364 666370127 /nfs/dbraw/zinc/37/01/27/666370127.db2.gz SQJVDGCQDRSLFO-SNVBAGLBSA-N 0 2 301.354 0.550 20 0 DCADLN CN(C(=O)c1cccc(F)c1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032885783 666370436 /nfs/dbraw/zinc/37/04/36/666370436.db2.gz UTMBQCKHXVYCOE-GFCCVEGCSA-N 0 2 319.340 0.996 20 0 DCADLN Cc1cccnc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032986703 666419753 /nfs/dbraw/zinc/41/97/53/666419753.db2.gz OIRAYMZDQPBQDK-NSHDSACASA-N 0 2 316.365 0.560 20 0 DCADLN O=C(CC1CCCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032258918 666611243 /nfs/dbraw/zinc/61/12/43/666611243.db2.gz FMOJUPIGECUOIG-RYUDHWBXSA-N 0 2 305.382 0.876 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001033198253 666615621 /nfs/dbraw/zinc/61/56/21/666615621.db2.gz PDDJNDXPKPNWCV-LLVKDONJSA-N 0 2 318.381 0.802 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001033198253 666615624 /nfs/dbraw/zinc/61/56/24/666615624.db2.gz PDDJNDXPKPNWCV-LLVKDONJSA-N 0 2 318.381 0.802 20 0 DCADLN CN(C(=O)[C@H]1CCC1(C)C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033348194 666686212 /nfs/dbraw/zinc/68/62/12/666686212.db2.gz DLRGCKIZJDJHQH-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)[C@H]1CCC1(C)C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033348194 666686214 /nfs/dbraw/zinc/68/62/14/666686214.db2.gz DLRGCKIZJDJHQH-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c(C)[nH]1 ZINC001033460060 666719209 /nfs/dbraw/zinc/71/92/09/666719209.db2.gz RUVGIMVXNUOMNV-LLVKDONJSA-N 0 2 318.381 0.802 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c(C)[nH]1 ZINC001033460060 666719211 /nfs/dbraw/zinc/71/92/11/666719211.db2.gz RUVGIMVXNUOMNV-LLVKDONJSA-N 0 2 318.381 0.802 20 0 DCADLN CN(C(=O)C1=COCCC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005167778 666736993 /nfs/dbraw/zinc/73/69/93/666736993.db2.gz SCFNFNFTACTLPQ-UHFFFAOYSA-N 0 2 321.381 0.627 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1(F)CCCCC1 ZINC001015944373 666748631 /nfs/dbraw/zinc/74/86/31/666748631.db2.gz JNDIBPDESKGNNX-SNVBAGLBSA-N 0 2 311.361 0.873 20 0 DCADLN CC[C@H](C(N)=O)[NH+]1CCC(N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001005263810 666789224 /nfs/dbraw/zinc/78/92/24/666789224.db2.gz WZUISYKZKBNGNF-LLVKDONJSA-N 0 2 323.397 0.224 20 0 DCADLN CCN(C(=O)c1cnccn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033738813 666810954 /nfs/dbraw/zinc/81/09/54/666810954.db2.gz YJYMKNNRWKAHKO-SNVBAGLBSA-N 0 2 317.353 0.037 20 0 DCADLN CC1(C)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C(C)(C)CO1 ZINC000734341615 666868593 /nfs/dbraw/zinc/86/85/93/666868593.db2.gz CFRVIFMHIJCFRD-UHFFFAOYSA-N 0 2 319.365 0.487 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034127002 666931598 /nfs/dbraw/zinc/93/15/98/666931598.db2.gz HNFCSHFKILOOSK-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN CCn1nc(C)cc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000863379119 667137972 /nfs/dbraw/zinc/13/79/72/667137972.db2.gz OGIFLAVCBUROBP-UHFFFAOYSA-N 0 2 301.310 0.235 20 0 DCADLN Cc1nocc1C[NH2+]C[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001035141765 667254324 /nfs/dbraw/zinc/25/43/24/667254324.db2.gz HPRQBPORUXRHNW-CQSZACIVSA-N 0 2 303.366 0.960 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)[C@H]1CC=CCC1 ZINC001035294424 667284132 /nfs/dbraw/zinc/28/41/32/667284132.db2.gz SFWYMWALKIJHDR-RYUDHWBXSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(CC1CC1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035634825 667390319 /nfs/dbraw/zinc/39/03/19/667390319.db2.gz ADEHMSWPRBTZHH-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN COc1cccc([C@@H]2CN(C(=O)CCc3nn[nH]n3)CCN2)c1 ZINC000870154221 667715472 /nfs/dbraw/zinc/71/54/72/667715472.db2.gz HGUMNIMETMIYNG-ZDUSSCGKSA-N 0 2 316.365 0.314 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NC[C@]1(O)CCc2ccccc21 ZINC000867004326 667732356 /nfs/dbraw/zinc/73/23/56/667732356.db2.gz OPAHUVQHBCTYLB-GFCCVEGCSA-N 0 2 318.420 0.382 20 0 DCADLN COC(=O)N(C)CC(=O)Nc1cc(N2CC[NH+](C)CC2)cc[nH+]1 ZINC000870667625 667750998 /nfs/dbraw/zinc/75/09/98/667750998.db2.gz NIZRWJCFROWYJP-UHFFFAOYSA-N 0 2 321.381 0.470 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3nccn3)cc2)S1 ZINC000742541818 667793583 /nfs/dbraw/zinc/79/35/83/667793583.db2.gz UKQWGRSJBQWJEL-JTQLQIEISA-N 0 2 316.346 0.762 20 0 DCADLN Cc1nc(C2(NC(=O)C[C@@H]3SC(=N)NC3=O)CCCC2)no1 ZINC000742556078 667793791 /nfs/dbraw/zinc/79/37/91/667793791.db2.gz QTURUNBSBKHTMK-QMMMGPOBSA-N 0 2 323.378 0.820 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccnc2-n2cncn2)S1 ZINC000742589624 667794188 /nfs/dbraw/zinc/79/41/88/667794188.db2.gz RKHUJVDONNDKKS-QMMMGPOBSA-N 0 2 317.334 0.157 20 0 DCADLN Cc1ccc(C(N)=O)cc1NC(=O)CC1SC(=N)NC1=O ZINC000742594771 667794509 /nfs/dbraw/zinc/79/45/09/667794509.db2.gz DGEJWAYIKUBWDX-SECBINFHSA-N 0 2 306.347 0.589 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cccc3c2OCCO3)S1 ZINC000742621049 667795027 /nfs/dbraw/zinc/79/50/27/667795027.db2.gz IDUJNEGYNDIOOA-JTQLQIEISA-N 0 2 321.358 0.630 20 0 DCADLN Cn1c(Cl)cnc1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742617362 667795269 /nfs/dbraw/zinc/79/52/69/667795269.db2.gz QFCQISXZAFAQSL-YFKPBYRVSA-N 0 2 301.759 0.246 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2cccc(C(N)=O)c2)S1 ZINC000742619233 667795371 /nfs/dbraw/zinc/79/53/71/667795371.db2.gz QGZQYHKLCCXFPM-JTQLQIEISA-N 0 2 320.374 0.001 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2nc3c(s2)COCC3)S1 ZINC000742627000 667795816 /nfs/dbraw/zinc/79/58/16/667795816.db2.gz BMFMMVRAWNWDSL-LURJTMIESA-N 0 2 312.376 0.711 20 0 DCADLN CC(C)(C)[S@](=O)CCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742667097 667796427 /nfs/dbraw/zinc/79/64/27/667796427.db2.gz PWMQWGCESFRLDQ-QOLSBQFWSA-N 0 2 305.425 0.206 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2OCCc3ccccc32)S1 ZINC000742673291 667796837 /nfs/dbraw/zinc/79/68/37/667796837.db2.gz XJAMUMLBGVZNPZ-VXGBXAGGSA-N 0 2 319.386 0.973 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCO[C@@H](C(F)(F)F)C2)S1 ZINC000742693455 667797483 /nfs/dbraw/zinc/79/74/83/667797483.db2.gz BBUVDBYRCIZMKL-PHDIDXHHSA-N 0 2 311.285 0.333 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](C2CCC2)[C@H]2CCOC2)S1 ZINC000871295022 667806681 /nfs/dbraw/zinc/80/66/81/667806681.db2.gz MOYGVFSPZGZTHF-NHCYSSNCSA-N 0 2 311.407 0.864 20 0 DCADLN CS(=O)(=O)CCOCCNC(=O)c1c(O)cc(F)cc1F ZINC000743634212 667812470 /nfs/dbraw/zinc/81/24/70/667812470.db2.gz UJJNJDLILKLKOU-UHFFFAOYSA-N 0 2 323.317 0.461 20 0 DCADLN COc1ccccc1CCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000745414080 667847051 /nfs/dbraw/zinc/84/70/51/667847051.db2.gz XSCUMVIPJQHSRQ-LLVKDONJSA-N 0 2 307.375 0.910 20 0 DCADLN C[C@](O)(CNC(=O)C[C@H]1SC(=N)NC1=O)c1ccccc1 ZINC000749996360 667968819 /nfs/dbraw/zinc/96/88/19/667968819.db2.gz LRSSCQNFYXLBSR-YGRLFVJLSA-N 0 2 307.375 0.567 20 0 DCADLN Cc1nc(C)c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000751300381 667999210 /nfs/dbraw/zinc/99/92/10/667999210.db2.gz WCINIVJEXOKPDU-UHFFFAOYSA-N 0 2 304.335 0.783 20 0 DCADLN CCOC(=O)[C@@H](CC1CC1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000752724352 668021233 /nfs/dbraw/zinc/02/12/33/668021233.db2.gz KMCKIYZSXGSARP-BDAKNGLRSA-N 0 2 313.379 0.391 20 0 DCADLN CCN(CC(=O)NC)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000752793861 668022312 /nfs/dbraw/zinc/02/23/12/668022312.db2.gz KLFMDBBNGLCYJX-UHFFFAOYSA-N 0 2 320.324 0.925 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2COc3ccc(F)cc3C2)S1 ZINC000752889557 668023048 /nfs/dbraw/zinc/02/30/48/668023048.db2.gz GASDXCFZGRTWTC-MWLCHTKSSA-N 0 2 323.349 0.802 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](O)CCc2ccccc2)S1 ZINC000753309545 668028491 /nfs/dbraw/zinc/02/84/91/668028491.db2.gz XNZLFRKAPMIFOH-NWDGAFQWSA-N 0 2 321.402 0.653 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCO[C@@H](c3ccco3)C2)S1 ZINC000753647145 668033551 /nfs/dbraw/zinc/03/35/51/668033551.db2.gz DRFHQVNBXNCGHH-ZJUUUORDSA-N 0 2 309.347 0.736 20 0 DCADLN COc1ccc(C=O)c(OCC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000754353335 668044763 /nfs/dbraw/zinc/04/47/63/668044763.db2.gz BSLQQFOPRMJFAP-UHFFFAOYSA-N 0 2 306.278 0.027 20 0 DCADLN COCCOCCOC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000759122570 668207861 /nfs/dbraw/zinc/20/78/61/668207861.db2.gz URCJLMYHUBNAPO-UHFFFAOYSA-N 0 2 301.261 0.650 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c1ncn(C)n1 ZINC000759318079 668215615 /nfs/dbraw/zinc/21/56/15/668215615.db2.gz RJKRVGIQCSBGNU-ZCFIWIBFSA-N 0 2 307.276 0.449 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2ccc(Cl)nc2)S1 ZINC000760805454 668288323 /nfs/dbraw/zinc/28/83/23/668288323.db2.gz XCNZHFLSYBSDRZ-MRVPVSSYSA-N 0 2 312.782 0.950 20 0 DCADLN Cn1ncc(CNC(=O)C2=NN(c3ccc(F)cc3)CC2=O)n1 ZINC000805214124 668294774 /nfs/dbraw/zinc/29/47/74/668294774.db2.gz PHEJACHXGNYOAC-UHFFFAOYSA-N 0 2 316.296 0.776 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2CNC3=O)S1 ZINC000805239016 668349679 /nfs/dbraw/zinc/34/96/79/668349679.db2.gz OSLZSHIVZZFRFK-SECBINFHSA-N 0 2 304.331 0.425 20 0 DCADLN CCCC[C@@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(=O)OC ZINC000762820162 668380288 /nfs/dbraw/zinc/38/02/88/668380288.db2.gz OWYSNTXFXKPVGH-SNVBAGLBSA-N 0 2 322.321 0.346 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc(-n2cnnn2)cc1 ZINC000762997763 668389933 /nfs/dbraw/zinc/38/99/33/668389933.db2.gz RRMXLINWTUURKK-UHFFFAOYSA-N 0 2 317.334 0.307 20 0 DCADLN C[C@@]1(c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)CCCO1 ZINC000763320012 668405330 /nfs/dbraw/zinc/40/53/30/668405330.db2.gz HUAWEGCUZJXTGU-ZDUSSCGKSA-N 0 2 302.294 0.528 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2CCCCC23OCCO3)S1 ZINC000765192299 668480333 /nfs/dbraw/zinc/48/03/33/668480333.db2.gz AEJZKHFCGKAOOU-RKDXNWHRSA-N 0 2 313.379 0.345 20 0 DCADLN C[C@@H](Cc1cccc(O)c1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000769430717 668647772 /nfs/dbraw/zinc/64/77/72/668647772.db2.gz LYKSKXOBBHWLMZ-KWQFWETISA-N 0 2 307.375 0.996 20 0 DCADLN C[C@@H](Cc1ccc(O)cc1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000769441820 668648721 /nfs/dbraw/zinc/64/87/21/668648721.db2.gz QPBLYRNNOUFZGY-GZMMTYOYSA-N 0 2 307.375 0.996 20 0 DCADLN Cc1ncc(C(=O)NN2C(=O)NC3(CCCCC3)C2=O)c(N)n1 ZINC000769463665 668649525 /nfs/dbraw/zinc/64/95/25/668649525.db2.gz ASTQVMDSNRIENM-UHFFFAOYSA-N 0 2 318.337 0.267 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2ccc(C(N)=O)s2)C1=O ZINC000769851058 668666315 /nfs/dbraw/zinc/66/63/15/668666315.db2.gz ACJAAZNQKBMOFF-LBPRGKRZSA-N 0 2 310.335 0.212 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cc(C(N)=O)cs2)C1=O ZINC000769856237 668667075 /nfs/dbraw/zinc/66/70/75/668667075.db2.gz UPDVTYDIGYSLBT-GFCCVEGCSA-N 0 2 310.335 0.212 20 0 DCADLN CC(C)[C@@H](C(=O)NCCc1n[nH]c(=S)o1)N1CCCC1=O ZINC000773088871 668792778 /nfs/dbraw/zinc/79/27/78/668792778.db2.gz UUUVZGDGAXMVRI-NSHDSACASA-N 0 2 312.395 0.664 20 0 DCADLN Cc1ccn2ncc(C(=O)NCCc3n[nH]c(=S)o3)c2n1 ZINC000773102490 668792905 /nfs/dbraw/zinc/79/29/05/668792905.db2.gz XDQIQHYNHBKJLL-UHFFFAOYSA-N 0 2 304.335 0.682 20 0 DCADLN Cc1ccc2c(c1)CCN2C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000773758984 668812574 /nfs/dbraw/zinc/81/25/74/668812574.db2.gz XKZZLSOQHSRBPQ-UHFFFAOYSA-N 0 2 309.329 0.969 20 0 DCADLN CN1C(=O)c2ccc(C(=O)OCc3n[nH]c(=O)[nH]3)cc2C1=O ZINC000774902733 668850222 /nfs/dbraw/zinc/85/02/22/668850222.db2.gz RVMOQZSQOVGLQO-UHFFFAOYSA-N 0 2 302.246 0.093 20 0 DCADLN CN(C)C(=O)COc1ccccc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774909662 668850920 /nfs/dbraw/zinc/85/09/20/668850920.db2.gz WCUFZIWSQWJCSP-UHFFFAOYSA-N 0 2 320.305 0.334 20 0 DCADLN CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000774940473 668851878 /nfs/dbraw/zinc/85/18/78/668851878.db2.gz BTCYBCCTBINPIX-VHSXEESVSA-N 0 2 310.354 0.838 20 0 DCADLN O=C(CN1CC(=O)Nc2ccccc21)OCc1n[nH]c(=O)[nH]1 ZINC000774947629 668852259 /nfs/dbraw/zinc/85/22/59/668852259.db2.gz DWKYOLQBJIRIJT-UHFFFAOYSA-N 0 2 303.278 0.012 20 0 DCADLN O=C(NCCCc1ccccc1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774945349 668852484 /nfs/dbraw/zinc/85/24/84/668852484.db2.gz VDNDUOQTVNFJFU-UHFFFAOYSA-N 0 2 304.306 0.303 20 0 DCADLN CC(C)(C)[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543765 668992968 /nfs/dbraw/zinc/99/29/68/668992968.db2.gz UQBHDQHXJIHAKX-LLVKDONJSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)(C)[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543765 668992972 /nfs/dbraw/zinc/99/29/72/668992972.db2.gz UQBHDQHXJIHAKX-LLVKDONJSA-N 0 2 315.414 0.896 20 0 DCADLN CCOC(=O)[C@H](CC1CC1)NCc1cc(=O)n2[nH]ccc2n1 ZINC000879982656 669139566 /nfs/dbraw/zinc/13/95/66/669139566.db2.gz JUPQCYCPEMMAFG-LBPRGKRZSA-N 0 2 304.350 0.844 20 0 DCADLN CC1(C)CCC[C@@](O)(CNC(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000783769611 669172556 /nfs/dbraw/zinc/17/25/56/669172556.db2.gz NYKWSQWZEXFXGW-XPTSAGLGSA-N 0 2 313.423 0.990 20 0 DCADLN Cc1ncc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(C)n1 ZINC000784292095 669198286 /nfs/dbraw/zinc/19/82/86/669198286.db2.gz SGEVBJSCXCNNMN-UHFFFAOYSA-N 0 2 314.305 0.221 20 0 DCADLN O=C(NCc1cn(Cc2cc3n(n2)CCC3)nn1)C(F)(F)F ZINC000881381279 669332408 /nfs/dbraw/zinc/33/24/08/669332408.db2.gz VJPLGMAYYNAASZ-UHFFFAOYSA-N 0 2 314.271 0.648 20 0 DCADLN Cn1ncnc1C1(O)CN(C(=O)c2cccc(Cl)c2O)C1 ZINC000881953942 669427827 /nfs/dbraw/zinc/42/78/27/669427827.db2.gz LRIANKJUTODIKP-UHFFFAOYSA-N 0 2 308.725 0.518 20 0 DCADLN O=c1ncnc2n(Cc3nc(-c4cscn4)no3)[nH]cc1-2 ZINC000788475760 669442008 /nfs/dbraw/zinc/44/20/08/669442008.db2.gz JINGQWDKHFLOGY-UHFFFAOYSA-N 0 2 301.291 0.626 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)O[C@H]1CCc2cccnc21 ZINC000789674677 669504419 /nfs/dbraw/zinc/50/44/19/669504419.db2.gz PIJNCJYHJMTRBH-NSHDSACASA-N 0 2 311.301 0.697 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCn2ncnc2C1 ZINC000790024266 669530469 /nfs/dbraw/zinc/53/04/69/669530469.db2.gz LNCDPVPLFOAWNT-VIFPVBQESA-N 0 2 319.287 0.323 20 0 DCADLN CCc1nn(C)cc1S(=O)(=O)Nc1cnn(CCOC)c1 ZINC000882679547 669568464 /nfs/dbraw/zinc/56/84/64/669568464.db2.gz OPLJLHMJGNSAPE-UHFFFAOYSA-N 0 2 313.383 0.626 20 0 DCADLN Cc1[nH]nc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1Cl ZINC000790984003 669585386 /nfs/dbraw/zinc/58/53/86/669585386.db2.gz SJQYPZDCBUGHAF-UHFFFAOYSA-N 0 2 307.701 0.395 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCc2ncncc2C1 ZINC000883039846 669643886 /nfs/dbraw/zinc/64/38/86/669643886.db2.gz DHPCKJJAJPGCKD-UHFFFAOYSA-N 0 2 316.283 0.818 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H]1CCN(c2ccccc2)C1=O ZINC000883414304 669681328 /nfs/dbraw/zinc/68/13/28/669681328.db2.gz SHPGQRNDRUQDEQ-ZDUSSCGKSA-N 0 2 320.345 0.742 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H]1CCN(c2ccccc2)C1=O ZINC000883414304 669681331 /nfs/dbraw/zinc/68/13/31/669681331.db2.gz SHPGQRNDRUQDEQ-ZDUSSCGKSA-N 0 2 320.345 0.742 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H]1CCCN(C(C)C)C1=O ZINC000883413738 669681537 /nfs/dbraw/zinc/68/15/37/669681537.db2.gz ZPXZFSBCHYDCLB-LLVKDONJSA-N 0 2 300.355 0.336 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H]1CCCN(C(C)C)C1=O ZINC000883413738 669681538 /nfs/dbraw/zinc/68/15/38/669681538.db2.gz ZPXZFSBCHYDCLB-LLVKDONJSA-N 0 2 300.355 0.336 20 0 DCADLN CN(C)c1cccnc1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000793146012 669703656 /nfs/dbraw/zinc/70/36/56/669703656.db2.gz NWQYYRBEZDZUQP-SNVBAGLBSA-N 0 2 307.379 0.320 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@@H]1CCO[C@H]1C ZINC000793432983 669717743 /nfs/dbraw/zinc/71/77/43/669717743.db2.gz XLFGPRTXWCFTDW-QWRGUYRKSA-N 0 2 305.334 0.842 20 0 DCADLN O=C(CC1OCCO1)NCc1nnc(COc2ccccc2)[nH]1 ZINC000793832759 669745133 /nfs/dbraw/zinc/74/51/33/669745133.db2.gz AXDRJXNIIHSVRZ-UHFFFAOYSA-N 0 2 318.333 0.763 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cnnn2C)cnc1C ZINC000794042365 669770231 /nfs/dbraw/zinc/77/02/31/669770231.db2.gz LXNDBVWHVHWSAM-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN Cn1cnc(C=CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC000935844218 669783292 /nfs/dbraw/zinc/78/32/92/669783292.db2.gz GVJSFJJFXJRHBJ-YEZKRMTDSA-N 0 2 302.338 0.663 20 0 DCADLN O=C(c1ccc(O)cc1F)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000798271264 669996016 /nfs/dbraw/zinc/99/60/16/669996016.db2.gz ZOVMNWVVGMRODK-UHFFFAOYSA-N 0 2 307.285 0.168 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H](c2ccccn2)C1 ZINC000798709928 670019676 /nfs/dbraw/zinc/01/96/76/670019676.db2.gz DTQHORGNOHUGOE-NSHDSACASA-N 0 2 324.344 0.482 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnccn1 ZINC000948786653 670181175 /nfs/dbraw/zinc/18/11/75/670181175.db2.gz HBHOEUJGSVZBAZ-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cnccn1 ZINC000948786653 670181176 /nfs/dbraw/zinc/18/11/76/670181176.db2.gz HBHOEUJGSVZBAZ-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN CCc1ccc([C@H](O)CNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000804156897 670286519 /nfs/dbraw/zinc/28/65/19/670286519.db2.gz WCEVPLQKGRVYIJ-VXGBXAGGSA-N 0 2 321.402 0.955 20 0 DCADLN COCc1cnc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000804304909 670289915 /nfs/dbraw/zinc/28/99/15/670289915.db2.gz WZSLTPXWDGPURE-UHFFFAOYSA-N 0 2 320.334 0.313 20 0 DCADLN CCOCCO[N-]C(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000806985231 670339955 /nfs/dbraw/zinc/33/99/55/670339955.db2.gz ITCIWSKVWMFVKU-UHFFFAOYSA-N 0 2 306.322 0.035 20 0 DCADLN Cn1nncc1NS(=O)(=O)c1ccc(S(C)(=O)=O)cc1 ZINC000808564637 670415689 /nfs/dbraw/zinc/41/56/89/670415689.db2.gz ZYXBETWOKKAIRH-UHFFFAOYSA-N 0 2 316.364 0.019 20 0 DCADLN Cn1cnc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000949764226 670425810 /nfs/dbraw/zinc/42/58/10/670425810.db2.gz QJSWIIRQTYUEKW-RNFRBKRXSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)NC[C@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)n1 ZINC000949764226 670425811 /nfs/dbraw/zinc/42/58/11/670425811.db2.gz QJSWIIRQTYUEKW-RNFRBKRXSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949860063 670449681 /nfs/dbraw/zinc/44/96/81/670449681.db2.gz SCHKXJHGNDLZAN-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000949860063 670449682 /nfs/dbraw/zinc/44/96/82/670449682.db2.gz SCHKXJHGNDLZAN-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN CCN(C(=O)c1ccn(C)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949894073 670457593 /nfs/dbraw/zinc/45/75/93/670457593.db2.gz JUXNNFQRYBLGFJ-UHFFFAOYSA-N 0 2 304.354 0.195 20 0 DCADLN CCS(=O)(=O)N1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC000810036115 670472549 /nfs/dbraw/zinc/47/25/49/670472549.db2.gz QYCNKOFXBPXRIH-MRVPVSSYSA-N 0 2 320.308 0.817 20 0 DCADLN CCS(=O)(=O)N1CCC(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000810036115 670472554 /nfs/dbraw/zinc/47/25/54/670472554.db2.gz QYCNKOFXBPXRIH-MRVPVSSYSA-N 0 2 320.308 0.817 20 0 DCADLN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)C(F)C(F)(F)F ZINC000810467865 670486301 /nfs/dbraw/zinc/48/63/01/670486301.db2.gz FYNCNLCTKDPLDQ-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)[C@H](F)C(F)(F)F ZINC000810467865 670486305 /nfs/dbraw/zinc/48/63/05/670486305.db2.gz FYNCNLCTKDPLDQ-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1cc(C(F)(F)F)nn1CC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000811242552 670519235 /nfs/dbraw/zinc/51/92/35/670519235.db2.gz HXJBFRWCPGRGQA-UHFFFAOYSA-N 0 2 305.216 0.777 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)SCC(=O)N2 ZINC000811295979 670520937 /nfs/dbraw/zinc/52/09/37/670520937.db2.gz KEONUIJYLWVUAP-UHFFFAOYSA-N 0 2 306.303 0.912 20 0 DCADLN COC(=O)CCCO[N-]C(=O)C1([NH+]2CCOCC2)CCC1 ZINC000811558617 670535391 /nfs/dbraw/zinc/53/53/91/670535391.db2.gz PIELMBUFQGJKCF-UHFFFAOYSA-N 0 2 300.355 0.242 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)CCNC3=O)S1 ZINC000855248386 670691140 /nfs/dbraw/zinc/69/11/40/670691140.db2.gz FQKKFYRWOQFJAM-SNVBAGLBSA-N 0 2 318.358 0.467 20 0 DCADLN C[C@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H]1CCO ZINC000855538982 670729476 /nfs/dbraw/zinc/72/94/76/670729476.db2.gz XBQCJNPWCAAADH-JQWIXIFHSA-N 0 2 319.365 0.081 20 0 DCADLN CC1(C)[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(F)F ZINC000856071365 670783447 /nfs/dbraw/zinc/78/34/47/670783447.db2.gz NCTTXRLYRQWIFO-MRVPVSSYSA-N 0 2 311.292 0.479 20 0 DCADLN CCc1nnc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)o1 ZINC000816295760 670802107 /nfs/dbraw/zinc/80/21/07/670802107.db2.gz ORPSIJKNEDZCEN-UHFFFAOYSA-N 0 2 308.260 0.705 20 0 DCADLN CC1(c2ccccc2)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000857355136 670913977 /nfs/dbraw/zinc/91/39/77/670913977.db2.gz RCAOSDADHHOBDQ-UHFFFAOYSA-N 0 2 323.356 0.871 20 0 DCADLN C=CC(=O)N(C)CC(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000858256909 670985397 /nfs/dbraw/zinc/98/53/97/670985397.db2.gz DGWFJCPANWLLBL-UHFFFAOYSA-N 0 2 320.374 1.000 20 0 DCADLN CC(C)[C@]1(CO)CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000819044547 671088733 /nfs/dbraw/zinc/08/87/33/671088733.db2.gz LEBFTMZZMYDRIW-IINYFYTJSA-N 0 2 313.423 0.800 20 0 DCADLN Cc1[nH+]ccn1CCOC(=O)c1ccc([N-]S(C)(=O)=O)nc1 ZINC000859923955 671159737 /nfs/dbraw/zinc/15/97/37/671159737.db2.gz ISPNPKNWORNRNT-UHFFFAOYSA-N 0 2 324.362 0.815 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1CCO[C@@H](Cn2ccnn2)C1 ZINC000824667140 671436240 /nfs/dbraw/zinc/43/62/40/671436240.db2.gz PVBKMLRUGATRNF-SNVBAGLBSA-N 0 2 324.287 0.803 20 0 DCADLN Cc1nnnn1CC(=O)C1(NC(=O)C(F)(F)F)CCCC1 ZINC000829297828 671572884 /nfs/dbraw/zinc/57/28/84/671572884.db2.gz JJBYEGBYGDUDKI-UHFFFAOYSA-N 0 2 305.260 0.542 20 0 DCADLN CC(C)(C)OC(=O)N[C@H]1C[C@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000829546230 671579205 /nfs/dbraw/zinc/57/92/05/671579205.db2.gz HXWXWEYRTIFUBE-ZKCHVHJHSA-N 0 2 311.342 0.430 20 0 DCADLN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2csnn2)C1 ZINC000830833714 671642902 /nfs/dbraw/zinc/64/29/02/671642902.db2.gz SQNIZYSYRPGKAV-SECBINFHSA-N 0 2 308.285 0.821 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2noc(C(F)(F)F)n2)S1 ZINC000834687401 671776357 /nfs/dbraw/zinc/77/63/57/671776357.db2.gz RASPGBWAMVTMQO-VKHMYHEASA-N 0 2 323.256 0.261 20 0 DCADLN CCN(C)S(=O)(=O)[N-]C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835185307 671790310 /nfs/dbraw/zinc/79/03/10/671790310.db2.gz RHIMWJUURZKTBG-UHFFFAOYSA-N 0 2 322.390 0.858 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@H](CO)c1ccsc1 ZINC000867119655 671793705 /nfs/dbraw/zinc/79/37/05/671793705.db2.gz POYAIHXGJRZUDK-XLFHBGCDSA-N 0 2 312.438 0.734 20 0 DCADLN CC[C@@H](NS(=O)(=O)N=S1(=O)CCCC1)[C@H](O)C(F)F ZINC000867331480 672116821 /nfs/dbraw/zinc/11/68/21/672116821.db2.gz TWEZSPOUUBTYRB-SFYZADRCSA-N 0 2 320.383 0.487 20 0 DCADLN O=C(Cc1ccccc1CO)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843877856 672126705 /nfs/dbraw/zinc/12/67/05/672126705.db2.gz JCYIRRFKSMAFLD-LBPRGKRZSA-N 0 2 316.361 0.951 20 0 DCADLN Cn1nc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1Cl ZINC000843878620 672127492 /nfs/dbraw/zinc/12/74/92/672127492.db2.gz OPAGDGRWPWBHDO-SSDOTTSWSA-N 0 2 310.745 0.917 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](c3cccnc3)C2)S1 ZINC000843968430 672131860 /nfs/dbraw/zinc/13/18/60/672131860.db2.gz SVJMCOZYNZPFLF-QWRGUYRKSA-N 0 2 304.375 0.954 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)CSc2n[nH]c(C)n2)n1 ZINC000846651311 672332971 /nfs/dbraw/zinc/33/29/71/672332971.db2.gz QXUXBADQHVEFKK-UHFFFAOYSA-N 0 2 310.339 0.744 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)CSc2nnc(C)[nH]2)n1 ZINC000846651311 672332974 /nfs/dbraw/zinc/33/29/74/672332974.db2.gz QXUXBADQHVEFKK-UHFFFAOYSA-N 0 2 310.339 0.744 20 0 DCADLN CN(C(=O)[C@@H]1CCCO1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953673512 685777857 /nfs/dbraw/zinc/77/78/57/685777857.db2.gz NWZWGNWXTFXRMP-DTWKUNHWSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)[C@@H]1CCCO1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953673512 685777861 /nfs/dbraw/zinc/77/78/61/685777861.db2.gz NWZWGNWXTFXRMP-DTWKUNHWSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)c1cocn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953886490 685886274 /nfs/dbraw/zinc/88/62/74/685886274.db2.gz JXUPDYYJGVQHFH-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)c1cocn1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953886490 685886276 /nfs/dbraw/zinc/88/62/76/685886276.db2.gz JXUPDYYJGVQHFH-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(Cl)c[nH]1 ZINC001038046302 693996618 /nfs/dbraw/zinc/99/66/18/693996618.db2.gz WAYMOVZIULVESW-QMMMGPOBSA-N 0 2 310.745 0.496 20 0 DCADLN CC(C)n1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000957301324 687021464 /nfs/dbraw/zinc/02/14/64/687021464.db2.gz CUMAVXGCDHAQOY-UHFFFAOYSA-N 0 2 319.369 0.246 20 0 DCADLN O=C(Cc1cccc(F)c1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957558148 687079332 /nfs/dbraw/zinc/07/93/32/687079332.db2.gz MBVVJUVEODNJCL-UHFFFAOYSA-N 0 2 319.340 0.536 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000957730343 687172870 /nfs/dbraw/zinc/17/28/70/687172870.db2.gz DUJQQBYNHDSGIO-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)c1ccc[nH]1 ZINC000961520864 688640438 /nfs/dbraw/zinc/64/04/38/688640438.db2.gz PCFAGYWESMGNNF-HRDYMLBCSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O)c1ccc[nH]1 ZINC000961520864 688640441 /nfs/dbraw/zinc/64/04/41/688640441.db2.gz PCFAGYWESMGNNF-HRDYMLBCSA-N 0 2 323.246 0.217 20 0 DCADLN Cc1coc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1 ZINC000961538280 688645180 /nfs/dbraw/zinc/64/51/80/688645180.db2.gz WELVZUVOSDZNIX-GDGBQDQQSA-N 0 2 303.322 0.272 20 0 DCADLN Cc1coc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1 ZINC000961538280 688645182 /nfs/dbraw/zinc/64/51/82/688645182.db2.gz WELVZUVOSDZNIX-GDGBQDQQSA-N 0 2 303.322 0.272 20 0 DCADLN CCn1cccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039019022 694274221 /nfs/dbraw/zinc/27/42/21/694274221.db2.gz NZCSQNWUVNVLCV-SNVBAGLBSA-N 0 2 304.354 0.336 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cccn1C ZINC000965219108 689376635 /nfs/dbraw/zinc/37/66/35/689376635.db2.gz NCUGVQSZDGXXEY-WDEREUQCSA-N 0 2 318.381 0.489 20 0 DCADLN Cc1cnc(C[N@H+]2CC[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC000967152756 689938762 /nfs/dbraw/zinc/93/87/62/689938762.db2.gz DTZCRIXLPIJVEY-BXKDBHETSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1cnc(C[N@@H+]2CC[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC000967152756 689938768 /nfs/dbraw/zinc/93/87/68/689938768.db2.gz DTZCRIXLPIJVEY-BXKDBHETSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000968513675 690298116 /nfs/dbraw/zinc/29/81/16/690298116.db2.gz OJHDHGRSQLQQDO-WCBMZHEXSA-N 0 2 320.353 0.447 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccon1 ZINC000968764101 690386833 /nfs/dbraw/zinc/38/68/33/690386833.db2.gz ISRYCHOFMPHXDF-JTQLQIEISA-N 0 2 320.353 0.673 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccon1 ZINC000968764101 690386835 /nfs/dbraw/zinc/38/68/35/690386835.db2.gz ISRYCHOFMPHXDF-JTQLQIEISA-N 0 2 320.353 0.673 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cn[nH]c1 ZINC000968766704 690387582 /nfs/dbraw/zinc/38/75/82/690387582.db2.gz AVZUQIMJLCAQDB-LLVKDONJSA-N 0 2 319.369 0.408 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cn[nH]c1 ZINC000968766704 690387586 /nfs/dbraw/zinc/38/75/86/690387586.db2.gz AVZUQIMJLCAQDB-LLVKDONJSA-N 0 2 319.369 0.408 20 0 DCADLN CC(C)[C@@H](C(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1)[NH+](C)C ZINC000968989976 690445588 /nfs/dbraw/zinc/44/55/88/690445588.db2.gz OTAPJECBUJPRNK-YPMHNXCESA-N 0 2 311.455 0.815 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039504707 694374716 /nfs/dbraw/zinc/37/47/16/694374716.db2.gz JBADHUVHEPFCEY-WISYIIOYSA-N 0 2 305.382 0.732 20 0 DCADLN O=C([C@@H]1CCCO1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039508014 694375137 /nfs/dbraw/zinc/37/51/37/694375137.db2.gz IRSPXIBVCRLRNY-TUAOUCFPSA-N 0 2 321.381 0.255 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C)C1CC1 ZINC000973004977 690506290 /nfs/dbraw/zinc/50/62/90/690506290.db2.gz YJOYDZBLPRSABE-MWLCHTKSSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C)C1CC1 ZINC000973004977 690506292 /nfs/dbraw/zinc/50/62/92/690506292.db2.gz YJOYDZBLPRSABE-MWLCHTKSSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(N[C@@]12CCC[C@@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1ccon1 ZINC000989979367 690531270 /nfs/dbraw/zinc/53/12/70/690531270.db2.gz HGFNFJURTDTQND-IINYFYTJSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@@]12CCC[C@@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1ccon1 ZINC000989979367 690531273 /nfs/dbraw/zinc/53/12/73/690531273.db2.gz HGFNFJURTDTQND-IINYFYTJSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(Cc1cccnc1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007115925 690629842 /nfs/dbraw/zinc/62/98/42/690629842.db2.gz GWPPRMKYMBSJGE-GFCCVEGCSA-N 0 2 316.365 0.229 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC1(F)F ZINC001007283188 690657639 /nfs/dbraw/zinc/65/76/39/690657639.db2.gz DAQLHGGJTUJFQT-YUMQZZPRSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(Cn1c[nH+]c2c1CCCC2)N[C@H]1CCC[N@H+](CCO)C1 ZINC001007949441 690775529 /nfs/dbraw/zinc/77/55/29/690775529.db2.gz DFVYHNXGHYABBW-ZDUSSCGKSA-N 0 2 306.410 0.335 20 0 DCADLN O=C(Cc1ccc[nH]1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009570946 691061505 /nfs/dbraw/zinc/06/15/05/691061505.db2.gz HZLSLAIEBFFORO-LLVKDONJSA-N 0 2 304.354 0.162 20 0 DCADLN Cc1ccsc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009941899 691135047 /nfs/dbraw/zinc/13/50/47/691135047.db2.gz KDKVILSIQRJURK-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN CCCn1nccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014579361 692047911 /nfs/dbraw/zinc/04/79/11/692047911.db2.gz SAMDIPYRNPNKSK-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN CC1(C)CO[C@H](CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001015240960 692236947 /nfs/dbraw/zinc/23/69/47/692236947.db2.gz IYWRBWMBOMEWNP-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1ncccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015630793 692372217 /nfs/dbraw/zinc/37/22/17/692372217.db2.gz FKIIAGHDZZOEMK-JTQLQIEISA-N 0 2 302.338 0.218 20 0 DCADLN CCn1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1 ZINC001015773128 692436451 /nfs/dbraw/zinc/43/64/51/692436451.db2.gz TUKMRWGNGZSKLL-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C1CC1)C1CC1 ZINC001015967029 692525879 /nfs/dbraw/zinc/52/58/79/692525879.db2.gz SRQWBKBSNNKHQX-NSHDSACASA-N 0 2 305.382 0.637 20 0 DCADLN CCCc1nc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001015989169 692536885 /nfs/dbraw/zinc/53/68/85/692536885.db2.gz NJLKTXGOVODMJN-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN COc1ccccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016071874 692560832 /nfs/dbraw/zinc/56/08/32/692560832.db2.gz MIGBVPZIDQOVPX-SNVBAGLBSA-N 0 2 317.349 0.523 20 0 DCADLN O=C([C@@H]1CC12CC2)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076285357 695011393 /nfs/dbraw/zinc/01/13/93/695011393.db2.gz KSCXYHSUROVMRC-GARJFASQSA-N 0 2 303.366 0.343 20 0 DCADLN O=C([C@@H]1CC12CC2)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076285357 695011394 /nfs/dbraw/zinc/01/13/94/695011394.db2.gz KSCXYHSUROVMRC-GARJFASQSA-N 0 2 303.366 0.343 20 0 DCADLN CC(C)[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076316047 695013441 /nfs/dbraw/zinc/01/34/41/695013441.db2.gz BORHSGXNQLSFCP-GRYCIOLGSA-N 0 2 307.398 0.835 20 0 DCADLN CC(C)[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076316047 695013442 /nfs/dbraw/zinc/01/34/42/695013442.db2.gz BORHSGXNQLSFCP-GRYCIOLGSA-N 0 2 307.398 0.835 20 0 DCADLN O=C(c1nccs1)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001076390576 695019092 /nfs/dbraw/zinc/01/90/92/695019092.db2.gz DZLWYDGDBUGKOO-DTWKUNHWSA-N 0 2 320.378 0.313 20 0 DCADLN CNC(=O)NCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC001570585876 1162550627 /nfs/dbraw/zinc/55/06/27/1162550627.db2.gz YWNXJTNMANNDFJ-UHFFFAOYSA-N 0 2 303.322 0.237 20 0 DCADLN Cc1onc(CO)c1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001771765831 1158758111 /nfs/dbraw/zinc/75/81/11/1158758111.db2.gz BGOHGHBWEGSXQH-MRVPVSSYSA-N 0 2 307.310 0.319 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1n[nH]c2c1CCC2 ZINC001349162295 1159174088 /nfs/dbraw/zinc/17/40/88/1159174088.db2.gz BJXCEFWNWCLLCR-SECBINFHSA-N 0 2 322.262 0.645 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1n[nH]c2c1CCC2 ZINC001349162295 1159174094 /nfs/dbraw/zinc/17/40/94/1159174094.db2.gz BJXCEFWNWCLLCR-SECBINFHSA-N 0 2 322.262 0.645 20 0 DCADLN CCCOCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001567667924 1160451481 /nfs/dbraw/zinc/45/14/81/1160451481.db2.gz ILAIVNPAHIZURO-PHIMTYICSA-N 0 2 309.370 0.112 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N(C)CCC[NH2+]Cc1cc(C)ncn1 ZINC001569261465 1160996926 /nfs/dbraw/zinc/99/69/26/1160996926.db2.gz QEBYJIVABLUGJW-UHFFFAOYSA-N 0 2 316.409 0.997 20 0 DCADLN C[C@H](C(=O)N[C@H](C(=O)[O-])[C@H](O)c1cccnc1)n1cc[nH+]c1 ZINC001573400099 1163425772 /nfs/dbraw/zinc/42/57/72/1163425772.db2.gz SURMFTGTVYPHHG-ADEWGFFLSA-N 0 2 304.306 0.142 20 0 DCADLN CC(=O)N[C@@H](CC(C)C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001573436494 1163434328 /nfs/dbraw/zinc/43/43/28/1163434328.db2.gz RCRNCVJOZSCXSG-NWDGAFQWSA-N 0 2 310.354 0.072 20 0 DCADLN CC(=O)N[C@@H](CC(C)C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001573436494 1163434336 /nfs/dbraw/zinc/43/43/36/1163434336.db2.gz RCRNCVJOZSCXSG-NWDGAFQWSA-N 0 2 310.354 0.072 20 0 DCADLN CCC(CC)[C@@H](C(=O)N[C@H](CO)CC(=O)[O-])[NH+]1CCOCC1 ZINC001573700084 1163538404 /nfs/dbraw/zinc/53/84/04/1163538404.db2.gz RKDCLIUGUDYCIQ-JSGCOSHPSA-N 0 2 316.398 0.075 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)[C@H](O)c1ccncc1 ZINC001574352864 1163701927 /nfs/dbraw/zinc/70/19/27/1163701927.db2.gz KKGWQPOOLBUXQJ-VCDKRKBESA-N 0 2 316.317 0.211 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)[C@H](O)c1ccncc1 ZINC001574352864 1163701933 /nfs/dbraw/zinc/70/19/33/1163701933.db2.gz KKGWQPOOLBUXQJ-VCDKRKBESA-N 0 2 316.317 0.211 20 0 DCADLN O=C([O-])Cc1csc(CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC001574375657 1163709395 /nfs/dbraw/zinc/70/93/95/1163709395.db2.gz UPWRCSABRLAJMQ-RKDXNWHRSA-N 0 2 306.347 0.913 20 0 DCADLN O=C([O-])Cc1csc(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)n1 ZINC001574375657 1163709401 /nfs/dbraw/zinc/70/94/01/1163709401.db2.gz UPWRCSABRLAJMQ-RKDXNWHRSA-N 0 2 306.347 0.913 20 0 DCADLN Cc1nnsc1C[NH2+]C[C@@H](C)NC(=O)CCCc1cnn[nH]1 ZINC001577611364 1164736997 /nfs/dbraw/zinc/73/69/97/1164736997.db2.gz YTPDJPLIGLOPLO-SECBINFHSA-N 0 2 323.426 0.582 20 0 DCADLN O=C(Cc1ccccc1F)NC1CN(CCc2cn[nH]n2)C1 ZINC001577964234 1164866366 /nfs/dbraw/zinc/86/63/66/1164866366.db2.gz KTSTXNSDWBRQGT-UHFFFAOYSA-N 0 2 303.341 0.529 20 0 DCADLN O=C(Cc1ccccc1F)NC1CN(CCc2c[nH]nn2)C1 ZINC001577964234 1164866375 /nfs/dbraw/zinc/86/63/75/1164866375.db2.gz KTSTXNSDWBRQGT-UHFFFAOYSA-N 0 2 303.341 0.529 20 0 DCADLN CCn1ccc(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)n1 ZINC001578774804 1165104713 /nfs/dbraw/zinc/10/47/13/1165104713.db2.gz KIPOSMJTPBXUIR-UHFFFAOYSA-N 0 2 314.309 0.744 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(Cc2nocc2C)c1 ZINC001579477342 1165326986 /nfs/dbraw/zinc/32/69/86/1165326986.db2.gz MCZQXJDNJNWTTF-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN CO[C@H]1CC[C@H]1N(C)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001579614238 1165359686 /nfs/dbraw/zinc/35/96/86/1165359686.db2.gz DGQBCSNGWOXYGH-ZJUUUORDSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1c[nH]c(CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)n1 ZINC001580575873 1165643718 /nfs/dbraw/zinc/64/37/18/1165643718.db2.gz XIOFIPSWWSFHSE-UHFFFAOYSA-N 0 2 314.309 0.237 20 0 DCADLN Cn1c(=O)[nH]c(Sc2cccs2)c(-c2nn[nH]n2)c1=O ZINC001581748886 1165864959 /nfs/dbraw/zinc/86/49/59/1165864959.db2.gz ZABSZDUJDKBDFL-UHFFFAOYSA-N 0 2 308.348 0.466 20 0 DCADLN Cn1ccc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)cc1=O ZINC001581791179 1165878243 /nfs/dbraw/zinc/87/82/43/1165878243.db2.gz DGLQOYMXESIEME-UHFFFAOYSA-N 0 2 324.344 0.264 20 0 DCADLN O=C([C@@H]1C[C@H]1c1cccc(-c2nn[nH]n2)c1)N1CCC[C@H](O)C1 ZINC001582660351 1166063403 /nfs/dbraw/zinc/06/34/03/1166063403.db2.gz UQAMAZPKROCNOZ-MELADBBJSA-N 0 2 313.361 0.954 20 0 DCADLN O=C1OCC[C@@H]1CCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001582789351 1166081362 /nfs/dbraw/zinc/08/13/62/1166081362.db2.gz LGANMPJJQXVZCD-VIFPVBQESA-N 0 2 315.333 0.470 20 0 DCADLN CC(=O)c1cccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)c1 ZINC001588920664 1166616229 /nfs/dbraw/zinc/61/62/29/1166616229.db2.gz RQKJUYXLCQMELG-UHFFFAOYSA-N 0 2 312.289 0.380 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(F)cc1O)n1cnc(-c2nn[nH]n2)n1 ZINC001589017625 1166628146 /nfs/dbraw/zinc/62/81/46/1166628146.db2.gz GCBQXDAYHQROHN-LURJTMIESA-N 0 2 318.272 0.503 20 0 DCADLN CC(C)[C@]1(C)C[C@@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589489847 1166660260 /nfs/dbraw/zinc/66/02/60/1166660260.db2.gz DDJZUEXFBOZHSN-CPFSXVBKSA-N 0 2 305.342 0.513 20 0 DCADLN C[C@@H]1CC[C@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C[C@@H]1C ZINC001590239292 1166823930 /nfs/dbraw/zinc/82/39/30/1166823930.db2.gz XYHVBRFLRXFPCG-VGMNWLOBSA-N 0 2 319.369 0.903 20 0 DCADLN C[C@H]1CCC[C@H](C)N1C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001590242371 1166826231 /nfs/dbraw/zinc/82/62/31/1166826231.db2.gz GFYSMWYQDNRAIA-UWVGGRQHSA-N 0 2 317.353 0.213 20 0 DCADLN CCC(CC)N(CC)c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590435161 1166905211 /nfs/dbraw/zinc/90/52/11/1166905211.db2.gz QLUMIILJMNMSFS-UHFFFAOYSA-N 0 2 307.358 0.269 20 0 DCADLN CCc1nn(CCOC(C)=O)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929676 1167054796 /nfs/dbraw/zinc/05/47/96/1167054796.db2.gz KGPKPQYJUFXGCD-UHFFFAOYSA-N 0 2 306.326 0.111 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001591198908 1167148103 /nfs/dbraw/zinc/14/81/03/1167148103.db2.gz RTOQHSXAXQCGPX-GFCCVEGCSA-N 0 2 308.349 0.677 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001591198908 1167148108 /nfs/dbraw/zinc/14/81/08/1167148108.db2.gz RTOQHSXAXQCGPX-GFCCVEGCSA-N 0 2 308.349 0.677 20 0 DCADLN COC(=O)c1cc(Cn2cncc(-c3nn[nH]n3)c2=O)oc1C ZINC001591289545 1167199696 /nfs/dbraw/zinc/19/96/96/1167199696.db2.gz YHWOJYOTPHTTTG-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN COC[C@H](C)N1N=C(c2cccc(-c3nn[nH]n3)c2)CC1=O ZINC001591375231 1167246885 /nfs/dbraw/zinc/24/68/85/1167246885.db2.gz NBSUEFQCWYVMDG-VIFPVBQESA-N 0 2 300.322 0.838 20 0 DCADLN COC[C@H](C)n1[nH]c(-c2cccc(-c3nn[nH]n3)c2)cc1=O ZINC001591375231 1167246890 /nfs/dbraw/zinc/24/68/90/1167246890.db2.gz NBSUEFQCWYVMDG-VIFPVBQESA-N 0 2 300.322 0.838 20 0 DCADLN COCCC[N@H+](C)Cn1cc2c(c(-c3nnn[n-]3)c1=O)CCC2 ZINC001591414358 1167271285 /nfs/dbraw/zinc/27/12/85/1167271285.db2.gz MOOJOFQSHSODBK-UHFFFAOYSA-N 0 2 318.381 0.443 20 0 DCADLN COCCC[N@@H+](C)Cn1cc2c(c(-c3nnn[n-]3)c1=O)CCC2 ZINC001591414358 1167271293 /nfs/dbraw/zinc/27/12/93/1167271293.db2.gz MOOJOFQSHSODBK-UHFFFAOYSA-N 0 2 318.381 0.443 20 0 DCADLN COCc1nc(CNc2ccc(C)nc2-c2nn[nH]n2)n[nH]1 ZINC001591461403 1167296295 /nfs/dbraw/zinc/29/62/95/1167296295.db2.gz MCVRCZODNZYSCY-UHFFFAOYSA-N 0 2 301.314 0.447 20 0 DCADLN COc1cncc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)c1 ZINC001591658595 1167344069 /nfs/dbraw/zinc/34/40/69/1167344069.db2.gz CPFXQUBUNUCWSK-UHFFFAOYSA-N 0 2 324.344 0.969 20 0 DCADLN Cc1ccn(Cc2nc(C(C)C)no2)c(=O)c1-c1nn[nH]n1 ZINC001592265469 1167479699 /nfs/dbraw/zinc/47/96/99/1167479699.db2.gz ATIUAUGXKKRAAP-UHFFFAOYSA-N 0 2 301.310 0.892 20 0 DCADLN Cc1ccn(CC(=O)C2CCOCC2)c(=O)c1-c1nn[nH]n1 ZINC001592265354 1167480191 /nfs/dbraw/zinc/48/01/91/1167480191.db2.gz SDTWDCWCVRXRRF-UHFFFAOYSA-N 0 2 303.322 0.333 20 0 DCADLN Cc1ccn(Cc2nnnn2C(C)(C)C)c(=O)c1-c1nn[nH]n1 ZINC001592265947 1167480226 /nfs/dbraw/zinc/48/02/26/1167480226.db2.gz QYHHTKBUDZMIQG-UHFFFAOYSA-N 0 2 315.341 0.127 20 0 DCADLN Cc1ccn(CN2CCSC[C@@H]2C)c(=O)c1-c1nn[nH]n1 ZINC001592268048 1167480469 /nfs/dbraw/zinc/48/04/69/1167480469.db2.gz XEBLTALNDKZHLD-JTQLQIEISA-N 0 2 306.395 0.732 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCC[C@H](C(N)=O)C1 ZINC001592497027 1167539117 /nfs/dbraw/zinc/53/91/17/1167539117.db2.gz RSPKULQPQGPZOJ-QMMMGPOBSA-N 0 2 304.310 0.106 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)[C@@H]1CCCCNC1=O ZINC001592496096 1167539398 /nfs/dbraw/zinc/53/93/98/1167539398.db2.gz WAFVGXXMSQLMMQ-SNVBAGLBSA-N 0 2 318.337 0.509 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCOC[C@H]1C[C@H](C)O ZINC001592499101 1167539683 /nfs/dbraw/zinc/53/96/83/1167539683.db2.gz HJZDFZMCKNXRFX-WCBMZHEXSA-N 0 2 321.337 0.380 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H]1CC(C)(C)OC1=O ZINC001592499243 1167539754 /nfs/dbraw/zinc/53/97/54/1167539754.db2.gz KKCVZYYQMPCOJG-MRVPVSSYSA-N 0 2 305.294 0.592 20 0 DCADLN Cn1c(=O)[nH]c(N2CCC[C@@H]3CCC[C@H]32)c(-c2nn[nH]n2)c1=O ZINC001592542914 1167549390 /nfs/dbraw/zinc/54/93/90/1167549390.db2.gz CNVFWNBOFSQWKF-DTWKUNHWSA-N 0 2 317.353 0.023 20 0 DCADLN Cn1ncnc1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001592608200 1167582742 /nfs/dbraw/zinc/58/27/42/1167582742.db2.gz OPMUWNKEHNZSHC-UHFFFAOYSA-N 0 2 312.337 0.084 20 0 DCADLN FC1(F)C[C@H]1OCCNCc1nc(-c2nn[nH]n2)cs1 ZINC001592630551 1167586912 /nfs/dbraw/zinc/58/69/12/1167586912.db2.gz OBDYGNBVNPIXEL-SSDOTTSWSA-N 0 2 302.310 0.837 20 0 DCADLN O=C(NCc1nc(-c2nn[nH]n2)cs1)C(F)C(F)(F)F ZINC001593150660 1167727656 /nfs/dbraw/zinc/72/76/56/1167727656.db2.gz YXEXDTSNWRYNTC-RXMQYKEDSA-N 0 2 310.236 0.840 20 0 DCADLN O=C(NCc1nc(-c2nn[nH]n2)cs1)[C@@H](F)C(F)(F)F ZINC001593150660 1167727662 /nfs/dbraw/zinc/72/76/62/1167727662.db2.gz YXEXDTSNWRYNTC-RXMQYKEDSA-N 0 2 310.236 0.840 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CCC1OCCO1)CCC2 ZINC001593492755 1167875129 /nfs/dbraw/zinc/87/51/29/1167875129.db2.gz XANBLFQMGZHYEC-UHFFFAOYSA-N 0 2 303.322 0.280 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@@H](O)CCc1ccccc1 ZINC001593494082 1167876103 /nfs/dbraw/zinc/87/61/03/1167876103.db2.gz OAJWQRBILLRTNV-LBPRGKRZSA-N 0 2 312.333 0.417 20 0 DCADLN Cc1ccc(CC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1O ZINC001600399829 1168178265 /nfs/dbraw/zinc/17/82/65/1168178265.db2.gz UXRILPBANJHENS-GFCCVEGCSA-N 0 2 303.318 0.709 20 0 DCADLN Cc1cccc(OCC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001600544901 1168198025 /nfs/dbraw/zinc/19/80/25/1168198025.db2.gz NRLVLVPAYSXVIM-ZDUSSCGKSA-N 0 2 303.318 0.840 20 0 DCADLN Cc1ccn(C[C@H](C)C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001600596998 1168206602 /nfs/dbraw/zinc/20/66/02/1168206602.db2.gz AEIFAKIGEKRPFM-CABZTGNLSA-N 0 2 305.338 0.363 20 0 DCADLN Cc1ccn(C[C@H](C)C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001600596998 1168206605 /nfs/dbraw/zinc/20/66/05/1168206605.db2.gz AEIFAKIGEKRPFM-CABZTGNLSA-N 0 2 305.338 0.363 20 0 DCADLN Cc1nc(NCCOCCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])cc[nH+]1 ZINC001600753147 1168276113 /nfs/dbraw/zinc/27/61/13/1168276113.db2.gz SVQROPMIDRZWBK-RYUDHWBXSA-N 0 2 322.365 0.393 20 0 DCADLN Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)[C@H](C)CC(=O)[O-])cc[nH+]1 ZINC001600753377 1168278122 /nfs/dbraw/zinc/27/81/22/1168278122.db2.gz XQNOMXKZRNWTLL-ADEWGFFLSA-N 0 2 322.365 0.581 20 0 DCADLN Cc1noc(C[NH+]2CCC(N(C)C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC001600812484 1168330670 /nfs/dbraw/zinc/33/06/70/1168330670.db2.gz HHHFZHKOCQXHFW-VXGBXAGGSA-N 0 2 322.365 0.522 20 0 DCADLN Cc1oncc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001600864026 1168492676 /nfs/dbraw/zinc/49/26/76/1168492676.db2.gz XAIDZSAMLRKBTI-RFQIPJPRSA-N 0 2 319.361 0.879 20 0 DCADLN Cc1oncc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001600864026 1168492696 /nfs/dbraw/zinc/49/26/96/1168492696.db2.gz XAIDZSAMLRKBTI-RFQIPJPRSA-N 0 2 319.361 0.879 20 0 DCADLN Cn1cccc1[C@H]1COCC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001600981683 1168544502 /nfs/dbraw/zinc/54/45/02/1168544502.db2.gz VXBNGJKHMRQVJT-CQSZACIVSA-N 0 2 319.365 0.317 20 0 DCADLN Cn1cccc1[C@H]1COCC[N@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001600981683 1168544505 /nfs/dbraw/zinc/54/45/05/1168544505.db2.gz VXBNGJKHMRQVJT-CQSZACIVSA-N 0 2 319.365 0.317 20 0 DCADLN Cn1cc[nH+]c1Cc1nnc(N2CCC[C@](C)(C(=O)[O-])C2)n1C ZINC001600987161 1168545524 /nfs/dbraw/zinc/54/55/24/1168545524.db2.gz XNUAEUMMYMEYLV-HNNXBMFYSA-N 0 2 318.381 0.831 20 0 DCADLN Cn1cc[nH+]c1Cc1nnc(N2CC[C@@](C)(C(=O)[O-])C2)n1C ZINC001600987116 1168545541 /nfs/dbraw/zinc/54/55/41/1168545541.db2.gz USCQYIDYVOXHNV-CQSZACIVSA-N 0 2 304.354 0.440 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@H](CCC1OCCO1)C(=O)[O-] ZINC001600985174 1168546665 /nfs/dbraw/zinc/54/66/65/1168546665.db2.gz GOVMBBSJRVMRLN-SNVBAGLBSA-N 0 2 311.338 0.075 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC001601000953 1168556105 /nfs/dbraw/zinc/55/61/05/1168556105.db2.gz WTFBZUFMADVEOE-NSHDSACASA-N 0 2 322.365 0.055 20 0 DCADLN Cn1nc(C(=O)[O-])cc1NC(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001601004734 1168559448 /nfs/dbraw/zinc/55/94/48/1168559448.db2.gz YPWMXCQCQYIOIQ-MRVPVSSYSA-N 0 2 304.310 0.451 20 0 DCADLN Cn1nccc1CN1CC[NH+](Cc2oncc2C(=O)[O-])CC1 ZINC001601039688 1168575362 /nfs/dbraw/zinc/57/53/62/1168575362.db2.gz OLCBXOVCOBFUSP-UHFFFAOYSA-N 0 2 305.338 0.424 20 0 DCADLN O=C(CCc1c[nH]c[nH+]1)N[C@@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001601286620 1168691885 /nfs/dbraw/zinc/69/18/85/1168691885.db2.gz GVOAFFDOTCWWLB-LOIXOFCESA-N 0 2 307.350 0.871 20 0 DCADLN O=C(CCc1c[nH+]c[nH]1)N[C@@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001601286620 1168691893 /nfs/dbraw/zinc/69/18/93/1168691893.db2.gz GVOAFFDOTCWWLB-LOIXOFCESA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])C12CCC(CC1)[N@@H+]2CC(=O)N1CCOC[C@H]1C1CC1 ZINC001601303406 1168695799 /nfs/dbraw/zinc/69/57/99/1168695799.db2.gz SMDSJAQEXUNDCM-UYJPIKCFSA-N 0 2 308.378 0.705 20 0 DCADLN O=C([O-])C12CCC(CC1)[N@H+]2CC(=O)N1CCOC[C@H]1C1CC1 ZINC001601303406 1168695804 /nfs/dbraw/zinc/69/58/04/1168695804.db2.gz SMDSJAQEXUNDCM-UYJPIKCFSA-N 0 2 308.378 0.705 20 0 DCADLN O=C([O-])c1sccc1NC(=O)C[N@H+]1CCC(CO)(CO)C1 ZINC001601305885 1168696995 /nfs/dbraw/zinc/69/69/95/1168696995.db2.gz QXBIOPAHUPCMEY-UHFFFAOYSA-N 0 2 314.363 0.062 20 0 DCADLN O=C([O-])c1sccc1NC(=O)C[N@@H+]1CCC(CO)(CO)C1 ZINC001601305885 1168696999 /nfs/dbraw/zinc/69/69/99/1168696999.db2.gz QXBIOPAHUPCMEY-UHFFFAOYSA-N 0 2 314.363 0.062 20 0 DCADLN O=C([O-])[C@@H](Cc1cncs1)NC(=O)CNc1cccc[nH+]1 ZINC001601329991 1168710706 /nfs/dbraw/zinc/71/07/06/1168710706.db2.gz FMXHHYFWMANSDY-SNVBAGLBSA-N 0 2 306.347 0.762 20 0 DCADLN O=C([O-])c1ccc(CC(=O)NCCNc2cccc[nH+]2)cn1 ZINC001601358900 1168718839 /nfs/dbraw/zinc/71/88/39/1168718839.db2.gz ZTNYRUNALJSPAH-UHFFFAOYSA-N 0 2 300.318 0.946 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc2c[nH]nc2c1 ZINC001601362959 1168719934 /nfs/dbraw/zinc/71/99/34/1168719934.db2.gz JUWVAKSKWRCKQQ-ZDUSSCGKSA-N 0 2 313.317 0.572 20 0 DCADLN O=C([O-])[C@H](Cc1cncs1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001601425155 1168740895 /nfs/dbraw/zinc/74/08/95/1168740895.db2.gz UCSGQEBAMSYWMN-SKDRFNHKSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@H](Cc1cncs1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001601425185 1168741414 /nfs/dbraw/zinc/74/14/14/1168741414.db2.gz VEANNIUACYRCEA-SKDRFNHKSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1COc2ccccc2C1 ZINC001601425964 1168743217 /nfs/dbraw/zinc/74/32/17/1168743217.db2.gz OGCYYJQRUVENGR-CHWSQXEVSA-N 0 2 315.329 0.704 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCc2ncncc2C1 ZINC001601567925 1168784726 /nfs/dbraw/zinc/78/47/26/1168784726.db2.gz AHVMEGIKWAISNO-NOZJJQNGSA-N 0 2 315.333 0.117 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCc2ncncc2C1 ZINC001601567925 1168784734 /nfs/dbraw/zinc/78/47/34/1168784734.db2.gz AHVMEGIKWAISNO-NOZJJQNGSA-N 0 2 315.333 0.117 20 0 DCADLN O=C([O-])[C@H](C[C@H]1CCCO1)[NH2+]Cc1cc(=O)n2[nH]ccc2n1 ZINC001601641583 1168799770 /nfs/dbraw/zinc/79/97/70/1168799770.db2.gz MHQKCADXCWPWNW-MNOVXSKESA-N 0 2 306.322 0.135 20 0 DCADLN O=C([O-])C1(O)C[NH+](C[C@@H](O)COc2ccc3ccccc3c2)C1 ZINC001601722514 1168830836 /nfs/dbraw/zinc/83/08/36/1168830836.db2.gz DFNNRUDTYWRYGS-CQSZACIVSA-N 0 2 317.341 0.711 20 0 DCADLN O=C([O-])C1(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)CCC1 ZINC001601725120 1168833327 /nfs/dbraw/zinc/83/33/27/1168833327.db2.gz RPRLJPSAEXSVJK-UHFFFAOYSA-N 0 2 302.396 0.494 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001601768965 1168867286 /nfs/dbraw/zinc/86/72/86/1168867286.db2.gz KWFPIJTZKXLQCH-VXGBXAGGSA-N 0 2 313.375 0.228 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001601768965 1168867299 /nfs/dbraw/zinc/86/72/99/1168867299.db2.gz KWFPIJTZKXLQCH-VXGBXAGGSA-N 0 2 313.375 0.228 20 0 DCADLN O=C([O-])CCSCCNC(=O)/C=C/C[NH+]1CCOCC1 ZINC001602028368 1168971131 /nfs/dbraw/zinc/97/11/31/1168971131.db2.gz ALWBMHKRIBKXEH-OWOJBTEDSA-N 0 2 302.396 0.199 20 0 DCADLN O=C([O-])CCSCCNC(=O)/C=C\C[NH+]1CCOCC1 ZINC001602028369 1168971798 /nfs/dbraw/zinc/97/17/98/1168971798.db2.gz ALWBMHKRIBKXEH-UPHRSURJSA-N 0 2 302.396 0.199 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)C(=O)c2ccc(Cl)s2)CC1 ZINC001602061645 1168980831 /nfs/dbraw/zinc/98/08/31/1168980831.db2.gz VZKOCUZORQWAKN-UHFFFAOYSA-N 0 2 316.766 0.813 20 0 DCADLN O=C([O-])COCC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC001602078278 1168989565 /nfs/dbraw/zinc/98/95/65/1168989565.db2.gz SMODXJIFIWDCSX-UHFFFAOYSA-N 0 2 307.281 0.729 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@H+]2CCC[C@H](O)CC2)n1 ZINC001602150235 1169003528 /nfs/dbraw/zinc/00/35/28/1169003528.db2.gz AKZIKZBHZIBTRY-JTQLQIEISA-N 0 2 313.379 0.556 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@@H+]2CCC[C@H](O)CC2)n1 ZINC001602150235 1169003532 /nfs/dbraw/zinc/00/35/32/1169003532.db2.gz AKZIKZBHZIBTRY-JTQLQIEISA-N 0 2 313.379 0.556 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CC[C@@H](CN3CCOC3=O)C2)c1 ZINC001602149950 1169003622 /nfs/dbraw/zinc/00/36/22/1169003622.db2.gz VKDWKLBYBAHAFJ-GFCCVEGCSA-N 0 2 305.334 0.987 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]Cc2ccc3c(=O)cc[nH]c3c2)nn1 ZINC001602161989 1169011383 /nfs/dbraw/zinc/01/13/83/1169011383.db2.gz OMBAIRAABIHKHI-UHFFFAOYSA-N 0 2 313.317 0.494 20 0 DCADLN O=C([O-])[C@@H]1CO[C@H](CCC(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)C1 ZINC001602191367 1169022325 /nfs/dbraw/zinc/02/23/25/1169022325.db2.gz HJQJDBXMPLKRAP-UHTWSYAYSA-N 0 2 322.365 0.153 20 0 DCADLN O=C([O-])c1cc(C2CN(C(=O)[C@@H]3CCn4c[nH+]cc4C3)C2)[nH]n1 ZINC001602253355 1169043308 /nfs/dbraw/zinc/04/33/08/1169043308.db2.gz OHCDYDUNSXDYIQ-SECBINFHSA-N 0 2 315.333 0.493 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)cn1 ZINC001602400147 1169071972 /nfs/dbraw/zinc/07/19/72/1169071972.db2.gz TZULNUXQZBPGOF-SECBINFHSA-N 0 2 322.346 0.270 20 0 DCADLN O=C([O-])c1ccc2c(cnn2C[N@@H+]2C[C@@H]3C[C@H]2C[S@@]3=O)c1 ZINC001602425780 1169075187 /nfs/dbraw/zinc/07/51/87/1169075187.db2.gz LNRZDACDSIDPLR-OABGYEMISA-N 0 2 305.359 0.897 20 0 DCADLN O=C([O-])c1ccc2c(cnn2C[N@H+]2C[C@@H]3C[C@H]2C[S@@]3=O)c1 ZINC001602425780 1169075193 /nfs/dbraw/zinc/07/51/93/1169075193.db2.gz LNRZDACDSIDPLR-OABGYEMISA-N 0 2 305.359 0.897 20 0 DCADLN O=C([O-])c1coc(/C=C\C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)c1 ZINC001602553993 1169115609 /nfs/dbraw/zinc/11/56/09/1169115609.db2.gz RVXQEXOYPHLDQV-FYDYADQUSA-N 0 2 316.317 0.887 20 0 DCADLN O=C([O-])c1n[nH]c2c1CN(C(=O)[C@@H]1CCc3[nH+]ccn3C1)CC2 ZINC001602564052 1169118189 /nfs/dbraw/zinc/11/81/89/1169118189.db2.gz MKTWADABUBFVEA-SECBINFHSA-N 0 2 315.333 0.452 20 0 DCADLN O=C([O-])C1(O)CC[NH+]([C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC001602630031 1169135762 /nfs/dbraw/zinc/13/57/62/1169135762.db2.gz RSVOVZQXLISMRC-ZDUSSCGKSA-N 0 2 322.336 0.843 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@@H+]([C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC001602630022 1169135799 /nfs/dbraw/zinc/13/57/99/1169135799.db2.gz RPNRXJJVQHKSBM-WFASDCNBSA-N 0 2 324.764 0.967 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@H+]([C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC001602630022 1169135803 /nfs/dbraw/zinc/13/58/03/1169135803.db2.gz RPNRXJJVQHKSBM-WFASDCNBSA-N 0 2 324.764 0.967 20 0 DCADLN O=CNc1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC001602662266 1169153502 /nfs/dbraw/zinc/15/35/02/1169153502.db2.gz IMGUILBTTXCKNC-LBPRGKRZSA-N 0 2 302.290 0.404 20 0 DCADLN O=CNc1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001602662266 1169153503 /nfs/dbraw/zinc/15/35/03/1169153503.db2.gz IMGUILBTTXCKNC-LBPRGKRZSA-N 0 2 302.290 0.404 20 0 DCADLN CC(=O)N[C@H]1CC[N@@H+](CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC001603039705 1169239130 /nfs/dbraw/zinc/23/91/30/1169239130.db2.gz GBJFDONDQUTYOO-LBPRGKRZSA-N 0 2 319.361 0.558 20 0 DCADLN CC(=O)N[C@H]1CC[N@H+](CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC001603039705 1169239136 /nfs/dbraw/zinc/23/91/36/1169239136.db2.gz GBJFDONDQUTYOO-LBPRGKRZSA-N 0 2 319.361 0.558 20 0 DCADLN C[C@H](C(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC001603141426 1169254260 /nfs/dbraw/zinc/25/42/60/1169254260.db2.gz PWLZOVKCDSUSLG-ZJUUUORDSA-N 0 2 304.310 0.207 20 0 DCADLN CC(C)(C)c1ncc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001603555763 1169321496 /nfs/dbraw/zinc/32/14/96/1169321496.db2.gz YJQLSXWGCUWTNI-LLVKDONJSA-N 0 2 317.349 0.923 20 0 DCADLN CC(C)(C)c1ncc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001603555763 1169321500 /nfs/dbraw/zinc/32/15/00/1169321500.db2.gz YJQLSXWGCUWTNI-LLVKDONJSA-N 0 2 317.349 0.923 20 0 DCADLN C[C@H]1OCC[C@H]1Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001603954190 1169451369 /nfs/dbraw/zinc/45/13/69/1169451369.db2.gz DMHZCRQWXHJUNO-KOLCDFICSA-N 0 2 301.350 0.942 20 0 DCADLN CC(C)[C@H](NC(=O)COC(=O)C[C@@H](C)n1cc[nH+]c1)C(=O)[O-] ZINC001603973101 1169460174 /nfs/dbraw/zinc/46/01/74/1169460174.db2.gz BPLSJYSNCJLZIH-MFKMUULPSA-N 0 2 311.338 0.603 20 0 DCADLN CC(C)[C@H](NC(=O)C[NH2+][C@@H](c1ncccn1)C1CC1)C(=O)[O-] ZINC001603973149 1169460239 /nfs/dbraw/zinc/46/02/39/1169460239.db2.gz CSJYOULWLDLELP-QWHCGFSZSA-N 0 2 306.366 0.743 20 0 DCADLN C[C@H](C[N@@H+](Cc1ccccc1)Cn1ncn(C)c1=O)C(=O)[O-] ZINC001604166207 1169510448 /nfs/dbraw/zinc/51/04/48/1169510448.db2.gz XPDXTSMCWLJTAW-GFCCVEGCSA-N 0 2 304.350 0.762 20 0 DCADLN C[C@H](C[N@H+](Cc1ccccc1)Cn1ncn(C)c1=O)C(=O)[O-] ZINC001604166207 1169510455 /nfs/dbraw/zinc/51/04/55/1169510455.db2.gz XPDXTSMCWLJTAW-GFCCVEGCSA-N 0 2 304.350 0.762 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001604820571 1169695933 /nfs/dbraw/zinc/69/59/33/1169695933.db2.gz SRQWSNJGFBBKTC-FRRDWIJNSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001604820571 1169695937 /nfs/dbraw/zinc/69/59/37/1169695937.db2.gz SRQWSNJGFBBKTC-FRRDWIJNSA-N 0 2 314.382 0.093 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@H](C(=O)[O-])c1cccc(OC)c1 ZINC001605494693 1169938765 /nfs/dbraw/zinc/93/87/65/1169938765.db2.gz GDYGQXUKVGRVOM-SKDRFNHKSA-N 0 2 323.349 0.645 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)N2CCCCCC2)c1 ZINC001605510952 1169945328 /nfs/dbraw/zinc/94/53/28/1169945328.db2.gz PVVIHTXJUSFTQY-UHFFFAOYSA-N 0 2 316.365 0.739 20 0 DCADLN CCc1ccc(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nc1 ZINC001605810004 1170006413 /nfs/dbraw/zinc/00/64/13/1170006413.db2.gz OTCAJMHLHQWDOS-CYBMUJFWSA-N 0 2 302.334 0.722 20 0 DCADLN CCc1ccc(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nc1 ZINC001605810004 1170006421 /nfs/dbraw/zinc/00/64/21/1170006421.db2.gz OTCAJMHLHQWDOS-CYBMUJFWSA-N 0 2 302.334 0.722 20 0 DCADLN CCc1nc(CC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cs1 ZINC001605851728 1170013960 /nfs/dbraw/zinc/01/39/60/1170013960.db2.gz NDWSJLGRBWQQBS-JTQLQIEISA-N 0 2 308.363 0.714 20 0 DCADLN Cc1ccn(CN2CCC[C@@H](O)[C@H]2C)c(=O)c1-c1nn[nH]n1 ZINC001605950520 1170033017 /nfs/dbraw/zinc/03/30/17/1170033017.db2.gz WSZBQUVFDJIBQW-GHMZBOCLSA-N 0 2 304.354 0.140 20 0 DCADLN CN(C(=O)[C@H]1CCn2c[nH+]cc2C1)[C@@H](COC1CCC1)C(=O)[O-] ZINC001605956826 1170036003 /nfs/dbraw/zinc/03/60/03/1170036003.db2.gz YENJVAUTQHGEMS-FZMZJTMJSA-N 0 2 321.377 0.926 20 0 DCADLN CN(C(=O)[C@@H]1C[C@@H]1C(=O)[O-])C1C[NH+](CCc2ccns2)C1 ZINC001605956608 1170036301 /nfs/dbraw/zinc/03/63/01/1170036301.db2.gz KIJKSWDIPJCMKK-NEPJUHHUSA-N 0 2 309.391 0.549 20 0 DCADLN CN(C)Cc1cnc(C[NH2+]CCC(=O)NCCC(=O)[O-])s1 ZINC001606036383 1170061684 /nfs/dbraw/zinc/06/16/84/1170061684.db2.gz BKXXIEMZCXHMBF-UHFFFAOYSA-N 0 2 314.411 0.275 20 0 DCADLN Cc1nc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3)no1 ZINC001606044327 1170063974 /nfs/dbraw/zinc/06/39/74/1170063974.db2.gz CDKVQWFDIOUAGO-UHFFFAOYSA-N 0 2 313.321 0.647 20 0 DCADLN Cc1nn(C[C@H]2C[C@]23CCOC3)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114406 1170078596 /nfs/dbraw/zinc/07/85/96/1170078596.db2.gz MUSYRQMXOWWGHJ-YGRLFVJLSA-N 0 2 302.338 0.467 20 0 DCADLN Cc1nn(CC(=O)NCC(C)(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC001606115027 1170081776 /nfs/dbraw/zinc/08/17/76/1170081776.db2.gz IUWQNQNKOYOPRW-UHFFFAOYSA-N 0 2 319.369 0.203 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001606130695 1170087827 /nfs/dbraw/zinc/08/78/27/1170087827.db2.gz AEZMYTIJCIGROP-QWHCGFSZSA-N 0 2 314.382 0.047 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1CCC[C@H](NC(=O)N(C)C)C1 ZINC001606330509 1170160944 /nfs/dbraw/zinc/16/09/44/1170160944.db2.gz JUXJDMHNCNYTIF-QWRGUYRKSA-N 0 2 315.370 0.128 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1CCC[C@H](NC(=O)N(C)C)C1 ZINC001606330509 1170160946 /nfs/dbraw/zinc/16/09/46/1170160946.db2.gz JUXJDMHNCNYTIF-QWRGUYRKSA-N 0 2 315.370 0.128 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCc1c[nH+]cn1C ZINC001606369332 1170171934 /nfs/dbraw/zinc/17/19/34/1170171934.db2.gz CYGKDFLPACUOHX-CMPLNLGQSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001606370683 1170172685 /nfs/dbraw/zinc/17/26/85/1170172685.db2.gz OQPSRSAVPXRMSN-TUAOUCFPSA-N 0 2 323.349 0.699 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1CCOC(=O)N(C)C ZINC001606388087 1170178125 /nfs/dbraw/zinc/17/81/25/1170178125.db2.gz YNFUXCTWEOJMDT-ZJUUUORDSA-N 0 2 302.327 0.023 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1CCOC(=O)N(C)C ZINC001606388087 1170178131 /nfs/dbraw/zinc/17/81/31/1170178131.db2.gz YNFUXCTWEOJMDT-ZJUUUORDSA-N 0 2 302.327 0.023 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C(=O)[O-])s1 ZINC001606515575 1170226085 /nfs/dbraw/zinc/22/60/85/1170226085.db2.gz WDZBFIZCPYTPIG-QMMMGPOBSA-N 0 2 323.330 0.684 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C(=O)[O-])s1 ZINC001606515575 1170226086 /nfs/dbraw/zinc/22/60/86/1170226086.db2.gz WDZBFIZCPYTPIG-QMMMGPOBSA-N 0 2 323.330 0.684 20 0 DCADLN O=C(Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2)N1CCC1 ZINC001606616523 1170243719 /nfs/dbraw/zinc/24/37/19/1170243719.db2.gz VMJOJTMZOYMPLZ-UHFFFAOYSA-N 0 2 314.349 0.140 20 0 DCADLN COC(=O)c1cccc(C[N@H+]2C[C@@H](OC)C[C@@]2(C)C(=O)[O-])n1 ZINC001606616414 1170243756 /nfs/dbraw/zinc/24/37/56/1170243756.db2.gz JOIDWTMKNBEMEA-NHYWBVRUSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc(C[N@@H+]2C[C@@H](OC)C[C@@]2(C)C(=O)[O-])n1 ZINC001606616414 1170243759 /nfs/dbraw/zinc/24/37/59/1170243759.db2.gz JOIDWTMKNBEMEA-NHYWBVRUSA-N 0 2 308.334 0.932 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@@H+]1Cc1ccc(C(=O)N(C)C)[nH]1 ZINC001606833519 1170306492 /nfs/dbraw/zinc/30/64/92/1170306492.db2.gz HCNWZBUECBHHJL-HNNXBMFYSA-N 0 2 309.366 0.782 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@H+]1Cc1ccc(C(=O)N(C)C)[nH]1 ZINC001606833519 1170306499 /nfs/dbraw/zinc/30/64/99/1170306499.db2.gz HCNWZBUECBHHJL-HNNXBMFYSA-N 0 2 309.366 0.782 20 0 DCADLN O=C([O-])C1([NH2+]Cc2nc(-c3nn[nH]n3)cs2)CCOCC1 ZINC001606958685 1170337301 /nfs/dbraw/zinc/33/73/01/1170337301.db2.gz DQIXJYXWEJKJMF-UHFFFAOYSA-N 0 2 310.339 0.047 20 0 DCADLN O=C1C[C@@H](Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3)CN1 ZINC001607039039 1170362225 /nfs/dbraw/zinc/36/22/25/1170362225.db2.gz FJBINDOCOSDLPK-SECBINFHSA-N 0 2 314.349 0.043 20 0 DCADLN O=c1[nH]nc2n1CCN(Cc1ccc(F)c(-c3nn[nH]n3)c1)C2 ZINC001607088891 1170385830 /nfs/dbraw/zinc/38/58/30/1170385830.db2.gz UKRFLPDBLKJRGX-UHFFFAOYSA-N 0 2 316.300 0.319 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1nc(C2CCCC2)no1 ZINC001607089793 1170386118 /nfs/dbraw/zinc/38/61/18/1170386118.db2.gz MMNVTYLEIOENFE-UHFFFAOYSA-N 0 2 314.309 0.512 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1Cc1cnsn1)CCC2 ZINC001607091315 1170388534 /nfs/dbraw/zinc/38/85/34/1170388534.db2.gz DDHJWPZRLASCPI-UHFFFAOYSA-N 0 2 301.335 0.417 20 0 DCADLN Cc1cc(C[NH3+])oc1C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1 ZINC001607639275 1170487712 /nfs/dbraw/zinc/48/77/12/1170487712.db2.gz AGSARQCJEALZOP-LLVKDONJSA-N 0 2 309.366 0.668 20 0 DCADLN Cc1cccc(S(=O)(=O)NCc2c[nH+]cn2C)c1C(=O)[O-] ZINC001607864591 1170514665 /nfs/dbraw/zinc/51/46/65/1170514665.db2.gz ZHGZGWIUGJVJBA-UHFFFAOYSA-N 0 2 309.347 0.905 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CC(=O)N(C)C[C@@H]3C(=O)[O-])ccn12 ZINC001607908764 1170521217 /nfs/dbraw/zinc/52/12/17/1170521217.db2.gz NUEJGLXKOCYVNA-LLVKDONJSA-N 0 2 316.317 0.010 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1Cc1csc(CS(C)(=O)=O)n1 ZINC001607948718 1170531079 /nfs/dbraw/zinc/53/10/79/1170531079.db2.gz FRPBPESETFMOAL-UHFFFAOYSA-N 0 2 315.376 0.939 20 0 DCADLN Cc1[nH+]cc2n1C[C@@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)[O-])CC2 ZINC001607987261 1170540754 /nfs/dbraw/zinc/54/07/54/1170540754.db2.gz HHNVFUOUHVEOMC-GWCFXTLKSA-N 0 2 317.349 0.289 20 0 DCADLN Cc1nnc(C[N@@H+]2CCC3(CN(C(=O)[C@@H]4C[C@@H]4C(=O)[O-])C3)C2)o1 ZINC001608016859 1170548823 /nfs/dbraw/zinc/54/88/23/1170548823.db2.gz YKJGFDDQQIUROF-MNOVXSKESA-N 0 2 320.349 0.133 20 0 DCADLN Cc1nnc(C[N@H+]2CCC3(CN(C(=O)[C@@H]4C[C@@H]4C(=O)[O-])C3)C2)o1 ZINC001608016859 1170548828 /nfs/dbraw/zinc/54/88/28/1170548828.db2.gz YKJGFDDQQIUROF-MNOVXSKESA-N 0 2 320.349 0.133 20 0 DCADLN Cc1noc(CCC[NH+]2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC001608026231 1170552921 /nfs/dbraw/zinc/55/29/21/1170552921.db2.gz PANUISXFWSRRKY-VXGBXAGGSA-N 0 2 322.365 0.176 20 0 DCADLN Cn1cc([C@@H]2C[C@H]2C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001608122895 1170581913 /nfs/dbraw/zinc/58/19/13/1170581913.db2.gz YFUZICBPYUHCIB-TUAOUCFPSA-N 0 2 303.322 0.059 20 0 DCADLN Cn1cc([C@@H]2C[C@H]2C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001608122895 1170581921 /nfs/dbraw/zinc/58/19/21/1170581921.db2.gz YFUZICBPYUHCIB-TUAOUCFPSA-N 0 2 303.322 0.059 20 0 DCADLN Cn1nc2c(c1C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-])CCC2 ZINC001608151533 1170597165 /nfs/dbraw/zinc/59/71/65/1170597165.db2.gz LYKURJPOMSLGAD-GFCCVEGCSA-N 0 2 306.366 0.074 20 0 DCADLN Cn1nc2c(c1C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-])CCC2 ZINC001608151533 1170597170 /nfs/dbraw/zinc/59/71/70/1170597170.db2.gz LYKURJPOMSLGAD-GFCCVEGCSA-N 0 2 306.366 0.074 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)COc1ccc(F)cc1 ZINC001608350127 1170657282 /nfs/dbraw/zinc/65/72/82/1170657282.db2.gz IHHJSBPPPIWYIR-GFCCVEGCSA-N 0 2 307.281 0.671 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@H]1CC[C@@H](Nc2cccc[nH+]2)CC1 ZINC001608539703 1170699352 /nfs/dbraw/zinc/69/93/52/1170699352.db2.gz HLYZUMFKFALWCD-TUAOUCFPSA-N 0 2 307.350 0.614 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)[C@@H]3CCc4[nH+]ccn4C3)C2)C1 ZINC001608591699 1170709902 /nfs/dbraw/zinc/70/99/02/1170709902.db2.gz SELUQSGCFLKULV-MEBBXXQBSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCC[N@@H+]1CC(=O)N1CCc2ccccc2C1 ZINC001608594471 1170710452 /nfs/dbraw/zinc/71/04/52/1170710452.db2.gz GZEGEXGGGJODII-HOCLYGCPSA-N 0 2 318.373 0.481 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCC[N@H+]1CC(=O)N1CCc2ccccc2C1 ZINC001608594471 1170710455 /nfs/dbraw/zinc/71/04/55/1170710455.db2.gz GZEGEXGGGJODII-HOCLYGCPSA-N 0 2 318.373 0.481 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001608609988 1170717954 /nfs/dbraw/zinc/71/79/54/1170717954.db2.gz GRWQYLHGPNRBJW-OFKSJOQMSA-N 0 2 307.375 0.410 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001608609988 1170717959 /nfs/dbraw/zinc/71/79/59/1170717959.db2.gz GRWQYLHGPNRBJW-OFKSJOQMSA-N 0 2 307.375 0.410 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NC1C[NH+](CCOc2ccccc2)C1 ZINC001608612467 1170722069 /nfs/dbraw/zinc/72/20/69/1170722069.db2.gz WDUYKFWONCRBIU-KBPBESRZSA-N 0 2 304.346 0.587 20 0 DCADLN O=C([O-])/C=C1\SCC(=O)N1CCCN1CCn2c[nH+]cc2C1 ZINC001608655088 1170732080 /nfs/dbraw/zinc/73/20/80/1170732080.db2.gz AMNDUYSHXOXBLE-MLPAPPSSSA-N 0 2 322.390 0.590 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1Cc1nc2ccccc2o1 ZINC001608751758 1170749997 /nfs/dbraw/zinc/74/99/97/1170749997.db2.gz YIVRSLKRTMIDMR-NSHDSACASA-N 0 2 303.318 0.993 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1Cc1nc2ccccc2o1 ZINC001608751758 1170750001 /nfs/dbraw/zinc/75/00/01/1170750001.db2.gz YIVRSLKRTMIDMR-NSHDSACASA-N 0 2 303.318 0.993 20 0 DCADLN O=C([O-])Cn1cc(C[NH+]2CCC(N3CCCCC3=O)CC2)nn1 ZINC001608796883 1170755888 /nfs/dbraw/zinc/75/58/88/1170755888.db2.gz AAKYLBOMDYCLJE-UHFFFAOYSA-N 0 2 321.381 0.340 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)nc1 ZINC001608881531 1170768150 /nfs/dbraw/zinc/76/81/50/1170768150.db2.gz CIWBURWRQQPWIP-RYUDHWBXSA-N 0 2 310.375 0.646 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)nc1 ZINC001608881531 1170768153 /nfs/dbraw/zinc/76/81/53/1170768153.db2.gz CIWBURWRQQPWIP-RYUDHWBXSA-N 0 2 310.375 0.646 20 0 DCADLN O=C([O-])c1cn(C2CC[NH+](Cc3cn(C4CC4)nn3)CC2)nn1 ZINC001608962721 1170777005 /nfs/dbraw/zinc/77/70/05/1170777005.db2.gz XFNSIKUGVJYODW-UHFFFAOYSA-N 0 2 317.353 0.740 20 0 DCADLN CCNC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001609229376 1170887630 /nfs/dbraw/zinc/88/76/30/1170887630.db2.gz XGEUYLPJOUOWNZ-UHFFFAOYSA-N 0 2 302.338 0.043 20 0 DCADLN Cc1cc(C(=O)Cn2cncc(-c3nn[nH]n3)c2=O)ccc1F ZINC001609393769 1170965399 /nfs/dbraw/zinc/96/53/99/1170965399.db2.gz QDOXMRSJCOFJDA-UHFFFAOYSA-N 0 2 314.280 0.754 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2C)o1 ZINC001609461536 1170988345 /nfs/dbraw/zinc/98/83/45/1170988345.db2.gz HXUCMONURMKPRP-UHFFFAOYSA-N 0 2 321.322 0.667 20 0 DCADLN O=C(CCc1nc[nH]n1)Nc1cc(-c2nn[nH]n2)ccc1O ZINC001609525442 1171006128 /nfs/dbraw/zinc/00/61/28/1171006128.db2.gz OFCVREUIHSDDPJ-UHFFFAOYSA-N 0 2 300.282 0.262 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[NH+]1CCN(c2ccc(O)cc2)CC1 ZINC001609635059 1171056840 /nfs/dbraw/zinc/05/68/40/1171056840.db2.gz PLTWSCCIOARNLX-LLVKDONJSA-N 0 2 307.350 0.104 20 0 DCADLN CC(C)(C)OC(=O)CS(=O)(=O)CC[NH+]1CC(C)(C(=O)[O-])C1 ZINC001609659619 1171061789 /nfs/dbraw/zinc/06/17/89/1171061789.db2.gz AKELNVNLTUOFQG-UHFFFAOYSA-N 0 2 321.395 0.149 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1c[nH]c(C(=O)[O-])c1 ZINC001609837424 1171100715 /nfs/dbraw/zinc/10/07/15/1171100715.db2.gz ANJGBXYZQVBMEB-ZYHUDNBSSA-N 0 2 307.350 0.648 20 0 DCADLN CCOCCNC(=O)C[N@@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC001610036948 1171144376 /nfs/dbraw/zinc/14/43/76/1171144376.db2.gz HPUIWCASJOZMOK-UHFFFAOYSA-N 0 2 306.362 0.896 20 0 DCADLN CCOCCNC(=O)C[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC001610036948 1171144377 /nfs/dbraw/zinc/14/43/77/1171144377.db2.gz HPUIWCASJOZMOK-UHFFFAOYSA-N 0 2 306.362 0.896 20 0 DCADLN COc1nc(C)cc(C)c1C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001610369857 1171225210 /nfs/dbraw/zinc/22/52/10/1171225210.db2.gz XJFVNMBGFDXFFB-ZDUSSCGKSA-N 0 2 321.377 0.872 20 0 DCADLN COc1nc(C)cc(C)c1C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001610369857 1171225222 /nfs/dbraw/zinc/22/52/22/1171225222.db2.gz XJFVNMBGFDXFFB-ZDUSSCGKSA-N 0 2 321.377 0.872 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CC[C@](F)(C(=O)[O-])C2)C1 ZINC001610611617 1171267245 /nfs/dbraw/zinc/26/72/45/1171267245.db2.gz MRUSRYVPAMZVSH-QMTHXVAHSA-N 0 2 310.329 0.192 20 0 DCADLN O=C(CNC(=O)Cc1ccccc1)NCCc1n[nH]c(=S)o1 ZINC001625684826 1171476589 /nfs/dbraw/zinc/47/65/89/1171476589.db2.gz NJMDZBMVGZNQKL-UHFFFAOYSA-N 0 2 320.374 0.376 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc(-c2cccnc2)on1 ZINC001630646389 1171577683 /nfs/dbraw/zinc/57/76/83/1171577683.db2.gz JBSJUKNFFXFUPM-UHFFFAOYSA-N 0 2 313.273 0.868 20 0 DCADLN O=C(c1cnc2n[nH]cc2c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001634039714 1171657718 /nfs/dbraw/zinc/65/77/18/1171657718.db2.gz LAGYSOVVXNOMFY-QMMMGPOBSA-N 0 2 313.321 0.801 20 0 DCADLN CN(C)c1cc(C(=O)NCC2=NC(=O)CC(=O)N2)cc(Cl)n1 ZINC001634157623 1171663335 /nfs/dbraw/zinc/66/33/35/1171663335.db2.gz MLGKYJRCYJTBFN-UHFFFAOYSA-N 0 2 323.740 0.932 20 0 DCADLN CN(C)c1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc(Cl)n1 ZINC001634157623 1171663337 /nfs/dbraw/zinc/66/33/37/1171663337.db2.gz MLGKYJRCYJTBFN-UHFFFAOYSA-N 0 2 323.740 0.932 20 0 DCADLN C[C@@H](NC(=O)c1cnc2c(c1)nnn2C)c1nn(C)cc1O ZINC001636769188 1171748913 /nfs/dbraw/zinc/74/89/13/1171748913.db2.gz XDJJZMIFUDQECB-SSDOTTSWSA-N 0 2 301.310 0.293 20 0 DCADLN CC(=O)Nc1ccc(F)c(C(=O)NNc2nnc(C)c(=O)[nH]2)c1 ZINC000053792933 1171814455 /nfs/dbraw/zinc/81/44/55/1171814455.db2.gz GYQBPHWCOVNWFH-UHFFFAOYSA-N 0 2 320.284 0.740 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1ccc[n+]([O-])c1 ZINC000054451415 1171843063 /nfs/dbraw/zinc/84/30/63/1171843063.db2.gz PZDVSWHPQIWMNV-UHFFFAOYSA-N 0 2 316.342 0.890 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1csc(-c2ncc[nH]2)n1 ZINC001641666382 1171925325 /nfs/dbraw/zinc/92/53/25/1171925325.db2.gz BJGKTYNMFVEWJQ-UHFFFAOYSA-N 0 2 304.291 0.179 20 0 DCADLN C[C@H](NC(=O)c1ccc2nnn(C)c2c1)c1nn(C)cc1O ZINC001646319489 1172335695 /nfs/dbraw/zinc/33/56/95/1172335695.db2.gz RXKQTJSUVXZWJN-QMMMGPOBSA-N 0 2 300.322 0.898 20 0 DCADLN Cn1cncc1C1CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC001649366000 1173130841 /nfs/dbraw/zinc/13/08/41/1173130841.db2.gz YATMFXUABHDGBQ-LLVKDONJSA-N 0 2 321.406 0.683 20 0 DCADLN O=c1ncnc2n(Cc3nc([C@@H]4CCCOC4)no3)[nH]cc1-2 ZINC001649774598 1173216092 /nfs/dbraw/zinc/21/60/92/1173216092.db2.gz RWZKQHOZZUVTNY-MRVPVSSYSA-N 0 2 302.294 0.397 20 0 DCADLN Cc1cc(=O)cc(C)n1CCCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001649809657 1173237818 /nfs/dbraw/zinc/23/78/18/1173237818.db2.gz GCVQUEHDICZBJE-UHFFFAOYSA-N 0 2 305.338 0.385 20 0 DCADLN C[C@@H](C(=O)N1CCC(N2CC[NH+](C)CC2)CC1)n1cccn1 ZINC001649954312 1173335116 /nfs/dbraw/zinc/33/51/16/1173335116.db2.gz UALDEFVWAOFOKV-AWEZNQCLSA-N 0 2 305.426 0.683 20 0 DCADLN Cc1nnc2ccc(C(=O)N[C@@H](C)c3nn(C)cc3O)cn12 ZINC001653245158 1173784241 /nfs/dbraw/zinc/78/42/41/1173784241.db2.gz NXCGJZQUQUTBTL-QMMMGPOBSA-N 0 2 300.322 0.968 20 0 DCADLN C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)Nc1c[nH][nH]c1=O ZINC001654603365 1173807969 /nfs/dbraw/zinc/80/79/69/1173807969.db2.gz LCBGEXLQCBGBAJ-ZETCQYMHSA-N 0 2 314.305 0.749 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccc[nH]1 ZINC001669799799 1174952307 /nfs/dbraw/zinc/95/23/07/1174952307.db2.gz BJLOKLMDDXWXFI-BRAIEQGRSA-N 0 2 304.354 0.488 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccc[nH]1 ZINC001669799799 1174952311 /nfs/dbraw/zinc/95/23/11/1174952311.db2.gz BJLOKLMDDXWXFI-BRAIEQGRSA-N 0 2 304.354 0.488 20 0 DCADLN CC(C)=CC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001680188739 1175784774 /nfs/dbraw/zinc/78/47/74/1175784774.db2.gz WYJFNIGHMNWEOH-VXNVDRBHSA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001680188739 1175784778 /nfs/dbraw/zinc/78/47/78/1175784778.db2.gz WYJFNIGHMNWEOH-VXNVDRBHSA-N 0 2 300.252 0.446 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cnc2n1CCC2 ZINC001686689393 1176193015 /nfs/dbraw/zinc/19/30/15/1176193015.db2.gz PKMPXVZVBWJELW-SECBINFHSA-N 0 2 322.262 0.576 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cnc2n1CCC2 ZINC001686689393 1176193017 /nfs/dbraw/zinc/19/30/17/1176193017.db2.gz PKMPXVZVBWJELW-SECBINFHSA-N 0 2 322.262 0.576 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC=CCNC(=O)C(F)C(F)(F)F ZINC001705051496 1180240383 /nfs/dbraw/zinc/24/03/83/1180240383.db2.gz CQEJKGQMCUCDSS-YAJNLLPGSA-N 0 2 323.250 0.416 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC=CCNC(=O)[C@@H](F)C(F)(F)F ZINC001705051496 1180240388 /nfs/dbraw/zinc/24/03/88/1180240388.db2.gz CQEJKGQMCUCDSS-YAJNLLPGSA-N 0 2 323.250 0.416 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C=Cc1ccco1 ZINC001715510195 1181834683 /nfs/dbraw/zinc/83/46/83/1181834683.db2.gz RRBCOCXXZXIOMC-WAYWQWQTSA-N 0 2 305.338 0.707 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C=Cc1ccco1 ZINC001715510195 1181834687 /nfs/dbraw/zinc/83/46/87/1181834687.db2.gz RRBCOCXXZXIOMC-WAYWQWQTSA-N 0 2 305.338 0.707 20 0 DCADLN CC(C)=CC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001718622167 1183292669 /nfs/dbraw/zinc/29/26/69/1183292669.db2.gz PKXRMKWBWDICFH-APPZFPTMSA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001718622167 1183292671 /nfs/dbraw/zinc/29/26/71/1183292671.db2.gz PKXRMKWBWDICFH-APPZFPTMSA-N 0 2 300.252 0.446 20 0 DCADLN Cc1n[nH]cc1C(=O)NCCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001720321198 1183562241 /nfs/dbraw/zinc/56/22/41/1183562241.db2.gz RREDKBVIEHLFQP-UHFFFAOYSA-N 0 2 304.354 0.228 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001720321082 1183562294 /nfs/dbraw/zinc/56/22/94/1183562294.db2.gz OOFNKEHKXLNBIY-BZPMIXESSA-N 0 2 320.393 0.451 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721742978 1184012499 /nfs/dbraw/zinc/01/24/99/1184012499.db2.gz HFUNBEINQADNNG-APPZFPTMSA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001721742978 1184012505 /nfs/dbraw/zinc/01/25/05/1184012505.db2.gz HFUNBEINQADNNG-APPZFPTMSA-N 0 2 300.252 0.446 20 0 DCADLN O=C(C[C@H]1C=CCCC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001723435207 1184304896 /nfs/dbraw/zinc/30/48/96/1184304896.db2.gz UZUAWJWFHNQGDO-NWDGAFQWSA-N 0 2 305.382 0.947 20 0 DCADLN CCn1nc(C)c(C[NH2+]CCN(C)C(=O)c2n[nH]c(C)c2[O-])n1 ZINC001753182505 1185173534 /nfs/dbraw/zinc/17/35/34/1185173534.db2.gz YMKSGYAJLQVVCX-UHFFFAOYSA-N 0 2 321.385 0.205 20 0 DCADLN CC(C)(C)OCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734623223 1186709448 /nfs/dbraw/zinc/70/94/48/1186709448.db2.gz FEMDMEIMKMOOFV-UHFFFAOYSA-N 0 2 323.397 0.574 20 0 DCADLN CC(C)(C)OCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734623223 1186709451 /nfs/dbraw/zinc/70/94/51/1186709451.db2.gz FEMDMEIMKMOOFV-UHFFFAOYSA-N 0 2 323.397 0.574 20 0 DCADLN COCC1(C(=O)NCCCNC(=O)C(F)C(F)(F)F)CC1 ZINC001742909824 1188075624 /nfs/dbraw/zinc/07/56/24/1188075624.db2.gz HTCAHGAWIJDQNA-MRVPVSSYSA-N 0 2 314.279 0.936 20 0 DCADLN COCC1(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001742909824 1188075629 /nfs/dbraw/zinc/07/56/29/1188075629.db2.gz HTCAHGAWIJDQNA-MRVPVSSYSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001753153814 1188516580 /nfs/dbraw/zinc/51/65/80/1188516580.db2.gz HVRPDDAZOGBGKV-UTCJRWHESA-N 0 2 316.365 0.632 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001753153814 1188516583 /nfs/dbraw/zinc/51/65/83/1188516583.db2.gz HVRPDDAZOGBGKV-UTCJRWHESA-N 0 2 316.365 0.632 20 0 DCADLN CCc1nn(C)cc1C(=O)NCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001758038943 1189692785 /nfs/dbraw/zinc/69/27/85/1189692785.db2.gz MLUGYVKTEFVYBC-UHFFFAOYSA-N 0 2 318.381 0.103 20 0 DCADLN CC(=O)N[C@@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758118287 1189732768 /nfs/dbraw/zinc/73/27/68/1189732768.db2.gz FUTKOCVNQXSQQM-XPUUQOCRSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)N[C@@H](C)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001758118287 1189732772 /nfs/dbraw/zinc/73/27/72/1189732772.db2.gz FUTKOCVNQXSQQM-XPUUQOCRSA-N 0 2 315.267 0.034 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001758386520 1189864486 /nfs/dbraw/zinc/86/44/86/1189864486.db2.gz ROQJMPJPCMFEEI-ZETCQYMHSA-N 0 2 311.239 0.283 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001758386520 1189864490 /nfs/dbraw/zinc/86/44/90/1189864490.db2.gz ROQJMPJPCMFEEI-ZETCQYMHSA-N 0 2 311.239 0.283 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@H]1CCC[C@@H](O)C1 ZINC001771609711 1190450590 /nfs/dbraw/zinc/45/05/90/1190450590.db2.gz XNHPTAMIINEWGD-NWDGAFQWSA-N 0 2 318.377 0.541 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1CC2(CC2(F)F)C1 ZINC001771809393 1190507956 /nfs/dbraw/zinc/50/79/56/1190507956.db2.gz APONMNQXIICCPJ-UHFFFAOYSA-N 0 2 309.276 0.375 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1cc(C(=O)[O-])ccn1 ZINC001771922057 1190558608 /nfs/dbraw/zinc/55/86/08/1190558608.db2.gz HQUFRCWPAGDTSB-LLVKDONJSA-N 0 2 307.350 0.573 20 0 DCADLN Nc1cc(N[C@@H]2CCC[N@H+](CCN3CCOCC3)C2)nc[nH+]1 ZINC001772120461 1190612032 /nfs/dbraw/zinc/61/20/32/1190612032.db2.gz UDKQEHPRVVNPAX-CYBMUJFWSA-N 0 2 306.414 0.267 20 0 DCADLN CC[C@@H](C)C[C@@H]([NH3+])C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001772224232 1190635113 /nfs/dbraw/zinc/63/51/13/1190635113.db2.gz KOPXRRQEBMYBNS-UKRRQHHQSA-N 0 2 323.441 0.917 20 0 DCADLN O=C([O-])Cc1ccc(S(=O)(=O)NCC[NH+]2CC=CC2)cc1 ZINC000392181977 1190701540 /nfs/dbraw/zinc/70/15/40/1190701540.db2.gz CSFDLVGAOOEEAF-UHFFFAOYSA-N 0 2 310.375 0.464 20 0 DCADLN CC(C)C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001042488468 751686136 /nfs/dbraw/zinc/68/61/36/751686136.db2.gz XZKGUFDETCRPTR-UHFFFAOYSA-N 0 2 303.366 0.321 20 0 DCADLN Cc1cccnc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042593593 751767277 /nfs/dbraw/zinc/76/72/77/751767277.db2.gz WMNILZQROXLGDW-UHFFFAOYSA-N 0 2 302.338 0.170 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107116586 751863708 /nfs/dbraw/zinc/86/37/08/751863708.db2.gz LDSMBTFKKDEQCU-OTDNITJGSA-N 0 2 315.381 0.483 20 0 DCADLN C[N@H+]1CCC[C@@H]1C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107116586 751863715 /nfs/dbraw/zinc/86/37/15/751863715.db2.gz LDSMBTFKKDEQCU-OTDNITJGSA-N 0 2 315.381 0.483 20 0 DCADLN Cc1ccncc1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042962393 752010396 /nfs/dbraw/zinc/01/03/96/752010396.db2.gz HABSRNKFGOODQR-UHFFFAOYSA-N 0 2 316.365 0.099 20 0 DCADLN CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1C[NH+](Cc2ccccc2)C1 ZINC001043231265 752143868 /nfs/dbraw/zinc/14/38/68/752143868.db2.gz YXUNVEDNVLOIPT-UHFFFAOYSA-N 0 2 315.333 0.239 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001098168617 747861261 /nfs/dbraw/zinc/86/12/61/747861261.db2.gz PGEYVBNFJCNKKU-BBBLOLIVSA-N 0 2 318.337 0.342 20 0 DCADLN CCOC(=O)[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC001149297585 748540212 /nfs/dbraw/zinc/54/02/12/748540212.db2.gz PLQUNBHTAOUIIV-LLVKDONJSA-N 0 2 304.306 0.473 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@]23C[C@H]2COC3)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087579851 748986940 /nfs/dbraw/zinc/98/69/40/748986940.db2.gz XPLRXJADXOAGFK-ZVXAKGHKSA-N 0 2 321.381 0.016 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@]23C[C@H]2COC3)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087579851 748986947 /nfs/dbraw/zinc/98/69/47/748986947.db2.gz XPLRXJADXOAGFK-ZVXAKGHKSA-N 0 2 321.381 0.016 20 0 DCADLN [NH2+]=C(Nc1ccc2nncn2c1)SCCS(=O)(=O)[O-] ZINC001168091224 749387330 /nfs/dbraw/zinc/38/73/30/749387330.db2.gz WRHWMVQHEIENBJ-UHFFFAOYSA-N 0 2 301.353 0.697 20 0 DCADLN CC(C)(CC(F)F)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112950087 762037179 /nfs/dbraw/zinc/03/71/79/762037179.db2.gz JOUWUPAZBUTYDO-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@]2(C)CCCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088945762 750742052 /nfs/dbraw/zinc/74/20/52/750742052.db2.gz RDIGNCXJBWQGFT-JRPNMDOOSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@]2(C)CCCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088945762 750742055 /nfs/dbraw/zinc/74/20/55/750742055.db2.gz RDIGNCXJBWQGFT-JRPNMDOOSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)no1 ZINC001088989421 750756420 /nfs/dbraw/zinc/75/64/20/750756420.db2.gz SYUCFJPXVPHNFF-SCZZXKLOSA-N 0 2 320.353 0.454 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CC[N@H+](CCn2cncn2)[C@@H]1C ZINC001089006057 750761326 /nfs/dbraw/zinc/76/13/26/750761326.db2.gz MAFGJOHEULUCNQ-OLZOCXBDSA-N 0 2 317.397 0.131 20 0 DCADLN O=C(NC[C@H](O)CNc1cnc(F)cn1)C(F)C(F)(F)F ZINC001106141606 750978016 /nfs/dbraw/zinc/97/80/16/750978016.db2.gz FHACCZHUQPLHMF-XRGYYRRGSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](O)CNc1cnc(F)cn1)[C@H](F)C(F)(F)F ZINC001106141606 750978017 /nfs/dbraw/zinc/97/80/17/750978017.db2.gz FHACCZHUQPLHMF-XRGYYRRGSA-N 0 2 314.214 0.405 20 0 DCADLN Cc1cc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001106141512 750978040 /nfs/dbraw/zinc/97/80/40/750978040.db2.gz CPMWZARTVLLQGB-CBAPKCEASA-N 0 2 310.251 0.574 20 0 DCADLN Cc1cc(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001106141512 750978041 /nfs/dbraw/zinc/97/80/41/750978041.db2.gz CPMWZARTVLLQGB-CBAPKCEASA-N 0 2 310.251 0.574 20 0 DCADLN CC[C@@H](C)[C@H](OC)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113044331 762109937 /nfs/dbraw/zinc/10/99/37/762109937.db2.gz OZZSTCTUFFYHBO-PWSUYJOCSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)Cc2cnoc2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071760973 762117508 /nfs/dbraw/zinc/11/75/08/762117508.db2.gz SEWRNKWVOOMEMJ-GXSJLCMTSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)Cc2cnoc2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071760973 762117510 /nfs/dbraw/zinc/11/75/10/762117510.db2.gz SEWRNKWVOOMEMJ-GXSJLCMTSA-N 0 2 320.353 0.210 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107783835 752322678 /nfs/dbraw/zinc/32/26/78/752322678.db2.gz NWOWGJSPMTVHOB-IWOOQVRJSA-N 0 2 323.397 0.574 20 0 DCADLN CN(C(=O)c1occc1Cl)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043769321 752381604 /nfs/dbraw/zinc/38/16/04/752381604.db2.gz UDCKWJGOLOKMGO-UHFFFAOYSA-N 0 2 311.729 0.713 20 0 DCADLN Cc1cccc(F)c1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044373245 752713266 /nfs/dbraw/zinc/71/32/66/752713266.db2.gz PYIRNYMXVLHIJN-UHFFFAOYSA-N 0 2 319.340 0.914 20 0 DCADLN O=C(N[C@H]1C[C@H]([NH2+]Cc2cnsn2)C12CCC2)c1cn[nH]n1 ZINC001078667703 753335471 /nfs/dbraw/zinc/33/54/71/753335471.db2.gz ILQPAVKGZRZBJX-QWRGUYRKSA-N 0 2 319.394 0.487 20 0 DCADLN C[C@@]1(NC(=O)c2cccnc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046101990 753481974 /nfs/dbraw/zinc/48/19/74/753481974.db2.gz QKKNAECAIWKKSP-CQSZACIVSA-N 0 2 302.338 0.300 20 0 DCADLN C[C@@]1(NC(=O)c2cccnc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046101990 753481979 /nfs/dbraw/zinc/48/19/79/753481979.db2.gz QKKNAECAIWKKSP-CQSZACIVSA-N 0 2 302.338 0.300 20 0 DCADLN Cc1nsc(C)c1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044343598 753808193 /nfs/dbraw/zinc/80/81/93/753808193.db2.gz WHIFORKCYBRHHI-UHFFFAOYSA-N 0 2 322.394 0.540 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2C[C@H]2C2CC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046689230 753874949 /nfs/dbraw/zinc/87/49/49/753874949.db2.gz IDQULLLTBVCLAE-FIXISWKDSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2C[C@H]2C2CC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046689230 753874955 /nfs/dbraw/zinc/87/49/55/753874955.db2.gz IDQULLLTBVCLAE-FIXISWKDSA-N 0 2 305.382 0.637 20 0 DCADLN CCn1cnc(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046772879 753937966 /nfs/dbraw/zinc/93/79/66/753937966.db2.gz BNCMOANVXQHMGU-CQSZACIVSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cnc(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046772879 753937969 /nfs/dbraw/zinc/93/79/69/753937969.db2.gz BNCMOANVXQHMGU-CQSZACIVSA-N 0 2 319.369 0.121 20 0 DCADLN C[C@]1(NC(=O)c2ccc(=O)[nH]c2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046816532 753960607 /nfs/dbraw/zinc/96/06/07/753960607.db2.gz NRRAYHQNRSOJKT-AWEZNQCLSA-N 0 2 318.337 0.005 20 0 DCADLN C[C@]1(NC(=O)c2ccc(=O)[nH]c2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046816532 753960609 /nfs/dbraw/zinc/96/06/09/753960609.db2.gz NRRAYHQNRSOJKT-AWEZNQCLSA-N 0 2 318.337 0.005 20 0 DCADLN Cc1nc(N[C@H](C)[C@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)cc[nH+]1 ZINC001113364027 762270795 /nfs/dbraw/zinc/27/07/95/762270795.db2.gz HUHHQPXMIOYYPL-RQJHMYQMSA-N 0 2 319.325 0.000 20 0 DCADLN CC(C)C(=O)N1CCOC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001061639160 754170345 /nfs/dbraw/zinc/17/03/45/754170345.db2.gz MEZHRQRSKLIGCD-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)N1CCOC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001061639160 754170347 /nfs/dbraw/zinc/17/03/47/754170347.db2.gz MEZHRQRSKLIGCD-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CCC(=O)N1CCOC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001061639774 754173536 /nfs/dbraw/zinc/17/35/36/754173536.db2.gz WBOWUOXXNXEJRJ-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)N1CCOC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001061639774 754173541 /nfs/dbraw/zinc/17/35/41/754173541.db2.gz WBOWUOXXNXEJRJ-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2C[N@H+](CC[C@H](C)F)C[C@@H]2O)c1[O-] ZINC001047350891 754205176 /nfs/dbraw/zinc/20/51/76/754205176.db2.gz QDMDQIXMTCPNLE-LSJOCFKGSA-N 0 2 314.361 0.289 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2C[N@@H+](CC[C@H](C)F)C[C@@H]2O)c1[O-] ZINC001047350891 754205181 /nfs/dbraw/zinc/20/51/81/754205181.db2.gz QDMDQIXMTCPNLE-LSJOCFKGSA-N 0 2 314.361 0.289 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)Cc2ccc[nH]2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001072091890 762349585 /nfs/dbraw/zinc/34/95/85/762349585.db2.gz JQRDJLRDDFXJBG-ZYHUDNBSSA-N 0 2 318.381 0.550 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)Cc2ccc[nH]2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001072091890 762349596 /nfs/dbraw/zinc/34/95/96/762349596.db2.gz JQRDJLRDDFXJBG-ZYHUDNBSSA-N 0 2 318.381 0.550 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C2CC2)CCO1)C(F)C(F)(F)F ZINC001064732989 755481423 /nfs/dbraw/zinc/48/14/23/755481423.db2.gz JUFPVKQVXWVIOG-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C2CC2)CCO1)[C@@H](F)C(F)(F)F ZINC001064732989 755481426 /nfs/dbraw/zinc/48/14/26/755481426.db2.gz JUFPVKQVXWVIOG-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN Cn1cccc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096814529 755527892 /nfs/dbraw/zinc/52/78/92/755527892.db2.gz BVJFGDUHRSPJON-MXWKQRLJSA-N 0 2 316.365 0.384 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1=CCCC1 ZINC001096949293 755562844 /nfs/dbraw/zinc/56/28/44/755562844.db2.gz RHNGIDFSAJFNKB-UTUOFQBUSA-N 0 2 303.366 0.842 20 0 DCADLN O=C(Cc1ccoc1)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096995390 755570603 /nfs/dbraw/zinc/57/06/03/755570603.db2.gz FAKUYQBISODDQI-UTUOFQBUSA-N 0 2 317.349 0.568 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccc(F)cn1 ZINC001079609771 755923146 /nfs/dbraw/zinc/92/31/46/755923146.db2.gz WVZOLRZBQZLVNU-LDYMZIIASA-N 0 2 320.328 0.295 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001079900943 756033320 /nfs/dbraw/zinc/03/33/20/756033320.db2.gz CIJQBLOZSQCURB-VIFPVBQESA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001079900943 756033322 /nfs/dbraw/zinc/03/33/22/756033322.db2.gz CIJQBLOZSQCURB-VIFPVBQESA-N 0 2 322.262 0.983 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@H]2C)no1 ZINC001054582131 756550092 /nfs/dbraw/zinc/55/00/92/756550092.db2.gz REMWYNQTTBJDFE-PRHODGIISA-N 0 2 319.365 0.970 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1(C)CCCC1 ZINC001081997922 756889354 /nfs/dbraw/zinc/88/93/54/756889354.db2.gz XZJHVAUWHVKSLN-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1ccoc1C(=O)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072470501 762503983 /nfs/dbraw/zinc/50/39/83/762503983.db2.gz MWRFZDPDWQPLRL-UHFFFAOYSA-N 0 2 317.349 0.760 20 0 DCADLN Cc1ccoc1C(=O)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072470501 762503986 /nfs/dbraw/zinc/50/39/86/762503986.db2.gz MWRFZDPDWQPLRL-UHFFFAOYSA-N 0 2 317.349 0.760 20 0 DCADLN CS[C@@H](C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084205005 757724637 /nfs/dbraw/zinc/72/46/37/757724637.db2.gz KQIWSHYEMWPXOD-IVZWLZJFSA-N 0 2 311.411 0.295 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccc(F)nc1 ZINC001085517885 758990210 /nfs/dbraw/zinc/99/02/10/758990210.db2.gz DOTFFJMDQGQGDV-SNVBAGLBSA-N 0 2 320.328 0.391 20 0 DCADLN Cc1nscc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085554130 759034069 /nfs/dbraw/zinc/03/40/69/759034069.db2.gz KWWYYZVUHAKCBK-SECBINFHSA-N 0 2 322.394 0.622 20 0 DCADLN Cc1cc[nH]c1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085575422 759080035 /nfs/dbraw/zinc/08/00/35/759080035.db2.gz WHLCDFXBUGACHR-JTQLQIEISA-N 0 2 304.354 0.493 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001085831037 759365178 /nfs/dbraw/zinc/36/51/78/759365178.db2.gz PUJKTTREDSSEGI-QCNOEVLYSA-N 0 2 321.381 0.111 20 0 DCADLN CCn1cnc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001085928606 759481207 /nfs/dbraw/zinc/48/12/07/759481207.db2.gz BGVITMHGDYMNRR-SNVBAGLBSA-N 0 2 319.369 0.073 20 0 DCADLN Cc1cc(C)nc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122760814 767870680 /nfs/dbraw/zinc/87/06/80/767870680.db2.gz LETURLNCZNXUHC-BDAKNGLRSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1cc(C)nc(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001122760814 767870685 /nfs/dbraw/zinc/87/06/85/767870685.db2.gz LETURLNCZNXUHC-BDAKNGLRSA-N 0 2 324.278 0.883 20 0 DCADLN CC1(C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F)CC1 ZINC001057833834 759575825 /nfs/dbraw/zinc/57/58/25/759575825.db2.gz SUVXLMHRIZUIRL-MRVPVSSYSA-N 0 2 314.279 0.624 20 0 DCADLN CC1(C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001057833834 759575830 /nfs/dbraw/zinc/57/58/30/759575830.db2.gz SUVXLMHRIZUIRL-MRVPVSSYSA-N 0 2 314.279 0.624 20 0 DCADLN CC[C@@H](F)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057833950 759576035 /nfs/dbraw/zinc/57/60/35/759576035.db2.gz XYJFLZDYBQDYBM-HTQZYQBOSA-N 0 2 320.258 0.572 20 0 DCADLN CC[C@@H](F)C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057833950 759576040 /nfs/dbraw/zinc/57/60/40/759576040.db2.gz XYJFLZDYBQDYBM-HTQZYQBOSA-N 0 2 320.258 0.572 20 0 DCADLN Cc1n[nH]c(C(=O)NC2CCN(c3cc[nH+]c(C)n3)CC2)c1[O-] ZINC001057855309 759595481 /nfs/dbraw/zinc/59/54/81/759595481.db2.gz UXCLBJVTSYTJFB-UHFFFAOYSA-N 0 2 316.365 0.921 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1csc(-c2cnccn2)n1 ZINC001168869953 760485231 /nfs/dbraw/zinc/48/52/31/760485231.db2.gz YAWDVRHRGUROGA-UHFFFAOYSA-N 0 2 316.302 0.246 20 0 DCADLN CO[C@@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109052780 761226673 /nfs/dbraw/zinc/22/66/73/761226673.db2.gz FZWBJICJAAOOAL-VLEAKVRGSA-N 0 2 309.370 0.157 20 0 DCADLN CO[C@@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109052780 761226676 /nfs/dbraw/zinc/22/66/76/761226676.db2.gz FZWBJICJAAOOAL-VLEAKVRGSA-N 0 2 309.370 0.157 20 0 DCADLN CC(C)=C(F)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109152835 761268789 /nfs/dbraw/zinc/26/87/89/761268789.db2.gz CNNSZYUDEZDEHD-UTLUCORTSA-N 0 2 309.345 0.995 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109592630 761471693 /nfs/dbraw/zinc/47/16/93/761471693.db2.gz YFCLNPPIGSVSCA-NOOOWODRSA-N 0 2 323.397 0.547 20 0 DCADLN Cc1nc(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)cc(C(C)C)[nH+]1 ZINC001157067372 761680751 /nfs/dbraw/zinc/68/07/51/761680751.db2.gz ABJVRAHRXAZGBI-CPCISQLKSA-N 0 2 314.367 0.722 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccncc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071314976 761773461 /nfs/dbraw/zinc/77/34/61/761773461.db2.gz PLNDLWOTCANZTQ-JQWIXIFHSA-N 0 2 316.365 0.688 20 0 DCADLN C=C/C(C)=C/CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099956727 763164428 /nfs/dbraw/zinc/16/44/28/763164428.db2.gz DDPOCESMTOONEQ-KAZJJLNOSA-N 0 2 321.381 0.084 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C2(CF)CC2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001132301433 764364681 /nfs/dbraw/zinc/36/46/81/764364681.db2.gz ZVQRGPPKATZSLK-UWVGGRQHSA-N 0 2 311.361 0.729 20 0 DCADLN O=C(NCC1(NCc2cnsn2)CCCCC1)c1cn[nH]n1 ZINC001115613132 765768781 /nfs/dbraw/zinc/76/87/81/765768781.db2.gz ZFGTZSWQNBXOMJ-UHFFFAOYSA-N 0 2 321.410 0.879 20 0 DCADLN Nc1cccnc1C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC001135842153 766138578 /nfs/dbraw/zinc/13/85/78/766138578.db2.gz FQCPQJVEXWILIW-UHFFFAOYSA-N 0 2 303.322 0.563 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H](O)c1ccc(C(F)(F)F)cc1 ZINC001143652953 766687373 /nfs/dbraw/zinc/68/73/73/766687373.db2.gz QYRIDKXDRHGTNC-VIFPVBQESA-N 0 2 316.239 0.879 20 0 DCADLN CC=CC=CC(=O)NCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001144005213 766762704 /nfs/dbraw/zinc/76/27/04/766762704.db2.gz RCTZDZLDZBYSEI-BBNTXXRWSA-N 0 2 320.349 0.160 20 0 DCADLN CC=CC=CC(=O)NCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001144005213 766762706 /nfs/dbraw/zinc/76/27/06/766762706.db2.gz RCTZDZLDZBYSEI-BBNTXXRWSA-N 0 2 320.349 0.160 20 0 DCADLN COc1ccc(-c2n[nH]c(CNC(=O)Cc3nc[nH]n3)n2)cc1 ZINC001144800840 767042461 /nfs/dbraw/zinc/04/24/61/767042461.db2.gz MFHCRXBIWMEQIS-UHFFFAOYSA-N 0 2 313.321 0.457 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H](O)c1cccc(Cl)c1 ZINC001144833212 767057271 /nfs/dbraw/zinc/05/72/71/767057271.db2.gz KKQSMOITCXUCPD-XCBNKYQSSA-N 0 2 300.723 0.799 20 0 DCADLN CC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCCO2)CC1 ZINC001149754058 768846387 /nfs/dbraw/zinc/84/63/87/768846387.db2.gz ZPNASSQUTKBPMS-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN CCN(Cc1n[nH]c(=O)[nH]1)[C@H](C)CNC(=O)C(=O)C(C)(C)C ZINC001152454559 769564077 /nfs/dbraw/zinc/56/40/77/769564077.db2.gz IGKIFGVMWVSOPM-SECBINFHSA-N 0 2 311.386 0.452 20 0 DCADLN CN(C)C(=O)CN1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426087 769652619 /nfs/dbraw/zinc/65/26/19/769652619.db2.gz RWSCFALTVOVLIJ-WPRPVWTQSA-N 0 2 313.295 0.508 20 0 DCADLN CN(C[C@H]1CCN1Cc1cn(C)nn1)C(=O)C(F)C(F)(F)F ZINC001234424568 769653299 /nfs/dbraw/zinc/65/32/99/769653299.db2.gz ITHCEGXDMNGXSF-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@H]1CCN1Cc1cn(C)nn1)C(=O)[C@@H](F)C(F)(F)F ZINC001234424568 769653304 /nfs/dbraw/zinc/65/33/04/769653304.db2.gz ITHCEGXDMNGXSF-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001235743398 769940590 /nfs/dbraw/zinc/94/05/90/769940590.db2.gz RSJXSHYWCKEKAK-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCC[C@H](n2cncn2)C1 ZINC001153856533 769965014 /nfs/dbraw/zinc/96/50/14/769965014.db2.gz VWYRWAWVFZEIKG-NSHDSACASA-N 0 2 324.344 0.992 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCC[C@@H](n2cncn2)C1 ZINC001153856532 769965675 /nfs/dbraw/zinc/96/56/75/769965675.db2.gz VWYRWAWVFZEIKG-LLVKDONJSA-N 0 2 324.344 0.992 20 0 DCADLN C[C@@H]1COCCN1CCNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153862534 769970863 /nfs/dbraw/zinc/97/08/63/769970863.db2.gz XYGVFIFVSILQQX-LLVKDONJSA-N 0 2 316.361 0.374 20 0 DCADLN COC(=O)CN1CC[NH+](Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC001238235172 770109316 /nfs/dbraw/zinc/10/93/16/770109316.db2.gz JGJNZXXJALXVQD-UHFFFAOYSA-N 0 2 310.325 0.815 20 0 DCADLN COC[C@H](NC(=O)C=CCN(C)CC(F)(F)F)c1nn[nH]n1 ZINC001155067689 770383306 /nfs/dbraw/zinc/38/33/06/770383306.db2.gz ADMVDOBSYRDWAH-VEMNSZJBSA-N 0 2 322.291 0.054 20 0 DCADLN COC[C@H](NC(=O)/C=C\CN(C)CC(F)(F)F)c1nn[nH]n1 ZINC001155067689 770383312 /nfs/dbraw/zinc/38/33/12/770383312.db2.gz ADMVDOBSYRDWAH-VEMNSZJBSA-N 0 2 322.291 0.054 20 0 DCADLN COC[C@@H](NC(=O)C=CCN(C)CC(F)(F)F)c1nn[nH]n1 ZINC001155067681 770383841 /nfs/dbraw/zinc/38/38/41/770383841.db2.gz ADMVDOBSYRDWAH-MPJRPATESA-N 0 2 322.291 0.054 20 0 DCADLN COC[C@@H](NC(=O)/C=C/CN(C)CC(F)(F)F)c1nn[nH]n1 ZINC001155067681 770383850 /nfs/dbraw/zinc/38/38/50/770383850.db2.gz ADMVDOBSYRDWAH-MPJRPATESA-N 0 2 322.291 0.054 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccnc2ccc(O)cc21 ZINC001155140574 770412135 /nfs/dbraw/zinc/41/21/35/770412135.db2.gz BXHLRVWZULITGY-LBPRGKRZSA-N 0 2 303.299 0.979 20 0 DCADLN C[NH+]1CC2(CN(C(=O)CSc3n[n-]c(=S)s3)C2)C1 ZINC001177343622 770415808 /nfs/dbraw/zinc/41/58/08/770415808.db2.gz CFSWCNXRLKHZGV-UHFFFAOYSA-N 0 2 302.450 0.693 20 0 DCADLN O=C(N[C@@H](CO)Cc1cnc[nH]1)c1[nH]nc2cc(O)ccc21 ZINC001155269630 770457430 /nfs/dbraw/zinc/45/74/30/770457430.db2.gz MUIGXLLYYXXZCN-SECBINFHSA-N 0 2 301.306 0.325 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc2n(n1)CC1(CCC1)CO2 ZINC001156173538 770738507 /nfs/dbraw/zinc/73/85/07/770738507.db2.gz XELXBXLGDCFSLY-LLVKDONJSA-N 0 2 322.346 0.485 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H]1CCC(=O)N1c1ccccc1 ZINC001181949535 771375642 /nfs/dbraw/zinc/37/56/42/771375642.db2.gz KBZXTFZTHFIOHR-NOZJJQNGSA-N 0 2 319.342 0.607 20 0 DCADLN CCOC(=O)[C@H]1c2[nH]cnc2CCN1C(=O)[C@H](O)C(F)(F)F ZINC001183199162 771623011 /nfs/dbraw/zinc/62/30/11/771623011.db2.gz DJJPUYZNMSEDRZ-BDAKNGLRSA-N 0 2 321.255 0.322 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H]1CCN1Cc1ccccc1 ZINC001183751697 771701989 /nfs/dbraw/zinc/70/19/89/771701989.db2.gz FHYGUUGBWAAILT-MFKMUULPSA-N 0 2 305.359 0.686 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1nc2ccccc2nc1C1CC1 ZINC001160521745 772057157 /nfs/dbraw/zinc/05/71/57/772057157.db2.gz GDOLIIKPJOMFIV-UHFFFAOYSA-N 0 2 311.301 0.704 20 0 DCADLN COC[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110386660 772112382 /nfs/dbraw/zinc/11/23/82/772112382.db2.gz TYPOZDNZSUNWJG-DBIOUOCHSA-N 0 2 309.370 0.014 20 0 DCADLN CO[C@@H]1CCn2cc(C(=O)NCCCc3n[nH]c(=O)[nH]3)nc2C1 ZINC001160880600 772131620 /nfs/dbraw/zinc/13/16/20/772131620.db2.gz YVPCOVXSRWPIMR-SECBINFHSA-N 0 2 320.353 0.031 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@@H]([NH2+]Cc2nccc(C)n2)C1 ZINC001187291365 772161413 /nfs/dbraw/zinc/16/14/13/772161413.db2.gz PEOQBURMOLLFIL-CQSZACIVSA-N 0 2 305.426 0.817 20 0 DCADLN CCC[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187387223 772184936 /nfs/dbraw/zinc/18/49/36/772184936.db2.gz SKGLETMOVHAWMA-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN CCC[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187387223 772184939 /nfs/dbraw/zinc/18/49/39/772184939.db2.gz SKGLETMOVHAWMA-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(c1cc(C2CC2)[nH]n1)N1CCN(c2ncc(O)cn2)CC1 ZINC001188391686 772296458 /nfs/dbraw/zinc/29/64/58/772296458.db2.gz HNPYQWVHXXPQJO-UHFFFAOYSA-N 0 2 314.349 0.745 20 0 DCADLN COC(=O)c1onc(C)c1NC(=O)c1cc(OC)nc(OC)n1 ZINC001189083510 772418010 /nfs/dbraw/zinc/41/80/10/772418010.db2.gz NZDXPSCJRABILQ-UHFFFAOYSA-N 0 2 322.277 0.829 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)Cc2ccc(F)c(F)c2)S1 ZINC001189328202 772454734 /nfs/dbraw/zinc/45/47/34/772454734.db2.gz SSTWUENLXIFBPQ-SECBINFHSA-N 0 2 322.314 0.693 20 0 DCADLN COC(=O)c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1Cl ZINC001189627135 772508661 /nfs/dbraw/zinc/50/86/61/772508661.db2.gz SSPMZVFSAOSRMI-UHFFFAOYSA-N 0 2 310.697 0.880 20 0 DCADLN Cn1cc[nH+]c1CCNC(=O)c1ccccc1S(=O)(=O)[O-] ZINC001189714075 772521033 /nfs/dbraw/zinc/52/10/33/772521033.db2.gz OQHUZRFVLPBJES-UHFFFAOYSA-N 0 2 309.347 0.639 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(N2CCCCC2)nc1 ZINC001190419612 772628001 /nfs/dbraw/zinc/62/80/01/772628001.db2.gz ONLNXJPSDIHVKH-UHFFFAOYSA-N 0 2 302.338 0.826 20 0 DCADLN CN(C)c1nc(NS(=O)(=O)c2ncc[nH]2)c(N=O)c(=O)[nH]1 ZINC001190690205 772665511 /nfs/dbraw/zinc/66/55/11/772665511.db2.gz JZASQUFURALTJG-UHFFFAOYSA-N 0 2 313.299 0.170 20 0 DCADLN O=S(=O)(c1ncc[nH]1)N1CC[C@@H]([NH+]2CCCCC2)[C@@H](F)C1 ZINC001190719060 772668015 /nfs/dbraw/zinc/66/80/15/772668015.db2.gz ZQICPQOCSQEOIS-NWDGAFQWSA-N 0 2 316.402 0.997 20 0 DCADLN O=S(=O)(c1ncc[n-]1)N1CC[C@@H]([NH+]2CCCCC2)[C@@H](F)C1 ZINC001190719060 772668018 /nfs/dbraw/zinc/66/80/18/772668018.db2.gz ZQICPQOCSQEOIS-NWDGAFQWSA-N 0 2 316.402 0.997 20 0 DCADLN CCN(C)C(=O)C[NH+](C)[C@@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190946857 772696378 /nfs/dbraw/zinc/69/63/78/772696378.db2.gz OFMPRCXYXJLKRR-HUUCEWRRSA-N 0 2 324.469 0.482 20 0 DCADLN CC(=O)CN(C)C(=O)c1cc(S(N)(=O)=O)c(Cl)cc1O ZINC001191018248 772708507 /nfs/dbraw/zinc/70/85/07/772708507.db2.gz SNIYXJZPGDPWBP-UHFFFAOYSA-N 0 2 320.754 0.354 20 0 DCADLN CC(C)=C(F)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110447422 772923727 /nfs/dbraw/zinc/92/37/27/772923727.db2.gz CNNSZYUDEZDEHD-BBBLOLIVSA-N 0 2 309.345 0.995 20 0 DCADLN C[C@@]1(CO)CN(C(=O)c2ccc(F)c(F)c2O)CC[C@@H]1O ZINC001192796606 772961335 /nfs/dbraw/zinc/96/13/35/772961335.db2.gz DFWFQYLJBDTNPF-HZMBPMFUSA-N 0 2 301.289 0.876 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2cc(F)c(O)cc2F)CCN1C ZINC001192866821 772966912 /nfs/dbraw/zinc/96/69/12/772966912.db2.gz IPNCDJPBXNUQSI-NSHDSACASA-N 0 2 314.288 0.600 20 0 DCADLN COC(=O)[C@H]1CN(C)CCN1C(=O)c1cc(F)c(O)cc1F ZINC001192872311 772967415 /nfs/dbraw/zinc/96/74/15/772967415.db2.gz MEHPPNRCCQABBC-LLVKDONJSA-N 0 2 314.288 0.600 20 0 DCADLN O=C(N[C@@H]1CN(C/C=C\Cl)C[C@H]1O)C(F)C(F)(F)F ZINC001193124057 773009933 /nfs/dbraw/zinc/00/99/33/773009933.db2.gz XJCMMHFXRZMVPP-HJSFZVJQSA-N 0 2 304.671 0.801 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC[C@@H]1CCCO1 ZINC001206148552 773051245 /nfs/dbraw/zinc/05/12/45/773051245.db2.gz JCYMKRIUWJGMRQ-GRYCIOLGSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC[C@@H]1CCCO1 ZINC001206148552 773051249 /nfs/dbraw/zinc/05/12/49/773051249.db2.gz JCYMKRIUWJGMRQ-GRYCIOLGSA-N 0 2 323.397 0.406 20 0 DCADLN Cn1[n-]c(C(=O)N2CC[NH+](CCc3ccccn3)CC2)cc1=O ZINC001194199874 773162278 /nfs/dbraw/zinc/16/22/78/773162278.db2.gz JDHJBBOHLIUQBV-UHFFFAOYSA-N 0 2 315.377 0.521 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(C(=O)OC)n(C)c2)[nH]n1 ZINC001194284151 773176441 /nfs/dbraw/zinc/17/64/41/773176441.db2.gz SQLKUPJROKFASW-UHFFFAOYSA-N 0 2 306.278 0.574 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(C(=O)OC)n(C)c2)n[nH]1 ZINC001194284151 773176442 /nfs/dbraw/zinc/17/64/42/773176442.db2.gz SQLKUPJROKFASW-UHFFFAOYSA-N 0 2 306.278 0.574 20 0 DCADLN COCCCCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195182789 773336507 /nfs/dbraw/zinc/33/65/07/773336507.db2.gz WTXNSWACDRRVTC-UHFFFAOYSA-N 0 2 311.386 0.361 20 0 DCADLN COCCC1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CC1 ZINC001196101150 773539926 /nfs/dbraw/zinc/53/99/26/773539926.db2.gz YACBXPLHDMLXIL-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN COC(=O)CCCCS(=O)(=O)Nc1nccn2cnnc12 ZINC001196914855 773668391 /nfs/dbraw/zinc/66/83/91/773668391.db2.gz MPEDYEHFAHVDKR-UHFFFAOYSA-N 0 2 313.339 0.209 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cncn2C)ccc1O ZINC001197207469 773715407 /nfs/dbraw/zinc/71/54/07/773715407.db2.gz KIOWNSMUQORILU-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN COc1cccc(CS(=O)(=O)Nc2cnc(C(N)=O)cn2)c1 ZINC001197348614 773732138 /nfs/dbraw/zinc/73/21/38/773732138.db2.gz OTXGMULNBWHTLL-UHFFFAOYSA-N 0 2 322.346 0.526 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc(-c2nnco2)cc1 ZINC001197693068 773785598 /nfs/dbraw/zinc/78/55/98/773785598.db2.gz WWGNDGJBPSEAJL-LLVKDONJSA-N 0 2 304.287 0.776 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)Cc1ccccn1)=C(C)O ZINC001197847150 773808379 /nfs/dbraw/zinc/80/83/79/773808379.db2.gz PKQZTBGFAVLNNP-NSHDSACASA-N 0 2 300.336 0.022 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc(OC(F)F)cn1 ZINC001197931752 773824968 /nfs/dbraw/zinc/82/49/68/773824968.db2.gz HZHSTARVXVNCHF-QMMMGPOBSA-N 0 2 303.246 0.722 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cccnc2OC2COC2)n1C ZINC001198356693 773915247 /nfs/dbraw/zinc/91/52/47/773915247.db2.gz XYSXYRRYIYQUJK-UHFFFAOYSA-N 0 2 324.362 0.702 20 0 DCADLN CN(C)c1nc(N=C2CCS(=O)(=O)CC2)c(N=O)c(=O)[nH]1 ZINC001216563892 774123259 /nfs/dbraw/zinc/12/32/59/774123259.db2.gz IFRVFIZDOTUHOT-UHFFFAOYSA-N 0 2 313.339 0.760 20 0 DCADLN COC(=O)c1ccnc(Cl)c1NC(=O)[C@H]([NH3+])Cc1c[nH+]c[nH]1 ZINC001218593028 774258448 /nfs/dbraw/zinc/25/84/48/774258448.db2.gz NFRHQIDODORCQR-SECBINFHSA-N 0 2 323.740 0.753 20 0 DCADLN COC(=O)CCCS(=O)(=O)Nc1ncsc1C(=O)OC ZINC001201905405 774575283 /nfs/dbraw/zinc/57/52/83/774575283.db2.gz UAPIWMXPWFPSFH-UHFFFAOYSA-N 0 2 322.364 0.625 20 0 DCADLN CCCCCCC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001220895794 774946605 /nfs/dbraw/zinc/94/66/05/774946605.db2.gz MJOAFIRRVWNDQK-MNOVXSKESA-N 0 2 311.386 0.142 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(-n2ccnn2)ccc1F ZINC001137239823 775016954 /nfs/dbraw/zinc/01/69/54/775016954.db2.gz JCPNVQXIDJZJAK-UHFFFAOYSA-N 0 2 303.257 0.160 20 0 DCADLN O=C(NC[C@]1(O)CCN(c2ncccn2)C1)C(F)C(F)(F)F ZINC001111140486 775539783 /nfs/dbraw/zinc/53/97/83/775539783.db2.gz LMZFMMGDOZULEB-GZMMTYOYSA-N 0 2 322.262 0.434 20 0 DCADLN O=C(NC[C@]1(O)CCN(c2ncccn2)C1)[C@H](F)C(F)(F)F ZINC001111140486 775539794 /nfs/dbraw/zinc/53/97/94/775539794.db2.gz LMZFMMGDOZULEB-GZMMTYOYSA-N 0 2 322.262 0.434 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[NH+]2Cc1cnn(C)c1 ZINC001111308884 775726269 /nfs/dbraw/zinc/72/62/69/775726269.db2.gz BSKZEYIKSOTXFC-OAGGEKHMSA-N 0 2 319.453 0.983 20 0 DCADLN C[C@H]1C[N@H+](Cc2ccccc2)C[C@@H]1Oc1c([O-])c(=O)c(=O)c1=O ZINC001225831738 775727088 /nfs/dbraw/zinc/72/70/88/775727088.db2.gz BDTOSROIFDQJNA-JQWIXIFHSA-N 0 2 315.325 0.248 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccccc2)C[C@@H]1Oc1c([O-])c(=O)c(=O)c1=O ZINC001225831738 775727097 /nfs/dbraw/zinc/72/70/97/775727097.db2.gz BDTOSROIFDQJNA-JQWIXIFHSA-N 0 2 315.325 0.248 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](Oc2cc(=O)[n-]c(C(F)(F)F)n2)C[N@@H+]1C ZINC001226345339 775804833 /nfs/dbraw/zinc/80/48/33/775804833.db2.gz AOYRZJIXAGGOPX-RQJHMYQMSA-N 0 2 321.255 0.826 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](Oc2cc(=O)[n-]c(C(F)(F)F)n2)C[N@H+]1C ZINC001226345339 775804838 /nfs/dbraw/zinc/80/48/38/775804838.db2.gz AOYRZJIXAGGOPX-RQJHMYQMSA-N 0 2 321.255 0.826 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226857325 775886352 /nfs/dbraw/zinc/88/63/52/775886352.db2.gz AOBVXOCNXIVXNV-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN COC1(CC(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCC1 ZINC001227099172 775928069 /nfs/dbraw/zinc/92/80/69/775928069.db2.gz DARIEDBKGSUSKE-UHFFFAOYSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1nonc1C[NH+]1CCC(NC(=O)C[N@@H+](C)C2CCC2)CC1 ZINC001227826086 776012794 /nfs/dbraw/zinc/01/27/94/776012794.db2.gz URYIGPWUIUXGPO-UHFFFAOYSA-N 0 2 321.425 0.943 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)C1CCC1 ZINC001041113757 777067370 /nfs/dbraw/zinc/06/73/70/777067370.db2.gz SEZPXUFHSFWUPV-MRVPVSSYSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1CCC1 ZINC001041113757 777067375 /nfs/dbraw/zinc/06/73/75/777067375.db2.gz SEZPXUFHSFWUPV-MRVPVSSYSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(C(F)F)N1CC[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001041876238 777560367 /nfs/dbraw/zinc/56/03/67/777560367.db2.gz LHOXNZASTLKRIM-HTQZYQBOSA-N 0 2 301.297 0.198 20 0 DCADLN Cc1ccc(NC[C@H]2CCCN2S(C)(=O)=O)[nH+]c1C(=O)[O-] ZINC001600459199 1168188022 /nfs/dbraw/zinc/18/80/22/1168188022.db2.gz FGIWLMSDBSZXMV-SNVBAGLBSA-N 0 2 313.379 0.924 20 0 DCADLN Cn1[n-]nnc1=NC(=O)c1noc2c1C[N@H+](CC(C)(C)C)CC2 ZINC001278104338 945138128 /nfs/dbraw/zinc/13/81/28/945138128.db2.gz GXELCOUNDSSYOH-UHFFFAOYSA-N 0 2 319.369 0.277 20 0 DCADLN Cn1[n-]nnc1=NC(=O)c1noc2c1C[N@@H+](CC(C)(C)C)CC2 ZINC001278104338 945138132 /nfs/dbraw/zinc/13/81/32/945138132.db2.gz GXELCOUNDSSYOH-UHFFFAOYSA-N 0 2 319.369 0.277 20 0 DCADLN CCC[C@H](OC)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481051081 939541770 /nfs/dbraw/zinc/54/17/70/939541770.db2.gz BMWZOXYQCMTJMI-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CCC[C@H](OC)C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481051081 939541772 /nfs/dbraw/zinc/54/17/72/939541772.db2.gz BMWZOXYQCMTJMI-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnn1C ZINC001408751529 939627105 /nfs/dbraw/zinc/62/71/05/939627105.db2.gz DAAFARGBIFXDSQ-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccnn1C ZINC001408751529 939627107 /nfs/dbraw/zinc/62/71/07/939627107.db2.gz DAAFARGBIFXDSQ-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)N[C@H]1CCC[NH+](CCOCCO)C1 ZINC001481535701 939924011 /nfs/dbraw/zinc/92/40/11/939924011.db2.gz MNVGISMKFBJFJQ-GJZGRUSLSA-N 0 2 313.442 0.060 20 0 DCADLN CCCC[C@H](C(N)=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001481561159 939937959 /nfs/dbraw/zinc/93/79/59/939937959.db2.gz INFLXGMSLKDZOI-RKDXNWHRSA-N 0 2 313.295 0.731 20 0 DCADLN CCCC[C@H](C(N)=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001481561159 939937961 /nfs/dbraw/zinc/93/79/61/939937961.db2.gz INFLXGMSLKDZOI-RKDXNWHRSA-N 0 2 313.295 0.731 20 0 DCADLN O=C(C[C@@H]1CC=CCC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481638882 939990644 /nfs/dbraw/zinc/99/06/44/939990644.db2.gz MRFOENIYQJPZEC-LLVKDONJSA-N 0 2 305.382 0.805 20 0 DCADLN CN1C[C@@H]2COC[C@H](C1)N2C(=O)c1csc2c1[nH]cnc2=O ZINC001269226545 940652643 /nfs/dbraw/zinc/65/26/43/940652643.db2.gz ZABDUDLDTBMKOH-DTORHVGOSA-N 0 2 320.374 0.552 20 0 DCADLN Cn1cnc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001409060252 941300010 /nfs/dbraw/zinc/30/00/10/941300010.db2.gz GQIQRUNCZSUWDF-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cnc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC001409060252 941300012 /nfs/dbraw/zinc/30/00/12/941300012.db2.gz GQIQRUNCZSUWDF-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001271385560 941363672 /nfs/dbraw/zinc/36/36/72/941363672.db2.gz ZVHCALAJUPZWLV-YUMQZZPRSA-N 0 2 317.305 0.851 20 0 DCADLN COCC(=O)NC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001409519955 942031302 /nfs/dbraw/zinc/03/13/02/942031302.db2.gz ZGMZECHUODRCJI-GXSJLCMTSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)NC[C@@](C)(NC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001409519955 942031307 /nfs/dbraw/zinc/03/13/07/942031307.db2.gz ZGMZECHUODRCJI-GXSJLCMTSA-N 0 2 314.279 0.934 20 0 DCADLN COCC[NH+](C)[C@@H](C)CNC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC001409571618 942061925 /nfs/dbraw/zinc/06/19/25/942061925.db2.gz LYXRALYSXJYDRW-WFASDCNBSA-N 0 2 323.441 0.873 20 0 DCADLN C[C@@H](CNC(=O)c1cc(C2CC2)n[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409628544 942103010 /nfs/dbraw/zinc/10/30/10/942103010.db2.gz MXGSNGQCLKWPEL-QMMMGPOBSA-N 0 2 319.369 0.361 20 0 DCADLN C[C@@H](CNC(=O)c1cc(C2CC2)n[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409628544 942103012 /nfs/dbraw/zinc/10/30/12/942103012.db2.gz MXGSNGQCLKWPEL-QMMMGPOBSA-N 0 2 319.369 0.361 20 0 DCADLN O=C(Cc1nnc(C2CC2)[nH]1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001412883058 942123340 /nfs/dbraw/zinc/12/33/40/942123340.db2.gz IHABXGDUUFUSRC-SECBINFHSA-N 0 2 317.353 0.455 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000322410242 970883689 /nfs/dbraw/zinc/88/36/89/970883689.db2.gz VUSGWPUSTOTCJY-LBPRGKRZSA-N 0 2 318.289 0.673 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000322410242 970883698 /nfs/dbraw/zinc/88/36/98/970883698.db2.gz VUSGWPUSTOTCJY-LBPRGKRZSA-N 0 2 318.289 0.673 20 0 DCADLN CCc1nnc(C[NH2+]C[C@H](NC(=O)c2nnc[nH]2)C2CC2)s1 ZINC001483595166 942452338 /nfs/dbraw/zinc/45/23/38/942452338.db2.gz OYNODVWOONSPTR-VIFPVBQESA-N 0 2 321.410 0.517 20 0 DCADLN COCC1(N(C)C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC1 ZINC001413265540 942589471 /nfs/dbraw/zinc/58/94/71/942589471.db2.gz FJAXJICQNICSAP-UHFFFAOYSA-N 0 2 318.333 0.517 20 0 DCADLN COC(=O)[C@@H](C)CN1CC[C@]2(CC[N@@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272570175 942831145 /nfs/dbraw/zinc/83/11/45/942831145.db2.gz QGKALXUTGZCCDX-NHYWBVRUSA-N 0 2 321.381 0.038 20 0 DCADLN COCC[C@H](CO)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001413355149 942920995 /nfs/dbraw/zinc/92/09/95/942920995.db2.gz MTKWGOALKINJIP-MRVPVSSYSA-N 0 2 324.786 0.881 20 0 DCADLN NC(=O)[C@@H]1C[C@@H]1C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001413406550 942957189 /nfs/dbraw/zinc/95/71/89/942957189.db2.gz FZCGNSRQOXRONZ-VWYCJHECSA-N 0 2 315.333 0.032 20 0 DCADLN NC(=O)CCCC(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001413407746 942957321 /nfs/dbraw/zinc/95/73/21/942957321.db2.gz KIMQJRNDFFQTRP-NSHDSACASA-N 0 2 317.349 0.566 20 0 DCADLN CN(C(=O)CCc1ccccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001483888259 943013274 /nfs/dbraw/zinc/01/32/74/943013274.db2.gz JKENTXNSRBGNAL-UHFFFAOYSA-N 0 2 315.377 0.786 20 0 DCADLN CO[C@@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001484054084 943122345 /nfs/dbraw/zinc/12/23/45/943122345.db2.gz IHAIZKQHIVKPNG-DOMZBBRYSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001484054084 943122349 /nfs/dbraw/zinc/12/23/49/943122349.db2.gz IHAIZKQHIVKPNG-DOMZBBRYSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](NC(=O)CCn1cccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409915861 943321712 /nfs/dbraw/zinc/32/17/12/943321712.db2.gz CCFYZCRZYOFZEG-LLVKDONJSA-N 0 2 318.381 0.339 20 0 DCADLN CO[C@@H](C)C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001493824429 943532002 /nfs/dbraw/zinc/53/20/02/943532002.db2.gz AENINBYRDDZJSI-FXQIFTODSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001493824429 943532006 /nfs/dbraw/zinc/53/20/06/943532006.db2.gz AENINBYRDDZJSI-FXQIFTODSA-N 0 2 300.252 0.639 20 0 DCADLN O=C([O-])C1CCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC001611349547 971002650 /nfs/dbraw/zinc/00/26/50/971002650.db2.gz SLNUUBSLNLUXDY-UHFFFAOYSA-N 0 2 316.317 0.596 20 0 DCADLN C[C@H](NC(=O)[C@H]1CC(=O)N(C(C)(C)C)C1)c1nn(C)cc1O ZINC001413758826 943667905 /nfs/dbraw/zinc/66/79/05/943667905.db2.gz IJIGLOVYLNARLY-UWVGGRQHSA-N 0 2 308.382 0.950 20 0 DCADLN Cc1nc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001485386273 943914923 /nfs/dbraw/zinc/91/49/23/943914923.db2.gz FAFMIJWOXSZMFK-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001485386273 943914928 /nfs/dbraw/zinc/91/49/28/943914928.db2.gz FAFMIJWOXSZMFK-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1ccc(CC(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001409921488 944143906 /nfs/dbraw/zinc/14/39/06/944143906.db2.gz NEQBCHQNJUZOEW-SNVBAGLBSA-N 0 2 319.365 0.591 20 0 DCADLN O=C(N[C@H]1CCCOCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001446879585 1013056719 /nfs/dbraw/zinc/05/67/19/1013056719.db2.gz PBNJLAPYROUXOX-NSHDSACASA-N 0 2 318.333 0.565 20 0 DCADLN NC(=O)c1nnc2n1CCN(C(=O)c1ccc(O)c(F)c1F)C2 ZINC001276858281 944332430 /nfs/dbraw/zinc/33/24/30/944332430.db2.gz DOTTZHZARSOWNV-UHFFFAOYSA-N 0 2 323.259 0.017 20 0 DCADLN CCOCC(=O)N(C)CCN(C)C(=O)C(F)C(F)(F)F ZINC001409948832 944391945 /nfs/dbraw/zinc/39/19/45/944391945.db2.gz MEGXXRHOQAOFIU-VIFPVBQESA-N 0 2 302.268 0.840 20 0 DCADLN CCOCC(=O)N(C)CCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001409948832 944391950 /nfs/dbraw/zinc/39/19/50/944391950.db2.gz MEGXXRHOQAOFIU-VIFPVBQESA-N 0 2 302.268 0.840 20 0 DCADLN COCCOC[C@H]1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC001318808853 945447792 /nfs/dbraw/zinc/44/77/92/945447792.db2.gz JOVLBSGUFDVVIL-UWVGGRQHSA-N 0 2 315.395 0.054 20 0 DCADLN CN(C)S(=O)(=O)Nc1nc2cccc(C(F)(F)F)n2n1 ZINC001251062145 945731798 /nfs/dbraw/zinc/73/17/98/945731798.db2.gz IJWDUUOILNNVHI-UHFFFAOYSA-N 0 2 309.273 0.966 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)C(C)C)c(C(=O)OC)c1 ZINC001252438957 945928700 /nfs/dbraw/zinc/92/87/00/945928700.db2.gz PUVZWSVYEKCOEM-UHFFFAOYSA-N 0 2 316.335 0.805 20 0 DCADLN CC(C)OCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001410184071 945998959 /nfs/dbraw/zinc/99/89/59/945998959.db2.gz QZWMBVCTDLLAIC-APPZFPTMSA-N 0 2 302.268 0.933 20 0 DCADLN CC(C)OCC(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001410184071 945998963 /nfs/dbraw/zinc/99/89/63/945998963.db2.gz QZWMBVCTDLLAIC-APPZFPTMSA-N 0 2 302.268 0.933 20 0 DCADLN CCCc1csc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC001320965565 946089421 /nfs/dbraw/zinc/08/94/21/946089421.db2.gz XWROLHANSQCNKQ-UHFFFAOYSA-N 0 2 310.339 0.174 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)NC(=O)C(F)C(F)(F)F ZINC001410381750 946278719 /nfs/dbraw/zinc/27/87/19/946278719.db2.gz CDQQYISVALGCGI-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001410381750 946278730 /nfs/dbraw/zinc/27/87/30/946278730.db2.gz CDQQYISVALGCGI-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN CC[C@H](C)c1nnc([C@H](C)NC(=O)CC[C@@H]2NC(=O)NC2=O)[nH]1 ZINC001321895828 946504023 /nfs/dbraw/zinc/50/40/23/946504023.db2.gz RCWNHSXLQACJQR-CIUDSAMLSA-N 0 2 322.369 0.484 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc(Cl)s2)S1 ZINC001256519531 946638986 /nfs/dbraw/zinc/63/89/86/946638986.db2.gz RTRYQWYSJIEMMJ-LURJTMIESA-N 0 2 312.781 0.989 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ncc[nH]1 ZINC001410701640 946793468 /nfs/dbraw/zinc/79/34/68/946793468.db2.gz FNFIKHOBPSTDDE-LURJTMIESA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1ncc[nH]1 ZINC001410701640 946793477 /nfs/dbraw/zinc/79/34/77/946793477.db2.gz FNFIKHOBPSTDDE-LURJTMIESA-N 0 2 308.235 0.689 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ncc(C(=O)OC)cc1Cl ZINC001259019008 946894694 /nfs/dbraw/zinc/89/46/94/946894694.db2.gz OZVMZHCKRMAVSA-UHFFFAOYSA-N 0 2 322.726 0.436 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3c2CCNC3=O)cn1 ZINC001259827599 946991498 /nfs/dbraw/zinc/99/14/98/946991498.db2.gz HMDTXIGOUDIJCO-UHFFFAOYSA-N 0 2 306.347 0.507 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)CN(C)C3=O)cn1 ZINC001259826279 946991930 /nfs/dbraw/zinc/99/19/30/946991930.db2.gz GBZIZYIVPSJDGK-UHFFFAOYSA-N 0 2 306.347 0.807 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccnc2C(=O)OC)cn1 ZINC001259832168 946994298 /nfs/dbraw/zinc/99/42/98/946994298.db2.gz JLBKJVZMGUAVAC-UHFFFAOYSA-N 0 2 310.335 0.885 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)CCC(F)(F)F)=C(C)O ZINC001259874861 947006355 /nfs/dbraw/zinc/00/63/55/947006355.db2.gz QMCRKKAUOQLNDA-ZETCQYMHSA-N 0 2 305.274 0.379 20 0 DCADLN COCCS(=O)(=O)Nc1ncccc1N1CC[NH+](C)CC1 ZINC001259966573 947039775 /nfs/dbraw/zinc/03/97/75/947039775.db2.gz KPDXTNMHUREFSG-UHFFFAOYSA-N 0 2 314.411 0.222 20 0 DCADLN Cn1cncc1NS(=O)(=O)c1ccccc1S(C)(=O)=O ZINC001260516347 947106181 /nfs/dbraw/zinc/10/61/81/947106181.db2.gz QFKVQZNAZBMAON-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1ccc2ccccc2n1 ZINC001260582947 947113754 /nfs/dbraw/zinc/11/37/54/947113754.db2.gz GDWUPKKFFWGKPK-UHFFFAOYSA-N 0 2 300.361 0.979 20 0 DCADLN Cn1cncc1NS(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC001260611507 947129591 /nfs/dbraw/zinc/12/95/91/947129591.db2.gz VGWOMZLBBABVAL-UHFFFAOYSA-N 0 2 321.318 0.575 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2c(O)nc[nH]c2=O)cc1F ZINC001260657612 947133118 /nfs/dbraw/zinc/13/31/18/947133118.db2.gz PFEORYSHJSOKEZ-UHFFFAOYSA-N 0 2 315.282 0.836 20 0 DCADLN Cc1cc(NCCNC(=O)C(F)C(F)(F)F)nc(CO)n1 ZINC001094182526 947796997 /nfs/dbraw/zinc/79/69/97/947796997.db2.gz GIUFLJDTJZLYFC-SECBINFHSA-N 0 2 310.251 0.706 20 0 DCADLN Cc1cc(NCCNC(=O)[C@@H](F)C(F)(F)F)nc(CO)n1 ZINC001094182526 947797004 /nfs/dbraw/zinc/79/70/04/947797004.db2.gz GIUFLJDTJZLYFC-SECBINFHSA-N 0 2 310.251 0.706 20 0 DCADLN CCC(=O)NCCOCCN(C)C(=O)C(F)C(F)(F)F ZINC001487573727 948119440 /nfs/dbraw/zinc/11/94/40/948119440.db2.gz PHSJPVSRXALTEB-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCC(=O)NCCOCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001487573727 948119444 /nfs/dbraw/zinc/11/94/44/948119444.db2.gz PHSJPVSRXALTEB-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCCCCC[N@H+](C)CC(=O)N1CC[NH2+]C[C@H]1C(=O)OCC ZINC001326409016 948152698 /nfs/dbraw/zinc/15/26/98/948152698.db2.gz PPMDHEOOZUSUOX-AWEZNQCLSA-N 0 2 313.442 0.862 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001487978118 948399218 /nfs/dbraw/zinc/39/92/18/948399218.db2.gz RIVQZDBPDHGOIQ-BDAKNGLRSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)C1=CCCC1 ZINC001487978118 948399228 /nfs/dbraw/zinc/39/92/28/948399228.db2.gz RIVQZDBPDHGOIQ-BDAKNGLRSA-N 0 2 312.263 0.590 20 0 DCADLN CC(=O)NCC[N@H+](Cc1ccc2c(n1)CCC2)[C@@H](C)C(=O)[O-] ZINC001602758969 971481316 /nfs/dbraw/zinc/48/13/16/971481316.db2.gz XQLDHCVTDYCXSO-NSHDSACASA-N 0 2 305.378 0.982 20 0 DCADLN CC(=O)NCC[N@@H+](Cc1ccc2c(n1)CCC2)[C@@H](C)C(=O)[O-] ZINC001602758969 971481320 /nfs/dbraw/zinc/48/13/20/971481320.db2.gz XQLDHCVTDYCXSO-NSHDSACASA-N 0 2 305.378 0.982 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)NC[C@@H](C(C)C)[NH+]1CCOCC1 ZINC001327411181 948501222 /nfs/dbraw/zinc/50/12/22/948501222.db2.gz XYCSVEACWPRERY-ZDUSSCGKSA-N 0 2 315.414 0.163 20 0 DCADLN Cc1nocc1C[NH2+]C/C=C\CNC(=O)CCc1nc[nH]n1 ZINC001273937495 948692234 /nfs/dbraw/zinc/69/22/34/948692234.db2.gz FCXRUWRLKZJQOD-IHWYPQMZSA-N 0 2 304.354 0.496 20 0 DCADLN CN(C)C(=O)[C@@H]1CCC[N@H+]1CCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001328197745 948739519 /nfs/dbraw/zinc/73/95/19/948739519.db2.gz NXKGHLXKKHKMTG-LBPRGKRZSA-N 0 2 307.398 0.011 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccnc2c1CC(=O)N2 ZINC001328666432 949014546 /nfs/dbraw/zinc/01/45/46/949014546.db2.gz MTIQLEHMVOCOFX-UHFFFAOYSA-N 0 2 305.319 0.220 20 0 DCADLN CCN(C(=O)COC)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001488925940 949360877 /nfs/dbraw/zinc/36/08/77/949360877.db2.gz PFUTUHHUSGTHQM-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(C(=O)COC)[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001488925940 949360895 /nfs/dbraw/zinc/36/08/95/949360895.db2.gz PFUTUHHUSGTHQM-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CCC[C@H](C)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001589631635 949550448 /nfs/dbraw/zinc/55/04/48/949550448.db2.gz RLAMEOPZTYDKMX-ZOWXZIJZSA-N 0 2 316.398 0.482 20 0 DCADLN CCC[C@H](C)NC(=O)[C@@H](C)[N@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001589631635 949550463 /nfs/dbraw/zinc/55/04/63/949550463.db2.gz RLAMEOPZTYDKMX-ZOWXZIJZSA-N 0 2 316.398 0.482 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)cn1 ZINC001595267777 949774409 /nfs/dbraw/zinc/77/44/09/949774409.db2.gz SCHZSGFSICTRIO-NSHDSACASA-N 0 2 320.349 0.112 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N2CC[C@H]2C2CC2)[nH]1 ZINC001364752592 949876226 /nfs/dbraw/zinc/87/62/26/949876226.db2.gz CLHBAYSIQVBNEC-VIFPVBQESA-N 0 2 313.383 0.043 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@@H]1CCCN1C(=O)Cc1ccn[nH]1 ZINC001364772356 949915187 /nfs/dbraw/zinc/91/51/87/949915187.db2.gz ZZJNWMMIEOOMFP-JTQLQIEISA-N 0 2 318.337 0.611 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1CC(=O)c2ccccc21 ZINC001446917649 1013097657 /nfs/dbraw/zinc/09/76/57/1013097657.db2.gz QHRUHKWOHIQOND-LBPRGKRZSA-N 0 2 323.312 0.515 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NCc1nccc(C)n1 ZINC001364903465 950164928 /nfs/dbraw/zinc/16/49/28/950164928.db2.gz HVKSFJLOSLBDNB-UHFFFAOYSA-N 0 2 306.413 0.627 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccccc2OC(F)(F)F)n1 ZINC001364951346 950250070 /nfs/dbraw/zinc/25/00/70/950250070.db2.gz NQIMZMLQHNMWRV-UHFFFAOYSA-N 0 2 323.256 0.910 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cn(C)c(Cl)n2)n1 ZINC001364951055 950250730 /nfs/dbraw/zinc/25/07/30/950250730.db2.gz JWRNUJXTANURMT-UHFFFAOYSA-N 0 2 305.751 0.442 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@@H+]1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC001589719042 950394929 /nfs/dbraw/zinc/39/49/29/950394929.db2.gz NMCIWGZDSVYWCT-RYUDHWBXSA-N 0 2 313.398 0.297 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@H+]1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC001589719042 950394949 /nfs/dbraw/zinc/39/49/49/950394949.db2.gz NMCIWGZDSVYWCT-RYUDHWBXSA-N 0 2 313.398 0.297 20 0 DCADLN CC[N@H+](CC(=O)NC1(C(=O)OC)CCCCC1)[C@H](C)C(=O)[O-] ZINC001589719045 950395364 /nfs/dbraw/zinc/39/53/64/950395364.db2.gz NMZPYJFTZZDUKY-LLVKDONJSA-N 0 2 314.382 0.774 20 0 DCADLN CC[N@@H+](CC(=O)NC1(C(=O)OC)CCCCC1)[C@H](C)C(=O)[O-] ZINC001589719045 950395383 /nfs/dbraw/zinc/39/53/83/950395383.db2.gz NMZPYJFTZZDUKY-LLVKDONJSA-N 0 2 314.382 0.774 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC001365056497 950431986 /nfs/dbraw/zinc/43/19/86/950431986.db2.gz PGNSRQIKPTUFEF-UHFFFAOYSA-N 0 2 316.369 0.618 20 0 DCADLN COC(=O)c1nc(C(=O)N[C@@H](C)c2nn(C)cc2O)cs1 ZINC001365122815 950561968 /nfs/dbraw/zinc/56/19/68/950561968.db2.gz ZHYKPFCVSRYVJO-LURJTMIESA-N 0 2 310.335 0.860 20 0 DCADLN CCOC(=O)[C@H](C)[NH+]1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001590058851 950780653 /nfs/dbraw/zinc/78/06/53/950780653.db2.gz SJMOOIAQLGVFKO-QWRGUYRKSA-N 0 2 312.366 0.336 20 0 DCADLN COCC(=O)NCCC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001280829670 951102265 /nfs/dbraw/zinc/10/22/65/951102265.db2.gz AJIKVBBTCWMJDX-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN COCC(=O)NCCC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001280829670 951102273 /nfs/dbraw/zinc/10/22/73/951102273.db2.gz AJIKVBBTCWMJDX-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN O=C([C@@H]1CCC1(F)F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365631046 951349465 /nfs/dbraw/zinc/34/94/65/951349465.db2.gz LJHXLUNVIBLJPK-QMMMGPOBSA-N 0 2 301.297 0.200 20 0 DCADLN O=S(=O)(NC[C@]1(O)CCOC1)c1onc(C2CC2)c1Cl ZINC001365682622 951427280 /nfs/dbraw/zinc/42/72/80/951427280.db2.gz WIWQMCDFYAXSJS-LLVKDONJSA-N 0 2 322.770 0.635 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001365710518 951464219 /nfs/dbraw/zinc/46/42/19/951464219.db2.gz QOPYSLMTRYIQIV-DCAQKATOSA-N 0 2 309.359 0.375 20 0 DCADLN CC(C)(C)c1nc(CNS(=O)(=O)N=S(C)(C)=O)n[nH]1 ZINC001365712444 951465825 /nfs/dbraw/zinc/46/58/25/951465825.db2.gz FGTCMTPFIIRDCQ-UHFFFAOYSA-N 0 2 309.417 0.164 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cnc(Cl)n2C)n1 ZINC001365739779 951493925 /nfs/dbraw/zinc/49/39/25/951493925.db2.gz ZEGXNMTWDSOTOR-UHFFFAOYSA-N 0 2 305.751 0.442 20 0 DCADLN CC(C)[C@H](C(=O)NC/C=C/C[NH2+][C@@H](C)c1nnnn1C)[NH+](C)C ZINC001274338730 951570697 /nfs/dbraw/zinc/57/06/97/951570697.db2.gz IRFNIBQDJCBFLV-AHYBDNRGSA-N 0 2 323.445 0.119 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001281676497 951596584 /nfs/dbraw/zinc/59/65/84/951596584.db2.gz DMPXHUZPCQSNEN-NCOROSKWSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001281676497 951596592 /nfs/dbraw/zinc/59/65/92/951596592.db2.gz DMPXHUZPCQSNEN-NCOROSKWSA-N 0 2 324.274 0.541 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CC[C@H](n3cc(Cl)cn3)C2)C1=O ZINC001595073617 951615284 /nfs/dbraw/zinc/61/52/84/951615284.db2.gz CIJRKXDLJTXYNH-QWRGUYRKSA-N 0 2 312.757 0.469 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CC[C@H](n3cc(Cl)cn3)C2)C1=O ZINC001595073617 951615290 /nfs/dbraw/zinc/61/52/90/951615290.db2.gz CIJRKXDLJTXYNH-QWRGUYRKSA-N 0 2 312.757 0.469 20 0 DCADLN C/C(=C/C(=O)N1C2CCC1(C(=O)[O-])CC2)C[NH+]1CCOCC1 ZINC001332638313 951737599 /nfs/dbraw/zinc/73/75/99/951737599.db2.gz UZOSTQKKECANGC-BENRWUELSA-N 0 2 308.378 0.873 20 0 DCADLN CO[C@@H](C)C(=O)N(C)CCN(C)C(=O)C(F)C(F)(F)F ZINC001416643903 951991661 /nfs/dbraw/zinc/99/16/61/951991661.db2.gz CUAXZYYQLUINBM-JGVFFNPUSA-N 0 2 302.268 0.839 20 0 DCADLN CO[C@@H](C)C(=O)N(C)CCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001416643903 951991666 /nfs/dbraw/zinc/99/16/66/951991666.db2.gz CUAXZYYQLUINBM-JGVFFNPUSA-N 0 2 302.268 0.839 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH2+][C@H]1COc2cc(F)cc(F)c21 ZINC001595124791 952004307 /nfs/dbraw/zinc/00/43/07/952004307.db2.gz GCEBYVFNBQEWPL-VIFPVBQESA-N 0 2 321.301 0.487 20 0 DCADLN C/C(=C\C(=O)N[C@]1(C(=O)[O-])CCSC1)C[NH+]1CCOCC1 ZINC001333331820 952012010 /nfs/dbraw/zinc/01/20/10/952012010.db2.gz JKRRBWDWIXSDJS-BMGYJQCNSA-N 0 2 314.407 0.341 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)N(C)C(=O)C(F)C(F)(F)F ZINC001411563373 952275970 /nfs/dbraw/zinc/27/59/70/952275970.db2.gz QBXKKRAZHOCBMQ-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001411563373 952275975 /nfs/dbraw/zinc/27/59/75/952275975.db2.gz QBXKKRAZHOCBMQ-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN CC(C)N1C(=O)CC[C@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001412062083 952625950 /nfs/dbraw/zinc/62/59/50/952625950.db2.gz BDUZCEVNUSOSSJ-QWRGUYRKSA-N 0 2 321.381 0.616 20 0 DCADLN CO[C@@H](C)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412157747 952668272 /nfs/dbraw/zinc/66/82/72/952668272.db2.gz HRGPTQUHDQNUAB-VIFPVBQESA-N 0 2 306.322 0.421 20 0 DCADLN O=C(NCc1n[nH]c(CO)n1)c1ccc(C(F)(F)F)c(O)c1 ZINC001412370979 952764873 /nfs/dbraw/zinc/76/48/73/952764873.db2.gz GWCGOKYPGLLSFE-UHFFFAOYSA-N 0 2 316.239 0.951 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCCSC1 ZINC001412695052 953001522 /nfs/dbraw/zinc/00/15/22/953001522.db2.gz SIVXKJZUMMNAQJ-UHFFFAOYSA-N 0 2 306.347 0.803 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOc3ncccc3C2)S1 ZINC001412804200 953104224 /nfs/dbraw/zinc/10/42/24/953104224.db2.gz ZKOQVSSSWBCNCV-VIFPVBQESA-N 0 2 306.347 0.359 20 0 DCADLN Cn1cc(NC(=O)CC2SC(=N)NC2=O)c(C(F)F)n1 ZINC001412826851 953116333 /nfs/dbraw/zinc/11/63/33/953116333.db2.gz KLBBBODVMCEFHX-RXMQYKEDSA-N 0 2 303.294 0.853 20 0 DCADLN CCO[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001365989265 953530250 /nfs/dbraw/zinc/53/02/50/953530250.db2.gz YYPXMLWGNNFOAM-JQWIXIFHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCCCCC(=O)[O-] ZINC001589290225 953593947 /nfs/dbraw/zinc/59/39/47/953593947.db2.gz PQZWOONHQJIATB-CHWSQXEVSA-N 0 2 313.398 0.746 20 0 DCADLN CN(CCNC(=O)C1(C(F)(F)F)CCC1)Cc1n[nH]c(=O)[nH]1 ZINC001366541300 954387926 /nfs/dbraw/zinc/38/79/26/954387926.db2.gz UNKOZYZIQVLNLH-UHFFFAOYSA-N 0 2 321.303 0.791 20 0 DCADLN C[N@H+](CCNC(=O)C1(C(F)(F)F)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001366541300 954387935 /nfs/dbraw/zinc/38/79/35/954387935.db2.gz UNKOZYZIQVLNLH-UHFFFAOYSA-N 0 2 321.303 0.791 20 0 DCADLN C[N@@H+](CCNC(=O)C1(C(F)(F)F)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001366541300 954387941 /nfs/dbraw/zinc/38/79/41/954387941.db2.gz UNKOZYZIQVLNLH-UHFFFAOYSA-N 0 2 321.303 0.791 20 0 DCADLN CN(CCCNC(=O)Cc1cc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001283513980 954414424 /nfs/dbraw/zinc/41/44/24/954414424.db2.gz XJWQJCHRAAUVAH-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCCNC(=O)Cc1cc[nH]n1)C(=O)[C@H](F)C(F)(F)F ZINC001283513980 954414429 /nfs/dbraw/zinc/41/44/29/954414429.db2.gz XJWQJCHRAAUVAH-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1CC2CCC1CC2)Cc1n[nH]c(=O)[n-]1 ZINC001366597022 954485033 /nfs/dbraw/zinc/48/50/33/954485033.db2.gz ULLHOBPLDPZKGT-MCIGGMRASA-N 0 2 307.398 0.885 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1CC2CCC1CC2)Cc1n[nH]c(=O)[n-]1 ZINC001366597022 954485041 /nfs/dbraw/zinc/48/50/41/954485041.db2.gz ULLHOBPLDPZKGT-MCIGGMRASA-N 0 2 307.398 0.885 20 0 DCADLN CN(CCCNC(=O)Cc1c[nH]c[nH+]1)C(=O)[C@H]1CCCC[N@H+]1C ZINC001351959640 955475440 /nfs/dbraw/zinc/47/54/40/955475440.db2.gz ZREISQUCYCKDKJ-CQSZACIVSA-N 0 2 321.425 0.401 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NC[C@@H](C)C[NH2+]Cc1csnn1 ZINC001367287402 955590331 /nfs/dbraw/zinc/59/03/31/955590331.db2.gz HUONDKDZPPCUNK-JTQLQIEISA-N 0 2 308.411 0.585 20 0 DCADLN COC(=O)c1cc(C[NH2+][C@H](Cc2ccncc2)C(=O)[O-])on1 ZINC001593869963 955623369 /nfs/dbraw/zinc/62/33/69/955623369.db2.gz DOGILFZXZZQRGG-LLVKDONJSA-N 0 2 305.290 0.642 20 0 DCADLN C[C@@H](CNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1)[NH+]1CCN(C)CC1 ZINC001589056503 955699379 /nfs/dbraw/zinc/69/93/79/955699379.db2.gz IQBVKJPMHXANEQ-XQQFMLRXSA-N 0 2 312.414 0.175 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC001594572208 955901634 /nfs/dbraw/zinc/90/16/34/955901634.db2.gz YYBSQEXSUWXFIA-LLVKDONJSA-N 0 2 307.272 0.865 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC001594572208 955901646 /nfs/dbraw/zinc/90/16/46/955901646.db2.gz YYBSQEXSUWXFIA-LLVKDONJSA-N 0 2 307.272 0.865 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)N[C@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC001593924164 956104070 /nfs/dbraw/zinc/10/40/70/956104070.db2.gz XJCYKVZOQZVBKI-TZMCWYRMSA-N 0 2 317.345 0.920 20 0 DCADLN COCCOCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001354304708 956343606 /nfs/dbraw/zinc/34/36/06/956343606.db2.gz IIKRVXPCGDXJBZ-IONNQARKSA-N 0 2 318.267 0.171 20 0 DCADLN COCCOCC(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001354304708 956343617 /nfs/dbraw/zinc/34/36/17/956343617.db2.gz IIKRVXPCGDXJBZ-IONNQARKSA-N 0 2 318.267 0.171 20 0 DCADLN O=C(NCC1CN(C(=O)[C@]23C[C@H]2COC3)C1)C(F)C(F)(F)F ZINC001416093210 956479251 /nfs/dbraw/zinc/47/92/51/956479251.db2.gz LFOTYUPSKPPWGG-SBMIAAHKSA-N 0 2 324.274 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@]23C[C@H]2COC3)C1)[C@@H](F)C(F)(F)F ZINC001416093210 956479258 /nfs/dbraw/zinc/47/92/58/956479258.db2.gz LFOTYUPSKPPWGG-SBMIAAHKSA-N 0 2 324.274 0.498 20 0 DCADLN CCn1nncc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001472959461 956631317 /nfs/dbraw/zinc/63/13/17/956631317.db2.gz CBWOZDVZGFDOMR-JTQLQIEISA-N 0 2 323.294 0.843 20 0 DCADLN CCn1nncc1CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001472959461 956631327 /nfs/dbraw/zinc/63/13/27/956631327.db2.gz CBWOZDVZGFDOMR-JTQLQIEISA-N 0 2 323.294 0.843 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2cnn3ccccc23)CCO1 ZINC001593984290 956747461 /nfs/dbraw/zinc/74/74/61/956747461.db2.gz PEFVGRCRIKYUIM-OAHLLOKOSA-N 0 2 305.334 0.636 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2cnn3ccccc23)CCO1 ZINC001593984290 956747468 /nfs/dbraw/zinc/74/74/68/956747468.db2.gz PEFVGRCRIKYUIM-OAHLLOKOSA-N 0 2 305.334 0.636 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](C[C@@H](O)c2ccccc2F)CCO1 ZINC001593984884 956757479 /nfs/dbraw/zinc/75/74/79/956757479.db2.gz COHJEIOJWKXZSH-HIFRSBDPSA-N 0 2 313.325 0.661 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](C[C@@H](O)c2ccccc2F)CCO1 ZINC001593984884 956757484 /nfs/dbraw/zinc/75/74/84/956757484.db2.gz COHJEIOJWKXZSH-HIFRSBDPSA-N 0 2 313.325 0.661 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](C[C@@H](O)c2cccc(F)c2)CCO1 ZINC001593985644 956772720 /nfs/dbraw/zinc/77/27/20/956772720.db2.gz IFMZPVZXCIGSPH-HIFRSBDPSA-N 0 2 313.325 0.661 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](C[C@@H](O)c2cccc(F)c2)CCO1 ZINC001593985644 956772725 /nfs/dbraw/zinc/77/27/25/956772725.db2.gz IFMZPVZXCIGSPH-HIFRSBDPSA-N 0 2 313.325 0.661 20 0 DCADLN COc1c(C)c[nH+]c(CN(C)C(=O)[C@H]2CC(C(=O)[O-])=NO2)c1C ZINC001594046432 957932586 /nfs/dbraw/zinc/93/25/86/957932586.db2.gz JBLSLORTYSBSCZ-GFCCVEGCSA-N 0 2 321.333 0.895 20 0 DCADLN CO[C@](C)([C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC001361409953 957941184 /nfs/dbraw/zinc/94/11/84/957941184.db2.gz CBRBECMAUQJOIL-BJOHPYRUSA-N 0 2 319.365 0.391 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001367517964 957952798 /nfs/dbraw/zinc/95/27/98/957952798.db2.gz KZBQZANVUWJVSA-SECBINFHSA-N 0 2 317.340 0.836 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001367517964 957952810 /nfs/dbraw/zinc/95/28/10/957952810.db2.gz KZBQZANVUWJVSA-SECBINFHSA-N 0 2 317.340 0.836 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc2n(n1)CCCC2=O ZINC001296734811 958044017 /nfs/dbraw/zinc/04/40/17/958044017.db2.gz RPRRZMIFACEBEX-UHFFFAOYSA-N 0 2 307.335 0.504 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CCC(=O)N(c3cnn(C)c3)C2)n1 ZINC001361671264 958198010 /nfs/dbraw/zinc/19/80/10/958198010.db2.gz NVSRZHIZSXFCRB-VIFPVBQESA-N 0 2 303.326 0.228 20 0 DCADLN COc1ccc(C[N@H+](C)[C@@H]2CCN(CC(=O)[O-])C2=O)c(OC)c1 ZINC001594092281 958357282 /nfs/dbraw/zinc/35/72/82/958357282.db2.gz XJOYTQOOHZJZCD-CYBMUJFWSA-N 0 2 322.361 0.821 20 0 DCADLN COc1ccc(C[N@@H+](C)[C@@H]2CCN(CC(=O)[O-])C2=O)c(OC)c1 ZINC001594092281 958357294 /nfs/dbraw/zinc/35/72/94/958357294.db2.gz XJOYTQOOHZJZCD-CYBMUJFWSA-N 0 2 322.361 0.821 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)CC1(C)C ZINC000110719365 958395003 /nfs/dbraw/zinc/39/50/03/958395003.db2.gz XETICJWFFOBGNK-UHFFFAOYSA-N 0 2 319.427 0.054 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)CC1(C)C ZINC000110719365 958395022 /nfs/dbraw/zinc/39/50/22/958395022.db2.gz XETICJWFFOBGNK-UHFFFAOYSA-N 0 2 319.427 0.054 20 0 DCADLN COc1ccc2c(c1)C[C@H](C[NH+]1CCN(C(=O)C(=O)[O-])CC1)O2 ZINC001594113742 958540018 /nfs/dbraw/zinc/54/00/18/958540018.db2.gz ISAWLGWWOAXVFB-CYBMUJFWSA-N 0 2 320.345 0.228 20 0 DCADLN COc1cccc([C@@H]2CN(C(=O)[C@@](C)(OC)C(=O)[O-])CC[NH2+]2)c1 ZINC001594125414 958635148 /nfs/dbraw/zinc/63/51/48/958635148.db2.gz UGFALLPVXOGEEE-XJKSGUPXSA-N 0 2 322.361 0.658 20 0 DCADLN CC(=O)N1CCC(NC(=O)C[N@@H+]([C@@H](C)C(=O)[O-])C2CC2)CC1 ZINC001588494098 958663981 /nfs/dbraw/zinc/66/39/81/958663981.db2.gz GGSHOULKOUXIDY-JTQLQIEISA-N 0 2 311.382 0.051 20 0 DCADLN CC(=O)N1CCC(NC(=O)C[N@H+]([C@@H](C)C(=O)[O-])C2CC2)CC1 ZINC001588494098 958663985 /nfs/dbraw/zinc/66/39/85/958663985.db2.gz GGSHOULKOUXIDY-JTQLQIEISA-N 0 2 311.382 0.051 20 0 DCADLN Cc1cccc([C@H](NS(=O)(=O)CCn2cc[nH+]c2)C(=O)[O-])c1 ZINC001594399336 958826096 /nfs/dbraw/zinc/82/60/96/958826096.db2.gz GPSKSVNXDKZJAL-ZDUSSCGKSA-N 0 2 323.374 0.937 20 0 DCADLN CC1(C)CO[C@@H](CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001367945937 958928725 /nfs/dbraw/zinc/92/87/25/958928725.db2.gz DTJVPAMTHRQQRE-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN CCn1cc(Br)c(C(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC001362210987 958936210 /nfs/dbraw/zinc/93/62/10/958936210.db2.gz BLWHWCFJYXEYEU-UHFFFAOYSA-N 0 2 315.131 0.419 20 0 DCADLN COC(C)(C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362269337 959038576 /nfs/dbraw/zinc/03/85/76/959038576.db2.gz WWVLFLANAJWINP-UHFFFAOYSA-N 0 2 306.322 0.421 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1)n1cc[nH+]c1 ZINC001588554023 959043288 /nfs/dbraw/zinc/04/32/88/959043288.db2.gz KMPCGCJTHXWEKO-DILZAHMFSA-N 0 2 307.350 0.973 20 0 DCADLN C[C@H](NC(=O)c1cc(Br)cc(F)c1O)C(N)=O ZINC001362334159 959163914 /nfs/dbraw/zinc/16/39/14/959163914.db2.gz JDSVYTGNZNSWSO-BYPYZUCNSA-N 0 2 305.103 0.897 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001377027736 959347584 /nfs/dbraw/zinc/34/75/84/959347584.db2.gz PJVVVVCMHJJCNQ-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)n(C)n1 ZINC001377027736 959347600 /nfs/dbraw/zinc/34/76/00/959347600.db2.gz PJVVVVCMHJJCNQ-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1[nH]c([C@H]2CCCN2[C@@H]2CCN(CC(=O)[O-])C2=O)[nH+]c1C ZINC001594452078 959385364 /nfs/dbraw/zinc/38/53/64/959385364.db2.gz WLFWKMSXSWNOJF-VXGBXAGGSA-N 0 2 306.366 0.849 20 0 DCADLN C[C@H]1CCC[C@@H]1NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001368212225 959451728 /nfs/dbraw/zinc/45/17/28/959451728.db2.gz UJHDCDUXMRLIID-IUCAKERBSA-N 0 2 315.399 0.337 20 0 DCADLN O=C(N[C@@]1(CO)CCCN(CCF)C1)C(F)C(F)(F)F ZINC001368385042 959783674 /nfs/dbraw/zinc/78/36/74/959783674.db2.gz VFRWOXSRWMJTNT-WPRPVWTQSA-N 0 2 304.259 0.799 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(NCCOCC(=O)[O-])[nH+]cn2)C1 ZINC001603266473 972460443 /nfs/dbraw/zinc/46/04/43/972460443.db2.gz WYURGDLQMQRTKJ-QWRGUYRKSA-N 0 2 310.354 0.197 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(NCCOCC(=O)[O-])nc[nH+]2)C1 ZINC001603266473 972460450 /nfs/dbraw/zinc/46/04/50/972460450.db2.gz WYURGDLQMQRTKJ-QWRGUYRKSA-N 0 2 310.354 0.197 20 0 DCADLN Cc1cc(C)c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001492363831 959883826 /nfs/dbraw/zinc/88/38/26/959883826.db2.gz XXVBUQGRCGVBGH-UHFFFAOYSA-N 0 2 320.353 0.090 20 0 DCADLN Cc1cc(C)c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001492363831 959883835 /nfs/dbraw/zinc/88/38/35/959883835.db2.gz XXVBUQGRCGVBGH-UHFFFAOYSA-N 0 2 320.353 0.090 20 0 DCADLN CN1C(=O)CN=C1NC(=O)c1cccc(Br)c1O ZINC001362727703 959899940 /nfs/dbraw/zinc/89/99/40/959899940.db2.gz VZSBLGSIPSXKBV-UHFFFAOYSA-N 0 2 312.123 0.713 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362743967 959921076 /nfs/dbraw/zinc/92/10/76/959921076.db2.gz XSZUNRIBXAOWMG-LPEHRKFASA-N 0 2 308.338 0.416 20 0 DCADLN CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@@H]1CCSC1 ZINC001362783306 959980703 /nfs/dbraw/zinc/98/07/03/959980703.db2.gz SSMRZMXMHQOGGF-LLVKDONJSA-N 0 2 320.374 0.844 20 0 DCADLN Cn1cnnc1[C@H]1CCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC001362806162 960015436 /nfs/dbraw/zinc/01/54/36/960015436.db2.gz CPEDEWLOIHFGJY-SFYZADRCSA-N 0 2 308.367 0.035 20 0 DCADLN COC[C@@H](C)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377411220 960050906 /nfs/dbraw/zinc/05/09/06/960050906.db2.gz NFJVFLGTABFXIH-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@@H](C)CC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377411220 960050915 /nfs/dbraw/zinc/05/09/15/960050915.db2.gz NFJVFLGTABFXIH-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CC=C(C=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)CC ZINC001304087509 960075564 /nfs/dbraw/zinc/07/55/64/960075564.db2.gz WRERUWZGGWTLRM-PKOYOFPESA-N 0 2 312.395 0.878 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]([C@H](CO)C2CC2)C2CC2)S1 ZINC001362894996 960143172 /nfs/dbraw/zinc/14/31/72/960143172.db2.gz SEMLPIGATSNNAU-JFGNBEQYSA-N 0 2 311.407 0.456 20 0 DCADLN CC(=O)CSCC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001362967597 960239662 /nfs/dbraw/zinc/23/96/62/960239662.db2.gz AYNYOXIBRQMCNC-UHFFFAOYSA-N 0 2 313.379 0.519 20 0 DCADLN CC(C)(NC(=O)c1ccc(NS(C)(=O)=O)nc1)c1c[nH]nn1 ZINC001363021497 960307650 /nfs/dbraw/zinc/30/76/50/960307650.db2.gz NNALKAZGXMYENY-UHFFFAOYSA-N 0 2 324.366 0.236 20 0 DCADLN CCn1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)c(C2CC2)n1 ZINC001363149164 960484187 /nfs/dbraw/zinc/48/41/87/960484187.db2.gz ICRJTNPUCSJDRS-UHFFFAOYSA-N 0 2 303.322 0.912 20 0 DCADLN CC[C@@H](CNC(=O)Cn1cc[nH+]c1C)[NH2+]Cc1cc(OC)no1 ZINC001378345691 960622789 /nfs/dbraw/zinc/62/27/89/960622789.db2.gz ZXYCDBLZGLVTPU-LBPRGKRZSA-N 0 2 321.381 0.873 20 0 DCADLN C[C@H](NC(=O)CCc1ccoc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378499699 960875787 /nfs/dbraw/zinc/87/57/87/960875787.db2.gz OTWREGYRPFJCDQ-JTQLQIEISA-N 0 2 319.365 0.673 20 0 DCADLN CCOC(=O)c1cn[nH]c1[C@@H]1CCN(C(=O)Cc2cc[nH]n2)C1 ZINC001363329190 960908926 /nfs/dbraw/zinc/90/89/26/960908926.db2.gz PVTRNUPYLVQOKE-SNVBAGLBSA-N 0 2 317.349 0.868 20 0 DCADLN C[C@@H]1CCc2[nH]nc(C(=O)NCc3nc(O)cc(=O)[nH]3)c2C1 ZINC001363329751 960909455 /nfs/dbraw/zinc/90/94/55/960909455.db2.gz RLPFNKIIYFRPRS-SSDOTTSWSA-N 0 2 303.322 0.666 20 0 DCADLN Cc1cc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)ncn1 ZINC001377890969 960914905 /nfs/dbraw/zinc/91/49/05/960914905.db2.gz LJUROWUGDAVFTA-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN Cc1cc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)ncn1 ZINC001377890969 960914923 /nfs/dbraw/zinc/91/49/23/960914923.db2.gz LJUROWUGDAVFTA-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1occ2c1CCOC2 ZINC001571083886 961024607 /nfs/dbraw/zinc/02/46/07/961024607.db2.gz BDJJVPBKXBDVCD-LLVKDONJSA-N 0 2 305.290 0.501 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1occ2c1CCOC2 ZINC001571083886 961024624 /nfs/dbraw/zinc/02/46/24/961024624.db2.gz BDJJVPBKXBDVCD-LLVKDONJSA-N 0 2 305.290 0.501 20 0 DCADLN Cn1cc([C@@H]2CN(c3[nH+]cccc3C(=O)[O-])C[C@H]2C(N)=O)cn1 ZINC001549037354 1013239110 /nfs/dbraw/zinc/23/91/10/1013239110.db2.gz QQKQLOGMFPWUOL-NWDGAFQWSA-N 0 2 315.333 0.219 20 0 DCADLN CC(C)(CCC(=O)[O-])NS(=O)(=O)CCC[NH+]1CCOCC1 ZINC001588768445 961255751 /nfs/dbraw/zinc/25/57/51/961255751.db2.gz ROVYUASFXGVTRW-UHFFFAOYSA-N 0 2 322.427 0.272 20 0 DCADLN O=C(c1cnc(C2CC2)[nH]c1=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001363525916 961362346 /nfs/dbraw/zinc/36/23/46/961362346.db2.gz PTTBSVAGCYZCOP-MRVPVSSYSA-N 0 2 301.310 0.202 20 0 DCADLN C[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)(F)F ZINC001363635748 961596038 /nfs/dbraw/zinc/59/60/38/961596038.db2.gz SBZIPUZWXXHUPD-LURJTMIESA-N 0 2 310.326 0.257 20 0 DCADLN C[C@H](NC(=O)[C@H]1CC(c2ccccn2)=NO1)c1nn(C)cc1O ZINC001363667568 961659155 /nfs/dbraw/zinc/65/91/55/961659155.db2.gz PGFSCSJVAJXDKE-TVQRCGJNSA-N 0 2 315.333 0.891 20 0 DCADLN CCC[NH+](C)CC(=O)N(CC)[C@@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001378215524 961679372 /nfs/dbraw/zinc/67/93/72/961679372.db2.gz NNJRAYYUYBEKNB-CQSZACIVSA-N 0 2 322.457 0.580 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)CC1CCCC1)c1nn(C)cc1O ZINC001363847776 962016913 /nfs/dbraw/zinc/01/69/13/962016913.db2.gz HNGJLQAZFIXQEK-SNVBAGLBSA-N 0 2 308.382 0.999 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001379081101 962048099 /nfs/dbraw/zinc/04/80/99/962048099.db2.gz UKEGFTCLEVENGS-UTUOFQBUSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001379081101 962048115 /nfs/dbraw/zinc/04/81/15/962048115.db2.gz UKEGFTCLEVENGS-UTUOFQBUSA-N 0 2 307.398 0.837 20 0 DCADLN CC(C)n1nccc1CNCc1n[nH]c(CS(C)(=O)=O)n1 ZINC001363876780 962062753 /nfs/dbraw/zinc/06/27/53/962062753.db2.gz ZWNMONGFMGYXOC-UHFFFAOYSA-N 0 2 312.399 0.417 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnc(-n3cccn3)c2)S1 ZINC001363922286 962130609 /nfs/dbraw/zinc/13/06/09/962130609.db2.gz QPKBKWAREHMQPQ-SECBINFHSA-N 0 2 316.346 0.762 20 0 DCADLN O=C([O-])C1=NO[C@H](C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)C1 ZINC001574640340 962342506 /nfs/dbraw/zinc/34/25/06/962342506.db2.gz PRZUJFJHBDNSKM-PWSUYJOCSA-N 0 2 305.290 0.291 20 0 DCADLN O=C(NCCn1cc[nH+]c1)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC001364209155 962673892 /nfs/dbraw/zinc/67/38/92/962673892.db2.gz OVBYESDJVLCILO-SNVBAGLBSA-N 0 2 305.342 0.296 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)[nH]1 ZINC001364285634 962819342 /nfs/dbraw/zinc/81/93/42/962819342.db2.gz OIIMGOIADJGASZ-BBBLOLIVSA-N 0 2 312.395 0.402 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)NC[C@H]2CCCC[N@@H+]2CCO)c1 ZINC001364289664 962829745 /nfs/dbraw/zinc/82/97/45/962829745.db2.gz UZLXCRBPJGVKGI-CQSZACIVSA-N 0 2 309.414 0.109 20 0 DCADLN C[C@]1(NC(=O)C2(F)CCCC2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380357869 963096359 /nfs/dbraw/zinc/09/63/59/963096359.db2.gz QCRUAWBGNAXFQN-ZDUSSCGKSA-N 0 2 311.361 0.873 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000313998248 963698580 /nfs/dbraw/zinc/69/85/80/963698580.db2.gz CPRUKZBUTMEAFM-SNVBAGLBSA-N 0 2 304.262 0.744 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000313998248 963698584 /nfs/dbraw/zinc/69/85/84/963698584.db2.gz CPRUKZBUTMEAFM-SNVBAGLBSA-N 0 2 304.262 0.744 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1Cc2ccccc2O1 ZINC000314801983 963813143 /nfs/dbraw/zinc/81/31/43/963813143.db2.gz SXDVUFUZNRVDNN-YPMHNXCESA-N 0 2 301.302 0.525 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1Cc2ccccc2O1 ZINC000314801983 963813149 /nfs/dbraw/zinc/81/31/49/963813149.db2.gz SXDVUFUZNRVDNN-YPMHNXCESA-N 0 2 301.302 0.525 20 0 DCADLN O=C([O-])[C@]1(C(F)(F)F)CCN(C(=O)NCCn2cc[nH+]c2)C1 ZINC000315112423 963857521 /nfs/dbraw/zinc/85/75/21/963857521.db2.gz LSOKYGONZBDPIN-NSHDSACASA-N 0 2 320.271 0.932 20 0 DCADLN COCCCNC(=O)C[N@@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000317041346 964090735 /nfs/dbraw/zinc/09/07/35/964090735.db2.gz JGQYVRROAHALDX-UHFFFAOYSA-N 0 2 306.362 0.896 20 0 DCADLN COCCCNC(=O)C[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000317041346 964090749 /nfs/dbraw/zinc/09/07/49/964090749.db2.gz JGQYVRROAHALDX-UHFFFAOYSA-N 0 2 306.362 0.896 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1(C(F)F)CCC1 ZINC001375333679 964269365 /nfs/dbraw/zinc/26/93/65/964269365.db2.gz JPZKGPXJNMEXSV-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN CCC(CC)C(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001376080907 965171566 /nfs/dbraw/zinc/17/15/66/965171566.db2.gz QPXPGSCFPNPVNI-UHFFFAOYSA-N 0 2 324.429 0.180 20 0 DCADLN Cc1cc(CCC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001376141891 965271346 /nfs/dbraw/zinc/27/13/46/965271346.db2.gz IECZAODAOWCQJX-LLVKDONJSA-N 0 2 320.353 0.130 20 0 DCADLN C[C@@H](CNC(=O)c1ncc[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001369823915 965453709 /nfs/dbraw/zinc/45/37/09/965453709.db2.gz AGSBCAOTALSRCT-BQBZGAKWSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)c1ncc[nH]1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001369823915 965453721 /nfs/dbraw/zinc/45/37/21/965453721.db2.gz AGSBCAOTALSRCT-BQBZGAKWSA-N 0 2 310.251 0.887 20 0 DCADLN CC[C@@H](OC)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001374607621 965630610 /nfs/dbraw/zinc/63/06/10/965630610.db2.gz FNSRTZKCGDTEHY-HTQZYQBOSA-N 0 2 300.252 0.639 20 0 DCADLN CC[C@@H](OC)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374607621 965630615 /nfs/dbraw/zinc/63/06/15/965630615.db2.gz FNSRTZKCGDTEHY-HTQZYQBOSA-N 0 2 300.252 0.639 20 0 DCADLN CCCCCC[C@](O)(C[N@H+](C)Cc1n[nH]c(=O)o1)C(=O)[O-] ZINC001605266152 1169883502 /nfs/dbraw/zinc/88/35/02/1169883502.db2.gz QOHQDCZXCAHCKC-ZDUSSCGKSA-N 0 2 301.343 0.993 20 0 DCADLN CCCCCC[C@](O)(C[N@@H+](C)Cc1n[nH]c(=O)o1)C(=O)[O-] ZINC001605266152 1169883505 /nfs/dbraw/zinc/88/35/05/1169883505.db2.gz QOHQDCZXCAHCKC-ZDUSSCGKSA-N 0 2 301.343 0.993 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001370465484 966155361 /nfs/dbraw/zinc/15/53/61/966155361.db2.gz NKYLJCIWYVIOOH-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn[nH]c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001370465484 966155364 /nfs/dbraw/zinc/15/53/64/966155364.db2.gz NKYLJCIWYVIOOH-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001370470614 966162109 /nfs/dbraw/zinc/16/21/09/966162109.db2.gz PONQXTLXBIROBX-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001370470614 966162114 /nfs/dbraw/zinc/16/21/14/966162114.db2.gz PONQXTLXBIROBX-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN CC(F)(F)C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370635536 966308922 /nfs/dbraw/zinc/30/89/22/966308922.db2.gz IINJARZGTDMQKV-WHFBIAKZSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001370635536 966308930 /nfs/dbraw/zinc/30/89/30/966308930.db2.gz IINJARZGTDMQKV-WHFBIAKZSA-N 0 2 310.194 0.135 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001375105640 966360249 /nfs/dbraw/zinc/36/02/49/966360249.db2.gz HAUSVJQLUHETKX-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001375105640 966360260 /nfs/dbraw/zinc/36/02/60/966360260.db2.gz HAUSVJQLUHETKX-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001375108206 966362651 /nfs/dbraw/zinc/36/26/51/966362651.db2.gz QXCGENTZWYRAGI-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001375108206 966362660 /nfs/dbraw/zinc/36/26/60/966362660.db2.gz QXCGENTZWYRAGI-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CCc1noc([C@H](C)N(C)[C@@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001381537952 966513530 /nfs/dbraw/zinc/51/35/30/966513530.db2.gz CNHXHEPWPPRGAV-IUCAKERBSA-N 0 2 307.358 0.561 20 0 DCADLN Cc1ccoc1CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381580786 966550911 /nfs/dbraw/zinc/55/09/11/966550911.db2.gz ZVFIQIONWWKGEU-JTQLQIEISA-N 0 2 307.354 0.591 20 0 DCADLN Cc1ccoc1CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381580786 966550919 /nfs/dbraw/zinc/55/09/19/966550919.db2.gz ZVFIQIONWWKGEU-JTQLQIEISA-N 0 2 307.354 0.591 20 0 DCADLN CNC(=O)C[NH2+]C[C@@H]1CCCCN1C(=O)CCc1[nH+]ccn1C ZINC001370931145 966596255 /nfs/dbraw/zinc/59/62/55/966596255.db2.gz MIALOFXQDNJGBA-ZDUSSCGKSA-N 0 2 321.425 0.069 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001382025924 966874332 /nfs/dbraw/zinc/87/43/32/966874332.db2.gz LYRVHEJRJZBCTH-CAHLUQPWSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001382025924 966874338 /nfs/dbraw/zinc/87/43/38/966874338.db2.gz LYRVHEJRJZBCTH-CAHLUQPWSA-N 0 2 312.223 0.547 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001371592235 967153492 /nfs/dbraw/zinc/15/34/92/967153492.db2.gz LQSFRQFVKKEFSS-CBAPKCEASA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1ccn[nH]1)C(=O)C(F)C(F)(F)F ZINC001371592235 967153502 /nfs/dbraw/zinc/15/35/02/967153502.db2.gz LQSFRQFVKKEFSS-CBAPKCEASA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001371592232 967153510 /nfs/dbraw/zinc/15/35/10/967153510.db2.gz LQSFRQFVKKEFSS-APPZFPTMSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1ccn[nH]1)C(=O)C(F)C(F)(F)F ZINC001371592232 967153518 /nfs/dbraw/zinc/15/35/18/967153518.db2.gz LQSFRQFVKKEFSS-APPZFPTMSA-N 0 2 322.262 0.983 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001371679324 967233995 /nfs/dbraw/zinc/23/39/95/967233995.db2.gz SMOCGOQCRHQYGN-ZQARSLAVSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001371679324 967234006 /nfs/dbraw/zinc/23/40/06/967234006.db2.gz SMOCGOQCRHQYGN-ZQARSLAVSA-N 0 2 322.262 0.935 20 0 DCADLN Cc1ncncc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001416875389 967361499 /nfs/dbraw/zinc/36/14/99/967361499.db2.gz FYFAEAFZFJERJX-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ncncc1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001416875389 967361507 /nfs/dbraw/zinc/36/15/07/967361507.db2.gz FYFAEAFZFJERJX-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[NH+]1CCN(c2ncccn2)CC1 ZINC000062183558 967543012 /nfs/dbraw/zinc/54/30/12/967543012.db2.gz PORUNQUNLKKEPA-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN Cn1ncc(C(=O)OC(C)(C)C)c1CNCc1nnc(CO)[nH]1 ZINC001414118376 967760942 /nfs/dbraw/zinc/76/09/42/967760942.db2.gz LQQJSXFWXQQJCQ-UHFFFAOYSA-N 0 2 322.369 0.276 20 0 DCADLN CCCc1cc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001372392519 967956159 /nfs/dbraw/zinc/95/61/59/967956159.db2.gz WMXWSRDCEQTMHQ-UHFFFAOYSA-N 0 2 321.385 0.438 20 0 DCADLN CCCc1cc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001372392519 967956165 /nfs/dbraw/zinc/95/61/65/967956165.db2.gz WMXWSRDCEQTMHQ-UHFFFAOYSA-N 0 2 321.385 0.438 20 0 DCADLN COCC1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001372537106 968097027 /nfs/dbraw/zinc/09/70/27/968097027.db2.gz YTUKIQNGEZKYJZ-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001372537106 968097039 /nfs/dbraw/zinc/09/70/39/968097039.db2.gz YTUKIQNGEZKYJZ-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)NC(=O)C[N@@H+]2CCC[C@H]2C)c1[O-] ZINC001372819938 968419701 /nfs/dbraw/zinc/41/97/01/968419701.db2.gz KZTDPKCJHDUPJP-VHSXEESVSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)NC(=O)C[N@H+]2CCC[C@H]2C)c1[O-] ZINC001372819938 968419706 /nfs/dbraw/zinc/41/97/06/968419706.db2.gz KZTDPKCJHDUPJP-VHSXEESVSA-N 0 2 323.397 0.143 20 0 DCADLN COC[C@@H](C)[NH+]1CCN(CCN(C)C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001373268216 968875672 /nfs/dbraw/zinc/87/56/72/968875672.db2.gz XOXSNOVRDZHBJQ-CQSZACIVSA-N 0 2 323.441 0.063 20 0 DCADLN C[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001373543693 969212486 /nfs/dbraw/zinc/21/24/86/969212486.db2.gz LEQQJVINSLNDAP-JTQLQIEISA-N 0 2 307.398 0.885 20 0 DCADLN COc1cc(-c2noc(C[NH2+][C@@H](C(=O)[O-])C(C)C)n2)ncn1 ZINC001607046327 970386155 /nfs/dbraw/zinc/38/61/55/970386155.db2.gz TZPHOXBIRHEOPA-LLVKDONJSA-N 0 2 307.310 0.734 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NC(=O)NC2CCCC2)[C@H](C(=O)[O-])C1 ZINC000319124154 970505520 /nfs/dbraw/zinc/50/55/20/970505520.db2.gz DFYKOQBEMMUUJO-PWSUYJOCSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NC(=O)NC2CCCC2)[C@H](C(=O)[O-])C1 ZINC000319124154 970505533 /nfs/dbraw/zinc/50/55/33/970505533.db2.gz DFYKOQBEMMUUJO-PWSUYJOCSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NC(=O)NC2CCCC2)[C@H](C(=O)[O-])C1 ZINC000319124137 970506179 /nfs/dbraw/zinc/50/61/79/970506179.db2.gz DFYKOQBEMMUUJO-JQWIXIFHSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NC(=O)NC2CCCC2)[C@H](C(=O)[O-])C1 ZINC000319124137 970506183 /nfs/dbraw/zinc/50/61/83/970506183.db2.gz DFYKOQBEMMUUJO-JQWIXIFHSA-N 0 2 311.382 0.940 20 0 DCADLN Cc1ncncc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001448754260 1014024917 /nfs/dbraw/zinc/02/49/17/1014024917.db2.gz GWRRTIZREZAWFA-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ncncc1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001448754260 1014024920 /nfs/dbraw/zinc/02/49/20/1014024920.db2.gz GWRRTIZREZAWFA-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)cnn1 ZINC001448808490 1014050082 /nfs/dbraw/zinc/05/00/82/1014050082.db2.gz IKLCXFUKJHYGFN-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)cnn1 ZINC001448808490 1014050084 /nfs/dbraw/zinc/05/00/84/1014050084.db2.gz IKLCXFUKJHYGFN-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C)c(CNS(=O)(=O)N(C)CCC(=O)[O-])c[nH+]1 ZINC000397557171 973009038 /nfs/dbraw/zinc/00/90/38/973009038.db2.gz OHQFVFPVDBZUPA-UHFFFAOYSA-N 0 2 301.368 0.439 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@H](C3CC3)[C@H](C(=O)[O-])C2)nc[nH+]1 ZINC001549119598 1014139174 /nfs/dbraw/zinc/13/91/74/1014139174.db2.gz YHXYDIUROOAHPM-MVWJERBFSA-N 0 2 306.366 0.816 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@H](C3CC3)[C@H](C(=O)[O-])C2)[nH+]cn1 ZINC001549119598 1014139180 /nfs/dbraw/zinc/13/91/80/1014139180.db2.gz YHXYDIUROOAHPM-MVWJERBFSA-N 0 2 306.366 0.816 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC(F)(F)C(F)(F)C1 ZINC001528198512 1014228183 /nfs/dbraw/zinc/22/81/83/1014228183.db2.gz UBHJNIKYRYXLMQ-UHFFFAOYSA-N 0 2 319.218 0.184 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nc[nH]n2)C[C@H]1O)c1ccc(O)c(F)c1 ZINC001549520471 1014286861 /nfs/dbraw/zinc/28/68/61/1014286861.db2.gz WNSIRUPBBBOZMC-OUJBWJOFSA-N 0 2 320.324 0.934 20 0 DCADLN O=C(NC[C@@H]1C[C@@H](c2nc[nH]n2)C[C@@H]1O)c1c(O)cccc1F ZINC001549521145 1014288996 /nfs/dbraw/zinc/28/89/96/1014288996.db2.gz ZINYMFZFLIIXKN-PTRXPTGYSA-N 0 2 320.324 0.934 20 0 DCADLN CC(C)c1nnc(CCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])o1 ZINC001592050428 976789328 /nfs/dbraw/zinc/78/93/28/976789328.db2.gz SDXPETPGCLSMTQ-SNVBAGLBSA-N 0 2 321.337 0.661 20 0 DCADLN CC(C)c1nnc(CCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])o1 ZINC001592050428 976789333 /nfs/dbraw/zinc/78/93/33/976789333.db2.gz SDXPETPGCLSMTQ-SNVBAGLBSA-N 0 2 321.337 0.661 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@H+](CN2C(=O)c3ccccc3C2=O)C1 ZINC000703739135 977179314 /nfs/dbraw/zinc/17/93/14/977179314.db2.gz MDFLAPTUXHMXAR-HNNXBMFYSA-N 0 2 304.302 0.416 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@@H+](CN2C(=O)c3ccccc3C2=O)C1 ZINC000703739135 977179323 /nfs/dbraw/zinc/17/93/23/977179323.db2.gz MDFLAPTUXHMXAR-HNNXBMFYSA-N 0 2 304.302 0.416 20 0 DCADLN C[C@@H](CC(=O)[O-])C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC001592341963 977793696 /nfs/dbraw/zinc/79/36/96/977793696.db2.gz LDKWQFJLZMSFFF-STQMWFEESA-N 0 2 314.382 0.047 20 0 DCADLN C[C@H](CC(=O)O[C@@H]1CCN(CCC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001592429526 978055764 /nfs/dbraw/zinc/05/57/64/978055764.db2.gz MQJNQFHKJCLRJA-GHMZBOCLSA-N 0 2 309.322 0.453 20 0 DCADLN C[C@@H](CNc1ncc(C(=O)[O-])cn1)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001592729830 979245890 /nfs/dbraw/zinc/24/58/90/979245890.db2.gz SEBKBFINPHISJC-VIFPVBQESA-N 0 2 318.337 0.399 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001592930377 979968249 /nfs/dbraw/zinc/96/82/49/979968249.db2.gz KKRLWDJFNDEBKN-VIFPVBQESA-N 0 2 310.335 0.343 20 0 DCADLN CC[C@@H](OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001595347331 980640483 /nfs/dbraw/zinc/64/04/83/980640483.db2.gz OGTZPPZLVJLHKR-UTUOFQBUSA-N 0 2 301.339 0.273 20 0 DCADLN O=C(NC1CN(C(=O)c2cnsn2)C1)C(F)C(F)(F)F ZINC001530484497 1014766806 /nfs/dbraw/zinc/76/68/06/1014766806.db2.gz JVVXRZMCOICHSW-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)c2cnsn2)C1)[C@H](F)C(F)(F)F ZINC001530484497 1014766816 /nfs/dbraw/zinc/76/68/16/1014766816.db2.gz JVVXRZMCOICHSW-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)s1 ZINC000910816247 982135572 /nfs/dbraw/zinc/13/55/72/982135572.db2.gz NFIWPTAIVYSSKY-MRVPVSSYSA-N 0 2 306.347 0.917 20 0 DCADLN CO[C@@H]1C[C@H](c2nnc(C)[nH]2)N(C(=O)[C@@H]2CCc3cn[nH]c32)C1 ZINC001550767950 1014856233 /nfs/dbraw/zinc/85/62/33/1014856233.db2.gz HWOYZVYCVQFEGF-IJLUTSLNSA-N 0 2 316.365 0.855 20 0 DCADLN CCC[N@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1nccc(C)n1 ZINC001595883179 982901259 /nfs/dbraw/zinc/90/12/59/982901259.db2.gz TXLLYKWNNAKDOF-STQMWFEESA-N 0 2 320.393 0.834 20 0 DCADLN CCC[N@@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1nccc(C)n1 ZINC001595883179 982901262 /nfs/dbraw/zinc/90/12/62/982901262.db2.gz TXLLYKWNNAKDOF-STQMWFEESA-N 0 2 320.393 0.834 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001450133874 1014936799 /nfs/dbraw/zinc/93/67/99/1014936799.db2.gz VYSXSMAGSHQUPF-PSASIEDQSA-N 0 2 314.279 0.840 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450133874 1014936810 /nfs/dbraw/zinc/93/68/10/1014936810.db2.gz VYSXSMAGSHQUPF-PSASIEDQSA-N 0 2 314.279 0.840 20 0 DCADLN CCN(CC(=O)[O-])C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC001596213494 983605707 /nfs/dbraw/zinc/60/57/07/983605707.db2.gz JVYBPBQJBKAWLS-UHFFFAOYSA-N 0 2 308.382 0.565 20 0 DCADLN CC[N@H+](Cc1cc(C(=O)[O-])n(C)c1)[C@@H](C)CS(C)(=O)=O ZINC001596356463 983824609 /nfs/dbraw/zinc/82/46/09/983824609.db2.gz OKLIAVKZCHTWFL-JTQLQIEISA-N 0 2 302.396 0.978 20 0 DCADLN CC[N@@H+](Cc1cc(C(=O)[O-])n(C)c1)[C@@H](C)CS(C)(=O)=O ZINC001596356463 983824616 /nfs/dbraw/zinc/82/46/16/983824616.db2.gz OKLIAVKZCHTWFL-JTQLQIEISA-N 0 2 302.396 0.978 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001596485109 984218630 /nfs/dbraw/zinc/21/86/30/984218630.db2.gz LWWUYCDVGQTGMG-VIFPVBQESA-N 0 2 308.338 0.446 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001596485109 984218634 /nfs/dbraw/zinc/21/86/34/984218634.db2.gz LWWUYCDVGQTGMG-VIFPVBQESA-N 0 2 308.338 0.446 20 0 DCADLN CCOC(=O)[C@H]1CCCC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001596569447 984459612 /nfs/dbraw/zinc/45/96/12/984459612.db2.gz DSFBUOPLXVCKMH-GFCCVEGCSA-N 0 2 310.354 0.323 20 0 DCADLN CCOC(=O)[C@H]1CCCC[N@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001596569447 984459615 /nfs/dbraw/zinc/45/96/15/984459615.db2.gz DSFBUOPLXVCKMH-GFCCVEGCSA-N 0 2 310.354 0.323 20 0 DCADLN CNC(=O)[C@@]12CN(c3[nH+]cccc3C(=O)[O-])C[C@H]1COCC2 ZINC001551411412 1015047399 /nfs/dbraw/zinc/04/73/99/1015047399.db2.gz TVJBDRMKQBJBMR-BONVTDFDSA-N 0 2 305.334 0.369 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ccon1 ZINC001524900013 1015067230 /nfs/dbraw/zinc/06/72/30/1015067230.db2.gz KPUUVPRYABPMNX-HAFWLYHUSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ccon1 ZINC001524900013 1015067239 /nfs/dbraw/zinc/06/72/39/1015067239.db2.gz KPUUVPRYABPMNX-HAFWLYHUSA-N 0 2 309.219 0.952 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)C2CCC(C(=O)[O-])CC2)C[C@H](C)[N@H+]1C ZINC001599930736 985311260 /nfs/dbraw/zinc/31/12/60/985311260.db2.gz WCHUJCPPUMOOEV-MPEURRAXSA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)C2CCC(C(=O)[O-])CC2)C[C@H](C)[N@@H+]1C ZINC001599930736 985311271 /nfs/dbraw/zinc/31/12/71/985311271.db2.gz WCHUJCPPUMOOEV-MPEURRAXSA-N 0 2 318.439 0.984 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(C)F)C(=O)C(F)C(F)(F)F ZINC001384223675 985431053 /nfs/dbraw/zinc/43/10/53/985431053.db2.gz QUVBAVMQHDUHTG-NKWVEPMBSA-N 0 2 320.258 0.570 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(C)F)C(=O)[C@@H](F)C(F)(F)F ZINC001384223675 985431057 /nfs/dbraw/zinc/43/10/57/985431057.db2.gz QUVBAVMQHDUHTG-NKWVEPMBSA-N 0 2 320.258 0.570 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+]2CCC[C@@](O)(CO)C2)c(C)c1C(=O)[O-] ZINC001599946385 985534269 /nfs/dbraw/zinc/53/42/69/985534269.db2.gz SQUDSBIBFOIHJZ-ZBEGNZNMSA-N 0 2 324.377 0.720 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+]2CCC[C@@](O)(CO)C2)c(C)c1C(=O)[O-] ZINC001599946385 985534279 /nfs/dbraw/zinc/53/42/79/985534279.db2.gz SQUDSBIBFOIHJZ-ZBEGNZNMSA-N 0 2 324.377 0.720 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CCC2)C[C@H]1O)C(F)C(F)(F)F ZINC001384727406 985771564 /nfs/dbraw/zinc/77/15/64/985771564.db2.gz KWLWZIWVGFDSMN-IWSPIJDZSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CCC2)C[C@H]1O)[C@@H](F)C(F)(F)F ZINC001384727406 985771569 /nfs/dbraw/zinc/77/15/69/985771569.db2.gz KWLWZIWVGFDSMN-IWSPIJDZSA-N 0 2 312.263 0.375 20 0 DCADLN COC1CC(C(=O)N(C)C[C@@H]2OCC[C@@H]2c2n[nH]c(C)n2)C1 ZINC001551656066 1015164796 /nfs/dbraw/zinc/16/47/96/1015164796.db2.gz BGCIVNFXFCHXLD-TYUFSLCMSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)Cc2cnc(C)o2)n[nH]1 ZINC001551661845 1015168969 /nfs/dbraw/zinc/16/89/69/1015168969.db2.gz UHDNSCVGRQUWFG-OLZOCXBDSA-N 0 2 319.365 0.983 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2nccc(C)n2)n[nH]1 ZINC001551666616 1015171096 /nfs/dbraw/zinc/17/10/96/1015171096.db2.gz GPXRGCQSRKATJQ-NWDGAFQWSA-N 0 2 316.365 0.856 20 0 DCADLN COCCC(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385283167 986209643 /nfs/dbraw/zinc/20/96/43/986209643.db2.gz ZZRWQFFUOVKCKX-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385283167 986209651 /nfs/dbraw/zinc/20/96/51/986209651.db2.gz ZZRWQFFUOVKCKX-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N[C@@H]1CCC[C@H]1CC(=O)[O-] ZINC001594607331 986578485 /nfs/dbraw/zinc/57/84/85/986578485.db2.gz HWWGATVXMWQRSC-FRRDWIJNSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N[C@@H]1CCC[C@H]1CC(=O)[O-] ZINC001594607331 986578489 /nfs/dbraw/zinc/57/84/89/986578489.db2.gz HWWGATVXMWQRSC-FRRDWIJNSA-N 0 2 313.398 0.650 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386010750 986751017 /nfs/dbraw/zinc/75/10/17/986751017.db2.gz GJIGMPLRVOSZMK-BBVRLYRLSA-N 0 2 324.234 0.655 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001386010750 986751030 /nfs/dbraw/zinc/75/10/30/986751030.db2.gz GJIGMPLRVOSZMK-BBVRLYRLSA-N 0 2 324.234 0.655 20 0 DCADLN CCc1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc(=O)[nH]1 ZINC001597429517 987764456 /nfs/dbraw/zinc/76/44/56/987764456.db2.gz HTESKSPNEGQZRR-LLVKDONJSA-N 0 2 304.306 0.429 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)COC)C1 ZINC001450779748 1015351554 /nfs/dbraw/zinc/35/15/54/1015351554.db2.gz HWQBTYSVJCGYAT-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)COC)C1 ZINC001450779748 1015351565 /nfs/dbraw/zinc/35/15/65/1015351565.db2.gz HWQBTYSVJCGYAT-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(CCNC(=O)c1ccnn1C)C(=O)C(F)C(F)(F)F ZINC001388208917 988143648 /nfs/dbraw/zinc/14/36/48/988143648.db2.gz BWFPADYJTHFSSK-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)c1ccnn1C)C(=O)[C@H](F)C(F)(F)F ZINC001388208917 988143653 /nfs/dbraw/zinc/14/36/53/988143653.db2.gz BWFPADYJTHFSSK-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CC[C@@H](OC)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001387792189 988156792 /nfs/dbraw/zinc/15/67/92/988156792.db2.gz AXEZAGDWKSFYJC-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@@H](OC)C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001387792189 988156794 /nfs/dbraw/zinc/15/67/94/988156794.db2.gz AXEZAGDWKSFYJC-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CCc1[nH]c(CNC(=O)COCc2ocnc2C(=O)[O-])c[nH+]1 ZINC001597668927 988755983 /nfs/dbraw/zinc/75/59/83/988755983.db2.gz MMBIEIUHFCXSAR-UHFFFAOYSA-N 0 2 308.294 0.491 20 0 DCADLN CCc1[nH]cc(CNC(=O)COCc2ocnc2C(=O)[O-])[nH+]1 ZINC001597668927 988755985 /nfs/dbraw/zinc/75/59/85/988755985.db2.gz MMBIEIUHFCXSAR-UHFFFAOYSA-N 0 2 308.294 0.491 20 0 DCADLN COCC1(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)CC1 ZINC001389232084 988969154 /nfs/dbraw/zinc/96/91/54/988969154.db2.gz GZYMZMKGPZKHPP-QMMMGPOBSA-N 0 2 312.263 0.640 20 0 DCADLN COCC1(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)CC1 ZINC001389232084 988969160 /nfs/dbraw/zinc/96/91/60/988969160.db2.gz GZYMZMKGPZKHPP-QMMMGPOBSA-N 0 2 312.263 0.640 20 0 DCADLN CCC[N@@H+]1CCC[C@@H]1C(=O)N[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001389196642 988973899 /nfs/dbraw/zinc/97/38/99/988973899.db2.gz MTLUJHWTUXAXNU-TZMCWYRMSA-N 0 2 321.425 0.448 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)c1 ZINC001389673565 989480730 /nfs/dbraw/zinc/48/07/30/989480730.db2.gz POWCZAHPWDAHDI-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001389673565 989480738 /nfs/dbraw/zinc/48/07/38/989480738.db2.gz POWCZAHPWDAHDI-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN CCn1c(C[NH+]2CCCCC2)nnc1N1CCO[C@@H](C(=O)[O-])C1 ZINC001597850946 989595086 /nfs/dbraw/zinc/59/50/86/989595086.db2.gz WUYPDABTBOLVHA-GFCCVEGCSA-N 0 2 323.397 0.574 20 0 DCADLN Cn1cc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)nn1 ZINC001389867656 989648807 /nfs/dbraw/zinc/64/88/07/989648807.db2.gz LVOLGZXMKZOVQM-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1cc(C(=O)NC2(CNC(=O)[C@H](F)C(F)(F)F)CC2)nn1 ZINC001389867656 989648824 /nfs/dbraw/zinc/64/88/24/989648824.db2.gz LVOLGZXMKZOVQM-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CCC[C@H](C)O)c1[O-] ZINC001391248989 990697026 /nfs/dbraw/zinc/69/70/26/990697026.db2.gz UKAFLDQYLMXEAR-WCFLWFBJSA-N 0 2 322.409 0.874 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CCC[C@H](C)O)c1[O-] ZINC001391248989 990697031 /nfs/dbraw/zinc/69/70/31/990697031.db2.gz UKAFLDQYLMXEAR-WCFLWFBJSA-N 0 2 322.409 0.874 20 0 DCADLN CC[C@@H](CNC(=O)CCc1nnc[nH]1)[NH2+]Cc1ncccn1 ZINC001391686523 991095325 /nfs/dbraw/zinc/09/53/25/991095325.db2.gz RZFMSFHJSKRIMI-NSHDSACASA-N 0 2 303.370 0.212 20 0 DCADLN CN(C)c1ncc(C[NH+]2CC(c3cc(C(=O)[O-])n[nH]3)C2)cn1 ZINC001598353494 991457514 /nfs/dbraw/zinc/45/75/14/991457514.db2.gz AHEMJHQWLLFDAY-UHFFFAOYSA-N 0 2 302.338 0.563 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccncn1)NC(=O)C(F)C(F)(F)F ZINC001451203736 1015641176 /nfs/dbraw/zinc/64/11/76/1015641176.db2.gz RAUYLUKIMFYBJC-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccncn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001451203736 1015641179 /nfs/dbraw/zinc/64/11/79/1015641179.db2.gz RAUYLUKIMFYBJC-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN COc1ccnc(C[NH+]2CC(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)c1 ZINC001599721098 991539328 /nfs/dbraw/zinc/53/93/28/991539328.db2.gz GWKZRJPNAZRYEK-ZIAGYGMSSA-N 0 2 319.361 0.359 20 0 DCADLN COc1ncccc1C[N@H+](C)CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001599737045 991790045 /nfs/dbraw/zinc/79/00/45/991790045.db2.gz AQMXFZNCELLLDV-NEPJUHHUSA-N 0 2 307.350 0.359 20 0 DCADLN COc1ncccc1C[N@@H+](C)CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001599737045 991790052 /nfs/dbraw/zinc/79/00/52/991790052.db2.gz AQMXFZNCELLLDV-NEPJUHHUSA-N 0 2 307.350 0.359 20 0 DCADLN CN(CCCNC(=O)Cn1cc(C(=O)[O-])nn1)c1cccc[nH+]1 ZINC001598480055 992134178 /nfs/dbraw/zinc/13/41/78/992134178.db2.gz AEBLLBDUZKMNPJ-UHFFFAOYSA-N 0 2 318.337 0.014 20 0 DCADLN CN(CCCNc1ncc(C(=O)[O-])cn1)C(=O)Cc1[nH]cc[nH+]1 ZINC001598482443 992175173 /nfs/dbraw/zinc/17/51/73/992175173.db2.gz BHCIOXZBHQRRKR-UHFFFAOYSA-N 0 2 318.337 0.401 20 0 DCADLN Cc1cnccc1CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392653815 992210892 /nfs/dbraw/zinc/21/08/92/992210892.db2.gz HCEZSNDKRGUUEW-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN Cc1cnccc1CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392653815 992210901 /nfs/dbraw/zinc/21/09/01/992210901.db2.gz HCEZSNDKRGUUEW-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ncsc1C[N@@H+](C)C[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001392713220 992303403 /nfs/dbraw/zinc/30/34/03/992303403.db2.gz ZMSPNJSQAHCEGR-VIFPVBQESA-N 0 2 308.411 0.749 20 0 DCADLN O=C(COc1ccccc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001392863359 992472844 /nfs/dbraw/zinc/47/28/44/992472844.db2.gz DBPPBHNSGMEICV-NSHDSACASA-N 0 2 317.349 0.280 20 0 DCADLN Cc1ncc(CC(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001393111882 992691495 /nfs/dbraw/zinc/69/14/95/992691495.db2.gz QTWZEBBWGFIYDV-CQSZACIVSA-N 0 2 320.353 0.130 20 0 DCADLN Cc1ncc(CC(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001393111882 992691498 /nfs/dbraw/zinc/69/14/98/992691498.db2.gz QTWZEBBWGFIYDV-CQSZACIVSA-N 0 2 320.353 0.130 20 0 DCADLN C[C@H](CNC(=O)[C@]12CCC[C@H]1OCC2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394082303 993462739 /nfs/dbraw/zinc/46/27/39/993462739.db2.gz JQDFJRLWVFMUIM-HFAKWTLXSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](CNC(=O)[C@]12CCC[C@H]1OCC2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394082303 993462742 /nfs/dbraw/zinc/46/27/42/993462742.db2.gz JQDFJRLWVFMUIM-HFAKWTLXSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1CCCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394132419 993503138 /nfs/dbraw/zinc/50/31/38/993503138.db2.gz FVFLRIXKVZEUIR-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1CCCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394132419 993503142 /nfs/dbraw/zinc/50/31/42/993503142.db2.gz FVFLRIXKVZEUIR-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCc2ocnc2C(=O)[O-])[nH]n1 ZINC001598599249 993551443 /nfs/dbraw/zinc/55/14/43/993551443.db2.gz VAORWEQSSJRETM-SNVBAGLBSA-N 0 2 319.321 0.793 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCc2ocnc2C(=O)[O-])[nH]n1 ZINC001598599249 993551449 /nfs/dbraw/zinc/55/14/49/993551449.db2.gz VAORWEQSSJRETM-SNVBAGLBSA-N 0 2 319.321 0.793 20 0 DCADLN CC[C@H]1C[C@@H](C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001399628858 993617060 /nfs/dbraw/zinc/61/70/60/993617060.db2.gz IQZHGPQHPZGAIC-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@H]1C[C@@H](C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001399628858 993617066 /nfs/dbraw/zinc/61/70/66/993617066.db2.gz IQZHGPQHPZGAIC-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN COc1ccc(NC(=O)[C@@H](C)N2CC[NH2+]CC2=O)c(C(=O)[O-])c1 ZINC001599589663 993661937 /nfs/dbraw/zinc/66/19/37/993661937.db2.gz DWIQTYBCZBZKJK-SECBINFHSA-N 0 2 321.333 0.152 20 0 DCADLN Cc1conc1C[NH2+][C@@H](C)CN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001394470075 993741814 /nfs/dbraw/zinc/74/18/14/993741814.db2.gz LPBSFRUXEFGFTM-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)[NH+]1CCCCCC1 ZINC001399875904 993868718 /nfs/dbraw/zinc/86/87/18/993868718.db2.gz QLCRMMDKMDRKQO-HUUCEWRRSA-N 0 2 324.469 0.578 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccon1)NC(=O)C(F)C(F)(F)F ZINC001400212525 994287754 /nfs/dbraw/zinc/28/77/54/994287754.db2.gz GKNGWNOZDGDQFQ-RCOVLWMOSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccon1)NC(=O)[C@H](F)C(F)(F)F ZINC001400212525 994287766 /nfs/dbraw/zinc/28/77/66/994287766.db2.gz GKNGWNOZDGDQFQ-RCOVLWMOSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@@H]([NH2+]CC(=O)Nc1ccc(C(=O)[O-])cc1)c1nncn1C ZINC001593236459 994405314 /nfs/dbraw/zinc/40/53/14/994405314.db2.gz FASSCQCHADZDCP-SECBINFHSA-N 0 2 303.322 0.803 20 0 DCADLN CC(C)CCC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001400322554 994413121 /nfs/dbraw/zinc/41/31/21/994413121.db2.gz NYXLMKUHOBGWFN-UHFFFAOYSA-N 0 2 317.393 0.759 20 0 DCADLN CC(C)(O)CC(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400410497 994487520 /nfs/dbraw/zinc/48/75/20/994487520.db2.gz SJHOVEHHHSFCCK-APPZFPTMSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001400410497 994487526 /nfs/dbraw/zinc/48/75/26/994487526.db2.gz SJHOVEHHHSFCCK-APPZFPTMSA-N 0 2 314.279 0.765 20 0 DCADLN COc1cccc([C@@H]([NH2+]CC(=O)NCC(F)F)C(=O)[O-])c1 ZINC001599656125 994583393 /nfs/dbraw/zinc/58/33/93/994583393.db2.gz DZDBMTRWNNPRMQ-GFCCVEGCSA-N 0 2 302.277 0.792 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395811717 995027302 /nfs/dbraw/zinc/02/73/02/995027302.db2.gz WQQBKIJIFPNVRM-NKWVEPMBSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001395811717 995027309 /nfs/dbraw/zinc/02/73/09/995027309.db2.gz WQQBKIJIFPNVRM-NKWVEPMBSA-N 0 2 302.268 0.526 20 0 DCADLN CCC(=O)N(C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001396066561 995189565 /nfs/dbraw/zinc/18/95/65/995189565.db2.gz YBHMCHLXVIGCTC-CBAPKCEASA-N 0 2 302.268 0.575 20 0 DCADLN CCC(=O)N(C)C[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001396066561 995189572 /nfs/dbraw/zinc/18/95/72/995189572.db2.gz YBHMCHLXVIGCTC-CBAPKCEASA-N 0 2 302.268 0.575 20 0 DCADLN CN(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CCCC1 ZINC001464655329 1015932704 /nfs/dbraw/zinc/93/27/04/1015932704.db2.gz FJIUBSBQJZVSLY-UHFFFAOYSA-N 0 2 315.399 0.433 20 0 DCADLN C[C@@H](NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1nnnn1C ZINC001464738765 1015943026 /nfs/dbraw/zinc/94/30/26/1015943026.db2.gz LJTGQNZITIQHEF-QOLSBQFWSA-N 0 2 324.432 0.002 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCO[C@@H]1CCCCO1 ZINC001598751224 995656031 /nfs/dbraw/zinc/65/60/31/995656031.db2.gz ADMWIWNPMHHGHK-FRRDWIJNSA-N 0 2 315.366 0.868 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCO[C@@H]1CCCCO1 ZINC001598751224 995656035 /nfs/dbraw/zinc/65/60/35/995656035.db2.gz ADMWIWNPMHHGHK-FRRDWIJNSA-N 0 2 315.366 0.868 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCN1CCOC[C@@H]1C ZINC001598757697 995740495 /nfs/dbraw/zinc/74/04/95/995740495.db2.gz JSEDQIZDJLJUKW-AVGNSLFASA-N 0 2 314.382 0.045 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCN1CCOC[C@@H]1C ZINC001598757697 995740503 /nfs/dbraw/zinc/74/05/03/995740503.db2.gz JSEDQIZDJLJUKW-AVGNSLFASA-N 0 2 314.382 0.045 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCN1CCOC[C@@H]1C ZINC001598757703 995740536 /nfs/dbraw/zinc/74/05/36/995740536.db2.gz JSEDQIZDJLJUKW-XQQFMLRXSA-N 0 2 314.382 0.045 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCN1CCOC[C@@H]1C ZINC001598757703 995740541 /nfs/dbraw/zinc/74/05/41/995740541.db2.gz JSEDQIZDJLJUKW-XQQFMLRXSA-N 0 2 314.382 0.045 20 0 DCADLN C[C@H](Oc1ccc(C[NH2+]Cc2cc(C(N)=O)no2)cc1)C(=O)[O-] ZINC001593617989 995856361 /nfs/dbraw/zinc/85/63/61/995856361.db2.gz SAGISFDEVRXBHL-VIFPVBQESA-N 0 2 319.317 0.915 20 0 DCADLN CSCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001397346764 995928711 /nfs/dbraw/zinc/92/87/11/995928711.db2.gz WLJRKXUNKNYESR-LWIVVEGESA-N 0 2 314.304 0.823 20 0 DCADLN CSCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(F)(F)F ZINC001397346764 995928716 /nfs/dbraw/zinc/92/87/16/995928716.db2.gz WLJRKXUNKNYESR-LWIVVEGESA-N 0 2 314.304 0.823 20 0 DCADLN C[C@H](Oc1ccccc1C[N@@H+]1CCN2C(=O)NC[C@@H]2C1)C(=O)[O-] ZINC001593646192 995981361 /nfs/dbraw/zinc/98/13/61/995981361.db2.gz PIJFCLRHCFQEIH-WCQYABFASA-N 0 2 319.361 0.748 20 0 DCADLN C[C@H](Oc1ccccc1C[N@H+]1CCN2C(=O)NC[C@@H]2C1)C(=O)[O-] ZINC001593646192 995981366 /nfs/dbraw/zinc/98/13/66/995981366.db2.gz PIJFCLRHCFQEIH-WCQYABFASA-N 0 2 319.361 0.748 20 0 DCADLN C[C@@H](Oc1ccccc1C[N@@H+]1CCO[C@@H](CC(N)=O)C1)C(=O)[O-] ZINC001593646387 995984147 /nfs/dbraw/zinc/98/41/47/995984147.db2.gz QUCXVSXPKFAVDK-YPMHNXCESA-N 0 2 322.361 0.615 20 0 DCADLN C[C@@H](Oc1ccccc1C[N@H+]1CCO[C@@H](CC(N)=O)C1)C(=O)[O-] ZINC001593646387 995984157 /nfs/dbraw/zinc/98/41/57/995984157.db2.gz QUCXVSXPKFAVDK-YPMHNXCESA-N 0 2 322.361 0.615 20 0 DCADLN C[C@@H](Oc1cccnc1)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001593656317 996024408 /nfs/dbraw/zinc/02/44/08/996024408.db2.gz XKYNAPBVXPLNIM-ZYHUDNBSSA-N 0 2 304.306 0.315 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CCc1cn[nH]c1 ZINC001397936876 996267811 /nfs/dbraw/zinc/26/78/11/996267811.db2.gz GRNSEXAZGWNLRL-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)CCc1cn[nH]c1 ZINC001397936876 996267818 /nfs/dbraw/zinc/26/78/18/996267818.db2.gz GRNSEXAZGWNLRL-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1nc[nH]c1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001397965069 996283131 /nfs/dbraw/zinc/28/31/31/996283131.db2.gz DKWKBGYJSWDHJS-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1nc[nH]c1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001397965069 996283135 /nfs/dbraw/zinc/28/31/35/996283135.db2.gz DKWKBGYJSWDHJS-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN C[C@]1(Br)C[C@H]1C[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001593740342 996356786 /nfs/dbraw/zinc/35/67/86/996356786.db2.gz RIPNXJWXOYVJRG-RNSXUZJQSA-N 0 2 305.172 0.435 20 0 DCADLN C[C@]1(Br)C[C@H]1C[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001593740342 996356789 /nfs/dbraw/zinc/35/67/89/996356789.db2.gz RIPNXJWXOYVJRG-RNSXUZJQSA-N 0 2 305.172 0.435 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2c(F)cncc2F)C[C@@H]1C(=O)[O-] ZINC001598827304 996396845 /nfs/dbraw/zinc/39/68/45/996396845.db2.gz KLDDZBPXDPGJSV-JGVFFNPUSA-N 0 2 300.261 0.665 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2c(F)cncc2F)C[C@@H]1C(=O)[O-] ZINC001598827304 996396850 /nfs/dbraw/zinc/39/68/50/996396850.db2.gz KLDDZBPXDPGJSV-JGVFFNPUSA-N 0 2 300.261 0.665 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001398747306 996670348 /nfs/dbraw/zinc/67/03/48/996670348.db2.gz GWCBVTFUOFEMCW-RKDXNWHRSA-N 0 2 314.279 0.478 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398747306 996670351 /nfs/dbraw/zinc/67/03/51/996670351.db2.gz GWCBVTFUOFEMCW-RKDXNWHRSA-N 0 2 314.279 0.478 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001510919495 1016066939 /nfs/dbraw/zinc/06/69/39/1016066939.db2.gz BHKLCYSIEQMZKR-NBLKQJSZSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001510919495 1016066950 /nfs/dbraw/zinc/06/69/50/1016066950.db2.gz BHKLCYSIEQMZKR-NBLKQJSZSA-N 0 2 314.279 0.836 20 0 DCADLN COC[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)c1[nH]c(C)c(C(=O)[O-])c1C ZINC001599276539 996813068 /nfs/dbraw/zinc/81/30/68/996813068.db2.gz CTEUBEFMFMLINV-GHMZBOCLSA-N 0 2 310.350 0.594 20 0 DCADLN COC[C@H]1C[C@@H](O)C[N@H+]1CC(=O)c1[nH]c(C)c(C(=O)[O-])c1C ZINC001599276539 996813083 /nfs/dbraw/zinc/81/30/83/996813083.db2.gz CTEUBEFMFMLINV-GHMZBOCLSA-N 0 2 310.350 0.594 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(Cl)n1 ZINC001599485271 996837290 /nfs/dbraw/zinc/83/72/90/996837290.db2.gz JZCWFHOWFHFBPG-SECBINFHSA-N 0 2 324.724 0.892 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(Cl)n1 ZINC001599485271 996837303 /nfs/dbraw/zinc/83/73/03/996837303.db2.gz JZCWFHOWFHFBPG-SECBINFHSA-N 0 2 324.724 0.892 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@H+](Cn2c(=O)sc3c2CCCC3)C1 ZINC001599078216 996929005 /nfs/dbraw/zinc/92/90/05/996929005.db2.gz FJDLDEOFIDMDPZ-CQSZACIVSA-N 0 2 312.391 0.922 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@@H+](Cn2c(=O)sc3c2CCCC3)C1 ZINC001599078216 996929014 /nfs/dbraw/zinc/92/90/14/996929014.db2.gz FJDLDEOFIDMDPZ-CQSZACIVSA-N 0 2 312.391 0.922 20 0 DCADLN C[C@@H]([NH2+]CCN(C)C(=O)C[N@@H+]1CC[C@@H](C)C1)c1csnn1 ZINC001404326994 996984792 /nfs/dbraw/zinc/98/47/92/996984792.db2.gz OSFTWWQHDKHVBD-VXGBXAGGSA-N 0 2 311.455 0.989 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[C@H]2CC[N@@H+](CC(=O)N(C)C)C2)c1C ZINC001404493603 997167654 /nfs/dbraw/zinc/16/76/54/997167654.db2.gz XPSMWGVMTBSGJS-ZDUSSCGKSA-N 0 2 321.425 0.557 20 0 DCADLN Cn1c(=O)oc2cc(NC(=O)NCc3n[nH]c(=O)[nH]3)c(F)cc21 ZINC001466811294 1016113646 /nfs/dbraw/zinc/11/36/46/1016113646.db2.gz PCBXNRNVZKUKIU-UHFFFAOYSA-N 0 2 322.256 0.416 20 0 DCADLN Cn1nncc1CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001404668418 997361112 /nfs/dbraw/zinc/36/11/12/997361112.db2.gz AHBNZFAJFPVWLP-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1nncc1CN1CCC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404668418 997361123 /nfs/dbraw/zinc/36/11/23/997361123.db2.gz AHBNZFAJFPVWLP-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN CC(C)CC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001404706015 997394323 /nfs/dbraw/zinc/39/43/23/997394323.db2.gz CVUSXJYFMLRXDO-UHFFFAOYSA-N 0 2 303.366 0.369 20 0 DCADLN C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC001594046690 997705673 /nfs/dbraw/zinc/70/56/73/997705673.db2.gz CDLFDHIJNHHOTI-CYBMUJFWSA-N 0 2 316.354 0.707 20 0 DCADLN COCCC1(C(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001405116199 997819477 /nfs/dbraw/zinc/81/94/77/997819477.db2.gz HMOLWIWZDSKSLN-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN COCCC1(C(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001405116199 997819490 /nfs/dbraw/zinc/81/94/90/997819490.db2.gz HMOLWIWZDSKSLN-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN COC(=O)c1ccc(C(=O)O[C@@H]2C[N@H+](C)[C@](C)(C(=O)[O-])C2)o1 ZINC001598967810 998455566 /nfs/dbraw/zinc/45/55/66/998455566.db2.gz NJHUBKCTQGHQMO-RTHLEPHNSA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1ccc(C(=O)O[C@@H]2C[N@@H+](C)[C@](C)(C(=O)[O-])C2)o1 ZINC001598967810 998455573 /nfs/dbraw/zinc/45/55/73/998455573.db2.gz NJHUBKCTQGHQMO-RTHLEPHNSA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1cccc([C@@H]([NH2+]CC(=O)NC(C)C)C(=O)[O-])c1 ZINC001599000053 998816012 /nfs/dbraw/zinc/81/60/12/998816012.db2.gz WEPXJZMDQHMSSG-CYBMUJFWSA-N 0 2 308.334 0.713 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CC=C(C(=O)[O-])C1 ZINC001594250743 998962704 /nfs/dbraw/zinc/96/27/04/998962704.db2.gz OOHDMMYTPKQBQJ-XBFCOCLRSA-N 0 2 323.393 0.664 20 0 DCADLN CC1CCN(C(=O)C[N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)CC1 ZINC001594400803 999500211 /nfs/dbraw/zinc/50/02/11/999500211.db2.gz MOLJHZPNOBKMAO-HNNXBMFYSA-N 0 2 309.366 0.550 20 0 DCADLN CC1CCN(C(=O)C[N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)CC1 ZINC001594400803 999500215 /nfs/dbraw/zinc/50/02/15/999500215.db2.gz MOLJHZPNOBKMAO-HNNXBMFYSA-N 0 2 309.366 0.550 20 0 DCADLN COCC1(CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC1 ZINC001469647502 1016353000 /nfs/dbraw/zinc/35/30/00/1016353000.db2.gz QIECTQPJDLWWHI-UHFFFAOYSA-N 0 2 318.333 0.423 20 0 DCADLN CCc1c(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001401386195 1000401348 /nfs/dbraw/zinc/40/13/48/1000401348.db2.gz SYCWLGLASTWELX-UHFFFAOYSA-N 0 2 320.397 0.615 20 0 DCADLN CCc1c(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001401386195 1000401352 /nfs/dbraw/zinc/40/13/52/1000401352.db2.gz SYCWLGLASTWELX-UHFFFAOYSA-N 0 2 320.397 0.615 20 0 DCADLN C[C@@H](c1ncccn1)N(C)CCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001401399738 1000408381 /nfs/dbraw/zinc/40/83/81/1000408381.db2.gz WCXISTYNXDUMSU-LBPRGKRZSA-N 0 2 302.382 0.894 20 0 DCADLN Cc1nnc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)o1 ZINC001419082250 1000751687 /nfs/dbraw/zinc/75/16/87/1000751687.db2.gz WJIYHWAEWPGSBK-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nnc(CN2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)o1 ZINC001419082250 1000751690 /nfs/dbraw/zinc/75/16/90/1000751690.db2.gz WJIYHWAEWPGSBK-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NCC1([NH2+]Cc2cc(C)ncn2)CC1 ZINC001401984316 1000927493 /nfs/dbraw/zinc/92/74/93/1000927493.db2.gz HWKRRZGFCBPJSB-UHFFFAOYSA-N 0 2 314.393 0.729 20 0 DCADLN CC(C)c1nc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)n(C)n1 ZINC001419499174 1001099198 /nfs/dbraw/zinc/09/91/98/1001099198.db2.gz OUFFDZGQXHOLEF-QMMMGPOBSA-N 0 2 324.410 0.154 20 0 DCADLN C[C@@H](CNC(=O)C1(C)CC(F)(F)C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403193717 1001719627 /nfs/dbraw/zinc/71/96/27/1001719627.db2.gz OPJOYURFDTYOJW-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](CNC(=O)C1(C)CC(F)(F)C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403193717 1001719632 /nfs/dbraw/zinc/71/96/32/1001719632.db2.gz OPJOYURFDTYOJW-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](CNC(=O)CCCn1cccn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403197545 1001723464 /nfs/dbraw/zinc/72/34/64/1001723464.db2.gz HGMFBPOWJXNZQG-NSHDSACASA-N 0 2 321.385 0.124 20 0 DCADLN C[C@@H](CNC(=O)CCCn1cccn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403197545 1001723466 /nfs/dbraw/zinc/72/34/66/1001723466.db2.gz HGMFBPOWJXNZQG-NSHDSACASA-N 0 2 321.385 0.124 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001403203477 1001728439 /nfs/dbraw/zinc/72/84/39/1001728439.db2.gz XMKAKNSQELINRC-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001403203477 1001728443 /nfs/dbraw/zinc/72/84/43/1001728443.db2.gz XMKAKNSQELINRC-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CO[C@H](C)C[N@H+](C)[C@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001420029229 1001771557 /nfs/dbraw/zinc/77/15/57/1001771557.db2.gz FYEVOMQPDNXLOC-PWSUYJOCSA-N 0 2 310.398 0.995 20 0 DCADLN CO[C@H](C)C[N@@H+](C)[C@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001420029229 1001771561 /nfs/dbraw/zinc/77/15/61/1001771561.db2.gz FYEVOMQPDNXLOC-PWSUYJOCSA-N 0 2 310.398 0.995 20 0 DCADLN C[C@H](NC(=O)CCC(F)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001403287482 1001782269 /nfs/dbraw/zinc/78/22/69/1001782269.db2.gz BAMPNBBPGGMBOH-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN CCN(CC)C(=O)C[N@@H+](C)CCN(C)C(=O)C[NH+]1CCCC1 ZINC001420051984 1001788534 /nfs/dbraw/zinc/78/85/34/1001788534.db2.gz SSTUESJARPNVLM-UHFFFAOYSA-N 0 2 312.458 0.341 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@@H](c3ncccn3)C2)S1 ZINC001420060807 1001793934 /nfs/dbraw/zinc/79/39/34/1001793934.db2.gz XJTUPHMHUGIRBS-BDAKNGLRSA-N 0 2 305.363 0.349 20 0 DCADLN COCC(C)(C)C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001403308796 1001799340 /nfs/dbraw/zinc/79/93/40/1001799340.db2.gz DKLADRQASDUJBX-SECBINFHSA-N 0 2 311.386 0.119 20 0 DCADLN COc1cn(C)nc1[C@@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001420106965 1001874161 /nfs/dbraw/zinc/87/41/61/1001874161.db2.gz QUOQYTQEQDCFQB-HTRCEHHLSA-N 0 2 311.367 0.162 20 0 DCADLN Cc1ccc(CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)o1 ZINC001420139948 1001931577 /nfs/dbraw/zinc/93/15/77/1001931577.db2.gz AZWOSEVOPGABOA-UHFFFAOYSA-N 0 2 312.351 0.110 20 0 DCADLN C[N@@H+](C/C=C/Cl)CCNC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001420251281 1002113197 /nfs/dbraw/zinc/11/31/97/1002113197.db2.gz FKLFPBLPCCOWHU-HWKANZROSA-N 0 2 317.777 0.270 20 0 DCADLN C[N@H+](C/C=C/Cl)CCNC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001420251281 1002113201 /nfs/dbraw/zinc/11/32/01/1002113201.db2.gz FKLFPBLPCCOWHU-HWKANZROSA-N 0 2 317.777 0.270 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@@H]1C1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420323962 1002224126 /nfs/dbraw/zinc/22/41/26/1002224126.db2.gz HQGBARZVQFCKJH-VXGBXAGGSA-N 0 2 307.398 0.885 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@@H]1C1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420323962 1002224134 /nfs/dbraw/zinc/22/41/34/1002224134.db2.gz HQGBARZVQFCKJH-VXGBXAGGSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@@H](NC(=O)Cc1ccc(C(N)=O)cc1)c1nn(C)cc1O ZINC001420440036 1002420673 /nfs/dbraw/zinc/42/06/73/1002420673.db2.gz NEWGAKQSHREDQO-SECBINFHSA-N 0 2 302.334 0.645 20 0 DCADLN C[C@H](CC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001425258793 1002486749 /nfs/dbraw/zinc/48/67/49/1002486749.db2.gz AOJDVUJFXYQEEG-ZJUUUORDSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](CC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001425258787 1002487030 /nfs/dbraw/zinc/48/70/30/1002487030.db2.gz AOJDVUJFXYQEEG-NXEZZACHSA-N 0 2 307.398 0.883 20 0 DCADLN CC[N@H+](CCNC(=O)c1cncc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001403850916 1002628175 /nfs/dbraw/zinc/62/81/75/1002628175.db2.gz SFIDHMUARDVPBC-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cncc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001403850916 1002628181 /nfs/dbraw/zinc/62/81/81/1002628181.db2.gz SFIDHMUARDVPBC-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(C)c(C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001403879337 1002671052 /nfs/dbraw/zinc/67/10/52/1002671052.db2.gz GLZRYMCMRCSHHS-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(C)c(C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001403879337 1002671056 /nfs/dbraw/zinc/67/10/56/1002671056.db2.gz GLZRYMCMRCSHHS-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccncc1Cl ZINC001420635130 1002721799 /nfs/dbraw/zinc/72/17/99/1002721799.db2.gz NWKUPVXJQWNTLD-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccncc1Cl ZINC001420635130 1002721810 /nfs/dbraw/zinc/72/18/10/1002721810.db2.gz NWKUPVXJQWNTLD-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN CC(C)(C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C(F)F ZINC001404058952 1002955047 /nfs/dbraw/zinc/95/50/47/1002955047.db2.gz BKIPYHPWNYRTOH-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)(C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(F)F ZINC001404058952 1002955054 /nfs/dbraw/zinc/95/50/54/1002955054.db2.gz BKIPYHPWNYRTOH-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)(C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(F)F ZINC001404058952 1002955061 /nfs/dbraw/zinc/95/50/61/1002955061.db2.gz BKIPYHPWNYRTOH-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)F)CCCC1 ZINC001421061215 1003281075 /nfs/dbraw/zinc/28/10/75/1003281075.db2.gz FDTQZWZDAIUHSD-UHFFFAOYSA-N 0 2 315.324 0.636 20 0 DCADLN CS(=O)(=O)c1ccnc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001421124023 1003345906 /nfs/dbraw/zinc/34/59/06/1003345906.db2.gz PFESRBOISPXSDP-MRVPVSSYSA-N 0 2 324.366 0.088 20 0 DCADLN C[C@@]1(NC(=O)C[C@H]2CCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421187666 1003419838 /nfs/dbraw/zinc/41/98/38/1003419838.db2.gz DWRAEZOFUJVOBW-QMTHXVAHSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@@]1(NC(=O)C[C@H]2CCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421187666 1003419849 /nfs/dbraw/zinc/41/98/49/1003419849.db2.gz DWRAEZOFUJVOBW-QMTHXVAHSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@H]1O)C(F)C(F)(F)F ZINC001406248362 1003524618 /nfs/dbraw/zinc/52/46/18/1003524618.db2.gz BTPVBAGVFJFEMJ-IWSPIJDZSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@H]1O)[C@@H](F)C(F)(F)F ZINC001406248362 1003524627 /nfs/dbraw/zinc/52/46/27/1003524627.db2.gz BTPVBAGVFJFEMJ-IWSPIJDZSA-N 0 2 323.246 0.217 20 0 DCADLN CCN(C(=O)[C@H](C)C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421332215 1003552232 /nfs/dbraw/zinc/55/22/32/1003552232.db2.gz ZCAGTJQHPLQNPG-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@H](C)C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421332215 1003552237 /nfs/dbraw/zinc/55/22/37/1003552237.db2.gz ZCAGTJQHPLQNPG-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CC(NCc2nnn(C)n2)C1 ZINC001426225834 1003664077 /nfs/dbraw/zinc/66/40/77/1003664077.db2.gz VIJNXYJWXHDXBS-QXUHLLMWSA-N 0 2 324.282 0.190 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001428222392 1003772139 /nfs/dbraw/zinc/77/21/39/1003772139.db2.gz RTYLJEFVQSMPQU-DHRMIASJSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001428222392 1003772142 /nfs/dbraw/zinc/77/21/42/1003772142.db2.gz RTYLJEFVQSMPQU-DHRMIASJSA-N 0 2 324.274 0.543 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001406590954 1003897379 /nfs/dbraw/zinc/89/73/79/1003897379.db2.gz NAMGFBCQQMDPAH-UTUOFQBUSA-N 0 2 308.382 0.307 20 0 DCADLN Cc1nnc(CN(C)C[C@@H](C)NC(=O)C(F)C(F)(F)F)[nH]1 ZINC001406618048 1003930445 /nfs/dbraw/zinc/93/04/45/1003930445.db2.gz SOLXHPIVEWQQCG-HZGVNTEJSA-N 0 2 311.283 0.950 20 0 DCADLN CCc1oncc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406704338 1004020210 /nfs/dbraw/zinc/02/02/10/1004020210.db2.gz POCFSXOZFVLZSY-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1oncc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406704338 1004020220 /nfs/dbraw/zinc/02/02/20/1004020220.db2.gz POCFSXOZFVLZSY-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCOCC(=O)N1CC([C@@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001427053330 1004184797 /nfs/dbraw/zinc/18/47/97/1004184797.db2.gz NEJMQINGVQSQEH-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N1CC([C@@H](C)NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001427053330 1004184801 /nfs/dbraw/zinc/18/48/01/1004184801.db2.gz NEJMQINGVQSQEH-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H](CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)n1cc[nH+]c1 ZINC001406887024 1004189629 /nfs/dbraw/zinc/18/96/29/1004189629.db2.gz ZWEOPKGUFWKMQV-MNOVXSKESA-N 0 2 319.369 0.049 20 0 DCADLN Cc1ccccc1CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001406891252 1004190611 /nfs/dbraw/zinc/19/06/11/1004190611.db2.gz PJHXVCMTCUEPHV-ZDUSSCGKSA-N 0 2 315.377 0.752 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cccnc1 ZINC001406893355 1004192509 /nfs/dbraw/zinc/19/25/09/1004192509.db2.gz YTTXCFRMNPHMCT-PWSUYJOCSA-N 0 2 316.365 0.400 20 0 DCADLN CCCCC(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001407045742 1004330456 /nfs/dbraw/zinc/33/04/56/1004330456.db2.gz AVULINMZXXLDAN-UHFFFAOYSA-N 0 2 317.393 0.855 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CCn1cccn1 ZINC001429305149 1004518470 /nfs/dbraw/zinc/51/84/70/1004518470.db2.gz UCBDMWDWMZRIFL-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)CCn1cccn1 ZINC001429305149 1004518476 /nfs/dbraw/zinc/51/84/76/1004518476.db2.gz UCBDMWDWMZRIFL-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001429304240 1004518527 /nfs/dbraw/zinc/51/85/27/1004518527.db2.gz OOYZOXYWJFPFJT-SECBINFHSA-N 0 2 310.251 0.358 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001429304240 1004518534 /nfs/dbraw/zinc/51/85/34/1004518534.db2.gz OOYZOXYWJFPFJT-SECBINFHSA-N 0 2 310.251 0.358 20 0 DCADLN CCOCC(=O)NCCN(CC)C(=O)C(F)C(F)(F)F ZINC001429529148 1004651325 /nfs/dbraw/zinc/65/13/25/1004651325.db2.gz MSTQEVYJCKEDHS-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCOCC(=O)NCCN(CC)C(=O)[C@@H](F)C(F)(F)F ZINC001429529148 1004651330 /nfs/dbraw/zinc/65/13/30/1004651330.db2.gz MSTQEVYJCKEDHS-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN COCc1nnc(CNC(=O)N[C@H](C)Cc2cccnc2)[nH]1 ZINC001427941787 1004694747 /nfs/dbraw/zinc/69/47/47/1004694747.db2.gz CXWPRMSQTOUREB-SNVBAGLBSA-N 0 2 304.354 0.777 20 0 DCADLN CCc1cccc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)n1 ZINC001492967744 1004831683 /nfs/dbraw/zinc/83/16/83/1004831683.db2.gz OYWAQCCWAKYZQU-UHFFFAOYSA-N 0 2 318.381 0.720 20 0 DCADLN CCc1cccc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)n1 ZINC001492967744 1004831687 /nfs/dbraw/zinc/83/16/87/1004831687.db2.gz OYWAQCCWAKYZQU-UHFFFAOYSA-N 0 2 318.381 0.720 20 0 DCADLN C[C@@H](CNC(=O)c1cnsn1)NC(=O)C(F)C(F)(F)F ZINC001408074351 1005020042 /nfs/dbraw/zinc/02/00/42/1005020042.db2.gz JLMVHLVDOMLSES-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)c1cnsn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001408074351 1005020047 /nfs/dbraw/zinc/02/00/47/1005020047.db2.gz JLMVHLVDOMLSES-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN COCCC(=O)NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001408389515 1005187818 /nfs/dbraw/zinc/18/78/18/1005187818.db2.gz VLARPVBXVCGMNR-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN COCCC(=O)NC[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001408389515 1005187823 /nfs/dbraw/zinc/18/78/23/1005187823.db2.gz VLARPVBXVCGMNR-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001414666627 1005380332 /nfs/dbraw/zinc/38/03/32/1005380332.db2.gz BGEIWIDBDSIJCW-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)NC1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001414666627 1005380334 /nfs/dbraw/zinc/38/03/34/1005380334.db2.gz BGEIWIDBDSIJCW-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N[C@@H]2CC23CCC3)[nH]1 ZINC001414720333 1005389794 /nfs/dbraw/zinc/38/97/94/1005389794.db2.gz ZABCWLJDYCIZNO-MRVPVSSYSA-N 0 2 313.383 0.091 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NCCC2CCC2)[nH]1 ZINC001414724514 1005390599 /nfs/dbraw/zinc/39/05/99/1005390599.db2.gz IAHIVCLYJPIUJM-UHFFFAOYSA-N 0 2 315.399 0.339 20 0 DCADLN CCN(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001414732527 1005391765 /nfs/dbraw/zinc/39/17/65/1005391765.db2.gz RGYPOHCLPPLSFE-UHFFFAOYSA-N 0 2 301.372 0.043 20 0 DCADLN CC(C)OCC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001415516538 1005551816 /nfs/dbraw/zinc/55/18/16/1005551816.db2.gz XGEFNOQKTYIQPF-SECBINFHSA-N 0 2 302.268 0.886 20 0 DCADLN CC(C)OCC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001415516538 1005551817 /nfs/dbraw/zinc/55/18/17/1005551817.db2.gz XGEFNOQKTYIQPF-SECBINFHSA-N 0 2 302.268 0.886 20 0 DCADLN Cc1cnc(CCNC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC001417551981 1005842914 /nfs/dbraw/zinc/84/29/14/1005842914.db2.gz PGTMYJVBRRKAKQ-UHFFFAOYSA-N 0 2 318.299 0.901 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@]1(O)CCOC1 ZINC001417602760 1005849877 /nfs/dbraw/zinc/84/98/77/1005849877.db2.gz PZYUIUFBZZIVHM-ZDUSSCGKSA-N 0 2 309.347 0.507 20 0 DCADLN COC[C@H](C)CC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001417745479 1005881810 /nfs/dbraw/zinc/88/18/10/1005881810.db2.gz PPFKQFZJLHDOSF-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@H](CO)[C@H](O)C1 ZINC001417934982 1005931540 /nfs/dbraw/zinc/93/15/40/1005931540.db2.gz WCBKLUGLSQEAKI-ZYHUDNBSSA-N 0 2 303.318 0.003 20 0 DCADLN O=C(N[C@@H]1CCn2ccnc21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434808220 1005994783 /nfs/dbraw/zinc/99/47/83/1005994783.db2.gz WVCCXKIZKCZMGA-VHSXEESVSA-N 0 2 317.353 0.741 20 0 DCADLN O=C(CCC(F)F)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451455435 1006183761 /nfs/dbraw/zinc/18/37/61/1006183761.db2.gz HUBRKGKKPXXFOC-YLWLKBPMSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001451455435 1006183764 /nfs/dbraw/zinc/18/37/64/1006183764.db2.gz HUBRKGKKPXXFOC-YLWLKBPMSA-N 0 2 324.221 0.525 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)CNC(=O)C[N@@H+]2CCC[C@H]2C)c1[O-] ZINC001452490736 1006870932 /nfs/dbraw/zinc/87/09/32/1006870932.db2.gz RIDMLZSMIUMPOW-NXEZZACHSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)CNC(=O)C[N@H+]2CCC[C@H]2C)c1[O-] ZINC001452490736 1006870938 /nfs/dbraw/zinc/87/09/38/1006870938.db2.gz RIDMLZSMIUMPOW-NXEZZACHSA-N 0 2 323.397 0.143 20 0 DCADLN CO[C@@H]1CN(C(=O)C2CC2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001452724362 1007016937 /nfs/dbraw/zinc/01/69/37/1007016937.db2.gz YUPJACOPRDIOTI-IWSPIJDZSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@@H]1CN(C(=O)C2CC2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001452724362 1007016950 /nfs/dbraw/zinc/01/69/50/1007016950.db2.gz YUPJACOPRDIOTI-IWSPIJDZSA-N 0 2 312.263 0.639 20 0 DCADLN CC(F)(F)C(C)(C)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001439577127 1007028147 /nfs/dbraw/zinc/02/81/47/1007028147.db2.gz SMHXALOQKCDKCS-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[N@H+](CCNC(=O)CCc1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001437489475 1007037571 /nfs/dbraw/zinc/03/75/71/1007037571.db2.gz AIQYMLOOYHOGEY-UHFFFAOYSA-N 0 2 321.356 0.830 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001437489475 1007037581 /nfs/dbraw/zinc/03/75/81/1007037581.db2.gz AIQYMLOOYHOGEY-UHFFFAOYSA-N 0 2 321.356 0.830 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001452828201 1007097740 /nfs/dbraw/zinc/09/77/40/1007097740.db2.gz NVNRSLGHTJSXKA-XLPZGREQSA-N 0 2 302.268 0.525 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001452828201 1007097754 /nfs/dbraw/zinc/09/77/54/1007097754.db2.gz NVNRSLGHTJSXKA-XLPZGREQSA-N 0 2 302.268 0.525 20 0 DCADLN Cn1nnc(CN2CC[C@H](CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001437711273 1007300789 /nfs/dbraw/zinc/30/07/89/1007300789.db2.gz ZJPWNXMYFQTUBR-APPZFPTMSA-N 0 2 324.282 0.049 20 0 DCADLN Cn1nnc(CN2CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001437711273 1007300802 /nfs/dbraw/zinc/30/08/02/1007300802.db2.gz ZJPWNXMYFQTUBR-APPZFPTMSA-N 0 2 324.282 0.049 20 0 DCADLN CCC1(C(=O)NCC2(O)CN(Cc3n[nH]c(=O)[nH]3)C2)CCCC1 ZINC001440086073 1007481365 /nfs/dbraw/zinc/48/13/65/1007481365.db2.gz WNDCBYHCNZSBGG-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CCCN(C(=O)c1ncc[nH]1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001437889581 1007483930 /nfs/dbraw/zinc/48/39/30/1007483930.db2.gz CYRMGJWOYLUAQW-JTQLQIEISA-N 0 2 319.369 0.360 20 0 DCADLN CCCN(C(=O)c1ncc[nH]1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001437889587 1007484910 /nfs/dbraw/zinc/48/49/10/1007484910.db2.gz CYRMGJWOYLUAQW-SNVBAGLBSA-N 0 2 319.369 0.360 20 0 DCADLN CC(C)OCCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001440214804 1007585610 /nfs/dbraw/zinc/58/56/10/1007585610.db2.gz MOOHVZJZJHXABZ-NSHDSACASA-N 0 2 311.386 0.358 20 0 DCADLN Cc1ncc([C@@H](C)NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC001438306713 1007802651 /nfs/dbraw/zinc/80/26/51/1007802651.db2.gz RHPSQMNUQMOWAX-SSDOTTSWSA-N 0 2 318.362 0.714 20 0 DCADLN CCCNC(=O)C[NH+]1CC([C@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001441166327 1008251340 /nfs/dbraw/zinc/25/13/40/1008251340.db2.gz TUFFQXAEPIILSD-VIFPVBQESA-N 0 2 323.397 0.000 20 0 DCADLN O=C(CCC1CC1)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433250387 1008647141 /nfs/dbraw/zinc/64/71/41/1008647141.db2.gz RJRRICPGQXPYJA-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001433250387 1008647142 /nfs/dbraw/zinc/64/71/42/1008647142.db2.gz RJRRICPGQXPYJA-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)CNC(=O)C[N@@H+]2CC[C@H](C)C2)c1C ZINC001433375910 1008728804 /nfs/dbraw/zinc/72/88/04/1008728804.db2.gz CIDNRILUDJFRQI-WDEREUQCSA-N 0 2 321.425 0.603 20 0 DCADLN Cn1nccc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001433422917 1008770548 /nfs/dbraw/zinc/77/05/48/1008770548.db2.gz QTFCYNGSNAWOHP-SNVBAGLBSA-N 0 2 308.279 0.965 20 0 DCADLN Cn1nccc1CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001433422917 1008770558 /nfs/dbraw/zinc/77/05/58/1008770558.db2.gz QTFCYNGSNAWOHP-SNVBAGLBSA-N 0 2 308.279 0.965 20 0 DCADLN CN(C[C@H](O)C[NH+](C)Cc1ccon1)C(=O)C[N@H+](C)C1CCC1 ZINC001442052666 1008886639 /nfs/dbraw/zinc/88/66/39/1008886639.db2.gz OWXBOQPVHRDZRH-OAHLLOKOSA-N 0 2 324.425 0.410 20 0 DCADLN O=C([O-])N1CSC[C@@H]1C(=O)NCc1[nH]c2c([nH+]1)CCCC2 ZINC001574331198 1163697765 /nfs/dbraw/zinc/69/77/65/1163697765.db2.gz PDMFSJRTDYGSKU-SNVBAGLBSA-N 0 2 310.379 0.958 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1)C(F)C(F)(F)F ZINC001454395500 1009118118 /nfs/dbraw/zinc/11/81/18/1009118118.db2.gz CLQXBCHBFJBXPQ-BZBVSFKGSA-N 0 2 324.274 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1)[C@@H](F)C(F)(F)F ZINC001454395500 1009118124 /nfs/dbraw/zinc/11/81/24/1009118124.db2.gz CLQXBCHBFJBXPQ-BZBVSFKGSA-N 0 2 324.274 0.687 20 0 DCADLN C[NH+](CCNC(=O)[C@@H]1CCC[N@@H+]1C)CC(=O)NCC(C)(C)C ZINC001442374858 1009238102 /nfs/dbraw/zinc/23/81/02/1009238102.db2.gz HJDOQAWJZPKIBE-ZDUSSCGKSA-N 0 2 312.458 0.291 20 0 DCADLN COc1ccc(OC)c(CC(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001434232967 1009683961 /nfs/dbraw/zinc/68/39/61/1009683961.db2.gz VETWFADJGCGTSL-UHFFFAOYSA-N 0 2 319.317 0.764 20 0 DCADLN COc1nscc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001434359730 1009826678 /nfs/dbraw/zinc/82/66/78/1009826678.db2.gz KVWXGMZYXQDPOO-UHFFFAOYSA-N 0 2 306.307 0.175 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CCCC2CC2)[nH]1 ZINC001434384592 1009873612 /nfs/dbraw/zinc/87/36/12/1009873612.db2.gz MMWXLEFRAIIAFF-UHFFFAOYSA-N 0 2 300.384 0.546 20 0 DCADLN C[C@@H](NC(=O)c1cc(OC(F)F)n(C)n1)c1nn(C)cc1O ZINC001434406365 1009911632 /nfs/dbraw/zinc/91/16/32/1009911632.db2.gz GMGLTOGZQVEKHL-ZCFIWIBFSA-N 0 2 315.280 0.952 20 0 DCADLN C[C@@H]1COCCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001456052140 1010073185 /nfs/dbraw/zinc/07/31/85/1010073185.db2.gz AVDMNLGAUISAQL-JTQLQIEISA-N 0 2 318.333 0.375 20 0 DCADLN C[C@](O)(CC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001443438742 1010405965 /nfs/dbraw/zinc/40/59/65/1010405965.db2.gz ZWBOOXUXZNOYHM-NHYWBVRUSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCO1 ZINC001443544910 1010483555 /nfs/dbraw/zinc/48/35/55/1010483555.db2.gz NUXDVUYCJBKXPV-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN CC1(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC(F)(F)C1 ZINC001443626984 1010548170 /nfs/dbraw/zinc/54/81/70/1010548170.db2.gz DMJHDSAKDNEIRJ-UHFFFAOYSA-N 0 2 315.324 0.494 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423080203 1010870908 /nfs/dbraw/zinc/87/09/08/1010870908.db2.gz XHPDEYBLOBUKAT-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN CCO[C@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001423099201 1010887515 /nfs/dbraw/zinc/88/75/15/1010887515.db2.gz UIOFVFRSANTGFU-PWSUYJOCSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F)NC1CC1 ZINC001423105822 1010892469 /nfs/dbraw/zinc/89/24/69/1010892469.db2.gz ZEQQGSLHBZMFNU-PSASIEDQSA-N 0 2 311.279 0.356 20 0 DCADLN O=C(CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F)NC1CC1 ZINC001423105822 1010892472 /nfs/dbraw/zinc/89/24/72/1010892472.db2.gz ZEQQGSLHBZMFNU-PSASIEDQSA-N 0 2 311.279 0.356 20 0 DCADLN CC[C@H](O)CCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001444757622 1011161780 /nfs/dbraw/zinc/16/17/80/1011161780.db2.gz PARPUMYIVZWZQM-LBPRGKRZSA-N 0 2 320.349 0.547 20 0 DCADLN CO[C@@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001456465760 1011289068 /nfs/dbraw/zinc/28/90/68/1011289068.db2.gz XIQPVDMDZWEGRC-XPUUQOCRSA-N 0 2 300.252 0.496 20 0 DCADLN CO[C@@H](C)C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001456465760 1011289075 /nfs/dbraw/zinc/28/90/75/1011289075.db2.gz XIQPVDMDZWEGRC-XPUUQOCRSA-N 0 2 300.252 0.496 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ocnc1C1CC1 ZINC001445037182 1011345668 /nfs/dbraw/zinc/34/56/68/1011345668.db2.gz UZRWWEISECSLBY-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ocnc1C1CC1 ZINC001445037182 1011345681 /nfs/dbraw/zinc/34/56/81/1011345681.db2.gz UZRWWEISECSLBY-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)[C@H](C)CNC(=O)COC ZINC001445271488 1011603786 /nfs/dbraw/zinc/60/37/86/1011603786.db2.gz QIJQRIQDACISLF-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)[C@H](C)CNC(=O)COC ZINC001445271488 1011603795 /nfs/dbraw/zinc/60/37/95/1011603795.db2.gz QIJQRIQDACISLF-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21)C(F)C(F)(F)F ZINC001432041282 1011903666 /nfs/dbraw/zinc/90/36/66/1011903666.db2.gz STRQPMCAMZUXMM-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@@H](F)C(F)(F)F ZINC001432041282 1011903674 /nfs/dbraw/zinc/90/36/74/1011903674.db2.gz STRQPMCAMZUXMM-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=S)c1C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC001556103488 1012182958 /nfs/dbraw/zinc/18/29/58/1012182958.db2.gz UNBKQPCECFLUDU-RXMQYKEDSA-N 0 2 309.355 0.168 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CCCCO2)C1)C(F)C(F)(F)F ZINC001432260961 1012220009 /nfs/dbraw/zinc/22/00/09/1012220009.db2.gz RWGXBKJGAPVCCG-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CCCCO2)C1)[C@@H](F)C(F)(F)F ZINC001432260961 1012220022 /nfs/dbraw/zinc/22/00/22/1012220022.db2.gz RWGXBKJGAPVCCG-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2ncnc3ccccc32)[nH]1 ZINC001458655490 1012267322 /nfs/dbraw/zinc/26/73/22/1012267322.db2.gz MKYYFKBCKUXBKF-UHFFFAOYSA-N 0 2 318.362 0.905 20 0 DCADLN C[C@@H](NC(=O)c1ccnc2[nH]c(=O)[nH]c21)c1nn(C)cc1O ZINC001558683925 1012377438 /nfs/dbraw/zinc/37/74/38/1012377438.db2.gz QBUUHWDHHODHJW-ZCFIWIBFSA-N 0 2 302.294 0.594 20 0 DCADLN CC(C)C(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001424349344 1012414205 /nfs/dbraw/zinc/41/42/05/1012414205.db2.gz PGXDEONPWNKBCA-SNVBAGLBSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001432430406 1012478143 /nfs/dbraw/zinc/47/81/43/1012478143.db2.gz SKNBSPOIQOHUFS-QMMMGPOBSA-N 0 2 323.250 0.202 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001432430406 1012478156 /nfs/dbraw/zinc/47/81/56/1012478156.db2.gz SKNBSPOIQOHUFS-QMMMGPOBSA-N 0 2 323.250 0.202 20 0 DCADLN O=C(NCC1=CCCOC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001564854917 1012533328 /nfs/dbraw/zinc/53/33/28/1012533328.db2.gz CYWZQTGLFJDXNY-NSHDSACASA-N 0 2 307.354 0.746 20 0 DCADLN C[C@@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccn1 ZINC001446395243 1012789357 /nfs/dbraw/zinc/78/93/57/1012789357.db2.gz DFSWTTSTRISAJD-JTQLQIEISA-N 0 2 319.369 0.001 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001432715682 1012800617 /nfs/dbraw/zinc/80/06/17/1012800617.db2.gz QCFFKWLLHAEDOD-RNFRBKRXSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001432715682 1012800635 /nfs/dbraw/zinc/80/06/35/1012800635.db2.gz QCFFKWLLHAEDOD-RNFRBKRXSA-N 0 2 310.251 0.935 20 0 DCADLN COC(=O)c1cnc(CNS(=O)(=O)c2conc2C)s1 ZINC001475689251 1017137290 /nfs/dbraw/zinc/13/72/90/1017137290.db2.gz PYCKMIFCHMJDRB-UHFFFAOYSA-N 0 2 317.348 0.705 20 0 DCADLN CO[C@@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001506639671 1017428239 /nfs/dbraw/zinc/42/82/39/1017428239.db2.gz KXJXNLQMYHMNQI-TVQRCGJNSA-N 0 2 323.397 0.262 20 0 DCADLN CCN(C(=O)CCC(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494902709 1018140812 /nfs/dbraw/zinc/14/08/12/1018140812.db2.gz ZTURXBSMRBHTAT-VIFPVBQESA-N 0 2 317.340 0.978 20 0 DCADLN CCN(C(=O)CCC(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494902709 1018140816 /nfs/dbraw/zinc/14/08/16/1018140816.db2.gz ZTURXBSMRBHTAT-VIFPVBQESA-N 0 2 317.340 0.978 20 0 DCADLN Cc1c(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)cnn1C ZINC001495850157 1018761269 /nfs/dbraw/zinc/76/12/69/1018761269.db2.gz FYSMPLSCNKJFFK-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1c(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)cnn1C ZINC001495850157 1018761279 /nfs/dbraw/zinc/76/12/79/1018761279.db2.gz FYSMPLSCNKJFFK-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001498316548 1018945799 /nfs/dbraw/zinc/94/57/99/1018945799.db2.gz DIFZVFFFWACNGQ-SNVBAGLBSA-N 0 2 306.370 0.706 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001498316548 1018945811 /nfs/dbraw/zinc/94/58/11/1018945811.db2.gz DIFZVFFFWACNGQ-SNVBAGLBSA-N 0 2 306.370 0.706 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cccnn1 ZINC001496123362 1019089063 /nfs/dbraw/zinc/08/90/63/1019089063.db2.gz QEGULSCVDAJPJH-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cccnn1 ZINC001496123362 1019089079 /nfs/dbraw/zinc/08/90/79/1019089079.db2.gz QEGULSCVDAJPJH-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001493126229 1019123796 /nfs/dbraw/zinc/12/37/96/1019123796.db2.gz RZRJODSAOXYEHR-WCQYABFASA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001493126229 1019123801 /nfs/dbraw/zinc/12/38/01/1019123801.db2.gz RZRJODSAOXYEHR-WCQYABFASA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001493126224 1019125696 /nfs/dbraw/zinc/12/56/96/1019125696.db2.gz RZRJODSAOXYEHR-AAEUAGOBSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001493126224 1019125704 /nfs/dbraw/zinc/12/57/04/1019125704.db2.gz RZRJODSAOXYEHR-AAEUAGOBSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498451048 1019131130 /nfs/dbraw/zinc/13/11/30/1019131130.db2.gz VMVYUOALMASEGB-QMMMGPOBSA-N 0 2 321.385 0.029 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498451048 1019131140 /nfs/dbraw/zinc/13/11/40/1019131140.db2.gz VMVYUOALMASEGB-QMMMGPOBSA-N 0 2 321.385 0.029 20 0 DCADLN CC(C)(C(=O)NC[C@H]1CC[N@H+](Cc2cnon2)C1)c1c[nH]cn1 ZINC001493255569 1019257751 /nfs/dbraw/zinc/25/77/51/1019257751.db2.gz WCYSDZBZXZWMFA-LLVKDONJSA-N 0 2 318.381 0.709 20 0 DCADLN C[C@]1(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C=CCC1 ZINC001493400667 1019396523 /nfs/dbraw/zinc/39/65/23/1019396523.db2.gz CRNLZJCOBUCUIN-HNNXBMFYSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@]1(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C=CCC1 ZINC001493400667 1019396538 /nfs/dbraw/zinc/39/65/38/1019396538.db2.gz CRNLZJCOBUCUIN-HNNXBMFYSA-N 0 2 305.382 0.947 20 0 DCADLN CCC1(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001501828060 1019495963 /nfs/dbraw/zinc/49/59/63/1019495963.db2.gz URSSZRBBMFPFSC-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)Cc2ccnn2C)c1[O-] ZINC001496689634 1019533478 /nfs/dbraw/zinc/53/34/78/1019533478.db2.gz WBBLOLYCHNADPZ-UHFFFAOYSA-N 0 2 306.370 0.361 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)Cc2ccnn2C)c1[O-] ZINC001496689634 1019533490 /nfs/dbraw/zinc/53/34/90/1019533490.db2.gz WBBLOLYCHNADPZ-UHFFFAOYSA-N 0 2 306.370 0.361 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CCC[N@H+](C)Cc2cn(C)nn2)c1[O-] ZINC001502173349 1019795491 /nfs/dbraw/zinc/79/54/91/1019795491.db2.gz HHIQDUDUVMYJOQ-UHFFFAOYSA-N 0 2 321.385 0.146 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CCC[N@@H+](C)Cc2cn(C)nn2)c1[O-] ZINC001502173349 1019795509 /nfs/dbraw/zinc/79/55/09/1019795509.db2.gz HHIQDUDUVMYJOQ-UHFFFAOYSA-N 0 2 321.385 0.146 20 0 DCADLN Cc1c(Cl)c(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])nn1C ZINC001607528658 1170474238 /nfs/dbraw/zinc/47/42/38/1170474238.db2.gz KINNRIOQHYDOPB-MRVPVSSYSA-N 0 2 311.729 0.462 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccnn2C)c(C(F)(F)F)n1 ZINC000330927749 232170493 /nfs/dbraw/zinc/17/04/93/232170493.db2.gz WVZFFHPYZBPAOH-UHFFFAOYSA-N 0 2 309.273 0.973 20 0 DCADLN COCCNC(=O)CNC(=O)c1cc(F)c(F)c(O)c1F ZINC000272641552 210044972 /nfs/dbraw/zinc/04/49/72/210044972.db2.gz XRBTZTZWINRNSI-UHFFFAOYSA-N 0 2 306.240 0.302 20 0 DCADLN COC(=O)C[C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000265157221 186343408 /nfs/dbraw/zinc/34/34/08/186343408.db2.gz YZBVAEOZDIQUQO-LURJTMIESA-N 0 2 306.278 0.117 20 0 DCADLN CC(C)[C@@H](CNC(=O)N[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000087491829 545708520 /nfs/dbraw/zinc/70/85/20/545708520.db2.gz USJUXIOZGOFYAS-VXGBXAGGSA-N 0 2 301.387 0.363 20 0 DCADLN CC(C)OCCCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000180928417 199229389 /nfs/dbraw/zinc/22/93/89/199229389.db2.gz YQEPFEXPKWFRMR-UHFFFAOYSA-N 0 2 320.349 0.546 20 0 DCADLN Cc1cc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)ccc1O ZINC000157292544 291227813 /nfs/dbraw/zinc/22/78/13/291227813.db2.gz OTWSWQDBBPEOQY-UHFFFAOYSA-N 0 2 312.285 0.878 20 0 DCADLN O=C([O-])[C@H]1CCCN1C(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000566091391 291268362 /nfs/dbraw/zinc/26/83/62/291268362.db2.gz ZXAJYAXLCHCMNR-SNVBAGLBSA-N 0 2 302.290 0.348 20 0 DCADLN O=C([C@@H]1CCCCC(=O)N1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000566284604 291290925 /nfs/dbraw/zinc/29/09/25/291290925.db2.gz WBHWFSGEVNWUMY-UWVGGRQHSA-N 0 2 307.354 0.275 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1cccc(C)c1C ZINC000421809673 240105096 /nfs/dbraw/zinc/10/50/96/240105096.db2.gz CAROXTVRQAUWBK-ZDUSSCGKSA-N 0 2 303.318 0.907 20 0 DCADLN C[C@H](NS(=O)(=O)C[C@@H]1CCCS(=O)(=O)C1)C(F)(F)F ZINC000425187578 240305869 /nfs/dbraw/zinc/30/58/69/240305869.db2.gz FSMSSWCNAGSAHH-JGVFFNPUSA-N 0 2 323.358 0.681 20 0 DCADLN Cc1cc(N2CC3N=NC(=O)N3C[C@H]2C(=O)[O-])nc(C(C)C)[nH+]1 ZINC000424379170 240258483 /nfs/dbraw/zinc/25/84/83/240258483.db2.gz UXFPTAVZGFKKDZ-VIFPVBQESA-N 0 2 318.337 0.679 20 0 DCADLN C[C@H](CO[N-]C(=O)[C@H]1COCC[N@@H+]1C)NC(=O)OC(C)(C)C ZINC000495366641 241214446 /nfs/dbraw/zinc/21/44/46/241214446.db2.gz GNYLEVLDDFITGR-GHMZBOCLSA-N 0 2 317.386 0.278 20 0 DCADLN C[C@H](CO[N-]C(=O)[C@H]1COCC[N@H+]1C)NC(=O)OC(C)(C)C ZINC000495366641 241214451 /nfs/dbraw/zinc/21/44/51/241214451.db2.gz GNYLEVLDDFITGR-GHMZBOCLSA-N 0 2 317.386 0.278 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H](O)c1ccc(F)cc1 ZINC000274909870 212026824 /nfs/dbraw/zinc/02/68/24/212026824.db2.gz TWRPNFPEITZNOA-SECBINFHSA-N 0 2 312.326 0.179 20 0 DCADLN CC(C)OC(=O)[C@H](C)N(C)C(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000271679278 209121581 /nfs/dbraw/zinc/12/15/81/209121581.db2.gz QBLJDLISOCVWAG-VIFPVBQESA-N 0 2 321.333 0.825 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCOCC2(CC2)C1 ZINC000329327215 296287510 /nfs/dbraw/zinc/28/75/10/296287510.db2.gz JWOVLYYHFGYGIN-UHFFFAOYSA-N 0 2 316.317 0.689 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)cs1 ZINC000106365016 194200768 /nfs/dbraw/zinc/20/07/68/194200768.db2.gz LPYUYDOFTGXKDB-UHFFFAOYSA-N 0 2 316.404 0.915 20 0 DCADLN Cc1nccnc1CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000294574308 224096659 /nfs/dbraw/zinc/09/66/59/224096659.db2.gz NLAZLORRGNNIEW-UHFFFAOYSA-N 0 2 311.349 0.881 20 0 DCADLN Cn1ncc2c1nc(N1CCC[C@@H](c3n[nH]c(=O)[nH]3)C1)[nH]c2=O ZINC000339966411 253171849 /nfs/dbraw/zinc/17/18/49/253171849.db2.gz AEMGKZYFDWPOCG-SSDOTTSWSA-N 0 2 316.325 0.277 20 0 DCADLN Cn1ccc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000346025765 254000636 /nfs/dbraw/zinc/00/06/36/254000636.db2.gz FFHRHVCUKWXPOP-UHFFFAOYSA-N 0 2 300.278 0.100 20 0 DCADLN COC[C@H](O)CCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000346321590 254037045 /nfs/dbraw/zinc/03/70/45/254037045.db2.gz HUXHDTDWIKZYBC-LLVKDONJSA-N 0 2 307.306 0.157 20 0 DCADLN COC(=O)C1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000330767606 533286179 /nfs/dbraw/zinc/28/61/79/533286179.db2.gz RWXJJEJRZZTOTM-UHFFFAOYSA-N 0 2 300.340 0.014 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cnc2ccccc2n1 ZINC000285309362 131156890 /nfs/dbraw/zinc/15/68/90/131156890.db2.gz RZTHBSYZGODOHG-VIFPVBQESA-N 0 2 308.363 0.848 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(Cc3nn[nH]n3)cc2)cn1 ZINC000164319215 132139277 /nfs/dbraw/zinc/13/92/77/132139277.db2.gz SIQSPJWPZOZLLP-UHFFFAOYSA-N 0 2 319.350 0.325 20 0 DCADLN C[C@H]1Oc2ccc(NS(=O)(=O)c3cnn(C)c3)cc2NC1=O ZINC000047992407 395805022 /nfs/dbraw/zinc/80/50/22/395805022.db2.gz CXIMTVMNFCHFGX-MRVPVSSYSA-N 0 2 322.346 0.940 20 0 DCADLN O=S(=O)(NCCCOCC(F)(F)F)NCC(F)(F)F ZINC000195089503 395815946 /nfs/dbraw/zinc/81/59/46/395815946.db2.gz JKDDFWDZSGZUSB-UHFFFAOYSA-N 0 2 318.239 0.942 20 0 DCADLN Cn1cc(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)ccc1=O ZINC000195102227 395816021 /nfs/dbraw/zinc/81/60/21/395816021.db2.gz ZMNLTJAPNLRVRN-UHFFFAOYSA-N 0 2 312.285 0.981 20 0 DCADLN C[C@@H](C(N)=O)S(=O)(=O)c1n[nH]c(-c2ccc(Cl)cc2)n1 ZINC000195114599 395816868 /nfs/dbraw/zinc/81/68/68/395816868.db2.gz DRKBDNOHEHCSFH-LURJTMIESA-N 0 2 314.754 0.773 20 0 DCADLN Cc1nnc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)s1 ZINC000050925018 395843730 /nfs/dbraw/zinc/84/37/30/395843730.db2.gz PWGHRDDXQNALOK-UHFFFAOYSA-N 0 2 304.291 0.436 20 0 DCADLN O=C(N[C@@H]1CCCN(c2cccc(F)c2)C1)c1nc(=O)[nH][nH]1 ZINC000116466179 395844004 /nfs/dbraw/zinc/84/40/04/395844004.db2.gz DJJOSVFEBWSGEW-SNVBAGLBSA-N 0 2 305.313 0.636 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[S@@](C)=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000273256423 395910326 /nfs/dbraw/zinc/91/03/26/395910326.db2.gz YRXHCVHSNRVBBN-LWHSBAFCSA-N 0 2 324.362 0.241 20 0 DCADLN Cn1cccc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000128198653 395917257 /nfs/dbraw/zinc/91/72/57/395917257.db2.gz QBNSRTNCRWGGCD-UHFFFAOYSA-N 0 2 301.353 0.500 20 0 DCADLN NC(=O)[C@H]1CC[C@H](CNC(=O)c2cc(F)c(F)c(O)c2F)O1 ZINC000273409427 395919440 /nfs/dbraw/zinc/91/94/40/395919440.db2.gz KGCQKHYGQILZHL-SVGQVSJJSA-N 0 2 318.251 0.572 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)N1CCN(c2ncnc3[nH]cnc32)CC1 ZINC000151630441 395989330 /nfs/dbraw/zinc/98/93/30/395989330.db2.gz IJBVAOPVWOXPEU-NSHDSACASA-N 0 2 315.381 0.096 20 0 DCADLN CC(=O)c1cccc(S(=O)(=O)Nc2cnn(CCO)c2)c1 ZINC000067659840 396030242 /nfs/dbraw/zinc/03/02/42/396030242.db2.gz RUPXQFLUVOHKPM-UHFFFAOYSA-N 0 2 309.347 0.879 20 0 DCADLN COC(=O)[C@H]1C[C@@H]1C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000171203523 396111103 /nfs/dbraw/zinc/11/11/03/396111103.db2.gz DJIJMTXZBLYMCH-YUMQZZPRSA-N 0 2 321.358 0.752 20 0 DCADLN CC(=O)NCCCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000176270058 396153195 /nfs/dbraw/zinc/15/31/95/396153195.db2.gz DGVWNNLCRPFFDO-UHFFFAOYSA-N 0 2 320.324 0.973 20 0 DCADLN CCC(C)(C)NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000176633339 396158549 /nfs/dbraw/zinc/15/85/49/396158549.db2.gz ITQLNPLJBWATHH-UHFFFAOYSA-N 0 2 312.351 0.078 20 0 DCADLN COCC[C@H](CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000281774842 396239182 /nfs/dbraw/zinc/23/91/82/396239182.db2.gz URFHIOLQTKVBCH-LLVKDONJSA-N 0 2 305.334 0.705 20 0 DCADLN COc1ccc(C(=O)CCC(=O)NCc2n[nH]c(=O)[nH]2)cc1F ZINC000081804822 396308722 /nfs/dbraw/zinc/30/87/22/396308722.db2.gz KLJSLTBNVFBXGJ-UHFFFAOYSA-N 0 2 322.296 0.525 20 0 DCADLN O=C(NCc1[nH+]ccn1CCc1ccccc1)c1n[nH]c(=O)[n-]1 ZINC000081930204 396309926 /nfs/dbraw/zinc/30/99/26/396309926.db2.gz AWLVWIOHZNCEPN-UHFFFAOYSA-N 0 2 312.333 0.467 20 0 DCADLN CSC[C@](C)(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000265716542 396339150 /nfs/dbraw/zinc/33/91/50/396339150.db2.gz JDNAPPUFNOOFIU-CYBMUJFWSA-N 0 2 324.362 0.280 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cnccc2C(=O)OC)cn1 ZINC000265716763 396339212 /nfs/dbraw/zinc/33/92/12/396339212.db2.gz QADBRSNVSPWDBV-UHFFFAOYSA-N 0 2 310.335 0.885 20 0 DCADLN COC(=O)[C@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000265838189 396345460 /nfs/dbraw/zinc/34/54/60/396345460.db2.gz RNNWUZNAMQHPNY-LURJTMIESA-N 0 2 306.278 0.036 20 0 DCADLN C[C@@H](O)C(=O)N1CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000186981051 396361973 /nfs/dbraw/zinc/36/19/73/396361973.db2.gz YBSFYZJPLLCUCJ-MRVPVSSYSA-N 0 2 314.288 0.336 20 0 DCADLN CC[C@H](C)OCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000269715049 396438711 /nfs/dbraw/zinc/43/87/11/396438711.db2.gz OVYAWRWYXAFEBT-LURJTMIESA-N 0 2 308.385 0.663 20 0 DCADLN CN(C[C@@H]1CCC[C@H]1O)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000270167295 396462268 /nfs/dbraw/zinc/46/22/68/396462268.db2.gz ZJMNJOHGGUKYFZ-GZMMTYOYSA-N 0 2 318.333 0.669 20 0 DCADLN Cc1nc2ccc(NS(=O)(=O)c3cnn(C)c3C)cn2n1 ZINC000291936104 396520642 /nfs/dbraw/zinc/52/06/42/396520642.db2.gz XIGJTAUYBSUMDH-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nc(C)n(C)n2)s1 ZINC000291941121 396520648 /nfs/dbraw/zinc/52/06/48/396520648.db2.gz CVMVRJVSPVZUSD-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN NS(=O)(=O)c1ccc(S(=O)(=O)Nc2ccccn2)cc1 ZINC000594871835 396532245 /nfs/dbraw/zinc/53/22/45/396532245.db2.gz KTDOIONBDAKFFL-UHFFFAOYSA-N 0 2 313.360 0.530 20 0 DCADLN CO[C@H]1COC[C@@H]1NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000292519396 396554177 /nfs/dbraw/zinc/55/41/77/396554177.db2.gz LBSPUXMSQDIMTC-AAEUAGOBSA-N 0 2 303.318 0.722 20 0 DCADLN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000372968297 396557276 /nfs/dbraw/zinc/55/72/76/396557276.db2.gz RMIMDEYXKSHDFT-NKWVEPMBSA-N 0 2 306.281 0.427 20 0 DCADLN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000372968297 396557278 /nfs/dbraw/zinc/55/72/78/396557278.db2.gz RMIMDEYXKSHDFT-NKWVEPMBSA-N 0 2 306.281 0.427 20 0 DCADLN CC[C@@H](NC(=O)C1=NN(c2ccc(OC)cc2)CC1=O)C(N)=O ZINC000292695799 396564022 /nfs/dbraw/zinc/56/40/22/396564022.db2.gz DOLCLVLGKKUFOU-LLVKDONJSA-N 0 2 318.333 0.580 20 0 DCADLN COCC(C)(C)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000293874975 396639107 /nfs/dbraw/zinc/63/91/07/396639107.db2.gz YQIKTVDMEKIZTJ-UHFFFAOYSA-N 0 2 306.322 0.838 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CN(C)C(=O)C2CC2)s[nH]1 ZINC000634152645 396797664 /nfs/dbraw/zinc/79/76/64/396797664.db2.gz ANEGFDXSLXYXKN-UHFFFAOYSA-N 0 2 312.395 0.648 20 0 DCADLN NC(=O)c1cc(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)c[nH]1 ZINC000590961194 396859505 /nfs/dbraw/zinc/85/95/05/396859505.db2.gz MDZVXGDAWQCEGS-UHFFFAOYSA-N 0 2 313.273 0.709 20 0 DCADLN COc1cc(OC)cc(C(=O)NCC(=O)NC2(C)COC2)c1 ZINC000634196048 396812154 /nfs/dbraw/zinc/81/21/54/396812154.db2.gz BSZXDMLZFFUDLA-UHFFFAOYSA-N 0 2 308.334 0.339 20 0 DCADLN CO[C@@H]1C[N@H+](CC(=O)NCc2cccnc2)[C@](C)(C(=O)[O-])C1 ZINC000634693839 396898804 /nfs/dbraw/zinc/89/88/04/396898804.db2.gz IPJZAJCBQGRUGO-WFASDCNBSA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@@H]1C[N@@H+](CC(=O)NCc2cccnc2)[C@](C)(C(=O)[O-])C1 ZINC000634693839 396898807 /nfs/dbraw/zinc/89/88/07/396898807.db2.gz IPJZAJCBQGRUGO-WFASDCNBSA-N 0 2 307.350 0.262 20 0 DCADLN Cn1nnc2cc(S(=O)(=O)Nc3cnn(CCF)c3)ccc21 ZINC000634794383 396918505 /nfs/dbraw/zinc/91/85/05/396918505.db2.gz ZBPBLJQOOSQXJZ-UHFFFAOYSA-N 0 2 324.341 0.935 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000630170908 396953437 /nfs/dbraw/zinc/95/34/37/396953437.db2.gz PZGKXAZMRRYEIB-WMLDXEAASA-N 0 2 320.389 0.866 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000630170908 396953444 /nfs/dbraw/zinc/95/34/44/396953444.db2.gz PZGKXAZMRRYEIB-WMLDXEAASA-N 0 2 320.389 0.866 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)OCCCO3)c1O ZINC000618442122 396981229 /nfs/dbraw/zinc/98/12/29/396981229.db2.gz ZIJZEAISXMUBQJ-GFCCVEGCSA-N 0 2 320.301 0.836 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCN(C)C(=O)C2)c1 ZINC000591779728 397001185 /nfs/dbraw/zinc/00/11/85/397001185.db2.gz NYQSYSMBKPTWIM-XFNZEKPQSA-N 0 2 324.402 0.540 20 0 DCADLN O=S(=O)(CCCS(=O)(=O)Nc1ccon1)NCC1CC1 ZINC000349563756 397073410 /nfs/dbraw/zinc/07/34/10/397073410.db2.gz GUDZEIMLVFNCEQ-UHFFFAOYSA-N 0 2 323.396 0.136 20 0 DCADLN CN(C)S(=O)(=O)CCCCS(=O)(=O)Nc1ccon1 ZINC000349563965 397073512 /nfs/dbraw/zinc/07/35/12/397073512.db2.gz IYNSHDVIERSPFN-UHFFFAOYSA-N 0 2 311.385 0.088 20 0 DCADLN COc1cc2c(cc1OC)C[N@H+](CC(=O)N(C)CC(=O)[O-])CC2 ZINC000572403473 397056398 /nfs/dbraw/zinc/05/63/98/397056398.db2.gz LKJVTCPWYUXPFM-UHFFFAOYSA-N 0 2 322.361 0.605 20 0 DCADLN COc1cc2c(cc1OC)C[N@@H+](CC(=O)N(C)CC(=O)[O-])CC2 ZINC000572403473 397056403 /nfs/dbraw/zinc/05/64/03/397056403.db2.gz LKJVTCPWYUXPFM-UHFFFAOYSA-N 0 2 322.361 0.605 20 0 DCADLN COC(=O)c1cn([C@H]2CCN(c3ccc(C(=O)[O-])c[nH+]3)C2)nn1 ZINC000592427136 397172452 /nfs/dbraw/zinc/17/24/52/397172452.db2.gz KCECGDSWLYTELB-JTQLQIEISA-N 0 2 317.305 0.609 20 0 DCADLN Cc1cccc(-c2nsc(NCCN3CCNC(=O)C3)n2)c1 ZINC000631203434 397182272 /nfs/dbraw/zinc/18/22/72/397182272.db2.gz QDHITPWXBFZEGV-UHFFFAOYSA-N 0 2 317.418 0.779 20 0 DCADLN CC1(C)[C@@H](O)C[C@@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613678394 397299478 /nfs/dbraw/zinc/29/94/78/397299478.db2.gz GHKPWGMSTVENPU-QWRGUYRKSA-N 0 2 303.318 0.919 20 0 DCADLN C[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC[C@@H]1O ZINC000613684435 397300604 /nfs/dbraw/zinc/30/06/04/397300604.db2.gz GJUGMIDLKSFXML-SKDRFNHKSA-N 0 2 303.318 0.873 20 0 DCADLN C[C@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC[C@H]1O ZINC000613684434 397300807 /nfs/dbraw/zinc/30/08/07/397300807.db2.gz GJUGMIDLKSFXML-JOYOIKCWSA-N 0 2 303.318 0.873 20 0 DCADLN C[C@@H](c1cccc([N+](=O)[O-])c1)[NH+]1CCN(C(=O)C(=O)[O-])CC1 ZINC000396706923 397312159 /nfs/dbraw/zinc/31/21/59/397312159.db2.gz LMJQYAVXQVAONM-JTQLQIEISA-N 0 2 307.306 0.885 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000577482326 397334668 /nfs/dbraw/zinc/33/46/68/397334668.db2.gz VLJBMHIGZSDXLZ-OAHLLOKOSA-N 0 2 316.317 0.596 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@H](CO)[C@H]2CCOC2)cn1 ZINC000577561070 397340941 /nfs/dbraw/zinc/34/09/41/397340941.db2.gz MDIARUPUGASUDF-CMPLNLGQSA-N 0 2 319.365 0.185 20 0 DCADLN O=C(NC[C@H]1CCCC(=O)N1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614192776 397395934 /nfs/dbraw/zinc/39/59/34/397395934.db2.gz OSYRWBFRBBZHNQ-LLVKDONJSA-N 0 2 316.317 0.428 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2nncn2C2CC2)c1 ZINC000614582858 397474218 /nfs/dbraw/zinc/47/42/18/397474218.db2.gz QYPQSXGVIUHUFD-UHFFFAOYSA-N 0 2 323.334 0.218 20 0 DCADLN Cc1oc([C@H](C)[NH+]2CCN(S(C)(=O)=O)CC2)cc1C(=O)[O-] ZINC000578900551 397501028 /nfs/dbraw/zinc/50/10/28/397501028.db2.gz RQCVNKQIMVBMPB-VIFPVBQESA-N 0 2 316.379 0.924 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc3c(c2)C(=O)NCC3)cn1 ZINC000604972545 397657483 /nfs/dbraw/zinc/65/74/83/397657483.db2.gz GXWAKEPSBSVBNE-UHFFFAOYSA-N 0 2 320.374 0.990 20 0 DCADLN CC(C)[C@H](CNC(=O)[C@@H]1CCCC[N@@H+]1C)[NH+]1CCN(C)CC1 ZINC000606371353 397679783 /nfs/dbraw/zinc/67/97/83/397679783.db2.gz NLOLXQDCFWWXND-HOTGVXAUSA-N 0 2 310.486 0.859 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)c1cccnc1 ZINC000048242104 158005984 /nfs/dbraw/zinc/00/59/84/158005984.db2.gz YSQXBGRZEACFCA-MRVPVSSYSA-N 0 2 311.301 0.910 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2c[nH]c3ncccc23)cn1 ZINC000057118408 158025452 /nfs/dbraw/zinc/02/54/52/158025452.db2.gz IAESJBGPAVKCCR-UHFFFAOYSA-N 0 2 320.334 0.046 20 0 DCADLN CCC[C@H]1C(=O)NCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119457900 158186977 /nfs/dbraw/zinc/18/69/77/158186977.db2.gz QEESPLOPXJVZDL-NSHDSACASA-N 0 2 317.349 0.415 20 0 DCADLN CCNC(=O)C(C)(C)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119400658 158187364 /nfs/dbraw/zinc/18/73/64/158187364.db2.gz IKFVWQYIINEPCQ-UHFFFAOYSA-N 0 2 319.365 0.566 20 0 DCADLN COc1ccccc1S(O)=CC(=O)N[C@H](C)C(=O)N(C)C ZINC000133857233 158321302 /nfs/dbraw/zinc/32/13/02/158321302.db2.gz IOWLMCAJAGWPKE-UZJPJQLHSA-N 0 2 312.391 0.396 20 0 DCADLN Cn1nc2c(c1NS(=O)(=O)c1cnc3n1CCC3)CCC2 ZINC000328621090 159015791 /nfs/dbraw/zinc/01/57/91/159015791.db2.gz XJHQASLSYPMJKW-UHFFFAOYSA-N 0 2 307.379 0.852 20 0 DCADLN CN(C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC000328837146 159033590 /nfs/dbraw/zinc/03/35/90/159033590.db2.gz MZEOWBSJLJSOPI-SNVBAGLBSA-N 0 2 309.370 0.921 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)C[C@@H]3CCOC3)C2)[nH]1 ZINC000328840691 159034049 /nfs/dbraw/zinc/03/40/49/159034049.db2.gz OJBCGUFFVPMCLQ-NXEZZACHSA-N 0 2 316.383 0.056 20 0 DCADLN Cn1nnnc1-c1cccc(S(=O)(=O)Nc2cn[nH]c2)c1 ZINC000341708277 159222698 /nfs/dbraw/zinc/22/26/98/159222698.db2.gz CLAIRTPMWVUPMK-UHFFFAOYSA-N 0 2 305.323 0.401 20 0 DCADLN O=C(CCNC(=O)c1ccc(F)cc1)NCc1n[nH]c(=O)[nH]1 ZINC000359277545 159261263 /nfs/dbraw/zinc/26/12/63/159261263.db2.gz RHUOBIVFHHVNRB-UHFFFAOYSA-N 0 2 307.285 0.086 20 0 DCADLN CC(C)OC[C@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)CCO1 ZINC000366722846 159281287 /nfs/dbraw/zinc/28/12/87/159281287.db2.gz NQIDBGHJFZJENO-CYBMUJFWSA-N 0 2 306.366 0.648 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2CCSC2)s1 ZINC000408302869 160080196 /nfs/dbraw/zinc/08/01/96/160080196.db2.gz KAEKMGFLXUOTIY-RXMQYKEDSA-N 0 2 308.410 0.601 20 0 DCADLN CC[C@H]1C[C@@H](CC(=O)NS(=O)(=O)c2cnn(C)c2)CCO1 ZINC000408434488 160107731 /nfs/dbraw/zinc/10/77/31/160107731.db2.gz YRBFXTXWOINZQZ-QWRGUYRKSA-N 0 2 315.395 0.820 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)CC[C@@H]1CCOC1 ZINC000408488364 160119017 /nfs/dbraw/zinc/11/90/17/160119017.db2.gz NKUIWCFTACWMMN-LLVKDONJSA-N 0 2 315.395 0.659 20 0 DCADLN CC[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCCO1 ZINC000152342019 287040626 /nfs/dbraw/zinc/04/06/26/287040626.db2.gz RKTYBQCDFBRDBT-MNOVXSKESA-N 0 2 318.333 0.299 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)no1 ZINC000152799990 287044511 /nfs/dbraw/zinc/04/45/11/287044511.db2.gz RHCAZOYJZLGUAE-UHFFFAOYSA-N 0 2 307.295 0.155 20 0 DCADLN COCCN(CCOC)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355695771 287187434 /nfs/dbraw/zinc/18/74/34/287187434.db2.gz KCBHREKXUMGBID-UHFFFAOYSA-N 0 2 308.338 0.409 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CC[C@](C)(C(N)=O)C1)c2=O ZINC000358396241 287208395 /nfs/dbraw/zinc/20/83/95/287208395.db2.gz UDPKPUACLZOVKY-AWEZNQCLSA-N 0 2 303.322 0.011 20 0 DCADLN Cn1cc(C(=O)C(=O)N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)cn1 ZINC000331581421 415138778 /nfs/dbraw/zinc/13/87/78/415138778.db2.gz JWFYHDSBKLLIAG-VIFPVBQESA-N 0 2 304.310 0.180 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)NCC(F)(F)F ZINC000342589931 415217164 /nfs/dbraw/zinc/21/71/64/415217164.db2.gz NORCCQFWNHJETR-UHFFFAOYSA-N 0 2 304.271 0.770 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)cc1C ZINC000342793035 415297300 /nfs/dbraw/zinc/29/73/00/415297300.db2.gz PVIJBFORWLRXNI-UHFFFAOYSA-N 0 2 308.363 0.786 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cccc(OC)c2O)C1=O ZINC000278818700 415492511 /nfs/dbraw/zinc/49/25/11/415492511.db2.gz PGVLHADHSGIRFY-CQSZACIVSA-N 0 2 307.306 0.766 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)CC(=O)N(C)C)c1 ZINC000424549229 287308842 /nfs/dbraw/zinc/30/88/42/287308842.db2.gz XLKJUTYLJHLJAC-NRFANRHFSA-N 0 2 312.391 0.349 20 0 DCADLN O=C(NCc1nccs1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000077223507 415460467 /nfs/dbraw/zinc/46/04/67/415460467.db2.gz MSZSQLBYONSWKU-UHFFFAOYSA-N 0 2 303.303 0.410 20 0 DCADLN NC(C(=O)Nc1cccc(CS(N)(=O)=O)c1)C(F)(F)F ZINC000353193670 415467048 /nfs/dbraw/zinc/46/70/48/415467048.db2.gz RWORBCRFZULPJN-MRVPVSSYSA-N 0 2 311.285 0.303 20 0 DCADLN N[C@H](C(=O)Nc1cccc(CS(N)(=O)=O)c1)C(F)(F)F ZINC000353193670 415467064 /nfs/dbraw/zinc/46/70/64/415467064.db2.gz RWORBCRFZULPJN-MRVPVSSYSA-N 0 2 311.285 0.303 20 0 DCADLN C[C@H]1CCc2ccccc2N1CCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000085382427 415504480 /nfs/dbraw/zinc/50/44/80/415504480.db2.gz JZFCFVHXXGKNSM-NSHDSACASA-N 0 2 315.377 0.946 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCC(C)(C)C(N)=O)c1 ZINC000424605368 287310295 /nfs/dbraw/zinc/31/02/95/287310295.db2.gz OMGYQQNZCXMRAE-OAQYLSRUSA-N 0 2 312.391 0.431 20 0 DCADLN CO[C@H](C[NH3+])C(=O)NCc1ccc(N2C[C@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000353438084 415541307 /nfs/dbraw/zinc/54/13/07/415541307.db2.gz YVBGSCXKGRBJEE-SGMGOOAPSA-N 0 2 322.409 0.285 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](C(=O)Nc2nc(-c3ccccc3F)n[nH]2)O1 ZINC000289008776 415699649 /nfs/dbraw/zinc/69/96/49/415699649.db2.gz ITQQJVLQECFSQN-VHSXEESVSA-N 0 2 319.296 0.582 20 0 DCADLN C[N@H+]1CC[C@@H]2CN(c3cc(N4CCC[C@@H](CO)C4)[nH+]cn3)C[C@@H]21 ZINC000333635904 415701088 /nfs/dbraw/zinc/70/10/88/415701088.db2.gz BCNPZTYXHJFNJR-KFWWJZLASA-N 0 2 317.437 0.826 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NC[C@@H](O)C(C)(C)C)N1CCOCC1 ZINC000334036951 415787881 /nfs/dbraw/zinc/78/78/81/415787881.db2.gz LIYAVAOICBXDMH-YNEHKIRRSA-N 0 2 301.431 0.802 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCCO1)[N@@H+]1CCCc2c(cnn2C)C1 ZINC000334025299 415788948 /nfs/dbraw/zinc/78/89/48/415788948.db2.gz GPJYVWNJFKCQHN-JSGCOSHPSA-N 0 2 306.410 0.852 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCCO1)N1CCCc2c(cnn2C)C1 ZINC000334025299 415788959 /nfs/dbraw/zinc/78/89/59/415788959.db2.gz GPJYVWNJFKCQHN-JSGCOSHPSA-N 0 2 306.410 0.852 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)N[C@@H](C)CS(C)(=O)=O)C1 ZINC000334032293 415789382 /nfs/dbraw/zinc/78/93/82/415789382.db2.gz HWNDEULJAHQWNR-QWRGUYRKSA-N 0 2 320.411 0.404 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1nnc(-c2ccco2)s1 ZINC000354353177 415793940 /nfs/dbraw/zinc/79/39/40/415793940.db2.gz TXLHVRYLMLEWDI-UHFFFAOYSA-N 0 2 307.295 0.944 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ccc(-n3cc[nH+]c3)cc2)C[C@H](C(N)=O)O1 ZINC000122069315 415822368 /nfs/dbraw/zinc/82/23/68/415822368.db2.gz BBAVICCWALGIBM-IUODEOHRSA-N 0 2 300.362 0.947 20 0 DCADLN C[C@@H]1C[NH+](C)CCN1C(=O)CCCC(=O)N1CC[N@@H+](C)C[C@@H]1C ZINC000344344781 415893291 /nfs/dbraw/zinc/89/32/91/415893291.db2.gz CHQYPMITNISXSP-GASCZTMLSA-N 0 2 324.469 0.482 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(N3CCC3=O)cc2)cn1 ZINC000337128933 415915298 /nfs/dbraw/zinc/91/52/98/415915298.db2.gz BBDLNPFYOCMLQK-UHFFFAOYSA-N 0 2 306.347 0.958 20 0 DCADLN CC(C)CN(CC(N)=O)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000344486182 415927205 /nfs/dbraw/zinc/92/72/05/415927205.db2.gz XJFSWJWULXQIQY-UHFFFAOYSA-N 0 2 318.333 0.613 20 0 DCADLN COc1cncc(S(=O)(=O)Nc2nc3ccccn3n2)c1 ZINC000337289657 415940073 /nfs/dbraw/zinc/94/00/73/415940073.db2.gz LVGKMEHJHPHGQC-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN C[C@@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)n1cccn1 ZINC000299145536 416055504 /nfs/dbraw/zinc/05/55/04/416055504.db2.gz POXLEMMGYCAQTP-LURJTMIESA-N 0 2 316.368 0.306 20 0 DCADLN O=C(N[C@H]1CCCCNC1=O)C1=NN(c2ccccc2)CC1=O ZINC000175334501 416104843 /nfs/dbraw/zinc/10/48/43/416104843.db2.gz SSPYCHITTSSMFE-LBPRGKRZSA-N 0 2 314.345 0.976 20 0 DCADLN Cn1c2cc(NC(=O)CSc3n[nH]c(=O)[nH]3)ccc2[nH]c1=O ZINC000357716139 416119897 /nfs/dbraw/zinc/11/98/97/416119897.db2.gz PDTBJORRGRTPDQ-UHFFFAOYSA-N 0 2 320.334 0.833 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2cccnn2)cc1 ZINC000345711328 416136602 /nfs/dbraw/zinc/13/66/02/416136602.db2.gz GIGZGVPOWOQOCW-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN Cc1cc(C(=O)NC[C@H](CO)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000345921507 416169428 /nfs/dbraw/zinc/16/94/28/416169428.db2.gz CNZWMVFPEPUOPF-SECBINFHSA-N 0 2 320.349 0.739 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC(=O)N(C)C1)c2=O ZINC000179510372 416188204 /nfs/dbraw/zinc/18/82/04/416188204.db2.gz TZNIQYQNVVYMJM-MRVPVSSYSA-N 0 2 303.322 0.025 20 0 DCADLN CC(C)N1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)CC1=O ZINC000358417469 416234462 /nfs/dbraw/zinc/23/44/62/416234462.db2.gz YETKCLPQDIGLTI-UHFFFAOYSA-N 0 2 317.349 0.367 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H](CC(C)C)NC(C)=O ZINC000341603723 416214364 /nfs/dbraw/zinc/21/43/64/416214364.db2.gz KEGNZCRTJNSPMU-QWHCGFSZSA-N 0 2 324.381 0.161 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H](CC(C)C)NC(C)=O ZINC000341603723 416214367 /nfs/dbraw/zinc/21/43/67/416214367.db2.gz KEGNZCRTJNSPMU-QWHCGFSZSA-N 0 2 324.381 0.161 20 0 DCADLN CSc1nc(C)c(CCC(=O)NCc2n[nH]c(=O)[nH]2)c(C)n1 ZINC000358347821 416226726 /nfs/dbraw/zinc/22/67/26/416226726.db2.gz ACLKWXYBOSOGFS-UHFFFAOYSA-N 0 2 322.394 0.888 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)CC[S@]1=O ZINC000358374703 416230739 /nfs/dbraw/zinc/23/07/39/416230739.db2.gz UBSNISQAYHDCRD-IJSAXESFSA-N 0 2 308.363 0.267 20 0 DCADLN CCOC(=O)[C@H](C)[C@@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000345850654 416158173 /nfs/dbraw/zinc/15/81/73/416158173.db2.gz RQJLGIONUJANLY-RKDXNWHRSA-N 0 2 320.349 0.991 20 0 DCADLN CC(C)(C)C(=O)N1CCC[C@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000331086554 416262529 /nfs/dbraw/zinc/26/25/29/416262529.db2.gz RKTOCMZWWYEEIB-VIFPVBQESA-N 0 2 309.370 0.411 20 0 DCADLN CCNC(=O)CC(=O)N1CCCC[C@@H]1c1nnc(COC)[nH]1 ZINC000331128075 416271086 /nfs/dbraw/zinc/27/10/86/416271086.db2.gz FKMUUHJRQCYZDI-SNVBAGLBSA-N 0 2 309.370 0.531 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]([NH3+])c1ccccc1)[NH+]1CCN(C)CC1 ZINC000263326587 416337692 /nfs/dbraw/zinc/33/76/92/416337692.db2.gz KPLBDIRIYVCWPC-GDBMZVCRSA-N 0 2 304.438 0.829 20 0 DCADLN O=S(=O)(NCCCO[C@H]1CCOC1)NCC(F)(F)F ZINC000195357374 416326155 /nfs/dbraw/zinc/32/61/55/416326155.db2.gz GAKDLLKZZDXRSJ-QMMMGPOBSA-N 0 2 306.306 0.168 20 0 DCADLN COC[C@@]1(C(=O)NOCCO)CCN(C(=O)OC(C)(C)C)C1 ZINC000368653589 416678898 /nfs/dbraw/zinc/67/88/98/416678898.db2.gz UFKYUOIAQNDNBG-CQSZACIVSA-N 0 2 318.370 0.300 20 0 DCADLN Cc1ccc(/C=C/C(=O)NS(=O)(=O)c2c(C)onc2N)o1 ZINC000492823298 416638627 /nfs/dbraw/zinc/63/86/27/416638627.db2.gz MQOKSWPJORETCI-AATRIKPKSA-N 0 2 311.319 0.985 20 0 DCADLN CC[C@H]1C[N@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])CCO1 ZINC000424402222 416640173 /nfs/dbraw/zinc/64/01/73/416640173.db2.gz GBCRNVFWRHHZAC-LBPRGKRZSA-N 0 2 315.414 0.897 20 0 DCADLN CC[C@H]1C[N@@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])CCO1 ZINC000424402222 416640178 /nfs/dbraw/zinc/64/01/78/416640178.db2.gz GBCRNVFWRHHZAC-LBPRGKRZSA-N 0 2 315.414 0.897 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N(C)[C@@H]1CC[N@H+](C)C[C@@H]1C ZINC000441042543 416658798 /nfs/dbraw/zinc/65/87/98/416658798.db2.gz VCKGWLBVUSOMJW-WCQYABFASA-N 0 2 320.393 0.827 20 0 DCADLN CCO[C@@H](CC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000516252221 416719915 /nfs/dbraw/zinc/71/99/15/416719915.db2.gz WWJCUZBIOSBYFM-LURJTMIESA-N 0 2 308.385 0.663 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)o1 ZINC000443367364 416754607 /nfs/dbraw/zinc/75/46/07/416754607.db2.gz CRGDWJSUDWMESU-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN CC(C)c1nnc([C@@H](C)NS(=O)(=O)NCC(F)(F)F)[nH]1 ZINC000443049893 416727291 /nfs/dbraw/zinc/72/72/91/416727291.db2.gz NRXOCUYDZAZRJD-ZCFIWIBFSA-N 0 2 315.321 0.975 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cncc(C(=O)OC)c2)no1 ZINC000559451391 416812592 /nfs/dbraw/zinc/81/25/92/416812592.db2.gz CJHAVVQPDWRDSJ-UHFFFAOYSA-N 0 2 312.307 0.614 20 0 DCADLN COCCN(C(=O)CSc1n[nH]c(=O)[nH]1)[C@H]1CCO[C@H]1C ZINC000427992051 416863006 /nfs/dbraw/zinc/86/30/06/416863006.db2.gz KZCLNCQEJXIINZ-IUCAKERBSA-N 0 2 316.383 0.255 20 0 DCADLN COC[C@H]1C[C@@H](O)CN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616539232 417073622 /nfs/dbraw/zinc/07/36/22/417073622.db2.gz WDXFVDYWUMKHRF-VXGBXAGGSA-N 0 2 319.317 0.252 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](C[C@@H](O)COc2ccccc2Cl)CCO1 ZINC000568066709 417074329 /nfs/dbraw/zinc/07/43/29/417074329.db2.gz ABJHWRGRCFQOCW-ZWNOBZJWSA-N 0 2 315.753 0.865 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](C[C@@H](O)COc2ccccc2Cl)CCO1 ZINC000568066709 417074337 /nfs/dbraw/zinc/07/43/37/417074337.db2.gz ABJHWRGRCFQOCW-ZWNOBZJWSA-N 0 2 315.753 0.865 20 0 DCADLN O=c1[nH]nc(CNc2ccc3nnc(C(F)(F)F)n3n2)[nH]1 ZINC000392622057 417105537 /nfs/dbraw/zinc/10/55/37/417105537.db2.gz CGEFEMDKAZKYAJ-UHFFFAOYSA-N 0 2 300.204 0.579 20 0 DCADLN CS(=O)(=O)N1CCC[N@@H+]([C@@H](C(=O)[O-])c2ccsc2)CC1 ZINC000568990604 417204262 /nfs/dbraw/zinc/20/42/62/417204262.db2.gz MCBFTDDUTLQLHS-LLVKDONJSA-N 0 2 318.420 0.841 20 0 DCADLN CS(=O)(=O)N1CCC[N@H+]([C@@H](C(=O)[O-])c2ccsc2)CC1 ZINC000568990604 417204266 /nfs/dbraw/zinc/20/42/66/417204266.db2.gz MCBFTDDUTLQLHS-LLVKDONJSA-N 0 2 318.420 0.841 20 0 DCADLN COC(=O)c1cc(C)cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000412148772 417213493 /nfs/dbraw/zinc/21/34/93/417213493.db2.gz ARZNLMSSORRMFM-UHFFFAOYSA-N 0 2 318.333 0.968 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@H+]1CCC[C@H](OCC2CC2)C1 ZINC000569619281 417274411 /nfs/dbraw/zinc/27/44/11/417274411.db2.gz QKVLWBHGXCBUKY-HOTGVXAUSA-N 0 2 300.443 0.817 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](CCOCCOc2ccccc2)CC1 ZINC000569461271 417256780 /nfs/dbraw/zinc/25/67/80/417256780.db2.gz ONAYJXVWIIELEG-UHFFFAOYSA-N 0 2 322.361 0.311 20 0 DCADLN CC[C@@H]1CCCC[N@@H+]1CCNC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000414826601 417304973 /nfs/dbraw/zinc/30/49/73/417304973.db2.gz FTYYUEWQBKZLJV-CYBMUJFWSA-N 0 2 319.409 0.983 20 0 DCADLN COc1cc(C[N@@H+]2CCN3C(=O)[C@H]([NH3+])C[C@@H]3C2)cc(OC)c1O ZINC000570529550 417366883 /nfs/dbraw/zinc/36/68/83/417366883.db2.gz QLSRIAAUFMEUOB-VXGBXAGGSA-N 0 2 321.377 0.153 20 0 DCADLN COC(=O)CC[C@H]([NH3+])C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000571282731 417441132 /nfs/dbraw/zinc/44/11/32/417441132.db2.gz UIBCZISAVFBADQ-ZDUSSCGKSA-N 0 2 316.361 0.973 20 0 DCADLN CC[C@@H]1[C@@H](CO)CCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452945056 417510969 /nfs/dbraw/zinc/51/09/69/417510969.db2.gz IMNWEMQTKQKBFA-BXKDBHETSA-N 0 2 304.350 0.907 20 0 DCADLN CC[C@H](NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)[C@H](C)O ZINC000456206186 417633831 /nfs/dbraw/zinc/63/38/31/417633831.db2.gz GKTPXCMRYWUTFG-YUMQZZPRSA-N 0 2 306.322 0.634 20 0 DCADLN CCS(=O)(=O)C1CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000456314244 417642205 /nfs/dbraw/zinc/64/22/05/417642205.db2.gz LMNQDFPVEBDKGB-UHFFFAOYSA-N 0 2 305.302 0.930 20 0 DCADLN CC(=O)N1CC[C@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000531875747 417646729 /nfs/dbraw/zinc/64/67/29/417646729.db2.gz LGDXJYPOTIIKFS-LBPRGKRZSA-N 0 2 316.317 0.381 20 0 DCADLN Cc1nn2c([n-]nc2SCc2ccc(N(C)C)[nH+]c2)nc1=O ZINC000629152691 417747389 /nfs/dbraw/zinc/74/73/89/417747389.db2.gz UPVXPEANWZRVRP-UHFFFAOYSA-N 0 2 317.378 0.874 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2nc3n([nH]2)CCCC3)[C@H](C)CO1 ZINC000652004340 417830491 /nfs/dbraw/zinc/83/04/91/417830491.db2.gz JSIZKDFQEUVEQZ-GHMZBOCLSA-N 0 2 321.381 0.001 20 0 DCADLN CC(=O)N(C)c1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000629289748 417771501 /nfs/dbraw/zinc/77/15/01/417771501.db2.gz RZMVQUVRNBSVJM-UHFFFAOYSA-N 0 2 309.351 0.599 20 0 DCADLN Cn1cc(NS(=O)(=O)c2cc(C(F)(F)F)nn2C)cn1 ZINC000629291503 417771579 /nfs/dbraw/zinc/77/15/79/417771579.db2.gz ROMJMESKPKXODQ-UHFFFAOYSA-N 0 2 309.273 0.973 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1c(C)nn(C)c1N1CCC1 ZINC000629353800 417789325 /nfs/dbraw/zinc/78/93/25/417789325.db2.gz STVMBLAUXCZFEG-UHFFFAOYSA-N 0 2 324.410 0.781 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC[C@]3(CCOC3)C2)[nH]1 ZINC000651841224 417794852 /nfs/dbraw/zinc/79/48/52/417794852.db2.gz KBISRGCJWYHHIT-HNNXBMFYSA-N 0 2 321.381 0.249 20 0 DCADLN CO[C@@](C)([C@@H](C)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CC1 ZINC000651850881 417798801 /nfs/dbraw/zinc/79/88/01/417798801.db2.gz WGASFSCFIGXINV-BMIGLBTASA-N 0 2 323.397 0.539 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cccc3c2OCC3)[nH]1 ZINC000657104538 417812363 /nfs/dbraw/zinc/81/23/63/417812363.db2.gz OEBUACNKISIKJY-UHFFFAOYSA-N 0 2 324.362 0.365 20 0 DCADLN CN(C)C(=O)C[NH+]1CCC([NH2+]Cc2cnn3c2CCC3)CC1 ZINC000657357194 417852855 /nfs/dbraw/zinc/85/28/55/417852855.db2.gz YUDOKPYWEPYXEE-UHFFFAOYSA-N 0 2 305.426 0.472 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1C[C@H](CO)Cc2ccccc21 ZINC000646533002 417864362 /nfs/dbraw/zinc/86/43/62/417864362.db2.gz QMBGWHOSDFNUAD-SECBINFHSA-N 0 2 320.374 0.800 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1COCCO1 ZINC000646066200 417865356 /nfs/dbraw/zinc/86/53/56/417865356.db2.gz LJXOUQYMHBQAHU-NSHDSACASA-N 0 2 304.306 0.840 20 0 DCADLN Cc1cc(C(=O)N2CCO[C@@H]3C[C@@H]32)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000646746750 417907364 /nfs/dbraw/zinc/90/73/64/417907364.db2.gz CZCXLHHKBDJPQB-DTWKUNHWSA-N 0 2 302.290 0.358 20 0 DCADLN CC(C)(C)n1ncc2c1nc(NC[C@](C)(O)C(=O)[O-])[nH+]c2N ZINC000662880257 417937107 /nfs/dbraw/zinc/93/71/07/417937107.db2.gz UUNLTHZPHBVMNR-ZDUSSCGKSA-N 0 2 308.342 0.411 20 0 DCADLN CN(C)S(=O)(=O)[C@H]1CCCN(c2[nH+]cccc2C(=O)[O-])C1 ZINC000647455288 418012508 /nfs/dbraw/zinc/01/25/08/418012508.db2.gz URZOFTMVGZFUON-JTQLQIEISA-N 0 2 313.379 0.640 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)N[C@H]1CCc3[nH+]c[nH]c3C1)C2 ZINC000659405043 418092629 /nfs/dbraw/zinc/09/26/29/418092629.db2.gz SAUXVZYCYHFBRT-HFBDOXOYSA-N 0 2 320.349 0.010 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)N[C@H]1CCc3[nH]c[nH+]c3C1)C2 ZINC000659405043 418092631 /nfs/dbraw/zinc/09/26/31/418092631.db2.gz SAUXVZYCYHFBRT-HFBDOXOYSA-N 0 2 320.349 0.010 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@H]1CCCS1(=O)=O ZINC000653614319 418095078 /nfs/dbraw/zinc/09/50/78/418095078.db2.gz XSZCCMCDQCSIEP-SNVBAGLBSA-N 0 2 323.330 0.546 20 0 DCADLN O=C(NC1CC1)C1(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)CC1 ZINC000648888398 418169878 /nfs/dbraw/zinc/16/98/78/418169878.db2.gz SOSZGSONRSWYQL-UHFFFAOYSA-N 0 2 319.365 0.275 20 0 DCADLN Cc1nc(NCCn2cc(C(=O)[O-])nn2)c2c([nH+]1)CCCC2 ZINC000649407582 418251640 /nfs/dbraw/zinc/25/16/40/418251640.db2.gz GVEUQPLLELBJMI-UHFFFAOYSA-N 0 2 302.338 0.488 20 0 DCADLN Cn1[n-]c(C(=O)N2CC[NH+](Cc3ccccn3)CC2)cc1=O ZINC000665625851 418227897 /nfs/dbraw/zinc/22/78/97/418227897.db2.gz NKUFLXTVPRUCQK-UHFFFAOYSA-N 0 2 301.350 0.479 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)NC[C@H](C(=O)[O-])C(C)C)c[nH+]1 ZINC000655996776 418313962 /nfs/dbraw/zinc/31/39/62/418313962.db2.gz WVFMMYFFRAIXMO-ZDUSSCGKSA-N 0 2 321.377 0.788 20 0 DCADLN C[C@H](CNC(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000656001880 418315350 /nfs/dbraw/zinc/31/53/50/418315350.db2.gz OQEVKBUBPALRFG-IJLUTSLNSA-N 0 2 322.365 0.130 20 0 DCADLN CC(C)[C@@H](CNC(=O)C(=O)N(C)CCCn1cc[nH+]c1)C(=O)[O-] ZINC000656011558 418317027 /nfs/dbraw/zinc/31/70/27/418317027.db2.gz MQXVEPQWMUCQLZ-GFCCVEGCSA-N 0 2 324.381 0.205 20 0 DCADLN COCCO[C@H]1C[C@@H](CO)CN(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000650768627 418323332 /nfs/dbraw/zinc/32/33/32/418323332.db2.gz AZHDZEDTBZYCOS-YPMHNXCESA-N 0 2 310.350 0.630 20 0 DCADLN O=C([O-])c1cc(N2CCN(CC(F)(F)F)C(=O)C2)cc[nH+]1 ZINC000650770962 418323922 /nfs/dbraw/zinc/32/39/22/418323922.db2.gz IXZOPIHUTJDIEC-UHFFFAOYSA-N 0 2 303.240 0.991 20 0 DCADLN CC(C)(C(=O)[O-])C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000649463441 418256044 /nfs/dbraw/zinc/25/60/44/418256044.db2.gz PHQDKRGVPSMCQN-UHFFFAOYSA-N 0 2 307.350 0.645 20 0 DCADLN C[C@H](O)CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000655377562 418257321 /nfs/dbraw/zinc/25/73/21/418257321.db2.gz MFQRMUOIULZPOY-ZETCQYMHSA-N 0 2 304.306 0.421 20 0 DCADLN COc1cccnc1NS(=O)(=O)C[C@H](OC)[C@@H]1CCOC1 ZINC000656727524 418374138 /nfs/dbraw/zinc/37/41/38/418374138.db2.gz NBRHRCSUNYAGLD-PWSUYJOCSA-N 0 2 316.379 0.883 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCc2ccccc2F)[nH]1 ZINC000651493778 418384731 /nfs/dbraw/zinc/38/47/31/418384731.db2.gz JOFSXVHHCMYRPT-UHFFFAOYSA-N 0 2 305.313 0.675 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCN(c3cncc(F)c3)CC2)C1=O ZINC000662212291 418389192 /nfs/dbraw/zinc/38/91/92/418389192.db2.gz VQVHNJNAXRYFHL-ZDUSSCGKSA-N 0 2 322.340 0.028 20 0 DCADLN COc1ccc2c(c1)C[N@H+]([C@H]1CCN(CC(=O)[O-])C1=O)CC2 ZINC000662204337 418389492 /nfs/dbraw/zinc/38/94/92/418389492.db2.gz UKIKBOANQBERML-AWEZNQCLSA-N 0 2 304.346 0.739 20 0 DCADLN COc1ccc2c(c1)C[N@@H+]([C@H]1CCN(CC(=O)[O-])C1=O)CC2 ZINC000662204337 418389493 /nfs/dbraw/zinc/38/94/93/418389493.db2.gz UKIKBOANQBERML-AWEZNQCLSA-N 0 2 304.346 0.739 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@H](O)C2CCCCC2)[nH]1 ZINC000651555042 418390804 /nfs/dbraw/zinc/39/08/04/418390804.db2.gz BFTWXBGWBGRMCP-GFCCVEGCSA-N 0 2 323.397 0.277 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC2CCSCC2)[nH]1 ZINC000651568779 418392098 /nfs/dbraw/zinc/39/20/98/418392098.db2.gz ZPUBDJYSNOOPFA-UHFFFAOYSA-N 0 2 311.411 0.479 20 0 DCADLN CC(C)[C@@H]1CN(C)CCN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651583051 418394237 /nfs/dbraw/zinc/39/42/37/418394237.db2.gz UPOCQOGTFAFIHC-LBPRGKRZSA-N 0 2 322.413 0.018 20 0 DCADLN CCC(O)(CC)CCNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651745861 418408166 /nfs/dbraw/zinc/40/81/66/418408166.db2.gz ZUKOESNIDHINQC-UHFFFAOYSA-N 0 2 311.386 0.277 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCS[C@@H](C)CC2)[nH]1 ZINC000651752188 418408614 /nfs/dbraw/zinc/40/86/14/418408614.db2.gz XLAYINVTMBEACU-JTQLQIEISA-N 0 2 311.411 0.573 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H](C)[C@@H]2CCCCO2)[nH]1 ZINC000651655270 418400804 /nfs/dbraw/zinc/40/08/04/418400804.db2.gz SRXJPHDLKVZSQP-QWRGUYRKSA-N 0 2 309.370 0.293 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCC[C@H]2CCCCO2)[nH]1 ZINC000651665380 418402608 /nfs/dbraw/zinc/40/26/08/418402608.db2.gz YCJBLXRXWCJWHZ-GFCCVEGCSA-N 0 2 323.397 0.685 20 0 DCADLN Cc1cc(=O)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)cn1C1CC1 ZINC000354338005 261205733 /nfs/dbraw/zinc/20/57/33/261205733.db2.gz ZHKCJRMWCUWNID-UHFFFAOYSA-N 0 2 317.349 0.678 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)SCC(=O)N2 ZINC000354920908 261287074 /nfs/dbraw/zinc/28/70/74/261287074.db2.gz OIIKYQVHTCAAAR-UHFFFAOYSA-N 0 2 305.319 0.485 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@H]1CCC(=O)NC1 ZINC000356028349 261383912 /nfs/dbraw/zinc/38/39/12/261383912.db2.gz ZOBBXRLVLJIQDJ-VIFPVBQESA-N 0 2 301.306 0.642 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)NC(=O)CS2 ZINC000358356620 261661427 /nfs/dbraw/zinc/66/14/27/261661427.db2.gz MXGLYQPTGGXEMS-UHFFFAOYSA-N 0 2 305.319 0.485 20 0 DCADLN Cn1nnc(CNC(=O)N=c2nc(-c3ccccc3)[nH]s2)n1 ZINC000362874453 262083183 /nfs/dbraw/zinc/08/31/83/262083183.db2.gz KVYTYMMHVPFQNA-UHFFFAOYSA-N 0 2 316.350 0.472 20 0 DCADLN CNC(=O)[C@@H]1CCCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355751718 271025413 /nfs/dbraw/zinc/02/54/13/271025413.db2.gz YTYXZVFBNHOBLV-NSHDSACASA-N 0 2 317.349 0.415 20 0 DCADLN O=C(/C=C\c1cccnc1)NCC(=O)NOC[C@@H]1CCOC1 ZINC000492035812 272113935 /nfs/dbraw/zinc/11/39/35/272113935.db2.gz XPRHSZMETBGTFX-DHCBQETCSA-N 0 2 305.334 0.295 20 0 DCADLN O=C(C=Cc1ccco1)NCCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000492344636 272125002 /nfs/dbraw/zinc/12/50/02/272125002.db2.gz PQUIQGNKJZQFHV-ONEGZZNKSA-N 0 2 306.278 0.366 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)Cc1ccon1 ZINC000492478594 272131870 /nfs/dbraw/zinc/13/18/70/272131870.db2.gz XAYUUDMRKJBTMZ-ARJAWSKDSA-N 0 2 310.335 0.550 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)[C@H](C)COC ZINC000492475034 272132250 /nfs/dbraw/zinc/13/22/50/272132250.db2.gz QAWRELZAPNXMJB-BRAIEQGRSA-N 0 2 301.368 0.397 20 0 DCADLN O=C(CNC(=O)OCc1ccccc1)NOC[C@@H]1CCOC1 ZINC000492741774 272146197 /nfs/dbraw/zinc/14/61/97/272146197.db2.gz AKNWQWAXXSYKQU-CYBMUJFWSA-N 0 2 308.334 0.997 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C\c1cccnc1 ZINC000492959247 272160088 /nfs/dbraw/zinc/16/00/88/272160088.db2.gz UNLGDTDFYAIJBT-WAYWQWQTSA-N 0 2 306.347 0.642 20 0 DCADLN Cc1ncc(S(=O)(=O)NC(=O)/C=C\c2ccnn2C)s1 ZINC000493041030 272165311 /nfs/dbraw/zinc/16/53/11/272165311.db2.gz VXLTYESLBMYREO-ARJAWSKDSA-N 0 2 312.376 0.703 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)c1cn(C)nc1C ZINC000493317511 272180443 /nfs/dbraw/zinc/18/04/43/272180443.db2.gz GOPITPQXDGOSJA-WAYWQWQTSA-N 0 2 323.378 0.463 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)/C=C/c2ccn(C)c2)cn1 ZINC000493453776 272189435 /nfs/dbraw/zinc/18/94/35/272189435.db2.gz NVCQYODYDHSTDJ-HWKANZROSA-N 0 2 321.358 0.947 20 0 DCADLN CONC(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000495069302 272237648 /nfs/dbraw/zinc/23/76/48/272237648.db2.gz VCAAAQYMFPYQBA-SECBINFHSA-N 0 2 301.343 0.180 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)c2ccc3nnnn3c2)s[nH]1 ZINC000532074542 272776817 /nfs/dbraw/zinc/77/68/17/272776817.db2.gz RFJHYIYZJHRSSU-UHFFFAOYSA-N 0 2 303.351 0.948 20 0 DCADLN CCOC(=O)c1ccc(NS(=O)(=O)c2ccnn2C)cn1 ZINC000538756913 287884513 /nfs/dbraw/zinc/88/45/13/287884513.db2.gz HVZXYJQEHWAYAD-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN CC[C@H](NS(=O)(=O)NCC(F)(F)F)[C@H](O)C(F)F ZINC000451715201 288054573 /nfs/dbraw/zinc/05/45/73/288054573.db2.gz QJWJXGHQOLYTKG-WHFBIAKZSA-N 0 2 300.249 0.377 20 0 DCADLN O=C(NCC1(O)CCOCC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000548189812 288119032 /nfs/dbraw/zinc/11/90/32/288119032.db2.gz UBUMKTYBMOPADA-UHFFFAOYSA-N 0 2 319.317 0.301 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)[N-]c2c[nH+]c[nH]2)cn1 ZINC000556113163 288369875 /nfs/dbraw/zinc/36/98/75/288369875.db2.gz YJTCZOLBCXOKOS-UHFFFAOYSA-N 0 2 302.337 0.009 20 0 DCADLN C[C@H]1CCNC(=O)[C@H]1NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000562466507 288578139 /nfs/dbraw/zinc/57/81/39/288578139.db2.gz DWZFUZHPPMGLDN-KWQFWETISA-N 0 2 316.317 0.284 20 0 DCADLN CCc1[nH][nH]c(=O)c1C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000564816689 288772139 /nfs/dbraw/zinc/77/21/39/288772139.db2.gz OSARPWOWIHWTCC-CYBMUJFWSA-N 0 2 317.349 0.369 20 0 DCADLN CCc1ncncc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000565026825 288808953 /nfs/dbraw/zinc/80/89/53/288808953.db2.gz YDXPDHAKHREMPY-VIFPVBQESA-N 0 2 302.338 0.883 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)N3CC[NH+](C)CC3)cc2[n-]c1=S ZINC000248159037 290031241 /nfs/dbraw/zinc/03/12/41/290031241.db2.gz WTCLCIOHBWROEH-UHFFFAOYSA-N 0 2 318.402 0.610 20 0 DCADLN CN1CCN(C(=O)c2ccc3c(c2)[nH]c(=S)n(C)c3=O)CC1 ZINC000248159037 290031244 /nfs/dbraw/zinc/03/12/44/290031244.db2.gz WTCLCIOHBWROEH-UHFFFAOYSA-N 0 2 318.402 0.610 20 0 DCADLN C[C@H]1COCC[C@H]1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000286815526 300355199 /nfs/dbraw/zinc/35/51/99/300355199.db2.gz LLJUNSFIKAQNGJ-IUCAKERBSA-N 0 2 318.333 0.838 20 0 DCADLN C[C@H]1OCC[C@@]12CN(S(=O)(=O)NCC(F)(F)F)CCO2 ZINC000369128270 300620916 /nfs/dbraw/zinc/62/09/16/300620916.db2.gz RZNRFWQAXHIHDG-RKDXNWHRSA-N 0 2 318.317 0.263 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](O)C[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000351819162 301125091 /nfs/dbraw/zinc/12/50/91/301125091.db2.gz ZFYMAKKZYWOVGE-JGVFFNPUSA-N 0 2 304.306 0.340 20 0 DCADLN CC(C)C[C@@]1(C(=O)N[C@@H](C)c2nn(C)cc2O)CCNC1=O ZINC001653247108 1173785108 /nfs/dbraw/zinc/78/51/08/1173785108.db2.gz BRXDZEATFFMLCT-BONVTDFDSA-N 0 2 308.382 0.855 20 0 DCADLN COC[C@@H](NC(=O)NCCn1c(C)[nH+]c2ccccc21)C(=O)[O-] ZINC000584268751 332177694 /nfs/dbraw/zinc/17/76/94/332177694.db2.gz LWYMQBCGBJLQDH-GFCCVEGCSA-N 0 2 320.349 0.744 20 0 DCADLN Cc1cc(CNS(=O)(=O)N2CCC[C@H]2C(=O)[O-])cc(C)[nH+]1 ZINC000582060328 333194197 /nfs/dbraw/zinc/19/41/97/333194197.db2.gz CROYPMOEZCRXLL-LBPRGKRZSA-N 0 2 313.379 0.582 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H](C)S(C)(=O)=O ZINC000582858974 337208693 /nfs/dbraw/zinc/20/86/93/337208693.db2.gz BCCABIFSCWSQJH-MRVPVSSYSA-N 0 2 324.362 0.857 20 0 DCADLN COCC[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000582966613 337223462 /nfs/dbraw/zinc/22/34/62/337223462.db2.gz OZOGSQMBSKFGDE-KBPBESRZSA-N 0 2 306.410 0.673 20 0 DCADLN O=C([O-])[C@H]1CSCC[N@@H+]1CCS(=O)(=O)c1ccccc1 ZINC000583036309 337232341 /nfs/dbraw/zinc/23/23/41/337232341.db2.gz JDFOJUSLJGORER-GFCCVEGCSA-N 0 2 315.416 0.962 20 0 DCADLN O=C([O-])[C@H]1CSCC[N@H+]1CCS(=O)(=O)c1ccccc1 ZINC000583036309 337232342 /nfs/dbraw/zinc/23/23/42/337232342.db2.gz JDFOJUSLJGORER-GFCCVEGCSA-N 0 2 315.416 0.962 20 0 DCADLN Cc1c(Cl)c(C(=O)[O-])nn1C[NH+]1CCC(C(N)=O)CC1 ZINC001600012556 1159778251 /nfs/dbraw/zinc/77/82/51/1159778251.db2.gz LZYLTXYRBJJYLG-UHFFFAOYSA-N 0 2 300.746 0.698 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](C[NH+]2CC(CNC(=O)C(F)(F)F)C2)C1(F)F ZINC001601749506 1159782199 /nfs/dbraw/zinc/78/21/99/1159782199.db2.gz KVKMFQHPLZXAPP-RQJHMYQMSA-N 0 2 316.226 0.563 20 0 DCADLN COCCCC(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001705122443 1180269412 /nfs/dbraw/zinc/26/94/12/1180269412.db2.gz NPHKCZNMFAQVMZ-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001705322603 1180342122 /nfs/dbraw/zinc/34/21/22/1180342122.db2.gz FCCAVXUALYBSMD-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)NOCc1ccccc1 ZINC000368811753 523997391 /nfs/dbraw/zinc/99/73/91/523997391.db2.gz ZPIINNAZWUWYAK-DZGCQCFKSA-N 0 2 322.361 0.233 20 0 DCADLN COCCOCCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266840545 524009236 /nfs/dbraw/zinc/00/92/36/524009236.db2.gz MMWQLSRRJWPSSH-UHFFFAOYSA-N 0 2 322.321 0.137 20 0 DCADLN CCC1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCC1 ZINC000176260154 527743412 /nfs/dbraw/zinc/74/34/12/527743412.db2.gz ZKRAFOOCCIVIST-UHFFFAOYSA-N 0 2 302.334 0.922 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(N2CCOCC2)c1 ZINC000137168616 545808179 /nfs/dbraw/zinc/80/81/79/545808179.db2.gz QLKVNBIWXKIXCG-UHFFFAOYSA-N 0 2 318.337 0.256 20 0 DCADLN CN(CC(=O)[O-])C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000311775737 546022403 /nfs/dbraw/zinc/02/24/03/546022403.db2.gz NZGZNFGTBKDTHD-CQSZACIVSA-N 0 2 321.377 0.613 20 0 DCADLN CN(CC(=O)[O-])C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000311775737 546022410 /nfs/dbraw/zinc/02/24/10/546022410.db2.gz NZGZNFGTBKDTHD-CQSZACIVSA-N 0 2 321.377 0.613 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000314889822 546025161 /nfs/dbraw/zinc/02/51/61/546025161.db2.gz BIBBYDZNCSTDOT-AWEZNQCLSA-N 0 2 306.362 0.739 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000314889822 546025165 /nfs/dbraw/zinc/02/51/65/546025165.db2.gz BIBBYDZNCSTDOT-AWEZNQCLSA-N 0 2 306.362 0.739 20 0 DCADLN CCN1CCOC[C@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000361680272 546204315 /nfs/dbraw/zinc/20/43/15/546204315.db2.gz CHDJRGKZBPUKAG-LBPRGKRZSA-N 0 2 318.333 0.689 20 0 DCADLN COCc1nnc(CNC(=O)Nc2nc(SC)ns2)[nH]1 ZINC000666287836 546449180 /nfs/dbraw/zinc/44/91/80/546449180.db2.gz PDAXABUSDUJMPQ-UHFFFAOYSA-N 0 2 315.384 0.846 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cc([C@@H]2CCCO2)[nH]n1 ZINC000673144643 547450703 /nfs/dbraw/zinc/45/07/03/547450703.db2.gz HYXDAQKSNOSHPK-ZETCQYMHSA-N 0 2 310.339 0.816 20 0 DCADLN COc1ccc2c(c1)OC[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)C2 ZINC000673918901 547537350 /nfs/dbraw/zinc/53/73/50/547537350.db2.gz CDFFVPWSIBLWAR-SECBINFHSA-N 0 2 304.306 0.386 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnn(-c2ccccc2F)c1 ZINC000673949630 547545203 /nfs/dbraw/zinc/54/52/03/547545203.db2.gz RJKQWFTZJWMHAU-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN Cc1nc2ccnn2c(C)c1CCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000673948600 547545452 /nfs/dbraw/zinc/54/54/52/547545452.db2.gz CTGRFHHIXHPBIF-UHFFFAOYSA-N 0 2 315.337 0.419 20 0 DCADLN CC(C)(C)n1ccnc1SCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000674719307 547619848 /nfs/dbraw/zinc/61/98/48/547619848.db2.gz WFWUYXLVXJPPRT-UHFFFAOYSA-N 0 2 310.383 0.870 20 0 DCADLN CCc1[nH+]c2ccccc2n1CC(=O)N1CCO[C@@H](C(=O)[O-])C1 ZINC000676018893 547727408 /nfs/dbraw/zinc/72/74/08/547727408.db2.gz GFCBYAKRXYBFJX-CYBMUJFWSA-N 0 2 317.345 0.911 20 0 DCADLN Cc1ccccc1C[C@H](C)NC(=O)C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231657 547746883 /nfs/dbraw/zinc/74/68/83/547746883.db2.gz KDGYCBIOYPVAMT-DZGCQCFKSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1ccccc1C[C@H](C)NC(=O)C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231657 547746887 /nfs/dbraw/zinc/74/68/87/547746887.db2.gz KDGYCBIOYPVAMT-DZGCQCFKSA-N 0 2 320.389 0.828 20 0 DCADLN CCCc1cc(=O)n2nc(NCc3n[nH]c(=O)[nH]3)sc2n1 ZINC000676555308 547788328 /nfs/dbraw/zinc/78/83/28/547788328.db2.gz LKZFFACGXPNHMR-UHFFFAOYSA-N 0 2 307.339 0.539 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCCN(c3ccc(C)nn3)CC2)c1O ZINC000676697622 547805123 /nfs/dbraw/zinc/80/51/23/547805123.db2.gz QNKSPBCXWSHLEV-UHFFFAOYSA-N 0 2 316.365 0.875 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cc(F)ccc2F)[nH]n1 ZINC000677644756 547894115 /nfs/dbraw/zinc/89/41/15/547894115.db2.gz GTTZFXMZXUHKTH-UHFFFAOYSA-N 0 2 302.262 0.588 20 0 DCADLN O=C(Nc1nc2ccccn2n1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000677803198 547905371 /nfs/dbraw/zinc/90/53/71/547905371.db2.gz DOTFMTUFNGDSSB-UHFFFAOYSA-N 0 2 323.272 0.731 20 0 DCADLN O=C(NCc1ccccc1OC(F)F)c1n[nH]c(=O)[nH]c1=O ZINC000677948910 547926966 /nfs/dbraw/zinc/92/69/66/547926966.db2.gz FUDKBFZAWOHEIP-UHFFFAOYSA-N 0 2 312.232 0.814 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(c3ccc(F)cn3)CC2)c1O ZINC000678800196 548005696 /nfs/dbraw/zinc/00/56/96/548005696.db2.gz KEEOTVVIDUQJOI-UHFFFAOYSA-N 0 2 305.313 0.920 20 0 DCADLN COCc1ccccc1S(=O)(=O)Nc1cnn(CCO)c1 ZINC000679276061 548037660 /nfs/dbraw/zinc/03/76/60/548037660.db2.gz XSYHALHCRTUQCK-UHFFFAOYSA-N 0 2 311.363 0.823 20 0 DCADLN O=C(CCC(=O)c1ccc(Cl)cn1)NCc1n[nH]c(=O)[nH]1 ZINC000681109941 548204586 /nfs/dbraw/zinc/20/45/86/548204586.db2.gz KRLSGGAZVFCQLQ-UHFFFAOYSA-N 0 2 309.713 0.838 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@@H]2CCCC(=O)N2)cn1 ZINC000684079513 548564039 /nfs/dbraw/zinc/56/40/39/548564039.db2.gz MGHSMWFPVANLJY-JTQLQIEISA-N 0 2 302.338 0.209 20 0 DCADLN C[C@]1(O)CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000684338884 548596431 /nfs/dbraw/zinc/59/64/31/548596431.db2.gz RLNOCJOOEZXYEF-HNNXBMFYSA-N 0 2 318.333 0.813 20 0 DCADLN C[C@@]1(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CCC(=O)NC1 ZINC000684899459 548659567 /nfs/dbraw/zinc/65/95/67/548659567.db2.gz JQQHQYICQCRHDP-OAHLLOKOSA-N 0 2 316.317 0.885 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NCCCC(C)(C)C)n(C)c1=O ZINC000737595714 598855919 /nfs/dbraw/zinc/85/59/19/598855919.db2.gz KELMTZHAPHZNEJ-UHFFFAOYSA-N 0 2 321.385 0.502 20 0 DCADLN Cc1c(NS(=O)(=O)c2cn[nH]c2)cccc1-c1nn[nH]n1 ZINC000822129687 607255864 /nfs/dbraw/zinc/25/58/64/607255864.db2.gz NQGVKOIBAYOZNU-UHFFFAOYSA-N 0 2 305.323 0.699 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](C[C@@H]2CN(c3ccccc3)C(=O)O2)CCO1 ZINC000738549153 597398083 /nfs/dbraw/zinc/39/80/83/597398083.db2.gz QPZNHXQHDZZEQH-CHWSQXEVSA-N 0 2 306.318 0.797 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](C[C@@H]2CN(c3ccccc3)C(=O)O2)CCO1 ZINC000738549153 597398092 /nfs/dbraw/zinc/39/80/92/597398092.db2.gz QPZNHXQHDZZEQH-CHWSQXEVSA-N 0 2 306.318 0.797 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+]([C@@H]2CCCN(Cc3ccccc3)C2=O)CCO1 ZINC000821512450 597459917 /nfs/dbraw/zinc/45/99/17/597459917.db2.gz ISIKRZJADCDWGW-CABCVRRESA-N 0 2 318.373 0.963 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+]([C@@H]2CCCN(Cc3ccccc3)C2=O)CCO1 ZINC000821512450 597459919 /nfs/dbraw/zinc/45/99/19/597459919.db2.gz ISIKRZJADCDWGW-CABCVRRESA-N 0 2 318.373 0.963 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000262661275 597476694 /nfs/dbraw/zinc/47/66/94/597476694.db2.gz NSKSXXRWFVMUQS-JTQLQIEISA-N 0 2 307.350 0.732 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000262661275 597476697 /nfs/dbraw/zinc/47/66/97/597476697.db2.gz NSKSXXRWFVMUQS-JTQLQIEISA-N 0 2 307.350 0.732 20 0 DCADLN NC(=O)c1csc(C(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])c1 ZINC000821357417 598067435 /nfs/dbraw/zinc/06/74/35/598067435.db2.gz PRUUSJDAMRNATN-SECBINFHSA-N 0 2 312.347 0.553 20 0 DCADLN NC(=O)c1csc(C(=O)OC[C@H]2CCC[N@H+]2CC(=O)[O-])c1 ZINC000821357417 598067437 /nfs/dbraw/zinc/06/74/37/598067437.db2.gz PRUUSJDAMRNATN-SECBINFHSA-N 0 2 312.347 0.553 20 0 DCADLN CC(C)[N@H+](CC(=O)[O-])CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000820122417 598083545 /nfs/dbraw/zinc/08/35/45/598083545.db2.gz RTVCTYALHGMYNF-GFCCVEGCSA-N 0 2 313.398 0.299 20 0 DCADLN CC(C)[N@@H+](CC(=O)[O-])CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000820122417 598083546 /nfs/dbraw/zinc/08/35/46/598083546.db2.gz RTVCTYALHGMYNF-GFCCVEGCSA-N 0 2 313.398 0.299 20 0 DCADLN C[C@H](N(C)c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C(C)(C)C ZINC000736099308 598813861 /nfs/dbraw/zinc/81/38/61/598813861.db2.gz UQPJXQICUALPHZ-QMMMGPOBSA-N 0 2 321.385 0.135 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1cccc(C(=O)[O-])n1)[NH+]1CCOCC1 ZINC000736659025 599775562 /nfs/dbraw/zinc/77/55/62/599775562.db2.gz OLOGHFUGJGVTSS-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314187307 599777301 /nfs/dbraw/zinc/77/73/01/599777301.db2.gz YBCNZHKYHFJHKI-GWCFXTLKSA-N 0 2 303.318 0.989 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314187307 599777303 /nfs/dbraw/zinc/77/73/03/599777303.db2.gz YBCNZHKYHFJHKI-GWCFXTLKSA-N 0 2 303.318 0.989 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314187312 599777325 /nfs/dbraw/zinc/77/73/25/599777325.db2.gz YBCNZHKYHFJHKI-MFKMUULPSA-N 0 2 303.318 0.989 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314187312 599777328 /nfs/dbraw/zinc/77/73/28/599777328.db2.gz YBCNZHKYHFJHKI-MFKMUULPSA-N 0 2 303.318 0.989 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N1CCC(CC(=O)[O-])CC1 ZINC000736822612 599833574 /nfs/dbraw/zinc/83/35/74/599833574.db2.gz SHSLDOSRSGCBOV-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N1CCC(CC(=O)[O-])CC1 ZINC000736822612 599833575 /nfs/dbraw/zinc/83/35/75/599833575.db2.gz SHSLDOSRSGCBOV-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CCC(CC(=O)[O-])CC1 ZINC000736822611 599833621 /nfs/dbraw/zinc/83/36/21/599833621.db2.gz SHSLDOSRSGCBOV-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CCC(CC(=O)[O-])CC1 ZINC000736822611 599833623 /nfs/dbraw/zinc/83/36/23/599833623.db2.gz SHSLDOSRSGCBOV-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN COC(=O)[C@H](c1ccccc1)[N@H+](C)CCC(=O)NCC(=O)[O-] ZINC000737578491 600209739 /nfs/dbraw/zinc/20/97/39/600209739.db2.gz DHJIMVTZIDMRRA-AWEZNQCLSA-N 0 2 308.334 0.423 20 0 DCADLN COC(=O)[C@H](c1ccccc1)[N@@H+](C)CCC(=O)NCC(=O)[O-] ZINC000737578491 600209740 /nfs/dbraw/zinc/20/97/40/600209740.db2.gz DHJIMVTZIDMRRA-AWEZNQCLSA-N 0 2 308.334 0.423 20 0 DCADLN O=C([O-])CNC(=O)CC[N@H+]1CCO[C@@H](c2ccccc2F)C1 ZINC000739805984 600298545 /nfs/dbraw/zinc/29/85/45/600298545.db2.gz LTZDAMPAXWAKDZ-CYBMUJFWSA-N 0 2 310.325 0.790 20 0 DCADLN O=C([O-])CNC(=O)CC[N@@H+]1CCO[C@@H](c2ccccc2F)C1 ZINC000739805984 600298543 /nfs/dbraw/zinc/29/85/43/600298543.db2.gz LTZDAMPAXWAKDZ-CYBMUJFWSA-N 0 2 310.325 0.790 20 0 DCADLN Cc1cc(-n2c(C)cn(C[N@@H+]3CCC[C@H](C(=O)[O-])C3)c2=O)no1 ZINC000738314788 600420408 /nfs/dbraw/zinc/42/04/08/600420408.db2.gz QNTWOVZFCIBONP-LBPRGKRZSA-N 0 2 320.349 0.998 20 0 DCADLN Cc1cc(-n2c(C)cn(C[N@H+]3CCC[C@H](C(=O)[O-])C3)c2=O)no1 ZINC000738314788 600420410 /nfs/dbraw/zinc/42/04/10/600420410.db2.gz QNTWOVZFCIBONP-LBPRGKRZSA-N 0 2 320.349 0.998 20 0 DCADLN CC(C)c1[nH+]c2c(n1C)CCN(Cn1cnc(C(=O)[O-])n1)C2 ZINC000736524055 600426497 /nfs/dbraw/zinc/42/64/97/600426497.db2.gz GYUHMXGZXCKUKV-UHFFFAOYSA-N 0 2 304.354 0.849 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC(C(=O)[O-])CC1 ZINC000736584272 600564800 /nfs/dbraw/zinc/56/48/00/600564800.db2.gz UOUVXEAANYVBHP-LBPRGKRZSA-N 0 2 312.414 0.129 20 0 DCADLN Cc1cc(S(=O)(=O)NCCn2cc[nH+]c2)ccc1C(=O)[O-] ZINC000313969589 600693043 /nfs/dbraw/zinc/69/30/43/600693043.db2.gz NOAWMMIEVFSADP-UHFFFAOYSA-N 0 2 309.347 0.868 20 0 DCADLN CCN1C(=O)CN(C[N@@H+]2CCSC[C@@H]2CC(=O)[O-])C1=O ZINC000737112767 600703539 /nfs/dbraw/zinc/70/35/39/600703539.db2.gz YCZSFIDWOXMOHR-VIFPVBQESA-N 0 2 301.368 0.120 20 0 DCADLN CCN1C(=O)CN(C[N@H+]2CCSC[C@@H]2CC(=O)[O-])C1=O ZINC000737112767 600703540 /nfs/dbraw/zinc/70/35/40/600703540.db2.gz YCZSFIDWOXMOHR-VIFPVBQESA-N 0 2 301.368 0.120 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)c[nH]1 ZINC000037837772 600716336 /nfs/dbraw/zinc/71/63/36/600716336.db2.gz JKOSLCYGJZTHDK-UHFFFAOYSA-N 0 2 313.379 0.429 20 0 DCADLN Cc1cc(C[NH+]2CCN(C(C)(C)C(N)=O)CC2)oc1C(=O)[O-] ZINC000832882332 601155533 /nfs/dbraw/zinc/15/55/33/601155533.db2.gz FBBDAAGWQUGJNV-UHFFFAOYSA-N 0 2 309.366 0.668 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(S(=O)(=O)c2cccs2)CC1 ZINC000827386279 601258778 /nfs/dbraw/zinc/25/87/78/601258778.db2.gz RZHJZOABKKRUMO-JTQLQIEISA-N 0 2 318.420 0.918 20 0 DCADLN C[C@@H](OC(=O)[C@@H](C)[N@@H+](C)Cc1ccccc1)C(=O)NCC(=O)[O-] ZINC000827809417 601403170 /nfs/dbraw/zinc/40/31/70/601403170.db2.gz GVTPIPBSGFZUIX-VXGBXAGGSA-N 0 2 322.361 0.639 20 0 DCADLN C[C@@H](OC(=O)[C@@H](C)[N@H+](C)Cc1ccccc1)C(=O)NCC(=O)[O-] ZINC000827809417 601403167 /nfs/dbraw/zinc/40/31/67/601403167.db2.gz GVTPIPBSGFZUIX-VXGBXAGGSA-N 0 2 322.361 0.639 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@@H+]3CC[C@](F)(C(=O)[O-])C3)C2=O)n1 ZINC000833054932 601446677 /nfs/dbraw/zinc/44/66/77/601446677.db2.gz CWPUQRIGNSEOFQ-QMTHXVAHSA-N 0 2 310.329 0.414 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@H+]3CC[C@](F)(C(=O)[O-])C3)C2=O)n1 ZINC000833054932 601446678 /nfs/dbraw/zinc/44/66/78/601446678.db2.gz CWPUQRIGNSEOFQ-QMTHXVAHSA-N 0 2 310.329 0.414 20 0 DCADLN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@H+](CC(=O)[O-])C1CC1 ZINC000828252814 601461907 /nfs/dbraw/zinc/46/19/07/601461907.db2.gz YFQMQEGBVVSYIP-JQWIXIFHSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@@H+](CC(=O)[O-])C1CC1 ZINC000828252814 601461909 /nfs/dbraw/zinc/46/19/09/601461909.db2.gz YFQMQEGBVVSYIP-JQWIXIFHSA-N 0 2 311.382 0.940 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NCCN1CCc2ccccc21)C1CC1 ZINC000833247439 601462864 /nfs/dbraw/zinc/46/28/64/601462864.db2.gz JDUYGYQLGWYVSS-UHFFFAOYSA-N 0 2 317.389 0.714 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NCCN1CCc2ccccc21)C1CC1 ZINC000833247439 601462865 /nfs/dbraw/zinc/46/28/65/601462865.db2.gz JDUYGYQLGWYVSS-UHFFFAOYSA-N 0 2 317.389 0.714 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCSC[C@H]1CCO)C(=O)[O-] ZINC000828719337 601874989 /nfs/dbraw/zinc/87/49/89/601874989.db2.gz QRYLODSBJOTSGL-LOWVWBTDSA-N 0 2 318.439 0.402 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCSC[C@H]1CCO)C(=O)[O-] ZINC000828719337 601874992 /nfs/dbraw/zinc/87/49/92/601874992.db2.gz QRYLODSBJOTSGL-LOWVWBTDSA-N 0 2 318.439 0.402 20 0 DCADLN O=C([O-])C[C@@H]1CSCC[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833220966 601890936 /nfs/dbraw/zinc/89/09/36/601890936.db2.gz FULXIUPCPKHSTI-SNVBAGLBSA-N 0 2 308.363 0.415 20 0 DCADLN O=C([O-])C[C@@H]1CSCC[N@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833220966 601890937 /nfs/dbraw/zinc/89/09/37/601890937.db2.gz FULXIUPCPKHSTI-SNVBAGLBSA-N 0 2 308.363 0.415 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCc1cccc(C(=O)NC2CC2)c1 ZINC000739631041 601914393 /nfs/dbraw/zinc/91/43/93/601914393.db2.gz AHSZQSHLMZLTOD-UHFFFAOYSA-N 0 2 319.361 0.211 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCc1cccc(C(=O)NC2CC2)c1 ZINC000739631041 601914395 /nfs/dbraw/zinc/91/43/95/601914395.db2.gz AHSZQSHLMZLTOD-UHFFFAOYSA-N 0 2 319.361 0.211 20 0 DCADLN C[C@H](CNC(=O)CCCN(C)C(=O)[O-])[NH+]1CCN(C)CC1 ZINC000736595871 602066774 /nfs/dbraw/zinc/06/67/74/602066774.db2.gz MGVALDYUFGHUQX-GFCCVEGCSA-N 0 2 300.403 0.129 20 0 DCADLN C[C@H](CC(=O)[O-])C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000827282141 602117895 /nfs/dbraw/zinc/11/78/95/602117895.db2.gz QNHPKLCDUVRTAR-RISCZKNCSA-N 0 2 316.423 0.421 20 0 DCADLN O=C([O-])c1cn(CN2CC[NH+](Cc3cccc(F)c3)CC2)nn1 ZINC000833338540 602205408 /nfs/dbraw/zinc/20/54/08/602205408.db2.gz RHFBQNGQVSNSAT-UHFFFAOYSA-N 0 2 319.340 0.891 20 0 DCADLN Cn1cc(Br)cc1C[N@H+](C)CC(=O)NCC(=O)[O-] ZINC000820562678 602283406 /nfs/dbraw/zinc/28/34/06/602283406.db2.gz QRSKPJPKBBDAOI-UHFFFAOYSA-N 0 2 318.171 0.420 20 0 DCADLN Cn1cc(Br)cc1C[N@@H+](C)CC(=O)NCC(=O)[O-] ZINC000820562678 602283408 /nfs/dbraw/zinc/28/34/08/602283408.db2.gz QRSKPJPKBBDAOI-UHFFFAOYSA-N 0 2 318.171 0.420 20 0 DCADLN C[C@@H](CNC(=O)CN(C[C@H]1CCCO1)C(=O)[O-])Cn1cc[nH+]c1 ZINC000824921192 603494208 /nfs/dbraw/zinc/49/42/08/603494208.db2.gz CFFSXAJCLINVTI-QWHCGFSZSA-N 0 2 324.381 0.794 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cn3ccccc3n2)[C@@H](CNC(=O)[O-])C1 ZINC000828512900 603505346 /nfs/dbraw/zinc/50/53/46/603505346.db2.gz BJLBXXNNLHKTIM-NSHDSACASA-N 0 2 317.349 0.358 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cn3ccccc3n2)[C@@H](CNC(=O)[O-])C1 ZINC000828512900 603505350 /nfs/dbraw/zinc/50/53/50/603505350.db2.gz BJLBXXNNLHKTIM-NSHDSACASA-N 0 2 317.349 0.358 20 0 DCADLN CC(C)COCCC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824277535 603511512 /nfs/dbraw/zinc/51/15/12/603511512.db2.gz XSZBNCVFEVHTLX-LBPRGKRZSA-N 0 2 301.387 0.459 20 0 DCADLN CC(C)COCCC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824277535 603511514 /nfs/dbraw/zinc/51/15/14/603511514.db2.gz XSZBNCVFEVHTLX-LBPRGKRZSA-N 0 2 301.387 0.459 20 0 DCADLN COc1ncccc1NC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000829666128 603597809 /nfs/dbraw/zinc/59/78/09/603597809.db2.gz ZNOIDXBTTBGOPV-JTQLQIEISA-N 0 2 323.353 0.506 20 0 DCADLN COc1ncccc1NC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000829666128 603597813 /nfs/dbraw/zinc/59/78/13/603597813.db2.gz ZNOIDXBTTBGOPV-JTQLQIEISA-N 0 2 323.353 0.506 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@@H+]([C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000827883380 603806850 /nfs/dbraw/zinc/80/68/50/603806850.db2.gz XPEUNZRJUCCMCN-DTWKUNHWSA-N 0 2 309.288 0.834 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@H+]([C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000827883380 603806852 /nfs/dbraw/zinc/80/68/52/603806852.db2.gz XPEUNZRJUCCMCN-DTWKUNHWSA-N 0 2 309.288 0.834 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)NCCCNc1cccc[nH+]1 ZINC000831675989 603817972 /nfs/dbraw/zinc/81/79/72/603817972.db2.gz KIJGYCZDKLGWGG-MNOVXSKESA-N 0 2 308.338 0.113 20 0 DCADLN O=C([O-])N1CC(OCC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC000831859273 603998527 /nfs/dbraw/zinc/99/85/27/603998527.db2.gz VVCDCTWTDPMNGV-JTQLQIEISA-N 0 2 308.338 0.495 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[N@@H+]1[C@H]1CCN(CC(F)(F)F)C1=O ZINC000832567650 604085935 /nfs/dbraw/zinc/08/59/35/604085935.db2.gz GIBWTZIFONWKJL-IUCAKERBSA-N 0 2 309.288 0.882 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[N@H+]1[C@H]1CCN(CC(F)(F)F)C1=O ZINC000832567650 604085936 /nfs/dbraw/zinc/08/59/36/604085936.db2.gz GIBWTZIFONWKJL-IUCAKERBSA-N 0 2 309.288 0.882 20 0 DCADLN CS(=O)(=O)Nc1cccc2c1CC[N@H+](CCNC(=O)[O-])C2 ZINC000829691403 604362369 /nfs/dbraw/zinc/36/23/69/604362369.db2.gz FXKKCHTWCJIUQH-UHFFFAOYSA-N 0 2 313.379 0.684 20 0 DCADLN CS(=O)(=O)Nc1cccc2c1CC[N@@H+](CCNC(=O)[O-])C2 ZINC000829691403 604362372 /nfs/dbraw/zinc/36/23/72/604362372.db2.gz FXKKCHTWCJIUQH-UHFFFAOYSA-N 0 2 313.379 0.684 20 0 DCADLN O=C([O-])c1csc(NC(=O)C(=O)NCCCn2cc[nH+]c2)c1 ZINC000833139399 604470533 /nfs/dbraw/zinc/47/05/33/604470533.db2.gz TXAOADSDASTZQB-UHFFFAOYSA-N 0 2 322.346 0.788 20 0 DCADLN C[C@H](Oc1ccc(C[NH+]2CCN(CCO)CC2)cc1)C(=O)[O-] ZINC000077011643 604514930 /nfs/dbraw/zinc/51/49/30/604514930.db2.gz KEVBIUKBPQYVOW-ZDUSSCGKSA-N 0 2 308.378 0.648 20 0 DCADLN C[C@H](C(=O)Nc1ncccn1)[N@@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000833412740 604918616 /nfs/dbraw/zinc/91/86/16/604918616.db2.gz XSMRSIBJBBSWOT-NXEZZACHSA-N 0 2 310.379 0.696 20 0 DCADLN C[C@H](C(=O)Nc1ncccn1)[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000833412740 604918618 /nfs/dbraw/zinc/91/86/18/604918618.db2.gz XSMRSIBJBBSWOT-NXEZZACHSA-N 0 2 310.379 0.696 20 0 DCADLN C[N@H+](CC(=O)Nc1nc(C2CC2)no1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828120808 605156769 /nfs/dbraw/zinc/15/67/69/605156769.db2.gz XKEQRWDNCFJSOU-VIFPVBQESA-N 0 2 309.326 0.570 20 0 DCADLN C[N@@H+](CC(=O)Nc1nc(C2CC2)no1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828120808 605156773 /nfs/dbraw/zinc/15/67/73/605156773.db2.gz XKEQRWDNCFJSOU-VIFPVBQESA-N 0 2 309.326 0.570 20 0 DCADLN C[C@H](NC(=O)c1ccc(NC(=O)[O-])cc1O)[C@H]1C[N@H+](C)CCO1 ZINC000825464971 605297004 /nfs/dbraw/zinc/29/70/04/605297004.db2.gz HARYGKHNGKSMDB-TVQRCGJNSA-N 0 2 323.349 0.931 20 0 DCADLN C[C@H](NC(=O)c1ccc(NC(=O)[O-])cc1O)[C@H]1C[N@@H+](C)CCO1 ZINC000825464971 605297005 /nfs/dbraw/zinc/29/70/05/605297005.db2.gz HARYGKHNGKSMDB-TVQRCGJNSA-N 0 2 323.349 0.931 20 0 DCADLN CN(C(=O)C[N@@H+]1CCSC[C@H]1CO)c1ccccc1C(=O)[O-] ZINC000833686491 605386018 /nfs/dbraw/zinc/38/60/18/605386018.db2.gz XMFRGXOJOICNEY-LLVKDONJSA-N 0 2 324.402 0.757 20 0 DCADLN CN(C(=O)C[N@H+]1CCSC[C@H]1CO)c1ccccc1C(=O)[O-] ZINC000833686491 605386025 /nfs/dbraw/zinc/38/60/25/605386025.db2.gz XMFRGXOJOICNEY-LLVKDONJSA-N 0 2 324.402 0.757 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCCc2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828505754 605452549 /nfs/dbraw/zinc/45/25/49/605452549.db2.gz AVGJJZAKNSPEND-AWEZNQCLSA-N 0 2 320.393 0.822 20 0 DCADLN C[N@H+]1CCN(C(=O)NCCc2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828505754 605452552 /nfs/dbraw/zinc/45/25/52/605452552.db2.gz AVGJJZAKNSPEND-AWEZNQCLSA-N 0 2 320.393 0.822 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NC[C@H](C)[NH+]1CCOCC1 ZINC000824291945 605625256 /nfs/dbraw/zinc/62/52/56/605625256.db2.gz JREOWHYPEXXPSP-RYUDHWBXSA-N 0 2 301.387 0.506 20 0 DCADLN O=C([O-])Nc1ccc(CC(=O)NC[C@H]2C[NH+]3CCN2CC3)cc1 ZINC000834255624 605652925 /nfs/dbraw/zinc/65/29/25/605652925.db2.gz KJNBOVOJQPSKIP-AWEZNQCLSA-N 0 2 318.377 0.435 20 0 DCADLN C[C@H](NC(=O)[C@@H](NC(=O)[O-])C(C)(C)C)[C@H](C)[NH+]1CCOCC1 ZINC000825452947 605702755 /nfs/dbraw/zinc/70/27/55/605702755.db2.gz FYMCPJKUURKPGS-SDDRHHMPSA-N 0 2 315.414 0.894 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC000834066505 605773694 /nfs/dbraw/zinc/77/36/94/605773694.db2.gz HCNLYFUYEKRLDH-NSHDSACASA-N 0 2 307.354 0.909 20 0 DCADLN CCCNC(=O)NC(=O)C[N@@H+]1CCN(C(=O)[O-])C[C@H]1CCC ZINC000833855865 605936083 /nfs/dbraw/zinc/93/60/83/605936083.db2.gz PNVHNZATWOFBRJ-LLVKDONJSA-N 0 2 314.386 0.687 20 0 DCADLN CCCNC(=O)NC(=O)C[N@H+]1CCN(C(=O)[O-])C[C@H]1CCC ZINC000833855865 605936087 /nfs/dbraw/zinc/93/60/87/605936087.db2.gz PNVHNZATWOFBRJ-LLVKDONJSA-N 0 2 314.386 0.687 20 0 DCADLN O=C([O-])N1CC[C@](F)(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834084218 605975582 /nfs/dbraw/zinc/97/55/82/605975582.db2.gz LQXKZOSBNVRHEY-XHDPSFHLSA-N 0 2 322.340 0.869 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(F)c(-c2nn[nH]n2)c1 ZINC000823265835 606660931 /nfs/dbraw/zinc/66/09/31/606660931.db2.gz HOIPNZHTCCFMQD-UHFFFAOYSA-N 0 2 319.260 0.151 20 0 DCADLN CCc1nc([C@H](C)NC(=O)c2ccc(-c3nn[nH]n3)nc2)n[nH]1 ZINC000825739492 608108436 /nfs/dbraw/zinc/10/84/36/608108436.db2.gz FAJYRGPGZYSGCO-ZETCQYMHSA-N 0 2 313.325 0.433 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccncc1 ZINC001027723354 660655969 /nfs/dbraw/zinc/65/59/69/660655969.db2.gz YLDNKNAZBVJHBB-NSHDSACASA-N 0 2 302.338 0.300 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccncc1 ZINC001027723354 660655970 /nfs/dbraw/zinc/65/59/70/660655970.db2.gz YLDNKNAZBVJHBB-NSHDSACASA-N 0 2 302.338 0.300 20 0 DCADLN C[C@H]1C[C@H](C[NH2+]Cc2cnon2)CN1C(=O)CCc1cnn[nH]1 ZINC000980708281 660988593 /nfs/dbraw/zinc/98/85/93/660988593.db2.gz ZKRIYQVUSGPKHD-WDEREUQCSA-N 0 2 319.369 0.147 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001028149343 661243618 /nfs/dbraw/zinc/24/36/18/661243618.db2.gz CPXMEBJQCDRWRP-KKOKHZNYSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001028149343 661243619 /nfs/dbraw/zinc/24/36/19/661243619.db2.gz CPXMEBJQCDRWRP-KKOKHZNYSA-N 0 2 321.381 0.158 20 0 DCADLN Cc1c(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)ccn1C ZINC000981905801 661245500 /nfs/dbraw/zinc/24/55/00/661245500.db2.gz SLPVPXJUWJPQEX-UHFFFAOYSA-N 0 2 318.381 0.505 20 0 DCADLN O=C(N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1(CF)CCC1 ZINC000981989340 661267585 /nfs/dbraw/zinc/26/75/85/661267585.db2.gz ZIWNRTXPJSZGMR-UHFFFAOYSA-N 0 2 311.361 0.684 20 0 DCADLN CCc1ccoc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032607957 665417033 /nfs/dbraw/zinc/41/70/33/665417033.db2.gz VQEULYDBDOKFQJ-QWRGUYRKSA-N 0 2 317.349 0.765 20 0 DCADLN Cc1ccoc1CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032615947 665425970 /nfs/dbraw/zinc/42/59/70/665425970.db2.gz RDZACHWGPYJCAY-QWRGUYRKSA-N 0 2 317.349 0.440 20 0 DCADLN CCc1nocc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970388655 657465576 /nfs/dbraw/zinc/46/55/76/657465576.db2.gz UTBKFIVXFFNXSL-MRVPVSSYSA-N 0 2 320.353 0.311 20 0 DCADLN C[C@H](NC(=O)C1CC2(CC2)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970398724 657473929 /nfs/dbraw/zinc/47/39/29/657473929.db2.gz LAMAFYZSUWYXOQ-VIFPVBQESA-N 0 2 305.382 0.637 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C2CC([NH2+]Cc3csnn3)C2)c1[O-] ZINC000998638927 665494749 /nfs/dbraw/zinc/49/47/49/665494749.db2.gz VPUMQNAWVRFGCT-UHFFFAOYSA-N 0 2 322.394 0.668 20 0 DCADLN Cn1ccnc1C[NH+]1CCC[C@H](CNC(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001023273545 657689463 /nfs/dbraw/zinc/68/94/63/657689463.db2.gz NTXZNWSLDHMVDN-CABCVRRESA-N 0 2 319.453 0.843 20 0 DCADLN O=C(c1[nH]nc2ccccc21)N1CC[C@@H]([NH2+][C@H]2CCNC2=O)C1 ZINC000970617967 657701219 /nfs/dbraw/zinc/70/12/19/657701219.db2.gz ICSIVRJKDUDNBE-MFKMUULPSA-N 0 2 313.361 0.256 20 0 DCADLN CCN(C(=O)c1ccncc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949570017 657712460 /nfs/dbraw/zinc/71/24/60/657712460.db2.gz QEFLLYPYYYOFHC-UHFFFAOYSA-N 0 2 302.338 0.252 20 0 DCADLN Cc1conc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970702495 657804679 /nfs/dbraw/zinc/80/46/79/657804679.db2.gz JAARBEROXXQJDJ-QMMMGPOBSA-N 0 2 306.326 0.057 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCOC[C@@H]2CCCO2)S1 ZINC000742669662 657887790 /nfs/dbraw/zinc/88/77/90/657887790.db2.gz MXYSORXJLXOLIK-VHSXEESVSA-N 0 2 315.395 0.245 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC000969559742 657975893 /nfs/dbraw/zinc/97/58/93/657975893.db2.gz AYMQKFVAIBRXHC-QMMMGPOBSA-N 0 2 322.394 0.526 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969606168 658018129 /nfs/dbraw/zinc/01/81/29/658018129.db2.gz QCWSQHAUTILNRR-VIFPVBQESA-N 0 2 319.365 0.970 20 0 DCADLN Cc1ncoc1C[N@H+]1CCC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001023656372 658105292 /nfs/dbraw/zinc/10/52/92/658105292.db2.gz WBIIXOMDOCQSBH-NSHDSACASA-N 0 2 304.354 0.743 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969880810 658230958 /nfs/dbraw/zinc/23/09/58/658230958.db2.gz HMHOBSHAJJZQME-BREBYQMCSA-N 0 2 323.397 0.262 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CC[C@H](O)[C@@H](F)C1 ZINC000890690684 658286620 /nfs/dbraw/zinc/28/66/20/658286620.db2.gz KNPAYWDSLQKGCU-CIUDSAMLSA-N 0 2 314.279 0.621 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CCOCC1 ZINC000972741331 658373008 /nfs/dbraw/zinc/37/30/08/658373008.db2.gz IRSOYGABNIYYDJ-LLVKDONJSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CCOCC1 ZINC000972741331 658373020 /nfs/dbraw/zinc/37/30/20/658373020.db2.gz IRSOYGABNIYYDJ-LLVKDONJSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(CC1CC1)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972216429 658450574 /nfs/dbraw/zinc/45/05/74/658450574.db2.gz WKXKSNLVWVPBQB-HNNXBMFYSA-N 0 2 321.381 0.114 20 0 DCADLN O=C(N=S1(=O)CCOCC1)c1cc(Br)n[nH]1 ZINC000891368915 658501115 /nfs/dbraw/zinc/50/11/15/658501115.db2.gz XZVUIUXEDJJHHN-UHFFFAOYSA-N 0 2 308.157 0.811 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@]3(C2)C[N@H+](C[C@@H](C)O)CCO3)[nH]1 ZINC000972293661 658531816 /nfs/dbraw/zinc/53/18/16/658531816.db2.gz DVOAMCKABPPQMT-IAQYHMDHSA-N 0 2 308.382 0.016 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccncc1 ZINC001024302821 658554784 /nfs/dbraw/zinc/55/47/84/658554784.db2.gz BIJUDDCVMUETGI-LBPRGKRZSA-N 0 2 316.365 0.690 20 0 DCADLN C[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N2CC[NH+](C)CC2)cn1 ZINC000299506891 658586868 /nfs/dbraw/zinc/58/68/68/658586868.db2.gz IYEHNSMGSLAYTN-AWEZNQCLSA-N 0 2 303.410 0.866 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ncc[nH]1 ZINC001024434384 658619005 /nfs/dbraw/zinc/61/90/05/658619005.db2.gz ZQLNLSGDTOMCDT-SECBINFHSA-N 0 2 305.342 0.018 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cccnn1 ZINC001024729244 658782168 /nfs/dbraw/zinc/78/21/68/658782168.db2.gz LXXVKDVJTGZBSC-SNVBAGLBSA-N 0 2 317.353 0.085 20 0 DCADLN CC1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CCOCC1 ZINC001032685472 665612001 /nfs/dbraw/zinc/61/20/01/665612001.db2.gz FSUJDLSUDFTUFT-QWRGUYRKSA-N 0 2 321.381 0.112 20 0 DCADLN CN(Cc1cnn(C)n1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971577376 658825152 /nfs/dbraw/zinc/82/51/52/658825152.db2.gz YJDGKYMKRWNLCH-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1cnn(C)n1)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000971577376 658825161 /nfs/dbraw/zinc/82/51/61/658825161.db2.gz YJDGKYMKRWNLCH-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCC1(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970296925 658832490 /nfs/dbraw/zinc/83/24/90/658832490.db2.gz AUSNOZINNYNUJA-GXSJLCMTSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCC1(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970296928 658832623 /nfs/dbraw/zinc/83/26/23/658832623.db2.gz AUSNOZINNYNUJA-ONGXEEELSA-N 0 2 307.398 0.883 20 0 DCADLN COC(=O)[C@@](C)(Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC000890945328 658872331 /nfs/dbraw/zinc/87/23/31/658872331.db2.gz QSESQFKKOYNYKD-GMSGAONNSA-N 0 2 311.235 0.831 20 0 DCADLN COC(=O)[C@@](C)(Cn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC000890945328 658872336 /nfs/dbraw/zinc/87/23/36/658872336.db2.gz QSESQFKKOYNYKD-GMSGAONNSA-N 0 2 311.235 0.831 20 0 DCADLN Cc1ncccc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970348257 658878051 /nfs/dbraw/zinc/87/80/51/658878051.db2.gz KHJJQUPZZSYEMI-SECBINFHSA-N 0 2 316.365 0.464 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)c1ccoc1 ZINC001023055140 658934604 /nfs/dbraw/zinc/93/46/04/658934604.db2.gz RIMCKEDFAHUAEO-IWSPIJDZSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O)c1ccoc1 ZINC001023055140 658934606 /nfs/dbraw/zinc/93/46/06/658934606.db2.gz RIMCKEDFAHUAEO-IWSPIJDZSA-N 0 2 324.230 0.482 20 0 DCADLN O=C([O-])C1(NC(=O)[C@@H]2CCn3cc[nH+]c3C2)CCSCC1 ZINC000909347897 659070904 /nfs/dbraw/zinc/07/09/04/659070904.db2.gz SZRASDIVINWKOO-SNVBAGLBSA-N 0 2 309.391 0.912 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCCN(Cc2cn(C)nn2)C1 ZINC001009344137 659167029 /nfs/dbraw/zinc/16/70/29/659167029.db2.gz AXKVWJJFSLZRAG-GFCCVEGCSA-N 0 2 317.397 0.170 20 0 DCADLN O=C(Cc1cc[nH]n1)NNC(=O)c1cc(F)cc(Cl)c1O ZINC000184417573 659221004 /nfs/dbraw/zinc/22/10/04/659221004.db2.gz GZEALRMETNJLJB-UHFFFAOYSA-N 0 2 312.688 0.912 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@H]3C[C@H]3C)C[C@H]21 ZINC000976923339 659492863 /nfs/dbraw/zinc/49/28/63/659492863.db2.gz QVRYWJIXWFFJKA-VXIBMCHESA-N 0 2 302.378 0.490 20 0 DCADLN COc1ccc([C@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])cc1 ZINC000909326592 659494001 /nfs/dbraw/zinc/49/40/01/659494001.db2.gz DLUCQYCGQWWKJO-AWEZNQCLSA-N 0 2 303.318 0.913 20 0 DCADLN Cc1cnc(C[N@@H+]2C[C@@H](NC(=O)c3cnn[n-]3)C(C)(C)C2)o1 ZINC000974794389 659668905 /nfs/dbraw/zinc/66/89/05/659668905.db2.gz POKDFCVKMAURLY-LLVKDONJSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1cnc(C[N@H+]2C[C@@H](NC(=O)c3cnn[n-]3)C(C)(C)C2)o1 ZINC000974794389 659668909 /nfs/dbraw/zinc/66/89/09/659668909.db2.gz POKDFCVKMAURLY-LLVKDONJSA-N 0 2 304.354 0.742 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1n[nH]cc1F ZINC000975006635 659766857 /nfs/dbraw/zinc/76/68/57/659766857.db2.gz CMMQRIZTQDFJSH-MRVPVSSYSA-N 0 2 323.332 0.013 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(C[C@@H]3CCCS3(=O)=O)C2)[nH]1 ZINC000930496850 659785397 /nfs/dbraw/zinc/78/53/97/659785397.db2.gz GRZQKEIHNYDOSS-UWVGGRQHSA-N 0 2 300.384 0.267 20 0 DCADLN O=C([C@@H]1C[C@H]1C1CC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982146017 661297290 /nfs/dbraw/zinc/29/72/90/661297290.db2.gz WKHNWZUKBVPALS-NWDGAFQWSA-N 0 2 305.382 0.591 20 0 DCADLN Cc1nocc1C[N@H+]1CC[C@@H](CNC(=O)[C@H]2C[NH+](C)CCO2)C1 ZINC001028460848 661533385 /nfs/dbraw/zinc/53/33/85/661533385.db2.gz DFRABVWIGODNPV-DZGCQCFKSA-N 0 2 322.409 0.252 20 0 DCADLN Cc1nc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)s1 ZINC001038401219 661756514 /nfs/dbraw/zinc/75/65/14/661756514.db2.gz HXQJHFLNAKBGOV-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN CC[NH+]1CCCC[C@H]1C(=O)NC[C@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001029003248 661929734 /nfs/dbraw/zinc/92/97/34/661929734.db2.gz JRKOSGATMFSWJL-HIFRSBDPSA-N 0 2 321.425 0.882 20 0 DCADLN O=C(NNC(=O)c1ccccn1)c1ccc(-n2ccnc2)nc1 ZINC000025091584 662010049 /nfs/dbraw/zinc/01/00/49/662010049.db2.gz XDGDOVJINBEYGU-UHFFFAOYSA-N 0 2 308.301 0.737 20 0 DCADLN CCc1cnccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038427737 662010635 /nfs/dbraw/zinc/01/06/35/662010635.db2.gz AOJBNWBWUZGHAQ-NSHDSACASA-N 0 2 316.365 0.472 20 0 DCADLN O=C(Nc1n[nH]c(C(F)(F)F)n1)C1CN([C@H]2CCOC2)C1 ZINC000895613752 662199620 /nfs/dbraw/zinc/19/96/20/662199620.db2.gz FQPOZJGDIJUTIK-ZETCQYMHSA-N 0 2 305.260 0.483 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C(F)F)C1)C(F)C(F)(F)F ZINC001040068863 662352811 /nfs/dbraw/zinc/35/28/11/662352811.db2.gz BQNLCYRBDWMTFI-MLUIRONXSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C(F)F)C1)[C@@H](F)C(F)(F)F ZINC001040068863 662352813 /nfs/dbraw/zinc/35/28/13/662352813.db2.gz BQNLCYRBDWMTFI-MLUIRONXSA-N 0 2 322.205 0.232 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CCN1C(=O)c1cc(C)[nH]n1 ZINC000985100362 662364884 /nfs/dbraw/zinc/36/48/84/662364884.db2.gz KWDZHGQYBVMFEE-JOYOIKCWSA-N 0 2 317.353 0.259 20 0 DCADLN C[N@@H+](C[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1)[C@H]1CCNC1=O ZINC001029752210 662466014 /nfs/dbraw/zinc/46/60/14/662466014.db2.gz BCUVULNKIOWOLJ-OCCSQVGLSA-N 0 2 319.409 0.011 20 0 DCADLN Cc1cc(C[N@H+](C)C[C@H]2CCN(C(=O)Cc3ncn[nH]3)C2)on1 ZINC001029884806 662541663 /nfs/dbraw/zinc/54/16/63/662541663.db2.gz PJQYRFGSKNDPLN-GFCCVEGCSA-N 0 2 318.381 0.624 20 0 DCADLN Cc1cc(C[N@@H+](C)C[C@H]2CCN(C(=O)Cc3ncn[nH]3)C2)on1 ZINC001029884806 662541664 /nfs/dbraw/zinc/54/16/64/662541664.db2.gz PJQYRFGSKNDPLN-GFCCVEGCSA-N 0 2 318.381 0.624 20 0 DCADLN C[C@@H]1[C@@H]([NH2+]Cc2ncccn2)CCN1C(=O)c1ncccc1O ZINC000986174746 662564864 /nfs/dbraw/zinc/56/48/64/662564864.db2.gz ZDUHJOBHVIKLFH-NEPJUHHUSA-N 0 2 313.361 0.970 20 0 DCADLN COCCOCCOC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000729197431 662644765 /nfs/dbraw/zinc/64/47/65/662644765.db2.gz UJWGRXNELYRRSN-UHFFFAOYSA-N 0 2 309.322 0.080 20 0 DCADLN Cn1cc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000937904526 662840642 /nfs/dbraw/zinc/84/06/42/662840642.db2.gz BVDDZVRSJDHYDU-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N[C@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC000937904526 662840643 /nfs/dbraw/zinc/84/06/43/662840643.db2.gz BVDDZVRSJDHYDU-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H]2CCCN(C(=O)Cc3nnc[nH]3)C2)[nH]1 ZINC001000661335 665905951 /nfs/dbraw/zinc/90/59/51/665905951.db2.gz VROURLHHNIMPHZ-KOLCDFICSA-N 0 2 318.385 0.116 20 0 DCADLN CCn1ncn(NC(=O)c2csc(N3CCCCC3)n2)c1=O ZINC000897834917 662928196 /nfs/dbraw/zinc/92/81/96/662928196.db2.gz PSLIHYVTGIPJND-UHFFFAOYSA-N 0 2 322.394 0.895 20 0 DCADLN O=C(C(C1CC1)C1CC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000989447237 662932484 /nfs/dbraw/zinc/93/24/84/662932484.db2.gz IKSHUJMLGOSWQK-UHFFFAOYSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)C(F)(F)C2(O)CCCC2)C(=O)N1C ZINC000899035861 663119822 /nfs/dbraw/zinc/11/98/22/663119822.db2.gz OPUSQGRXMMPAKE-ZETCQYMHSA-N 0 2 305.281 0.241 20 0 DCADLN O=C([O-])CN(CC1CC1)c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000900970961 663296689 /nfs/dbraw/zinc/29/66/89/663296689.db2.gz SVTBDISGRBIYON-ZDUSSCGKSA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])CN(CC1CC1)c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000900970961 663296690 /nfs/dbraw/zinc/29/66/90/663296690.db2.gz SVTBDISGRBIYON-ZDUSSCGKSA-N 0 2 320.393 0.986 20 0 DCADLN CS(=O)(=O)C[C@H]1CN(c2[nH+]cccc2C(=O)[O-])CCO1 ZINC000900976157 663297095 /nfs/dbraw/zinc/29/70/95/663297095.db2.gz CADRIEPAGGVRRR-SECBINFHSA-N 0 2 300.336 0.030 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC000990982916 663403885 /nfs/dbraw/zinc/40/38/85/663403885.db2.gz XTSVBLFTJPJXTC-SECBINFHSA-N 0 2 310.247 0.561 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC000990982916 663403886 /nfs/dbraw/zinc/40/38/86/663403886.db2.gz XTSVBLFTJPJXTC-SECBINFHSA-N 0 2 310.247 0.561 20 0 DCADLN CO[C@@H](C)c1nsc(NS(=O)(=O)c2ccnn2C)n1 ZINC000903122664 663447269 /nfs/dbraw/zinc/44/72/69/663447269.db2.gz CJLYLCIAONUEEQ-LURJTMIESA-N 0 2 303.369 0.780 20 0 DCADLN O=C(c1cnon1)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000941290034 665964744 /nfs/dbraw/zinc/96/47/44/665964744.db2.gz BBOITSQPZDEPIH-MRVPVSSYSA-N 0 2 324.234 0.645 20 0 DCADLN O=C(c1cnon1)N1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000941290034 665964746 /nfs/dbraw/zinc/96/47/46/665964746.db2.gz BBOITSQPZDEPIH-MRVPVSSYSA-N 0 2 324.234 0.645 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)nc1C ZINC001038515633 663602925 /nfs/dbraw/zinc/60/29/25/663602925.db2.gz HKJITJAYXGBOJA-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN O=C([O-])c1cc2c(c(S(=O)(=O)NCC[NH+]3CCC3)c1)CCC2 ZINC000906902250 663650153 /nfs/dbraw/zinc/65/01/53/663650153.db2.gz DKCWDGDCUAJLKE-UHFFFAOYSA-N 0 2 324.402 0.858 20 0 DCADLN CC(C)(CNC(=O)N[C@@H](CC1CC1)C(=O)[O-])[NH+]1CCOCC1 ZINC000908748780 663767878 /nfs/dbraw/zinc/76/78/78/663767878.db2.gz ZXTLDLNZHXVECA-LBPRGKRZSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H](C(=O)[O-])N(C(=O)NCC(C)(C)[NH+]1CCOCC1)C1CC1 ZINC000908747210 663767957 /nfs/dbraw/zinc/76/79/57/663767957.db2.gz INARTVMKHNESMN-NSHDSACASA-N 0 2 313.398 0.744 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000908989125 663777791 /nfs/dbraw/zinc/77/77/91/663777791.db2.gz MESBJPUNMBIQBQ-QJPTWQEYSA-N 0 2 307.350 0.996 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)[C@@H]2CCn3c[nH+]cc3C2)CCCOC1 ZINC000909693147 663796992 /nfs/dbraw/zinc/79/69/92/663796992.db2.gz PESPYANMAMNJKK-ABAIWWIYSA-N 0 2 307.350 0.586 20 0 DCADLN O=C([O-])c1csc(CCNC(=O)[C@H]2CCn3c[nH+]cc3C2)n1 ZINC000909796808 663802472 /nfs/dbraw/zinc/80/24/72/663802472.db2.gz SYGCKHXMKJOROF-VIFPVBQESA-N 0 2 320.374 0.959 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000910147584 663850197 /nfs/dbraw/zinc/85/01/97/663850197.db2.gz NSQUBWHEBKEPIZ-QWRGUYRKSA-N 0 2 309.391 0.722 20 0 DCADLN CC[C@@H](C(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000910442220 663883935 /nfs/dbraw/zinc/88/39/35/663883935.db2.gz RHVNMIQRGYHLNH-RNJOBUHISA-N 0 2 316.373 0.899 20 0 DCADLN CC[C@@H](C(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000910442220 663883938 /nfs/dbraw/zinc/88/39/38/663883938.db2.gz RHVNMIQRGYHLNH-RNJOBUHISA-N 0 2 316.373 0.899 20 0 DCADLN O=C([O-])[C@H](C[C@@H]1CCCO1)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000910594581 663910710 /nfs/dbraw/zinc/91/07/10/663910710.db2.gz IFXHQOFEQNGNIH-STQMWFEESA-N 0 2 321.377 0.900 20 0 DCADLN C/C(=C/C(=O)Nc1cncc(C(=O)[O-])c1)C[NH+]1CCOCC1 ZINC000910975925 663963419 /nfs/dbraw/zinc/96/34/19/663963419.db2.gz SECQMBNIYRMWPB-WDZFZDKYSA-N 0 2 305.334 0.997 20 0 DCADLN O=C([O-])c1ccn(CC(=O)N2CCN(c3cccc[nH+]3)CC2)n1 ZINC000911093596 663981377 /nfs/dbraw/zinc/98/13/77/663981377.db2.gz OGAVIEUIKWKYHM-UHFFFAOYSA-N 0 2 315.333 0.325 20 0 DCADLN CC[C@@H](CO)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911168106 664000389 /nfs/dbraw/zinc/00/03/89/664000389.db2.gz KYDQHROQNBYOSB-NSHDSACASA-N 0 2 307.350 0.447 20 0 DCADLN C[C@@H]1CO[C@@H](C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)C1 ZINC000911170482 664000620 /nfs/dbraw/zinc/00/06/20/664000620.db2.gz UNGSMNFOTLCNCZ-WCQYABFASA-N 0 2 319.361 0.853 20 0 DCADLN C[C@H]1OCC[C@H]1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171418 664001315 /nfs/dbraw/zinc/00/13/15/664001315.db2.gz FHILRWRZMPGXDK-DGCLKSJQSA-N 0 2 319.361 0.853 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911174428 664001671 /nfs/dbraw/zinc/00/16/71/664001671.db2.gz WRTIUYHGUXREBM-STQMWFEESA-N 0 2 319.361 0.853 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC000911199256 664003303 /nfs/dbraw/zinc/00/33/03/664003303.db2.gz TXVLKLNLBNAYHR-KXMPLOMGSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)Cc1cccc(C(=O)[O-])c1 ZINC000911195924 664008255 /nfs/dbraw/zinc/00/82/55/664008255.db2.gz BABVLMKUTMHDSI-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)Cc1ccc(C(=O)[O-])cc1 ZINC000911196436 664008262 /nfs/dbraw/zinc/00/82/62/664008262.db2.gz FTHFEWSWRZAHGD-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)CCOCC1 ZINC000911384562 664042138 /nfs/dbraw/zinc/04/21/38/664042138.db2.gz DNTFMVWVAARVEC-UHFFFAOYSA-N 0 2 307.350 0.997 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)CCOCC1 ZINC000911384562 664042139 /nfs/dbraw/zinc/04/21/39/664042139.db2.gz DNTFMVWVAARVEC-UHFFFAOYSA-N 0 2 307.350 0.997 20 0 DCADLN O=C([O-])C1(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCOCC1 ZINC000911469858 664056881 /nfs/dbraw/zinc/05/68/81/664056881.db2.gz UODFFMCPFWAHJM-GFCCVEGCSA-N 0 2 319.361 0.658 20 0 DCADLN CC[C@@H](C(=O)N(C)Cc1nc(=O)o[n-]1)[N@@H+]1CCO[C@@H](CC)C1 ZINC000911452262 664059577 /nfs/dbraw/zinc/05/95/77/664059577.db2.gz UHNVEHUIXYFUIE-QWRGUYRKSA-N 0 2 312.370 0.211 20 0 DCADLN CC[C@@H](C(=O)N(C)Cc1nc(=O)o[n-]1)[N@H+]1CCO[C@@H](CC)C1 ZINC000911452262 664059582 /nfs/dbraw/zinc/05/95/82/664059582.db2.gz UHNVEHUIXYFUIE-QWRGUYRKSA-N 0 2 312.370 0.211 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)c1ccccn1 ZINC000911481907 664062764 /nfs/dbraw/zinc/06/27/64/664062764.db2.gz LBXNIEMCXQDZDV-MFKMUULPSA-N 0 2 300.318 0.783 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)Cn2cc(C(=O)[O-])cn2)C1 ZINC000911613518 664089245 /nfs/dbraw/zinc/08/92/45/664089245.db2.gz SWTOMZLWAKNXLR-JTQLQIEISA-N 0 2 303.322 0.331 20 0 DCADLN Cc1c(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)cnn1C(C)(C)C ZINC000912821499 664232887 /nfs/dbraw/zinc/23/28/87/664232887.db2.gz CTTHPESHDOAEJC-VIFPVBQESA-N 0 2 307.354 0.874 20 0 DCADLN CC(C)[N@H+]1CCC[C@H]1C(=O)NC1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC000991485894 664364716 /nfs/dbraw/zinc/36/47/16/664364716.db2.gz OEISVVZHTFLDOC-AWEZNQCLSA-N 0 2 319.409 0.083 20 0 DCADLN Cn1ccc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991727112 664532941 /nfs/dbraw/zinc/53/29/41/664532941.db2.gz DPBMYZDBJWTTOT-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1ccc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000991727112 664532942 /nfs/dbraw/zinc/53/29/42/664532942.db2.gz DPBMYZDBJWTTOT-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN C[C@@H]1CCCC[C@@H]1OCCN1CC(NC(=O)c2cnn[nH]2)C1 ZINC001030600212 664599974 /nfs/dbraw/zinc/59/99/74/664599974.db2.gz SWGRAQGGSIHEBA-RISCZKNCSA-N 0 2 307.398 0.814 20 0 DCADLN C[C@@H]1CCCC[C@@H]1OCC[NH+]1CC(NC(=O)c2cnn[n-]2)C1 ZINC001030600212 664599975 /nfs/dbraw/zinc/59/99/75/664599975.db2.gz SWGRAQGGSIHEBA-RISCZKNCSA-N 0 2 307.398 0.814 20 0 DCADLN O=C(NC1CN(CCOC2CCCCCC2)C1)c1cnn[nH]1 ZINC001030601659 664600206 /nfs/dbraw/zinc/60/02/06/664600206.db2.gz USAKZCDBOCDTAO-UHFFFAOYSA-N 0 2 307.398 0.958 20 0 DCADLN CN(C)C(=O)CN1CC[C@@]2(NC(=O)[C@H]3CCC[N@@H+]3C)CCC[C@H]12 ZINC000992109304 664663084 /nfs/dbraw/zinc/66/30/84/664663084.db2.gz DRVIUUNRCAINGG-KEYYUXOJSA-N 0 2 322.453 0.282 20 0 DCADLN C[C@@H]1C[C@H]1CC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030901274 664698777 /nfs/dbraw/zinc/69/87/77/664698777.db2.gz FOHOBQZANXCTAQ-MNOVXSKESA-N 0 2 315.377 0.369 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCc1ccc(F)cc1 ZINC000730511687 664783370 /nfs/dbraw/zinc/78/33/70/664783370.db2.gz BPOAESXKJBXTAO-UHFFFAOYSA-N 0 2 315.308 0.569 20 0 DCADLN CC(C)=CC[NH+]1CC(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001031069082 664784325 /nfs/dbraw/zinc/78/43/25/664784325.db2.gz RZCNRZKIZFCERE-UHFFFAOYSA-N 0 2 301.350 0.403 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccco2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992968192 664787315 /nfs/dbraw/zinc/78/73/15/664787315.db2.gz SHHQPZLNSPENRF-VHSXEESVSA-N 0 2 305.338 0.886 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cnccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992982311 664788650 /nfs/dbraw/zinc/78/86/50/664788650.db2.gz MYHKESMOGAZSGV-VHSXEESVSA-N 0 2 317.353 0.083 20 0 DCADLN CCN(C[C@@H]1CCCO1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730536478 664790458 /nfs/dbraw/zinc/79/04/58/664790458.db2.gz UVZZPOLTWOCXTE-JTQLQIEISA-N 0 2 305.338 0.099 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cnns2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993085354 664805132 /nfs/dbraw/zinc/80/51/32/664805132.db2.gz XSPMPSKCWFBGDL-HTQZYQBOSA-N 0 2 323.382 0.145 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000993103065 664808785 /nfs/dbraw/zinc/80/87/85/664808785.db2.gz LQNHHFVVNZEELR-WCBMZHEXSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cnsn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993120817 664817698 /nfs/dbraw/zinc/81/76/98/664817698.db2.gz WYZXRRVIDLYUJO-JGVFFNPUSA-N 0 2 323.382 0.145 20 0 DCADLN CC(=O)c1csc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000731843142 664885494 /nfs/dbraw/zinc/88/54/94/664885494.db2.gz XJCHDXCHHPPBTO-UHFFFAOYSA-N 0 2 318.318 0.369 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccoc2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993251124 664917421 /nfs/dbraw/zinc/91/74/21/664917421.db2.gz RSGKKGNUYQWZFO-PWSUYJOCSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccoc2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993251124 664917422 /nfs/dbraw/zinc/91/74/22/664917422.db2.gz RSGKKGNUYQWZFO-PWSUYJOCSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cc[nH]c2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993369633 664949235 /nfs/dbraw/zinc/94/92/35/664949235.db2.gz ZVNDMIXLNHJHMB-KOLCDFICSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cc[nH]c2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993369633 664949236 /nfs/dbraw/zinc/94/92/36/664949236.db2.gz ZVNDMIXLNHJHMB-KOLCDFICSA-N 0 2 304.354 0.621 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1occ2c1CCCC2 ZINC001031311496 665059434 /nfs/dbraw/zinc/05/94/34/665059434.db2.gz TVKUCMOIJGHIQB-UHFFFAOYSA-N 0 2 317.349 0.596 20 0 DCADLN Cc1cc(C[NH+]2CC(CNC(=O)c3[nH]nc(C)c3[O-])C2)nn1C ZINC001031617428 665233612 /nfs/dbraw/zinc/23/36/12/665233612.db2.gz GOVAXXXXBVZWAO-UHFFFAOYSA-N 0 2 318.381 0.327 20 0 DCADLN CN(C)C(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000920953276 665285265 /nfs/dbraw/zinc/28/52/65/665285265.db2.gz FRRBNHNWLGVSLH-SNVBAGLBSA-N 0 2 320.374 0.883 20 0 DCADLN CNC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000920953655 665285285 /nfs/dbraw/zinc/28/52/85/665285285.db2.gz MNHQSBHABGWGCZ-SECBINFHSA-N 0 2 306.347 0.541 20 0 DCADLN O=C(c1cc(F)c[nH]1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032525781 665308624 /nfs/dbraw/zinc/30/86/24/665308624.db2.gz FOQLZYZWELWFEA-IUCAKERBSA-N 0 2 306.301 0.076 20 0 DCADLN CCc1nc[nH]c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000997704472 665321834 /nfs/dbraw/zinc/32/18/34/665321834.db2.gz FHJRFRBJTCKMNC-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN CCc1nc[nH]c1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000997704472 665321837 /nfs/dbraw/zinc/32/18/37/665321837.db2.gz FHJRFRBJTCKMNC-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnco1 ZINC000938343704 665345123 /nfs/dbraw/zinc/34/51/23/665345123.db2.gz IIBOWIQMCCHTTC-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnco1 ZINC000938343704 665345124 /nfs/dbraw/zinc/34/51/24/665345124.db2.gz IIBOWIQMCCHTTC-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(Cc1ncc[nH]1)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938531288 665382685 /nfs/dbraw/zinc/38/26/85/665382685.db2.gz SOSUKYCHXGINLI-XVKPBYJWSA-N 0 2 322.262 0.570 20 0 DCADLN C[C@H](c1nncn1C)[NH+]1CCC(CNC(=O)c2nnc[nH]2)CC1 ZINC001001952497 666114246 /nfs/dbraw/zinc/11/42/46/666114246.db2.gz GJXNTFRKRDVPEP-SNVBAGLBSA-N 0 2 318.385 0.136 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@]12C[C@H]1COC2 ZINC001002405214 666168538 /nfs/dbraw/zinc/16/85/38/666168538.db2.gz QSXIFCKVDRETHP-SBMIAAHKSA-N 0 2 324.274 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@]12C[C@H]1COC2 ZINC001002405214 666168540 /nfs/dbraw/zinc/16/85/40/666168540.db2.gz QSXIFCKVDRETHP-SBMIAAHKSA-N 0 2 324.274 0.498 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCOCC1 ZINC001002708292 666209282 /nfs/dbraw/zinc/20/92/82/666209282.db2.gz CVKKJRFJLBPJRQ-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CO[C@@H]1CC[C@@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001003192786 666283590 /nfs/dbraw/zinc/28/35/90/666283590.db2.gz JZXDJFBIFQZYEV-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1csc(=O)[nH]1 ZINC001003469481 666315801 /nfs/dbraw/zinc/31/58/01/666315801.db2.gz UDLRSVPLSCXXNG-UHFFFAOYSA-N 0 2 324.366 0.067 20 0 DCADLN CCCc1occc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032127908 666361579 /nfs/dbraw/zinc/36/15/79/666361579.db2.gz JWLHXLZOHIDONO-UHFFFAOYSA-N 0 2 319.365 0.918 20 0 DCADLN CN(C(=O)c1cccnc1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032864632 666361873 /nfs/dbraw/zinc/36/18/73/666361873.db2.gz NWOKIMXEXNPERW-NSHDSACASA-N 0 2 302.338 0.252 20 0 DCADLN CC1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCOCC1 ZINC001003796211 666363700 /nfs/dbraw/zinc/36/37/00/666363700.db2.gz UTRACRDWSVGLNN-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN CN(C(=O)C[C@@H]1CCCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032993637 666420058 /nfs/dbraw/zinc/42/00/58/666420058.db2.gz QVZLPJWLMZEAPF-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)C[C@@H]1CCCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032993637 666420059 /nfs/dbraw/zinc/42/00/59/666420059.db2.gz QVZLPJWLMZEAPF-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)C[C@H]1CCCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032993635 666420074 /nfs/dbraw/zinc/42/00/74/666420074.db2.gz QVZLPJWLMZEAPF-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)C[C@H]1CCCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032993635 666420075 /nfs/dbraw/zinc/42/00/75/666420075.db2.gz QVZLPJWLMZEAPF-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)[C@@H]1CC1(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033063787 666485224 /nfs/dbraw/zinc/48/52/24/666485224.db2.gz HYZQQWODDATSBA-YUMQZZPRSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C(=O)[C@@H]1CC1(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033063787 666485226 /nfs/dbraw/zinc/48/52/26/666485226.db2.gz HYZQQWODDATSBA-YUMQZZPRSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C(=O)[C@@H]1CC1(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033063787 666485227 /nfs/dbraw/zinc/48/52/27/666485227.db2.gz HYZQQWODDATSBA-YUMQZZPRSA-N 0 2 301.297 0.198 20 0 DCADLN Cc1cnccc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033067664 666488249 /nfs/dbraw/zinc/48/82/49/666488249.db2.gz JEMNNTNVJVFONK-NSHDSACASA-N 0 2 316.365 0.560 20 0 DCADLN CN(C(=O)C1C=CC=CC=C1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033118908 666542755 /nfs/dbraw/zinc/54/27/55/666542755.db2.gz UGEORLUAMDUUMR-ZDUSSCGKSA-N 0 2 315.377 0.841 20 0 DCADLN CN(C(=O)C1C=CC=CC=C1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033118908 666542757 /nfs/dbraw/zinc/54/27/57/666542757.db2.gz UGEORLUAMDUUMR-ZDUSSCGKSA-N 0 2 315.377 0.841 20 0 DCADLN CC(C)[NH+]1CCO[C@@H](C(=O)N(C)[C@H]2CC[N@@H+](CCF)C2)C1 ZINC001033358089 666690803 /nfs/dbraw/zinc/69/08/03/666690803.db2.gz NNAGXCHEOYBQPL-UONOGXRCSA-N 0 2 301.406 0.598 20 0 DCADLN CN(C(=O)c1ccc(Cl)[nH]1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033395854 666699555 /nfs/dbraw/zinc/69/95/55/666699555.db2.gz MNVRRCXQZUQZQG-MRVPVSSYSA-N 0 2 324.772 0.838 20 0 DCADLN CN(C(=O)c1ccc(Cl)[nH]1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033395854 666699556 /nfs/dbraw/zinc/69/95/56/666699556.db2.gz MNVRRCXQZUQZQG-MRVPVSSYSA-N 0 2 324.772 0.838 20 0 DCADLN CCN(C(=O)c1cscn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033774568 666822536 /nfs/dbraw/zinc/82/25/36/666822536.db2.gz VFVJSLRYACINSK-SECBINFHSA-N 0 2 322.394 0.703 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](CCO)C1)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001034391928 667005504 /nfs/dbraw/zinc/00/55/04/667005504.db2.gz RHMJERBQNQMFLN-QWHCGFSZSA-N 0 2 306.410 0.793 20 0 DCADLN CNC(=O)C[NH+]1CCCC[C@H](NC(=O)C[N@H+]2CC[C@@H](C)C2)C1 ZINC001034393922 667011750 /nfs/dbraw/zinc/01/17/50/667011750.db2.gz HZOAKPRPRRUCCW-KGLIPLIRSA-N 0 2 310.442 0.045 20 0 DCADLN O=C(NOCCN1CCCC1=O)[C@H]1CNC(=O)c2ccccc21 ZINC000862217838 667031136 /nfs/dbraw/zinc/03/11/36/667031136.db2.gz UXAAOXSSFJUAHJ-ZDUSSCGKSA-N 0 2 317.345 0.184 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]c1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001005747323 667206416 /nfs/dbraw/zinc/20/64/16/667206416.db2.gz UDTLCHBSFPRYII-MUWHJKNJSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]c1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001005747323 667206420 /nfs/dbraw/zinc/20/64/20/667206420.db2.gz UDTLCHBSFPRYII-MUWHJKNJSA-N 0 2 322.262 0.887 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)c1ccccc1 ZINC001035266510 667279363 /nfs/dbraw/zinc/27/93/63/667279363.db2.gz BZGKNZCKMSGQBR-LBPRGKRZSA-N 0 2 317.349 0.141 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)[C@@H]1CC12CCC2 ZINC001035532268 667370889 /nfs/dbraw/zinc/37/08/89/667370889.db2.gz XBNIXDIWWQQPMP-MNOVXSKESA-N 0 2 321.381 0.018 20 0 DCADLN CC1(C)CO[C@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000869390590 667653155 /nfs/dbraw/zinc/65/31/55/667653155.db2.gz ZRRNLPITRSJOBL-VIFPVBQESA-N 0 2 306.322 0.430 20 0 DCADLN CSc1cc(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)ncn1 ZINC000886418789 667765277 /nfs/dbraw/zinc/76/52/77/667765277.db2.gz VUNQDZGYANEWBP-ZETCQYMHSA-N 0 2 304.397 0.417 20 0 DCADLN CNC(=O)c1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000742521195 667793242 /nfs/dbraw/zinc/79/32/42/667793242.db2.gz DOGXWYYXRNLLDM-JTQLQIEISA-N 0 2 320.374 0.219 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](c3cc[nH]n3)C2)S1 ZINC000742584947 667794580 /nfs/dbraw/zinc/79/45/80/667794580.db2.gz AXPGKLDCFRJNBB-WPRPVWTQSA-N 0 2 307.379 0.672 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCCNc2ccccn2)S1 ZINC000742641612 667795892 /nfs/dbraw/zinc/79/58/92/667795892.db2.gz LAECJIDHCYJFIG-JTQLQIEISA-N 0 2 321.406 0.946 20 0 DCADLN C[S@](=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000742659685 667796312 /nfs/dbraw/zinc/79/63/12/667796312.db2.gz OGQXDFZLSBFTBP-YBYGRFCBSA-N 0 2 311.388 0.919 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)CC(=O)N3)S1 ZINC000742677899 667797023 /nfs/dbraw/zinc/79/70/23/667797023.db2.gz MBXPRVFXCQKNBM-SECBINFHSA-N 0 2 304.331 0.676 20 0 DCADLN CC(=O)Nc1ccccc1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742706777 667798105 /nfs/dbraw/zinc/79/81/05/667798105.db2.gz GJDCBJLIEWXLHD-NSHDSACASA-N 0 2 320.374 0.818 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC[C@@H](O)c2ccccc2)S1 ZINC000742821631 667799966 /nfs/dbraw/zinc/79/99/66/667799966.db2.gz NZMSZBGDHKJNGD-MNOVXSKESA-N 0 2 307.375 0.783 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC2(c3ccccc3)COC2)S1 ZINC000888567724 667809018 /nfs/dbraw/zinc/80/90/18/667809018.db2.gz KZLFHENPRLTCQG-JTQLQIEISA-N 0 2 305.359 0.585 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N[C@H]3C[C@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873076380 667969633 /nfs/dbraw/zinc/96/96/33/667969633.db2.gz JHOIWAISEGTCHS-DDHJBXDOSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N[C@H]3C[C@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873076380 667969636 /nfs/dbraw/zinc/96/96/36/667969636.db2.gz JHOIWAISEGTCHS-DDHJBXDOSA-N 0 2 306.366 0.959 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@]2(O)CCc3ccccc32)S1 ZINC000752566345 668019366 /nfs/dbraw/zinc/01/93/66/668019366.db2.gz VQIHBTDLWXPJPR-IAQYHMDHSA-N 0 2 319.386 0.493 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC1CCCC1 ZINC000752706243 668021075 /nfs/dbraw/zinc/02/10/75/668021075.db2.gz ITRPJENCIFLHMI-CBAPKCEASA-N 0 2 313.379 0.533 20 0 DCADLN CCOC(=O)[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(C)(C)C ZINC000753308207 668028580 /nfs/dbraw/zinc/02/85/80/668028580.db2.gz AYJLBZSSRWNBPI-VXNVDRBHSA-N 0 2 315.395 0.637 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(N2CCOC2=O)cc1 ZINC000755014515 668054575 /nfs/dbraw/zinc/05/45/75/668054575.db2.gz VJYMRYIINKZJSB-UHFFFAOYSA-N 0 2 318.293 0.789 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@@H](C3CCOCC3)C2)S1 ZINC000755217233 668057855 /nfs/dbraw/zinc/05/78/55/668057855.db2.gz YEMLPTCQDNCEKA-MNOVXSKESA-N 0 2 311.407 0.818 20 0 DCADLN CCCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000124884042 668158920 /nfs/dbraw/zinc/15/89/20/668158920.db2.gz VGKKQZKGBFEDRW-UPJWGTAASA-N 0 2 320.455 0.976 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)C1 ZINC000874414664 668182403 /nfs/dbraw/zinc/18/24/03/668182403.db2.gz BVZHZMFJYWPHSQ-FRRDWIJNSA-N 0 2 311.382 0.356 20 0 DCADLN COC(=O)CCNC(=O)COC(=O)c1cc(F)c(O)c(F)c1 ZINC000759536004 668223581 /nfs/dbraw/zinc/22/35/81/668223581.db2.gz ADEGZBZQGTUVSL-UHFFFAOYSA-N 0 2 317.244 0.507 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2c3ccccc3C[C@@H]2O)S1 ZINC000759623460 668229539 /nfs/dbraw/zinc/22/95/39/668229539.db2.gz UPCWMGLUXDNOFT-HOSYDEDBSA-N 0 2 305.359 0.317 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000760917920 668294380 /nfs/dbraw/zinc/29/43/80/668294380.db2.gz BVIVVHOFBCFPJA-MVWJERBFSA-N 0 2 317.349 0.145 20 0 DCADLN CCCC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000760983127 668296927 /nfs/dbraw/zinc/29/69/27/668296927.db2.gz UPMXAILFCNCAMZ-YUMQZZPRSA-N 0 2 301.368 0.391 20 0 DCADLN Cc1cccnc1-c1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000761578178 668331375 /nfs/dbraw/zinc/33/13/75/668331375.db2.gz XMOUZDKYMOENKL-UHFFFAOYSA-N 0 2 309.289 0.873 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(N2CCCC2)nc1 ZINC000761851203 668337870 /nfs/dbraw/zinc/33/78/70/668337870.db2.gz AOEHLIWLNCCXOF-UHFFFAOYSA-N 0 2 303.326 0.827 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)Cc1ccccc1 ZINC000762001732 668343592 /nfs/dbraw/zinc/34/35/92/668343592.db2.gz DDRJYRUBHXHNQY-CABZTGNLSA-N 0 2 319.386 0.859 20 0 DCADLN Cc1ccc([C@H](O)CNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000762339556 668357847 /nfs/dbraw/zinc/35/78/47/668357847.db2.gz VYILWKOWFCJKQA-GHMZBOCLSA-N 0 2 307.375 0.701 20 0 DCADLN C[C@H]1N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCOC1(C)C ZINC000763707987 668419306 /nfs/dbraw/zinc/41/93/06/668419306.db2.gz PESHTZNJTUNPOO-SECBINFHSA-N 0 2 305.338 0.097 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1CC2CCC1CC2 ZINC000768374927 668606841 /nfs/dbraw/zinc/60/68/41/668606841.db2.gz CPNNSJNFHCJXRV-CBINBANVSA-N 0 2 301.350 0.766 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](C(N)=O)c2ccc(F)cc2)S1 ZINC000768540286 668613507 /nfs/dbraw/zinc/61/35/07/668613507.db2.gz WHVHPDNMUAKYRG-PSASIEDQSA-N 0 2 324.337 0.025 20 0 DCADLN COc1ccccc1[C@H](CO)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000771138706 668732150 /nfs/dbraw/zinc/73/21/50/668732150.db2.gz YQIULXSILMGCCT-GXSJLCMTSA-N 0 2 323.374 0.401 20 0 DCADLN COc1ccncc1COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000805448961 668749425 /nfs/dbraw/zinc/74/94/25/668749425.db2.gz XKWRPZABAFEXHJ-UHFFFAOYSA-N 0 2 315.289 0.218 20 0 DCADLN NC(=O)c1cc(OC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)ccn1 ZINC000774776963 668847288 /nfs/dbraw/zinc/84/72/88/668847288.db2.gz VKMGSFNJFQYXML-UHFFFAOYSA-N 0 2 318.337 0.048 20 0 DCADLN O=C(CCN1C(=O)COc2ccccc21)OCc1n[nH]c(=O)[nH]1 ZINC000774906990 668850218 /nfs/dbraw/zinc/85/02/18/668850218.db2.gz IEVBCHODQFITPA-UHFFFAOYSA-N 0 2 318.289 0.369 20 0 DCADLN O=C(CNC(=O)c1ccc(F)c(F)c1)OCc1n[nH]c(=O)[nH]1 ZINC000774932352 668852137 /nfs/dbraw/zinc/85/21/37/668852137.db2.gz KLJAXGMFZDXRBE-UHFFFAOYSA-N 0 2 312.232 0.262 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cnc(-c2ncccn2)s1 ZINC000774940120 668852179 /nfs/dbraw/zinc/85/21/79/668852179.db2.gz VKSKNUUJVFPBHZ-UHFFFAOYSA-N 0 2 304.291 0.781 20 0 DCADLN C[C@@H](C(=O)OCc1n[nH]c(=O)[nH]1)n1nnc(-c2ccccc2)n1 ZINC000774956974 668852394 /nfs/dbraw/zinc/85/23/94/668852394.db2.gz CCTMCZAWNKSKGC-QMMMGPOBSA-N 0 2 315.293 0.468 20 0 DCADLN Cc1cc(-n2cncn2)ccc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774964386 668852910 /nfs/dbraw/zinc/85/29/10/668852910.db2.gz VNMCDJAIKGBWLB-UHFFFAOYSA-N 0 2 300.278 0.756 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOCC3(CCCC3)C2)S1 ZINC000775435585 668862478 /nfs/dbraw/zinc/86/24/78/668862478.db2.gz VEVPNSWNBWDGHW-JTQLQIEISA-N 0 2 311.407 0.962 20 0 DCADLN O=C([N-]S(=O)(=O)[C@@H]1CCOC1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000776088516 668870630 /nfs/dbraw/zinc/87/06/30/668870630.db2.gz MYTIUVQJVURAID-CYBMUJFWSA-N 0 2 321.358 0.721 20 0 DCADLN Cc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)nc(C(F)(F)F)n1 ZINC000778382402 668898579 /nfs/dbraw/zinc/89/85/79/668898579.db2.gz WTBUNZRQJSAYBZ-UHFFFAOYSA-N 0 2 303.200 0.985 20 0 DCADLN Cn1cc(-c2ncc(C(=O)NN3CCCNC3=O)s2)cn1 ZINC000779373328 668910508 /nfs/dbraw/zinc/91/05/08/668910508.db2.gz RMLJHJUNELMAOH-UHFFFAOYSA-N 0 2 306.351 0.604 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543782 668993233 /nfs/dbraw/zinc/99/32/33/668993233.db2.gz UTULHYURQWJVPJ-RYUDHWBXSA-N 0 2 315.414 0.896 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543782 668993236 /nfs/dbraw/zinc/99/32/36/668993236.db2.gz UTULHYURQWJVPJ-RYUDHWBXSA-N 0 2 315.414 0.896 20 0 DCADLN Cc1nc(CNC(=O)C[C@@H]2SC(=N)NC2=O)ccc1[C@@H](C)O ZINC000781005956 669025972 /nfs/dbraw/zinc/02/59/72/669025972.db2.gz CVGBDPSFZQOWRT-KCJUWKMLSA-N 0 2 322.390 0.616 20 0 DCADLN NC(=O)c1ccc(OCCC(=O)OCc2n[nH]c(=O)[nH]2)cc1 ZINC000786128190 669295805 /nfs/dbraw/zinc/29/58/05/669295805.db2.gz HVTBNDCHXCAZNT-UHFFFAOYSA-N 0 2 306.278 0.122 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)OCc1nncn1C ZINC000786765637 669328815 /nfs/dbraw/zinc/32/88/15/669328815.db2.gz IZPCBYBGTBRDLV-QMMMGPOBSA-N 0 2 308.260 0.561 20 0 DCADLN Cc1nnc(COC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)[nH]1 ZINC000788203967 669422148 /nfs/dbraw/zinc/42/21/48/669422148.db2.gz XVNOVDCIMRMKSD-QMMMGPOBSA-N 0 2 308.260 0.859 20 0 DCADLN Cc1ccc(NS(=O)(=O)N=[S@@](C)(=O)N(C)C)c(O)c1 ZINC000882664223 669562989 /nfs/dbraw/zinc/56/29/89/669562989.db2.gz OYSDMBVRAKWJAZ-GOSISDBHSA-N 0 2 307.397 0.932 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1SC(=N)NC1=O)N1CCCCC1=O ZINC000793925906 669755707 /nfs/dbraw/zinc/75/57/07/669755707.db2.gz URKDNVLYHLOYJZ-DTWKUNHWSA-N 0 2 312.395 0.060 20 0 DCADLN CSCCO[N-]C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000935754293 669768001 /nfs/dbraw/zinc/76/80/01/669768001.db2.gz CGQRFCQOTRNYNU-NEPJUHHUSA-N 0 2 304.412 0.277 20 0 DCADLN O=C(NCCOCCOC(=O)c1cncs1)C(F)(F)F ZINC000797051355 669954407 /nfs/dbraw/zinc/95/44/07/669954407.db2.gz ZKXUJHRDYXBTQU-UHFFFAOYSA-N 0 2 312.269 0.995 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000950189949 669967949 /nfs/dbraw/zinc/96/79/49/669967949.db2.gz DYKFFCLLUTXEAM-HTQZYQBOSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)n1 ZINC000950189949 669967952 /nfs/dbraw/zinc/96/79/52/669967952.db2.gz DYKFFCLLUTXEAM-HTQZYQBOSA-N 0 2 322.262 0.949 20 0 DCADLN CCN(C(=O)c1[nH]c(C)cc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950639080 670081869 /nfs/dbraw/zinc/08/18/69/670081869.db2.gz BXHNFXINZJYBSS-UHFFFAOYSA-N 0 2 318.381 0.802 20 0 DCADLN Cn1[nH]c(COC(=O)c2nn(-c3ccccc3)cc2O)nc1=O ZINC000801202307 670116209 /nfs/dbraw/zinc/11/62/09/670116209.db2.gz CXMGGEWROOOIGZ-UHFFFAOYSA-N 0 2 315.289 0.357 20 0 DCADLN O=C(C1C=CC=CC=C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948573947 670132634 /nfs/dbraw/zinc/13/26/34/670132634.db2.gz CYHPMBGHSHFOPF-UHFFFAOYSA-N 0 2 301.350 0.453 20 0 DCADLN O=C([C@@H](F)c1ccccc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950881131 670146752 /nfs/dbraw/zinc/14/67/52/670146752.db2.gz VHOSRKMRORXRRZ-ZDUSSCGKSA-N 0 2 319.340 0.865 20 0 DCADLN COC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1N ZINC000801979402 670147866 /nfs/dbraw/zinc/14/78/66/670147866.db2.gz OAQSYLJLFNGWPP-QMMMGPOBSA-N 0 2 322.346 0.550 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnco1 ZINC000951287294 670238117 /nfs/dbraw/zinc/23/81/17/670238117.db2.gz YBRHPZXXFVUMGA-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cnco1 ZINC000951287294 670238120 /nfs/dbraw/zinc/23/81/20/670238120.db2.gz YBRHPZXXFVUMGA-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cocn1 ZINC000949123041 670264569 /nfs/dbraw/zinc/26/45/69/670264569.db2.gz JYTYYLXACLWDOQ-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cocn1 ZINC000949123041 670264573 /nfs/dbraw/zinc/26/45/73/670264573.db2.gz JYTYYLXACLWDOQ-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC000949142399 670271912 /nfs/dbraw/zinc/27/19/12/670271912.db2.gz ACPKLEVCAFTNJR-UONOGXRCSA-N 0 2 319.409 0.085 20 0 DCADLN COC(OC)c1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)o1 ZINC000806975361 670340089 /nfs/dbraw/zinc/34/00/89/670340089.db2.gz WANDJIKMGXCVOB-UHFFFAOYSA-N 0 2 310.310 0.757 20 0 DCADLN Cc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cs1 ZINC000951746828 670355050 /nfs/dbraw/zinc/35/50/50/670355050.db2.gz YPOMQOGUUYOMMB-UHFFFAOYSA-N 0 2 307.379 0.838 20 0 DCADLN CCN(C(=O)[C@@H]1CC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949657744 670397391 /nfs/dbraw/zinc/39/73/91/670397391.db2.gz GRCMJTDUUKMORS-LLVKDONJSA-N 0 2 305.382 0.899 20 0 DCADLN CC(C)S(=O)(=O)CCN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000808777769 670425600 /nfs/dbraw/zinc/42/56/00/670425600.db2.gz VYLOICSTBGMAIE-SNVBAGLBSA-N 0 2 302.400 0.513 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccnnc1 ZINC000952242452 670465581 /nfs/dbraw/zinc/46/55/81/670465581.db2.gz WSVURNKFXQFFIF-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ccnnc1 ZINC000952242452 670465582 /nfs/dbraw/zinc/46/55/82/670465582.db2.gz WSVURNKFXQFFIF-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN COC1(COC(=O)Cc2c(C)nc3cc[nH]n3c2=O)CCC1 ZINC000811174669 670513166 /nfs/dbraw/zinc/51/31/66/670513166.db2.gz VQBJZXMTXKBQOS-UHFFFAOYSA-N 0 2 305.334 0.986 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cnc(Cl)s2)S1 ZINC000848034493 670623844 /nfs/dbraw/zinc/62/38/44/670623844.db2.gz QRDBMHRVKSUPMR-RXMQYKEDSA-N 0 2 304.784 0.969 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2c3ccccc3C[C@@H]2O)S1 ZINC000848314517 670668584 /nfs/dbraw/zinc/66/85/84/670668584.db2.gz UPCWMGLUXDNOFT-UMNHJUIQSA-N 0 2 305.359 0.317 20 0 DCADLN O=C(CSc1nncs1)NOCCN1CCCC1=O ZINC000856039016 670779238 /nfs/dbraw/zinc/77/92/38/670779238.db2.gz PQHBWSDQBSMYGF-UHFFFAOYSA-N 0 2 302.381 0.300 20 0 DCADLN O=C(Nn1c(=O)[n-][nH]c1=O)[C@H]1CCCC[N@@H+]1Cc1ccccc1 ZINC000816733787 670852970 /nfs/dbraw/zinc/85/29/70/670852970.db2.gz LYKOYWOFWYWIRW-GFCCVEGCSA-N 0 2 317.349 0.814 20 0 DCADLN O=C(Nn1c(=O)[n-][nH]c1=O)[C@H]1CCCC[N@H+]1Cc1ccccc1 ZINC000816733787 670852975 /nfs/dbraw/zinc/85/29/75/670852975.db2.gz LYKOYWOFWYWIRW-GFCCVEGCSA-N 0 2 317.349 0.814 20 0 DCADLN CCOC(=O)c1cnc(C)c(C(=O)Nn2cnn(CC)c2=O)c1 ZINC000816738090 670855438 /nfs/dbraw/zinc/85/54/38/670855438.db2.gz OYZBRSRXNSRFQZ-UHFFFAOYSA-N 0 2 319.321 0.329 20 0 DCADLN COC(=O)CNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858119372 670972574 /nfs/dbraw/zinc/97/25/74/670972574.db2.gz OKZZHCVHGZXCJE-UHFFFAOYSA-N 0 2 308.212 0.361 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)OCCc1cn(C)nn1 ZINC000851373823 670983611 /nfs/dbraw/zinc/98/36/11/670983611.db2.gz BODOJUGJTRECIH-VIFPVBQESA-N 0 2 322.287 0.604 20 0 DCADLN CC[C@@H](C)CO[N-]C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000820280148 671186942 /nfs/dbraw/zinc/18/69/42/671186942.db2.gz ZHBSXDQGFBBQIK-MCIONIFRSA-N 0 2 300.399 0.960 20 0 DCADLN O=C(NCc1ccc(C(=O)O[C@@H]2CNC(=O)C2)o1)C(F)(F)F ZINC000860612482 671224006 /nfs/dbraw/zinc/22/40/06/671224006.db2.gz YVVCYPTWHALJRG-ZETCQYMHSA-N 0 2 320.223 0.503 20 0 DCADLN CCc1noc(NS(=O)(=O)c2c[nH]c(C(=O)OC)c2)n1 ZINC000820800767 671229282 /nfs/dbraw/zinc/22/92/82/671229282.db2.gz FVUXIZLUNRHJOO-UHFFFAOYSA-N 0 2 300.296 0.548 20 0 DCADLN COCc1nnc(CNC(=O)NCCOc2cccnc2)[nH]1 ZINC000853738783 671263539 /nfs/dbraw/zinc/26/35/39/671263539.db2.gz YZWAAHIHBVDPTK-UHFFFAOYSA-N 0 2 306.326 0.224 20 0 DCADLN COc1cccc([S@@](=O)CC(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000821352726 671273760 /nfs/dbraw/zinc/27/37/60/671273760.db2.gz NCMIPKUUDHRAMT-NRFANRHFSA-N 0 2 311.319 0.370 20 0 DCADLN Cn1c(Cl)ncc1C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000822584309 671331299 /nfs/dbraw/zinc/33/12/99/671331299.db2.gz YYJAFUGLNSHRFY-UHFFFAOYSA-N 0 2 310.745 0.917 20 0 DCADLN COC(=O)c1cc(F)cc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1 ZINC000826831758 671502128 /nfs/dbraw/zinc/50/21/28/671502128.db2.gz KMWVHDUERHIMAR-SSDOTTSWSA-N 0 2 323.280 0.540 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+](CN2C(=O)[C@H]3CC=CC[C@@H]3C2=O)C1 ZINC000827890959 671539140 /nfs/dbraw/zinc/53/91/40/671539140.db2.gz XUGBSMVCIXZLQC-WOPDTQHZSA-N 0 2 307.350 0.579 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+](CN2C(=O)[C@H]3CC=CC[C@@H]3C2=O)C1 ZINC000827890959 671539141 /nfs/dbraw/zinc/53/91/41/671539141.db2.gz XUGBSMVCIXZLQC-WOPDTQHZSA-N 0 2 307.350 0.579 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCN2CCc3ccccc32)S1 ZINC000831615538 671676628 /nfs/dbraw/zinc/67/66/28/671676628.db2.gz WRQUJMMXKWINKM-GFCCVEGCSA-N 0 2 318.402 0.722 20 0 DCADLN O=C(COc1ccc(CO)cc1)NCCc1n[nH]c(=S)o1 ZINC000832363685 671716311 /nfs/dbraw/zinc/71/63/11/671716311.db2.gz PZDSVNQAHQFKLH-UHFFFAOYSA-N 0 2 309.347 0.588 20 0 DCADLN CCC[C@H](O)CCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000832644300 671729765 /nfs/dbraw/zinc/72/97/65/671729765.db2.gz XNHSPWXKWFINFT-NSHDSACASA-N 0 2 306.366 0.541 20 0 DCADLN CC(C)C[C@H](C[NH2+]N(C)CCN1CCCS1(=O)=O)C(=O)[O-] ZINC000843043806 672055185 /nfs/dbraw/zinc/05/51/85/672055185.db2.gz IHLOJLSVQOMLDN-GFCCVEGCSA-N 0 2 321.443 0.205 20 0 DCADLN CCn1nc(C)c(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000843878014 672126784 /nfs/dbraw/zinc/12/67/84/672126784.db2.gz KFKGMZMQHFJSRG-SECBINFHSA-N 0 2 305.342 0.450 20 0 DCADLN C[C@H]1CC2(CN1C(=O)C[C@H]1SC(=N)NC1=O)CCOCC2 ZINC000844093948 672147503 /nfs/dbraw/zinc/14/75/03/672147503.db2.gz LUBSMOFLTKBNLP-VHSXEESVSA-N 0 2 311.407 0.960 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)C[C@@H]1CCCCO1)n1cc[nH+]c1 ZINC000845457729 672250034 /nfs/dbraw/zinc/25/00/34/672250034.db2.gz FXWZUMMUQLJFFQ-NEPJUHHUSA-N 0 2 315.395 0.849 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000845541061 672257638 /nfs/dbraw/zinc/25/76/38/672257638.db2.gz FODZILUHYAEXQV-NEPJUHHUSA-N 0 2 309.370 0.543 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H](C)[N@@H+]1CCc2ccccc2C1 ZINC000846495800 672318714 /nfs/dbraw/zinc/31/87/14/672318714.db2.gz KYFNJKRORNYOBP-GFCCVEGCSA-N 0 2 305.378 0.617 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H](C)[N@H+]1CCc2ccccc2C1 ZINC000846495800 672318716 /nfs/dbraw/zinc/31/87/16/672318716.db2.gz KYFNJKRORNYOBP-GFCCVEGCSA-N 0 2 305.378 0.617 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@@H](CO)CCF ZINC000846563619 672327994 /nfs/dbraw/zinc/32/79/94/672327994.db2.gz JEKNDEPFILIBDA-SFYZADRCSA-N 0 2 302.268 0.526 20 0 DCADLN Nn1c(SCc2n[nH]c(=O)[nH]2)nnc1-c1ccc(F)cc1 ZINC000092869960 685109732 /nfs/dbraw/zinc/10/97/32/685109732.db2.gz XPLLFNXQVJSKBB-UHFFFAOYSA-N 0 2 307.314 0.502 20 0 DCADLN Cc1c(F)cccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038274714 694033522 /nfs/dbraw/zinc/03/35/22/694033522.db2.gz KGYKKUZVKZHDJJ-JTQLQIEISA-N 0 2 319.340 0.962 20 0 DCADLN CC(C)c1nocc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038339107 694049532 /nfs/dbraw/zinc/04/95/32/694049532.db2.gz DGLAOZJKRKJHAE-SECBINFHSA-N 0 2 320.353 0.626 20 0 DCADLN CCN(C(=O)c1oncc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957085563 686955989 /nfs/dbraw/zinc/95/59/89/686955989.db2.gz MCMIMRFAULZRQC-UHFFFAOYSA-N 0 2 306.326 0.153 20 0 DCADLN CC1(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CC(F)(F)C1 ZINC000957291142 687019244 /nfs/dbraw/zinc/01/92/44/687019244.db2.gz FNCFHOKKAJSBQW-UHFFFAOYSA-N 0 2 315.324 0.590 20 0 DCADLN C[C@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)c1cccnc1 ZINC000957368382 687043245 /nfs/dbraw/zinc/04/32/45/687043245.db2.gz NMFMIICWNSFEJT-NSHDSACASA-N 0 2 316.365 0.353 20 0 DCADLN O=C(c1ccc(CF)cc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957832957 687212227 /nfs/dbraw/zinc/21/22/27/687212227.db2.gz VUBHYTRALKSIBN-UHFFFAOYSA-N 0 2 319.340 0.938 20 0 DCADLN Cc1nscc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958615618 687796802 /nfs/dbraw/zinc/79/68/02/687796802.db2.gz VKAKQMMYEVZXAO-VROVMSAKSA-N 0 2 320.378 0.135 20 0 DCADLN Cc1nscc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958615618 687796805 /nfs/dbraw/zinc/79/68/05/687796805.db2.gz VKAKQMMYEVZXAO-VROVMSAKSA-N 0 2 320.378 0.135 20 0 DCADLN CCc1occc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958719785 687873731 /nfs/dbraw/zinc/87/37/31/687873731.db2.gz MLRARBQETUQCJI-IWIIMEHWSA-N 0 2 317.349 0.526 20 0 DCADLN CCc1occc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958719785 687873736 /nfs/dbraw/zinc/87/37/36/687873736.db2.gz MLRARBQETUQCJI-IWIIMEHWSA-N 0 2 317.349 0.526 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@]12C[C@@H]1CCCC2 ZINC001038678491 694159024 /nfs/dbraw/zinc/15/90/24/694159024.db2.gz YZLJFSDDJOYABP-PGUXBMHVSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1ccc(F)c(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038748711 694181206 /nfs/dbraw/zinc/18/12/06/694181206.db2.gz HUGAPNJTMARQFL-JTQLQIEISA-N 0 2 319.340 0.962 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)C[C@H]1CCCN1C(=O)C(=O)C(C)(C)C ZINC000960508708 688400920 /nfs/dbraw/zinc/40/09/20/688400920.db2.gz KTMVMCOMIRLSHT-SNVBAGLBSA-N 0 2 323.397 0.548 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cocn1 ZINC000965596068 689497793 /nfs/dbraw/zinc/49/77/93/689497793.db2.gz KWOUMJMTCBHPAI-IUCAKERBSA-N 0 2 306.326 0.139 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cc[nH]c1 ZINC000966235698 689697180 /nfs/dbraw/zinc/69/71/80/689697180.db2.gz OYWNVDMJIWFUAO-MWLCHTKSSA-N 0 2 304.354 0.479 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cc[nH]c1 ZINC000966235698 689697184 /nfs/dbraw/zinc/69/71/84/689697184.db2.gz OYWNVDMJIWFUAO-MWLCHTKSSA-N 0 2 304.354 0.479 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1csnn1 ZINC000967500571 690018370 /nfs/dbraw/zinc/01/83/70/690018370.db2.gz SIVPMAYFASMUQK-JGVFFNPUSA-N 0 2 323.382 0.002 20 0 DCADLN C[C@H]1CC[N@@H+](CCn2cccn2)C[C@H]1NC(=O)c1nc[nH]n1 ZINC000968430696 690264099 /nfs/dbraw/zinc/26/40/99/690264099.db2.gz DXZLVHHZABDWLQ-NWDGAFQWSA-N 0 2 303.370 0.142 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccc[nH]1 ZINC000968672637 690362648 /nfs/dbraw/zinc/36/26/48/690362648.db2.gz FGBIVUMKMFCGRG-ZYHUDNBSSA-N 0 2 318.381 0.408 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccc[nH]1 ZINC000968672637 690362651 /nfs/dbraw/zinc/36/26/51/690362651.db2.gz FGBIVUMKMFCGRG-ZYHUDNBSSA-N 0 2 318.381 0.408 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cnon1 ZINC000969084970 690466386 /nfs/dbraw/zinc/46/63/86/690466386.db2.gz IBBBIKDJMWXSMF-SECBINFHSA-N 0 2 321.341 0.068 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cnon1 ZINC000969084970 690466388 /nfs/dbraw/zinc/46/63/88/690466388.db2.gz IBBBIKDJMWXSMF-SECBINFHSA-N 0 2 321.341 0.068 20 0 DCADLN CCc1nnc([C@H](C)N2CCC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001007761651 690743319 /nfs/dbraw/zinc/74/33/19/690743319.db2.gz TURXUZXQXPTNSA-UWVGGRQHSA-N 0 2 318.385 0.441 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009367754 691031383 /nfs/dbraw/zinc/03/13/83/691031383.db2.gz YBGMDVQNMRMFCN-SECBINFHSA-N 0 2 319.369 0.190 20 0 DCADLN O=C(N[C@H]1CCN(Cc2noc3c2CCCC3)C1)c1cnn[nH]1 ZINC001015062658 692176382 /nfs/dbraw/zinc/17/63/82/692176382.db2.gz RIZRUQHIZXVWPI-JTQLQIEISA-N 0 2 316.365 0.676 20 0 DCADLN O=C(N[C@H]1CC[N@H+](Cc2noc3c2CCCC3)C1)c1cnn[n-]1 ZINC001015062658 692176384 /nfs/dbraw/zinc/17/63/84/692176384.db2.gz RIZRUQHIZXVWPI-JTQLQIEISA-N 0 2 316.365 0.676 20 0 DCADLN O=C(N[C@H]1CC[N@@H+](Cc2noc3c2CCCC3)C1)c1cnn[n-]1 ZINC001015062658 692176389 /nfs/dbraw/zinc/17/63/89/692176389.db2.gz RIZRUQHIZXVWPI-JTQLQIEISA-N 0 2 316.365 0.676 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001015734719 692422842 /nfs/dbraw/zinc/42/28/42/692422842.db2.gz BZWOHMBBIDAOII-VIFPVBQESA-N 0 2 319.369 0.361 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(F)c1 ZINC001015751636 692428743 /nfs/dbraw/zinc/42/87/43/692428743.db2.gz GPFWTYBPZPUCLI-SNVBAGLBSA-N 0 2 319.340 0.962 20 0 DCADLN C[C@]1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCCOC1 ZINC001015912333 692501787 /nfs/dbraw/zinc/50/17/87/692501787.db2.gz BNMKFSJWLXMLJK-HZMBPMFUSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(Cc1ccccc1F)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016166057 692587162 /nfs/dbraw/zinc/58/71/62/692587162.db2.gz YSUYNXRGHUJVTK-LLVKDONJSA-N 0 2 319.340 0.583 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC=CCC1 ZINC001016282566 692634098 /nfs/dbraw/zinc/63/40/98/692634098.db2.gz ARJOQQFCULRZHH-LBPRGKRZSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC12CCOCC2 ZINC001016438606 692690188 /nfs/dbraw/zinc/69/01/88/692690188.db2.gz RONUIRRCGYZXSB-WDEREUQCSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(c1ccsn1)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072843370 694673755 /nfs/dbraw/zinc/67/37/55/694673755.db2.gz DEJSIYHMJSMFAV-UHFFFAOYSA-N 0 2 320.378 0.315 20 0 DCADLN O=C(c1ccsn1)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072843370 694673757 /nfs/dbraw/zinc/67/37/57/694673757.db2.gz DEJSIYHMJSMFAV-UHFFFAOYSA-N 0 2 320.378 0.315 20 0 DCADLN CC1(C(=O)N2CC[C@@H]3OCCN(Cc4n[nH]c(=O)[nH]4)[C@H]3C2)CC1 ZINC001074253505 694851971 /nfs/dbraw/zinc/85/19/71/694851971.db2.gz WEXGFGGEKVGVMS-QWRGUYRKSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@H]1[C@@H](NCc2nnn(C)n2)CCN1C(=O)C(F)C(F)(F)F ZINC001074661860 694915786 /nfs/dbraw/zinc/91/57/86/694915786.db2.gz ORMPIYZUNZUXEH-ACLDMZEESA-N 0 2 324.282 0.190 20 0 DCADLN O=C(c1ccoc1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075546170 694958002 /nfs/dbraw/zinc/95/80/02/694958002.db2.gz OUVSBQPQLHJABJ-GXSJLCMTSA-N 0 2 303.322 0.450 20 0 DCADLN O=C(c1ccoc1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075546170 694958003 /nfs/dbraw/zinc/95/80/03/694958003.db2.gz OUVSBQPQLHJABJ-GXSJLCMTSA-N 0 2 303.322 0.450 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1[O-] ZINC001076166485 695002991 /nfs/dbraw/zinc/00/29/91/695002991.db2.gz MFUQQTXTOGAWPN-QMMMGPOBSA-N 0 2 320.353 0.014 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)[C@H]1CCOC1 ZINC001076858480 695078495 /nfs/dbraw/zinc/07/84/95/695078495.db2.gz BGXKANLMWXGUDE-XHNCKOQMSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)[C@H]1CCOC1 ZINC001076858480 695078498 /nfs/dbraw/zinc/07/84/98/695078498.db2.gz BGXKANLMWXGUDE-XHNCKOQMSA-N 0 2 314.279 0.934 20 0 DCADLN CN(C(=O)N[C@H]1CC[N@@H+](C2CC2)C1)[C@@H]1CC[NH+]2CCO[C@H]1C2 ZINC000454652664 1158292847 /nfs/dbraw/zinc/29/28/47/1158292847.db2.gz WHHKYBLVQHOYII-CFVMTHIKSA-N 0 2 308.426 0.338 20 0 DCADLN Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)c2cc(=O)n(C)[n-]2)C1 ZINC001771793704 1159104076 /nfs/dbraw/zinc/10/40/76/1159104076.db2.gz SUGOCCAWHIQJFA-NSHDSACASA-N 0 2 303.366 0.954 20 0 DCADLN CCN(C)C(=O)CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001446033341 1159327715 /nfs/dbraw/zinc/32/77/15/1159327715.db2.gz WRILNZCTHUQJAH-WPRPVWTQSA-N 0 2 313.295 0.556 20 0 DCADLN CCN(C)C(=O)CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001446033341 1159327721 /nfs/dbraw/zinc/32/77/21/1159327721.db2.gz WRILNZCTHUQJAH-WPRPVWTQSA-N 0 2 313.295 0.556 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2cncn2C)C1 ZINC001446359042 1159393786 /nfs/dbraw/zinc/39/37/86/1159393786.db2.gz AOKZLLFKIJHPSK-SNVBAGLBSA-N 0 2 308.279 0.963 20 0 DCADLN O=C(C[C@H]1C=CCC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567358604 1160324348 /nfs/dbraw/zinc/32/43/48/1160324348.db2.gz WYOIDTDHHCBDJU-NWDGAFQWSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(C[C@H]1C=CCC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567358604 1160324353 /nfs/dbraw/zinc/32/43/53/1160324353.db2.gz WYOIDTDHHCBDJU-NWDGAFQWSA-N 0 2 305.382 0.947 20 0 DCADLN Cn1c(C(=O)[O-])cnc1NC(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001574210023 1163664612 /nfs/dbraw/zinc/66/46/12/1163664612.db2.gz UYTGCTDURAQLJY-QMMMGPOBSA-N 0 2 304.310 0.451 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@@H](C(=O)[O-])[C@@H](O)c1cccnc1 ZINC001574220751 1163668751 /nfs/dbraw/zinc/66/87/51/1163668751.db2.gz HFKWPFLOZBOUCU-KGLIPLIRSA-N 0 2 318.333 0.051 20 0 DCADLN O=C([O-])N1C[C@@H](CO)[C@H](NC(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001574341912 1163698631 /nfs/dbraw/zinc/69/86/31/1163698631.db2.gz RKNSRQVUJCEHEL-GXSJLCMTSA-N 0 2 319.321 0.427 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)s1)C1=NN(C)CC1=O ZINC001575330359 1163977938 /nfs/dbraw/zinc/97/79/38/1163977938.db2.gz KNXYJWXPUHSDQE-ZCFIWIBFSA-N 0 2 319.350 0.858 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H]2C[C@H]([NH2+]Cc3c[nH]nn3)C2)on1 ZINC001575454681 1164019878 /nfs/dbraw/zinc/01/98/78/1164019878.db2.gz GZOPJWQECYWXJM-MGCOHNPYSA-N 0 2 304.354 0.967 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)C[C@H]1CCOC1 ZINC001576032843 1164218305 /nfs/dbraw/zinc/21/83/05/1164218305.db2.gz YIHNRQYYCHBADI-GFCCVEGCSA-N 0 2 308.382 0.310 20 0 DCADLN CC[C@H](NC(C)=O)C(=O)N[C@@](C)(C[NH2+]Cc1c[nH]nn1)C1CC1 ZINC001576279622 1164288548 /nfs/dbraw/zinc/28/85/48/1164288548.db2.gz YBBDBTQQTOFDBH-ZFWWWQNUSA-N 0 2 322.413 0.094 20 0 DCADLN CC[C@H](C)[C@@H](O)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001576609734 1164412573 /nfs/dbraw/zinc/41/25/73/1164412573.db2.gz DQJBTHXNJBNLLS-XVKPBYJWSA-N 0 2 320.353 0.413 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C[C@H]2CC[N@@H+]2CCc2cn[nH]n2)c1C ZINC001577223543 1164641698 /nfs/dbraw/zinc/64/16/98/1164641698.db2.gz NVWCEVTWMMPNQN-CYBMUJFWSA-N 0 2 317.397 0.534 20 0 DCADLN O=C(CCCc1cnn[nH]1)NC1CC(C[NH2+]Cc2ccon2)C1 ZINC001577844341 1164810433 /nfs/dbraw/zinc/81/04/33/1164810433.db2.gz VTYGYRDNDCYDRH-UHFFFAOYSA-N 0 2 318.381 0.800 20 0 DCADLN O=C(Cc1cccnc1)NC[C@@H]1CCCN1Cc1cn[nH]n1 ZINC001577964443 1164864959 /nfs/dbraw/zinc/86/49/59/1164864959.db2.gz OYPBXDDMQLUABJ-AWEZNQCLSA-N 0 2 300.366 0.523 20 0 DCADLN O=C(Cc1cccnc1)NC[C@@H]1CCCN1Cc1c[nH]nn1 ZINC001577964443 1164864971 /nfs/dbraw/zinc/86/49/71/1164864971.db2.gz OYPBXDDMQLUABJ-AWEZNQCLSA-N 0 2 300.366 0.523 20 0 DCADLN CCOC(=O)N1C[C@H](NC(=O)c2cccc(NC)c2)[C@@H](CO)C1 ZINC001577966968 1164866460 /nfs/dbraw/zinc/86/64/60/1164866460.db2.gz CQPQZRSKMPICBH-OCCSQVGLSA-N 0 2 321.377 0.907 20 0 DCADLN O=C(NCC[C@@H]1CC[N@H+](Cc2c[nH]nn2)C1)c1cccnn1 ZINC001578005078 1164887646 /nfs/dbraw/zinc/88/76/46/1164887646.db2.gz BVFDDJDVURUIKO-LLVKDONJSA-N 0 2 301.354 0.237 20 0 DCADLN CCOCC(C)(C)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001578366173 1164989252 /nfs/dbraw/zinc/98/92/52/1164989252.db2.gz IWFIWWSPEFRBHR-UHFFFAOYSA-N 0 2 320.353 0.821 20 0 DCADLN CCc1nc(N[C@H](C)C2N=NC(=O)N2C)cc(-c2nn[nH]n2)n1 ZINC001578626034 1165071796 /nfs/dbraw/zinc/07/17/96/1165071796.db2.gz CDBOMDMVFZDQIZ-ZCFIWIBFSA-N 0 2 316.329 0.226 20 0 DCADLN COCC[C@@H](C)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001579775669 1165393933 /nfs/dbraw/zinc/39/39/33/1165393933.db2.gz FOCVMJBNXUBNIY-MRVPVSSYSA-N 0 2 320.353 0.678 20 0 DCADLN COC[C@H]1C[C@@H](NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001579823919 1165403975 /nfs/dbraw/zinc/40/39/75/1165403975.db2.gz SQFSPYGZLJHEKO-DTORHVGOSA-N 0 2 318.337 0.431 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H](C)C[C@H](C)O ZINC001580604322 1165652503 /nfs/dbraw/zinc/65/25/03/1165652503.db2.gz QIADICLENQHEOF-APPZFPTMSA-N 0 2 320.353 0.413 20 0 DCADLN Cc1cc(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)n(C)n1 ZINC001580984270 1165770789 /nfs/dbraw/zinc/77/07/89/1165770789.db2.gz WNCGZGYQEGGADF-UHFFFAOYSA-N 0 2 314.309 0.570 20 0 DCADLN Cc1nnc(SCCC(=O)Nc2n[nH]cc2-c2nn[nH]n2)[nH]1 ZINC001581612489 1165852529 /nfs/dbraw/zinc/85/25/29/1165852529.db2.gz PTLPATFKVCCAJA-UHFFFAOYSA-N 0 2 320.342 0.137 20 0 DCADLN O=C(Oc1ccc(-c2nn[nH]n2)cc1)c1cnc2n1CCOC2 ZINC001582656554 1166063027 /nfs/dbraw/zinc/06/30/27/1166063027.db2.gz XYOWYSTYFOXWQM-UHFFFAOYSA-N 0 2 312.289 0.813 20 0 DCADLN CN(C)C(=O)CC(=O)NC[C@@](C)(NC(=O)[C@H]1CC12CC2)C1CC1 ZINC001582663018 1166063033 /nfs/dbraw/zinc/06/30/33/1166063033.db2.gz HFWBZTYZUDCRDU-MLGOLLRUSA-N 0 2 321.421 0.666 20 0 DCADLN C[C@@H]1CCCC[C@@]1(CNC(=O)CC(N)=O)NC(=O)C(C)(C)F ZINC001582666336 1166063483 /nfs/dbraw/zinc/06/34/83/1166063483.db2.gz FHWWXHYYPZPBJA-BMIGLBTASA-N 0 2 315.389 0.791 20 0 DCADLN CC(C)O[C@]1(Cn2cnc(-c3nn[nH]n3)cc2=O)CCOC1 ZINC001589736931 1166681261 /nfs/dbraw/zinc/68/12/61/1166681261.db2.gz FADIXNPACRIMLO-ZDUSSCGKSA-N 0 2 306.326 0.008 20 0 DCADLN CC(C)c1nc(COC(=O)c2cccc(-c3nn[nH]n3)n2)n[nH]1 ZINC001589776067 1166686824 /nfs/dbraw/zinc/68/68/24/1166686824.db2.gz GTIMUSMABSGAQO-UHFFFAOYSA-N 0 2 314.309 0.860 20 0 DCADLN CC[C@@H](CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)OC ZINC001590442268 1166904743 /nfs/dbraw/zinc/90/47/43/1166904743.db2.gz VVZQSDZCFWPCEO-QMMMGPOBSA-N 0 2 306.326 0.431 20 0 DCADLN CC[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)[C@H]1CC1(C)C ZINC001590472029 1166918514 /nfs/dbraw/zinc/91/85/14/1166918514.db2.gz QILXMDSAEJYPKO-HTQZYQBOSA-N 0 2 319.369 0.903 20 0 DCADLN CCC[C@H](NC(=O)c1ccc(-c2nn[nH]n2)o1)c1nn[nH]n1 ZINC001590561312 1166943458 /nfs/dbraw/zinc/94/34/58/1166943458.db2.gz QAFQFVBRCSGIMN-LURJTMIESA-N 0 2 303.286 0.244 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(COC2CCC2)c1 ZINC001591285072 1167201091 /nfs/dbraw/zinc/20/10/91/1167201091.db2.gz BXXVHLOGUQVVLY-UHFFFAOYSA-N 0 2 305.294 0.342 20 0 DCADLN CO[C@H](C)CS(=O)(=O)Nc1cc(C)c(-c2nn[nH]n2)cn1 ZINC001591324550 1167215725 /nfs/dbraw/zinc/21/57/25/1167215725.db2.gz OZJOEXPAZKVYOA-MRVPVSSYSA-N 0 2 312.355 0.347 20 0 DCADLN COC[C@H](Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O)C(C)C ZINC001591379669 1167250376 /nfs/dbraw/zinc/25/03/76/1167250376.db2.gz RXPUYHSNWYEXTR-NSHDSACASA-N 0 2 306.370 0.959 20 0 DCADLN CON1CCC(N(C)Cc2nc(-c3nn[nH]n3)cs2)CC1 ZINC001591468426 1167302362 /nfs/dbraw/zinc/30/23/62/1167302362.db2.gz MCYLRUGPUQUCBT-UHFFFAOYSA-N 0 2 309.399 0.781 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCCO2)c(=O)n1C1CC1 ZINC001591793755 1167380671 /nfs/dbraw/zinc/38/06/71/1167380671.db2.gz HGYMNGQKXMVFPQ-JTQLQIEISA-N 0 2 318.337 0.012 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCOCC12CC2 ZINC001591834585 1167390136 /nfs/dbraw/zinc/39/01/36/1167390136.db2.gz FJUVHHCMOVQIEZ-UHFFFAOYSA-N 0 2 316.321 0.281 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)Nc1ccc[n+]([O-])c1 ZINC001591836362 1167391435 /nfs/dbraw/zinc/39/14/35/1167391435.db2.gz PIXFSDMEJMCWLU-UHFFFAOYSA-N 0 2 313.277 0.161 20 0 DCADLN Cc1cc(CCC(=O)NCc2nc(-c3nn[nH]n3)cs2)n[nH]1 ZINC001591961697 1167425650 /nfs/dbraw/zinc/42/56/50/1167425650.db2.gz PMKWQLYJZLYNIR-UHFFFAOYSA-N 0 2 318.366 0.604 20 0 DCADLN Cc1nsc(NCCCc2n[nH]c(=O)[nH]2)c1-c1nn[nH]n1 ZINC001592492851 1167538408 /nfs/dbraw/zinc/53/84/08/1167538408.db2.gz OQKYBACMSUVPGD-UHFFFAOYSA-N 0 2 307.343 0.500 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1[C@H](C)C(=O)N[C@@H](C)[C@@H]1C ZINC001592496346 1167539594 /nfs/dbraw/zinc/53/95/94/1167539594.db2.gz DLYXTHFOTTWOML-BIIVOSGPSA-N 0 2 318.337 0.506 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC001592496335 1167540016 /nfs/dbraw/zinc/54/00/16/1167540016.db2.gz DJKYDYGHMKVRCB-DTORHVGOSA-N 0 2 305.294 0.008 20 0 DCADLN Cn1c(=O)[nH]c(Oc2cccnc2Cl)c(-c2nn[nH]n2)c1=O ZINC001592545267 1167549415 /nfs/dbraw/zinc/54/94/15/1167549415.db2.gz SHZLZKFTXUYPIS-UHFFFAOYSA-N 0 2 321.684 0.094 20 0 DCADLN O=S(=O)(Nc1ccccc1O)c1cncc(-c2nn[nH]n2)c1 ZINC001593445849 1167845296 /nfs/dbraw/zinc/84/52/96/1167845296.db2.gz MWCAQECKDHYEGA-UHFFFAOYSA-N 0 2 318.318 0.768 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1Cc1cnns1)CCCC2 ZINC001593490628 1167875256 /nfs/dbraw/zinc/87/52/56/1167875256.db2.gz LBMLQFCPEKJBAN-UHFFFAOYSA-N 0 2 315.362 0.807 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1C[C@@H]1C[C@@]13CCOC3)CCC2 ZINC001593491871 1167875651 /nfs/dbraw/zinc/87/56/51/1167875651.db2.gz AJFKZNCQHHDJGN-MEDUHNTESA-N 0 2 313.361 0.944 20 0 DCADLN c1sc(CNCc2n[nH]c(C3CC3)n2)nc1-c1nn[nH]n1 ZINC001593595567 1167958694 /nfs/dbraw/zinc/95/86/94/1167958694.db2.gz WEUWWJDVHHAFJC-UHFFFAOYSA-N 0 2 303.355 0.609 20 0 DCADLN Cc1c(CCC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cnn1C ZINC001600012146 1168092779 /nfs/dbraw/zinc/09/27/79/1168092779.db2.gz ZHLSXUYSWSRTTD-LBPRGKRZSA-N 0 2 305.338 0.127 20 0 DCADLN Cc1ccc(C[NH+]2CCN(C(=O)[C@@H](C)O)CC2)cc1C(=O)[O-] ZINC001600413932 1168180573 /nfs/dbraw/zinc/18/05/73/1168180573.db2.gz QMEVWZHLEIDYCX-GFCCVEGCSA-N 0 2 306.362 0.718 20 0 DCADLN Cc1cccc2c1O[C@@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])C2 ZINC001600559353 1168199377 /nfs/dbraw/zinc/19/93/77/1168199377.db2.gz ZKCVOSWRMNSXCZ-QWHCGFSZSA-N 0 2 315.329 0.765 20 0 DCADLN Cc1cccc2ncc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])n21 ZINC001600560827 1168201073 /nfs/dbraw/zinc/20/10/73/1168201073.db2.gz TYZPWIYZHZGMSQ-LLVKDONJSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1cccc2ncc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])n21 ZINC001600560827 1168201082 /nfs/dbraw/zinc/20/10/82/1168201082.db2.gz TYZPWIYZHZGMSQ-LLVKDONJSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1nc(NCCOCCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])cc[nH+]1 ZINC001600753148 1168277076 /nfs/dbraw/zinc/27/70/76/1168277076.db2.gz SVQROPMIDRZWBK-VXGBXAGGSA-N 0 2 322.365 0.393 20 0 DCADLN Cc1noc(C[NH+]2CCC(N(C)C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC001600812480 1168323717 /nfs/dbraw/zinc/32/37/17/1168323717.db2.gz HHHFZHKOCQXHFW-NWDGAFQWSA-N 0 2 322.365 0.522 20 0 DCADLN Cn1c(C(=O)[O-])ccc1[C@H]1CCCC[N@@H+]1CC(=O)NC(N)=O ZINC001600909199 1168502688 /nfs/dbraw/zinc/50/26/88/1168502688.db2.gz QNBDONKRRQUZGB-SNVBAGLBSA-N 0 2 308.338 0.445 20 0 DCADLN Cn1c(C(=O)[O-])ccc1[C@H]1CCCC[N@H+]1CC(=O)NC(N)=O ZINC001600909199 1168502695 /nfs/dbraw/zinc/50/26/95/1168502695.db2.gz QNBDONKRRQUZGB-SNVBAGLBSA-N 0 2 308.338 0.445 20 0 DCADLN Cn1c(C[N@@H+]2CC[C@H]3CS(=O)(=O)C[C@H]3C2)ccc1C(=O)[O-] ZINC001600913996 1168504631 /nfs/dbraw/zinc/50/46/31/1168504631.db2.gz WJMARBPPDKGTIN-WDEREUQCSA-N 0 2 312.391 0.590 20 0 DCADLN Cn1c(C[N@H+]2CC[C@H]3CS(=O)(=O)C[C@H]3C2)ccc1C(=O)[O-] ZINC001600913996 1168504648 /nfs/dbraw/zinc/50/46/48/1168504648.db2.gz WJMARBPPDKGTIN-WDEREUQCSA-N 0 2 312.391 0.590 20 0 DCADLN Cn1c(C[N@@H+]2CCC[C@@H](NS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600914354 1168505602 /nfs/dbraw/zinc/50/56/02/1168505602.db2.gz HFZGVCIADDLXTM-SNVBAGLBSA-N 0 2 315.395 0.237 20 0 DCADLN Cn1c(C[N@H+]2CCC[C@@H](NS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600914354 1168505615 /nfs/dbraw/zinc/50/56/15/1168505615.db2.gz HFZGVCIADDLXTM-SNVBAGLBSA-N 0 2 315.395 0.237 20 0 DCADLN Cn1cc(C(=O)[O-])c(NC(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)n1 ZINC001600931986 1168516014 /nfs/dbraw/zinc/51/60/14/1168516014.db2.gz FZZVIJXJCUGIID-SECBINFHSA-N 0 2 318.337 0.873 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)c3ccc(C(=O)[O-])s3)CC[NH2+]2)cn1 ZINC001600945747 1168524935 /nfs/dbraw/zinc/52/49/35/1168524935.db2.gz ZQSNJPCHWIMJOB-SNVBAGLBSA-N 0 2 320.374 0.967 20 0 DCADLN Cn1cc(C[NH+]2CCN(c3ncncc3C(=O)[O-])CC2)cn1 ZINC001600951563 1168527865 /nfs/dbraw/zinc/52/78/65/1168527865.db2.gz CEGLHGKJHANALO-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN Cn1cc(C[NH+]2CCC(C)(NC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)nn1 ZINC001600951143 1168528234 /nfs/dbraw/zinc/52/82/34/1168528234.db2.gz ATBMLUBTMHUAQY-VXGBXAGGSA-N 0 2 321.381 0.007 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ccc(C(=O)[O-])cc1F ZINC001600993151 1168550042 /nfs/dbraw/zinc/55/00/42/1168550042.db2.gz JNIFBHUWFXIEDA-NSHDSACASA-N 0 2 307.281 0.721 20 0 DCADLN Cn1cnn(C[N@@H+]2CC[C@](C(=O)[O-])(c3ccccc3)C2)c1=O ZINC001600994859 1168551533 /nfs/dbraw/zinc/55/15/33/1168551533.db2.gz ZITJIKOWVVAFST-OAHLLOKOSA-N 0 2 302.334 0.268 20 0 DCADLN Cn1cnn(C[N@H+]2CC[C@](C(=O)[O-])(c3ccccc3)C2)c1=O ZINC001600994859 1168551541 /nfs/dbraw/zinc/55/15/41/1168551541.db2.gz ZITJIKOWVVAFST-OAHLLOKOSA-N 0 2 302.334 0.268 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@H](NC(=O)/C=C\c2cc(C(=O)[O-])co2)C1 ZINC001601150638 1168603445 /nfs/dbraw/zinc/60/34/45/1168603445.db2.gz CLSMQRHAUWUJIK-BYCRGOAPSA-N 0 2 321.333 0.057 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@H](NC(=O)/C=C\c2cc(C(=O)[O-])co2)C1 ZINC001601150638 1168603449 /nfs/dbraw/zinc/60/34/49/1168603449.db2.gz CLSMQRHAUWUJIK-BYCRGOAPSA-N 0 2 321.333 0.057 20 0 DCADLN Nc1ccc(CCC(=O)O[C@H]2CCN(CCC(=O)[O-])C2=O)c[nH+]1 ZINC001601215938 1168661631 /nfs/dbraw/zinc/66/16/31/1168661631.db2.gz LHDWSWQODCQMJS-NSHDSACASA-N 0 2 321.333 0.215 20 0 DCADLN O=C([O-])c1ccc(/C=C/C(=O)NC[C@H]2CN3CC[N@@H+]2CCC3)o1 ZINC001601236764 1168672905 /nfs/dbraw/zinc/67/29/05/1168672905.db2.gz HBDKZKICWDOMTH-PYEVWLCESA-N 0 2 319.361 0.497 20 0 DCADLN O=C([O-])c1ccc(/C=C/C(=O)NC[C@H]2CN3CC[N@H+]2CCC3)o1 ZINC001601236764 1168672907 /nfs/dbraw/zinc/67/29/07/1168672907.db2.gz HBDKZKICWDOMTH-PYEVWLCESA-N 0 2 319.361 0.497 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001601251841 1168676764 /nfs/dbraw/zinc/67/67/64/1168676764.db2.gz NVWGWKKVRRGCJJ-AVGNSLFASA-N 0 2 307.350 0.800 20 0 DCADLN O=C([O-])c1sccc1NC(=O)C[N@H+]1CCC[C@](O)(CO)C1 ZINC001601310598 1168701144 /nfs/dbraw/zinc/70/11/44/1168701144.db2.gz PFUPTFFPDILUTO-CYBMUJFWSA-N 0 2 314.363 0.204 20 0 DCADLN O=C([O-])c1sccc1NC(=O)C[N@@H+]1CCC[C@](O)(CO)C1 ZINC001601310598 1168701152 /nfs/dbraw/zinc/70/11/52/1168701152.db2.gz PFUPTFFPDILUTO-CYBMUJFWSA-N 0 2 314.363 0.204 20 0 DCADLN O=C([O-])[C@@H](Cc1cncs1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001601425152 1168740857 /nfs/dbraw/zinc/74/08/57/1168740857.db2.gz UCSGQEBAMSYWMN-BXKDBHETSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cnc2cnccc2c1 ZINC001601425597 1168741923 /nfs/dbraw/zinc/74/19/23/1168741923.db2.gz DUEVJLMOJACLFE-ZDUSSCGKSA-N 0 2 311.301 0.710 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1COc2ccccc2C1 ZINC001601425966 1168741981 /nfs/dbraw/zinc/74/19/81/1168741981.db2.gz OGCYYJQRUVENGR-QWHCGFSZSA-N 0 2 315.329 0.704 20 0 DCADLN O=C([O-])[C@@H]([NH2+]CC(=O)N1CCOCC1)c1ccc2c(c1)CCO2 ZINC001601671222 1168812571 /nfs/dbraw/zinc/81/25/71/1168812571.db2.gz USSJKULNOYWWBR-HNNXBMFYSA-N 0 2 320.345 0.196 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](Cc2nnc3n2CCCCC3)CC1 ZINC001601685587 1168816294 /nfs/dbraw/zinc/81/62/94/1168816294.db2.gz WGCIDKQZCSLTFL-CQSZACIVSA-N 0 2 308.382 0.662 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001601784042 1168894573 /nfs/dbraw/zinc/89/45/73/1168894573.db2.gz YAHMWBKSRVJOTG-NWDGAFQWSA-N 0 2 308.338 0.119 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)c1cnc2ccccc2n1 ZINC001602056314 1168978688 /nfs/dbraw/zinc/97/86/88/1168978688.db2.gz ULLLMUOBOAODBO-UHFFFAOYSA-N 0 2 316.361 0.853 20 0 DCADLN O=C([O-])Cc1sccc1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001602156850 1169004192 /nfs/dbraw/zinc/00/41/92/1169004192.db2.gz BLPAWOZVDIGEKS-PHIMTYICSA-N 0 2 324.402 0.968 20 0 DCADLN O=C([O-])Cc1sccc1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001602156850 1169004203 /nfs/dbraw/zinc/00/42/03/1169004203.db2.gz BLPAWOZVDIGEKS-PHIMTYICSA-N 0 2 324.402 0.968 20 0 DCADLN O=C([O-])c1cc(C[NH2+]CCS(=O)(=O)CC(F)(F)F)on1 ZINC001602260499 1169045098 /nfs/dbraw/zinc/04/50/98/1169045098.db2.gz ZLXZIOIASPLOLH-UHFFFAOYSA-N 0 2 316.257 0.440 20 0 DCADLN O=C([O-])c1ccc(Br)c(C[NH+](CCO)CCO)c1 ZINC001602314272 1169056078 /nfs/dbraw/zinc/05/60/78/1169056078.db2.gz XXUSFFHDPTXGOY-UHFFFAOYSA-N 0 2 318.167 0.934 20 0 DCADLN O=C([O-])c1cnc(C[NH2+]CCS(=O)(=O)NC2CC2)s1 ZINC001602523536 1169105128 /nfs/dbraw/zinc/10/51/28/1169105128.db2.gz VUCQIHBBAGHFEA-UHFFFAOYSA-N 0 2 305.381 0.013 20 0 DCADLN O=C([O-])c1cnc(Nc2cnn(CC[NH+]3CCOCC3)c2)cn1 ZINC001602537370 1169111290 /nfs/dbraw/zinc/11/12/90/1169111290.db2.gz QJFFXICRSZEMIY-UHFFFAOYSA-N 0 2 318.337 0.447 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC001602630020 1169135865 /nfs/dbraw/zinc/13/58/65/1169135865.db2.gz RPNRXJJVQHKSBM-IUODEOHRSA-N 0 2 324.764 0.967 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@H+]([C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC001602630020 1169135869 /nfs/dbraw/zinc/13/58/69/1169135869.db2.gz RPNRXJJVQHKSBM-IUODEOHRSA-N 0 2 324.764 0.967 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(C(=O)C(=O)c2ccc(O)cc2)CC1 ZINC001604113568 1169496270 /nfs/dbraw/zinc/49/62/70/1169496270.db2.gz ZQMNMWJIAJPVBK-LLVKDONJSA-N 0 2 320.345 0.582 20 0 DCADLN CCC[C@@H](O)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604148243 1169506362 /nfs/dbraw/zinc/50/63/62/1169506362.db2.gz YRZGEBRAXVMHKX-MRVPVSSYSA-N 0 2 306.326 0.167 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1cncc(C(=O)[O-])c1)[NH+]1CCOCC1 ZINC001604315722 1169552566 /nfs/dbraw/zinc/55/25/66/1169552566.db2.gz GKKBHBYWMQNHJF-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN CCc1nn(Cc2coc(C)n2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604579779 1169622180 /nfs/dbraw/zinc/62/21/80/1169622180.db2.gz GEFANMMZQOCPED-UHFFFAOYSA-N 0 2 315.337 0.893 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)O1 ZINC001604609499 1169630296 /nfs/dbraw/zinc/63/02/96/1169630296.db2.gz AVURPTORAGVLEP-KOLCDFICSA-N 0 2 318.395 0.100 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)O1 ZINC001604609499 1169630297 /nfs/dbraw/zinc/63/02/97/1169630297.db2.gz AVURPTORAGVLEP-KOLCDFICSA-N 0 2 318.395 0.100 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CCN1C(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC001604627237 1169637419 /nfs/dbraw/zinc/63/74/19/1169637419.db2.gz PTXRSMGTEKMYDN-RYUDHWBXSA-N 0 2 322.365 0.101 20 0 DCADLN C[C@H]1C[N@H+](Cc2oncc2C(=O)[O-])CCN1c1cnccn1 ZINC001604803740 1169691175 /nfs/dbraw/zinc/69/11/75/1169691175.db2.gz VTAMJJZMOCQZNR-JTQLQIEISA-N 0 2 303.322 0.874 20 0 DCADLN C[C@H]1C[N@@H+](Cc2oncc2C(=O)[O-])CCN1c1cnccn1 ZINC001604803740 1169691177 /nfs/dbraw/zinc/69/11/77/1169691177.db2.gz VTAMJJZMOCQZNR-JTQLQIEISA-N 0 2 303.322 0.874 20 0 DCADLN CO[C@H](Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O)[C@@H]1CCOC1 ZINC001605012725 1169778619 /nfs/dbraw/zinc/77/86/19/1169778619.db2.gz RASGUQSCCQDKDZ-GHMZBOCLSA-N 0 2 320.353 0.092 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CSC(C)C)c(=O)n1C1CC1 ZINC001605465917 1169930124 /nfs/dbraw/zinc/93/01/24/1169930124.db2.gz YBRIDBYNWKKTEK-UHFFFAOYSA-N 0 2 322.394 0.933 20 0 DCADLN CCn1nccc1C[N@H+](CCO)Cc1cc(C(=O)[O-])n(C)c1 ZINC001605945105 1170030759 /nfs/dbraw/zinc/03/07/59/1170030759.db2.gz JSEZMRDWENOOQF-UHFFFAOYSA-N 0 2 306.366 0.934 20 0 DCADLN CCn1nccc1C[N@@H+](CCO)Cc1cc(C(=O)[O-])n(C)c1 ZINC001605945105 1170030766 /nfs/dbraw/zinc/03/07/66/1170030766.db2.gz JSEZMRDWENOOQF-UHFFFAOYSA-N 0 2 306.366 0.934 20 0 DCADLN C[N@H+](CN1C[C@@H](C(=O)[O-])OC1=O)C[C@]1(O)CCc2ccccc21 ZINC001606142808 1170093366 /nfs/dbraw/zinc/09/33/66/1170093366.db2.gz IBAFFOUDAMXWIN-XJKSGUPXSA-N 0 2 320.345 0.615 20 0 DCADLN C[N@@H+](CN1C[C@@H](C(=O)[O-])OC1=O)C[C@]1(O)CCc2ccccc21 ZINC001606142808 1170093370 /nfs/dbraw/zinc/09/33/70/1170093370.db2.gz IBAFFOUDAMXWIN-XJKSGUPXSA-N 0 2 320.345 0.615 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1CCC[NH+]1CCOCC1 ZINC001606372841 1170174100 /nfs/dbraw/zinc/17/41/00/1170174100.db2.gz IIFXEJRDMRNNPV-STQMWFEESA-N 0 2 314.382 0.047 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2nnc(C(C)C)s2)C[C@@H]1C(=O)[O-] ZINC001606430943 1170198796 /nfs/dbraw/zinc/19/87/96/1170198796.db2.gz FTNIKAWJUODROM-DTWKUNHWSA-N 0 2 313.379 0.967 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2nnc(C(C)C)s2)C[C@@H]1C(=O)[O-] ZINC001606430943 1170198797 /nfs/dbraw/zinc/19/87/97/1170198797.db2.gz FTNIKAWJUODROM-DTWKUNHWSA-N 0 2 313.379 0.967 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001606505900 1170223191 /nfs/dbraw/zinc/22/31/91/1170223191.db2.gz MQJJCNJNVFVPQG-HOSYDEDBSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001606505900 1170223194 /nfs/dbraw/zinc/22/31/94/1170223194.db2.gz MQJJCNJNVFVPQG-HOSYDEDBSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@]1([NH2+]CCC(=O)NCC(=O)[O-])CC[C@@H](C)CC1 ZINC001606520516 1170226453 /nfs/dbraw/zinc/22/64/53/1170226453.db2.gz DEHKJFMJEWPHEB-YPFXGUDJSA-N 0 2 300.355 0.289 20 0 DCADLN COC(=O)c1cccc(C[N@H+]2CC[C@@H](OC)C[C@@H]2C(=O)[O-])n1 ZINC001606615804 1170243613 /nfs/dbraw/zinc/24/36/13/1170243613.db2.gz ADHCUAJCXLSTRR-DGCLKSJQSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc(C[N@@H+]2CC[C@@H](OC)C[C@@H]2C(=O)[O-])n1 ZINC001606615804 1170243617 /nfs/dbraw/zinc/24/36/17/1170243617.db2.gz ADHCUAJCXLSTRR-DGCLKSJQSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc(C[N@H+]2CC[C@@H](OC)C[C@H]2C(=O)[O-])n1 ZINC001606615806 1170243837 /nfs/dbraw/zinc/24/38/37/1170243837.db2.gz ADHCUAJCXLSTRR-YPMHNXCESA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc(C[N@@H+]2CC[C@@H](OC)C[C@H]2C(=O)[O-])n1 ZINC001606615806 1170243840 /nfs/dbraw/zinc/24/38/40/1170243840.db2.gz ADHCUAJCXLSTRR-YPMHNXCESA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1coc(C[N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)c1 ZINC001606630565 1170247496 /nfs/dbraw/zinc/24/74/96/1170247496.db2.gz IOKCGMIGJRXEPY-AWEZNQCLSA-N 0 2 308.290 0.872 20 0 DCADLN COC(=O)c1coc(C[N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)c1 ZINC001606630565 1170247502 /nfs/dbraw/zinc/24/75/02/1170247502.db2.gz IOKCGMIGJRXEPY-AWEZNQCLSA-N 0 2 308.290 0.872 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1CCOc1cccc(F)c1 ZINC001607089602 1170387925 /nfs/dbraw/zinc/38/79/25/1170387925.db2.gz CINFBOLNOCYTEO-UHFFFAOYSA-N 0 2 302.269 0.642 20 0 DCADLN COc1cc(CCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])on1 ZINC001607155414 1170411210 /nfs/dbraw/zinc/41/12/10/1170411210.db2.gz HSMGAYFNGDLPKK-JTQLQIEISA-N 0 2 308.294 0.151 20 0 DCADLN COc1cc(CCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])on1 ZINC001607155414 1170411212 /nfs/dbraw/zinc/41/12/12/1170411212.db2.gz HSMGAYFNGDLPKK-JTQLQIEISA-N 0 2 308.294 0.151 20 0 DCADLN COc1ccc(F)cc1CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001607266967 1170420334 /nfs/dbraw/zinc/42/03/34/1170420334.db2.gz HTNHFNWBLTTZMJ-LBPRGKRZSA-N 0 2 321.308 0.843 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2ccc(C(=O)[O-])cn2)CC1 ZINC001607385880 1170443116 /nfs/dbraw/zinc/44/31/16/1170443116.db2.gz XQHGUVBBHMOPQC-OAQYLSRUSA-N 0 2 311.407 0.276 20 0 DCADLN Cc1cc(C[N@H+](C)C[C@@H]2CCS(=O)(=O)C2)[nH]c1C(=O)[O-] ZINC001607636212 1170486845 /nfs/dbraw/zinc/48/68/45/1170486845.db2.gz OFSGEGNZVOTLIE-JTQLQIEISA-N 0 2 300.380 0.888 20 0 DCADLN Cc1cc(C[N@@H+](C)C[C@@H]2CCS(=O)(=O)C2)[nH]c1C(=O)[O-] ZINC001607636212 1170486847 /nfs/dbraw/zinc/48/68/47/1170486847.db2.gz OFSGEGNZVOTLIE-JTQLQIEISA-N 0 2 300.380 0.888 20 0 DCADLN Cc1cc(S(=O)(=O)NCCCn2cc[nH+]c2)oc1C(=O)[O-] ZINC001607707873 1170499506 /nfs/dbraw/zinc/49/95/06/1170499506.db2.gz UWYNJTZTCVGWBU-UHFFFAOYSA-N 0 2 313.335 0.851 20 0 DCADLN Cc1ccc([C@@H]2COCC[N@@H+]2CCc2cn(CC(=O)[O-])nn2)o1 ZINC001607768133 1170503537 /nfs/dbraw/zinc/50/35/37/1170503537.db2.gz JXWXQHIWVNSCHS-ZDUSSCGKSA-N 0 2 320.349 0.880 20 0 DCADLN Cc1ccc([C@@H]2COCC[N@H+]2CCc2cn(CC(=O)[O-])nn2)o1 ZINC001607768133 1170503544 /nfs/dbraw/zinc/50/35/44/1170503544.db2.gz JXWXQHIWVNSCHS-ZDUSSCGKSA-N 0 2 320.349 0.880 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@H+](Cn3cc(C(=O)[O-])nn3)C2)n1 ZINC001608025880 1170551743 /nfs/dbraw/zinc/55/17/43/1170551743.db2.gz PCAJRFQENONXPC-SNVBAGLBSA-N 0 2 306.326 0.580 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@@H+](Cn3cc(C(=O)[O-])nn3)C2)n1 ZINC001608025880 1170551749 /nfs/dbraw/zinc/55/17/49/1170551749.db2.gz PCAJRFQENONXPC-SNVBAGLBSA-N 0 2 306.326 0.580 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC001608399085 1170668956 /nfs/dbraw/zinc/66/89/56/1170668956.db2.gz RHHSFGKJWKSCAX-ZDUSSCGKSA-N 0 2 310.325 0.671 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1nc(Cl)cs1 ZINC001608403982 1170669983 /nfs/dbraw/zinc/66/99/83/1170669983.db2.gz VYDSWELDZUNIAU-ZCFIWIBFSA-N 0 2 300.727 0.876 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)C1=Cc2ccccc2OC1 ZINC001608402161 1170670172 /nfs/dbraw/zinc/67/01/72/1170670172.db2.gz GTNXCGDHSZWDTI-ZDUSSCGKSA-N 0 2 313.313 0.929 20 0 DCADLN O=C([O-])C1(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)CCOCC1 ZINC001608429968 1170676842 /nfs/dbraw/zinc/67/68/42/1170676842.db2.gz JZOJIUJMLOIHHS-NSHDSACASA-N 0 2 307.350 0.443 20 0 DCADLN O=C([O-])c1ccc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cn1 ZINC001608445409 1170680830 /nfs/dbraw/zinc/68/08/30/1170680830.db2.gz NHHBZNAQCYWWSQ-TXEJJXNPSA-N 0 2 320.349 0.765 20 0 DCADLN O=C([O-])c1ccc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cn1 ZINC001608445409 1170680832 /nfs/dbraw/zinc/68/08/32/1170680832.db2.gz NHHBZNAQCYWWSQ-TXEJJXNPSA-N 0 2 320.349 0.765 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc2n(n1)CCCC2=O ZINC001608486155 1170689145 /nfs/dbraw/zinc/68/91/45/1170689145.db2.gz VPMUZFJJFOYCLB-JTQLQIEISA-N 0 2 317.305 0.008 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc2n(n1)CCCC2=O ZINC001608486155 1170689146 /nfs/dbraw/zinc/68/91/46/1170689146.db2.gz VPMUZFJJFOYCLB-JTQLQIEISA-N 0 2 317.305 0.008 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CC[N@H+](CC(=O)N3CCCCCC3)C2)C1 ZINC001608590049 1170709865 /nfs/dbraw/zinc/70/98/65/1170709865.db2.gz VZXHDHGAJZGFQX-HNNXBMFYSA-N 0 2 309.366 0.694 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CC[N@@H+](CC(=O)N3CCCCCC3)C2)C1 ZINC001608590049 1170709867 /nfs/dbraw/zinc/70/98/67/1170709867.db2.gz VZXHDHGAJZGFQX-HNNXBMFYSA-N 0 2 309.366 0.694 20 0 DCADLN O=C([O-])[C@H]1[C@H](C[NH+]2CCN(S(=O)(=O)C3CC3)CC2)C1(F)F ZINC001608599243 1170712352 /nfs/dbraw/zinc/71/23/52/1170712352.db2.gz RNONSMKCRSRECW-VHSXEESVSA-N 0 2 324.349 0.062 20 0 DCADLN O=C([O-])[C@@H]1CCN2C(=O)N([C@H]3CCn4cc[nH+]c4C3)C(=O)[C@H]2C1 ZINC001608640365 1170728251 /nfs/dbraw/zinc/72/82/51/1170728251.db2.gz RWSVVNLSRGEROO-OUAUKWLOSA-N 0 2 318.333 0.325 20 0 DCADLN O=C([O-])Cc1nc(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)n[nH]1 ZINC001608793407 1170754836 /nfs/dbraw/zinc/75/48/36/1170754836.db2.gz RCMRGHWMWDXRJG-UHFFFAOYSA-N 0 2 313.277 0.265 20 0 DCADLN O=C([O-])Cn1ccc(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)n1 ZINC001608798928 1170756523 /nfs/dbraw/zinc/75/65/23/1170756523.db2.gz FQINVFREAVRZQH-SECBINFHSA-N 0 2 304.310 0.301 20 0 DCADLN O=C([O-])c1c(F)ccc(S(=O)(=O)NCC[NH+]2CCC2)c1F ZINC001608827970 1170762399 /nfs/dbraw/zinc/76/23/99/1170762399.db2.gz HFFNVRIZLMNQMZ-UHFFFAOYSA-N 0 2 320.317 0.647 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CCC[C@H]3OCCNC(=O)[C@@H]32)s1 ZINC001608882052 1170768553 /nfs/dbraw/zinc/76/85/53/1170768553.db2.gz DVDDMSMIFRSCHC-ZYHUDNBSSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CCC[C@H]3OCCNC(=O)[C@@H]32)s1 ZINC001608882052 1170768559 /nfs/dbraw/zinc/76/85/59/1170768559.db2.gz DVDDMSMIFRSCHC-ZYHUDNBSSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1cn(C2C[NH+](C[C@@H](O)COc3ccccc3)C2)nn1 ZINC001608963122 1170776517 /nfs/dbraw/zinc/77/65/17/1170776517.db2.gz XBXYZZVXRQENOA-GFCCVEGCSA-N 0 2 318.333 0.273 20 0 DCADLN O=C([O-])c1cn(C[N@H+]2CCC[C@@](O)(C(F)(F)F)CC2)nn1 ZINC001608965131 1170777287 /nfs/dbraw/zinc/77/72/87/1170777287.db2.gz ZYKRJUNATBXYQS-JTQLQIEISA-N 0 2 308.260 0.713 20 0 DCADLN O=C([O-])c1cn(C[N@@H+]2CCC[C@@](O)(C(F)(F)F)CC2)nn1 ZINC001608965131 1170777293 /nfs/dbraw/zinc/77/72/93/1170777293.db2.gz ZYKRJUNATBXYQS-JTQLQIEISA-N 0 2 308.260 0.713 20 0 DCADLN C[C@@H](Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O)C1CCCCC1 ZINC001609116379 1170816516 /nfs/dbraw/zinc/81/65/16/1170816516.db2.gz JUDLMUPGOJMPJU-JTQLQIEISA-N 0 2 318.381 0.944 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1Cc2ccc(O)cc2C2(CC2)C1 ZINC001609634537 1171058026 /nfs/dbraw/zinc/05/80/26/1171058026.db2.gz HUXAXTVMUWIFAW-JTQLQIEISA-N 0 2 304.346 0.829 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1Cc2ccc(O)cc2C2(CC2)C1 ZINC001609634537 1171058033 /nfs/dbraw/zinc/05/80/33/1171058033.db2.gz HUXAXTVMUWIFAW-JTQLQIEISA-N 0 2 304.346 0.829 20 0 DCADLN CC(C)(C(=O)[O-])[NH+]1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001609650208 1171060131 /nfs/dbraw/zinc/06/01/31/1171060131.db2.gz HHYTYJQWMWSLOW-SSDOTTSWSA-N 0 2 300.252 0.894 20 0 DCADLN CC(C)[C@H]([NH2+]CC(=O)NCCC(=O)[O-])c1nc(C2CC2)no1 ZINC001609686767 1171067111 /nfs/dbraw/zinc/06/71/11/1171067111.db2.gz ZPPRUBWDCFKRCH-LBPRGKRZSA-N 0 2 310.354 0.825 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1CO)C(=O)[O-] ZINC001609716384 1171073309 /nfs/dbraw/zinc/07/33/09/1171073309.db2.gz GSUPFMMERYVAJK-HOCLYGCPSA-N 0 2 320.389 0.804 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCc2ccccc2[C@@H]1CO)C(=O)[O-] ZINC001609716384 1171073316 /nfs/dbraw/zinc/07/33/16/1171073316.db2.gz GSUPFMMERYVAJK-HOCLYGCPSA-N 0 2 320.389 0.804 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@H+](CN2C[C@@H](C(=O)[O-])OC2=O)C1 ZINC001610014003 1171140253 /nfs/dbraw/zinc/14/02/53/1171140253.db2.gz LFRQIRKHUDQYFQ-UWVGGRQHSA-N 0 2 300.311 0.124 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@@H+](CN2C[C@@H](C(=O)[O-])OC2=O)C1 ZINC001610014003 1171140259 /nfs/dbraw/zinc/14/02/59/1171140259.db2.gz LFRQIRKHUDQYFQ-UWVGGRQHSA-N 0 2 300.311 0.124 20 0 DCADLN C[N@H+](CCOCc1ccccc1)CN1C[C@@H](C(=O)[O-])OC1=O ZINC001610118397 1171164089 /nfs/dbraw/zinc/16/40/89/1171164089.db2.gz LECORZKPCQHCNU-ZDUSSCGKSA-N 0 2 308.334 0.998 20 0 DCADLN C[N@@H+](CCOCc1ccccc1)CN1C[C@@H](C(=O)[O-])OC1=O ZINC001610118397 1171164091 /nfs/dbraw/zinc/16/40/91/1171164091.db2.gz LECORZKPCQHCNU-ZDUSSCGKSA-N 0 2 308.334 0.998 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCn1ccccc1=O ZINC001610170770 1171184843 /nfs/dbraw/zinc/18/48/43/1171184843.db2.gz XTPCTGZQKKGFDI-NWDGAFQWSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCn1ccccc1=O ZINC001610170770 1171184847 /nfs/dbraw/zinc/18/48/47/1171184847.db2.gz XTPCTGZQKKGFDI-NWDGAFQWSA-N 0 2 308.334 0.187 20 0 DCADLN COc1ccccc1CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001610364414 1171224402 /nfs/dbraw/zinc/22/44/02/1171224402.db2.gz DATKOEDUMLLHLW-LBPRGKRZSA-N 0 2 303.318 0.704 20 0 DCADLN Cc1ccc([C@H](CC(=O)[O-])NC(=O)CN2CC[NH2+]CC2=O)cc1 ZINC001610493950 1171243558 /nfs/dbraw/zinc/24/35/58/1171243558.db2.gz UMKLUWYLQADWLF-ZDUSSCGKSA-N 0 2 319.361 0.059 20 0 DCADLN Cn1cc(-c2cc(C[N@@H+]3CCC[C@@H](O)[C@H]3C(=O)[O-])on2)cn1 ZINC001610599398 1171262520 /nfs/dbraw/zinc/26/25/20/1171262520.db2.gz FFAVQBIYTIMBJR-OLZOCXBDSA-N 0 2 306.322 0.485 20 0 DCADLN Cn1cc(-c2cc(C[N@H+]3CCC[C@@H](O)[C@H]3C(=O)[O-])on2)cn1 ZINC001610599398 1171262527 /nfs/dbraw/zinc/26/25/27/1171262527.db2.gz FFAVQBIYTIMBJR-OLZOCXBDSA-N 0 2 306.322 0.485 20 0 DCADLN O=C([O-])[C@@H](O)CNC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC001610675702 1171288195 /nfs/dbraw/zinc/28/81/95/1171288195.db2.gz UJUNSUBYIWUTKS-ZDUSSCGKSA-N 0 2 303.318 0.036 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)COc1ccc(O)cc1 ZINC001610671980 1171288233 /nfs/dbraw/zinc/28/82/33/1171288233.db2.gz ZDDQIEPBXOLDHU-GFCCVEGCSA-N 0 2 305.290 0.237 20 0 DCADLN O=C([O-])C1(O)CC[NH+](Cc2cnn(-c3ccccc3)n2)CC1 ZINC001610730130 1171299799 /nfs/dbraw/zinc/29/97/99/1171299799.db2.gz RCHRWNBGHHGLIF-UHFFFAOYSA-N 0 2 302.334 0.679 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001610732746 1171301741 /nfs/dbraw/zinc/30/17/41/1171301741.db2.gz HGEBUSBXBQTGTO-UONOGXRCSA-N 0 2 315.329 0.922 20 0 DCADLN O=C([O-])CNC(=O)CC[N@@H+]1CCSC[C@H]1c1nccs1 ZINC001610782028 1171323817 /nfs/dbraw/zinc/32/38/17/1171323817.db2.gz XNNVTMNIMVPGHO-VIFPVBQESA-N 0 2 315.420 0.824 20 0 DCADLN O=C([O-])CNC(=O)CC[N@H+]1CCSC[C@H]1c1nccs1 ZINC001610782028 1171323823 /nfs/dbraw/zinc/32/38/23/1171323823.db2.gz XNNVTMNIMVPGHO-VIFPVBQESA-N 0 2 315.420 0.824 20 0 DCADLN O=C([O-])[C@@H]1CN(CN2CC[C@@H](Oc3cc[nH+]cc3)C2)C(=O)O1 ZINC001610802318 1171329777 /nfs/dbraw/zinc/32/97/77/1171329777.db2.gz CUMQFPQNUHVBOE-NEPJUHHUSA-N 0 2 307.306 0.398 20 0 DCADLN O=C([O-])c1n[nH]c2c1C[N@H+](C[C@@H]1CN(C3CC3)C(=O)O1)CC2 ZINC001610871331 1171345783 /nfs/dbraw/zinc/34/57/83/1171345783.db2.gz QFBXDZSEHBXKRW-SECBINFHSA-N 0 2 306.322 0.449 20 0 DCADLN O=C([O-])c1n[nH]c2c1C[N@@H+](C[C@@H]1CN(C3CC3)C(=O)O1)CC2 ZINC001610871331 1171345792 /nfs/dbraw/zinc/34/57/92/1171345792.db2.gz QFBXDZSEHBXKRW-SECBINFHSA-N 0 2 306.322 0.449 20 0 DCADLN COC[C@@H](C)NC(=S)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000011094044 1171364538 /nfs/dbraw/zinc/36/45/38/1171364538.db2.gz ISCDTPXIGSQATM-MRVPVSSYSA-N 0 2 311.411 0.432 20 0 DCADLN C[C@H](C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1)n1cncn1 ZINC000027585619 1171413090 /nfs/dbraw/zinc/41/30/90/1171413090.db2.gz HAHGWKMTKMDLJY-MRVPVSSYSA-N 0 2 318.362 0.796 20 0 DCADLN COc1cc(NS(=O)(=O)c2ccc(N)cc2)c(OC)nn1 ZINC000031392177 1171419439 /nfs/dbraw/zinc/41/94/39/1171419439.db2.gz HSPPDULLZBLHRE-UHFFFAOYSA-N 0 2 310.335 0.877 20 0 DCADLN Cc1ccc2ncccc2c1S(=O)(=O)Nc1nnn(C)n1 ZINC001622523410 1171425891 /nfs/dbraw/zinc/42/58/91/1171425891.db2.gz NMAFKPSXGTUNKT-UHFFFAOYSA-N 0 2 304.335 0.868 20 0 DCADLN O=C(NCc1ccco1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001624131730 1171449485 /nfs/dbraw/zinc/44/94/85/1171449485.db2.gz FCFNFTIHBNAFEP-UHFFFAOYSA-N 0 2 300.274 0.789 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1c(C(F)F)nc2cnccn21 ZINC001630799511 1171582016 /nfs/dbraw/zinc/58/20/16/1171582016.db2.gz BPCLTBZTZGNIRN-UHFFFAOYSA-N 0 2 309.236 0.421 20 0 DCADLN C[C@@H]1CN(CCO)CC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC001643406961 1171975402 /nfs/dbraw/zinc/97/54/02/1171975402.db2.gz KEVCMAFQUCBWCT-CQSZACIVSA-N 0 2 307.394 0.233 20 0 DCADLN C[C@@H]1CN(CCO)CC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC001643406961 1171975407 /nfs/dbraw/zinc/97/54/07/1171975407.db2.gz KEVCMAFQUCBWCT-CQSZACIVSA-N 0 2 307.394 0.233 20 0 DCADLN CCC/C=C\[C@H](O)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001645355069 1172039807 /nfs/dbraw/zinc/03/98/07/1172039807.db2.gz LJSGJSZJIPNWPY-ZADCQDASSA-N 0 2 309.366 0.914 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)N[C@@H]1CNOC1 ZINC001646561162 1172424877 /nfs/dbraw/zinc/42/48/77/1172424877.db2.gz RGTKQKVMFDNBQP-SSDOTTSWSA-N 0 2 309.351 0.442 20 0 DCADLN CCc1nc([C@@H](C)NC(=O)NC[C@H](C)N2CC[NH+](C)CC2)n[nH]1 ZINC001646971265 1172611815 /nfs/dbraw/zinc/61/18/15/1172611815.db2.gz KQRNUWVGWYFOSM-NWDGAFQWSA-N 0 2 323.445 0.363 20 0 DCADLN C[C@H](c1ccccc1)[N@H+](CC(N)=O)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001647279927 1172781476 /nfs/dbraw/zinc/78/14/76/1172781476.db2.gz SLGNWVFGESQAND-YPMHNXCESA-N 0 2 319.361 0.220 20 0 DCADLN C[C@H](c1ccccc1)[N@@H+](CC(N)=O)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001647279927 1172781480 /nfs/dbraw/zinc/78/14/80/1172781480.db2.gz SLGNWVFGESQAND-YPMHNXCESA-N 0 2 319.361 0.220 20 0 DCADLN C[C@@H](NC(=O)c1ccnc2c1ncn2C)c1nn(C)cc1O ZINC001653246025 1173784216 /nfs/dbraw/zinc/78/42/16/1173784216.db2.gz XLNPKBFMSAUORC-MRVPVSSYSA-N 0 2 300.322 0.898 20 0 DCADLN Cn1cc(-c2ccc(C(=O)NCCCc3n[nH]c(=O)[nH]3)o2)cn1 ZINC001654365971 1173803762 /nfs/dbraw/zinc/80/37/62/1173803762.db2.gz JJMAHSHTZXGFBY-UHFFFAOYSA-N 0 2 316.321 0.866 20 0 DCADLN C[C@]1(NC(=O)C=Cc2ccco2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001671608062 1175020642 /nfs/dbraw/zinc/02/06/42/1175020642.db2.gz FTPFTYAWRJSUDY-RGDDUWESSA-N 0 2 317.349 0.897 20 0 DCADLN C[C@]1(NC(=O)C=Cc2ccco2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001671608062 1175020648 /nfs/dbraw/zinc/02/06/48/1175020648.db2.gz FTPFTYAWRJSUDY-RGDDUWESSA-N 0 2 317.349 0.897 20 0 DCADLN Cn1ccc(CC(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001687005269 1176264171 /nfs/dbraw/zinc/26/41/71/1176264171.db2.gz GRQAXBBIKYKZIJ-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN Cn1ccc(CC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001687005269 1176264176 /nfs/dbraw/zinc/26/41/76/1176264176.db2.gz GRQAXBBIKYKZIJ-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN C[C@H](CNC(=O)C(C)(C)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001689418294 1176885106 /nfs/dbraw/zinc/88/51/06/1176885106.db2.gz WWQJZYUPZARTHX-PHDIDXHHSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@H](CNC(=O)C(C)(C)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001689418294 1176885111 /nfs/dbraw/zinc/88/51/11/1176885111.db2.gz WWQJZYUPZARTHX-PHDIDXHHSA-N 0 2 315.267 0.019 20 0 DCADLN CCC1(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001701056772 1178911182 /nfs/dbraw/zinc/91/11/82/1178911182.db2.gz SIGPQIDTTXZTJM-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN CCC1(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001701056772 1178911186 /nfs/dbraw/zinc/91/11/86/1178911186.db2.gz SIGPQIDTTXZTJM-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN Cc1nnsc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001703099569 1179290132 /nfs/dbraw/zinc/29/01/32/1179290132.db2.gz DOHXCTZIHFCCML-ZCFIWIBFSA-N 0 2 314.264 0.593 20 0 DCADLN Cc1nnsc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703099569 1179290141 /nfs/dbraw/zinc/29/01/41/1179290141.db2.gz DOHXCTZIHFCCML-ZCFIWIBFSA-N 0 2 314.264 0.593 20 0 DCADLN COCCCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001705122444 1180269225 /nfs/dbraw/zinc/26/92/25/1180269225.db2.gz NPHKCZNMFAQVMZ-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN COCCCC(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001705122444 1180269229 /nfs/dbraw/zinc/26/92/29/1180269229.db2.gz NPHKCZNMFAQVMZ-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN COCCCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001705122443 1180269409 /nfs/dbraw/zinc/26/94/09/1180269409.db2.gz NPHKCZNMFAQVMZ-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001705322603 1180342113 /nfs/dbraw/zinc/34/21/13/1180342113.db2.gz FCCAVXUALYBSMD-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN O=C(Cc1ccc(S)cc1)NCCC(=O)N1CCOCC1 ZINC000236675556 1180688760 /nfs/dbraw/zinc/68/87/60/1180688760.db2.gz MMTWHGZTQKUTFN-UHFFFAOYSA-N 0 2 308.403 0.883 20 0 DCADLN CC[N@H+](CCNC(=O)C=Cc1ccc[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001714580973 1181425760 /nfs/dbraw/zinc/42/57/60/1181425760.db2.gz QFPMNLHZNYTSLC-WAYWQWQTSA-N 0 2 304.354 0.490 20 0 DCADLN CC[N@@H+](CCNC(=O)C=Cc1ccc[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001714580973 1181425765 /nfs/dbraw/zinc/42/57/65/1181425765.db2.gz QFPMNLHZNYTSLC-WAYWQWQTSA-N 0 2 304.354 0.490 20 0 DCADLN CCN(CCNCc1nnn(C)n1)C(=O)C(F)C(F)(F)F ZINC001732776548 1185962216 /nfs/dbraw/zinc/96/22/16/1185962216.db2.gz ISQFTDGRVUFGHT-MRVPVSSYSA-N 0 2 312.271 0.049 20 0 DCADLN CCN(CCNCc1nnn(C)n1)C(=O)[C@@H](F)C(F)(F)F ZINC001732776548 1185962218 /nfs/dbraw/zinc/96/22/18/1185962218.db2.gz ISQFTDGRVUFGHT-MRVPVSSYSA-N 0 2 312.271 0.049 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)Cc1nncn1C ZINC001751978489 1188370840 /nfs/dbraw/zinc/37/08/40/1188370840.db2.gz BRVGUTBMZJWAOH-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN COCCC[NH+]1CC2(C1)CCN(C(=O)c1[nH]nc(C)c1[O-])CC2 ZINC001753672844 1188638199 /nfs/dbraw/zinc/63/81/99/1188638199.db2.gz OAXFSDVLWVSJLC-UHFFFAOYSA-N 0 2 322.409 0.998 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+][C@H](C)c2ncccn2)c1[O-] ZINC001754113143 1188744395 /nfs/dbraw/zinc/74/43/95/1188744395.db2.gz USNGBIZSHVEHJC-DLRQAJBASA-N 0 2 316.365 0.851 20 0 DCADLN CCCSCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001755376092 1189095308 /nfs/dbraw/zinc/09/53/08/1189095308.db2.gz WRWARUYBUDVONI-SECBINFHSA-N 0 2 313.427 0.590 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cncnc1 ZINC001758159144 1189760182 /nfs/dbraw/zinc/76/01/82/1189760182.db2.gz IMTQRZLDOZIOIY-QMMMGPOBSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1cncnc1 ZINC001758159144 1189760184 /nfs/dbraw/zinc/76/01/84/1189760184.db2.gz IMTQRZLDOZIOIY-QMMMGPOBSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(Cc1cnoc1)NCCCNC(=O)C(F)C(F)(F)F ZINC001758191676 1189774854 /nfs/dbraw/zinc/77/48/54/1189774854.db2.gz QCFHCHWRHPYOGF-VIFPVBQESA-N 0 2 311.235 0.740 20 0 DCADLN O=C(Cc1cnoc1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001758191676 1189774861 /nfs/dbraw/zinc/77/48/61/1189774861.db2.gz QCFHCHWRHPYOGF-VIFPVBQESA-N 0 2 311.235 0.740 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cncnc1 ZINC001758386207 1189864345 /nfs/dbraw/zinc/86/43/45/1189864345.db2.gz JKRUXVYPBNEIBG-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cncnc1 ZINC001758386207 1189864348 /nfs/dbraw/zinc/86/43/48/1189864348.db2.gz JKRUXVYPBNEIBG-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN Cc1nonc1C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001759719010 1190278799 /nfs/dbraw/zinc/27/87/99/1190278799.db2.gz SIYFXOJRFJRRPU-YAJNLLPGSA-N 0 2 324.234 0.681 20 0 DCADLN Cc1nonc1C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001759719010 1190278803 /nfs/dbraw/zinc/27/88/03/1190278803.db2.gz SIYFXOJRFJRRPU-YAJNLLPGSA-N 0 2 324.234 0.681 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1ccc2c(c1)CC(=O)N2C ZINC000314723010 1190403160 /nfs/dbraw/zinc/40/31/60/1190403160.db2.gz MCGUKIJBTJUVPA-UHFFFAOYSA-N 0 2 320.374 0.716 20 0 DCADLN COc1cccc(F)c1C[NH2+]C[C@H](O)CN1CC[NH+](C)CC1 ZINC001772038551 1190417017 /nfs/dbraw/zinc/41/70/17/1190417017.db2.gz AVVOMMCKWIVDCL-ZDUSSCGKSA-N 0 2 311.401 0.532 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N[C@@H](CCF)C(=O)[O-] ZINC001771917649 1190554779 /nfs/dbraw/zinc/55/47/79/1190554779.db2.gz HYSQWRWZADVHOU-JTQLQIEISA-N 0 2 305.350 0.209 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N[C@@H](CCF)C(=O)[O-] ZINC001771917649 1190554781 /nfs/dbraw/zinc/55/47/81/1190554781.db2.gz HYSQWRWZADVHOU-JTQLQIEISA-N 0 2 305.350 0.209 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C1CCOCC1 ZINC001771919699 1190556212 /nfs/dbraw/zinc/55/62/12/1190556212.db2.gz VALSNEQOKYAZKD-DGCLKSJQSA-N 0 2 307.350 0.442 20 0 DCADLN C[C@H](C(=O)N[C@H](C(=O)[O-])c1ccccn1)[NH+]1CCSCC1 ZINC001771921570 1190557779 /nfs/dbraw/zinc/55/77/79/1190557779.db2.gz OZBCYOJLHUFEKX-PWSUYJOCSA-N 0 2 309.391 0.761 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C1(C(=O)[O-])CCOCC1 ZINC001772040757 1190597935 /nfs/dbraw/zinc/59/79/35/1190597935.db2.gz JCZLMKTXYNEFDL-NEPJUHHUSA-N 0 2 321.377 0.832 20 0 DCADLN CC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CCCCC1 ZINC001083750545 751348062 /nfs/dbraw/zinc/34/80/62/751348062.db2.gz BRYWZQREXYHIEE-MNOVXSKESA-N 0 2 323.397 0.142 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H]2CCC(C)(C)C[N@@H+]2CC(N)=O)c1C ZINC001089619449 751489073 /nfs/dbraw/zinc/48/90/73/751489073.db2.gz QQWWWCLBOBDKOW-LBPRGKRZSA-N 0 2 321.425 0.732 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2coc(-c3cccnc3)n2)C(=O)N1C ZINC001167405508 747163009 /nfs/dbraw/zinc/16/30/09/747163009.db2.gz SRLNRQZSEZAGCI-MRVPVSSYSA-N 0 2 315.289 0.664 20 0 DCADLN CN(C(=O)[C@]12CCC[C@H]1OCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043320038 752177808 /nfs/dbraw/zinc/17/78/08/752177808.db2.gz KNEIJITUNWXESY-ABAIWWIYSA-N 0 2 321.381 0.112 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C(C)(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043322043 752178560 /nfs/dbraw/zinc/17/85/60/752178560.db2.gz URFJVCITCHDSCH-GHMZBOCLSA-N 0 2 307.398 0.835 20 0 DCADLN CCN(C(=O)c1cncc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949874579 747679952 /nfs/dbraw/zinc/67/99/52/747679952.db2.gz RDKGDVONQUKXCV-UHFFFAOYSA-N 0 2 320.328 0.391 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccc[nH]2)C1)C(F)C(F)(F)F ZINC001043378552 752203547 /nfs/dbraw/zinc/20/35/47/752203547.db2.gz ZEZADZFBDXCEEJ-QMMMGPOBSA-N 0 2 323.246 0.218 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccc[nH]2)C1)[C@H](F)C(F)(F)F ZINC001043378552 752203552 /nfs/dbraw/zinc/20/35/52/752203552.db2.gz ZEZADZFBDXCEEJ-QMMMGPOBSA-N 0 2 323.246 0.218 20 0 DCADLN CC1(C(=O)NCC2(O)CN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC001043384442 752207453 /nfs/dbraw/zinc/20/74/53/752207453.db2.gz HJBZDXKHOXXRFR-SSDOTTSWSA-N 0 2 312.263 0.376 20 0 DCADLN CC1(C(=O)NCC2(O)CN(C(=O)[C@@H](F)C(F)(F)F)C2)CC1 ZINC001043384442 752207458 /nfs/dbraw/zinc/20/74/58/752207458.db2.gz HJBZDXKHOXXRFR-SSDOTTSWSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)c1cc[nH]c1 ZINC001043395133 752216353 /nfs/dbraw/zinc/21/63/53/752216353.db2.gz NMECJHDRYCSNSB-QMMMGPOBSA-N 0 2 323.246 0.218 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cc[nH]c1 ZINC001043395133 752216360 /nfs/dbraw/zinc/21/63/60/752216360.db2.gz NMECJHDRYCSNSB-QMMMGPOBSA-N 0 2 323.246 0.218 20 0 DCADLN COC(=[NH2+])c1cccc(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)c1 ZINC001167861615 748445493 /nfs/dbraw/zinc/44/54/93/748445493.db2.gz MJCPAIWRXAAXNW-XVKPBYJWSA-N 0 2 313.335 0.472 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ncoc2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087516055 748913103 /nfs/dbraw/zinc/91/31/03/748913103.db2.gz KJGKYPQUFNAMTL-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ncoc2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087516055 748913110 /nfs/dbraw/zinc/91/31/10/748913110.db2.gz KJGKYPQUFNAMTL-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cn(C)cn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087541370 748953879 /nfs/dbraw/zinc/95/38/79/748953879.db2.gz OBCKGLNMOKKFTR-GXSJLCMTSA-N 0 2 319.369 0.027 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cn(C)cn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087541370 748953885 /nfs/dbraw/zinc/95/38/85/748953885.db2.gz OBCKGLNMOKKFTR-GXSJLCMTSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2C[C@H](Nc3cc[nH+]c(C)n3)C2)c1[O-] ZINC001059604211 749373825 /nfs/dbraw/zinc/37/38/25/749373825.db2.gz XJOVSOFXIABNEF-AOOOYVTPSA-N 0 2 302.338 0.895 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccsc2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088459353 749467159 /nfs/dbraw/zinc/46/71/59/749467159.db2.gz MTPCRHSPCXHLJW-SCZZXKLOSA-N 0 2 307.379 0.965 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccns2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088599694 749723089 /nfs/dbraw/zinc/72/30/89/749723089.db2.gz ADEOEGJFTHBDIU-SFYZADRCSA-N 0 2 308.367 0.360 20 0 DCADLN C[C@@H](CCNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001077229422 750276127 /nfs/dbraw/zinc/27/61/27/750276127.db2.gz BIWYQJLWZOXKJZ-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1cncn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001077229422 750276133 /nfs/dbraw/zinc/27/61/33/750276133.db2.gz BIWYQJLWZOXKJZ-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN Cc1nsc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142386 750978795 /nfs/dbraw/zinc/97/87/95/750978795.db2.gz OVPWMVVFKWSDLR-RITPCOANSA-N 0 2 316.280 0.636 20 0 DCADLN Cc1nsc(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001106142386 750978796 /nfs/dbraw/zinc/97/87/96/750978796.db2.gz OVPWMVVFKWSDLR-RITPCOANSA-N 0 2 316.280 0.636 20 0 DCADLN CCCc1[nH]ccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043595029 752304494 /nfs/dbraw/zinc/30/44/94/752304494.db2.gz WJPQFQNANWLVJR-UHFFFAOYSA-N 0 2 318.381 0.747 20 0 DCADLN CN(C(=O)CC1CC(C)(C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043613587 752314812 /nfs/dbraw/zinc/31/48/12/752314812.db2.gz PAZNOGPIYVTYCK-UHFFFAOYSA-N 0 2 307.398 0.979 20 0 DCADLN CO[C@@H]1CCC[C@@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043691061 752351603 /nfs/dbraw/zinc/35/16/03/752351603.db2.gz HJSNWLCWIUCSIU-ZYHUDNBSSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)c1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001043947759 752488186 /nfs/dbraw/zinc/48/81/86/752488186.db2.gz PXEOEXFILRDZDO-UHFFFAOYSA-N 0 2 319.369 0.313 20 0 DCADLN COc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001044087554 752557094 /nfs/dbraw/zinc/55/70/94/752557094.db2.gz XEUABBQFDCLMKB-UHFFFAOYSA-N 0 2 307.310 0.068 20 0 DCADLN CCc1onc(C)c1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044279757 752660376 /nfs/dbraw/zinc/66/03/76/752660376.db2.gz GLXIMULCIGHESL-UHFFFAOYSA-N 0 2 320.353 0.326 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCNC(=O)c1cccnn1 ZINC001078008953 752976388 /nfs/dbraw/zinc/97/63/88/752976388.db2.gz DEPKZPPJLKEGCU-JTQLQIEISA-N 0 2 316.365 0.375 20 0 DCADLN Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)CN3CCCC3)C2)cc1 ZINC001078178836 753084439 /nfs/dbraw/zinc/08/44/39/753084439.db2.gz FYPXKHLFBZTEBU-IAGOWNOFSA-N 0 2 317.433 0.752 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1OC)[NH+](C)C ZINC001212461039 753492370 /nfs/dbraw/zinc/49/23/70/753492370.db2.gz PCZQHHNRJFTBKL-BZUAXINKSA-N 0 2 320.437 0.737 20 0 DCADLN C[C@@]1(NC(=O)c2cscn2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046192386 753543037 /nfs/dbraw/zinc/54/30/37/753543037.db2.gz KHQVKKNARNGRCC-GFCCVEGCSA-N 0 2 308.367 0.361 20 0 DCADLN C[C@]1(NC(=O)c2cc[nH]c(=O)c2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046229751 753571816 /nfs/dbraw/zinc/57/18/16/753571816.db2.gz BDRIDUXVBBSQQE-AWEZNQCLSA-N 0 2 318.337 0.005 20 0 DCADLN C[C@]1(NC(=O)c2cc[nH]c(=O)c2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046229751 753571818 /nfs/dbraw/zinc/57/18/18/753571818.db2.gz BDRIDUXVBBSQQE-AWEZNQCLSA-N 0 2 318.337 0.005 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@]2(C)CC[N@@H+](Cc3ccn(C)n3)C2)c1[O-] ZINC001046246860 753584358 /nfs/dbraw/zinc/58/43/58/753584358.db2.gz RAXHKUCUMFTRMF-OAHLLOKOSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@]2(C)CC[N@H+](Cc3ccn(C)n3)C2)c1[O-] ZINC001046246860 753584362 /nfs/dbraw/zinc/58/43/62/753584362.db2.gz RAXHKUCUMFTRMF-OAHLLOKOSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001046247410 753585141 /nfs/dbraw/zinc/58/51/41/753585141.db2.gz YYWWMVNZTKUDNC-AWEZNQCLSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1noc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001046404704 753696498 /nfs/dbraw/zinc/69/64/98/753696498.db2.gz GNAPNMYKIZRURV-AWEZNQCLSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1nnc([C@@H](C)N2CC[C@@](C)(NC(=O)c3cnn[nH]3)C2)o1 ZINC001046443349 753722282 /nfs/dbraw/zinc/72/22/82/753722282.db2.gz YSGMZTUMGKQQPE-AMIZOPFISA-N 0 2 305.342 0.452 20 0 DCADLN CC(C)C(=O)NC[C@@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC001061309094 753751398 /nfs/dbraw/zinc/75/13/98/753751398.db2.gz VBTLSHKUEFUMDT-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)NC[C@@H]1COCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001061309094 753751406 /nfs/dbraw/zinc/75/14/06/753751406.db2.gz VBTLSHKUEFUMDT-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(c1ccco1)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049287898 755216735 /nfs/dbraw/zinc/21/67/35/755216735.db2.gz FPSSTEDQUYBDCA-WDEREUQCSA-N 0 2 317.349 0.982 20 0 DCADLN O=C(c1ccco1)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049287898 755216740 /nfs/dbraw/zinc/21/67/40/755216740.db2.gz FPSSTEDQUYBDCA-WDEREUQCSA-N 0 2 317.349 0.982 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cncs1 ZINC001079464855 755857690 /nfs/dbraw/zinc/85/76/90/755857690.db2.gz QGEYVAARVMUSJW-HTQZYQBOSA-N 0 2 308.367 0.217 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@]1(C)C=CCC1 ZINC001080279231 756159454 /nfs/dbraw/zinc/15/94/54/756159454.db2.gz NMCFUUDNSKGXGO-HFAKWTLXSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@]1(C)C=CCC1 ZINC001080279231 756159456 /nfs/dbraw/zinc/15/94/56/756159456.db2.gz NMCFUUDNSKGXGO-HFAKWTLXSA-N 0 2 305.382 0.803 20 0 DCADLN CC(C)(C)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053989591 756319276 /nfs/dbraw/zinc/31/92/76/756319276.db2.gz JPIRTTCIRGQFNB-SECBINFHSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@@H]([NH2+]Cc3ncccn3)C2)c1[O-] ZINC001054569214 756545405 /nfs/dbraw/zinc/54/54/05/756545405.db2.gz GJOCGHNWLANZOX-KOLCDFICSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1cnc(C[NH2+][C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@H]2C)o1 ZINC001054578971 756547949 /nfs/dbraw/zinc/54/79/49/756547949.db2.gz FMPOKYYOAICJNI-LDYMZIIASA-N 0 2 319.365 0.970 20 0 DCADLN Cc1cc(C(=O)N2CC3(C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C3)on1 ZINC001072463119 762499980 /nfs/dbraw/zinc/49/99/80/762499980.db2.gz UNSAJVHQFREATP-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN Cc1cc(C(=O)N2CC3(C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C3)on1 ZINC001072463119 762499984 /nfs/dbraw/zinc/49/99/84/762499984.db2.gz UNSAJVHQFREATP-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN CC[C@@H](C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001057023209 757036863 /nfs/dbraw/zinc/03/68/63/757036863.db2.gz UUSXZLMMBPJQCB-RKDXNWHRSA-N 0 2 316.295 0.870 20 0 DCADLN CC[C@@H](C)C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001057023209 757036870 /nfs/dbraw/zinc/03/68/70/757036870.db2.gz UUSXZLMMBPJQCB-RKDXNWHRSA-N 0 2 316.295 0.870 20 0 DCADLN C[C@@]1(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)C=CCC1 ZINC001084585946 757918973 /nfs/dbraw/zinc/91/89/73/757918973.db2.gz DUPOBJGGNUNWKR-XHBSWPGZSA-N 0 2 317.393 0.899 20 0 DCADLN CC(C)[C@@H](C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084697806 757975429 /nfs/dbraw/zinc/97/54/29/757975429.db2.gz AATOSNQISLMGQO-IJLUTSLNSA-N 0 2 307.398 0.835 20 0 DCADLN CC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CCCC1 ZINC001090471166 762659902 /nfs/dbraw/zinc/65/99/02/762659902.db2.gz ZBLJEGPRPUTZIT-GHMZBOCLSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001085474360 758924546 /nfs/dbraw/zinc/92/45/46/758924546.db2.gz ZZPHTNTWFLRBDK-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)on1 ZINC001085477241 758927805 /nfs/dbraw/zinc/92/78/05/758927805.db2.gz TYAXBLRVPKZOAA-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN CC[C@@H](C[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-])OC ZINC001085549892 759029973 /nfs/dbraw/zinc/02/99/73/759029973.db2.gz GUGUVIUSYXNMGI-RYUDHWBXSA-N 0 2 310.398 0.995 20 0 DCADLN CC[C@@H](C[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-])OC ZINC001085549892 759029977 /nfs/dbraw/zinc/02/99/77/759029977.db2.gz GUGUVIUSYXNMGI-RYUDHWBXSA-N 0 2 310.398 0.995 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CC1(F)F ZINC001085586921 759094900 /nfs/dbraw/zinc/09/49/00/759094900.db2.gz FGFGXZXCLKORBN-JGVFFNPUSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CCC1(F)F ZINC001085663656 759172958 /nfs/dbraw/zinc/17/29/58/759172958.db2.gz PPENFDLBTZQONH-BDAKNGLRSA-N 0 2 315.324 0.588 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085733534 759248253 /nfs/dbraw/zinc/24/82/53/759248253.db2.gz HNRLMINTHSBNIH-UTUOFQBUSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)O1 ZINC001085826230 759353656 /nfs/dbraw/zinc/35/36/56/759353656.db2.gz JFXUSQBQPBYIBA-GMTAPVOTSA-N 0 2 309.370 0.111 20 0 DCADLN C[NH+](CC(=O)NC[C@@]1(C)C[N@H+](CCO)CCO1)CC(C)(C)C ZINC001108215120 759396818 /nfs/dbraw/zinc/39/68/18/759396818.db2.gz BTTZQVZYXDSRPQ-INIZCTEOSA-N 0 2 315.458 0.164 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085877897 759420396 /nfs/dbraw/zinc/42/03/96/759420396.db2.gz OQNOYEZAZZCBSU-SNVBAGLBSA-N 0 2 319.369 0.197 20 0 DCADLN O=C(NC[C@H](CO)Nc1cc(F)ncn1)C(F)C(F)(F)F ZINC001122759926 767869863 /nfs/dbraw/zinc/86/98/63/767869863.db2.gz FXTLKOVAUCCSLU-SVGQVSJJSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](CO)Nc1cc(F)ncn1)[C@@H](F)C(F)(F)F ZINC001122759926 767869868 /nfs/dbraw/zinc/86/98/68/767869868.db2.gz FXTLKOVAUCCSLU-SVGQVSJJSA-N 0 2 314.214 0.405 20 0 DCADLN Cc1ncc(C)c(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122761382 767871161 /nfs/dbraw/zinc/87/11/61/767871161.db2.gz RRVGKTFPGATSTE-BDAKNGLRSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1ncc(C)c(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001122761382 767871168 /nfs/dbraw/zinc/87/11/68/767871168.db2.gz RRVGKTFPGATSTE-BDAKNGLRSA-N 0 2 324.278 0.883 20 0 DCADLN O=C(NCCN(CCO)C(=O)C(F)C(F)(F)F)C1CCC1 ZINC001057830344 759573521 /nfs/dbraw/zinc/57/35/21/759573521.db2.gz FBMHDHWTVZISNG-VIFPVBQESA-N 0 2 314.279 0.624 20 0 DCADLN O=C(NCCN(CCO)C(=O)[C@H](F)C(F)(F)F)C1CCC1 ZINC001057830344 759573530 /nfs/dbraw/zinc/57/35/30/759573530.db2.gz FBMHDHWTVZISNG-VIFPVBQESA-N 0 2 314.279 0.624 20 0 DCADLN CC1(C(=O)NC[C@]2(C)CN(CCF)CCO2)CCOCC1 ZINC001108282740 760274452 /nfs/dbraw/zinc/27/44/52/760274452.db2.gz ZIIZMYUKOKJUSW-OAHLLOKOSA-N 0 2 302.390 0.980 20 0 DCADLN C[C@@H]([NH2+]CCCNC(=O)c1nnc[nH]1)c1nc(C2CC2)no1 ZINC001168781050 760327949 /nfs/dbraw/zinc/32/79/49/760327949.db2.gz DQFQPWHOXMRVIJ-MRVPVSSYSA-N 0 2 305.342 0.536 20 0 DCADLN COCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001109519011 761448274 /nfs/dbraw/zinc/44/82/74/761448274.db2.gz LQCQXAPFINIMEE-VWYCJHECSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1(CF)CC1 ZINC001109707742 761515600 /nfs/dbraw/zinc/51/56/00/761515600.db2.gz FCBQUSXNAOTVGW-UTLUCORTSA-N 0 2 309.345 0.482 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001071093899 761617292 /nfs/dbraw/zinc/61/72/92/761617292.db2.gz HJWFEXMPZGQIPU-RQJHMYQMSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2nc[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001071093899 761617296 /nfs/dbraw/zinc/61/72/96/761617296.db2.gz HJWFEXMPZGQIPU-RQJHMYQMSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)Nc1ccc2nnnn2n1 ZINC001098327717 761650589 /nfs/dbraw/zinc/65/05/89/761650589.db2.gz NKEHXSZGPOTBFX-SVGQVSJJSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)Nc1ccc2nnnn2n1 ZINC001098327717 761650593 /nfs/dbraw/zinc/65/05/93/761650593.db2.gz NKEHXSZGPOTBFX-SVGQVSJJSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cncs2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071376520 761807880 /nfs/dbraw/zinc/80/78/80/761807880.db2.gz VLCJKMASGRRTRX-BDAKNGLRSA-N 0 2 322.394 0.750 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071410536 761827240 /nfs/dbraw/zinc/82/72/40/761827240.db2.gz CWSUKQAOALUQDO-KOLCDFICSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071410536 761827248 /nfs/dbraw/zinc/82/72/48/761827248.db2.gz CWSUKQAOALUQDO-KOLCDFICSA-N 0 2 318.381 0.930 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)Cc2ccoc2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071451197 761869296 /nfs/dbraw/zinc/86/92/96/761869296.db2.gz QGHVTJTWJGQTFN-PWSUYJOCSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)Cc2ccoc2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071451197 761869309 /nfs/dbraw/zinc/86/93/09/761869309.db2.gz QGHVTJTWJGQTFN-PWSUYJOCSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C2=CCOCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071458784 761875238 /nfs/dbraw/zinc/87/52/38/761875238.db2.gz WPXZZSXWFDCBOJ-JQWIXIFHSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C2=CCOCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071458784 761875251 /nfs/dbraw/zinc/87/52/51/761875251.db2.gz WPXZZSXWFDCBOJ-JQWIXIFHSA-N 0 2 321.381 0.326 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@H+](Cc1n[nH]c(=O)[n-]1)C2)c1ccco1 ZINC001098707118 762714533 /nfs/dbraw/zinc/71/45/33/762714533.db2.gz NREXUCPVGRMKDA-BONVTDFDSA-N 0 2 317.349 0.888 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@@H+](Cc1n[nH]c(=O)[n-]1)C2)c1ccco1 ZINC001098707118 762714540 /nfs/dbraw/zinc/71/45/40/762714540.db2.gz NREXUCPVGRMKDA-BONVTDFDSA-N 0 2 317.349 0.888 20 0 DCADLN CCC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CCC1 ZINC001099964175 763170865 /nfs/dbraw/zinc/17/08/65/763170865.db2.gz HVRIZEKPHSSAFJ-WDEREUQCSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1cc(NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F)ncn1 ZINC001124808510 768307068 /nfs/dbraw/zinc/30/70/68/768307068.db2.gz DMPGMDLVNZRSBE-WPRPVWTQSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1cc(NC[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001124808510 768307077 /nfs/dbraw/zinc/30/70/77/768307077.db2.gz DMPGMDLVNZRSBE-WPRPVWTQSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1ccc(NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F)nn1 ZINC001124809606 768308049 /nfs/dbraw/zinc/30/80/49/768308049.db2.gz XZMODBBFNNDQED-WPRPVWTQSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1ccc(NC[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F)nn1 ZINC001124809606 768308055 /nfs/dbraw/zinc/30/80/55/768308055.db2.gz XZMODBBFNNDQED-WPRPVWTQSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@@H]2CC[C@H](CNC(=O)c3nnc[nH]3)C2)o1 ZINC001086832207 766271738 /nfs/dbraw/zinc/27/17/38/766271738.db2.gz HFUDMFYVFVSNNO-INTQDDNPSA-N 0 2 319.369 0.745 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@@H]2CC[C@H](CNC(=O)c3ncn[nH]3)C2)o1 ZINC001086832207 766271745 /nfs/dbraw/zinc/27/17/45/766271745.db2.gz HFUDMFYVFVSNNO-INTQDDNPSA-N 0 2 319.369 0.745 20 0 DCADLN COCCN1CC[NH+](Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC001138925860 768446098 /nfs/dbraw/zinc/44/60/98/768446098.db2.gz XIQYQLVCIVJYOH-UHFFFAOYSA-N 0 2 308.378 0.914 20 0 DCADLN O=C(N[C@H](c1nn[nH]n1)c1ccccc1)[C@@H]1CCCc2nn[nH]c21 ZINC001149501481 768768303 /nfs/dbraw/zinc/76/83/03/768768303.db2.gz UUPOQYMQXLFQJD-PWSUYJOCSA-N 0 2 324.348 0.644 20 0 DCADLN O=C(C=C1CCC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149560671 768782962 /nfs/dbraw/zinc/78/29/62/768782962.db2.gz VKFZMVJHYIZZBD-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN Cc1nc(CN2CC[NH+](CCCCS(=O)(=O)[O-])CC2)c[nH]1 ZINC001232465736 769319665 /nfs/dbraw/zinc/31/96/65/769319665.db2.gz OKBAABQJLLZAAV-UHFFFAOYSA-N 0 2 316.427 0.504 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001233739839 769435963 /nfs/dbraw/zinc/43/59/63/769435963.db2.gz ANOHVGBITPZTMB-RYUDHWBXSA-N 0 2 318.381 0.606 20 0 DCADLN CN(C[C@H]1CCN1Cc1nnn(C)n1)C(=O)C(F)C(F)(F)F ZINC001234425374 769652777 /nfs/dbraw/zinc/65/27/77/769652777.db2.gz MPWONKYACVIWPV-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@H]1CCN1Cc1nnn(C)n1)C(=O)[C@@H](F)C(F)(F)F ZINC001234425374 769652780 /nfs/dbraw/zinc/65/27/80/769652780.db2.gz MPWONKYACVIWPV-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccon1 ZINC001095211715 769659443 /nfs/dbraw/zinc/65/94/43/769659443.db2.gz JCGACMNZHPTGRR-JEZHCXPESA-N 0 2 304.310 0.034 20 0 DCADLN C[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001235743396 769940796 /nfs/dbraw/zinc/94/07/96/769940796.db2.gz RSJXSHYWCKEKAK-JQWIXIFHSA-N 0 2 307.398 0.979 20 0 DCADLN COC(=O)[C@H]1C[C@@H]1CNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153861805 769970186 /nfs/dbraw/zinc/97/01/86/769970186.db2.gz JSMNQNMODPKSHD-BDAKNGLRSA-N 0 2 301.302 0.462 20 0 DCADLN O=C(N[C@@H]1CCCNC(=O)C1)c1c[nH]c2cccnc2c1=O ZINC001153864041 769971489 /nfs/dbraw/zinc/97/14/89/769971489.db2.gz YNOBOQNHTGTDEO-SECBINFHSA-N 0 2 300.318 0.322 20 0 DCADLN O=C(Cc1cccc(C(F)(F)F)n1)NCc1n[nH]c(=O)[nH]1 ZINC001176016976 770003452 /nfs/dbraw/zinc/00/34/52/770003452.db2.gz KUKLCKWOIBPHGX-UHFFFAOYSA-N 0 2 301.228 0.783 20 0 DCADLN O=C(c1ccc(-c2ccc3[nH]nnc3c2)nc1)N1CCNC(=O)C1 ZINC001241940029 770276323 /nfs/dbraw/zinc/27/63/23/770276323.db2.gz WIQXJXZBTPAZEU-UHFFFAOYSA-N 0 2 322.328 0.592 20 0 DCADLN NC(=O)[C@H]1CCCN1c1cc(-c2ccc3[nH]nnc3c2)ncn1 ZINC001241940056 770277269 /nfs/dbraw/zinc/27/72/69/770277269.db2.gz XLKQCBKPGNUEQQ-CYBMUJFWSA-N 0 2 309.333 0.869 20 0 DCADLN CC(C)(C)OC(=O)NOCC(=O)NCCc1n[nH]c(=S)o1 ZINC001154907481 770334580 /nfs/dbraw/zinc/33/45/80/770334580.db2.gz QZFZKYUUZYCMED-UHFFFAOYSA-N 0 2 318.355 0.473 20 0 DCADLN NC(=O)c1[nH]ncc1NC(=O)CSc1n[nH]c(=S)s1 ZINC001177337105 770412992 /nfs/dbraw/zinc/41/29/92/770412992.db2.gz JFHPGUWPOVDAFQ-UHFFFAOYSA-N 0 2 316.393 0.380 20 0 DCADLN O=C(CSc1cncc(Cl)n1)NCc1n[nH]c(=O)[nH]1 ZINC001177470042 770456033 /nfs/dbraw/zinc/45/60/33/770456033.db2.gz LQZORPXLQRKNCF-UHFFFAOYSA-N 0 2 300.731 0.362 20 0 DCADLN CCCCSCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001179021563 770921083 /nfs/dbraw/zinc/92/10/83/770921083.db2.gz XHWWWUHXVMLEEB-UHFFFAOYSA-N 0 2 320.440 0.499 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc(=O)c2ccc(F)cc2o1 ZINC001156829785 770938339 /nfs/dbraw/zinc/93/83/39/770938339.db2.gz CDFDVJAKXYYUSK-LBPRGKRZSA-N 0 2 322.273 0.971 20 0 DCADLN O=C(Cc1n[nH]c(=O)c2ccccc12)NCc1n[nH]c(=O)[nH]1 ZINC001180199594 771080849 /nfs/dbraw/zinc/08/08/49/771080849.db2.gz WCZNMWIFWQQSNC-UHFFFAOYSA-N 0 2 300.278 0.018 20 0 DCADLN O=C(C[C@@H]1NC(=O)c2ccccc21)NCCCc1n[nH]c(=O)[nH]1 ZINC001182833112 771562758 /nfs/dbraw/zinc/56/27/58/771562758.db2.gz YSHAFLLYOVZIPO-NSHDSACASA-N 0 2 315.333 0.434 20 0 DCADLN O=C(Nc1cccc2c1CC(=O)NC2)C(CO)C(F)(F)F ZINC001183284839 771636783 /nfs/dbraw/zinc/63/67/83/771636783.db2.gz NOMGCGMBWLHNBL-SECBINFHSA-N 0 2 302.252 0.968 20 0 DCADLN O=C(Nc1cccc2c1CC(=O)NC2)[C@@H](CO)C(F)(F)F ZINC001183284839 771636785 /nfs/dbraw/zinc/63/67/85/771636785.db2.gz NOMGCGMBWLHNBL-SECBINFHSA-N 0 2 302.252 0.968 20 0 DCADLN Cc1cn2nnc(C(=O)N[C@H](C)c3nn(C)cc3O)c2c(=O)[nH]1 ZINC001158308025 771756816 /nfs/dbraw/zinc/75/68/16/771756816.db2.gz UZQOGWVLZYRAIX-SSDOTTSWSA-N 0 2 317.309 0.068 20 0 DCADLN CCOCCS(=O)(=O)Nc1nc(C(=O)OC)ccc1OC ZINC001187250306 772162297 /nfs/dbraw/zinc/16/22/97/772162297.db2.gz NIKLSZUSRIAXQE-UHFFFAOYSA-N 0 2 318.351 0.655 20 0 DCADLN COc1nc(S(=O)(=O)Nc2c(O)nc[nH]c2=O)ccc1F ZINC001190066037 772575429 /nfs/dbraw/zinc/57/54/29/772575429.db2.gz IJEQRXVOBITODC-UHFFFAOYSA-N 0 2 316.270 0.231 20 0 DCADLN O=C(NCCNc1nc(Cl)nc2c1COC2=O)C(F)(F)F ZINC001164237976 772629668 /nfs/dbraw/zinc/62/96/68/772629668.db2.gz LEFRSHJWOKDKMU-UHFFFAOYSA-N 0 2 324.646 0.891 20 0 DCADLN C[NH+](CC(=O)NC1CC1)[C@@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190947660 772696536 /nfs/dbraw/zinc/69/65/36/772696536.db2.gz RXUZINOBEPQKKU-CABCVRRESA-N 0 2 322.453 0.282 20 0 DCADLN CN(C)C(=O)C[NH+]1CCO[C@@H]2C[N@H+](Cc3ccco3)CC[C@@H]2C1 ZINC001203277036 772903681 /nfs/dbraw/zinc/90/36/81/772903681.db2.gz OLGPZDIZKXPFKI-GDBMZVCRSA-N 0 2 321.421 0.891 20 0 DCADLN O=S(=O)([O-])CCCCN1CC[NH+](Cc2ccc[nH]2)CC1 ZINC001204011102 772933517 /nfs/dbraw/zinc/93/35/17/772933517.db2.gz JIAVFKNPNFNZIM-UHFFFAOYSA-N 0 2 301.412 0.800 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2cc(F)c(O)cc2F)CCN1C ZINC001192866620 772966553 /nfs/dbraw/zinc/96/65/53/772966553.db2.gz IPNCDJPBXNUQSI-LLVKDONJSA-N 0 2 314.288 0.600 20 0 DCADLN O=C(NC1CC2(C1)CS(=O)(=O)C2)c1ccc(O)c(F)c1F ZINC001192842264 772968905 /nfs/dbraw/zinc/96/89/05/772968905.db2.gz HMCZONDBBXBDCG-UHFFFAOYSA-N 0 2 317.313 0.977 20 0 DCADLN COCc1[nH]nc2c1CN(C(=O)c1nccc(OC)c1O)C2 ZINC001193530625 773072140 /nfs/dbraw/zinc/07/21/40/773072140.db2.gz TVWJRNYVSCJPHA-UHFFFAOYSA-N 0 2 304.306 0.821 20 0 DCADLN Cc1cnnn1-c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001206776988 773106317 /nfs/dbraw/zinc/10/63/17/773106317.db2.gz BLQSOKVSEYTLQQ-UHFFFAOYSA-N 0 2 314.309 0.721 20 0 DCADLN Cc1oncc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2([NH+](C)C)CCC2)C1 ZINC001193766315 773112913 /nfs/dbraw/zinc/11/29/13/773112913.db2.gz RWAQHCITCOAVHU-ZIAGYGMSSA-N 0 2 322.409 0.129 20 0 DCADLN CC(C)OCCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001194925638 773283532 /nfs/dbraw/zinc/28/35/32/773283532.db2.gz DQXZGAZVXPKGOZ-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195148785 773329322 /nfs/dbraw/zinc/32/93/22/773329322.db2.gz KUVUORTVZSBOHQ-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CCCCOCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195410916 773395103 /nfs/dbraw/zinc/39/51/03/773395103.db2.gz HTUNFTDUPRNZLB-UHFFFAOYSA-N 0 2 311.386 0.361 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110472461 773451479 /nfs/dbraw/zinc/45/14/79/773451479.db2.gz FHAIGBFXBMHUOR-KKOKHZNYSA-N 0 2 323.397 0.547 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110472461 773451484 /nfs/dbraw/zinc/45/14/84/773451484.db2.gz FHAIGBFXBMHUOR-KKOKHZNYSA-N 0 2 323.397 0.547 20 0 DCADLN COCCCN1CC[C@H]([NH+]2CCOCC2)C[C@]1(C)C(=O)OC ZINC001209050970 773557291 /nfs/dbraw/zinc/55/72/91/773557291.db2.gz YMVHWMNOBCGQST-GOEBONIOSA-N 0 2 314.426 0.751 20 0 DCADLN O=C(NOCCC(F)(F)F)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001210457827 773710278 /nfs/dbraw/zinc/71/02/78/773710278.db2.gz GBTWDKNJGSSJGP-UHFFFAOYSA-N 0 2 322.247 0.702 20 0 DCADLN COC(=O)c1ncc(NS(=O)(=O)c2ccc(C)c(N)c2)cn1 ZINC001211157209 773775862 /nfs/dbraw/zinc/77/58/62/773775862.db2.gz IGLKLGDJMJGIMK-UHFFFAOYSA-N 0 2 322.346 0.955 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2cc(C)ccn2)ccn1 ZINC001197953323 773826997 /nfs/dbraw/zinc/82/69/97/773826997.db2.gz AAKWKVYXXYHEFE-UHFFFAOYSA-N 0 2 307.331 0.794 20 0 DCADLN CCC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2OC)CC1 ZINC001213399828 773842920 /nfs/dbraw/zinc/84/29/20/773842920.db2.gz NBJPCTZMLSQSBM-NXEZZACHSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001110518289 773978077 /nfs/dbraw/zinc/97/80/77/773978077.db2.gz IYVWKYXOJRZMNR-DCQANWLSSA-N 0 2 321.381 0.157 20 0 DCADLN COCCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001199397960 774100806 /nfs/dbraw/zinc/10/08/06/774100806.db2.gz GCKPIPLYNANWGK-HUUCEWRRSA-N 0 2 324.425 0.251 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnn(CC2CCOCC2)c1 ZINC001199568354 774142057 /nfs/dbraw/zinc/14/20/57/774142057.db2.gz BLKXPMDYQRIOTN-UHFFFAOYSA-N 0 2 306.326 0.063 20 0 DCADLN Cc1cc(C(F)(F)F)[nH]c(=O)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001199785304 774198590 /nfs/dbraw/zinc/19/85/90/774198590.db2.gz PHIPEJCJZCHIAY-UHFFFAOYSA-N 0 2 317.227 0.868 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OC[C@@H]1CCCCO1 ZINC001205916763 774500776 /nfs/dbraw/zinc/50/07/76/774500776.db2.gz KDHQFMVPVKBQHU-QWHCGFSZSA-N 0 2 323.411 0.161 20 0 DCADLN COC(=O)CCCS(=O)(=O)Nc1ncnc2c1ncn2C ZINC001201904530 774575275 /nfs/dbraw/zinc/57/52/75/774575275.db2.gz HAOIGFLGJVRIQB-UHFFFAOYSA-N 0 2 313.339 0.058 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H]1CC[C@H](C(F)(F)F)NC1 ZINC001219903862 774642135 /nfs/dbraw/zinc/64/21/35/774642135.db2.gz IYQHQXGCDCJMPO-OKMMGKLZSA-N 0 2 311.285 0.342 20 0 DCADLN O=C([O-])[C@H](Cc1ccccc1)NC(=O)NCCn1cc[nH+]c1 ZINC000315317984 774815508 /nfs/dbraw/zinc/81/55/08/774815508.db2.gz KWNLEXSEZJDNCW-ZDUSSCGKSA-N 0 2 302.334 0.878 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1CCCCO1 ZINC001095322264 774839663 /nfs/dbraw/zinc/83/96/63/774839663.db2.gz WYALGSUXCNFPLN-NOOOWODRSA-N 0 2 321.381 0.301 20 0 DCADLN Cc1noc(C)c1C[N@@H+]1C[C@@H](NC(=O)C[NH+](C)C(C)C)[C@@H](O)C1 ZINC001221089688 774992511 /nfs/dbraw/zinc/99/25/11/774992511.db2.gz MSQKNNFLQLQGGL-CABCVRRESA-N 0 2 324.425 0.293 20 0 DCADLN C[C@@H](C(=O)N(C)C)[NH+]1CCC(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001224673347 775595035 /nfs/dbraw/zinc/59/50/35/775595035.db2.gz VDSZZKAYRWXUJK-LBPRGKRZSA-N 0 2 321.425 0.257 20 0 DCADLN CCCCOCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226723762 775869260 /nfs/dbraw/zinc/86/92/60/775869260.db2.gz XRRZZQUSTSOEEW-UHFFFAOYSA-N 0 2 311.386 0.408 20 0 DCADLN O=c1nnc(Br)c(O[C@H]2CO[C@H]3OCC[C@@H]23)[nH]1 ZINC001227064387 775920276 /nfs/dbraw/zinc/92/02/76/775920276.db2.gz OKNWLWNZRPLIEJ-ZVQZEWSASA-N 0 2 304.100 0.480 20 0 DCADLN CC(=O)N1CC[C@@H](Oc2[nH]c(=O)nnc2Br)C1 ZINC001227064787 775920624 /nfs/dbraw/zinc/92/06/24/775920624.db2.gz UVKIKHCVJACALN-ZCFIWIBFSA-N 0 2 303.116 0.339 20 0 DCADLN COC(=O)C[C@H](Oc1[nH]c(=O)nc2cccnc21)C(=O)OC ZINC001228007742 776036122 /nfs/dbraw/zinc/03/61/22/776036122.db2.gz MROQVILTSPQXMF-QMMMGPOBSA-N 0 2 307.262 0.214 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1cccs1 ZINC001230620950 776357680 /nfs/dbraw/zinc/35/76/80/776357680.db2.gz FTVMRSPTTCCZLP-JTQLQIEISA-N 0 2 321.406 0.847 20 0 DCADLN CC(C)=CC(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041323590 777204488 /nfs/dbraw/zinc/20/44/88/777204488.db2.gz OBBVWFRVUOPSCB-VIFPVBQESA-N 0 2 312.263 0.543 20 0 DCADLN CC(C)=CC(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001041323590 777204492 /nfs/dbraw/zinc/20/44/92/777204492.db2.gz OBBVWFRVUOPSCB-VIFPVBQESA-N 0 2 312.263 0.543 20 0 DCADLN CN(CCn1cc[nH+]c1)S(=O)(=O)[C@@H]1CCC[C@@H]1C(=O)[O-] ZINC001465106346 804077865 /nfs/dbraw/zinc/07/78/65/804077865.db2.gz KPBHYPJTJBBCCF-WDEREUQCSA-N 0 2 301.368 0.398 20 0 DCADLN C[C@H](NS(=O)(=O)c1cccnc1-c1nn[nH]n1)C(F)(F)F ZINC001589975498 1166732930 /nfs/dbraw/zinc/73/29/30/1166732930.db2.gz CRUVBRRWTOWOSX-YFKPBYRVSA-N 0 2 322.272 0.491 20 0 DCADLN O=C([O-])[C@@]1([NH2+]Cc2nc(-c3nn[nH]n3)cs2)CCSC1 ZINC001593273406 1167749521 /nfs/dbraw/zinc/74/95/21/1167749521.db2.gz GPDYJXXPGPFIGL-SNVBAGLBSA-N 0 2 312.380 0.373 20 0 DCADLN Cc1nonc1C[N@@H+](C)CCCN(C)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001600819045 1168474888 /nfs/dbraw/zinc/47/48/88/1168474888.db2.gz YZFUEKLLXSSWEE-MNOVXSKESA-N 0 2 310.354 0.379 20 0 DCADLN Cc1nonc1C[N@H+](C)CCCN(C)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001600819045 1168474891 /nfs/dbraw/zinc/47/48/91/1168474891.db2.gz YZFUEKLLXSSWEE-MNOVXSKESA-N 0 2 310.354 0.379 20 0 DCADLN C[N@H+](CCNC(=O)[C@@]12CCO[C@@H]1CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001480889589 939233451 /nfs/dbraw/zinc/23/34/51/939233451.db2.gz LHPPUNCJNIGJQA-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@]12CCO[C@@H]1CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001480889589 939233454 /nfs/dbraw/zinc/23/34/54/939233454.db2.gz LHPPUNCJNIGJQA-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(CC(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001481040520 939537859 /nfs/dbraw/zinc/53/78/59/939537859.db2.gz RHSDWVQNVJLNSC-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(CC(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001481040520 939537861 /nfs/dbraw/zinc/53/78/61/939537861.db2.gz RHSDWVQNVJLNSC-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN COc1nccc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001481560997 939938280 /nfs/dbraw/zinc/93/82/80/939938280.db2.gz DLSQELNBOHUAGC-SECBINFHSA-N 0 2 322.262 0.686 20 0 DCADLN COc1nccc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001481560997 939938283 /nfs/dbraw/zinc/93/82/83/939938283.db2.gz DLSQELNBOHUAGC-SECBINFHSA-N 0 2 322.262 0.686 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)C[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001482103483 940257793 /nfs/dbraw/zinc/25/77/93/940257793.db2.gz UTPSUVRWBVVBCR-FSPLSTOPSA-N 0 2 313.255 0.253 20 0 DCADLN CCOCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001269655516 940750143 /nfs/dbraw/zinc/75/01/43/940750143.db2.gz YWLOPBUUNCRYMN-TXEJJXNPSA-N 0 2 323.397 0.502 20 0 DCADLN CN1CC2(CN(C(=O)c3cccc(Cl)c3O)C2)OCC1=O ZINC001269983665 940809888 /nfs/dbraw/zinc/80/98/88/940809888.db2.gz ULTZCLSKBBNLRE-UHFFFAOYSA-N 0 2 310.737 0.729 20 0 DCADLN COCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001409186997 941571891 /nfs/dbraw/zinc/57/18/91/941571891.db2.gz PXZWZZXJKQJRSE-NRPADANISA-N 0 2 312.263 0.735 20 0 DCADLN COCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)C(F)(F)F ZINC001409186997 941571895 /nfs/dbraw/zinc/57/18/95/941571895.db2.gz PXZWZZXJKQJRSE-NRPADANISA-N 0 2 312.263 0.735 20 0 DCADLN CCOCC[N@H+](C)CCN(C)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001482608752 941586140 /nfs/dbraw/zinc/58/61/40/941586140.db2.gz KSOALUFIEWRDPM-CQSZACIVSA-N 0 2 308.426 0.872 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2nccc(C)n2)c1[O-] ZINC001482997470 941766061 /nfs/dbraw/zinc/76/60/61/941766061.db2.gz PCQBZAGUCGJUTM-ONEGZZNKSA-N 0 2 316.365 0.598 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cn(C)nn1 ZINC001409565350 942057005 /nfs/dbraw/zinc/05/70/05/942057005.db2.gz HEUHMXHBEBDBRU-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1cn(C)nn1 ZINC001409565350 942057007 /nfs/dbraw/zinc/05/70/07/942057007.db2.gz HEUHMXHBEBDBRU-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN NS(=O)(=O)c1sc(Cl)cc1C(=O)Nc1c[nH]nn1 ZINC001412840237 942079503 /nfs/dbraw/zinc/07/95/03/942079503.db2.gz RIHDONPTUUQKIV-UHFFFAOYSA-N 0 2 307.744 0.419 20 0 DCADLN COc1cccc(C[N@H+](C)[C@@H](C)CNC(=O)c2nc[nH]n2)n1 ZINC001409616876 942094493 /nfs/dbraw/zinc/09/44/93/942094493.db2.gz DZZYSLLNKCFLSW-JTQLQIEISA-N 0 2 304.354 0.459 20 0 DCADLN COc1cccc(C[N@@H+](C)[C@@H](C)CNC(=O)c2nc[nH]n2)n1 ZINC001409616876 942094496 /nfs/dbraw/zinc/09/44/96/942094496.db2.gz DZZYSLLNKCFLSW-JTQLQIEISA-N 0 2 304.354 0.459 20 0 DCADLN Cc1nc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001409617356 942095283 /nfs/dbraw/zinc/09/52/83/942095283.db2.gz IPGAEVAPYUFHDY-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1nc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001409617356 942095287 /nfs/dbraw/zinc/09/52/87/942095287.db2.gz IPGAEVAPYUFHDY-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)CNC(=O)C[N@@H+]1CC[C@@H](C)C1 ZINC001409738505 942178934 /nfs/dbraw/zinc/17/89/34/942178934.db2.gz ODESZCGUCGEZAS-CHWSQXEVSA-N 0 2 321.425 0.163 20 0 DCADLN Cc1nnsc1C[NH2+]C[C@H](C)NC(=O)CCc1cnn[nH]1 ZINC001483267657 942221978 /nfs/dbraw/zinc/22/19/78/942221978.db2.gz SWPORPHTCYKORM-QMMMGPOBSA-N 0 2 309.399 0.192 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ncoc1C1CC1 ZINC001483404294 942339102 /nfs/dbraw/zinc/33/91/02/942339102.db2.gz RPMGBPBFARQXGD-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ncoc1C1CC1 ZINC001483404294 942339108 /nfs/dbraw/zinc/33/91/08/942339108.db2.gz RPMGBPBFARQXGD-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN COc1cccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001483407881 942340167 /nfs/dbraw/zinc/34/01/67/942340167.db2.gz IBRDEGHJMOPLSG-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN COc1cccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001483407881 942340170 /nfs/dbraw/zinc/34/01/70/942340170.db2.gz IBRDEGHJMOPLSG-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)c2cnc(C(=O)[O-])cn2)C1 ZINC001600983815 970893287 /nfs/dbraw/zinc/89/32/87/970893287.db2.gz BSBLEQAHJNVUKZ-VIFPVBQESA-N 0 2 301.306 0.538 20 0 DCADLN Cn1cncc1C[NH2+]C[C@H](NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001483589843 942446694 /nfs/dbraw/zinc/44/66/94/942446694.db2.gz IYPWUKKQSZZVAM-AWEZNQCLSA-N 0 2 302.382 0.370 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cc(Cl)c2nccn2c1 ZINC001259018319 942449400 /nfs/dbraw/zinc/44/94/00/942449400.db2.gz FLIRGASHFFMQQY-UHFFFAOYSA-N 0 2 303.727 0.902 20 0 DCADLN COCC(=O)NC[C@H]1CCN1Cc1nc(=O)c2sccc2[nH]1 ZINC001483622377 942555244 /nfs/dbraw/zinc/55/52/44/942555244.db2.gz MJQKTKUGCMIJLV-SECBINFHSA-N 0 2 322.390 0.734 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2nccnc21 ZINC000322692143 970918606 /nfs/dbraw/zinc/91/86/06/970918606.db2.gz OQYLHISGFTUOAH-GFCCVEGCSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2nccnc21 ZINC000322692143 970918613 /nfs/dbraw/zinc/91/86/13/970918613.db2.gz OQYLHISGFTUOAH-GFCCVEGCSA-N 0 2 311.301 0.779 20 0 DCADLN CCOC[C@@H](C)CS(=O)(=O)NCC(F)(F)C(=O)OC ZINC001365466918 942803522 /nfs/dbraw/zinc/80/35/22/942803522.db2.gz HZUHFJTYRHDURK-MRVPVSSYSA-N 0 2 303.327 0.387 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccnc(N2CCCC2)n1 ZINC001413347161 942913838 /nfs/dbraw/zinc/91/38/38/942913838.db2.gz WGHBBJNXYGUUGB-UHFFFAOYSA-N 0 2 316.321 0.208 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1ccnc(N2CCCC2)n1 ZINC001413347161 942913844 /nfs/dbraw/zinc/91/38/44/942913844.db2.gz WGHBBJNXYGUUGB-UHFFFAOYSA-N 0 2 316.321 0.208 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001484058006 943119719 /nfs/dbraw/zinc/11/97/19/943119719.db2.gz NMMHRSHFQXZMIX-ZUZCIYMTSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001484058006 943119723 /nfs/dbraw/zinc/11/97/23/943119723.db2.gz NMMHRSHFQXZMIX-ZUZCIYMTSA-N 0 2 319.365 0.988 20 0 DCADLN CC/C(C)=C/C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001484799469 943574214 /nfs/dbraw/zinc/57/42/14/943574214.db2.gz UGPQIMIWUHFBFR-INJWIWPUSA-N 0 2 321.381 0.326 20 0 DCADLN COc1cccc(C[C@@H](O)C(=O)N[C@H](C)c2nn(C)cc2O)c1 ZINC001413762761 943671192 /nfs/dbraw/zinc/67/11/92/943671192.db2.gz MUQGLRYAFRRCLB-ZWNOBZJWSA-N 0 2 319.361 0.915 20 0 DCADLN C[C@@H](NC(=O)c1cnn2c1NC[C@@H](C)C2)c1nn(C)cc1O ZINC001413768984 943676503 /nfs/dbraw/zinc/67/65/03/943676503.db2.gz XUUIWIGTVGHUNZ-RKDXNWHRSA-N 0 2 304.354 0.875 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC001611369734 971021042 /nfs/dbraw/zinc/02/10/42/971021042.db2.gz XZHOSHBZKHMZQZ-WDEREUQCSA-N 0 2 320.349 0.049 20 0 DCADLN O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)c1cccn1CC[NH+]1CCOCC1 ZINC001601572096 971021526 /nfs/dbraw/zinc/02/15/26/971021526.db2.gz BKRRPCYZWHUVHS-BETUJISGSA-N 0 2 321.377 0.413 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cc[nH]c(=O)c1 ZINC001491220308 943841629 /nfs/dbraw/zinc/84/16/29/943841629.db2.gz LVBFMENFNRHTPL-MRVPVSSYSA-N 0 2 309.219 0.534 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cc[nH]c(=O)c1 ZINC001491220308 943841633 /nfs/dbraw/zinc/84/16/33/943841633.db2.gz LVBFMENFNRHTPL-MRVPVSSYSA-N 0 2 309.219 0.534 20 0 DCADLN C[C@@H](CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001485371993 943904275 /nfs/dbraw/zinc/90/42/75/943904275.db2.gz XIDPXPYPYBJOMS-QWRGUYRKSA-N 0 2 321.385 0.295 20 0 DCADLN C[C@@H](CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001485371993 943904280 /nfs/dbraw/zinc/90/42/80/943904280.db2.gz XIDPXPYPYBJOMS-QWRGUYRKSA-N 0 2 321.385 0.295 20 0 DCADLN C[C@@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)[NH2+]CC(=O)N(C)C ZINC001491505859 944055552 /nfs/dbraw/zinc/05/55/52/944055552.db2.gz PQHPOEHCHAOMJD-JTQLQIEISA-N 0 2 303.366 0.359 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1C[C@H]1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409934045 944202366 /nfs/dbraw/zinc/20/23/66/944202366.db2.gz RCDGCLKPAMEFSO-GAFUQQFSSA-N 0 2 319.409 0.883 20 0 DCADLN NC(=O)c1cnc2n1CCN(C(=O)c1c(F)ccc(F)c1O)C2 ZINC001276832737 944320184 /nfs/dbraw/zinc/32/01/84/944320184.db2.gz ASSYIYXEHGUVDF-UHFFFAOYSA-N 0 2 322.271 0.622 20 0 DCADLN COCCOC[C@@H]1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC001318808856 945448064 /nfs/dbraw/zinc/44/80/64/945448064.db2.gz JOVLBSGUFDVVIL-ZJUUUORDSA-N 0 2 315.395 0.054 20 0 DCADLN CC(=O)Nc1nc(C)c(S(=O)(=O)Nc2nnco2)s1 ZINC001250749838 945673103 /nfs/dbraw/zinc/67/31/03/945673103.db2.gz PXJVEDJNAMVYKP-UHFFFAOYSA-N 0 2 303.325 0.594 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc(Cl)cc2)S1 ZINC001251743989 945779986 /nfs/dbraw/zinc/77/99/86/945779986.db2.gz FOXZNBWVRJJLNO-QMMMGPOBSA-N 0 2 306.752 0.927 20 0 DCADLN O=C1NCCC[C@@H]1NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001434572056 946469473 /nfs/dbraw/zinc/46/94/73/946469473.db2.gz GISOJFNNAAELCW-ZETCQYMHSA-N 0 2 319.770 0.762 20 0 DCADLN CCCCN(O)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001255843306 946554013 /nfs/dbraw/zinc/55/40/13/946554013.db2.gz YCNPFMHPHNQYTF-UHFFFAOYSA-N 0 2 305.360 0.050 20 0 DCADLN CCOC(=O)[C@H]1CC[C@H]([NH2+][C@@H](CCC(=O)[O-])C(=O)OC)C1 ZINC001257038797 946690156 /nfs/dbraw/zinc/69/01/56/946690156.db2.gz SQONYVFCPWKWOA-DCAQKATOSA-N 0 2 301.339 0.714 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc(OC(F)(F)F)nc1 ZINC001259018274 946893364 /nfs/dbraw/zinc/89/33/64/946893364.db2.gz CDEYZISSYUTAQO-UHFFFAOYSA-N 0 2 314.241 0.895 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2cccc3cnccc32)S1 ZINC001259158811 946922659 /nfs/dbraw/zinc/92/26/59/946922659.db2.gz CNPWZRPEIIIUCJ-LLVKDONJSA-N 0 2 323.355 0.822 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccnn2Cc2cccnc2)cn1 ZINC001259820353 946987504 /nfs/dbraw/zinc/98/75/04/946987504.db2.gz OAASCKQXIVGVEC-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN COCCS(=O)(=O)Nc1csc(N2CCOCC2)n1 ZINC001259966353 947039691 /nfs/dbraw/zinc/03/96/91/947039691.db2.gz XYOVUHPJBIQFJG-UHFFFAOYSA-N 0 2 307.397 0.368 20 0 DCADLN CCOC(=O)c1ccc(NS(=O)(=O)CS(C)(=O)=O)cn1 ZINC001260584772 947113404 /nfs/dbraw/zinc/11/34/04/947113404.db2.gz WXCPBGLWPCVNKY-UHFFFAOYSA-N 0 2 322.364 0.002 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCc1cnoc1 ZINC001570918361 948573191 /nfs/dbraw/zinc/57/31/91/948573191.db2.gz DDPDRFAVGWWBTQ-UHFFFAOYSA-N 0 2 315.293 0.236 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)c1ccn(C)n1 ZINC001570920665 948604904 /nfs/dbraw/zinc/60/49/04/948604904.db2.gz YIHDXNHNDMVKDD-UHFFFAOYSA-N 0 2 314.309 0.286 20 0 DCADLN Cc1nn(CC(=O)C2CCOCC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001570921449 948620129 /nfs/dbraw/zinc/62/01/29/948620129.db2.gz AUISMIYSLOOTTJ-UHFFFAOYSA-N 0 2 318.337 0.036 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCOc1cccc(F)c1 ZINC001570921889 948630058 /nfs/dbraw/zinc/63/00/58/948630058.db2.gz QELZVYOCTYVYCW-UHFFFAOYSA-N 0 2 318.268 0.347 20 0 DCADLN Cc1occc1C(=O)NCC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001364397140 949188694 /nfs/dbraw/zinc/18/86/94/949188694.db2.gz JHNBKWYTWDYTIW-QMMMGPOBSA-N 0 2 306.322 0.634 20 0 DCADLN Cc1nnc(COCC(=O)N[C@H](C)c2nn(C)cc2O)s1 ZINC001364398939 949192470 /nfs/dbraw/zinc/19/24/70/949192470.db2.gz MCVGJVWNRLGOBW-SSDOTTSWSA-N 0 2 311.367 0.680 20 0 DCADLN Cc1cccnc1[C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001364439935 949295403 /nfs/dbraw/zinc/29/54/03/949295403.db2.gz OWCXHTDWTUASEY-SNVBAGLBSA-N 0 2 312.333 0.652 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)C[C@H](C)[N@H+]1C ZINC000159752857 949375840 /nfs/dbraw/zinc/37/58/40/949375840.db2.gz RLWKRAWAVSFZJP-PHIMTYICSA-N 0 2 319.427 0.052 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)C[C@H](C)[N@@H+]1C ZINC000159752857 949375852 /nfs/dbraw/zinc/37/58/52/949375852.db2.gz RLWKRAWAVSFZJP-PHIMTYICSA-N 0 2 319.427 0.052 20 0 DCADLN CCO[C@H](C(=O)NCCc1n[nH]c(=S)o1)[C@@H]1CCOC1 ZINC001329421945 949577531 /nfs/dbraw/zinc/57/75/31/949577531.db2.gz DSNWWBNRJMDXLO-SCZZXKLOSA-N 0 2 301.368 0.459 20 0 DCADLN Cc1nn[nH]c1C(=O)N1CC2(C1)CC[N@@H+](Cc1ccnn1C)C2 ZINC001364646624 949690867 /nfs/dbraw/zinc/69/08/67/949690867.db2.gz UWJQZEZSFCBOCZ-UHFFFAOYSA-N 0 2 315.381 0.195 20 0 DCADLN O=C(NCc1ncccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364743271 949856830 /nfs/dbraw/zinc/85/68/30/949856830.db2.gz IKYZJGNRGQABOW-SECBINFHSA-N 0 2 303.326 0.390 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NC[C@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001329962300 950013907 /nfs/dbraw/zinc/01/39/07/950013907.db2.gz DCTMQHLNDSJLLB-DYNIEEOBSA-N 0 2 302.371 0.090 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NC[C@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001329962300 950013927 /nfs/dbraw/zinc/01/39/27/950013927.db2.gz DCTMQHLNDSJLLB-DYNIEEOBSA-N 0 2 302.371 0.090 20 0 DCADLN CC[C@@H](NS(=O)(=O)c1onc(C2CC2)c1Cl)C(N)=O ZINC001364853140 950077256 /nfs/dbraw/zinc/07/72/56/950077256.db2.gz UXLHTQSXMBXLJE-ZCFIWIBFSA-N 0 2 307.759 0.748 20 0 DCADLN CC(CO)(CO)CNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001364872812 950113660 /nfs/dbraw/zinc/11/36/60/950113660.db2.gz XWCMFTDFHIXKJS-UHFFFAOYSA-N 0 2 324.786 0.475 20 0 DCADLN CC[N@H+](CC(=O)NCC(C)(C)N1CCOCC1)[C@H](C)C(=O)[O-] ZINC001589719179 950400974 /nfs/dbraw/zinc/40/09/74/950400974.db2.gz ZZFQZJJPBANVAE-GFCCVEGCSA-N 0 2 315.414 0.008 20 0 DCADLN CC[N@@H+](CC(=O)NCC(C)(C)N1CCOCC1)[C@H](C)C(=O)[O-] ZINC001589719179 950400988 /nfs/dbraw/zinc/40/09/88/950400988.db2.gz ZZFQZJJPBANVAE-GFCCVEGCSA-N 0 2 315.414 0.008 20 0 DCADLN Cn1ccnc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365104733 950521729 /nfs/dbraw/zinc/52/17/29/950521729.db2.gz MWRSWLGZZOAMNF-VIFPVBQESA-N 0 2 305.342 0.333 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)Nc1n[nH]cc1C(N)=O ZINC001365159842 950633372 /nfs/dbraw/zinc/63/33/72/950633372.db2.gz QMWGVQMTYVCDQG-LURJTMIESA-N 0 2 321.259 0.150 20 0 DCADLN C[C@H](CNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C)C(=O)[O-] ZINC001331509049 951149127 /nfs/dbraw/zinc/14/91/27/951149127.db2.gz HTNIJTRDECIVIZ-ZWNOBZJWSA-N 0 2 319.361 0.126 20 0 DCADLN C[C@H](CNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C)C(=O)[O-] ZINC001331509049 951149135 /nfs/dbraw/zinc/14/91/35/951149135.db2.gz HTNIJTRDECIVIZ-ZWNOBZJWSA-N 0 2 319.361 0.126 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000389637072 951354899 /nfs/dbraw/zinc/35/48/99/951354899.db2.gz JQUPMLDCWVBPCX-GFCCVEGCSA-N 0 2 306.362 0.856 20 0 DCADLN O=C(N[C@H]1CC[C@H](O)CC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365648835 951383722 /nfs/dbraw/zinc/38/37/22/951383722.db2.gz MKNPCAFLPMIHQT-DCAQKATOSA-N 0 2 309.370 0.703 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCC([C@H](O)C(F)(F)F)CC2)C1=O ZINC001595072103 951604165 /nfs/dbraw/zinc/60/41/65/951604165.db2.gz MJJNLKPAXBBAKZ-ONGXEEELSA-N 0 2 324.299 0.307 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001332520271 951676976 /nfs/dbraw/zinc/67/69/76/951676976.db2.gz RXPFSOHVADLEFQ-CYBMUJFWSA-N 0 2 324.381 0.511 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)NCc1cccc(C(=O)[O-])c1 ZINC001333122695 951926766 /nfs/dbraw/zinc/92/67/66/951926766.db2.gz SDAHTMQPXJGDJS-DJWKRKHSSA-N 0 2 304.346 0.889 20 0 DCADLN CCOC[C@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412045964 952617227 /nfs/dbraw/zinc/61/72/27/952617227.db2.gz PTGUDBUFBBIMRL-VIFPVBQESA-N 0 2 306.322 0.421 20 0 DCADLN CCCN(C(=O)C[C@H]1SC(=N)NC1=O)[C@H](C)C(=O)OCC ZINC001412083587 952638210 /nfs/dbraw/zinc/63/82/10/952638210.db2.gz ILKMBTAUUUDECV-RKDXNWHRSA-N 0 2 315.395 0.733 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1nnc(C2CC2)[nH]1 ZINC001412172556 952675016 /nfs/dbraw/zinc/67/50/16/952675016.db2.gz PQWFCOXXNDEQSM-UHFFFAOYSA-N 0 2 319.287 0.755 20 0 DCADLN CCc1nccn1[C@@H](C)CC(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001412375027 952768022 /nfs/dbraw/zinc/76/80/22/952768022.db2.gz KXGQJBPOVZGPTC-VIFPVBQESA-N 0 2 305.338 0.914 20 0 DCADLN CC(C)C[C@H](C(=O)NCc1nc(O)cc(=O)[nH]1)n1ccnc1 ZINC001412375065 952768939 /nfs/dbraw/zinc/76/89/39/952768939.db2.gz LCWNNHMCALGWHU-SNVBAGLBSA-N 0 2 305.338 0.988 20 0 DCADLN C[C@H]1CCc2n[nH]cc2[C@@H]1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001412376223 952769063 /nfs/dbraw/zinc/76/90/63/952769063.db2.gz OKDQKYXSWKKLEZ-WPPNPWJKSA-N 0 2 303.322 0.593 20 0 DCADLN NC(=O)[C@H]1CC[C@H]1C(=O)Nc1nccc(Br)c1O ZINC001412400461 952778491 /nfs/dbraw/zinc/77/84/91/952778491.db2.gz HJWDRGFNBGFFOH-NTSWFWBYSA-N 0 2 314.139 1.000 20 0 DCADLN C[C@H](CN(C)C(=O)c1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001412493833 952843139 /nfs/dbraw/zinc/84/31/39/952843139.db2.gz PDNRWTYHSCRDFT-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1cncnc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001412493833 952843142 /nfs/dbraw/zinc/84/31/42/952843142.db2.gz PDNRWTYHSCRDFT-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN CC(C)[C@]1(C)C[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412690854 952999521 /nfs/dbraw/zinc/99/95/21/952999521.db2.gz XDIJUSZQWQKFIY-ZANVPECISA-N 0 2 314.411 0.648 20 0 DCADLN CC(C)N(CCN(C)C(=O)C(N)=O)C(=O)C(F)C(F)(F)F ZINC001338740856 953047697 /nfs/dbraw/zinc/04/76/97/953047697.db2.gz HUNIUYDXIKWIBN-SSDOTTSWSA-N 0 2 315.267 0.068 20 0 DCADLN CC(C)N(CCN(C)C(=O)C(N)=O)C(=O)[C@@H](F)C(F)(F)F ZINC001338740856 953047699 /nfs/dbraw/zinc/04/76/99/953047699.db2.gz HUNIUYDXIKWIBN-SSDOTTSWSA-N 0 2 315.267 0.068 20 0 DCADLN CNC(=O)c1cccc(C(=O)N[C@H](C)c2nn(C)cc2O)c1 ZINC001412751853 953055457 /nfs/dbraw/zinc/05/54/57/953055457.db2.gz FUKJCNWALHEIRV-SECBINFHSA-N 0 2 302.334 0.976 20 0 DCADLN C[C@@H](NC(=O)[C@]1(C)CCCS1(=O)=O)c1nn(C)cc1O ZINC001412771085 953083922 /nfs/dbraw/zinc/08/39/22/953083922.db2.gz SIZIBTXDAFDAGG-PELKAZGASA-N 0 2 301.368 0.270 20 0 DCADLN CN(CCn1cccn1)c1nnc(-c2n[nH]c(Cl)n2)n1C ZINC001341247013 953219368 /nfs/dbraw/zinc/21/93/68/953219368.db2.gz DPGHKRSFCIDOCR-UHFFFAOYSA-N 0 2 307.749 0.587 20 0 DCADLN CC(C)(C)CNC(=O)CN1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001365932483 953422722 /nfs/dbraw/zinc/42/27/22/953422722.db2.gz LGMGMHFJSWEFOB-NSHDSACASA-N 0 2 322.413 0.161 20 0 DCADLN CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@](C)(C(=O)[O-])C1 ZINC001593661387 953808230 /nfs/dbraw/zinc/80/82/30/953808230.db2.gz YUSWVLQIHJNIIC-ZETOZRRWSA-N 0 2 323.397 0.337 20 0 DCADLN CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@](C)(C(=O)[O-])C1 ZINC001593661387 953808244 /nfs/dbraw/zinc/80/82/44/953808244.db2.gz YUSWVLQIHJNIIC-ZETOZRRWSA-N 0 2 323.397 0.337 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCCC1OCCO1 ZINC001346424980 953886584 /nfs/dbraw/zinc/88/65/84/953886584.db2.gz LTECPCWCVNZUOU-UHFFFAOYSA-N 0 2 321.333 0.570 20 0 DCADLN CCOCCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001348532483 954346504 /nfs/dbraw/zinc/34/65/04/954346504.db2.gz JIWZSKHWLRGAFF-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN CCOCCCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001348532483 954346519 /nfs/dbraw/zinc/34/65/19/954346519.db2.gz JIWZSKHWLRGAFF-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCC[C@H](F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366612095 954518302 /nfs/dbraw/zinc/51/83/02/954518302.db2.gz PXEGFVLXRWEOBB-MNOVXSKESA-N 0 2 313.377 0.977 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCC[C@H](F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366612095 954518306 /nfs/dbraw/zinc/51/83/06/954518306.db2.gz PXEGFVLXRWEOBB-MNOVXSKESA-N 0 2 313.377 0.977 20 0 DCADLN Cn1c(N2CCC(C(=O)[O-])CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001593773739 954568687 /nfs/dbraw/zinc/56/86/87/954568687.db2.gz ISBHEMSXRCGRNC-XHDPSFHLSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC(C(=O)[O-])CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001593773739 954568699 /nfs/dbraw/zinc/56/86/99/954568699.db2.gz ISBHEMSXRCGRNC-XHDPSFHLSA-N 0 2 323.397 0.028 20 0 DCADLN CC1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCOCC1 ZINC001349164217 954623359 /nfs/dbraw/zinc/62/33/59/954623359.db2.gz WPQUIECOKWZNMN-QMMMGPOBSA-N 0 2 314.279 0.936 20 0 DCADLN CC1(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)CCOCC1 ZINC001349164217 954623366 /nfs/dbraw/zinc/62/33/66/954623366.db2.gz WPQUIECOKWZNMN-QMMMGPOBSA-N 0 2 314.279 0.936 20 0 DCADLN C[N@@H+]1CCc2nc(NC(=O)c3cnc(C(=O)[O-])cn3)sc2C1 ZINC001593786840 954709750 /nfs/dbraw/zinc/70/97/50/954709750.db2.gz RCMJLLOFQDBQFP-UHFFFAOYSA-N 0 2 319.346 0.872 20 0 DCADLN C[N@H+]1CCc2nc(NC(=O)c3cnc(C(=O)[O-])cn3)sc2C1 ZINC001593786840 954709759 /nfs/dbraw/zinc/70/97/59/954709759.db2.gz RCMJLLOFQDBQFP-UHFFFAOYSA-N 0 2 319.346 0.872 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H](NC(=O)C(=O)NCCCC(=O)[O-])C1 ZINC001349356600 954721956 /nfs/dbraw/zinc/72/19/56/954721956.db2.gz RIFDJCFCOKBHBC-ZDUSSCGKSA-N 0 2 319.361 0.270 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H](NC(=O)C(=O)NCCCC(=O)[O-])C1 ZINC001349356600 954721964 /nfs/dbraw/zinc/72/19/64/954721964.db2.gz RIFDJCFCOKBHBC-ZDUSSCGKSA-N 0 2 319.361 0.270 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@H](C(=O)[O-])C2)C1 ZINC001593787376 954723443 /nfs/dbraw/zinc/72/34/43/954723443.db2.gz FPBKUNBVHVWHAP-LBPRGKRZSA-N 0 2 324.402 0.770 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@H](C(=O)[O-])C2)C1 ZINC001593787376 954723459 /nfs/dbraw/zinc/72/34/59/954723459.db2.gz FPBKUNBVHVWHAP-LBPRGKRZSA-N 0 2 324.402 0.770 20 0 DCADLN O=C(NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)C1(C(F)F)CC1 ZINC001366739291 954744973 /nfs/dbraw/zinc/74/49/73/954744973.db2.gz CPHDREBEIYKLIV-UHFFFAOYSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1(C(F)F)CC1 ZINC001366739291 954744986 /nfs/dbraw/zinc/74/49/86/954744986.db2.gz CPHDREBEIYKLIV-UHFFFAOYSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1(C(F)F)CC1 ZINC001366739291 954744995 /nfs/dbraw/zinc/74/49/95/954744995.db2.gz CPHDREBEIYKLIV-UHFFFAOYSA-N 0 2 315.324 0.636 20 0 DCADLN CC(F)(F)C(C)(C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366994068 955168860 /nfs/dbraw/zinc/16/88/60/955168860.db2.gz XQRJLQXLXMKDJZ-UHFFFAOYSA-N 0 2 317.340 0.740 20 0 DCADLN CCO[C@@H](CC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001366995117 955171119 /nfs/dbraw/zinc/17/11/19/955171119.db2.gz ZCXNABFJTHVDMG-DCAQKATOSA-N 0 2 309.370 0.111 20 0 DCADLN COC(=O)COc1ccccc1C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC001593852258 955470860 /nfs/dbraw/zinc/47/08/60/955470860.db2.gz NEPOOIOQLFNFDG-CYBMUJFWSA-N 0 2 309.318 0.524 20 0 DCADLN COC(=O)COc1ccccc1C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC001593852258 955470861 /nfs/dbraw/zinc/47/08/61/955470861.db2.gz NEPOOIOQLFNFDG-CYBMUJFWSA-N 0 2 309.318 0.524 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cccnn1 ZINC001285565353 955799348 /nfs/dbraw/zinc/79/93/48/955799348.db2.gz SDZWAMRHQNKCQN-IYABHPQVSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1cccnn1 ZINC001285565353 955799353 /nfs/dbraw/zinc/79/93/53/955799353.db2.gz SDZWAMRHQNKCQN-IYABHPQVSA-N 0 2 320.246 0.779 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1cncc(C(=O)[O-])c1 ZINC001589081640 955862942 /nfs/dbraw/zinc/86/29/42/955862942.db2.gz YGRINBVEFMJOQX-SECBINFHSA-N 0 2 317.305 0.120 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001589101415 955998935 /nfs/dbraw/zinc/99/89/35/955998935.db2.gz HFXMHZFKGPIGIS-UPJWGTAASA-N 0 2 312.414 0.127 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@H+]1C ZINC001589101415 955998950 /nfs/dbraw/zinc/99/89/50/955998950.db2.gz HFXMHZFKGPIGIS-UPJWGTAASA-N 0 2 312.414 0.127 20 0 DCADLN C[C@H](NC(=O)Nc1ccnc(C(=O)[O-])c1)[C@H]1CN(C)CC[N@@H+]1C ZINC001589108063 956038735 /nfs/dbraw/zinc/03/87/35/956038735.db2.gz CWVXEFNBWBNTBM-GXFFZTMASA-N 0 2 321.381 0.536 20 0 DCADLN C[C@H](NC(=O)Nc1ccnc(C(=O)[O-])c1)[C@H]1CN(C)CC[N@H+]1C ZINC001589108063 956038751 /nfs/dbraw/zinc/03/87/51/956038751.db2.gz CWVXEFNBWBNTBM-GXFFZTMASA-N 0 2 321.381 0.536 20 0 DCADLN CC(C)(F)c1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)no1 ZINC001463523032 1013140532 /nfs/dbraw/zinc/14/05/32/1013140532.db2.gz RTMNJGCBIWMPCA-UHFFFAOYSA-N 0 2 320.284 0.903 20 0 DCADLN C[C@](O)(CNC(=O)c1c[nH+]ccc1Nc1ccccc1)C(=O)[O-] ZINC001589146985 956301746 /nfs/dbraw/zinc/30/17/46/956301746.db2.gz MQIPNAMSLOIYTL-INIZCTEOSA-N 0 2 315.329 0.813 20 0 DCADLN CC(C)=CC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001289558617 956758757 /nfs/dbraw/zinc/75/87/57/956758757.db2.gz COACEDGBMHLRJP-KHQFGBGNSA-N 0 2 312.263 0.541 20 0 DCADLN CC(C)=CC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC001289558617 956758765 /nfs/dbraw/zinc/75/87/65/956758765.db2.gz COACEDGBMHLRJP-KHQFGBGNSA-N 0 2 312.263 0.541 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2cccnc2N(C)C)CCO1 ZINC001593985381 956766565 /nfs/dbraw/zinc/76/65/65/956766565.db2.gz YGMQNKSVQTXEBS-HNNXBMFYSA-N 0 2 309.366 0.450 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2cccnc2N(C)C)CCO1 ZINC001593985381 956766569 /nfs/dbraw/zinc/76/65/69/956766569.db2.gz YGMQNKSVQTXEBS-HNNXBMFYSA-N 0 2 309.366 0.450 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cc[n+]([O-])cc1 ZINC001358061614 957420286 /nfs/dbraw/zinc/42/02/86/957420286.db2.gz SJWHVQPTUBTKFP-APPZFPTMSA-N 0 2 323.246 0.455 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cc[n+]([O-])cc1 ZINC001358061614 957420292 /nfs/dbraw/zinc/42/02/92/957420292.db2.gz SJWHVQPTUBTKFP-APPZFPTMSA-N 0 2 323.246 0.455 20 0 DCADLN CN(CCCNC(=O)c1cccnn1)C(=O)C(F)C(F)(F)F ZINC001294268086 957506196 /nfs/dbraw/zinc/50/61/96/957506196.db2.gz WWOJVINWKQJILQ-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1cccnn1)C(=O)[C@H](F)C(F)(F)F ZINC001294268086 957506200 /nfs/dbraw/zinc/50/62/00/957506200.db2.gz WWOJVINWKQJILQ-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN COc1ncc(NS(=O)(=O)c2ccc(N)cc2)c(OC)n1 ZINC000200435513 957823595 /nfs/dbraw/zinc/82/35/95/957823595.db2.gz AGEYFSCRDQUHLG-UHFFFAOYSA-N 0 2 310.335 0.877 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)NCCOCC(=O)[O-])c1C ZINC001594046499 957934275 /nfs/dbraw/zinc/93/42/75/957934275.db2.gz OQNRNBHQVOKFQP-UHFFFAOYSA-N 0 2 311.338 0.607 20 0 DCADLN COc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(F)c1 ZINC001361592108 958114899 /nfs/dbraw/zinc/11/48/99/958114899.db2.gz PYPGUXUEDHXBSF-UHFFFAOYSA-N 0 2 317.280 0.858 20 0 DCADLN COCC1CCC(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC001361728780 958263875 /nfs/dbraw/zinc/26/38/75/958263875.db2.gz ACYAITDGWLMJTD-UHFFFAOYSA-N 0 2 319.365 0.393 20 0 DCADLN COCC(=O)N[C@@H](CNC(=O)C(F)C(F)(F)F)C(C)C ZINC001433103385 1013174986 /nfs/dbraw/zinc/17/49/86/1013174986.db2.gz VQBOGVRTZBXWOM-CBAPKCEASA-N 0 2 302.268 0.790 20 0 DCADLN COCC(=O)N[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C(C)C ZINC001433103385 1013174994 /nfs/dbraw/zinc/17/49/94/1013174994.db2.gz VQBOGVRTZBXWOM-CBAPKCEASA-N 0 2 302.268 0.790 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)[nH]1 ZINC001367831668 958670032 /nfs/dbraw/zinc/67/00/32/958670032.db2.gz NETPZSWXCBODRK-SSDOTTSWSA-N 0 2 312.761 0.742 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)[nH]1 ZINC001367831668 958670038 /nfs/dbraw/zinc/67/00/38/958670038.db2.gz NETPZSWXCBODRK-SSDOTTSWSA-N 0 2 312.761 0.742 20 0 DCADLN CCCCN(CCCC)C(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC000132211893 958776806 /nfs/dbraw/zinc/77/68/06/958776806.db2.gz ZBURTVHPPCMGME-CHWSQXEVSA-N 0 2 300.399 0.935 20 0 DCADLN CCCCN(CCCC)C(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC000132211893 958776818 /nfs/dbraw/zinc/77/68/18/958776818.db2.gz ZBURTVHPPCMGME-CHWSQXEVSA-N 0 2 300.399 0.935 20 0 DCADLN CS(=O)(=O)c1ccc(C[N@@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)o1 ZINC001594174310 959024887 /nfs/dbraw/zinc/02/48/87/959024887.db2.gz JEYJSBACSPFGRQ-ZANVPECISA-N 0 2 315.347 0.216 20 0 DCADLN CS(=O)(=O)c1ccc(C[N@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)o1 ZINC001594174310 959024902 /nfs/dbraw/zinc/02/49/02/959024902.db2.gz JEYJSBACSPFGRQ-ZANVPECISA-N 0 2 315.347 0.216 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC[C@H]1O ZINC001362408256 959294314 /nfs/dbraw/zinc/29/43/14/959294314.db2.gz RREYDIXTNLHSDB-BXKDBHETSA-N 0 2 318.333 0.109 20 0 DCADLN CCC(CC)(NC(=O)CSc1n[nH]c(C)n1)c1nn[nH]n1 ZINC001362425688 959331354 /nfs/dbraw/zinc/33/13/54/959331354.db2.gz OXONMMITQLWTCP-UHFFFAOYSA-N 0 2 310.387 0.550 20 0 DCADLN CC(C)[C@](C)(CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362438056 959355986 /nfs/dbraw/zinc/35/59/86/959355986.db2.gz GVJOTWGAHQAGQU-HNNXBMFYSA-N 0 2 320.349 0.403 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001368181794 959418410 /nfs/dbraw/zinc/41/84/10/959418410.db2.gz RWXCMCGINFQHBJ-UFBFGSQYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001368181794 959418423 /nfs/dbraw/zinc/41/84/23/959418423.db2.gz RWXCMCGINFQHBJ-UFBFGSQYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001368181794 959418438 /nfs/dbraw/zinc/41/84/38/959418438.db2.gz RWXCMCGINFQHBJ-UFBFGSQYSA-N 0 2 317.340 0.882 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](F)[C@@H](F)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC001362473970 959425584 /nfs/dbraw/zinc/42/55/84/959425584.db2.gz HXNWFSHOVFWETB-KNVOCYPGSA-N 0 2 310.260 0.876 20 0 DCADLN Cc1[nH+]cc(CN2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)n1C ZINC001594469763 959546036 /nfs/dbraw/zinc/54/60/36/959546036.db2.gz WUKPIIJUTJJBMY-LBPRGKRZSA-N 0 2 320.393 0.626 20 0 DCADLN Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)[C@H](C)C(=O)[O-])cc[nH+]1 ZINC001594470633 959552968 /nfs/dbraw/zinc/55/29/68/959552968.db2.gz FJRNYYMBSQPBEA-INTQDDNPSA-N 0 2 308.338 0.191 20 0 DCADLN CC(C)(C(=O)[O-])n1ccc(NC(=O)N2CCn3c[nH+]cc3C2)n1 ZINC001588623332 959555259 /nfs/dbraw/zinc/55/52/59/959555259.db2.gz KMBNJAFYAWQLBL-UHFFFAOYSA-N 0 2 318.337 0.947 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCCCC1(F)F)Cc1n[nH]c(=O)[nH]1 ZINC001377198234 959675693 /nfs/dbraw/zinc/67/56/93/959675693.db2.gz OFQSCRQXNVGHAW-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1CCCCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001377198234 959675703 /nfs/dbraw/zinc/67/57/03/959675703.db2.gz OFQSCRQXNVGHAW-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1CCCCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001377198234 959675708 /nfs/dbraw/zinc/67/57/08/959675708.db2.gz OFQSCRQXNVGHAW-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001368435017 959858594 /nfs/dbraw/zinc/85/85/94/959858594.db2.gz PRROBYPGVKPFRE-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1csc(C(=O)[O-])c1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC000392285464 972463153 /nfs/dbraw/zinc/46/31/53/972463153.db2.gz LCBKIACIDYDXHP-UHFFFAOYSA-N 0 2 316.404 0.905 20 0 DCADLN CC(C)Oc1cccnc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001362720537 959887210 /nfs/dbraw/zinc/88/72/10/959887210.db2.gz RAARNWZMRMOHNM-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN CC(C)Oc1cccnc1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001362720537 959887219 /nfs/dbraw/zinc/88/72/19/959887219.db2.gz RAARNWZMRMOHNM-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]n1 ZINC001492385119 959902252 /nfs/dbraw/zinc/90/22/52/959902252.db2.gz RITXDZBULBIAOZ-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ccn[nH]1 ZINC001492385119 959902262 /nfs/dbraw/zinc/90/22/62/959902262.db2.gz RITXDZBULBIAOZ-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN O=C(c1cc(Cl)ccc1O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001362749295 959928944 /nfs/dbraw/zinc/92/89/44/959928944.db2.gz YHBLFNIIJQEGQZ-SECBINFHSA-N 0 2 309.713 0.772 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1csc(-c2ccccn2)n1 ZINC001301697935 960009306 /nfs/dbraw/zinc/00/93/06/960009306.db2.gz RLWYLMDQERPLDX-UHFFFAOYSA-N 0 2 315.314 0.851 20 0 DCADLN CC(C)CCC[C@H](C)NC(=O)C[N@@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC000228619080 960035409 /nfs/dbraw/zinc/03/54/09/960035409.db2.gz LYIUYDKNIVMIEI-RWMBFGLXSA-N 0 2 300.399 0.837 20 0 DCADLN CC(C)CCC[C@H](C)NC(=O)C[N@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC000228619080 960035420 /nfs/dbraw/zinc/03/54/20/960035420.db2.gz LYIUYDKNIVMIEI-RWMBFGLXSA-N 0 2 300.399 0.837 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2nncn2-c2ccccc2)S1 ZINC001362822552 960043378 /nfs/dbraw/zinc/04/33/78/960043378.db2.gz XTWYLAHFDXCJFS-SECBINFHSA-N 0 2 316.346 0.762 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc(NC(=O)C3CC3)cc2)n1 ZINC001362840820 960072845 /nfs/dbraw/zinc/07/28/45/960072845.db2.gz NOJWSDBBYWZASF-UHFFFAOYSA-N 0 2 322.350 0.359 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2[C@H]2CCC[C@H]2O)S1 ZINC001362898523 960147770 /nfs/dbraw/zinc/14/77/70/960147770.db2.gz JDAOQYFZBSPUQH-DBIOUOCHSA-N 0 2 311.407 0.695 20 0 DCADLN C[C@@H]1COCC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362901149 960151139 /nfs/dbraw/zinc/15/11/39/960151139.db2.gz ODSKUQIOMCEZNA-BXKDBHETSA-N 0 2 318.333 0.421 20 0 DCADLN O=C(c1cccc(O)c1F)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001363028555 960318035 /nfs/dbraw/zinc/31/80/35/960318035.db2.gz NHSJIPYUHICOQZ-UHFFFAOYSA-N 0 2 307.285 0.168 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)Sc1nncn1C)c1nn(C)cc1O ZINC001363041433 960333802 /nfs/dbraw/zinc/33/38/02/960333802.db2.gz OHDHKQGBIRICTM-SFYZADRCSA-N 0 2 310.383 0.612 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CCN(c3cc(=O)[nH]cn3)C2)c1C ZINC001363046653 960342605 /nfs/dbraw/zinc/34/26/05/960342605.db2.gz ZSEXEQSHUBOEMO-SNVBAGLBSA-N 0 2 302.338 0.531 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)N2CCOC[C@H]2c2nn[nH]n2)C1 ZINC001363115402 960417212 /nfs/dbraw/zinc/41/72/12/960417212.db2.gz AUQAFFYDVMRLOQ-MIMYLULJSA-N 0 2 317.353 0.189 20 0 DCADLN CC(F)(F)C(C)(C)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377935231 961007359 /nfs/dbraw/zinc/00/73/59/961007359.db2.gz BIZHOBNARYSXBG-UHFFFAOYSA-N 0 2 303.313 0.492 20 0 DCADLN O=C([O-])c1ccc(NC2CC[NH+]([C@@H]3CCOC3=O)CC2)nn1 ZINC001571092259 961119154 /nfs/dbraw/zinc/11/91/54/961119154.db2.gz PYPXXPCISMIPNS-LLVKDONJSA-N 0 2 306.322 0.367 20 0 DCADLN Cc1c(NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)nnn1C ZINC001363442892 961163446 /nfs/dbraw/zinc/16/34/46/961163446.db2.gz MFGBWCRLFPIZSH-ZETCQYMHSA-N 0 2 307.276 0.765 20 0 DCADLN COC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001378644688 961184561 /nfs/dbraw/zinc/18/45/61/961184561.db2.gz QCLQHWMNNIATAE-VHSXEESVSA-N 0 2 311.386 0.119 20 0 DCADLN COC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001378644688 961184570 /nfs/dbraw/zinc/18/45/70/961184570.db2.gz QCLQHWMNNIATAE-VHSXEESVSA-N 0 2 311.386 0.119 20 0 DCADLN Cc1ncsc1CC[NH+]1CC(NC(=O)[C@H]2C[C@H]2C(=O)[O-])C1 ZINC001571107360 961298568 /nfs/dbraw/zinc/29/85/68/961298568.db2.gz YBHVVEBVTUPPJV-WDEREUQCSA-N 0 2 309.391 0.515 20 0 DCADLN C[C@H](CC(=O)[O-])CC(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001571111641 961336884 /nfs/dbraw/zinc/33/68/84/961336884.db2.gz CVQRUZBGSVJTJO-CMPLNLGQSA-N 0 2 312.366 0.383 20 0 DCADLN Cc1ccnc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001430214199 1013253943 /nfs/dbraw/zinc/25/39/43/1013253943.db2.gz BVUBHYKBDMAGEG-MRVPVSSYSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ccnc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001430214199 1013253953 /nfs/dbraw/zinc/25/39/53/1013253953.db2.gz BVUBHYKBDMAGEG-MRVPVSSYSA-N 0 2 320.246 0.626 20 0 DCADLN CCn1ncc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001378109000 961446687 /nfs/dbraw/zinc/44/66/87/961446687.db2.gz UCYLPTQITWLPRT-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncc(CN2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001378109000 961446697 /nfs/dbraw/zinc/44/66/97/961446697.db2.gz UCYLPTQITWLPRT-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[N@@H+]1CCC[C@H](CS(N)(=O)=O)C1 ZINC001571133262 961585955 /nfs/dbraw/zinc/58/59/55/961585955.db2.gz KZZPTHUDYSZUHB-BDAKNGLRSA-N 0 2 317.367 0.434 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[N@H+]1CCC[C@H](CS(N)(=O)=O)C1 ZINC001571133262 961585981 /nfs/dbraw/zinc/58/59/81/961585981.db2.gz KZZPTHUDYSZUHB-BDAKNGLRSA-N 0 2 317.367 0.434 20 0 DCADLN O=C(CCC1CCC1)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001447119676 1013270173 /nfs/dbraw/zinc/27/01/73/1013270173.db2.gz DKOUIFYKSJWMJD-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN CNC(=O)c1ccc(C(=O)N[C@@H](C)c2nn(C)cc2O)cn1 ZINC001363666884 961660762 /nfs/dbraw/zinc/66/07/62/961660762.db2.gz NWMQYMSIXHQZPW-QMMMGPOBSA-N 0 2 303.322 0.371 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)F)CCC1 ZINC001379724249 961855889 /nfs/dbraw/zinc/85/58/89/961855889.db2.gz OTWVIONVPMQVMQ-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)F)CCC1 ZINC001379724249 961855904 /nfs/dbraw/zinc/85/59/04/961855904.db2.gz OTWVIONVPMQVMQ-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN Cc1noc(CCC[NH+]2CC(N(C)C(=O)[C@H]3C[C@H]3C(=O)[O-])C2)n1 ZINC001571156362 961876135 /nfs/dbraw/zinc/87/61/35/961876135.db2.gz TWANWGBYKCLEAQ-NWDGAFQWSA-N 0 2 322.365 0.174 20 0 DCADLN Cc1ncsc1CC[NH+]1CC(N(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])C1 ZINC001571161499 961937914 /nfs/dbraw/zinc/93/79/14/961937914.db2.gz SNWGYSDBYXACIX-NWDGAFQWSA-N 0 2 323.418 0.857 20 0 DCADLN C[C@H](NC(=O)c1ccc(OCC(N)=O)cc1)c1nn(C)cc1O ZINC001363833980 961985467 /nfs/dbraw/zinc/98/54/67/961985467.db2.gz RMRYVCGFPZDALU-VIFPVBQESA-N 0 2 318.333 0.481 20 0 DCADLN COc1cnc([C@@H]2CCCN2C(=O)c2[nH]nnc2C)[nH]c1=O ZINC001363849355 962020476 /nfs/dbraw/zinc/02/04/76/962020476.db2.gz OIOXVBFGVMQISC-QMMMGPOBSA-N 0 2 304.310 0.595 20 0 DCADLN C[C@@H](NC(=O)[C@@]12CCC(=O)N1CCS2)c1nn(C)cc1O ZINC001363854265 962025096 /nfs/dbraw/zinc/02/50/96/962025096.db2.gz UHHWWFFLKUIKDI-OQPBUACISA-N 0 2 310.379 0.368 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1ccon1 ZINC001379071886 962026598 /nfs/dbraw/zinc/02/65/98/962026598.db2.gz BIUZHSFJIOUUAC-UHFFFAOYSA-N 0 2 308.342 0.021 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1ccon1 ZINC001379071886 962026608 /nfs/dbraw/zinc/02/66/08/962026608.db2.gz BIUZHSFJIOUUAC-UHFFFAOYSA-N 0 2 308.342 0.021 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCCCCNC(=O)CC2)S1 ZINC001363948095 962176058 /nfs/dbraw/zinc/17/60/58/962176058.db2.gz QKUPTCYHMCAZIQ-VIFPVBQESA-N 0 2 312.395 0.062 20 0 DCADLN Cc1nnc(C[NH2+][C@@H](C)CN(C)C(=O)Cn2c[nH+]cc2C)[nH]1 ZINC001379192803 962321084 /nfs/dbraw/zinc/32/10/84/962321084.db2.gz TYCWBHRZNNFSNZ-JTQLQIEISA-N 0 2 305.386 0.255 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)N[C@@H](C)C(F)(F)F ZINC001364026728 962321455 /nfs/dbraw/zinc/32/14/55/962321455.db2.gz DNFYCCBWCHXGOB-BYPYZUCNSA-N 0 2 301.246 0.425 20 0 DCADLN Cc1ncc(C[NH2+]C[C@H](O)CNC(=O)CCc2c[nH+]c[nH]2)s1 ZINC001379209600 962369816 /nfs/dbraw/zinc/36/98/16/962369816.db2.gz XARFFRLDLIPLHV-LBPRGKRZSA-N 0 2 323.422 0.374 20 0 DCADLN O=C(CCC1CCOCC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001380008139 962490248 /nfs/dbraw/zinc/49/02/48/962490248.db2.gz JNBKTZRRQDIZAU-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(Cc1ccccc1F)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001380029619 962530747 /nfs/dbraw/zinc/53/07/47/962530747.db2.gz IFLRBNUKCRFUBF-LLVKDONJSA-N 0 2 319.340 0.583 20 0 DCADLN CC(C)n1cc(C[NH2+][C@@H]2CCCCN(CC(=O)[O-])C2=O)nn1 ZINC001588981016 962723516 /nfs/dbraw/zinc/72/35/16/962723516.db2.gz SOJXBPYZPHTBQS-GFCCVEGCSA-N 0 2 309.370 0.414 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001379362518 962731714 /nfs/dbraw/zinc/73/17/14/962731714.db2.gz DCSBKZNSBBDWPS-SSDOTTSWSA-N 0 2 310.207 0.253 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001379362518 962731734 /nfs/dbraw/zinc/73/17/34/962731734.db2.gz DCSBKZNSBBDWPS-SSDOTTSWSA-N 0 2 310.207 0.253 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001379373155 962757472 /nfs/dbraw/zinc/75/74/72/962757472.db2.gz YWWIRNSMLCAWJP-BDAKNGLRSA-N 0 2 314.279 0.573 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001379373155 962757483 /nfs/dbraw/zinc/75/74/83/962757483.db2.gz YWWIRNSMLCAWJP-BDAKNGLRSA-N 0 2 314.279 0.573 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2c[nH]cc2C2CC2)[nH]1 ZINC001364286824 962824064 /nfs/dbraw/zinc/82/40/64/962824064.db2.gz SNFLYFMGPFYGTF-UHFFFAOYSA-N 0 2 323.378 0.485 20 0 DCADLN C[C@H](NC(=O)C1=NC(=O)N(C)C1)c1nc(C(F)(F)F)n[nH]1 ZINC001364314818 962878429 /nfs/dbraw/zinc/87/84/29/962878429.db2.gz ZOGAAUYODRSYTM-BYPYZUCNSA-N 0 2 304.232 0.754 20 0 DCADLN CN(C(=O)CC[C@@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380246290 962917005 /nfs/dbraw/zinc/91/70/05/962917005.db2.gz WIVDMTILNZBOQJ-LBPRGKRZSA-N 0 2 323.397 0.502 20 0 DCADLN Cc1nscc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368945219 964171658 /nfs/dbraw/zinc/17/16/58/964171658.db2.gz UHPHUKRDMQJICG-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1nscc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368945219 964171663 /nfs/dbraw/zinc/17/16/63/964171663.db2.gz UHPHUKRDMQJICG-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN C[C@H](CNC(=O)c1ccncc1Cl)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368987998 964247384 /nfs/dbraw/zinc/24/73/84/964247384.db2.gz XYSHBELBQCPYGY-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@H](CNC(=O)c1ccncc1Cl)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368987998 964247394 /nfs/dbraw/zinc/24/73/94/964247394.db2.gz XYSHBELBQCPYGY-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C(C)(C)C(F)F ZINC001375481060 964457931 /nfs/dbraw/zinc/45/79/31/964457931.db2.gz YDXWKZBYLGBDOV-QMMMGPOBSA-N 0 2 317.340 0.834 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001373871018 964734400 /nfs/dbraw/zinc/73/44/00/964734400.db2.gz SXRUTIQCKXLIQS-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001373871018 964734421 /nfs/dbraw/zinc/73/44/21/964734421.db2.gz SXRUTIQCKXLIQS-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ncc[nH]1 ZINC001373871085 964735053 /nfs/dbraw/zinc/73/50/53/964735053.db2.gz UIXRRPGWERBGOJ-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CN(C(=O)COCC1CC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001376004847 965071727 /nfs/dbraw/zinc/07/17/27/965071727.db2.gz JMVCTRNQVCZPFQ-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN COCC(=O)N[C@@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001370083542 965756883 /nfs/dbraw/zinc/75/68/83/965756883.db2.gz ZGMBQCHYKONELA-RCOVLWMOSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N[C@@H](C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001370083542 965756890 /nfs/dbraw/zinc/75/68/90/965756890.db2.gz ZGMBQCHYKONELA-RCOVLWMOSA-N 0 2 300.252 0.496 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001430610303 1013622977 /nfs/dbraw/zinc/62/29/77/1013622977.db2.gz JIMRAUNHYFSTFZ-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)oc1C ZINC001375027066 966253774 /nfs/dbraw/zinc/25/37/74/966253774.db2.gz XHHOZRXXVVKDTH-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)oc1C ZINC001375027066 966253785 /nfs/dbraw/zinc/25/37/85/966253785.db2.gz XHHOZRXXVVKDTH-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)[N@H+](C)CCn2cccn2)c1[O-] ZINC001381507825 966477770 /nfs/dbraw/zinc/47/77/70/966477770.db2.gz LLZNTWCEZUFJCK-JTQLQIEISA-N 0 2 306.370 0.371 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)[N@@H+](C)CCn2cccn2)c1[O-] ZINC001381507825 966477775 /nfs/dbraw/zinc/47/77/75/966477775.db2.gz LLZNTWCEZUFJCK-JTQLQIEISA-N 0 2 306.370 0.371 20 0 DCADLN C[C@H](CNC(=O)CCCn1cccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381619595 966587172 /nfs/dbraw/zinc/58/71/72/966587172.db2.gz OYYPWUCHXNZTMR-GFCCVEGCSA-N 0 2 320.397 0.729 20 0 DCADLN C[C@H](CNC(=O)CCCn1cccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381619595 966587181 /nfs/dbraw/zinc/58/71/81/966587181.db2.gz OYYPWUCHXNZTMR-GFCCVEGCSA-N 0 2 320.397 0.729 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)F)C(=O)C(F)C(F)(F)F ZINC001371004549 966659330 /nfs/dbraw/zinc/65/93/30/966659330.db2.gz IWCRQNXYADRTHO-WHFBIAKZSA-N 0 2 310.194 0.087 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)F)C(=O)[C@H](F)C(F)(F)F ZINC001371004549 966659334 /nfs/dbraw/zinc/65/93/34/966659334.db2.gz IWCRQNXYADRTHO-WHFBIAKZSA-N 0 2 310.194 0.087 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001447980344 1013681287 /nfs/dbraw/zinc/68/12/87/1013681287.db2.gz RMJWXGJGPYGRFP-RCOVLWMOSA-N 0 2 310.251 0.474 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccccn1 ZINC001382484257 967435155 /nfs/dbraw/zinc/43/51/55/967435155.db2.gz VPUFBQSSINYDAI-UHFFFAOYSA-N 0 2 318.381 0.888 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccccn1 ZINC001382484257 967435159 /nfs/dbraw/zinc/43/51/59/967435159.db2.gz VPUFBQSSINYDAI-UHFFFAOYSA-N 0 2 318.381 0.888 20 0 DCADLN CC(C)n1cccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372225633 967764267 /nfs/dbraw/zinc/76/42/67/967764267.db2.gz SWNROPDORQVXOY-UHFFFAOYSA-N 0 2 306.370 0.755 20 0 DCADLN CC(C)n1cccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372225633 967764273 /nfs/dbraw/zinc/76/42/73/967764273.db2.gz SWNROPDORQVXOY-UHFFFAOYSA-N 0 2 306.370 0.755 20 0 DCADLN CO[C@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCCC1 ZINC001373485930 969145109 /nfs/dbraw/zinc/14/51/09/969145109.db2.gz PSABSCFRBKHEDZ-ZDUSSCGKSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCOCC12CC2 ZINC001448408561 1013901557 /nfs/dbraw/zinc/90/15/57/1013901557.db2.gz JYOMMOGEBFQPLT-UHFFFAOYSA-N 0 2 316.317 0.271 20 0 DCADLN C[C@@H]1COCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001448533486 1013944230 /nfs/dbraw/zinc/94/42/30/1013944230.db2.gz WRZCUOLDGXAXHX-SECBINFHSA-N 0 2 304.306 0.127 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H]1CCCC12CC2)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001448688957 1013996844 /nfs/dbraw/zinc/99/68/44/1013996844.db2.gz YHPPIQKEEWMTMS-RYUDHWBXSA-N 0 2 321.425 0.625 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000319953128 970593664 /nfs/dbraw/zinc/59/36/64/970593664.db2.gz GJPRLVUYRJMHIE-SNVBAGLBSA-N 0 2 322.252 0.883 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000319953128 970593672 /nfs/dbraw/zinc/59/36/72/970593672.db2.gz GJPRLVUYRJMHIE-SNVBAGLBSA-N 0 2 322.252 0.883 20 0 DCADLN Cn1c(N2CCC[C@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001604201079 972651965 /nfs/dbraw/zinc/65/19/65/972651965.db2.gz MGEXLYASXPTPRP-FIXISWKDSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC[C@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001604201079 972651973 /nfs/dbraw/zinc/65/19/73/972651973.db2.gz MGEXLYASXPTPRP-FIXISWKDSA-N 0 2 323.397 0.028 20 0 DCADLN Cc1[nH]c([C@@H]2CCCN2C(=O)[C@H]2CC(C(=O)[O-])=NO2)[nH+]c1C ZINC001605402670 973389599 /nfs/dbraw/zinc/38/95/99/973389599.db2.gz ARMNBWJTXVGIEU-WDEREUQCSA-N 0 2 306.322 0.920 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NCC(C)(C)N1CCOCC1 ZINC001603498778 973464275 /nfs/dbraw/zinc/46/42/75/973464275.db2.gz ZVVTWKUEDNWVET-LBPRGKRZSA-N 0 2 315.414 0.008 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NCC(C)(C)N1CCOCC1 ZINC001603498778 973464283 /nfs/dbraw/zinc/46/42/83/973464283.db2.gz ZVVTWKUEDNWVET-LBPRGKRZSA-N 0 2 315.414 0.008 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC000401878685 973485699 /nfs/dbraw/zinc/48/56/99/973485699.db2.gz LJNDHMDRPNLLKI-WPRPVWTQSA-N 0 2 314.288 0.671 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC000401878685 973485702 /nfs/dbraw/zinc/48/57/02/973485702.db2.gz LJNDHMDRPNLLKI-WPRPVWTQSA-N 0 2 314.288 0.671 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+]([C@H]2CCN(C(C)(C)C)C2=O)CCO1 ZINC001604558059 973602992 /nfs/dbraw/zinc/60/29/92/973602992.db2.gz LLEGRQPKXBGJKK-NHYWBVRUSA-N 0 2 314.382 0.188 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+]([C@H]2CCN(C(C)(C)C)C2=O)CCO1 ZINC001604558059 973602997 /nfs/dbraw/zinc/60/29/97/973602997.db2.gz LLEGRQPKXBGJKK-NHYWBVRUSA-N 0 2 314.382 0.188 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)[C@@H](C(=O)[O-])C3CCCC3)CC[NH2+]2)cn1 ZINC001605488081 973613733 /nfs/dbraw/zinc/61/37/33/973613733.db2.gz CSBCCASUDMOMEH-KGLIPLIRSA-N 0 2 320.393 0.784 20 0 DCADLN Cn1c[nH+]cc1C1CCN(C(=O)[C@@]2(C(=O)[O-])CCCO2)CC1 ZINC001605522652 973707438 /nfs/dbraw/zinc/70/74/38/973707438.db2.gz KVXPXWCBUXKRRI-OAHLLOKOSA-N 0 2 307.350 0.760 20 0 DCADLN Cn1nc(C(=O)[O-])cc1CN1CC[NH+](Cc2ccncc2)CC1 ZINC001605539049 973734972 /nfs/dbraw/zinc/73/49/72/973734972.db2.gz QNKSUPSBKZNHNB-UHFFFAOYSA-N 0 2 315.377 0.831 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(N3CCOCC3)nc[nH+]2)C[C@@H]1C1CC1 ZINC001549119378 1014138443 /nfs/dbraw/zinc/13/84/43/1014138443.db2.gz STEKJOKTLVZCCL-CHWSQXEVSA-N 0 2 318.377 0.860 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(N3CCOCC3)[nH+]cn2)C[C@@H]1C1CC1 ZINC001549119378 1014138445 /nfs/dbraw/zinc/13/84/45/1014138445.db2.gz STEKJOKTLVZCCL-CHWSQXEVSA-N 0 2 318.377 0.860 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cc[n+]([O-])cc1 ZINC001522501673 1014144293 /nfs/dbraw/zinc/14/42/93/1014144293.db2.gz YRHOSLUHRNDNIC-QMMMGPOBSA-N 0 2 309.219 0.066 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cc[n+]([O-])cc1 ZINC001522501673 1014144300 /nfs/dbraw/zinc/14/43/00/1014144300.db2.gz YRHOSLUHRNDNIC-QMMMGPOBSA-N 0 2 309.219 0.066 20 0 DCADLN COc1ccc(-c2noc(C[N@@H+]3[C@@H](C)CC[C@H]3C(=O)[O-])n2)nn1 ZINC001604751259 973963596 /nfs/dbraw/zinc/96/35/96/973963596.db2.gz LODBDQAOCCNKKH-WPRPVWTQSA-N 0 2 319.321 0.973 20 0 DCADLN COc1ccc(-c2noc(C[N@H+]3[C@@H](C)CC[C@H]3C(=O)[O-])n2)nn1 ZINC001604751259 973963605 /nfs/dbraw/zinc/96/36/05/973963605.db2.gz LODBDQAOCCNKKH-WPRPVWTQSA-N 0 2 319.321 0.973 20 0 DCADLN CCCCNC(=O)[C@H](C)[N@@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001603647466 974006746 /nfs/dbraw/zinc/00/67/46/974006746.db2.gz SCMXXDAUKAQAPB-SMDDNHRTSA-N 0 2 302.371 0.093 20 0 DCADLN CCCCNC(=O)[C@H](C)[N@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001603647466 974006761 /nfs/dbraw/zinc/00/67/61/974006761.db2.gz SCMXXDAUKAQAPB-SMDDNHRTSA-N 0 2 302.371 0.093 20 0 DCADLN CCn1c(C[N@@H+]2CCC[C@@H](O)C2)nnc1N1CC[C@@H](C(=O)[O-])C1 ZINC001604008114 974516468 /nfs/dbraw/zinc/51/64/68/974516468.db2.gz KRVWGLDHTWILTL-VXGBXAGGSA-N 0 2 323.397 0.166 20 0 DCADLN CCn1c(C[N@H+]2CCC[C@@H](O)C2)nnc1N1CC[C@@H](C(=O)[O-])C1 ZINC001604008114 974516475 /nfs/dbraw/zinc/51/64/75/974516475.db2.gz KRVWGLDHTWILTL-VXGBXAGGSA-N 0 2 323.397 0.166 20 0 DCADLN COCC1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CC1 ZINC001522686366 1014297949 /nfs/dbraw/zinc/29/79/49/1014297949.db2.gz FECCUZQRMRSXNL-SSDOTTSWSA-N 0 2 300.252 0.546 20 0 DCADLN COCC1(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001522686366 1014297957 /nfs/dbraw/zinc/29/79/57/1014297957.db2.gz FECCUZQRMRSXNL-SSDOTTSWSA-N 0 2 300.252 0.546 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nn1 ZINC001522689506 1014299980 /nfs/dbraw/zinc/29/99/80/1014299980.db2.gz WLWCXGUOBXKSFB-ZETCQYMHSA-N 0 2 311.239 0.044 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001522689506 1014299986 /nfs/dbraw/zinc/29/99/86/1014299986.db2.gz WLWCXGUOBXKSFB-ZETCQYMHSA-N 0 2 311.239 0.044 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCC[C@@H](OC(F)F)C1)C(=O)[O-] ZINC001591865917 976165063 /nfs/dbraw/zinc/16/50/63/976165063.db2.gz FEVOKJAMZHXLQH-KOLCDFICSA-N 0 2 308.325 0.915 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCC[C@@H](OC(F)F)C1)C(=O)[O-] ZINC001591865917 976165067 /nfs/dbraw/zinc/16/50/67/976165067.db2.gz FEVOKJAMZHXLQH-KOLCDFICSA-N 0 2 308.325 0.915 20 0 DCADLN CC(F)(F)CCS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1 ZINC001592939543 980008048 /nfs/dbraw/zinc/00/80/48/980008048.db2.gz HSLUIMPBPIQVQO-UHFFFAOYSA-N 0 2 314.354 0.454 20 0 DCADLN O=C([O-])[C@]12COC[C@@H]1CN(C(=O)[C@@H]1CCc3c[nH+]cn3C1)C2 ZINC001550344264 1014672964 /nfs/dbraw/zinc/67/29/64/1014672964.db2.gz GEPKGFDSDDVRCK-JRPNMDOOSA-N 0 2 305.334 0.005 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)c[nH+]1 ZINC001550346572 1014674898 /nfs/dbraw/zinc/67/48/98/1014674898.db2.gz QQZGOGWSCGKUSB-NHYWBVRUSA-N 0 2 307.350 0.486 20 0 DCADLN CC[C@H](C(=O)N[C@H]1CCO[C@H]1C(=O)[O-])[N@@H+]1CCO[C@@H](CC)C1 ZINC001595484570 981222584 /nfs/dbraw/zinc/22/25/84/981222584.db2.gz SSEMHOPGWFFUAX-WUHRBBMRSA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@H](C(=O)N[C@H]1CCO[C@H]1C(=O)[O-])[N@H+]1CCO[C@@H](CC)C1 ZINC001595484570 981222587 /nfs/dbraw/zinc/22/25/87/981222587.db2.gz SSEMHOPGWFFUAX-WUHRBBMRSA-N 0 2 314.382 0.234 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001449835485 1014716589 /nfs/dbraw/zinc/71/65/89/1014716589.db2.gz ZROVRZQZJLNGCD-PSASIEDQSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001449835485 1014716602 /nfs/dbraw/zinc/71/66/02/1014716602.db2.gz ZROVRZQZJLNGCD-PSASIEDQSA-N 0 2 324.278 0.747 20 0 DCADLN CCc1n[nH]cc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001530483837 1014767734 /nfs/dbraw/zinc/76/77/34/1014767734.db2.gz GBDBDEOOFOHNPN-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN CCc1n[nH]cc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530483837 1014767741 /nfs/dbraw/zinc/76/77/41/1014767741.db2.gz GBDBDEOOFOHNPN-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN CCC(C)(C)NC(=O)C[N@H+](C)CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001594806158 981912437 /nfs/dbraw/zinc/91/24/37/981912437.db2.gz VLSLSFPGMKQHEG-GHMZBOCLSA-N 0 2 313.398 0.060 20 0 DCADLN CCC(C)(C)NC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001594806158 981912442 /nfs/dbraw/zinc/91/24/42/981912442.db2.gz VLSLSFPGMKQHEG-GHMZBOCLSA-N 0 2 313.398 0.060 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCc2n[nH]c(=O)cc2C1)C(=O)[O-] ZINC001594894465 982322557 /nfs/dbraw/zinc/32/25/57/982322557.db2.gz SIMJGAYHEZUCBI-XPTSAGLGSA-N 0 2 322.365 0.156 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCc2n[nH]c(=O)cc2C1)C(=O)[O-] ZINC001594894465 982322565 /nfs/dbraw/zinc/32/25/65/982322565.db2.gz SIMJGAYHEZUCBI-XPTSAGLGSA-N 0 2 322.365 0.156 20 0 DCADLN CO[C@H](C)CC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530713564 1014897977 /nfs/dbraw/zinc/89/79/77/1014897977.db2.gz DKZANKGNPUJYQG-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@H](C)CC(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530713564 1014897988 /nfs/dbraw/zinc/89/79/88/1014897988.db2.gz DKZANKGNPUJYQG-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(C(=O)[O-])n2C)C1 ZINC001596495920 984241081 /nfs/dbraw/zinc/24/10/81/984241081.db2.gz NEHJDZWWIOIYKB-LLVKDONJSA-N 0 2 315.395 0.237 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(C(=O)[O-])n2C)C1 ZINC001596495920 984241086 /nfs/dbraw/zinc/24/10/86/984241086.db2.gz NEHJDZWWIOIYKB-LLVKDONJSA-N 0 2 315.395 0.237 20 0 DCADLN CCNc1cc(CNC(=O)N2CC[C@@](OC)(C(=O)[O-])C2)cc[nH+]1 ZINC001596499720 984251056 /nfs/dbraw/zinc/25/10/56/984251056.db2.gz PDACGMJCDDELOP-HNNXBMFYSA-N 0 2 322.365 0.899 20 0 DCADLN CCOC(=O)C(C)(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001596511714 984282707 /nfs/dbraw/zinc/28/27/07/984282707.db2.gz AEFOXENMLBDTMT-JTQLQIEISA-N 0 2 311.338 0.501 20 0 DCADLN CCOC(=O)C(C)(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001596511714 984282712 /nfs/dbraw/zinc/28/27/12/984282712.db2.gz AEFOXENMLBDTMT-JTQLQIEISA-N 0 2 311.338 0.501 20 0 DCADLN COCCN(CCNC(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001383292232 984755697 /nfs/dbraw/zinc/75/56/97/984755697.db2.gz SXLTXRLNOHZPQW-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN COCCN(CCNC(=O)C1CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001383292232 984755702 /nfs/dbraw/zinc/75/57/02/984755702.db2.gz SXLTXRLNOHZPQW-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(Cn1cccn1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450368454 1015092735 /nfs/dbraw/zinc/09/27/35/1015092735.db2.gz BVOWOYCITQARQG-SECBINFHSA-N 0 2 308.235 0.111 20 0 DCADLN O=C(Cn1cccn1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450368454 1015092740 /nfs/dbraw/zinc/09/27/40/1015092740.db2.gz BVOWOYCITQARQG-SECBINFHSA-N 0 2 308.235 0.111 20 0 DCADLN CCOC(=O)c1n[nH]c(C[NH2+][C@@H]2C[C@@H]3C[C@@H](C(=O)[O-])C[C@H]23)n1 ZINC001596749713 985092065 /nfs/dbraw/zinc/09/20/65/985092065.db2.gz ZRGDTHQXWXDXHJ-QCLAVDOMSA-N 0 2 308.338 0.570 20 0 DCADLN CC(=O)N1CC[NH+](C[C@H](O)COc2ccc(C(=O)[O-])cc2)CC1 ZINC001589081033 985247071 /nfs/dbraw/zinc/24/70/71/985247071.db2.gz GJCFQWYWTJDVNV-AWEZNQCLSA-N 0 2 322.361 0.289 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+]2CC[C@H](O)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945422 985519143 /nfs/dbraw/zinc/51/91/43/985519143.db2.gz PVUUQAFQIJHXNF-TUAOUCFPSA-N 0 2 324.377 0.576 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+]2CC[C@H](O)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945422 985519149 /nfs/dbraw/zinc/51/91/49/985519149.db2.gz PVUUQAFQIJHXNF-TUAOUCFPSA-N 0 2 324.377 0.576 20 0 DCADLN Cc1[nH]c(C(=O)CN2CC[N@@H+](C)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001599945468 985519349 /nfs/dbraw/zinc/51/93/49/985519349.db2.gz NSYOKEKSVQRWGF-NSHDSACASA-N 0 2 309.366 0.121 20 0 DCADLN Cc1[nH]c(C(=O)CN2CC[N@H+](C)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001599945468 985519359 /nfs/dbraw/zinc/51/93/59/985519359.db2.gz NSYOKEKSVQRWGF-NSHDSACASA-N 0 2 309.366 0.121 20 0 DCADLN COCCC(=O)N1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001384379447 985539481 /nfs/dbraw/zinc/53/94/81/985539481.db2.gz RNEOTAKRBMUDPR-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N1CC[C@@H]1CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001384379447 985539485 /nfs/dbraw/zinc/53/94/85/985539485.db2.gz RNEOTAKRBMUDPR-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)Cc1c[nH+]c[nH]1)[C@H](C)CNC(=O)C[N@H+](C)C(C)C ZINC001384605959 985693412 /nfs/dbraw/zinc/69/34/12/985693412.db2.gz ICXZFGUNLZGAIY-CYBMUJFWSA-N 0 2 323.441 0.646 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@H]2CCC(=O)C2)n[nH]1 ZINC001551659032 1015164770 /nfs/dbraw/zinc/16/47/70/1015164770.db2.gz KYTFHLZKTRZAGH-RTXFEEFZSA-N 0 2 306.366 0.813 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H]2OCC[C@H]2c2n[nH]c(C)n2)n(C)n1 ZINC001551661985 1015168988 /nfs/dbraw/zinc/16/89/88/1015168988.db2.gz DOBVHUBPDWEKBU-YPMHNXCESA-N 0 2 318.381 0.800 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2csnn2)n[nH]1 ZINC001551669413 1015172129 /nfs/dbraw/zinc/17/21/29/1015172129.db2.gz SMKSJSXCBULOMI-WCBMZHEXSA-N 0 2 308.367 0.609 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)Cc2cnn(C)c2)n[nH]1 ZINC001551666845 1015171237 /nfs/dbraw/zinc/17/12/37/1015171237.db2.gz OKNHGQSHZVPPEW-CHWSQXEVSA-N 0 2 318.381 0.420 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2cnc(C)cn2)n[nH]1 ZINC001551671960 1015173106 /nfs/dbraw/zinc/17/31/06/1015173106.db2.gz YFPPSXJCISIRAJ-YPMHNXCESA-N 0 2 316.365 0.856 20 0 DCADLN C[C@H]1OCC[C@@]12C[N@H+](Cc1cnc(C(=O)[O-])cn1)C[C@@H](C)O2 ZINC001594547370 986224953 /nfs/dbraw/zinc/22/49/53/986224953.db2.gz JRXUMSBUKGUMDR-UEKVPHQBSA-N 0 2 307.350 0.943 20 0 DCADLN C[C@H]1OCC[C@@]12C[N@@H+](Cc1cnc(C(=O)[O-])cn1)C[C@@H](C)O2 ZINC001594547370 986224957 /nfs/dbraw/zinc/22/49/57/986224957.db2.gz JRXUMSBUKGUMDR-UEKVPHQBSA-N 0 2 307.350 0.943 20 0 DCADLN C[C@@H](C(=O)N1CCC[C@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC001589356949 986343067 /nfs/dbraw/zinc/34/30/67/986343067.db2.gz SZFHQKIXBCMXRN-QWRGUYRKSA-N 0 2 318.337 0.598 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cn[nH]c3)C[C@H]21)C(F)C(F)(F)F ZINC001526197441 1015229826 /nfs/dbraw/zinc/22/98/26/1015229826.db2.gz DGTYXJGKPXFEHR-KDXUFGMBSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cn[nH]c3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001526197441 1015229835 /nfs/dbraw/zinc/22/98/35/1015229835.db2.gz DGTYXJGKPXFEHR-KDXUFGMBSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001385981776 986728419 /nfs/dbraw/zinc/72/84/19/986728419.db2.gz NZGKUTDAKGBXGE-UYXSQOIJSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC001385981776 986728432 /nfs/dbraw/zinc/72/84/32/986728432.db2.gz NZGKUTDAKGBXGE-UYXSQOIJSA-N 0 2 312.263 0.829 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)NC(=O)C(F)C(F)(F)F ZINC001386506746 987186513 /nfs/dbraw/zinc/18/65/13/987186513.db2.gz KOWWFYQJEWUIIN-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)NC(=O)[C@H](F)C(F)(F)F ZINC001386506746 987186514 /nfs/dbraw/zinc/18/65/14/987186514.db2.gz KOWWFYQJEWUIIN-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)NC(=O)CCc2[nH]cc[nH+]2)c1[O-] ZINC001526500678 1015287952 /nfs/dbraw/zinc/28/79/52/1015287952.db2.gz RKNKKXNRVMLMTO-MRVPVSSYSA-N 0 2 320.353 0.014 20 0 DCADLN C[C@H](C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1cccn1 ZINC001386809880 987417330 /nfs/dbraw/zinc/41/73/30/987417330.db2.gz ARXLWZMCMACYQU-BDAKNGLRSA-N 0 2 324.278 0.919 20 0 DCADLN C[C@H](C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)n1cccn1 ZINC001386809880 987417335 /nfs/dbraw/zinc/41/73/35/987417335.db2.gz ARXLWZMCMACYQU-BDAKNGLRSA-N 0 2 324.278 0.919 20 0 DCADLN C[C@@H](CNC(=O)c1cnc[nH]c1=O)NC(=O)C(F)C(F)(F)F ZINC001386890804 987482980 /nfs/dbraw/zinc/48/29/80/987482980.db2.gz YVMPMDQSMJQFGC-CAHLUQPWSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)c1cnc[nH]c1=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001386890804 987482986 /nfs/dbraw/zinc/48/29/86/987482986.db2.gz YVMPMDQSMJQFGC-CAHLUQPWSA-N 0 2 324.234 0.317 20 0 DCADLN COCC(=O)N1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001387199608 987701135 /nfs/dbraw/zinc/70/11/35/987701135.db2.gz YHRZOEHLFZIAIE-VXNVDRBHSA-N 0 2 300.252 0.498 20 0 DCADLN COCC(=O)N1CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387199608 987701137 /nfs/dbraw/zinc/70/11/37/987701137.db2.gz YHRZOEHLFZIAIE-VXNVDRBHSA-N 0 2 300.252 0.498 20 0 DCADLN CC[NH+](CC)CC(=O)NC[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001388367836 988235993 /nfs/dbraw/zinc/23/59/93/988235993.db2.gz MYGQOTGVTONSKB-CQSZACIVSA-N 0 2 321.425 0.401 20 0 DCADLN CC[C@H](CNC(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001450848133 1015392626 /nfs/dbraw/zinc/39/26/26/1015392626.db2.gz PRNJERLEMNAEBS-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)c1ccn[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001450848133 1015392638 /nfs/dbraw/zinc/39/26/38/1015392638.db2.gz PRNJERLEMNAEBS-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H]1C[N@H+](CCOC(=O)Cc2ccccc2)C[C@@H](C(=O)[O-])O1 ZINC001599865487 989244671 /nfs/dbraw/zinc/24/46/71/989244671.db2.gz BSSPAPSUDFQXKR-OCCSQVGLSA-N 0 2 307.346 0.946 20 0 DCADLN C[C@@H]1C[N@@H+](CCOC(=O)Cc2ccccc2)C[C@@H](C(=O)[O-])O1 ZINC001599865487 989244676 /nfs/dbraw/zinc/24/46/76/989244676.db2.gz BSSPAPSUDFQXKR-OCCSQVGLSA-N 0 2 307.346 0.946 20 0 DCADLN CCn1nc(C)c(C[NH2+]C/C=C/CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001597938294 989911609 /nfs/dbraw/zinc/91/16/09/989911609.db2.gz PBCVLSMRQQNUJL-GKUNOOHESA-N 0 2 321.381 0.089 20 0 DCADLN CC(C)(C)c1ccc(OC[C@@H](O)C[NH+]2CC(O)(C(=O)[O-])C2)cc1 ZINC001590492790 990092844 /nfs/dbraw/zinc/09/28/44/990092844.db2.gz BEAAXCFQBDMHSL-ZDUSSCGKSA-N 0 2 323.389 0.855 20 0 DCADLN CN(C(=O)C[NH2+][C@@H](C(=O)[O-])c1ccc2c(c1)CCO2)C1CC1 ZINC001598025177 990234715 /nfs/dbraw/zinc/23/47/15/990234715.db2.gz OGSLFZZAOGCQON-OAHLLOKOSA-N 0 2 304.346 0.958 20 0 DCADLN C[N@H+](CCNC(=O)c1ccn(C(C)(C)C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001390761095 990361429 /nfs/dbraw/zinc/36/14/29/990361429.db2.gz IKZUOILLSYWVEU-UHFFFAOYSA-N 0 2 321.385 0.324 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccn(C(C)(C)C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001390761095 990361434 /nfs/dbraw/zinc/36/14/34/990361434.db2.gz IKZUOILLSYWVEU-UHFFFAOYSA-N 0 2 321.385 0.324 20 0 DCADLN Cc1nc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001390867977 990448047 /nfs/dbraw/zinc/44/80/47/990448047.db2.gz YVMMGLKKVHUFBI-UHFFFAOYSA-N 0 2 310.383 0.066 20 0 DCADLN Cc1nc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001390867977 990448051 /nfs/dbraw/zinc/44/80/51/990448051.db2.gz YVMMGLKKVHUFBI-UHFFFAOYSA-N 0 2 310.383 0.066 20 0 DCADLN CCN(CCNC(=O)c1cnn[nH]1)Cc1nnc(C(C)(C)C)[nH]1 ZINC001390939537 990483063 /nfs/dbraw/zinc/48/30/63/990483063.db2.gz GOMKIMJHCDEOHB-UHFFFAOYSA-N 0 2 320.401 0.472 20 0 DCADLN CC(C)(CNS(=O)(=O)CC1(C(=O)[O-])CCC1)n1cc[nH+]c1 ZINC001590777078 990581970 /nfs/dbraw/zinc/58/19/70/990581970.db2.gz UQWBVNRHAIXJPA-UHFFFAOYSA-N 0 2 315.395 0.793 20 0 DCADLN CC(C)(CS(=O)(=O)N1CCC(n2cc[nH+]c2)CC1)C(=O)[O-] ZINC001590788365 990607065 /nfs/dbraw/zinc/60/70/65/990607065.db2.gz AHJLDQZTTLWHOX-UHFFFAOYSA-N 0 2 315.395 0.961 20 0 DCADLN CC1(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCOCC1 ZINC001391188659 990652450 /nfs/dbraw/zinc/65/24/50/990652450.db2.gz HWUIECIYZQRFLM-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN CC1(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCOCC1 ZINC001391188659 990652454 /nfs/dbraw/zinc/65/24/54/990652454.db2.gz HWUIECIYZQRFLM-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CCC(F)(F)F)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391588413 990988390 /nfs/dbraw/zinc/98/83/90/990988390.db2.gz OURWTALNSLHPKU-SSDOTTSWSA-N 0 2 307.276 0.543 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)c2ccnc(C(=O)[O-])c2)co1 ZINC001598256267 991065851 /nfs/dbraw/zinc/06/58/51/991065851.db2.gz QAFMSVQJNUVJAB-UHFFFAOYSA-N 0 2 304.306 0.759 20 0 DCADLN CC[C@H](CNC(=O)CCc1nnc[nH]1)[NH2+][C@@H](C)c1ncccn1 ZINC001391686603 991094069 /nfs/dbraw/zinc/09/40/69/991094069.db2.gz SNADRZLKXPUQRH-NWDGAFQWSA-N 0 2 317.397 0.773 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[N@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001599757341 992072086 /nfs/dbraw/zinc/07/20/86/992072086.db2.gz HCASQPJTIOGMTQ-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[N@@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001599757341 992072093 /nfs/dbraw/zinc/07/20/93/992072093.db2.gz HCASQPJTIOGMTQ-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN COCc1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001392669551 992238364 /nfs/dbraw/zinc/23/83/64/992238364.db2.gz GSSOCBKUGLHALZ-VIFPVBQESA-N 0 2 323.353 0.500 20 0 DCADLN COCc1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001392669551 992238369 /nfs/dbraw/zinc/23/83/69/992238369.db2.gz GSSOCBKUGLHALZ-VIFPVBQESA-N 0 2 323.353 0.500 20 0 DCADLN Cc1ncsc1C[N@@H+](C)C[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001392713219 992304490 /nfs/dbraw/zinc/30/44/90/992304490.db2.gz ZMSPNJSQAHCEGR-SECBINFHSA-N 0 2 308.411 0.749 20 0 DCADLN C[S@@](=O)c1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC001599778196 992331459 /nfs/dbraw/zinc/33/14/59/992331459.db2.gz JJNBYKDMHYVJBD-VERVWZFWSA-N 0 2 321.358 0.573 20 0 DCADLN C[S@@](=O)c1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001599778196 992331468 /nfs/dbraw/zinc/33/14/68/992331468.db2.gz JJNBYKDMHYVJBD-VERVWZFWSA-N 0 2 321.358 0.573 20 0 DCADLN CC(C)Cn1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cn1 ZINC001591520495 992687697 /nfs/dbraw/zinc/68/76/97/992687697.db2.gz UKRYUGFIIPIDPE-GFCCVEGCSA-N 0 2 305.338 0.619 20 0 DCADLN CN1C(=O)CN(C[N@H+](C)Cc2ccc(OCC(=O)[O-])cc2)C1=O ZINC001598523581 992690322 /nfs/dbraw/zinc/69/03/22/992690322.db2.gz IMEYJDGYTLYJOQ-UHFFFAOYSA-N 0 2 321.333 0.433 20 0 DCADLN CN1C(=O)CN(C[N@@H+](C)Cc2ccc(OCC(=O)[O-])cc2)C1=O ZINC001598523581 992690324 /nfs/dbraw/zinc/69/03/24/992690324.db2.gz IMEYJDGYTLYJOQ-UHFFFAOYSA-N 0 2 321.333 0.433 20 0 DCADLN CC[C@H](C(=O)NC[C@@H]1CCN1C(=O)Cc1[nH]cc[nH+]1)[NH+](C)C ZINC001393359777 992917650 /nfs/dbraw/zinc/91/76/50/992917650.db2.gz JXQQBKNNPNFMTC-NWDGAFQWSA-N 0 2 307.398 0.010 20 0 DCADLN CC(C)[C@H](C(=O)NCC1(O)C[NH+](CCCF)C1)[NH+]1CCCC1 ZINC001393359645 992917837 /nfs/dbraw/zinc/91/78/37/992917837.db2.gz PPMCREWFVMWVIM-CQSZACIVSA-N 0 2 315.433 0.629 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2ccc(Cn3ccnn3)o2)C[C@H]1C(=O)[O-] ZINC001598573459 993237814 /nfs/dbraw/zinc/23/78/14/993237814.db2.gz IGJSGRDQIIHDNW-QWRGUYRKSA-N 0 2 320.305 0.234 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2ccc(Cn3ccnn3)o2)C[C@H]1C(=O)[O-] ZINC001598573459 993237823 /nfs/dbraw/zinc/23/78/23/993237823.db2.gz IGJSGRDQIIHDNW-QWRGUYRKSA-N 0 2 320.305 0.234 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)NC2(C(=O)[O-])CC(CO)C2)[nH]n1 ZINC001598598333 993538889 /nfs/dbraw/zinc/53/88/89/993538889.db2.gz NAIYRXKYCHNMQU-RHJSQNMRSA-N 0 2 322.365 0.132 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)NC2(C(=O)[O-])CC(CO)C2)[nH]n1 ZINC001598598333 993538893 /nfs/dbraw/zinc/53/88/93/993538893.db2.gz NAIYRXKYCHNMQU-RHJSQNMRSA-N 0 2 322.365 0.132 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)C(C)(C)C1 ZINC001598621321 994010458 /nfs/dbraw/zinc/01/04/58/994010458.db2.gz OPLYPLGEQYDWEX-UHFFFAOYSA-N 0 2 319.427 0.054 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)C(C)(C)C1 ZINC001598621321 994010464 /nfs/dbraw/zinc/01/04/64/994010464.db2.gz OPLYPLGEQYDWEX-UHFFFAOYSA-N 0 2 319.427 0.054 20 0 DCADLN Cc1ccn(CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001399982332 994018286 /nfs/dbraw/zinc/01/82/86/994018286.db2.gz MMYSNYCKRHPKEE-SCZZXKLOSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccn(CC(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)n1 ZINC001399982332 994018292 /nfs/dbraw/zinc/01/82/92/994018292.db2.gz MMYSNYCKRHPKEE-SCZZXKLOSA-N 0 2 324.278 0.713 20 0 DCADLN C[C@H](NC(=O)c1ccoc1CC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC001593184001 994085352 /nfs/dbraw/zinc/08/53/52/994085352.db2.gz VFGPBWGNXXITAU-QWRGUYRKSA-N 0 2 310.350 0.746 20 0 DCADLN COCCN(CCNC(=O)c1cc[nH]c1C)Cc1n[nH]c(=O)[nH]1 ZINC001394827298 994188888 /nfs/dbraw/zinc/18/88/88/994188888.db2.gz FITJFQMSEHBZIX-UHFFFAOYSA-N 0 2 322.369 0.025 20 0 DCADLN Cc1cnn(CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)c1 ZINC001400147303 994198115 /nfs/dbraw/zinc/19/81/15/994198115.db2.gz WJGVRPJBXMSGCB-WCBMZHEXSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1cnn(CC(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001400147303 994198121 /nfs/dbraw/zinc/19/81/21/994198121.db2.gz WJGVRPJBXMSGCB-WCBMZHEXSA-N 0 2 324.278 0.713 20 0 DCADLN O=C(Cc1cnoc1)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400492086 994583255 /nfs/dbraw/zinc/58/32/55/994583255.db2.gz ODMGVUNNVMYSAY-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001400492086 994583265 /nfs/dbraw/zinc/58/32/65/994583265.db2.gz ODMGVUNNVMYSAY-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])c(C)[nH]2)C1 ZINC001598669775 994735768 /nfs/dbraw/zinc/73/57/68/994735768.db2.gz RFOKMUVEIJUHSS-LLVKDONJSA-N 0 2 315.395 0.535 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])c(C)[nH]2)C1 ZINC001598669775 994735775 /nfs/dbraw/zinc/73/57/75/994735775.db2.gz RFOKMUVEIJUHSS-LLVKDONJSA-N 0 2 315.395 0.535 20 0 DCADLN Cc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001492840597 994771425 /nfs/dbraw/zinc/77/14/25/994771425.db2.gz SHLPUQZFFVHEJL-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN Cc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001492840597 994771442 /nfs/dbraw/zinc/77/14/42/994771442.db2.gz SHLPUQZFFVHEJL-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN COc1cccc(C[C@H]([NH2+][C@@H](C)c2nnnn2C)C(=O)[O-])c1 ZINC001599668971 994778117 /nfs/dbraw/zinc/77/81/17/994778117.db2.gz BTPKUBUCFCWRIU-CABZTGNLSA-N 0 2 305.338 0.565 20 0 DCADLN C[C@@H]([NH2+]Cc1nnc2n1CCNC2=O)c1cc(C(=O)[O-])cs1 ZINC001593318059 994826567 /nfs/dbraw/zinc/82/65/67/994826567.db2.gz ZIKCNKRAOKMSBA-SSDOTTSWSA-N 0 2 321.362 0.632 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1C ZINC001464429915 1015908915 /nfs/dbraw/zinc/90/89/15/1015908915.db2.gz PZKJUTASHLJZRV-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+](C)CCN1CCOC(C)(C)C1 ZINC001598696070 995139704 /nfs/dbraw/zinc/13/97/04/995139704.db2.gz DMFAHHNDNGURBT-GFCCVEGCSA-N 0 2 316.398 0.435 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+](C)CCN1CCOC(C)(C)C1 ZINC001598696070 995139707 /nfs/dbraw/zinc/13/97/07/995139707.db2.gz DMFAHHNDNGURBT-GFCCVEGCSA-N 0 2 316.398 0.435 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1cccc(C(=O)[O-])c1 ZINC001598702880 995246427 /nfs/dbraw/zinc/24/64/27/995246427.db2.gz QJWMJJKVRUYLOB-LBPRGKRZSA-N 0 2 317.301 0.553 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001396757007 995588932 /nfs/dbraw/zinc/58/89/32/995588932.db2.gz WVOSYCIKXYCYIW-PRJMDXOYSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001396757007 995588941 /nfs/dbraw/zinc/58/89/41/995588941.db2.gz WVOSYCIKXYCYIW-PRJMDXOYSA-N 0 2 300.252 0.639 20 0 DCADLN CCOCC(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001397147095 995825100 /nfs/dbraw/zinc/82/51/00/995825100.db2.gz QBAXTYPINALLFT-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001397147095 995825107 /nfs/dbraw/zinc/82/51/07/995825107.db2.gz QBAXTYPINALLFT-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H](O)C[C@H](C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001465339928 1015998770 /nfs/dbraw/zinc/99/87/70/1015998770.db2.gz VLNFXGHNEGGDKI-UWVGGRQHSA-N 0 2 320.349 0.403 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2nc(C3CCC3)no2)C[C@@H]1C(=O)[O-] ZINC001598826597 996389352 /nfs/dbraw/zinc/38/93/52/996389352.db2.gz NRQJQDRLPFJZEL-UWVGGRQHSA-N 0 2 309.322 0.643 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2nc(C3CCC3)no2)C[C@@H]1C(=O)[O-] ZINC001598826597 996389357 /nfs/dbraw/zinc/38/93/57/996389357.db2.gz NRQJQDRLPFJZEL-UWVGGRQHSA-N 0 2 309.322 0.643 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2cc(C(F)(F)F)n[nH]2)C[C@H]1C(=O)[O-] ZINC001598830736 996433614 /nfs/dbraw/zinc/43/36/14/996433614.db2.gz YNAGLFKAZKOUGP-HTQZYQBOSA-N 0 2 321.255 0.734 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2cc(C(F)(F)F)n[nH]2)C[C@H]1C(=O)[O-] ZINC001598830736 996433616 /nfs/dbraw/zinc/43/36/16/996433616.db2.gz YNAGLFKAZKOUGP-HTQZYQBOSA-N 0 2 321.255 0.734 20 0 DCADLN O=C(NC1CN(C(=O)c2ccns2)C1)C(F)C(F)(F)F ZINC001398605936 996599588 /nfs/dbraw/zinc/59/95/88/996599588.db2.gz NILGRCDHLYZVLC-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)c2ccns2)C1)[C@H](F)C(F)(F)F ZINC001398605936 996599593 /nfs/dbraw/zinc/59/95/93/996599593.db2.gz NILGRCDHLYZVLC-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN COC[C@H](C)C(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398743372 996667648 /nfs/dbraw/zinc/66/76/48/996667648.db2.gz VTMFUEHSACPSRV-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@H](C)C(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398743372 996667651 /nfs/dbraw/zinc/66/76/51/996667651.db2.gz VTMFUEHSACPSRV-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(C[C@H]1CCCO1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404225979 996832555 /nfs/dbraw/zinc/83/25/55/996832555.db2.gz VRKOLKFYCDEKLA-LLVKDONJSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(C[C@H]1CCCO1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404225979 996832569 /nfs/dbraw/zinc/83/25/69/996832569.db2.gz VRKOLKFYCDEKLA-LLVKDONJSA-N 0 2 309.370 0.160 20 0 DCADLN COCc1nc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cs1 ZINC001599298773 997194999 /nfs/dbraw/zinc/19/49/99/997194999.db2.gz AQDYDCKYBXPRQM-MRVPVSSYSA-N 0 2 310.335 0.369 20 0 DCADLN Cc1onc(CO)c1C(=O)NN1C(=O)c2ccccc2C1=O ZINC001466699247 1016102722 /nfs/dbraw/zinc/10/27/22/1016102722.db2.gz GNZOCWIRXCAIPQ-UHFFFAOYSA-N 0 2 301.258 0.416 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c(C)o1 ZINC001598919801 997542791 /nfs/dbraw/zinc/54/27/91/997542791.db2.gz HBPIGVIUDXOFRO-SNVBAGLBSA-N 0 2 321.289 0.454 20 0 DCADLN C[C@H]1C[C@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001502949052 1016138884 /nfs/dbraw/zinc/13/88/84/1016138884.db2.gz JEOSDZAOHZOZEM-WDEREUQCSA-N 0 2 322.413 0.302 20 0 DCADLN CC[C@H](C)NC(=O)C[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001405003013 997668829 /nfs/dbraw/zinc/66/88/29/997668829.db2.gz YTTXTQWFDGBSIF-ONGXEEELSA-N 0 2 323.397 0.143 20 0 DCADLN CC[C@H](C)NC(=O)C[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001405003013 997668836 /nfs/dbraw/zinc/66/88/36/997668836.db2.gz YTTXTQWFDGBSIF-ONGXEEELSA-N 0 2 323.397 0.143 20 0 DCADLN CCn1ncnc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001405005424 997674041 /nfs/dbraw/zinc/67/40/41/997674041.db2.gz WMOXYRBGDCBYMS-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncnc1CN1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001405005424 997674050 /nfs/dbraw/zinc/67/40/50/997674050.db2.gz WMOXYRBGDCBYMS-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN COc1cc(F)cc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c1 ZINC001599426630 997687053 /nfs/dbraw/zinc/68/70/53/997687053.db2.gz VUPWLYPVCGADDG-CYBMUJFWSA-N 0 2 310.325 1.000 20 0 DCADLN COc1cc(F)cc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c1 ZINC001599426630 997687064 /nfs/dbraw/zinc/68/70/64/997687064.db2.gz VUPWLYPVCGADDG-CYBMUJFWSA-N 0 2 310.325 1.000 20 0 DCADLN CCO[C@@H](CC)C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405087344 997774533 /nfs/dbraw/zinc/77/45/33/997774533.db2.gz IXTSCUOQRWBOFX-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)Cn1ncnn1 ZINC001467398029 1016163469 /nfs/dbraw/zinc/16/34/69/1016163469.db2.gz SGDOLWPXQCXIJS-UHFFFAOYSA-N 0 2 300.282 0.111 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1CCC1)C(=O)C(F)C(F)(F)F ZINC001405610003 998378471 /nfs/dbraw/zinc/37/84/71/998378471.db2.gz DUXQRDRKHKSUQS-RKDXNWHRSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1CCC1)C(=O)[C@@H](F)C(F)(F)F ZINC001405610003 998378480 /nfs/dbraw/zinc/37/84/80/998378480.db2.gz DUXQRDRKHKSUQS-RKDXNWHRSA-N 0 2 314.279 0.622 20 0 DCADLN COC(=O)c1ccc2[nH]c(C[NH2+][C@]3(C(=O)[O-])CCOC3)nc2c1 ZINC001598989668 998725174 /nfs/dbraw/zinc/72/51/74/998725174.db2.gz HAXCVTTXKNZXPS-OAHLLOKOSA-N 0 2 319.317 0.683 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@@H+]2CCc3nn[nH]c3C2)c1 ZINC001598995497 998775712 /nfs/dbraw/zinc/77/57/12/998775712.db2.gz LMQOKJPLVIMSOF-ZDUSSCGKSA-N 0 2 316.317 0.775 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@H+]2CCc3nn[nH]c3C2)c1 ZINC001598995497 998775717 /nfs/dbraw/zinc/77/57/17/998775717.db2.gz LMQOKJPLVIMSOF-ZDUSSCGKSA-N 0 2 316.317 0.775 20 0 DCADLN COC(=O)c1cccc([C@H]([NH2+][C@@H](C(N)=O)C(C)C)C(=O)[O-])c1 ZINC001598997377 998792555 /nfs/dbraw/zinc/79/25/55/998792555.db2.gz ZQMCXNNRDMKULF-NEPJUHHUSA-N 0 2 308.334 0.698 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@@H+]2CCC[C@@]2(C)C(=O)[O-])n1 ZINC001599000204 998817290 /nfs/dbraw/zinc/81/72/90/998817290.db2.gz UESNTARQEYHAMZ-HNNXBMFYSA-N 0 2 321.333 0.746 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@H+]2CCC[C@@]2(C)C(=O)[O-])n1 ZINC001599000204 998817295 /nfs/dbraw/zinc/81/72/95/998817295.db2.gz UESNTARQEYHAMZ-HNNXBMFYSA-N 0 2 321.333 0.746 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C(=O)[O-])nn1C ZINC001594249610 998955340 /nfs/dbraw/zinc/95/53/40/998955340.db2.gz IQPMUCBSCUBAJJ-QWRGUYRKSA-N 0 2 322.365 0.054 20 0 DCADLN CC(C)=CC(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001511479277 1016300171 /nfs/dbraw/zinc/30/01/71/1016300171.db2.gz XJTGNMDGGRXOPA-PSASIEDQSA-N 0 2 314.279 0.789 20 0 DCADLN CC(C)=CC(=O)N(C)C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001511479277 1016300181 /nfs/dbraw/zinc/30/01/81/1016300181.db2.gz XJTGNMDGGRXOPA-PSASIEDQSA-N 0 2 314.279 0.789 20 0 DCADLN CO[C@@H](C[N@H+](C)CCNC(=O)c1n[nH]c(C)c1[O-])C1CCC1 ZINC001418456088 1000241416 /nfs/dbraw/zinc/24/14/16/1000241416.db2.gz ZYRCVRCKRQUPOV-LBPRGKRZSA-N 0 2 310.398 0.900 20 0 DCADLN CO[C@@H](C[N@@H+](C)CCNC(=O)c1n[nH]c(C)c1[O-])C1CCC1 ZINC001418456088 1000241418 /nfs/dbraw/zinc/24/14/18/1000241418.db2.gz ZYRCVRCKRQUPOV-LBPRGKRZSA-N 0 2 310.398 0.900 20 0 DCADLN C[N@H+](CCNC(=O)C[C@H]1CCCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001418488137 1000277180 /nfs/dbraw/zinc/27/71/80/1000277180.db2.gz LFQFYIJHKCWWNO-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN C[N@@H+](CCNC(=O)C[C@H]1CCCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001418488137 1000277186 /nfs/dbraw/zinc/27/71/86/1000277186.db2.gz LFQFYIJHKCWWNO-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN CCn1cc(CC[N@H+](C)CCN(C)C(=O)Cc2c[nH+]c[nH]2)cn1 ZINC001401395748 1000406784 /nfs/dbraw/zinc/40/67/84/1000406784.db2.gz MIRJYENONZJTOK-UHFFFAOYSA-N 0 2 318.425 0.802 20 0 DCADLN Cn1cncc1C[N@H+](CCNC(=O)C[NH+](C)C1CCC1)C1CC1 ZINC001418762860 1000500232 /nfs/dbraw/zinc/50/02/32/1000500232.db2.gz ZYZXGHKKXDNEQR-UHFFFAOYSA-N 0 2 319.453 0.985 20 0 DCADLN Cc1nnc([C@H](C)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001418970600 1000656006 /nfs/dbraw/zinc/65/60/06/1000656006.db2.gz FGIIATPYQBANJZ-YLWLKBPMSA-N 0 2 309.267 0.875 20 0 DCADLN CC[C@@H](C)NC(=O)C[NH+]1CC(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001419014630 1000690609 /nfs/dbraw/zinc/69/06/09/1000690609.db2.gz GFDMQVUAFRQFNT-SECBINFHSA-N 0 2 323.397 0.000 20 0 DCADLN CCCc1n[nH]cc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401754859 1000691131 /nfs/dbraw/zinc/69/11/31/1000691131.db2.gz FJJHHZDWVIHODA-SECBINFHSA-N 0 2 321.385 0.436 20 0 DCADLN CCCc1n[nH]cc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401754859 1000691136 /nfs/dbraw/zinc/69/11/36/1000691136.db2.gz FJJHHZDWVIHODA-SECBINFHSA-N 0 2 321.385 0.436 20 0 DCADLN Cc1[nH]nc(C(=O)NCCN2CC[NH+](CCC3CC3)CC2)c1[O-] ZINC001402114870 1001027651 /nfs/dbraw/zinc/02/76/51/1001027651.db2.gz QQBWRGODNBUDDZ-UHFFFAOYSA-N 0 2 321.425 0.571 20 0 DCADLN NC(=O)C1(C(=O)Nc2nccc(Br)c2O)CC1 ZINC001470749540 1016488711 /nfs/dbraw/zinc/48/87/11/1016488711.db2.gz ZDNGKUYQWFKZJA-UHFFFAOYSA-N 0 2 300.112 0.754 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1CCC(=O)O1 ZINC001471064845 1016517843 /nfs/dbraw/zinc/51/78/43/1016517843.db2.gz AHOGRDTZJNNMES-WDEREUQCSA-N 0 2 316.317 0.616 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H](O)C1CC1 ZINC001471066657 1016518076 /nfs/dbraw/zinc/51/80/76/1016518076.db2.gz IWPLDWIAWUPFLE-VXGBXAGGSA-N 0 2 302.334 0.681 20 0 DCADLN COC[C@H](OC)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001471073414 1016524004 /nfs/dbraw/zinc/52/40/04/1016524004.db2.gz ZDRHCUBMAAFAPS-RYUDHWBXSA-N 0 2 320.349 0.572 20 0 DCADLN CCC[C@H](O)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001420356821 1002275949 /nfs/dbraw/zinc/27/59/49/1002275949.db2.gz ZYYMPKLQHYXQSI-LBPRGKRZSA-N 0 2 320.349 0.547 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425035618 1002276167 /nfs/dbraw/zinc/27/61/67/1002276167.db2.gz XJWJQFLLEFRENQ-HBNTYKKESA-N 0 2 319.365 0.844 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425035618 1002276176 /nfs/dbraw/zinc/27/61/76/1002276176.db2.gz XJWJQFLLEFRENQ-HBNTYKKESA-N 0 2 319.365 0.844 20 0 DCADLN COC(=O)c1ncoc1CCC(=O)N[C@H](C)c1nn(C)cc1O ZINC001420442395 1002424309 /nfs/dbraw/zinc/42/43/09/1002424309.db2.gz USPGKYZXUOCZTP-MRVPVSSYSA-N 0 2 322.321 0.710 20 0 DCADLN CO[C@@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001420607534 1002681344 /nfs/dbraw/zinc/68/13/44/1002681344.db2.gz GMEFVJWPATVPEF-JOYOIKCWSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001420607534 1002681350 /nfs/dbraw/zinc/68/13/50/1002681350.db2.gz GMEFVJWPATVPEF-JOYOIKCWSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H]1C[C@@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CO1 ZINC001420670581 1002781122 /nfs/dbraw/zinc/78/11/22/1002781122.db2.gz FNVGFGQTOKAVMX-VHSXEESVSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1C[C@@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CO1 ZINC001420670581 1002781126 /nfs/dbraw/zinc/78/11/26/1002781126.db2.gz FNVGFGQTOKAVMX-VHSXEESVSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@]1(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCCOC1 ZINC001420695806 1002810047 /nfs/dbraw/zinc/81/00/47/1002810047.db2.gz UENDVNJPSFZGFD-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCCOC1 ZINC001420695806 1002810054 /nfs/dbraw/zinc/81/00/54/1002810054.db2.gz UENDVNJPSFZGFD-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2occ3c2CCC3)[nH]1 ZINC001472081465 1016719770 /nfs/dbraw/zinc/71/97/70/1016719770.db2.gz BCEGGLUOLZVHOT-UHFFFAOYSA-N 0 2 324.362 0.361 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccsc1 ZINC001404168217 1003099432 /nfs/dbraw/zinc/09/94/32/1003099432.db2.gz BYFJPBVHTJHPIK-UHFFFAOYSA-N 0 2 307.379 0.966 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccsc1 ZINC001404168217 1003099447 /nfs/dbraw/zinc/09/94/47/1003099447.db2.gz BYFJPBVHTJHPIK-UHFFFAOYSA-N 0 2 307.379 0.966 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=CC2CCCC2)[nH]1 ZINC001472085738 1016723294 /nfs/dbraw/zinc/72/32/94/1016723294.db2.gz VFBMRBCCAYJYPO-VOTSOKGWSA-N 0 2 312.395 0.712 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2(F)CCCCC2)[nH]1 ZINC001472085817 1016724545 /nfs/dbraw/zinc/72/45/45/1016724545.db2.gz YFWZIRRSBOQSPI-UHFFFAOYSA-N 0 2 318.374 0.638 20 0 DCADLN CC[C@H](C)[C@@H](OC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472082369 1016726885 /nfs/dbraw/zinc/72/68/85/1016726885.db2.gz NZMIVLPUFIGVOY-GZMMTYOYSA-N 0 2 318.399 0.027 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCCN1c1ncccn1)c1nn(C)cc1O ZINC001472237111 1016766815 /nfs/dbraw/zinc/76/68/15/1016766815.db2.gz QYSHVSMCJSYRFJ-WDEREUQCSA-N 0 2 316.365 0.762 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1C[C@H]1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505965444 1016785991 /nfs/dbraw/zinc/78/59/91/1016785991.db2.gz OVBAQAVMHOVSSH-DLOVCJGASA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1C[C@H]1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505965444 1016785995 /nfs/dbraw/zinc/78/59/95/1016785995.db2.gz OVBAQAVMHOVSSH-DLOVCJGASA-N 0 2 307.398 0.883 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)CC1CC1 ZINC001428141395 1003722142 /nfs/dbraw/zinc/72/21/42/1003722142.db2.gz GCRGENFHJOYWKO-PSASIEDQSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)CC1CC1 ZINC001428141395 1003722136 /nfs/dbraw/zinc/72/21/36/1003722136.db2.gz GCRGENFHJOYWKO-PSASIEDQSA-N 0 2 314.279 0.622 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)cn1 ZINC001406610942 1003920112 /nfs/dbraw/zinc/92/01/12/1003920112.db2.gz KYGNXGJCMJNSCU-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)cn1 ZINC001406610942 1003920116 /nfs/dbraw/zinc/92/01/16/1003920116.db2.gz KYGNXGJCMJNSCU-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3ccon3)C[C@H]21)C(F)C(F)(F)F ZINC001428439555 1003933439 /nfs/dbraw/zinc/93/34/39/1003933439.db2.gz VDYFQUPCSMMUMQ-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3ccon3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001428439555 1003933442 /nfs/dbraw/zinc/93/34/42/1003933442.db2.gz VDYFQUPCSMMUMQ-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cncnc1 ZINC001428654927 1004091513 /nfs/dbraw/zinc/09/15/13/1004091513.db2.gz OTRGPYILTOOGFK-GMSGAONNSA-N 0 2 322.262 0.540 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cncnc1 ZINC001428654927 1004091517 /nfs/dbraw/zinc/09/15/17/1004091517.db2.gz OTRGPYILTOOGFK-GMSGAONNSA-N 0 2 322.262 0.540 20 0 DCADLN CC[C@H](C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C)[NH+](C)C ZINC001427040761 1004178729 /nfs/dbraw/zinc/17/87/29/1004178729.db2.gz DBOUXASICVKJNF-FRRDWIJNSA-N 0 2 321.425 0.398 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001407078950 1004359882 /nfs/dbraw/zinc/35/98/82/1004359882.db2.gz MJXDETZRSKTAFA-PWSUYJOCSA-N 0 2 323.397 0.501 20 0 DCADLN CCN(C)C(=O)[C@H](C)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427427317 1004377979 /nfs/dbraw/zinc/37/79/79/1004377979.db2.gz VSDHEVCBAZNTJW-UWVGGRQHSA-N 0 2 324.385 0.266 20 0 DCADLN C[C@H](C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001429305721 1004519597 /nfs/dbraw/zinc/51/95/97/1004519597.db2.gz WNPOHRVOUVGMCK-BDAKNGLRSA-N 0 2 324.278 0.919 20 0 DCADLN C[C@H](C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)n1cccn1 ZINC001429305721 1004519606 /nfs/dbraw/zinc/51/96/06/1004519606.db2.gz WNPOHRVOUVGMCK-BDAKNGLRSA-N 0 2 324.278 0.919 20 0 DCADLN CCc1[nH]ccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001472954586 1016887097 /nfs/dbraw/zinc/88/70/97/1016887097.db2.gz PCINTODUZAEZGI-UHFFFAOYSA-N 0 2 304.354 0.359 20 0 DCADLN O=C(NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001427922370 1004683426 /nfs/dbraw/zinc/68/34/26/1004683426.db2.gz MEBCVJJAAMMPFI-YUMQZZPRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001427922370 1004683434 /nfs/dbraw/zinc/68/34/34/1004683434.db2.gz MEBCVJJAAMMPFI-YUMQZZPRSA-N 0 2 322.262 0.935 20 0 DCADLN CCC(CC)C[N@H+]1CCOC[C@H]1CNC(=O)Cc1nnc[nH]1 ZINC001407743635 1004830692 /nfs/dbraw/zinc/83/06/92/1004830692.db2.gz GWMRIPUOORSQPI-CYBMUJFWSA-N 0 2 309.414 0.600 20 0 DCADLN COC(=O)[C@@H](CF)NS(=O)(=O)C[C@@H]1CC2(CCC2)CO1 ZINC001414277270 1005273205 /nfs/dbraw/zinc/27/32/05/1005273205.db2.gz OYVJJGNVJNUMRM-VHSXEESVSA-N 0 2 309.359 0.376 20 0 DCADLN C[C@@H]1CCN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)[C@@H]1C ZINC001414731201 1005392142 /nfs/dbraw/zinc/39/21/42/1005392142.db2.gz LTLLKWBDOSFEDC-RKDXNWHRSA-N 0 2 315.399 0.289 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414779157 1005400991 /nfs/dbraw/zinc/40/09/91/1005400991.db2.gz BKPDHHBWAVNBDR-XCBNKYQSSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1nnc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)o1 ZINC001415127890 1005464716 /nfs/dbraw/zinc/46/47/16/1005464716.db2.gz AIJUHGPOHQVYEO-VIFPVBQESA-N 0 2 310.251 0.923 20 0 DCADLN Cc1nnc(CN2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)o1 ZINC001415127890 1005464718 /nfs/dbraw/zinc/46/47/18/1005464718.db2.gz AIJUHGPOHQVYEO-VIFPVBQESA-N 0 2 310.251 0.923 20 0 DCADLN C[C@H](CNC(=O)CCc1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001415173752 1005475511 /nfs/dbraw/zinc/47/55/11/1005475511.db2.gz MDMCIJIBNYUKRH-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CNC(=O)CCc1cn[nH]c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001415173752 1005475512 /nfs/dbraw/zinc/47/55/12/1005475512.db2.gz MDMCIJIBNYUKRH-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccnn1C ZINC001415182306 1005477141 /nfs/dbraw/zinc/47/71/41/1005477141.db2.gz NFTLQNYTFRNHKD-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1ccnn1C ZINC001415182306 1005477142 /nfs/dbraw/zinc/47/71/42/1005477142.db2.gz NFTLQNYTFRNHKD-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](C[C@H]3CCO[C@H](C)C3)CC2)c1[O-] ZINC001415826198 1005618323 /nfs/dbraw/zinc/61/83/23/1005618323.db2.gz QGVFQPJOTSOANJ-YPMHNXCESA-N 0 2 322.409 0.997 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cn[nH]c1 ZINC001417112320 1005785550 /nfs/dbraw/zinc/78/55/50/1005785550.db2.gz XKIXVFOMZKXUME-ZETCQYMHSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1cn[nH]c1 ZINC001417112320 1005785552 /nfs/dbraw/zinc/78/55/52/1005785552.db2.gz XKIXVFOMZKXUME-ZETCQYMHSA-N 0 2 308.235 0.689 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001417602922 1005849692 /nfs/dbraw/zinc/84/96/92/1005849692.db2.gz XVWQFYKYNXUPCR-RQJHMYQMSA-N 0 2 306.347 0.477 20 0 DCADLN CCC(CC)(NC(=O)c1cnc(SC)[nH]c1=O)c1nn[nH]n1 ZINC001417774116 1005888024 /nfs/dbraw/zinc/88/80/24/1005888024.db2.gz MXBMOXANHAMTMO-UHFFFAOYSA-N 0 2 323.382 0.863 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H](OC)C2CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001436448928 1006185625 /nfs/dbraw/zinc/18/56/25/1006185625.db2.gz ULUQJVBDCSABDF-DMDPSCGWSA-N 0 2 323.397 0.404 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H](OC)C2CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001436448928 1006185630 /nfs/dbraw/zinc/18/56/30/1006185630.db2.gz ULUQJVBDCSABDF-DMDPSCGWSA-N 0 2 323.397 0.404 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C[NH2+][C@@H](C)c2csnn2)c1[O-] ZINC001439110062 1006481035 /nfs/dbraw/zinc/48/10/35/1006481035.db2.gz WHDBMUKSHILGOB-RQJHMYQMSA-N 0 2 310.383 0.744 20 0 DCADLN CCn1nncc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001439523854 1006955970 /nfs/dbraw/zinc/95/59/70/1006955970.db2.gz LCAJKQCAUYNRCO-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1nncc1CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001439523854 1006955984 /nfs/dbraw/zinc/95/59/84/1006955984.db2.gz LCAJKQCAUYNRCO-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NC[C@H]1CCC[N@@H+]1[C@H](C)C(=O)N(C)C ZINC001437667716 1007232942 /nfs/dbraw/zinc/23/29/42/1007232942.db2.gz HHSJRQMVKLFDKS-ZIAGYGMSSA-N 0 2 321.425 0.249 20 0 DCADLN Cc1cc(C[NH2+]C2(CNC(=O)c3ncn[nH]3)CCC2)nn1C ZINC001440346155 1007684263 /nfs/dbraw/zinc/68/42/63/1007684263.db2.gz VBQBVUMGCODYIA-UHFFFAOYSA-N 0 2 303.370 0.289 20 0 DCADLN Cc1cc(C[NH2+]C2(CNC(=O)c3nc[nH]n3)CCC2)nn1C ZINC001440346155 1007684274 /nfs/dbraw/zinc/68/42/74/1007684274.db2.gz VBQBVUMGCODYIA-UHFFFAOYSA-N 0 2 303.370 0.289 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnon2)C1 ZINC001441164682 1008250866 /nfs/dbraw/zinc/25/08/66/1008250866.db2.gz DYJWTRDJYYFSSM-RCOVLWMOSA-N 0 2 310.251 0.907 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2cnon2)C1 ZINC001441164682 1008250872 /nfs/dbraw/zinc/25/08/72/1008250872.db2.gz DYJWTRDJYYFSSM-RCOVLWMOSA-N 0 2 310.251 0.907 20 0 DCADLN C[C@]12CN(C(=O)C(F)C(F)(F)F)C[C@H]1CS(=O)(=O)C2 ZINC001433570821 1008952430 /nfs/dbraw/zinc/95/24/30/1008952430.db2.gz KSNJYJSRCFSOBP-ACLDMZEESA-N 0 2 303.277 0.780 20 0 DCADLN C[C@]12CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1CS(=O)(=O)C2 ZINC001433570821 1008952435 /nfs/dbraw/zinc/95/24/35/1008952435.db2.gz KSNJYJSRCFSOBP-ACLDMZEESA-N 0 2 303.277 0.780 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)nn1C ZINC001454639879 1009239210 /nfs/dbraw/zinc/23/92/10/1009239210.db2.gz VAEQCRWSJGINTQ-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)nn1C ZINC001454639879 1009239226 /nfs/dbraw/zinc/23/92/26/1009239226.db2.gz VAEQCRWSJGINTQ-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CO1 ZINC001434149923 1009611162 /nfs/dbraw/zinc/61/11/62/1009611162.db2.gz BJKZBIHWBDWRSE-SCZZXKLOSA-N 0 2 304.306 0.173 20 0 DCADLN CCn1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)cn1 ZINC001455279667 1009629660 /nfs/dbraw/zinc/62/96/60/1009629660.db2.gz FQACTHLEOMNJLJ-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1cc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001455279667 1009629668 /nfs/dbraw/zinc/62/96/68/1009629668.db2.gz FQACTHLEOMNJLJ-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN Cn1ncnc1CN1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001442780886 1009726068 /nfs/dbraw/zinc/72/60/68/1009726068.db2.gz HEQNZUQXJWHFBQ-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CCC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001442780886 1009726079 /nfs/dbraw/zinc/72/60/79/1009726079.db2.gz HEQNZUQXJWHFBQ-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN COC[C@H](NC(=O)C(F)(F)c1ccccc1F)c1nn[nH]n1 ZINC001434364497 1009839482 /nfs/dbraw/zinc/83/94/82/1009839482.db2.gz RWGQZGNXKIGHSQ-VIFPVBQESA-N 0 2 315.255 0.935 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)N(C)C(=O)C(F)C(F)(F)F ZINC001442910188 1009924503 /nfs/dbraw/zinc/92/45/03/1009924503.db2.gz RDBUWLHYCSSPLQ-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001442910188 1009924514 /nfs/dbraw/zinc/92/45/14/1009924514.db2.gz RDBUWLHYCSSPLQ-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN COC[C@H](O)CNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001434566790 1010100980 /nfs/dbraw/zinc/10/09/80/1010100980.db2.gz CIESIOJOZWRIRS-SSDOTTSWSA-N 0 2 310.759 0.491 20 0 DCADLN Cc1nnc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)o1 ZINC001456289554 1010171492 /nfs/dbraw/zinc/17/14/92/1010171492.db2.gz XGSQKLMBGMWPCL-SECBINFHSA-N 0 2 324.234 0.148 20 0 DCADLN Cc1nnc(CC(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)o1 ZINC001456289554 1010171499 /nfs/dbraw/zinc/17/14/99/1010171499.db2.gz XGSQKLMBGMWPCL-SECBINFHSA-N 0 2 324.234 0.148 20 0 DCADLN CC(=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)(C)C ZINC001456309386 1010186261 /nfs/dbraw/zinc/18/62/61/1010186261.db2.gz WBHNTUGGRATMPK-TWGQIWQCSA-N 0 2 314.411 0.958 20 0 DCADLN COCc1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)ccc1OC ZINC001434743480 1010226343 /nfs/dbraw/zinc/22/63/43/1010226343.db2.gz FHLLPGVIXHMSOR-UHFFFAOYSA-N 0 2 307.310 0.987 20 0 DCADLN COC[C@@H](C)[NH+]1CC(CCO)(NC(=O)C[N@H+](C)C2CCC2)C1 ZINC001422629378 1010423772 /nfs/dbraw/zinc/42/37/72/1010423772.db2.gz WVLLSBSKGXZQTP-CYBMUJFWSA-N 0 2 313.442 0.059 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnn(C)c1 ZINC001508903005 1017095377 /nfs/dbraw/zinc/09/53/77/1017095377.db2.gz SQGNTSRWFVOQOG-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cnn(C)c1 ZINC001508903005 1017095391 /nfs/dbraw/zinc/09/53/91/1017095391.db2.gz SQGNTSRWFVOQOG-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1(C(F)(F)F)CC1 ZINC001423120976 1010904805 /nfs/dbraw/zinc/90/48/05/1010904805.db2.gz RNRMIWVYXIQDHF-ZETCQYMHSA-N 0 2 319.287 0.543 20 0 DCADLN Cc1noc(CN2CC(N(C)C(=O)C(F)C(F)(F)F)C2)n1 ZINC001423408483 1011129436 /nfs/dbraw/zinc/12/94/36/1011129436.db2.gz RJWDIVSVFOCYEZ-VIFPVBQESA-N 0 2 310.251 0.921 20 0 DCADLN Cc1noc(CN2CC(N(C)C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001423408483 1011129439 /nfs/dbraw/zinc/12/94/39/1011129439.db2.gz RJWDIVSVFOCYEZ-VIFPVBQESA-N 0 2 310.251 0.921 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001431605400 1011453941 /nfs/dbraw/zinc/45/39/41/1011453941.db2.gz OHFOWAARUXCQFQ-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)n(C)n1 ZINC001431605400 1011453948 /nfs/dbraw/zinc/45/39/48/1011453948.db2.gz OHFOWAARUXCQFQ-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ccon1 ZINC001535147529 1011823542 /nfs/dbraw/zinc/82/35/42/1011823542.db2.gz XXUJLNYQUFHKQV-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1ccon1 ZINC001535147529 1011823551 /nfs/dbraw/zinc/82/35/51/1011823551.db2.gz XXUJLNYQUFHKQV-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001458723293 1012323707 /nfs/dbraw/zinc/32/37/07/1012323707.db2.gz HPURSOUFXGLDKV-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001458723293 1012323724 /nfs/dbraw/zinc/32/37/24/1012323724.db2.gz HPURSOUFXGLDKV-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN CCC(=O)NC1(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)CC1 ZINC001432337026 1012337774 /nfs/dbraw/zinc/33/77/74/1012337774.db2.gz QRUWHAGHSQKWBE-UHFFFAOYSA-N 0 2 316.365 0.599 20 0 DCADLN CC(C)C(=O)NC[C@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001537302601 1012377832 /nfs/dbraw/zinc/37/78/32/1012377832.db2.gz ZGYSCYFQXKXAEB-LDYMZIIASA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)NC[C@]1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001537302601 1012377845 /nfs/dbraw/zinc/37/78/45/1012377845.db2.gz ZGYSCYFQXKXAEB-LDYMZIIASA-N 0 2 314.279 0.622 20 0 DCADLN CCn1nc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1C1CC1 ZINC001558940887 1012396248 /nfs/dbraw/zinc/39/62/48/1012396248.db2.gz MXSNCRHRMPSSMG-UHFFFAOYSA-N 0 2 304.354 0.967 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2nnn(C)n2)c2cccnc12 ZINC001561892041 1012479715 /nfs/dbraw/zinc/47/97/15/1012479715.db2.gz NRSICAJUGPPPMJ-UHFFFAOYSA-N 0 2 304.335 0.868 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Oc1ccc2c(c1)CCO2 ZINC001543372942 1012516978 /nfs/dbraw/zinc/51/69/78/1012516978.db2.gz HFWDZRLEFLKJGI-UHFFFAOYSA-N 0 2 312.285 0.612 20 0 DCADLN CC[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)NC(=O)c1[nH]nc(C)c1[O-] ZINC001432799795 1012908947 /nfs/dbraw/zinc/90/89/47/1012908947.db2.gz DNJCBUDRILLASS-VIFPVBQESA-N 0 2 320.353 0.014 20 0 DCADLN C[C@@H](C[NH2+]Cc1cc(-c2ccco2)on1)NC(=O)c1ncn[nH]1 ZINC001498142278 1018686371 /nfs/dbraw/zinc/68/63/71/1018686371.db2.gz IMLFHQOLTDEPIG-VIFPVBQESA-N 0 2 316.321 0.961 20 0 DCADLN C[C@@H](C[NH2+]Cc1cc(-c2ccco2)on1)NC(=O)c1nc[nH]n1 ZINC001498142278 1018686375 /nfs/dbraw/zinc/68/63/75/1018686375.db2.gz IMLFHQOLTDEPIG-VIFPVBQESA-N 0 2 316.321 0.961 20 0 DCADLN CCOc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001498343610 1018978663 /nfs/dbraw/zinc/97/86/63/1018978663.db2.gz VGGYETWQPVSDIT-QMMMGPOBSA-N 0 2 324.341 0.147 20 0 DCADLN CCOc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001498343610 1018978666 /nfs/dbraw/zinc/97/86/66/1018978666.db2.gz VGGYETWQPVSDIT-QMMMGPOBSA-N 0 2 324.341 0.147 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493084095 1019081601 /nfs/dbraw/zinc/08/16/01/1019081601.db2.gz HCMTUVUFPJXKIS-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493084095 1019081615 /nfs/dbraw/zinc/08/16/15/1019081615.db2.gz HCMTUVUFPJXKIS-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nnc(C[NH2+]C[C@@H](CC(C)C)NC(=O)[C@@H]2CCC[N@H+]2C)[nH]1 ZINC001496262417 1019200293 /nfs/dbraw/zinc/20/02/93/1019200293.db2.gz UERAOEFJQPHURB-KGLIPLIRSA-N 0 2 322.457 0.828 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccon2)C1)[C@@H](F)C(F)(F)F ZINC001498577038 1019240501 /nfs/dbraw/zinc/24/05/01/1019240501.db2.gz MATJZGUTSOMQPJ-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccon2)C1)C(F)C(F)(F)F ZINC001498577038 1019240497 /nfs/dbraw/zinc/24/04/97/1019240497.db2.gz MATJZGUTSOMQPJ-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN C[NH+](C)[C@H](C(=O)NC[C@@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001493267271 1019269123 /nfs/dbraw/zinc/26/91/23/1019269123.db2.gz OQCLDRUQHBFFKY-BBRMVZONSA-N 0 2 318.421 0.213 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001501546614 1019283939 /nfs/dbraw/zinc/28/39/39/1019283939.db2.gz LTLLBOUHABCZFM-BQBZGAKWSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001501546614 1019283933 /nfs/dbraw/zinc/28/39/33/1019283933.db2.gz LTLLBOUHABCZFM-BQBZGAKWSA-N 0 2 302.268 0.526 20 0 DCADLN Cc1ccoc1CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001499055665 1019689246 /nfs/dbraw/zinc/68/92/46/1019689246.db2.gz HOTWITMAVJZALB-SNVBAGLBSA-N 0 2 305.338 0.345 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1C[C@H](C)O[C@@]2(CCCOC2)C1 ZINC001606329665 1170160970 /nfs/dbraw/zinc/16/09/70/1170160970.db2.gz DKBIICCHIZTLGU-HUBLWGQQSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1C[C@H](C)O[C@@]2(CCCOC2)C1 ZINC001606329665 1170160972 /nfs/dbraw/zinc/16/09/72/1170160972.db2.gz DKBIICCHIZTLGU-HUBLWGQQSA-N 0 2 315.366 0.663 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NOCCOc2ccccc2)S1 ZINC001607205651 1170413869 /nfs/dbraw/zinc/41/38/69/1170413869.db2.gz XGIBGPSIFPUYAO-SNVBAGLBSA-N 0 2 309.347 0.670 20 0 DCADLN CC[C@@H](C)NC(=O)CCNCc1nc(-c2nn[nH]n2)cs1 ZINC001609186677 1170854789 /nfs/dbraw/zinc/85/47/89/1170854789.db2.gz HHZMKGMZMAGAPB-MRVPVSSYSA-N 0 2 309.399 0.718 20 0 DCADLN O=C(CCC(=O)NC1CCCC1)NCCc1n[nH]c(=S)o1 ZINC001639103832 1171821930 /nfs/dbraw/zinc/82/19/30/1171821930.db2.gz ISLGISYSDUDGMK-UHFFFAOYSA-N 0 2 312.395 0.856 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)CC(=O)N3C)c1O ZINC000286537018 219042847 /nfs/dbraw/zinc/04/28/47/219042847.db2.gz SZRLTVOFUWFPAG-LBPRGKRZSA-N 0 2 317.301 0.193 20 0 DCADLN CC(C)(C)C[C@@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000092523845 185323976 /nfs/dbraw/zinc/32/39/76/185323976.db2.gz WVIHXLWBWJLOJA-VIFPVBQESA-N 0 2 320.349 0.551 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000092618617 185329381 /nfs/dbraw/zinc/32/93/81/185329381.db2.gz ASWHJTWLIGIWRU-NSHDSACASA-N 0 2 321.768 0.989 20 0 DCADLN COc1ccc(C)cc1-n1nnnc1SCc1n[nH]c(=O)[nH]1 ZINC000092869832 185347373 /nfs/dbraw/zinc/34/73/73/185347373.db2.gz UWSHSIHOJZRKHR-UHFFFAOYSA-N 0 2 319.350 0.683 20 0 DCADLN CC(C)[C@@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(N)=O ZINC000173172542 198191992 /nfs/dbraw/zinc/19/19/92/198191992.db2.gz ONFQWEVBYZCZOL-GFCCVEGCSA-N 0 2 302.334 0.818 20 0 DCADLN COC[C@@H](CO)NC(=O)[C@@H]1CC(=O)N(c2cccc(C)c2C)C1 ZINC000280280178 215508069 /nfs/dbraw/zinc/50/80/69/215508069.db2.gz QGWPGZHTBQQBKX-ZIAGYGMSSA-N 0 2 320.389 0.780 20 0 DCADLN NC(=O)[C@H]1CCCC[C@@H]1NS(=O)(=O)NCC(F)(F)F ZINC000195336370 237511962 /nfs/dbraw/zinc/51/19/62/237511962.db2.gz YCVLKAXOJVLZNC-BQBZGAKWSA-N 0 2 303.306 0.017 20 0 DCADLN COc1ccc(OC(F)F)c(CNC(=O)c2nc(=O)[nH][nH]2)c1 ZINC000155087936 291218247 /nfs/dbraw/zinc/21/82/47/291218247.db2.gz NDKXXSKPKMDOKV-UHFFFAOYSA-N 0 2 314.248 0.638 20 0 DCADLN COc1cccc(-c2cc(NC(=O)c3nc(=O)[nH][nH]3)n(C)n2)c1 ZINC000155922989 291222433 /nfs/dbraw/zinc/22/24/33/291222433.db2.gz SVCSALLQAZRNAD-UHFFFAOYSA-N 0 2 314.305 0.759 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@H+](CCCc2c[nH+]cn2C)C1 ZINC000566416046 291299086 /nfs/dbraw/zinc/29/90/86/291299086.db2.gz IBPPLXWSSHEXFS-CYBMUJFWSA-N 0 2 300.428 0.366 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C\c2ncccc2C)cnn1C ZINC000493865910 241171130 /nfs/dbraw/zinc/17/11/30/241171130.db2.gz ACQMDSVHTOOZET-SREVYHEPSA-N 0 2 320.374 0.950 20 0 DCADLN C[C@@H](CC(=O)c1ccco1)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275171240 212168697 /nfs/dbraw/zinc/16/86/97/212168697.db2.gz WLHDRSWWLIEGEO-ZETCQYMHSA-N 0 2 310.335 0.561 20 0 DCADLN CN(C(=O)CSc1n[nH]c(=O)[nH]1)c1ccc2c(c1)OCO2 ZINC000275109269 212125750 /nfs/dbraw/zinc/12/57/50/212125750.db2.gz KCVQENCCCDWGIB-UHFFFAOYSA-N 0 2 308.319 0.582 20 0 DCADLN C[C@H](Cc1ccc(O)cc1)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275087965 212112976 /nfs/dbraw/zinc/11/29/76/212112976.db2.gz GCHAWXPBJNBSPI-MRVPVSSYSA-N 0 2 308.363 0.643 20 0 DCADLN O=C(CNC1=NS(=O)(=O)c2ccccc21)NOC1CCCC1 ZINC000271743945 209174161 /nfs/dbraw/zinc/17/41/61/209174161.db2.gz JDOSOHDOQGBXIT-UHFFFAOYSA-N 0 2 323.374 0.716 20 0 DCADLN O=C([O-])C(=O)N1CCN(Cc2cn3cc(Cl)ccc3[nH+]2)CC1 ZINC000274126946 211380763 /nfs/dbraw/zinc/38/07/63/211380763.db2.gz DFQBDCLEJKUPLX-UHFFFAOYSA-N 0 2 322.752 0.717 20 0 DCADLN Nc1cc(C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc(Cl)n1 ZINC000273723860 211007911 /nfs/dbraw/zinc/00/79/11/211007911.db2.gz OTYLPQREKAJCMY-JTQLQIEISA-N 0 2 323.784 0.993 20 0 DCADLN C[C@@H]1CCCCN1S(=O)(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000128969958 296319178 /nfs/dbraw/zinc/31/91/78/296319178.db2.gz WXDXTLFOFBVANC-MWLCHTKSSA-N 0 2 316.383 0.114 20 0 DCADLN C[C@@H]1CCCCN1S(=O)(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000128969958 296319179 /nfs/dbraw/zinc/31/91/79/296319179.db2.gz WXDXTLFOFBVANC-MWLCHTKSSA-N 0 2 316.383 0.114 20 0 DCADLN CCCCS(=O)(=O)NCC(=O)N1CCO[C@@H](C(C)(C)C)C1 ZINC000330969801 252648910 /nfs/dbraw/zinc/64/89/10/252648910.db2.gz NTQQTQQMUNZUJW-GFCCVEGCSA-N 0 2 320.455 0.979 20 0 DCADLN C[C@H](C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1)S(C)(=O)=O ZINC000339706786 253129616 /nfs/dbraw/zinc/12/96/16/253129616.db2.gz QLKLCQLLVCXGAQ-SSDOTTSWSA-N 0 2 311.319 0.402 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cc(C)nn2C)cn1C ZINC000340039157 253189673 /nfs/dbraw/zinc/18/96/73/253189673.db2.gz OUFYQPGMWLTUJN-UHFFFAOYSA-N 0 2 311.367 0.227 20 0 DCADLN CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H]1CCCOC1 ZINC000341141784 253349037 /nfs/dbraw/zinc/34/90/37/253349037.db2.gz FUOFKXXIVTZRLA-VIFPVBQESA-N 0 2 304.306 0.687 20 0 DCADLN Cc1ccc(F)cc1S(=O)(=O)Nc1ccn(CC(N)=O)n1 ZINC000342769291 253538467 /nfs/dbraw/zinc/53/84/67/253538467.db2.gz BQFKMISZRKNWPR-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN O=C(NCc1ccc(=O)[nH]c1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000346325097 254037124 /nfs/dbraw/zinc/03/71/24/254037124.db2.gz XAPDJMMHJDMFLY-UHFFFAOYSA-N 0 2 313.273 0.467 20 0 DCADLN C[C@]1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCOC1 ZINC000348571681 254245381 /nfs/dbraw/zinc/24/53/81/254245381.db2.gz CJZMFRQTPLLOBO-VIFPVBQESA-N 0 2 306.369 0.275 20 0 DCADLN O=C(NC[C@@H]1CC[C@H](CO)O1)C1=NN(c2ccccc2)CC1=O ZINC000349670368 254292475 /nfs/dbraw/zinc/29/24/75/254292475.db2.gz RNMDHZIXNDSXON-QWHCGFSZSA-N 0 2 317.345 0.848 20 0 DCADLN CO[C@H](C)CS(=O)(=O)Nc1cccc2c1OCC(=O)N2 ZINC000290536820 131497210 /nfs/dbraw/zinc/49/72/10/131497210.db2.gz OVGDPKDGVXNMTG-MRVPVSSYSA-N 0 2 300.336 0.794 20 0 DCADLN COC(=O)c1n[nH]c2c1CN(c1cccc(C(=O)[O-])[nH+]1)CC2 ZINC000416422017 292035464 /nfs/dbraw/zinc/03/54/64/292035464.db2.gz HXARZXJOZIPEDQ-UHFFFAOYSA-N 0 2 302.290 0.852 20 0 DCADLN O=c1[nH]nc(CN2CCOC[C@@H]2C[C@@H](O)c2ccccc2)[nH]1 ZINC000091592684 395726519 /nfs/dbraw/zinc/72/65/19/395726519.db2.gz AFBIKKXNVAWCIC-QWHCGFSZSA-N 0 2 304.350 0.423 20 0 DCADLN CS(=O)(=O)c1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000037745020 395729109 /nfs/dbraw/zinc/72/91/09/395729109.db2.gz IWQDOBSBKLXLGU-UHFFFAOYSA-N 0 2 301.349 0.614 20 0 DCADLN O=C1NCCN1c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000040827893 395735433 /nfs/dbraw/zinc/73/54/33/395735433.db2.gz BNRPGNZSKTZTOY-UHFFFAOYSA-N 0 2 307.335 0.740 20 0 DCADLN O=C(CN1CCCCC1=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000103522133 395773815 /nfs/dbraw/zinc/77/38/15/395773815.db2.gz MTFUUDGAOPJUOV-UHFFFAOYSA-N 0 2 316.317 0.981 20 0 DCADLN O=C(CN1CCOC[C@@]12CCOC2)NOCc1ccccc1 ZINC000193726516 395777440 /nfs/dbraw/zinc/77/74/40/395777440.db2.gz VZSBYBSUFYFMTL-INIZCTEOSA-N 0 2 306.362 0.726 20 0 DCADLN CSC1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCC1 ZINC000194632210 395802145 /nfs/dbraw/zinc/80/21/45/395802145.db2.gz NSSNPTJSIBLDCW-UHFFFAOYSA-N 0 2 320.374 0.627 20 0 DCADLN CNS(=O)(=O)CCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000275894637 395987286 /nfs/dbraw/zinc/98/72/86/395987286.db2.gz OKCCMTZTYYCHCE-UHFFFAOYSA-N 0 2 312.269 0.089 20 0 DCADLN CNC(=O)[C@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-])c1ccccc1 ZINC000262108739 396099594 /nfs/dbraw/zinc/09/95/94/396099594.db2.gz KEGHUUHGPNXDGQ-GXTWGEPZSA-N 0 2 319.361 0.139 20 0 DCADLN CNC(=O)[C@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-])c1ccccc1 ZINC000262108739 396099597 /nfs/dbraw/zinc/09/95/97/396099597.db2.gz KEGHUUHGPNXDGQ-GXTWGEPZSA-N 0 2 319.361 0.139 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)N(C)CCO3)c1O ZINC000278053500 396103631 /nfs/dbraw/zinc/10/36/31/396103631.db2.gz INMJWBRZHQFTKX-GFCCVEGCSA-N 0 2 319.317 0.503 20 0 DCADLN O=C(N[C@@]1(CCO)CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000278066429 396104406 /nfs/dbraw/zinc/10/44/06/396104406.db2.gz KTQXNYZFUNHAMQ-INIZCTEOSA-N 0 2 317.345 0.849 20 0 DCADLN O=C(CS(=O)(=O)c1n[nH]c(-c2ccccc2F)n1)N1CCC1 ZINC000278149757 396109049 /nfs/dbraw/zinc/10/90/49/396109049.db2.gz HFQNGFAOKSEZRB-UHFFFAOYSA-N 0 2 324.337 0.617 20 0 DCADLN CCC[N@H+](CC(=O)NCC(=O)[O-])[C@H](C)C(=O)Nc1ccccc1 ZINC000262238170 396114548 /nfs/dbraw/zinc/11/45/48/396114548.db2.gz OIKQQQAAXNADNN-GFCCVEGCSA-N 0 2 321.377 0.926 20 0 DCADLN CCC[N@@H+](CC(=O)NCC(=O)[O-])[C@H](C)C(=O)Nc1ccccc1 ZINC000262238170 396114550 /nfs/dbraw/zinc/11/45/50/396114550.db2.gz OIKQQQAAXNADNN-GFCCVEGCSA-N 0 2 321.377 0.926 20 0 DCADLN CC[N@H+](CC(=O)NCC(=O)[O-])CC(=O)Nc1cccc(OC)c1 ZINC000262243895 396114685 /nfs/dbraw/zinc/11/46/85/396114685.db2.gz PITCFEVITHRLMH-UHFFFAOYSA-N 0 2 323.349 0.156 20 0 DCADLN CC[N@@H+](CC(=O)NCC(=O)[O-])CC(=O)Nc1cccc(OC)c1 ZINC000262243895 396114686 /nfs/dbraw/zinc/11/46/86/396114686.db2.gz PITCFEVITHRLMH-UHFFFAOYSA-N 0 2 323.349 0.156 20 0 DCADLN O=C(C[N@H+]1CCCC[C@H]1C(=O)[O-])NCCCN1CCCC1=O ZINC000262311674 396122071 /nfs/dbraw/zinc/12/20/71/396122071.db2.gz SUNBRTPQCRIUMJ-LBPRGKRZSA-N 0 2 311.382 0.054 20 0 DCADLN O=C(C[N@@H+]1CCCC[C@H]1C(=O)[O-])NCCCN1CCCC1=O ZINC000262311674 396122073 /nfs/dbraw/zinc/12/20/73/396122073.db2.gz SUNBRTPQCRIUMJ-LBPRGKRZSA-N 0 2 311.382 0.054 20 0 DCADLN C[C@@H]1[C@@H](C)CCN1S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000176733245 396160209 /nfs/dbraw/zinc/16/02/09/396160209.db2.gz KVQCYGCICZGYON-JGVFFNPUSA-N 0 2 324.362 0.030 20 0 DCADLN C[C@H](CN1CCCC1=O)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000181818853 396242891 /nfs/dbraw/zinc/24/28/91/396242891.db2.gz QHASTQGYDYELCP-SECBINFHSA-N 0 2 317.349 0.415 20 0 DCADLN Cc1n[nH]c(NC(=O)CCN2C(=O)NC3(CCCC3)C2=O)n1 ZINC000264048687 396251419 /nfs/dbraw/zinc/25/14/19/396251419.db2.gz DNDGHAAZHOIYMK-UHFFFAOYSA-N 0 2 306.326 0.306 20 0 DCADLN CC(C)n1cnc(S(=O)(=O)Nc2nc3ncccn3n2)c1 ZINC000179130562 396221887 /nfs/dbraw/zinc/22/18/87/396221887.db2.gz KHHZJAVPZZETHZ-UHFFFAOYSA-N 0 2 307.339 0.703 20 0 DCADLN C[C@@H](CO)CNC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000184213394 396288524 /nfs/dbraw/zinc/28/85/24/396288524.db2.gz YMPHIRKUKOJGLC-LLVKDONJSA-N 0 2 319.365 0.811 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H](C)S1 ZINC000080948453 396289307 /nfs/dbraw/zinc/28/93/07/396289307.db2.gz DZWGRYIJKWROSR-YUMQZZPRSA-N 0 2 320.374 0.990 20 0 DCADLN Cc1cc(C)cc([C@H](O)CNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000283043912 396300751 /nfs/dbraw/zinc/30/07/51/396300751.db2.gz IMXJKQPTDJKYPI-LLVKDONJSA-N 0 2 322.390 0.657 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC1(CO)CCCC1)c2=O ZINC000187001664 396361988 /nfs/dbraw/zinc/36/19/88/396361988.db2.gz JNQVJUZOZFNMMB-UHFFFAOYSA-N 0 2 304.350 0.956 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCC=C(c2ccncc2)C1 ZINC000286255765 396383858 /nfs/dbraw/zinc/38/38/58/396383858.db2.gz QOUQJTICUYKTQW-UHFFFAOYSA-N 0 2 317.374 0.901 20 0 DCADLN CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCc3cn[nH]c32)o1 ZINC000268937916 396378405 /nfs/dbraw/zinc/37/84/05/396378405.db2.gz GGQJDRHEMCBFCW-SECBINFHSA-N 0 2 324.362 0.718 20 0 DCADLN CN(C)c1noc(CNS(=O)(=O)c2cc(F)ccc2F)n1 ZINC000188049441 396378419 /nfs/dbraw/zinc/37/84/19/396378419.db2.gz BMJCGONQSOLKEI-UHFFFAOYSA-N 0 2 318.305 0.892 20 0 DCADLN CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CCCc3cn[nH]c32)o1 ZINC000268937917 396378653 /nfs/dbraw/zinc/37/86/53/396378653.db2.gz GGQJDRHEMCBFCW-VIFPVBQESA-N 0 2 324.362 0.718 20 0 DCADLN COC[C@H](NS(=O)(=O)c1c(N)noc1C)c1ccco1 ZINC000290680983 396469799 /nfs/dbraw/zinc/46/97/99/396469799.db2.gz HCLRKPPBRGGICO-QMMMGPOBSA-N 0 2 301.324 0.824 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000290765628 396471811 /nfs/dbraw/zinc/47/18/11/396471811.db2.gz BTVBAXSEZODYIT-SCZZXKLOSA-N 0 2 310.251 0.532 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000290765628 396471812 /nfs/dbraw/zinc/47/18/12/396471812.db2.gz BTVBAXSEZODYIT-SCZZXKLOSA-N 0 2 310.251 0.532 20 0 DCADLN CCOC(=O)c1n[nH]c([C@H](CC(C)C)NC(=O)c2nnc[nH]2)n1 ZINC000580959196 396514984 /nfs/dbraw/zinc/51/49/84/396514984.db2.gz QVCMXKLMQSQQBA-QMMMGPOBSA-N 0 2 321.341 0.617 20 0 DCADLN CCOC(=O)c1n[nH]c([C@H](CC(C)C)NC(=O)c2ncn[nH]2)n1 ZINC000580959196 396514987 /nfs/dbraw/zinc/51/49/87/396514987.db2.gz QVCMXKLMQSQQBA-QMMMGPOBSA-N 0 2 321.341 0.617 20 0 DCADLN CCOC(=O)c1n[nH]c([C@H](CC(C)C)NC(=O)c2nc[nH]n2)n1 ZINC000580959196 396514989 /nfs/dbraw/zinc/51/49/89/396514989.db2.gz QVCMXKLMQSQQBA-QMMMGPOBSA-N 0 2 321.341 0.617 20 0 DCADLN Cc1cccc2c1OC[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C2 ZINC000291969797 396522660 /nfs/dbraw/zinc/52/26/60/396522660.db2.gz HXHLCECWIHGXEB-SNVBAGLBSA-N 0 2 320.374 0.618 20 0 DCADLN NC(=O)N1CC[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000292035144 396526241 /nfs/dbraw/zinc/52/62/41/396526241.db2.gz XDCWBRFARQFRKS-SNVBAGLBSA-N 0 2 315.333 0.461 20 0 DCADLN Cc1ncc2c(n1)CCN(S(=O)(=O)NCC(F)(F)F)C2 ZINC000581110657 396526442 /nfs/dbraw/zinc/52/64/42/396526442.db2.gz MBRBXTSGCWPDKU-UHFFFAOYSA-N 0 2 310.301 0.540 20 0 DCADLN C[C@@H]1CCN(C(=O)NCC[N@@H+]2CCOC[C@H]2C)C[C@@H]1C(=O)[O-] ZINC000581487196 396559061 /nfs/dbraw/zinc/55/90/61/396559061.db2.gz YHBVWWIHOFXRNX-UPJWGTAASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CCN(C(=O)NCC[N@H+]2CCOC[C@H]2C)C[C@@H]1C(=O)[O-] ZINC000581487196 396559062 /nfs/dbraw/zinc/55/90/62/396559062.db2.gz YHBVWWIHOFXRNX-UPJWGTAASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H](c1nnnn1C)[N@H+](C)Cc1ccc(OCC(=O)[O-])cc1 ZINC000581494721 396560405 /nfs/dbraw/zinc/56/04/05/396560405.db2.gz ZBLQXTZFGRWCGS-SNVBAGLBSA-N 0 2 305.338 0.867 20 0 DCADLN C[C@H](c1nnnn1C)[N@@H+](C)Cc1ccc(OCC(=O)[O-])cc1 ZINC000581494721 396560407 /nfs/dbraw/zinc/56/04/07/396560407.db2.gz ZBLQXTZFGRWCGS-SNVBAGLBSA-N 0 2 305.338 0.867 20 0 DCADLN CCOC(=O)C(NC(=O)[C@@H](F)C(F)(F)F)C(=O)OCC ZINC000292889107 396574888 /nfs/dbraw/zinc/57/48/88/396574888.db2.gz WMJCXRJXAUKBCY-ZCFIWIBFSA-N 0 2 303.208 0.498 20 0 DCADLN CCOC(=O)C(NC(=O)C(F)C(F)(F)F)C(=O)OCC ZINC000292889107 396574891 /nfs/dbraw/zinc/57/48/91/396574891.db2.gz WMJCXRJXAUKBCY-ZCFIWIBFSA-N 0 2 303.208 0.498 20 0 DCADLN CCN(C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)[C@H](C)CO ZINC000293628786 396621709 /nfs/dbraw/zinc/62/17/09/396621709.db2.gz JQOQTFDTQRCGIQ-MRVPVSSYSA-N 0 2 306.322 0.587 20 0 DCADLN COc1cccc(C[NH+]2CCC(O)(C[NH+]3CCOCC3)CC2)n1 ZINC000374802306 396688660 /nfs/dbraw/zinc/68/86/60/396688660.db2.gz VLBMVYBYEYWLBX-UHFFFAOYSA-N 0 2 321.421 0.749 20 0 DCADLN COC(=O)c1oc(S(=O)(=O)Nc2noc(C)n2)cc1C ZINC000596043903 396711343 /nfs/dbraw/zinc/71/13/43/396711343.db2.gz IBGSXPQBNCLIJW-UHFFFAOYSA-N 0 2 301.280 0.867 20 0 DCADLN CC(=O)NCCc1ccc(S(=O)(=O)Nc2ccnn2C)cc1 ZINC000589269565 396712211 /nfs/dbraw/zinc/71/22/11/396712211.db2.gz JPGSCKLVCWDJIW-UHFFFAOYSA-N 0 2 322.390 0.900 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NC(=O)Nc1ccccc1 ZINC000610896774 396778205 /nfs/dbraw/zinc/77/82/05/396778205.db2.gz DMCJSNPPMFFTDF-UHFFFAOYSA-N 0 2 309.322 0.368 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NC(=O)Nc1ccccc1 ZINC000610896774 396778210 /nfs/dbraw/zinc/77/82/10/396778210.db2.gz DMCJSNPPMFFTDF-UHFFFAOYSA-N 0 2 309.322 0.368 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C[C@H]2CCC(=O)NC2)s[nH]1 ZINC000634148828 396795881 /nfs/dbraw/zinc/79/58/81/396795881.db2.gz QNBRLUOFRJCORO-MRVPVSSYSA-N 0 2 312.395 0.696 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@H](C)[C@H]2CCC(=O)N2)s[nH]1 ZINC000634149586 396796125 /nfs/dbraw/zinc/79/61/25/396796125.db2.gz XYYOBAHXEZVCMX-JGVFFNPUSA-N 0 2 312.395 0.695 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000312040033 396763594 /nfs/dbraw/zinc/76/35/94/396763594.db2.gz QQRHSUYMTWIXIF-OCCSQVGLSA-N 0 2 306.362 0.724 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000312040033 396763602 /nfs/dbraw/zinc/76/36/02/396763602.db2.gz QQRHSUYMTWIXIF-OCCSQVGLSA-N 0 2 306.362 0.724 20 0 DCADLN COCCOCCC(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000634153108 396797538 /nfs/dbraw/zinc/79/75/38/396797538.db2.gz GKPGDCKKNQBCKK-UHFFFAOYSA-N 0 2 303.384 0.833 20 0 DCADLN CO[C@H](C)c1nc(=NC(=O)N2CCn3c[nH+]cc3C2)s[n-]1 ZINC000564429075 396833024 /nfs/dbraw/zinc/83/30/24/396833024.db2.gz HWSMBAYSDOLCLW-MRVPVSSYSA-N 0 2 308.367 0.912 20 0 DCADLN CCC(=O)N[C@H](C)C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000590961375 396860269 /nfs/dbraw/zinc/86/02/69/396860269.db2.gz RKOYJPSKSRADCV-MRVPVSSYSA-N 0 2 304.306 0.883 20 0 DCADLN COC[C@H](C)n1[nH]c(CN2C(=O)c3ccccc3C2=O)cc1=O ZINC000634610944 396891758 /nfs/dbraw/zinc/89/17/58/396891758.db2.gz KJEXYJDBFGOTGF-JTQLQIEISA-N 0 2 315.329 0.906 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ncc2c(n1)CCOC2 ZINC000634660453 396894800 /nfs/dbraw/zinc/89/48/00/396894800.db2.gz MALCGDSNLRORSG-UHFFFAOYSA-N 0 2 309.351 0.392 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1ccc2c(c1)nnn2C ZINC000634654957 396895300 /nfs/dbraw/zinc/89/53/00/396895300.db2.gz AEXXMLFEKRXWTF-UHFFFAOYSA-N 0 2 306.351 0.986 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1cccc2c1OCC(=O)N2 ZINC000378008126 397178181 /nfs/dbraw/zinc/17/81/81/397178181.db2.gz ATPPRMCOZMRHOY-UHFFFAOYSA-N 0 2 322.346 0.860 20 0 DCADLN COC(=O)C(C)(C)[NH+]1CCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000592421774 397172654 /nfs/dbraw/zinc/17/26/54/397172654.db2.gz XSKLHQRZYYMZBI-UHFFFAOYSA-N 0 2 307.350 0.853 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@H](O)C[NH2+]CCN2CCSCC2)C[C@H](C)O1 ZINC000573596246 397185987 /nfs/dbraw/zinc/18/59/87/397185987.db2.gz LAICCJDDOJOWGE-QLFBSQMISA-N 0 2 317.499 0.095 20 0 DCADLN C[C@H]1CCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C[C@@H]1C(=O)[O-] ZINC000573723875 397199047 /nfs/dbraw/zinc/19/90/47/397199047.db2.gz CSJWYXRPSBCWBJ-QWRGUYRKSA-N 0 2 315.333 0.845 20 0 DCADLN COC(=O)c1cc(N2N=C(C)[C@H](NC(C)=O)C2=O)ccc1F ZINC000601950437 397272502 /nfs/dbraw/zinc/27/25/02/397272502.db2.gz OTSBKYOXWXYQLF-LBPRGKRZSA-N 0 2 307.281 0.840 20 0 DCADLN O=C(C[C@H]1CC(=O)N1)NCc1nnc(COc2ccccc2)[nH]1 ZINC000613596432 397285303 /nfs/dbraw/zinc/28/53/03/397285303.db2.gz HQMWRBHNEWWVAV-JTQLQIEISA-N 0 2 315.333 0.279 20 0 DCADLN CN1CC[N@H+](C)C[C@H]1C[NH2+]C[C@H](O)COc1ccc(F)cc1 ZINC000387074162 397292165 /nfs/dbraw/zinc/29/21/65/397292165.db2.gz NTPVTZQRNFTEPH-CABCVRRESA-N 0 2 311.401 0.401 20 0 DCADLN CN1CC[N@H+](C)C[C@H]1C[NH2+]C[C@@H](O)COc1ccc(F)cc1 ZINC000387074168 397292246 /nfs/dbraw/zinc/29/22/46/397292246.db2.gz NTPVTZQRNFTEPH-HUUCEWRRSA-N 0 2 311.401 0.401 20 0 DCADLN C[C@H](O)C[N@@H+]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)[C@H](C)C1 ZINC000613657055 397296569 /nfs/dbraw/zinc/29/65/69/397296569.db2.gz YWTMPLDJVVJDBM-XJFOESAGSA-N 0 2 306.410 0.437 20 0 DCADLN CCN(C)C(=O)[C@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613899503 397345204 /nfs/dbraw/zinc/34/52/04/397345204.db2.gz XFWLUJVKOIQVRJ-VIFPVBQESA-N 0 2 318.333 0.627 20 0 DCADLN CCOC(=O)C(C)(C)ONC(=O)CNC(=O)OC(C)(C)C ZINC000495970361 397566047 /nfs/dbraw/zinc/56/60/47/397566047.db2.gz NYAPNMJYJXDCDA-UHFFFAOYSA-N 0 2 304.343 0.901 20 0 DCADLN CCOC(=O)N1CC[C@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC000495555762 397546419 /nfs/dbraw/zinc/54/64/19/397546419.db2.gz OKSXJXJSOLZRMN-ZETCQYMHSA-N 0 2 304.290 0.699 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NCc2nnnn2C2CC2)s[nH]1 ZINC000608299050 397724402 /nfs/dbraw/zinc/72/44/02/397724402.db2.gz JWZQMYKHKRGOIL-UHFFFAOYSA-N 0 2 322.398 0.901 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@@H]1CCC(=O)NC1)c2=O ZINC000078521556 158072615 /nfs/dbraw/zinc/07/26/15/158072615.db2.gz YDPXDDJRIAMHDI-SECBINFHSA-N 0 2 300.318 0.652 20 0 DCADLN COc1ccccc1S(O)=CC(=O)N[C@@H](C)C(=O)N(C)C ZINC000133857434 158321977 /nfs/dbraw/zinc/32/19/77/158321977.db2.gz IOWLMCAJAGWPKE-CHNSCGDPSA-N 0 2 312.391 0.396 20 0 DCADLN O=C1CCCN1C[C@H](O)C[N@@H+]1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000328652048 159017565 /nfs/dbraw/zinc/01/75/65/159017565.db2.gz JVYNRMHHNGDRLA-UKRRQHHQSA-N 0 2 305.378 0.518 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)C[C@H]3CCCO3)C2)[nH]1 ZINC000328840640 159034008 /nfs/dbraw/zinc/03/40/08/159034008.db2.gz OIKRJNFPXOQQMJ-NXEZZACHSA-N 0 2 316.383 0.199 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)C[C@H]3CCOC3)C2)[nH]1 ZINC000328840692 159034279 /nfs/dbraw/zinc/03/42/79/159034279.db2.gz OJBCGUFFVPMCLQ-UWVGGRQHSA-N 0 2 316.383 0.056 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)C[C@@H]3CCCO3)C2)[nH]1 ZINC000328840645 159034325 /nfs/dbraw/zinc/03/43/25/159034325.db2.gz OIKRJNFPXOQQMJ-ZJUUUORDSA-N 0 2 316.383 0.199 20 0 DCADLN C[C@H]1CN(C(=O)N=c2nc(C(C)(C)C)[nH]s2)C[C@@H](CO)O1 ZINC000408277803 160075096 /nfs/dbraw/zinc/07/50/96/160075096.db2.gz BQLPDTNOLJNWSI-IUCAKERBSA-N 0 2 314.411 0.871 20 0 DCADLN O=C(COc1ccc(Cl)cc1)NCCCc1n[nH]c(=O)[nH]1 ZINC000080974857 286927976 /nfs/dbraw/zinc/92/79/76/286927976.db2.gz CWYXXPSXZUIBEV-UHFFFAOYSA-N 0 2 310.741 0.879 20 0 DCADLN O=C(Nc1cccc2[nH]cnc21)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000136242352 287025852 /nfs/dbraw/zinc/02/58/52/287025852.db2.gz ZIJHIDITJLPNAD-UHFFFAOYSA-N 0 2 322.284 0.740 20 0 DCADLN CC(C)(C)Oc1cccc(CCC(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC000151199586 287032195 /nfs/dbraw/zinc/03/21/95/287032195.db2.gz BGPFKCGREHKMMG-UHFFFAOYSA-N 0 2 319.365 0.919 20 0 DCADLN C[C@@H]1C(=O)N(C)CCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000186841470 287057939 /nfs/dbraw/zinc/05/79/39/287057939.db2.gz LJTSNXWOOZXETN-LLVKDONJSA-N 0 2 314.345 0.881 20 0 DCADLN COC(=O)CC[NH+]1CCN(CC[N@@H+]2CCO[C@H](C)C2)[C@H](C)C1 ZINC000192790636 287060233 /nfs/dbraw/zinc/06/02/33/287060233.db2.gz VSXDPEQVKPLLGV-HUUCEWRRSA-N 0 2 313.442 0.276 20 0 DCADLN C[C@@H]1CC[NH+](CCC[N@H+]2CC[C@H](C)C[C@H]2C(N)=O)[C@H](C(N)=O)C1 ZINC000249582003 287114954 /nfs/dbraw/zinc/11/49/54/287114954.db2.gz RMAHLFQMKZMQIS-XGUBFFRZSA-N 0 2 324.469 0.548 20 0 DCADLN CC[C@H](C)[C@H](O)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268550208 415238700 /nfs/dbraw/zinc/23/87/00/415238700.db2.gz DHFQRYWVTCRTAS-OIBJUYFYSA-N 0 2 320.349 0.881 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@]3(O)CCO[C@H]3C)c[nH]c2n1 ZINC000332191994 415290134 /nfs/dbraw/zinc/29/01/34/415290134.db2.gz WZGGSXALTAFXMC-MGPLVRAMSA-N 0 2 317.345 0.914 20 0 DCADLN Cc1nnc2c3ccccc3c(NCCCc3n[nH]c(=O)[nH]3)nn12 ZINC000342826962 415305187 /nfs/dbraw/zinc/30/51/87/415305187.db2.gz XERBSJATAVHKLN-UHFFFAOYSA-N 0 2 324.348 1.454 20 0 DCADLN CC[C@]1(CO)CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000275948006 415399374 /nfs/dbraw/zinc/39/93/74/415399374.db2.gz YXUHDBDJWKCYHB-OAHLLOKOSA-N 0 2 318.333 0.813 20 0 DCADLN CCNc1nc(NC(C)(C)C)nc(NCCc2cnccn2)[nH+]1 ZINC000342941178 415370381 /nfs/dbraw/zinc/37/03/81/415370381.db2.gz VUUSICPUEOJZMV-UHFFFAOYSA-N 0 2 316.413 0.802 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@H]1Cn1ccnn1 ZINC000343176986 415487431 /nfs/dbraw/zinc/48/74/31/415487431.db2.gz PNBMBHLDSAYGKZ-QMMMGPOBSA-N 0 2 313.305 0.139 20 0 DCADLN CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc(N(C)C)cc[nH+]2)C1=O ZINC000278810220 415492669 /nfs/dbraw/zinc/49/26/69/415492669.db2.gz PCAXKAYZBNDVIC-CQSZACIVSA-N 0 2 305.338 0.513 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cc(N(C)C)ccn2)C1=O ZINC000278810220 415492675 /nfs/dbraw/zinc/49/26/75/415492675.db2.gz PCAXKAYZBNDVIC-CQSZACIVSA-N 0 2 305.338 0.513 20 0 DCADLN CS(=O)(=O)Nc1ccc(NC(=O)C(N)C(F)(F)F)cc1 ZINC000353144954 415450166 /nfs/dbraw/zinc/45/01/66/415450166.db2.gz JCIGKMFMCIJMGA-QMMMGPOBSA-N 0 2 311.285 0.886 20 0 DCADLN CS(=O)(=O)Nc1ccc(NC(=O)[C@H](N)C(F)(F)F)cc1 ZINC000353144954 415450183 /nfs/dbraw/zinc/45/01/83/415450183.db2.gz JCIGKMFMCIJMGA-QMMMGPOBSA-N 0 2 311.285 0.886 20 0 DCADLN CN(C[C@@H](O)CO)C(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000353151512 415453602 /nfs/dbraw/zinc/45/36/02/415453602.db2.gz OIVRVOXZNRVKMN-SNVBAGLBSA-N 0 2 308.363 0.444 20 0 DCADLN O=C(CNC(=O)COc1ccccc1)NOC[C@@H]1CCOC1 ZINC000276300730 415467094 /nfs/dbraw/zinc/46/70/94/415467094.db2.gz OAKUJWBGBQNCKE-GFCCVEGCSA-N 0 2 308.334 0.266 20 0 DCADLN CC[NH+]1CCN([C@@H](C)CNC(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC000332795164 415475457 /nfs/dbraw/zinc/47/54/57/415475457.db2.gz LIXLJPUSWFCNRH-QWHCGFSZSA-N 0 2 320.441 0.052 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCC(=O)NC2)c1 ZINC000424634602 287311414 /nfs/dbraw/zinc/31/14/14/287311414.db2.gz FMIISTQTEXGIDW-UZJPJQLHSA-N 0 2 310.375 0.198 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCC(=O)NC2)c1 ZINC000424634595 287311610 /nfs/dbraw/zinc/31/16/10/287311610.db2.gz FMIISTQTEXGIDW-CHNSCGDPSA-N 0 2 310.375 0.198 20 0 DCADLN COCc1nc(S(=O)(=O)[C@H](C)C(=O)NC2CCCC2)n[nH]1 ZINC000353891491 415684751 /nfs/dbraw/zinc/68/47/51/415684751.db2.gz GDTCGXIMMCQQSG-MRVPVSSYSA-N 0 2 316.383 0.172 20 0 DCADLN C[C@]1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCOC1 ZINC000354155136 415774813 /nfs/dbraw/zinc/77/48/13/415774813.db2.gz IMJLTZYIMIURTL-CQSZACIVSA-N 0 2 304.306 0.592 20 0 DCADLN C[C@@H](CCS(C)(=O)=O)NC(=O)N[C@@H](C)C1=CC[N@H+](C)CC1 ZINC000334028942 415788178 /nfs/dbraw/zinc/78/81/78/415788178.db2.gz PUJCZNJKSACNRD-RYUDHWBXSA-N 0 2 317.455 0.759 20 0 DCADLN CC[C@@H](C)n1nc(NC(=O)NCCNC(=O)N(C)C)cc1C ZINC000334032539 415788794 /nfs/dbraw/zinc/78/87/94/415788794.db2.gz JPEKAOXLOSSSNF-SNVBAGLBSA-N 0 2 310.402 1.555 20 0 DCADLN CNS(=O)(=O)c1ccc(O)c(NS(=O)(=O)C2CC2)c1 ZINC000290559954 415820432 /nfs/dbraw/zinc/82/04/32/415820432.db2.gz RRNIHZHAFMPCOX-UHFFFAOYSA-N 0 2 306.365 0.204 20 0 DCADLN CC(C)[N@@H+]1CCCN(C(=O)NCC[NH+]2CCOC[C@@H]2C)CC1 ZINC000356351513 415903132 /nfs/dbraw/zinc/90/31/32/415903132.db2.gz IHUJMZPJPPGLOC-HNNXBMFYSA-N 0 2 312.458 0.833 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCOC[C@H](O)C1 ZINC000296154444 415910661 /nfs/dbraw/zinc/91/06/61/415910661.db2.gz KSCQDQJUNJWPME-GFCCVEGCSA-N 0 2 303.318 0.411 20 0 DCADLN C[C@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)n1cccn1 ZINC000299145541 416055718 /nfs/dbraw/zinc/05/57/18/416055718.db2.gz POXLEMMGYCAQTP-ZCFIWIBFSA-N 0 2 316.368 0.306 20 0 DCADLN COCCc1nsc(NC[C@@H]2CCc3nnc(C)n3C2)n1 ZINC000426881889 287323789 /nfs/dbraw/zinc/32/37/89/287323789.db2.gz GQOPVWRCZNVOAI-JTQLQIEISA-N 0 2 308.411 0.723 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1nc2c(s1)COCC2 ZINC000344969649 416018730 /nfs/dbraw/zinc/01/87/30/416018730.db2.gz GHMVNLDRKFYXER-UHFFFAOYSA-N 0 2 300.365 0.750 20 0 DCADLN C[C@@H](C(=O)NCC(F)(F)F)[N@@H+]1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000298675498 416021274 /nfs/dbraw/zinc/02/12/74/416021274.db2.gz YTHLKZAMNSLAHC-JGVFFNPUSA-N 0 2 322.287 0.609 20 0 DCADLN CCN(CCCNC(=O)CCn1cc[nH+]c1)S(C)(=O)=O ZINC000338053926 416039415 /nfs/dbraw/zinc/03/94/15/416039415.db2.gz OEQADWSHFAGAGK-UHFFFAOYSA-N 0 2 302.400 0.061 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cc([C@@H]2CCCOC2)[nH]n1 ZINC000357464908 416085598 /nfs/dbraw/zinc/08/55/98/416085598.db2.gz CQAMQNRKDFTKSO-SSDOTTSWSA-N 0 2 324.366 0.858 20 0 DCADLN Cc1cc(C(=O)[O-])ccc1S(=O)(=O)NCCc1cn(C)c[nH+]1 ZINC000313188283 416107038 /nfs/dbraw/zinc/10/70/38/416107038.db2.gz DUFGQMUANLEQHN-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN CC(C)CCn1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)nn1 ZINC000176269728 416123765 /nfs/dbraw/zinc/12/37/65/416123765.db2.gz PQHXSMYUIJSCNW-UHFFFAOYSA-N 0 2 307.358 0.098 20 0 DCADLN O=C(Nc1ccc(Cc2nn[nH]n2)cc1)[C@H]1CCc2[nH]nnc2C1 ZINC000345653270 416128531 /nfs/dbraw/zinc/12/85/31/416128531.db2.gz BGWHYTLQXSGNFV-JTQLQIEISA-N 0 2 324.348 0.652 20 0 DCADLN O=C(Nc1ccc(Cc2nn[nH]n2)cc1)[C@H]1CCc2nn[nH]c2C1 ZINC000345653270 416128534 /nfs/dbraw/zinc/12/85/34/416128534.db2.gz BGWHYTLQXSGNFV-JTQLQIEISA-N 0 2 324.348 0.652 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NC2(CO)CCOCC2)s[nH]1 ZINC000345699287 416135205 /nfs/dbraw/zinc/13/52/05/416135205.db2.gz UCQHLSBRYDSHQV-UHFFFAOYSA-N 0 2 314.411 0.921 20 0 DCADLN CN(C(=O)c1cc(F)c(O)c(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000176968703 416138043 /nfs/dbraw/zinc/13/80/43/416138043.db2.gz KOCBTJFQRSRYAC-MRVPVSSYSA-N 0 2 305.302 0.930 20 0 DCADLN CCCNC(=O)CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179445116 416187496 /nfs/dbraw/zinc/18/74/96/416187496.db2.gz DYBHQTDCIJXMPY-UHFFFAOYSA-N 0 2 305.338 0.320 20 0 DCADLN C[C@H]1COCCN1CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358345159 416226374 /nfs/dbraw/zinc/22/63/74/416226374.db2.gz YHBYEPCNICZFDY-JTQLQIEISA-N 0 2 319.365 0.124 20 0 DCADLN CC(C)[C@H](NC(=O)c1cccs1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358352593 416226620 /nfs/dbraw/zinc/22/66/20/416226620.db2.gz HZXZHQMWBJUQKX-JTQLQIEISA-N 0 2 323.378 0.643 20 0 DCADLN CC(C)[C@H](NC(=O)c1ccco1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358348280 416227094 /nfs/dbraw/zinc/22/70/94/416227094.db2.gz AXVWASBXWQFOMX-JTQLQIEISA-N 0 2 307.310 0.174 20 0 DCADLN O=C(CCc1nc(-c2ccsc2)no1)NCc1n[nH]c(=O)[nH]1 ZINC000358357696 416228181 /nfs/dbraw/zinc/22/81/81/416228181.db2.gz OCHRYOQPDGOUTH-UHFFFAOYSA-N 0 2 320.334 0.871 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H](CO)CC(F)(F)F)c2=O ZINC000358357917 416228267 /nfs/dbraw/zinc/22/82/67/416228267.db2.gz RISFPRKKFMUSEL-LURJTMIESA-N 0 2 318.255 0.717 20 0 DCADLN COCC[N@H+](C)CCCNC(=O)Cc1cn2ccccc2[nH+]1 ZINC000192684307 416309278 /nfs/dbraw/zinc/30/92/78/416309278.db2.gz RAQVJIJWOOSWRN-UHFFFAOYSA-N 0 2 304.394 0.961 20 0 DCADLN C[C@H](CNS(=O)(=O)NCC(F)(F)F)Cn1cccn1 ZINC000192015715 416297016 /nfs/dbraw/zinc/29/70/16/416297016.db2.gz SXQOZVXMWQENHG-MRVPVSSYSA-N 0 2 300.306 0.506 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000416404717 416368689 /nfs/dbraw/zinc/36/86/89/416368689.db2.gz XOWFQZLYFYCEPU-NEPJUHHUSA-N 0 2 308.382 0.985 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000416404717 416368691 /nfs/dbraw/zinc/36/86/91/416368691.db2.gz XOWFQZLYFYCEPU-NEPJUHHUSA-N 0 2 308.382 0.985 20 0 DCADLN CO[C@H](CC(C)C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000416126437 416359557 /nfs/dbraw/zinc/35/95/57/416359557.db2.gz BMYVLNONNXFGBK-SSDOTTSWSA-N 0 2 322.412 0.909 20 0 DCADLN C[C@H]1CCC[C@]1(O)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000359808180 416359662 /nfs/dbraw/zinc/35/96/62/416359662.db2.gz QHFSPQKTBDBBFL-VFZGTOFNSA-N 0 2 304.350 0.955 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(N2CCCNC2=O)c1 ZINC000359818194 416360894 /nfs/dbraw/zinc/36/08/94/416360894.db2.gz NPTJIQJMDPREIH-UHFFFAOYSA-N 0 2 316.321 0.360 20 0 DCADLN O=C(CNC(=O)c1cc2ccccc2[nH]1)NCc1n[nH]c(=O)[nH]1 ZINC000359834167 416361667 /nfs/dbraw/zinc/36/16/67/416361667.db2.gz SNIQFJZCZDARDV-UHFFFAOYSA-N 0 2 314.305 0.038 20 0 DCADLN NC(=O)Cn1ccc(Nc2nnc(-c3ccccn3)s2)n1 ZINC000360954017 416416693 /nfs/dbraw/zinc/41/66/93/416416693.db2.gz OJMVFZYROKYWHA-UHFFFAOYSA-N 0 2 301.335 1.026 20 0 DCADLN CC(C)[C@]1(CO)CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000360171253 416377073 /nfs/dbraw/zinc/37/70/73/416377073.db2.gz NMDMABYELPTNQM-CYBMUJFWSA-N 0 2 314.411 0.860 20 0 DCADLN CCn1cc(NS(=O)(=O)c2cnn(CC(=O)OC)c2)cn1 ZINC000360528131 416394473 /nfs/dbraw/zinc/39/44/73/416394473.db2.gz FPIHQQMRKDAWGR-UHFFFAOYSA-N 0 2 313.339 0.073 20 0 DCADLN CC(C)n1ccc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000361288334 416428012 /nfs/dbraw/zinc/42/80/12/416428012.db2.gz LRCOVTGFGOJEJO-UHFFFAOYSA-N 0 2 314.305 1.466 20 0 DCADLN CCOc1ncccc1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000361918570 416521545 /nfs/dbraw/zinc/52/15/45/416521545.db2.gz JJNUKVVICYIHCB-UHFFFAOYSA-N 0 2 309.351 0.713 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2noc(C(C)C)n2)cn1 ZINC000537593401 416559199 /nfs/dbraw/zinc/55/91/99/416559199.db2.gz SXRQQNHWDDOQJY-UHFFFAOYSA-N 0 2 315.355 0.837 20 0 DCADLN O=C(N=c1nc(-c2cccnc2)[nH]s1)[C@H]1CCNC(=O)C1 ZINC000535231700 416518808 /nfs/dbraw/zinc/51/88/08/416518808.db2.gz UGOFEBUBLVCQCR-QMMMGPOBSA-N 0 2 303.347 0.487 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2CCC[C@H](O)C2)s1 ZINC000439471077 416614764 /nfs/dbraw/zinc/61/47/64/416614764.db2.gz QPIMGKQNUMJVEB-RQJHMYQMSA-N 0 2 320.396 0.399 20 0 DCADLN CCOC(=O)[C@H](CO)NC(=O)c1cc(F)cc(Cl)c1O ZINC000436991423 416579534 /nfs/dbraw/zinc/57/95/34/416579534.db2.gz TXIVGXBPHSEDAT-VIFPVBQESA-N 0 2 305.689 0.839 20 0 DCADLN Cc1cc(CNC(=O)C(=O)NCCC(C)(C)C(=O)[O-])cc(C)[nH+]1 ZINC000424490189 416656432 /nfs/dbraw/zinc/65/64/32/416656432.db2.gz UQCLSJVSDWUABI-UHFFFAOYSA-N 0 2 321.377 0.932 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC[C@H]2C(N)=O)c1 ZINC000424556901 416663453 /nfs/dbraw/zinc/66/34/53/416663453.db2.gz HTOZFRXAGVWUDO-QKVFXAPYSA-N 0 2 310.375 0.279 20 0 DCADLN CC[C@@H](CNS(C)(=O)=O)NC(=O)c1cccc2[nH]nnc21 ZINC000514778237 416675111 /nfs/dbraw/zinc/67/51/11/416675111.db2.gz VEVJMWQIZZNPCU-QMMMGPOBSA-N 0 2 311.367 0.016 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCO[C@@H]1CCCCO1 ZINC000615074934 416751162 /nfs/dbraw/zinc/75/11/62/416751162.db2.gz OQIXKOSHAKWDLU-SECBINFHSA-N 0 2 302.356 0.262 20 0 DCADLN O=C(c1cc2n(n1)CCCO2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000516869540 416751523 /nfs/dbraw/zinc/75/15/23/416751523.db2.gz KYMWGBMKCZHIHE-VIFPVBQESA-N 0 2 318.337 0.509 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000550106001 416694559 /nfs/dbraw/zinc/69/45/59/416694559.db2.gz GLSUUAZGVBSJSK-UHFFFAOYSA-N 0 2 324.362 1.068 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CCC[C@H]2C[N@H+](C)C[C@H]21)[NH+]1CCOCC1 ZINC000425664853 416719164 /nfs/dbraw/zinc/71/91/64/416719164.db2.gz QRTIOZKLHPEXIA-XUWVNRHRSA-N 0 2 324.469 0.831 20 0 DCADLN C[C@@H](NS(=O)(=O)NCC(F)(F)F)c1nnc2n1CCC2 ZINC000442943665 416721760 /nfs/dbraw/zinc/72/17/60/416721760.db2.gz NTUAAIAHZAKBOY-ZCFIWIBFSA-N 0 2 313.305 0.272 20 0 DCADLN CCOC(=O)c1cc(NC(=O)C(=O)c2ccc(O)cc2)n[nH]1 ZINC000564361958 416890985 /nfs/dbraw/zinc/89/09/85/416890985.db2.gz ZLSVCVBQDVGHDN-UHFFFAOYSA-N 0 2 303.274 1.113 20 0 DCADLN O=S(=O)(C[C@H]1COc2ccccc2O1)NCC(F)(F)CO ZINC000641427600 416847600 /nfs/dbraw/zinc/84/76/00/416847600.db2.gz ACOKAUOYNRQJMP-SECBINFHSA-N 0 2 323.317 0.373 20 0 DCADLN COC(=O)Cc1cccc(NS(=O)(=O)c2ccnn2C)n1 ZINC000616342480 417021428 /nfs/dbraw/zinc/02/14/28/417021428.db2.gz JBQBRSXMGYHPGB-UHFFFAOYSA-N 0 2 310.335 0.331 20 0 DCADLN O=C([C@H]1CC(=O)N(C2CC2)C1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000565835318 417023360 /nfs/dbraw/zinc/02/33/60/417023360.db2.gz HDOLBESOFABZSG-UWVGGRQHSA-N 0 2 319.365 0.227 20 0 DCADLN CC[C@H](NC(C)=O)C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000637051870 416969220 /nfs/dbraw/zinc/96/92/20/416969220.db2.gz SXRUJXNRBSNRLL-JTQLQIEISA-N 0 2 305.363 0.875 20 0 DCADLN O=C([O-])COCCNC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000387870197 417092654 /nfs/dbraw/zinc/09/26/54/417092654.db2.gz NIKYQDWXNFBBRQ-UHFFFAOYSA-N 0 2 308.338 0.014 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2ccc(C)nc2)cn1 ZINC000431337679 417117595 /nfs/dbraw/zinc/11/75/95/417117595.db2.gz OLGNWZJDSRDWOV-UHFFFAOYSA-N 0 2 310.335 0.560 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@@H+]1CCC(=O)N1CCc2sccc2C1 ZINC000643054369 417149148 /nfs/dbraw/zinc/14/91/48/417149148.db2.gz QASWNMMVWIAOLF-LBPRGKRZSA-N 0 2 324.402 0.808 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@H+]1CCC(=O)N1CCc2sccc2C1 ZINC000643054369 417149151 /nfs/dbraw/zinc/14/91/51/417149151.db2.gz QASWNMMVWIAOLF-LBPRGKRZSA-N 0 2 324.402 0.808 20 0 DCADLN O[C@@H]1C[C@H](O)C[N@@H+](Cc2ccc(C[NH+]3CCOCC3)cc2)C1 ZINC000643250789 417168792 /nfs/dbraw/zinc/16/87/92/417168792.db2.gz YRFMIPIXMDWPDZ-CALCHBBNSA-N 0 2 306.406 0.446 20 0 DCADLN Cc1cc(C)cc(OCCNC(=O)N2CC[N@@H+](C)C[C@H]2C[NH3+])c1 ZINC000568974653 417200590 /nfs/dbraw/zinc/20/05/90/417200590.db2.gz KEPQUDRAIABBAH-OAHLLOKOSA-N 0 2 320.437 0.967 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)NC[C@H]2CC[NH+]2C2CCCC2)C1 ZINC000527727971 417270823 /nfs/dbraw/zinc/27/08/23/417270823.db2.gz PUVZHSOYUHYJCR-CABCVRRESA-N 0 2 310.442 0.633 20 0 DCADLN Cc1cc(C)c(S(=O)(=O)Nc2cn(C)nc2C(N)=O)s1 ZINC000414398923 417280576 /nfs/dbraw/zinc/28/05/76/417280576.db2.gz SBCPPWNQTLIVMM-UHFFFAOYSA-N 0 2 314.392 0.998 20 0 DCADLN C[C@@H]1OCC[C@]1(O)CNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000433216271 417242547 /nfs/dbraw/zinc/24/25/47/417242547.db2.gz AASKPSFVTAOLOK-SDBXPKJASA-N 0 2 314.411 0.919 20 0 DCADLN CC[C@@H](CNS(C)(=O)=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000450674104 417256751 /nfs/dbraw/zinc/25/67/51/417256751.db2.gz MKWSPPHVOAUTHF-QMMMGPOBSA-N 0 2 306.457 0.997 20 0 DCADLN CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)[N-]CC(F)(F)F ZINC000451931680 417418584 /nfs/dbraw/zinc/41/85/84/417418584.db2.gz SAPNBPVNTCOOAY-SSDOTTSWSA-N 0 2 300.306 0.950 20 0 DCADLN CCn1ccnc1[C@@H](C)NS(=O)(=O)NCC(F)(F)F ZINC000451931680 417418591 /nfs/dbraw/zinc/41/85/91/417418591.db2.gz SAPNBPVNTCOOAY-SSDOTTSWSA-N 0 2 300.306 0.950 20 0 DCADLN CC[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)[C@H](O)C(F)F ZINC000452481001 417485857 /nfs/dbraw/zinc/48/58/57/417485857.db2.gz MVXSVFBAJKCQOE-SCZZXKLOSA-N 0 2 314.292 0.808 20 0 DCADLN CC(=O)N1CC[C@@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000623042696 417532094 /nfs/dbraw/zinc/53/20/94/417532094.db2.gz KOBYJSIYXSAMER-GFCCVEGCSA-N 0 2 316.317 0.381 20 0 DCADLN C[C@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-])C(=O)N1CCCCC1 ZINC000262182743 299052705 /nfs/dbraw/zinc/05/27/05/299052705.db2.gz WXVSHUSVMHRUSX-RYUDHWBXSA-N 0 2 311.382 0.053 20 0 DCADLN C[C@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-])C(=O)N1CCCCC1 ZINC000262182743 299052709 /nfs/dbraw/zinc/05/27/09/299052709.db2.gz WXVSHUSVMHRUSX-RYUDHWBXSA-N 0 2 311.382 0.053 20 0 DCADLN O=C(NCC12CC(C1)CO2)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000529867230 417519972 /nfs/dbraw/zinc/51/99/72/417519972.db2.gz CHDVNPUPFWHXCT-UHFFFAOYSA-N 0 2 301.302 0.939 20 0 DCADLN CO[C@@H]1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C[C@H]1O ZINC000456377114 417646022 /nfs/dbraw/zinc/64/60/22/417646022.db2.gz RBODZFLSELYMNV-DGCLKSJQSA-N 0 2 303.318 0.410 20 0 DCADLN CS(=O)(=O)C1CN(C(=O)c2cc(F)c(F)c(O)c2F)C1 ZINC000456973304 417673687 /nfs/dbraw/zinc/67/36/87/417673687.db2.gz PXMMYIWEEXPHLV-UHFFFAOYSA-N 0 2 309.265 0.679 20 0 DCADLN CC(C)n1cncc1C[N@H+]1CCC[C@H](NS(C)(=O)=O)C1 ZINC000644900546 417676804 /nfs/dbraw/zinc/67/68/04/417676804.db2.gz MSNDDIIOKBKKJZ-LBPRGKRZSA-N 0 2 300.428 0.978 20 0 DCADLN CC(C)Nc1nc(NCCO)nc(NC[C@H](C)CC(F)F)[nH+]1 ZINC000645306289 417729137 /nfs/dbraw/zinc/72/91/37/417729137.db2.gz FZUPKXPVSMHQFQ-SECBINFHSA-N 0 2 318.372 0.065 20 0 DCADLN CCC[C@H](C)[C@@H](CO)NC(=O)C(=O)N=c1ccc(C2CC2)n[nH]1 ZINC000651963615 417824895 /nfs/dbraw/zinc/82/48/95/417824895.db2.gz FAHNIYOJZZCESQ-GXFFZTMASA-N 0 2 320.393 0.628 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H]2CCCC2(F)F)[nH]1 ZINC000651805061 417788227 /nfs/dbraw/zinc/78/82/27/417788227.db2.gz DTNXLQOEZNQJBG-QMMMGPOBSA-N 0 2 301.297 0.523 20 0 DCADLN COCCC1(C)CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651807614 417788591 /nfs/dbraw/zinc/78/85/91/417788591.db2.gz JYUAKIATWSKHFL-UHFFFAOYSA-N 0 2 309.370 0.104 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC(C)(C)[C@@H]2C2CC2)[nH]1 ZINC000651813289 417788819 /nfs/dbraw/zinc/78/88/19/417788819.db2.gz DSEMTUQXQARGGO-NSHDSACASA-N 0 2 305.382 0.866 20 0 DCADLN COC1([C@H](C)NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCC1 ZINC000651851083 417798406 /nfs/dbraw/zinc/79/84/06/417798406.db2.gz DWAZDALCSTZRCF-JTQLQIEISA-N 0 2 309.370 0.293 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(C(C)=O)cc2)[nH]1 ZINC000657105019 417812472 /nfs/dbraw/zinc/81/24/72/417812472.db2.gz WZAMVCHEBDLJJL-UHFFFAOYSA-N 0 2 324.362 0.632 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2sccc2C)[nH]1 ZINC000657104372 417812619 /nfs/dbraw/zinc/81/26/19/417812619.db2.gz OPQHIVWQPMJPJE-UHFFFAOYSA-N 0 2 302.381 0.800 20 0 DCADLN CC[NH+]1CCN(C[C@H](C)C[NH2+]Cc2nnn(C(C)(C)C)n2)CC1 ZINC000657347778 417852332 /nfs/dbraw/zinc/85/23/32/417852332.db2.gz XIEGMYYHXSKMLI-CQSZACIVSA-N 0 2 323.489 0.791 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](CCOCC(F)(F)C(F)(F)F)CCO1 ZINC000652473282 417915901 /nfs/dbraw/zinc/91/59/01/417915901.db2.gz YAMXNSJMSGTWGU-SSDOTTSWSA-N 0 2 307.215 0.986 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](CCOCC(F)(F)C(F)(F)F)CCO1 ZINC000652473282 417915903 /nfs/dbraw/zinc/91/59/03/417915903.db2.gz YAMXNSJMSGTWGU-SSDOTTSWSA-N 0 2 307.215 0.986 20 0 DCADLN Cc1cc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@@H]2O)nc(-c2ccncc2)[nH+]1 ZINC000662894653 417940288 /nfs/dbraw/zinc/94/02/88/417940288.db2.gz BQARHOJEDPJCPP-UPJWGTAASA-N 0 2 314.345 0.905 20 0 DCADLN CC(C)c1[nH][nH]c(=O)c1C(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000663220110 418001402 /nfs/dbraw/zinc/00/14/02/418001402.db2.gz XKWPHCHPZSRPIA-NSHDSACASA-N 0 2 323.374 0.787 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccnc(OCCO)c2)cnn1C ZINC000663300120 418008524 /nfs/dbraw/zinc/00/85/24/418008524.db2.gz QSDJAXDBPPZUKD-UHFFFAOYSA-N 0 2 312.351 0.295 20 0 DCADLN CN(C)S(=O)(=O)[C@H]1CCCN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC000647455400 418012439 /nfs/dbraw/zinc/01/24/39/418012439.db2.gz ZKSWLHLZYGKGCJ-NSHDSACASA-N 0 2 313.379 0.640 20 0 DCADLN COC[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000653038318 418015399 /nfs/dbraw/zinc/01/53/99/418015399.db2.gz DRAXKVOPEMTZEI-NWDGAFQWSA-N 0 2 319.317 0.252 20 0 DCADLN Cc1nc(=NC(=O)N2C[C@@H](C)O[C@H](C(F)(F)F)C2)[nH]n1C ZINC000653241398 418046257 /nfs/dbraw/zinc/04/62/57/418046257.db2.gz OYSKLYYMZSLKAC-SVRRBLITSA-N 0 2 307.276 0.729 20 0 DCADLN Cc1nc(=NC(=O)N[C@H]2CCN(C3CCCCC3)C2=O)[nH]n1C ZINC000653241182 418046598 /nfs/dbraw/zinc/04/65/98/418046598.db2.gz FKTXJVFAMAIKEN-LBPRGKRZSA-N 0 2 320.397 0.601 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@H](C(=O)[O-])C3CC3)CC2)cc[nH+]1 ZINC000663044361 417982091 /nfs/dbraw/zinc/98/20/91/417982091.db2.gz OYNIFPQIWPBKBS-CYBMUJFWSA-N 0 2 304.350 0.544 20 0 DCADLN Cn1nnc(CS(=O)(=O)c2n[nH]c(-c3ccccc3F)n2)n1 ZINC000647997830 418085816 /nfs/dbraw/zinc/08/58/16/418085816.db2.gz UBHPFJBNILXPDF-UHFFFAOYSA-N 0 2 323.313 0.108 20 0 DCADLN NC(=O)[C@H]1CC[C@@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000654698931 418178625 /nfs/dbraw/zinc/17/86/25/418178625.db2.gz OYXOBDVRSMIYEL-UWVGGRQHSA-N 0 2 302.290 0.480 20 0 DCADLN CN=c1[nH]nc(CC(=O)N2CCO[C@]3(CCSC3)C2)s1 ZINC000648956200 418180244 /nfs/dbraw/zinc/18/02/44/418180244.db2.gz MNPIUCJSGBRJKM-GFCCVEGCSA-N 0 2 314.436 0.279 20 0 DCADLN CN1CC[NH+](C)[C@H](CNC(=O)N[C@@H]2CCC[N@H+]3CCCC[C@H]23)C1 ZINC000654439544 418143845 /nfs/dbraw/zinc/14/38/45/418143845.db2.gz PUCSIJHIIMZIED-BZUAXINKSA-N 0 2 323.485 0.548 20 0 DCADLN CO[C@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC000648893105 418171687 /nfs/dbraw/zinc/17/16/87/418171687.db2.gz JELSEEFUBZONDC-ONGXEEELSA-N 0 2 320.353 0.338 20 0 DCADLN O=C(NC[C@H]1C[NH2+]CCO1)[C@@H]1CCC[N@@H+]1Cc1ccccn1 ZINC000649367746 418248555 /nfs/dbraw/zinc/24/85/55/418248555.db2.gz LMSVQRSQGPWIIQ-CABCVRRESA-N 0 2 304.394 0.151 20 0 DCADLN COCCOCCCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665524847 418215979 /nfs/dbraw/zinc/21/59/79/418215979.db2.gz OCJHCOKKQXDFEH-UHFFFAOYSA-N 0 2 321.333 0.813 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CCCOc2cccnc2)[nH]n1 ZINC000650817293 418326527 /nfs/dbraw/zinc/32/65/27/418326527.db2.gz YIPHDVFICCVCKI-UHFFFAOYSA-N 0 2 315.333 0.451 20 0 DCADLN CN(C)C(=O)Cn1cnc(=NC(=O)N2CCC23CCCC3)[nH]1 ZINC000650054537 418290160 /nfs/dbraw/zinc/29/01/60/418290160.db2.gz FBTCCMFZPCUEKT-UHFFFAOYSA-N 0 2 306.370 0.339 20 0 DCADLN C[C@@H](CO)C1CCN(C(=O)N=c2nc3n([nH]2)CCCC3)CC1 ZINC000650240912 418299390 /nfs/dbraw/zinc/29/93/90/418299390.db2.gz YXROQXHRVSUCBZ-NSHDSACASA-N 0 2 307.398 0.909 20 0 DCADLN C[C@H](NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)C1=CC[N@H+](C)CC1 ZINC000661009307 418310558 /nfs/dbraw/zinc/31/05/58/418310558.db2.gz HGJPUHKSILATHR-GOEBONIOSA-N 0 2 322.453 0.753 20 0 DCADLN C[C@H](NC(=O)NCCN1CC[N@H+](C)C(C)(C)C1)c1[nH+]ccn1C ZINC000661131574 418317063 /nfs/dbraw/zinc/31/70/63/418317063.db2.gz WAPBBLCOHTZCFQ-ZDUSSCGKSA-N 0 2 322.457 0.806 20 0 DCADLN CCc1ccc(OCC(=O)N=c2ccc(C(=O)NC)n[nH]2)cc1 ZINC000650814265 418325146 /nfs/dbraw/zinc/32/51/46/418325146.db2.gz JSNWKLLMLQGCKN-UHFFFAOYSA-N 0 2 314.345 0.838 20 0 DCADLN C[C@@H](O)CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000655377561 418256979 /nfs/dbraw/zinc/25/69/79/418256979.db2.gz MFQRMUOIULZPOY-SSDOTTSWSA-N 0 2 304.306 0.421 20 0 DCADLN CN(C)c1ncc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1Cl ZINC000655392380 418258218 /nfs/dbraw/zinc/25/82/18/418258218.db2.gz OZYGBLHKEPZXSJ-UHFFFAOYSA-N 0 2 324.772 0.987 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CCc2ccc(OC)cc2)[nH]n1 ZINC000650815124 418326161 /nfs/dbraw/zinc/32/61/61/418326161.db2.gz JAFWJALYMDRIMA-UHFFFAOYSA-N 0 2 314.345 0.838 20 0 DCADLN C[C@H](CNS(=O)(=O)CCCC(=O)[O-])[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000656181257 418334335 /nfs/dbraw/zinc/33/43/35/418334335.db2.gz VMPKDQXCGDOLPL-UTUOFQBUSA-N 0 2 322.427 0.268 20 0 DCADLN C[C@H](CNS(=O)(=O)CCCC(=O)[O-])[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000656181257 418334337 /nfs/dbraw/zinc/33/43/37/418334337.db2.gz VMPKDQXCGDOLPL-UTUOFQBUSA-N 0 2 322.427 0.268 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)NCCCn1cc[nH+]c1)C2 ZINC000656470060 418356502 /nfs/dbraw/zinc/35/65/02/418356502.db2.gz FLFXMZIJMYXBJK-BXUZGUMPSA-N 0 2 308.338 0.016 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000656470011 418356582 /nfs/dbraw/zinc/35/65/82/418356582.db2.gz BXRCOJLHKMPOFK-MISXGVKJSA-N 0 2 308.338 0.014 20 0 DCADLN COc1nn(C)cc1NS(=O)(=O)C[C@@H](OC)[C@H]1CCOC1 ZINC000656629189 418367406 /nfs/dbraw/zinc/36/74/06/418367406.db2.gz UCMQCPVEQQRXPT-GXSJLCMTSA-N 0 2 319.383 0.222 20 0 DCADLN COCC1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651565925 418391565 /nfs/dbraw/zinc/39/15/65/418391565.db2.gz GSRUFJJLQSOXKH-UHFFFAOYSA-N 0 2 309.370 0.104 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCOC(C)(C)C2)[nH]1 ZINC000651573326 418392488 /nfs/dbraw/zinc/39/24/88/418392488.db2.gz WDLNNLSNGPZXJF-UHFFFAOYSA-N 0 2 309.370 0.247 20 0 DCADLN CCOCCNC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000662236431 418392804 /nfs/dbraw/zinc/39/28/04/418392804.db2.gz WEQBCLIPZFLLDK-OAHLLOKOSA-N 0 2 306.362 0.823 20 0 DCADLN CCOCCNC(=O)C[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000662236431 418392806 /nfs/dbraw/zinc/39/28/06/418392806.db2.gz WEQBCLIPZFLLDK-OAHLLOKOSA-N 0 2 306.362 0.823 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@@H](C)S[C@@H](C)C2)[nH]1 ZINC000651591233 418394862 /nfs/dbraw/zinc/39/48/62/418394862.db2.gz JNVWIBOZNIXZCK-AOOOYVTPSA-N 0 2 311.411 0.572 20 0 DCADLN CO[C@@]1(C)C[C@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651595786 418395176 /nfs/dbraw/zinc/39/51/76/418395176.db2.gz RNIXPRQCJWOZGP-BONVTDFDSA-N 0 2 323.397 0.539 20 0 DCADLN CO[C@]1(C)C[C@@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651595787 418395821 /nfs/dbraw/zinc/39/58/21/418395821.db2.gz RNIXPRQCJWOZGP-MEBBXXQBSA-N 0 2 323.397 0.539 20 0 DCADLN CO[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC[C@@H]1C ZINC000651740424 418408094 /nfs/dbraw/zinc/40/80/94/418408094.db2.gz AGIYHJSWXQCYFJ-WDEREUQCSA-N 0 2 309.370 0.103 20 0 DCADLN CCc1nnc(NC(=O)CCCC(=O)Nc2nnc(CC)[nH]2)[nH]1 ZINC000182262854 261115515 /nfs/dbraw/zinc/11/55/15/261115515.db2.gz NZXXEDQRTBPKED-UHFFFAOYSA-N 0 2 320.357 0.795 20 0 DCADLN CCO[C@@H]1COCC[C@@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000354339956 261205340 /nfs/dbraw/zinc/20/53/40/261205340.db2.gz HYONXBDJRWBUOA-NWDGAFQWSA-N 0 2 320.349 0.598 20 0 DCADLN Cc1cc(C(=O)NCCc2ccon2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000354901738 261284572 /nfs/dbraw/zinc/28/45/72/261284572.db2.gz YROZJHBQNHOWAR-UHFFFAOYSA-N 0 2 315.289 0.705 20 0 DCADLN COC(=O)Cn1ncc(NS(=O)(=O)c2c[nH]nc2C)c1C ZINC000355875063 261372677 /nfs/dbraw/zinc/37/26/77/261372677.db2.gz AVSGYUCWTIGBJN-UHFFFAOYSA-N 0 2 313.339 0.197 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc(Cl)c(F)c1 ZINC000355991821 261382087 /nfs/dbraw/zinc/38/20/87/261382087.db2.gz DFZFLZZQGJJMEU-UHFFFAOYSA-N 0 2 313.676 0.558 20 0 DCADLN Cc1nc(C2(NC(=O)CSc3n[nH]c(=O)[nH]3)CCCC2)no1 ZINC000362336755 262023505 /nfs/dbraw/zinc/02/35/05/262023505.db2.gz XMLRXEBFWGQXLA-UHFFFAOYSA-N 0 2 324.366 0.880 20 0 DCADLN C[C@@](O)(C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CCC1 ZINC000362586310 262048978 /nfs/dbraw/zinc/04/89/78/262048978.db2.gz ATPSUTHNTIBMKJ-JTQLQIEISA-N 0 2 320.396 0.399 20 0 DCADLN Cc1nncn1CCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000362803829 262074718 /nfs/dbraw/zinc/07/47/18/262074718.db2.gz AQEQATMDJTUFAM-UHFFFAOYSA-N 0 2 312.333 0.908 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@@H](C)[N@H+]2C[C@@H](C)[C@H](C(=O)OC)C2)CC1 ZINC000370557786 262146695 /nfs/dbraw/zinc/14/66/95/262146695.db2.gz ZYHGKQDABAUWAZ-MGPQQGTHSA-N 0 2 311.426 0.280 20 0 DCADLN CCN1CC[NH+](C[C@H](C)CNC(=O)NCC(F)(F)C[NH3+])CC1 ZINC000417753090 262260979 /nfs/dbraw/zinc/26/09/79/262260979.db2.gz NYBADSZQHAJWEO-GFCCVEGCSA-N 0 2 321.416 0.153 20 0 DCADLN O=C(/C=C/c1ccc[nH]1)NS(=O)(=O)c1cnn2c1OCCC2 ZINC000492864546 272155031 /nfs/dbraw/zinc/15/50/31/272155031.db2.gz LOFWRYUPTPWCCA-SNAWJCMRSA-N 0 2 322.346 0.512 20 0 DCADLN COC(=O)N1CCC[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC000493073753 272167061 /nfs/dbraw/zinc/16/70/61/272167061.db2.gz NKDVYJVLNKBQDB-VIFPVBQESA-N 0 2 311.342 0.038 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)c1cnn(C)c1C ZINC000493115004 272168787 /nfs/dbraw/zinc/16/87/87/272168787.db2.gz HVCIPCOMYPDEGB-WAYWQWQTSA-N 0 2 323.378 0.463 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C\c2ccncn2)cnn1C ZINC000493379919 272185850 /nfs/dbraw/zinc/18/58/50/272185850.db2.gz FUHSNFQQGRBJCO-ARJAWSKDSA-N 0 2 307.335 0.037 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1cnn(C)c1C ZINC000493611039 272199944 /nfs/dbraw/zinc/19/99/44/272199944.db2.gz BJYVISSPWLDVBW-AATRIKPKSA-N 0 2 323.378 0.289 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C\c2cncc(C)c2)cnn1C ZINC000493736226 272207455 /nfs/dbraw/zinc/20/74/55/272207455.db2.gz DTHMQYSWONDWDY-PLNGDYQASA-N 0 2 320.374 0.950 20 0 DCADLN Cc1n[nH]c(NC(=O)c2cccc([C@]3(C)NC(=O)NC3=O)c2)n1 ZINC000494457534 272222244 /nfs/dbraw/zinc/22/22/44/272222244.db2.gz QDCKFWOONTZIMD-AWEZNQCLSA-N 0 2 314.305 0.420 20 0 DCADLN COCCN(CC(=O)NO[C@H]1CCOC1)C(=O)OC(C)(C)C ZINC000495047135 272236822 /nfs/dbraw/zinc/23/68/22/272236822.db2.gz LDLKCHLHXRVUSF-NSHDSACASA-N 0 2 318.370 0.707 20 0 DCADLN CC(C)(C)OC(=O)NCCNS(=O)(=O)CC(F)(F)F ZINC000495533603 272253152 /nfs/dbraw/zinc/25/31/52/272253152.db2.gz MONWSRZNSWLXMO-UHFFFAOYSA-N 0 2 306.306 0.993 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000496062378 272289365 /nfs/dbraw/zinc/28/93/65/272289365.db2.gz DWRWQDDNVVRLAM-KBPBESRZSA-N 0 2 317.430 0.088 20 0 DCADLN C[C@@H](CNC(=O)c1cc(F)c(F)c(O)c1F)S(C)(=O)=O ZINC000542651086 287943248 /nfs/dbraw/zinc/94/32/48/287943248.db2.gz XWEQMPBKWSVNGV-YFKPBYRVSA-N 0 2 311.281 0.972 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC[C@@H]1O ZINC000547671205 288067037 /nfs/dbraw/zinc/06/70/37/288067037.db2.gz ZRUUOWWSGMKXID-CABZTGNLSA-N 0 2 303.318 0.873 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC[C@H]1O ZINC000547671204 288067049 /nfs/dbraw/zinc/06/70/49/288067049.db2.gz ZRUUOWWSGMKXID-BXKDBHETSA-N 0 2 303.318 0.873 20 0 DCADLN CC1(C)[C@@H](O)C[C@@H]1NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000547996209 288099942 /nfs/dbraw/zinc/09/99/42/288099942.db2.gz WXJNJCQHXHRMHA-QWRGUYRKSA-N 0 2 303.318 0.919 20 0 DCADLN CC[C@H]1COCCN1C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000346814223 288321335 /nfs/dbraw/zinc/32/13/35/288321335.db2.gz ACNYWJWVHXHJAT-VIFPVBQESA-N 0 2 318.333 0.995 20 0 DCADLN CC1(C)CS(=O)(=O)CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000560405365 288500206 /nfs/dbraw/zinc/50/02/06/288500206.db2.gz FVWXYGVGYLMXMP-UHFFFAOYSA-N 0 2 310.379 0.032 20 0 DCADLN NC(=O)N[C@@H]1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000270763476 303280540 /nfs/dbraw/zinc/28/05/40/303280540.db2.gz PGKZRXRYHNXIHL-SNVBAGLBSA-N 0 2 315.333 0.461 20 0 DCADLN COC(=O)c1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC000584055702 331655139 /nfs/dbraw/zinc/65/51/39/331655139.db2.gz OELKLKFAJZOWQN-QMMMGPOBSA-N 0 2 319.321 0.645 20 0 DCADLN CCOc1ccccc1C(F)(F)C(=O)NN1CC(=O)NC1=O ZINC000270055251 521465711 /nfs/dbraw/zinc/46/57/11/521465711.db2.gz JIVBETVFDONFEY-UHFFFAOYSA-N 0 2 313.260 0.760 20 0 DCADLN CCc1nnc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)s1 ZINC000176584965 522778700 /nfs/dbraw/zinc/77/87/00/522778700.db2.gz UFBFKXJFUPPFCH-UHFFFAOYSA-N 0 2 315.380 0.339 20 0 DCADLN COC(=O)[C@@H](C)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266868619 523511843 /nfs/dbraw/zinc/51/18/43/523511843.db2.gz XANBOXLIJJEAIJ-ZETCQYMHSA-N 0 2 320.305 0.283 20 0 DCADLN C[C@@H](NC(=O)CSc1n[nH]c(=S)s1)c1nnc[nH]1 ZINC000267735079 525126170 /nfs/dbraw/zinc/12/61/70/525126170.db2.gz NRPUSWPPGNZWJA-SCSAIBSYSA-N 0 2 302.410 0.914 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(C(F)(F)F)nc1 ZINC000131752840 545791561 /nfs/dbraw/zinc/79/15/61/545791561.db2.gz ZMCUTQVRWDJXHJ-UHFFFAOYSA-N 0 2 302.216 0.834 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCO1 ZINC000666538430 546482498 /nfs/dbraw/zinc/48/24/98/546482498.db2.gz DFGGFXCFOFPPSB-RYUDHWBXSA-N 0 2 320.349 0.155 20 0 DCADLN Cc1cccc2[nH+]c(CNC(=O)N3CCO[C@H](C(=O)[O-])C3)cn21 ZINC000666540209 546482565 /nfs/dbraw/zinc/48/25/65/546482565.db2.gz JHWKHPRWFYJALQ-LBPRGKRZSA-N 0 2 318.333 0.638 20 0 DCADLN CCNC(=O)CN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666758110 546504108 /nfs/dbraw/zinc/50/41/08/546504108.db2.gz KFOLIZGVFGRGAT-UHFFFAOYSA-N 0 2 304.306 0.238 20 0 DCADLN CCCN(CC(=O)NC)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666954795 546534833 /nfs/dbraw/zinc/53/48/33/546534833.db2.gz HCMNBSKMVYUUTQ-UHFFFAOYSA-N 0 2 318.333 0.628 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)CCS(C)(=O)=O ZINC000670437929 547090256 /nfs/dbraw/zinc/09/02/56/547090256.db2.gz VOJVCKBNLGSKEU-UHFFFAOYSA-N 0 2 324.362 0.859 20 0 DCADLN NC(=O)c1cc(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)c[nH]1 ZINC000671855439 547299358 /nfs/dbraw/zinc/29/93/58/547299358.db2.gz LFJPENCFRCJODJ-UHFFFAOYSA-N 0 2 312.289 0.857 20 0 DCADLN CNS(=O)(=O)c1cc(NC(=O)c2ncccc2O)ccc1O ZINC000673129984 547449895 /nfs/dbraw/zinc/44/98/95/547449895.db2.gz OEFUAHWEUOHLAD-UHFFFAOYSA-N 0 2 323.330 0.653 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2[nH]c(=O)oc2c1 ZINC000673943639 547544136 /nfs/dbraw/zinc/54/41/36/547544136.db2.gz LKGOREALKKBZBL-UHFFFAOYSA-N 0 2 303.278 0.720 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cn(-c2ccc(Cl)cc2)nn1 ZINC000673949653 547545043 /nfs/dbraw/zinc/54/50/43/547545043.db2.gz RTSIZCJYEUBBNP-UHFFFAOYSA-N 0 2 319.712 0.674 20 0 DCADLN O=C(Cc1cc(F)cc2c1OCOC2)NCc1n[nH]c(=O)[nH]1 ZINC000673949347 547545333 /nfs/dbraw/zinc/54/53/33/547545333.db2.gz MDGFCZUDDVLAMU-UHFFFAOYSA-N 0 2 308.269 0.375 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1(C(=O)Nc2ccc(F)cc2)CC1 ZINC000673949498 547545538 /nfs/dbraw/zinc/54/55/38/547545538.db2.gz PYAXZFLGMOACDT-UHFFFAOYSA-N 0 2 319.296 0.685 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000673949619 547545652 /nfs/dbraw/zinc/54/56/52/547545652.db2.gz RBJIWGYIWBZBAF-SECBINFHSA-N 0 2 301.306 0.180 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnn(Cc2ccccn2)c1 ZINC000675569136 547693543 /nfs/dbraw/zinc/69/35/43/547693543.db2.gz LRCKUNODQVDKGU-UHFFFAOYSA-N 0 2 314.309 0.472 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N(C)Cc1cccc(OC)c1 ZINC000676229984 547746919 /nfs/dbraw/zinc/74/69/19/547746919.db2.gz HOQSXJJZCQYKLL-UHFFFAOYSA-N 0 2 324.377 0.687 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N(C)Cc1cccc(OC)c1 ZINC000676229984 547746920 /nfs/dbraw/zinc/74/69/20/547746920.db2.gz HOQSXJJZCQYKLL-UHFFFAOYSA-N 0 2 324.377 0.687 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NC[C@H](C)S(C)(=O)=O)c2=O ZINC000677220928 547856260 /nfs/dbraw/zinc/85/62/60/547856260.db2.gz PRBYUXBIJOWHJD-VIFPVBQESA-N 0 2 323.374 0.807 20 0 DCADLN CCC(=O)c1cccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)c1 ZINC000679203002 548033811 /nfs/dbraw/zinc/03/38/11/548033811.db2.gz STCPTMDLQQGTKD-UHFFFAOYSA-N 0 2 322.346 0.902 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@H](O)C[C@H](O)C1 ZINC000679411080 548054825 /nfs/dbraw/zinc/05/48/25/548054825.db2.gz URFNVPWZNWTBHV-TXEJJXNPSA-N 0 2 321.308 0.285 20 0 DCADLN O=C(NCCc1cc(F)c(F)c(F)c1)c1n[nH]c(=O)[nH]c1=O ZINC000679647158 548080108 /nfs/dbraw/zinc/08/01/08/548080108.db2.gz GHUPACCRBOSCQW-UHFFFAOYSA-N 0 2 314.223 0.673 20 0 DCADLN O=C(Cc1cc(Cl)cc2c1OCC2)NCc1n[nH]c(=O)[nH]1 ZINC000680398460 548136866 /nfs/dbraw/zinc/13/68/66/548136866.db2.gz NWHKTFGKGMYGAC-UHFFFAOYSA-N 0 2 308.725 0.958 20 0 DCADLN O=c1[nH]nc(CNc2nc(C(F)(F)F)nc3nc[nH]c32)[nH]1 ZINC000683459303 548484749 /nfs/dbraw/zinc/48/47/49/548484749.db2.gz PTIXUPBQRKPJRA-UHFFFAOYSA-N 0 2 300.204 0.759 20 0 DCADLN O=c1[nH]nc(CNc2nc(C(F)(F)F)nc3[nH]cnc32)[nH]1 ZINC000683459303 548484753 /nfs/dbraw/zinc/48/47/53/548484753.db2.gz PTIXUPBQRKPJRA-UHFFFAOYSA-N 0 2 300.204 0.759 20 0 DCADLN Cn1nc([C@@H]2CCCO2)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000683958155 548544036 /nfs/dbraw/zinc/54/40/36/548544036.db2.gz XYRTZNHLQWQIBK-QMMMGPOBSA-N 0 2 307.314 0.417 20 0 DCADLN CC(C)COCCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001665442006 1174522197 /nfs/dbraw/zinc/52/21/97/1174522197.db2.gz YIJOSOPOHXGDBP-NSHDSACASA-N 0 2 311.386 0.264 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](C[C@@H]2CN(c3ccccc3)C(=O)O2)CCO1 ZINC000738549155 597397911 /nfs/dbraw/zinc/39/79/11/597397911.db2.gz QPZNHXQHDZZEQH-OLZOCXBDSA-N 0 2 306.318 0.797 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](C[C@@H]2CN(c3ccccc3)C(=O)O2)CCO1 ZINC000738549155 597397916 /nfs/dbraw/zinc/39/79/16/597397916.db2.gz QPZNHXQHDZZEQH-OLZOCXBDSA-N 0 2 306.318 0.797 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1cnn(-c2ccccc2)n1 ZINC000738372401 598283652 /nfs/dbraw/zinc/28/36/52/598283652.db2.gz POWJDQWCFSJNRR-UHFFFAOYSA-N 0 2 320.316 0.657 20 0 DCADLN Cc1cc(NC(=O)Cn2ccc(C)c(-c3nn[nH]n3)c2=O)no1 ZINC000822208448 607349759 /nfs/dbraw/zinc/34/97/59/607349759.db2.gz SCHKYHDFADJYFN-UHFFFAOYSA-N 0 2 315.293 0.272 20 0 DCADLN Cc1n[nH]c(NC(=O)COc2cccc(-c3nn[nH]n3)c2)n1 ZINC000737479951 598718003 /nfs/dbraw/zinc/71/80/03/598718003.db2.gz GBBFWNVJJKFOND-UHFFFAOYSA-N 0 2 300.282 0.311 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCc2ccc(C(=O)[O-])cc2)CCO1 ZINC000736813140 599735101 /nfs/dbraw/zinc/73/51/01/599735101.db2.gz YSYGDEYARQWECX-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCc2ccc(C(=O)[O-])cc2)CCO1 ZINC000736813140 599735102 /nfs/dbraw/zinc/73/51/02/599735102.db2.gz YSYGDEYARQWECX-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@@H](NC(=O)c1cccc(C(=O)[O-])n1)[C@@H](C)[NH+]1CCOCC1 ZINC000736659022 599775547 /nfs/dbraw/zinc/77/55/47/599775547.db2.gz OLOGHFUGJGVTSS-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CCC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736347259 599782766 /nfs/dbraw/zinc/78/27/66/599782766.db2.gz QISVGDQFNVEVSH-NSHDSACASA-N 0 2 309.370 0.418 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CCC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736347259 599782769 /nfs/dbraw/zinc/78/27/69/599782769.db2.gz QISVGDQFNVEVSH-NSHDSACASA-N 0 2 309.370 0.418 20 0 DCADLN COCC[N@H+](CCO)CC(=O)Nc1ccsc1C(=O)[O-] ZINC000737800371 599813885 /nfs/dbraw/zinc/81/38/85/599813885.db2.gz VXNSWVPNOJLPJB-UHFFFAOYSA-N 0 2 302.352 0.326 20 0 DCADLN COCC[N@@H+](CCO)CC(=O)Nc1ccsc1C(=O)[O-] ZINC000737800371 599813887 /nfs/dbraw/zinc/81/38/87/599813887.db2.gz VXNSWVPNOJLPJB-UHFFFAOYSA-N 0 2 302.352 0.326 20 0 DCADLN C[C@@H](NC(=O)NCCCCC(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000736648653 599832247 /nfs/dbraw/zinc/83/22/47/599832247.db2.gz ZYHHOHBJBXKMQY-VXGBXAGGSA-N 0 2 301.387 0.650 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2ccc(CNC(=O)[O-])cc2)C1 ZINC000737530912 600279735 /nfs/dbraw/zinc/27/97/35/600279735.db2.gz ZBTZZOGJMQGJIL-ZDUSSCGKSA-N 0 2 322.365 0.906 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2ccc(CNC(=O)[O-])cc2)C1 ZINC000737530912 600279737 /nfs/dbraw/zinc/27/97/37/600279737.db2.gz ZBTZZOGJMQGJIL-ZDUSSCGKSA-N 0 2 322.365 0.906 20 0 DCADLN Cc1nnc(CN(CC[NH+]2CCOCC2)CC(=O)[O-])n1C1CC1 ZINC000738934775 600314575 /nfs/dbraw/zinc/31/45/75/600314575.db2.gz VQERVXXFYPGFKN-UHFFFAOYSA-N 0 2 323.397 0.140 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000739674597 600338459 /nfs/dbraw/zinc/33/84/59/600338459.db2.gz VNKUCLMEMCRRTF-UHFFFAOYSA-N 0 2 316.317 0.792 20 0 DCADLN C[N@H+](CCN1CCOCC1)CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000737441473 600392493 /nfs/dbraw/zinc/39/24/93/600392493.db2.gz OWNHMAXBDZLGCY-UHFFFAOYSA-N 0 2 321.377 0.587 20 0 DCADLN C[N@@H+](CCN1CCOCC1)CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000737441473 600392497 /nfs/dbraw/zinc/39/24/97/600392497.db2.gz OWNHMAXBDZLGCY-UHFFFAOYSA-N 0 2 321.377 0.587 20 0 DCADLN COCCNC(=O)C[NH2+][C@H](C(=O)[O-])c1cccc(Cl)c1 ZINC000737815090 600419371 /nfs/dbraw/zinc/41/93/71/600419371.db2.gz NGHHUYOFNLHFKR-LBPRGKRZSA-N 0 2 300.742 0.818 20 0 DCADLN CC[C@H]1C(=O)NCC[N@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000736942320 600436371 /nfs/dbraw/zinc/43/63/71/600436371.db2.gz VOKKYELMPMMKMG-OCCSQVGLSA-N 0 2 322.361 0.335 20 0 DCADLN CC[C@H]1C(=O)NCC[N@@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000736942320 600436374 /nfs/dbraw/zinc/43/63/74/600436374.db2.gz VOKKYELMPMMKMG-OCCSQVGLSA-N 0 2 322.361 0.335 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC000737552124 600642617 /nfs/dbraw/zinc/64/26/17/600642617.db2.gz HQNFQSZZEABNFK-LLVKDONJSA-N 0 2 302.352 0.491 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC000737552124 600642620 /nfs/dbraw/zinc/64/26/20/600642620.db2.gz HQNFQSZZEABNFK-LLVKDONJSA-N 0 2 302.352 0.491 20 0 DCADLN COC(=O)N1CC[NH+](CCCN2CCN(C(=O)[O-])CC2)CC1 ZINC000737634742 600711963 /nfs/dbraw/zinc/71/19/63/600711963.db2.gz VRZKQMIRJDFMPI-UHFFFAOYSA-N 0 2 314.386 0.056 20 0 DCADLN NC(=[NH+]OCC(=O)NC1CCOCC1)c1cccc(C(=O)[O-])c1 ZINC000833079056 600809384 /nfs/dbraw/zinc/80/93/84/600809384.db2.gz SCHOJGAECHTICB-UHFFFAOYSA-N 0 2 321.333 0.527 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)c2cccc(C(=O)[O-])n2)CC1 ZINC000827030683 600982601 /nfs/dbraw/zinc/98/26/01/600982601.db2.gz KSDCCYXKAZGNQO-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN Cc1nc(C)n([C@H]2CCC[N@H+](Cn3cc(C(=O)[O-])nn3)C2)n1 ZINC000832995781 600984900 /nfs/dbraw/zinc/98/49/00/600984900.db2.gz KAMRJBJZCUNZMQ-NSHDSACASA-N 0 2 305.342 0.479 20 0 DCADLN Cc1nc(C)n([C@H]2CCC[N@@H+](Cn3cc(C(=O)[O-])nn3)C2)n1 ZINC000832995781 600984903 /nfs/dbraw/zinc/98/49/03/600984903.db2.gz KAMRJBJZCUNZMQ-NSHDSACASA-N 0 2 305.342 0.479 20 0 DCADLN COCCc1noc(C[N@@H+]2CCSC[C@@H]2CC(=O)[O-])n1 ZINC000831800745 601025874 /nfs/dbraw/zinc/02/58/74/601025874.db2.gz RZJJFYKPDXZXMV-VIFPVBQESA-N 0 2 301.368 0.651 20 0 DCADLN COCCc1noc(C[N@H+]2CCSC[C@@H]2CC(=O)[O-])n1 ZINC000831800745 601025876 /nfs/dbraw/zinc/02/58/76/601025876.db2.gz RZJJFYKPDXZXMV-VIFPVBQESA-N 0 2 301.368 0.651 20 0 DCADLN NC(=O)NC1CC[NH+](CC(=O)Nc2ccc(C(=O)[O-])cc2)CC1 ZINC000833085891 601044204 /nfs/dbraw/zinc/04/42/04/601044204.db2.gz TVFKTWBBOIOQOD-UHFFFAOYSA-N 0 2 320.349 0.456 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN([C@@H](C(=O)[O-])c2ccccc2)CC1 ZINC000826127476 601044595 /nfs/dbraw/zinc/04/45/95/601044595.db2.gz WJPZAINVMQXBJM-CYBMUJFWSA-N 0 2 305.378 0.694 20 0 DCADLN Cc1cc(F)ccc1[C@@H](O)C[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000832890695 601112767 /nfs/dbraw/zinc/11/27/67/601112767.db2.gz YRSHVHYIGOZELO-OLZOCXBDSA-N 0 2 310.325 0.443 20 0 DCADLN Cc1cc(F)ccc1[C@@H](O)C[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000832890695 601112770 /nfs/dbraw/zinc/11/27/70/601112770.db2.gz YRSHVHYIGOZELO-OLZOCXBDSA-N 0 2 310.325 0.443 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000827385956 601260075 /nfs/dbraw/zinc/26/00/75/601260075.db2.gz PNYACFMZYIREKB-NSHDSACASA-N 0 2 313.379 0.251 20 0 DCADLN C[N@H+](CCN1CCCS1(=O)=O)Cc1occc1C(=O)[O-] ZINC000830781036 601434892 /nfs/dbraw/zinc/43/48/92/601434892.db2.gz DMEWWNACPURUMF-UHFFFAOYSA-N 0 2 302.352 0.445 20 0 DCADLN C[N@@H+](CCN1CCCS1(=O)=O)Cc1occc1C(=O)[O-] ZINC000830781036 601434894 /nfs/dbraw/zinc/43/48/94/601434894.db2.gz DMEWWNACPURUMF-UHFFFAOYSA-N 0 2 302.352 0.445 20 0 DCADLN Cn1cc(N2CCC[C@H]([N@@H+]3CC[C@](F)(C(=O)[O-])C3)C2=O)cn1 ZINC000833047031 601436205 /nfs/dbraw/zinc/43/62/05/601436205.db2.gz ZDHKZHUNDABBFH-SMDDNHRTSA-N 0 2 310.329 0.414 20 0 DCADLN Cn1cc(N2CCC[C@H]([N@H+]3CC[C@](F)(C(=O)[O-])C3)C2=O)cn1 ZINC000833047031 601436206 /nfs/dbraw/zinc/43/62/06/601436206.db2.gz ZDHKZHUNDABBFH-SMDDNHRTSA-N 0 2 310.329 0.414 20 0 DCADLN C/C(=C/CN1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1)C(=O)[O-] ZINC000825741755 601547508 /nfs/dbraw/zinc/54/75/08/601547508.db2.gz REBDJJMTZCTCME-UUSOHVMFSA-N 0 2 309.410 0.646 20 0 DCADLN C[C@@H](C(=O)[O-])[C@H]([NH2+]Cc1nc(N)nc(N)n1)c1ccccc1 ZINC000826055372 601657883 /nfs/dbraw/zinc/65/78/83/601657883.db2.gz ZNYRNLQNNCTUEL-KCJUWKMLSA-N 0 2 302.338 0.588 20 0 DCADLN CC(C)(CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000828074518 601677300 /nfs/dbraw/zinc/67/73/00/601677300.db2.gz IUQFUXFWOMUXKN-UHFFFAOYSA-N 0 2 301.387 0.507 20 0 DCADLN CC(C)(CNC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000828074518 601677302 /nfs/dbraw/zinc/67/73/02/601677302.db2.gz IUQFUXFWOMUXKN-UHFFFAOYSA-N 0 2 301.387 0.507 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)N2CCC(CCC(=O)[O-])CC2)C1 ZINC000316892101 601826674 /nfs/dbraw/zinc/82/66/74/601826674.db2.gz WMUFXYRQRWWIEI-CYBMUJFWSA-N 0 2 313.398 0.603 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)N2CCC(CCC(=O)[O-])CC2)C1 ZINC000316892101 601826677 /nfs/dbraw/zinc/82/66/77/601826677.db2.gz WMUFXYRQRWWIEI-CYBMUJFWSA-N 0 2 313.398 0.603 20 0 DCADLN CN1CCO[C@@H]2C[N@H+](Cn3nc(C(=O)[O-])c4ccccc43)C[C@H]21 ZINC000830964918 602124451 /nfs/dbraw/zinc/12/44/51/602124451.db2.gz NIVCQALBWUOLKN-ZIAGYGMSSA-N 0 2 316.361 0.707 20 0 DCADLN CN1CCO[C@@H]2C[N@@H+](Cn3nc(C(=O)[O-])c4ccccc43)C[C@H]21 ZINC000830964918 602124453 /nfs/dbraw/zinc/12/44/53/602124453.db2.gz NIVCQALBWUOLKN-ZIAGYGMSSA-N 0 2 316.361 0.707 20 0 DCADLN C[N@@H+]1CCO[C@@H]2CN(Cn3nc(C(=O)[O-])c4ccccc43)C[C@H]21 ZINC000830964918 602124455 /nfs/dbraw/zinc/12/44/55/602124455.db2.gz NIVCQALBWUOLKN-ZIAGYGMSSA-N 0 2 316.361 0.707 20 0 DCADLN C[N@H+]1CCO[C@@H]2CN(Cn3nc(C(=O)[O-])c4ccccc43)C[C@H]21 ZINC000830964918 602124457 /nfs/dbraw/zinc/12/44/57/602124457.db2.gz NIVCQALBWUOLKN-ZIAGYGMSSA-N 0 2 316.361 0.707 20 0 DCADLN COc1cc(OC)cc([C@@H]([NH2+][C@@H]2CCN(C)C2=O)C(=O)[O-])c1 ZINC000832050519 602174640 /nfs/dbraw/zinc/17/46/40/602174640.db2.gz QRYZZDUWQYISAU-CHWSQXEVSA-N 0 2 308.334 0.650 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)CN(CC1CC1)C(=O)[O-] ZINC000825734071 602841294 /nfs/dbraw/zinc/84/12/94/602841294.db2.gz JSPFHRGBXGTVNU-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)CN(CC1CC1)C(=O)[O-] ZINC000825734071 602841296 /nfs/dbraw/zinc/84/12/96/602841296.db2.gz JSPFHRGBXGTVNU-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)cc(F)c2)[C@@H](CNC(=O)[O-])C1 ZINC000828506149 603513791 /nfs/dbraw/zinc/51/37/91/603513791.db2.gz FDVMLHYZFNLYTI-LBPRGKRZSA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)cc(F)c2)[C@@H](CNC(=O)[O-])C1 ZINC000828506149 603513793 /nfs/dbraw/zinc/51/37/93/603513793.db2.gz FDVMLHYZFNLYTI-LBPRGKRZSA-N 0 2 313.304 0.989 20 0 DCADLN COc1ccc(CC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000829342944 603517325 /nfs/dbraw/zinc/51/73/25/603517325.db2.gz UYMDPOAWGPDJOY-CYBMUJFWSA-N 0 2 321.377 0.648 20 0 DCADLN COc1ccc(CC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000829342944 603517328 /nfs/dbraw/zinc/51/73/28/603517328.db2.gz UYMDPOAWGPDJOY-CYBMUJFWSA-N 0 2 321.377 0.648 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)C1(CNC(=O)[O-])CCCC1 ZINC000824908678 603632099 /nfs/dbraw/zinc/63/20/99/603632099.db2.gz VZKSNRQYMWDEFR-LBPRGKRZSA-N 0 2 313.398 0.651 20 0 DCADLN C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000826102135 603797095 /nfs/dbraw/zinc/79/70/95/603797095.db2.gz URJRCALEDLPRJC-ONGXEEELSA-N 0 2 308.338 0.770 20 0 DCADLN CC(C)C[C@@](C)(CNC(=O)[O-])NC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC000824175531 603800168 /nfs/dbraw/zinc/80/01/68/603800168.db2.gz ATTNTNJTLTZUJK-FZMZJTMJSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@@](C)(CNC(=O)[O-])NC(=O)[C@@H]1C[N@@H+](C)CCO1 ZINC000824175531 603800170 /nfs/dbraw/zinc/80/01/70/603800170.db2.gz ATTNTNJTLTZUJK-FZMZJTMJSA-N 0 2 301.387 0.506 20 0 DCADLN COCC[N@@H+]1CCN(C(=O)[C@@]2(F)CCN(C(=O)[O-])C2)C[C@@H]1C ZINC000828992558 603901011 /nfs/dbraw/zinc/90/10/11/603901011.db2.gz QGZGBRUVBAXLNI-SMDDNHRTSA-N 0 2 317.361 0.258 20 0 DCADLN COCC[N@H+]1CCN(C(=O)[C@@]2(F)CCN(C(=O)[O-])C2)C[C@@H]1C ZINC000828992558 603901015 /nfs/dbraw/zinc/90/10/15/603901015.db2.gz QGZGBRUVBAXLNI-SMDDNHRTSA-N 0 2 317.361 0.258 20 0 DCADLN C[C@H](NC(=O)[C@@]1(C)CCN(C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000825076485 603927405 /nfs/dbraw/zinc/92/74/05/603927405.db2.gz SENJOPCIARADCA-HUBLWGQQSA-N 0 2 313.398 0.602 20 0 DCADLN CC(C)(C)[C@H](Cn1cc[nH+]c1)NC(=O)COC1CN(C(=O)[O-])C1 ZINC000823760651 603985044 /nfs/dbraw/zinc/98/50/44/603985044.db2.gz UJFOWBXIAYZQOL-LBPRGKRZSA-N 0 2 324.381 0.793 20 0 DCADLN CCC[C@](C)(CO)NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000826711607 604140235 /nfs/dbraw/zinc/14/02/35/604140235.db2.gz CYOHGFRZHMWNSC-CQSZACIVSA-N 0 2 316.402 0.132 20 0 DCADLN CN(C(=O)[O-])[C@H]1CCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000827896483 604219840 /nfs/dbraw/zinc/21/98/40/604219840.db2.gz SSGVFHXEZSNYCI-NWDGAFQWSA-N 0 2 321.381 0.626 20 0 DCADLN CN(C(=O)[O-])[C@H]1CCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000827896483 604219844 /nfs/dbraw/zinc/21/98/44/604219844.db2.gz SSGVFHXEZSNYCI-NWDGAFQWSA-N 0 2 321.381 0.626 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000827896481 604219932 /nfs/dbraw/zinc/21/99/32/604219932.db2.gz SSGVFHXEZSNYCI-NEPJUHHUSA-N 0 2 321.381 0.626 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000827896481 604219935 /nfs/dbraw/zinc/21/99/35/604219935.db2.gz SSGVFHXEZSNYCI-NEPJUHHUSA-N 0 2 321.381 0.626 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)N[C@H]1CCSC1 ZINC000828315834 604232188 /nfs/dbraw/zinc/23/21/88/604232188.db2.gz HFIMJRPGQMJPAO-NSHDSACASA-N 0 2 316.427 0.429 20 0 DCADLN CC(C)(C(=O)N1CCC(C)(O)CC1)[NH+]1CCN(C(=O)[O-])CC1 ZINC000825760560 604389321 /nfs/dbraw/zinc/38/93/21/604389321.db2.gz PMRZISWMOAJGOB-UHFFFAOYSA-N 0 2 313.398 0.434 20 0 DCADLN Cc1cc[nH+]c(N2CCN(CC(=O)NCCC(=O)[O-])CC2)c1 ZINC000832984280 604392783 /nfs/dbraw/zinc/39/27/83/604392783.db2.gz OFSYNEVFDTVZSW-UHFFFAOYSA-N 0 2 306.366 0.103 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2CSCCS2)[C@@H](CNC(=O)[O-])C1 ZINC000828493955 604394818 /nfs/dbraw/zinc/39/48/18/604394818.db2.gz FVMSQOWTOZRMJT-VHSXEESVSA-N 0 2 319.452 0.245 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2CSCCS2)[C@@H](CNC(=O)[O-])C1 ZINC000828493955 604394822 /nfs/dbraw/zinc/39/48/22/604394822.db2.gz FVMSQOWTOZRMJT-VHSXEESVSA-N 0 2 319.452 0.245 20 0 DCADLN Cn1cc(Cl)cc1C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000828506453 604398440 /nfs/dbraw/zinc/39/84/40/604398440.db2.gz IKHSNMDGITYKFH-SNVBAGLBSA-N 0 2 314.773 0.702 20 0 DCADLN Cn1cc(Cl)cc1C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000828506453 604398443 /nfs/dbraw/zinc/39/84/43/604398443.db2.gz IKHSNMDGITYKFH-SNVBAGLBSA-N 0 2 314.773 0.702 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3c(c2)COC3)[C@@H](CNC(=O)[O-])C1 ZINC000828513949 604401744 /nfs/dbraw/zinc/40/17/44/604401744.db2.gz SDCYUMFOEMFANO-AWEZNQCLSA-N 0 2 319.361 0.741 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3c(c2)COC3)[C@@H](CNC(=O)[O-])C1 ZINC000828513949 604401747 /nfs/dbraw/zinc/40/17/47/604401747.db2.gz SDCYUMFOEMFANO-AWEZNQCLSA-N 0 2 319.361 0.741 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2COc3ccccc32)[C@H](CNC(=O)[O-])C1 ZINC000828493906 604402056 /nfs/dbraw/zinc/40/20/56/604402056.db2.gz FBKUPTJHZQBARO-YPMHNXCESA-N 0 2 319.361 0.573 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2COc3ccccc32)[C@H](CNC(=O)[O-])C1 ZINC000828493906 604402059 /nfs/dbraw/zinc/40/20/59/604402059.db2.gz FBKUPTJHZQBARO-YPMHNXCESA-N 0 2 319.361 0.573 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])c1ccncc1 ZINC000824591551 604405422 /nfs/dbraw/zinc/40/54/22/604405422.db2.gz PJEGVBQKVOGASU-JSGCOSHPSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])c1ccncc1 ZINC000824591551 604405424 /nfs/dbraw/zinc/40/54/24/604405424.db2.gz PJEGVBQKVOGASU-JSGCOSHPSA-N 0 2 320.393 0.985 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2CC23CCOCC3)[C@H](CNC(=O)[O-])C1 ZINC000828496413 604407600 /nfs/dbraw/zinc/40/76/00/604407600.db2.gz VNPRIOIDSFHSAX-VXGBXAGGSA-N 0 2 311.382 0.213 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2CC23CCOCC3)[C@H](CNC(=O)[O-])C1 ZINC000828496413 604407601 /nfs/dbraw/zinc/40/76/01/604407601.db2.gz VNPRIOIDSFHSAX-VXGBXAGGSA-N 0 2 311.382 0.213 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)NC2CN(C(=O)[O-])C2)c1C ZINC000829066065 604580914 /nfs/dbraw/zinc/58/09/14/604580914.db2.gz FKAVEOMKEGYMDD-UHFFFAOYSA-N 0 2 308.338 0.868 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@H+](C[C@H](O)COc2ccccc2)CCO1 ZINC000825350168 604615909 /nfs/dbraw/zinc/61/59/09/604615909.db2.gz HFHQJZZIIICMPG-KCQAQPDRSA-N 0 2 324.377 0.783 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@@H+](C[C@H](O)COc2ccccc2)CCO1 ZINC000825350168 604615914 /nfs/dbraw/zinc/61/59/14/604615914.db2.gz HFHQJZZIIICMPG-KCQAQPDRSA-N 0 2 324.377 0.783 20 0 DCADLN Cc1cc(C[N@@H+]2CCO[C@H](CO)C2)cc(C)c1OCC(=O)[O-] ZINC000833745639 604640228 /nfs/dbraw/zinc/64/02/28/604640228.db2.gz QRSNMGUPIWEYOB-AWEZNQCLSA-N 0 2 309.362 0.960 20 0 DCADLN Cc1cc(C[N@H+]2CCO[C@H](CO)C2)cc(C)c1OCC(=O)[O-] ZINC000833745639 604640233 /nfs/dbraw/zinc/64/02/33/604640233.db2.gz QRSNMGUPIWEYOB-AWEZNQCLSA-N 0 2 309.362 0.960 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)c2cncc(C(=O)[O-])c2)CCO1 ZINC000833560911 605030388 /nfs/dbraw/zinc/03/03/88/605030388.db2.gz ACMWMOKROQNRSW-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)c2cncc(C(=O)[O-])c2)CCO1 ZINC000833560911 605030391 /nfs/dbraw/zinc/03/03/91/605030391.db2.gz ACMWMOKROQNRSW-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCOC2(CCC2)C1 ZINC000833404299 605147003 /nfs/dbraw/zinc/14/70/03/605147003.db2.gz NXTWRVXUVGGSDQ-GFCCVEGCSA-N 0 2 310.394 0.953 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCOC2(CCC2)C1 ZINC000833404299 605147005 /nfs/dbraw/zinc/14/70/05/605147005.db2.gz NXTWRVXUVGGSDQ-GFCCVEGCSA-N 0 2 310.394 0.953 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833628349 605187550 /nfs/dbraw/zinc/18/75/50/605187550.db2.gz GOIUSMGPRLJNBW-NSHDSACASA-N 0 2 319.365 0.003 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833628349 605187552 /nfs/dbraw/zinc/18/75/52/605187552.db2.gz GOIUSMGPRLJNBW-NSHDSACASA-N 0 2 319.365 0.003 20 0 DCADLN CC(C)S(=O)(=O)CCN1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833629217 605194059 /nfs/dbraw/zinc/19/40/59/605194059.db2.gz SFYNKJLTDNIOGS-LBPRGKRZSA-N 0 2 306.428 0.290 20 0 DCADLN CC(C)S(=O)(=O)CCN1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833629217 605194061 /nfs/dbraw/zinc/19/40/61/605194061.db2.gz SFYNKJLTDNIOGS-LBPRGKRZSA-N 0 2 306.428 0.290 20 0 DCADLN O=C([O-])NCC(=O)NCC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000834199414 605365703 /nfs/dbraw/zinc/36/57/03/605365703.db2.gz ZWYMNBUSZGCIDC-UHFFFAOYSA-N 0 2 317.305 0.195 20 0 DCADLN C[C@@H](NC(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1)c1cn[nH]c1 ZINC000833811642 605373747 /nfs/dbraw/zinc/37/37/47/605373747.db2.gz FMELKGLYHXRUDV-LLVKDONJSA-N 0 2 324.385 0.408 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)CO[C@H]1CCCN(C(=O)[O-])C1 ZINC000828312866 605421670 /nfs/dbraw/zinc/42/16/70/605421670.db2.gz RZYYVABGJRWZMC-ZDUSSCGKSA-N 0 2 324.381 0.891 20 0 DCADLN NC(=O)[C@@H]1C[N@H+](Cc2cccc3c2N(C(=O)[O-])CC3)CCO1 ZINC000833981732 605579608 /nfs/dbraw/zinc/57/96/08/605579608.db2.gz XFIOJRIOLAUMDH-LBPRGKRZSA-N 0 2 305.334 0.413 20 0 DCADLN NC(=O)[C@@H]1C[N@@H+](Cc2cccc3c2N(C(=O)[O-])CC3)CCO1 ZINC000833981732 605579609 /nfs/dbraw/zinc/57/96/09/605579609.db2.gz XFIOJRIOLAUMDH-LBPRGKRZSA-N 0 2 305.334 0.413 20 0 DCADLN O=C([O-])N1CC[C@@H]([NH+]2CCN(C(=O)c3ccccc3O)CC2)C1 ZINC000834084817 605585101 /nfs/dbraw/zinc/58/51/01/605585101.db2.gz PPOIJDSEJBERJI-GFCCVEGCSA-N 0 2 319.361 0.902 20 0 DCADLN C[C@H](CNC(=O)[C@@H](NC(=O)[O-])C(C)(C)C)[NH+]1CCOCC1 ZINC000824973682 605625992 /nfs/dbraw/zinc/62/59/92/605625992.db2.gz MEGDXTITMMATPX-GHMZBOCLSA-N 0 2 301.387 0.506 20 0 DCADLN O=C([O-])Nc1ccc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)c(O)c1 ZINC000834257497 605666036 /nfs/dbraw/zinc/66/60/36/605666036.db2.gz NCGSKWHMBCTOGS-NSHDSACASA-N 0 2 320.349 0.212 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000736418965 605706663 /nfs/dbraw/zinc/70/66/63/605706663.db2.gz CZLOASNRJNOOMC-STQMWFEESA-N 0 2 314.430 0.421 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)N[C@H]2CCCOCC2)CC1 ZINC000834041609 606016348 /nfs/dbraw/zinc/01/63/48/606016348.db2.gz LLJDOCOFWJALIJ-LBPRGKRZSA-N 0 2 314.386 0.150 20 0 DCADLN C[C@@H](Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O)c1ccccc1 ZINC000824465664 608095725 /nfs/dbraw/zinc/09/57/25/608095725.db2.gz RCPFSBMZARPRCW-JTQLQIEISA-N 0 2 312.333 0.531 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H]([N@H+](C)CCn2cccn2)C1 ZINC000980268849 660903968 /nfs/dbraw/zinc/90/39/68/660903968.db2.gz IFLOPUCRRPWXGQ-AWEZNQCLSA-N 0 2 316.409 0.690 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1C=CC=CC=C1 ZINC001027912845 660911685 /nfs/dbraw/zinc/91/16/85/660911685.db2.gz YWIYQRQGAIKFMT-CYBMUJFWSA-N 0 2 315.377 0.889 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1C=CC=CC=C1 ZINC001027912845 660911687 /nfs/dbraw/zinc/91/16/87/660911687.db2.gz YWIYQRQGAIKFMT-CYBMUJFWSA-N 0 2 315.377 0.889 20 0 DCADLN Cc1ncsc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980754258 661003487 /nfs/dbraw/zinc/00/34/87/661003487.db2.gz NXRFNPYFAYIREZ-UHFFFAOYSA-N 0 2 322.394 0.623 20 0 DCADLN Cc1ocnc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980801223 661013668 /nfs/dbraw/zinc/01/36/68/661013668.db2.gz YRCPEWIULWNSFT-UHFFFAOYSA-N 0 2 306.326 0.155 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)c1C ZINC000980866791 661033919 /nfs/dbraw/zinc/03/39/19/661033919.db2.gz FPJPTYABNUZPKR-UHFFFAOYSA-N 0 2 319.369 0.198 20 0 DCADLN CC[C@@H](SC)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980985612 661058828 /nfs/dbraw/zinc/05/88/28/661058828.db2.gz YCGPXTJYUJYVTR-SNVBAGLBSA-N 0 2 313.427 0.686 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NC[C@@]1(OC)CCSC1 ZINC000921401777 665299670 /nfs/dbraw/zinc/29/96/70/665299670.db2.gz GQHXAODCGPMJKM-HUTHGQBESA-N 0 2 316.470 0.461 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981718764 661204331 /nfs/dbraw/zinc/20/43/31/661204331.db2.gz RQFOZNXQIMANOK-LLVKDONJSA-N 0 2 319.409 0.981 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981718764 661204332 /nfs/dbraw/zinc/20/43/32/661204332.db2.gz RQFOZNXQIMANOK-LLVKDONJSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)N2CCC[NH+](CC(=O)N3CCC3)CC2)C1 ZINC000981955623 661254204 /nfs/dbraw/zinc/25/42/04/661254204.db2.gz SKGAYVXQUTWTNS-OAHLLOKOSA-N 0 2 322.453 0.095 20 0 DCADLN C[C@@H]1C[C@@H]1CC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981986572 661266913 /nfs/dbraw/zinc/26/69/13/661266913.db2.gz MFHMRNUAUIRKHZ-VXGBXAGGSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H]1C[C@@H]1CC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981986572 661266914 /nfs/dbraw/zinc/26/69/14/661266914.db2.gz MFHMRNUAUIRKHZ-VXGBXAGGSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H](N=c1nn[n-]n1Cc1ccccc1)[C@H](C)[NH+]1CCOCC1 ZINC000892342357 657566965 /nfs/dbraw/zinc/56/69/65/657566965.db2.gz MIEQOGDWMCTGHK-KGLIPLIRSA-N 0 2 316.409 0.664 20 0 DCADLN CCN(C(=O)c1cncs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949740506 657698414 /nfs/dbraw/zinc/69/84/14/657698414.db2.gz DAMFGGLMLOOHKX-UHFFFAOYSA-N 0 2 308.367 0.313 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CCC[N@H+](Cc3cnon3)C2)c1[O-] ZINC001023307392 657726549 /nfs/dbraw/zinc/72/65/49/657726549.db2.gz YDCQGNASQBBYEE-SNVBAGLBSA-N 0 2 320.353 0.449 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CCC[N@@H+](Cc3cnon3)C2)c1[O-] ZINC001023307392 657726552 /nfs/dbraw/zinc/72/65/52/657726552.db2.gz YDCQGNASQBBYEE-SNVBAGLBSA-N 0 2 320.353 0.449 20 0 DCADLN CCCc1n[nH]cc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031800766 665513410 /nfs/dbraw/zinc/51/34/10/665513410.db2.gz BSVTUSLKIJPPIH-UHFFFAOYSA-N 0 2 319.369 0.048 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969583488 658005903 /nfs/dbraw/zinc/00/59/03/658005903.db2.gz JYJUVVIMCQHPTB-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1nonc1CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000998908113 665548726 /nfs/dbraw/zinc/54/87/26/665548726.db2.gz ZYSMXMWLLFFUQH-VIFPVBQESA-N 0 2 324.234 0.148 20 0 DCADLN Cc1nonc1CC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000998908113 665548728 /nfs/dbraw/zinc/54/87/28/665548728.db2.gz ZYSMXMWLLFFUQH-VIFPVBQESA-N 0 2 324.234 0.148 20 0 DCADLN Cc1nonc1CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000998908111 665548770 /nfs/dbraw/zinc/54/87/70/665548770.db2.gz ZYSMXMWLLFFUQH-SECBINFHSA-N 0 2 324.234 0.148 20 0 DCADLN Cc1nonc1CC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000998908111 665548772 /nfs/dbraw/zinc/54/87/72/665548772.db2.gz ZYSMXMWLLFFUQH-SECBINFHSA-N 0 2 324.234 0.148 20 0 DCADLN Cc1nonc1C[NH2+][C@H]1CCCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000998953223 665556463 /nfs/dbraw/zinc/55/64/63/665556463.db2.gz JSRVNMQQZGSDKA-JTQLQIEISA-N 0 2 320.353 0.510 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cscn1 ZINC000972848344 658479113 /nfs/dbraw/zinc/47/91/13/658479113.db2.gz GIPUXYJOBOKFBD-SECBINFHSA-N 0 2 322.394 0.607 20 0 DCADLN O=C(CCc1cnn[nH]1)N1CCC[C@H](C[NH2+]Cc2cnon2)C1 ZINC001024164209 658496891 /nfs/dbraw/zinc/49/68/91/658496891.db2.gz YHZYBBVURWPNFL-LLVKDONJSA-N 0 2 319.369 0.149 20 0 DCADLN Cn1nccc1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024360797 658578862 /nfs/dbraw/zinc/57/88/62/658578862.db2.gz XFBJUQPPCJPACQ-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOC1 ZINC001024356500 658586986 /nfs/dbraw/zinc/58/69/86/658586986.db2.gz LAVZSFWXSUSDBN-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOC1 ZINC001024356500 658586990 /nfs/dbraw/zinc/58/69/90/658586990.db2.gz LAVZSFWXSUSDBN-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1=CCOCC1 ZINC001024409411 658605874 /nfs/dbraw/zinc/60/58/74/658605874.db2.gz QJMOIBXHSFOCBT-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1=CCOCC1 ZINC001024409411 658605882 /nfs/dbraw/zinc/60/58/82/658605882.db2.gz QJMOIBXHSFOCBT-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1=COCCC1 ZINC001006894065 658629700 /nfs/dbraw/zinc/62/97/00/658629700.db2.gz YFAMLFQXTSKOAN-NSHDSACASA-N 0 2 307.354 0.285 20 0 DCADLN CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CN(C)C[C@@H](C)O3)C2)C1 ZINC000972431622 658759298 /nfs/dbraw/zinc/75/92/98/658759298.db2.gz STDOFOQQBQQFRO-FMKPAKJESA-N 0 2 311.426 0.029 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccon1 ZINC000939581734 665607359 /nfs/dbraw/zinc/60/73/59/665607359.db2.gz ULBMYQVEFAHGKV-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccon1 ZINC000939581734 665607361 /nfs/dbraw/zinc/60/73/61/665607361.db2.gz ULBMYQVEFAHGKV-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C([C@@H]1C[C@H]1C1CCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032676156 665607596 /nfs/dbraw/zinc/60/75/96/665607596.db2.gz GNSGNBAZGGOGIH-ZDEQEGDKSA-N 0 2 317.393 0.732 20 0 DCADLN C[C@@]1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CCCOC1 ZINC001032704644 665619108 /nfs/dbraw/zinc/61/91/08/665619108.db2.gz OEUJUTNGDJDEPC-ZIBATOQPSA-N 0 2 321.381 0.112 20 0 DCADLN Cn1cc(C[NH2+][C@H]2CCCN(C(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC000999316408 665627990 /nfs/dbraw/zinc/62/79/90/665627990.db2.gz LJPFWYZQBXNWEM-HNNXBMFYSA-N 0 2 316.409 0.857 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C2CC2)CC1 ZINC001007569407 659257494 /nfs/dbraw/zinc/25/74/94/659257494.db2.gz ORMCDTNOAAVELH-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@H]1CCC[NH+]1CC(=O)N[C@@H]1C[N@@H+](CC(=O)N(C)C)CC1(C)C ZINC000975046534 659771977 /nfs/dbraw/zinc/77/19/77/659771977.db2.gz CVBLRWMSRJLOLU-ZIAGYGMSSA-N 0 2 324.469 0.386 20 0 DCADLN O=C([O-])C1(NC(=O)[C@H]2CCc3[nH+]ccn3C2)CCSCC1 ZINC000909346005 659800449 /nfs/dbraw/zinc/80/04/49/659800449.db2.gz CMNBFUQHCKVGSP-JTQLQIEISA-N 0 2 309.391 0.912 20 0 DCADLN Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)cc1 ZINC001032749094 665764915 /nfs/dbraw/zinc/76/49/15/665764915.db2.gz QGJPGENGWWZRRP-STQMWFEESA-N 0 2 313.361 0.918 20 0 DCADLN CC(C)[N@@H+]1CCC[C@H]1C(=O)NC[C@@H]1CC[NH+](Cc2cnon2)C1 ZINC001028537122 661602695 /nfs/dbraw/zinc/60/26/95/661602695.db2.gz HJKBYPXBJHMEBX-ZFWWWQNUSA-N 0 2 321.425 0.881 20 0 DCADLN Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)no1 ZINC001029204302 662049693 /nfs/dbraw/zinc/04/96/93/662049693.db2.gz YIVQSXYFFICYAX-AOOOYVTPSA-N 0 2 318.337 0.296 20 0 DCADLN O=C(CC(F)(F)F)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029232954 662060900 /nfs/dbraw/zinc/06/09/00/662060900.db2.gz FKTDTPGEHOETDN-OCAPTIKFSA-N 0 2 319.287 0.638 20 0 DCADLN O=C(CCC1CC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029249343 662067323 /nfs/dbraw/zinc/06/73/23/662067323.db2.gz NZCZDDLDMANQIE-TXEJJXNPSA-N 0 2 305.382 0.876 20 0 DCADLN Cn1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)c1 ZINC001029272484 662074824 /nfs/dbraw/zinc/07/48/24/662074824.db2.gz SBBDSLLBLNZBIO-TXEJJXNPSA-N 0 2 316.365 0.338 20 0 DCADLN CC(C)[C@H](F)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029431610 662174425 /nfs/dbraw/zinc/17/44/25/662174425.db2.gz RFHQOVYNCSPHQK-UMNHJUIQSA-N 0 2 311.361 0.680 20 0 DCADLN Cn1cccc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000450582 665843104 /nfs/dbraw/zinc/84/31/04/665843104.db2.gz KEEGUTMALQVBNV-UHFFFAOYSA-N 0 2 316.365 0.411 20 0 DCADLN Cn1cccc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000450582 665843105 /nfs/dbraw/zinc/84/31/05/665843105.db2.gz KEEGUTMALQVBNV-UHFFFAOYSA-N 0 2 316.365 0.411 20 0 DCADLN CC(C)[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029500091 662337643 /nfs/dbraw/zinc/33/76/43/662337643.db2.gz DULADEQTOYKFCJ-UTUOFQBUSA-N 0 2 307.398 0.978 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@@]1(O)CCSC1 ZINC000866907503 662380966 /nfs/dbraw/zinc/38/09/66/662380966.db2.gz FQLBWBUWODZVDU-VIFPVBQESA-N 0 2 316.470 0.197 20 0 DCADLN Cn1ncc(C[N@@H+](C)C[C@@H]2CCN(C(=O)C[NH+]3CCCC3)C2)n1 ZINC001029904623 662554568 /nfs/dbraw/zinc/55/45/68/662554568.db2.gz ZJGOAUFXLGJHGQ-AWEZNQCLSA-N 0 2 320.441 0.191 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([NH2+]Cc3ncccn3)[C@@H]2C)c1[O-] ZINC000986162136 662564036 /nfs/dbraw/zinc/56/40/36/662564036.db2.gz SJHVBKXVCWYBRT-WDEREUQCSA-N 0 2 316.365 0.607 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)[C@H]1CCC(=O)NC1=O ZINC000788022808 662716029 /nfs/dbraw/zinc/71/60/29/662716029.db2.gz GWYDEFZZMHOGPR-QMMMGPOBSA-N 0 2 306.347 0.634 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC000937862359 662833575 /nfs/dbraw/zinc/83/35/75/662833575.db2.gz DROWQEWGQCRIIZ-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cncnc1 ZINC000937862359 662833576 /nfs/dbraw/zinc/83/35/76/662833576.db2.gz DROWQEWGQCRIIZ-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN C[C@H]1C[C@@H]([NH2+]CC(N)=O)CN1C(=O)c1cccc2[nH+]ccn21 ZINC000989178084 662888664 /nfs/dbraw/zinc/88/86/64/662888664.db2.gz CVTOIHYJRMCAJN-WDEREUQCSA-N 0 2 301.350 0.012 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)C2CN([C@H]3CCOC3)C2)n1 ZINC000897675044 662920203 /nfs/dbraw/zinc/92/02/03/662920203.db2.gz PJTMJDYZUUSDQY-JTQLQIEISA-N 0 2 308.338 0.246 20 0 DCADLN Cn1cc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000990448000 663051636 /nfs/dbraw/zinc/05/16/36/663051636.db2.gz YRYDQYAEOLXPPS-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN Cn1cc(CC(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC000990448000 663051637 /nfs/dbraw/zinc/05/16/37/663051637.db2.gz YRYDQYAEOLXPPS-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN C[NH+]1CCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(CO)cc1 ZINC001038116256 663081312 /nfs/dbraw/zinc/08/13/12/663081312.db2.gz KVFPMDPILTUWDX-IRXDYDNUSA-N 0 2 317.433 0.964 20 0 DCADLN Cc1ccn2ncc(C(=O)NN3C(=O)[C@@H](C)N(C)C3=O)c2c1 ZINC000899031630 663119614 /nfs/dbraw/zinc/11/96/14/663119614.db2.gz BOMITMBTRPEFHR-SECBINFHSA-N 0 2 301.306 0.570 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938197235 663208541 /nfs/dbraw/zinc/20/85/41/663208541.db2.gz ZAEREHOZHVNGSS-POYBYMJQSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938197235 663208543 /nfs/dbraw/zinc/20/85/43/663208543.db2.gz ZAEREHOZHVNGSS-POYBYMJQSA-N 0 2 324.234 0.609 20 0 DCADLN Cn1cnc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000990830748 663216885 /nfs/dbraw/zinc/21/68/85/663216885.db2.gz VEEHTFFUDRGGIS-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cnc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC000990830748 663216886 /nfs/dbraw/zinc/21/68/86/663216886.db2.gz VEEHTFFUDRGGIS-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)ncn1 ZINC000990889320 663352198 /nfs/dbraw/zinc/35/21/98/663352198.db2.gz QHJNSXCOOCLERJ-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)ncn1 ZINC000990889320 663352200 /nfs/dbraw/zinc/35/22/00/663352200.db2.gz QHJNSXCOOCLERJ-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@@H+]1Cc1cc2c(cn1)OCCO2 ZINC000902023131 663378331 /nfs/dbraw/zinc/37/83/31/663378331.db2.gz FUBNMTYODLBHCD-OAHLLOKOSA-N 0 2 308.334 0.918 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@H+]1Cc1cc2c(cn1)OCCO2 ZINC000902023131 663378332 /nfs/dbraw/zinc/37/83/32/663378332.db2.gz FUBNMTYODLBHCD-OAHLLOKOSA-N 0 2 308.334 0.918 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000902032785 663381370 /nfs/dbraw/zinc/38/13/70/663381370.db2.gz BTBPROKGOFZBSZ-JSGCOSHPSA-N 0 2 314.345 0.653 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)[C@@H]1CCc3c[nH+]cn3C1)C2 ZINC000902059971 663382685 /nfs/dbraw/zinc/38/26/85/663382685.db2.gz GEPKGFDSDDVRCK-UEKVPHQBSA-N 0 2 305.334 0.005 20 0 DCADLN C[C@H]([NH2+]Cc1cn(CC(=O)[O-])nn1)c1ccc2c(c1)OCO2 ZINC000902089280 663384354 /nfs/dbraw/zinc/38/43/54/663384354.db2.gz UBDPHLMOKGBTAH-VIFPVBQESA-N 0 2 304.306 0.942 20 0 DCADLN COc1cccc(CNC(=O)[C@@H](C)ON=C(N)CN(C)C)c1 ZINC000902613819 663422133 /nfs/dbraw/zinc/42/21/33/663422133.db2.gz XEHDBXQEMZCOTR-LLVKDONJSA-N 0 2 308.382 0.760 20 0 DCADLN COc1ccc(OC)c(NC(=O)CON=C(N)CN(C)C)c1 ZINC000902613167 663422155 /nfs/dbraw/zinc/42/21/55/663422155.db2.gz OOYLWMVRAAZGIU-UHFFFAOYSA-N 0 2 310.354 0.702 20 0 DCADLN O=C([O-])[C@]12C[C@H]1CCC[N@@H+]2Cc1nnnn1CC1CCOCC1 ZINC000903935932 663496827 /nfs/dbraw/zinc/49/68/27/663496827.db2.gz BHJOXOQXVYSRGT-DOMZBBRYSA-N 0 2 321.381 0.539 20 0 DCADLN O=C([O-])[C@]12C[C@H]1CCC[N@H+]2Cc1nnnn1CC1CCOCC1 ZINC000903935932 663496831 /nfs/dbraw/zinc/49/68/31/663496831.db2.gz BHJOXOQXVYSRGT-DOMZBBRYSA-N 0 2 321.381 0.539 20 0 DCADLN CCn1ccnc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991085770 663637130 /nfs/dbraw/zinc/63/71/30/663637130.db2.gz QDKIUDUHMFHICE-QMMMGPOBSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1ccnc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000991085770 663637131 /nfs/dbraw/zinc/63/71/31/663637131.db2.gz QDKIUDUHMFHICE-QMMMGPOBSA-N 0 2 322.262 0.744 20 0 DCADLN Cc1cnc2c(C(=O)NCCc3n[nH]c(=S)o3)cnn2c1 ZINC000907462948 663698979 /nfs/dbraw/zinc/69/89/79/663698979.db2.gz ZIFMOPCDRAAAJK-UHFFFAOYSA-N 0 2 304.335 0.682 20 0 DCADLN O=C(c1ccc(O)c(F)c1)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907479769 663701196 /nfs/dbraw/zinc/70/11/96/663701196.db2.gz MKRQRFVUJRJSBW-SECBINFHSA-N 0 2 309.326 0.975 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000908773155 663768091 /nfs/dbraw/zinc/76/80/91/663768091.db2.gz ITIAFFKHBCPNFN-NSHDSACASA-N 0 2 310.329 0.904 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N(CC(=O)[O-])C2CCC2)CCO1 ZINC000908915118 663774231 /nfs/dbraw/zinc/77/42/31/663774231.db2.gz SZORICRMFARLMY-UHFFFAOYSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N(CC(=O)[O-])C2CCC2)CCO1 ZINC000908915118 663774232 /nfs/dbraw/zinc/77/42/32/663774232.db2.gz SZORICRMFARLMY-UHFFFAOYSA-N 0 2 313.398 0.746 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000908988615 663777805 /nfs/dbraw/zinc/77/78/05/663777805.db2.gz KKEJJXFFCJQDHI-JSGCOSHPSA-N 0 2 321.377 0.928 20 0 DCADLN CO[C@@H]1CN(C(=O)NCCc2cn(C)c[nH+]2)[C@@](C)(C(=O)[O-])C1 ZINC000909025601 663779686 /nfs/dbraw/zinc/77/96/86/663779686.db2.gz UNBCBSOPNDVSFQ-SMDDNHRTSA-N 0 2 310.354 0.236 20 0 DCADLN CC[C@H](C(=O)N1CC[C@](F)(C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000909310168 663793991 /nfs/dbraw/zinc/79/39/91/663793991.db2.gz XLTOLGGGJKPPGV-LALPHHSUSA-N 0 2 316.373 0.901 20 0 DCADLN CC[C@H](C(=O)N1CC[C@](F)(C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000909310168 663793992 /nfs/dbraw/zinc/79/39/92/663793992.db2.gz XLTOLGGGJKPPGV-LALPHHSUSA-N 0 2 316.373 0.901 20 0 DCADLN CCn1ncc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991186961 663795585 /nfs/dbraw/zinc/79/55/85/663795585.db2.gz IOXRQNYGKRFIEJ-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1ncc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000991186961 663795587 /nfs/dbraw/zinc/79/55/87/663795587.db2.gz IOXRQNYGKRFIEJ-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CC1(F)F ZINC000991211813 663819743 /nfs/dbraw/zinc/81/97/43/663819743.db2.gz CIAUMBVESVRVHQ-PHDIDXHHSA-N 0 2 304.190 0.869 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CC1(F)F ZINC000991211813 663819745 /nfs/dbraw/zinc/81/97/45/663819745.db2.gz CIAUMBVESVRVHQ-PHDIDXHHSA-N 0 2 304.190 0.869 20 0 DCADLN CO[C@@H]1CCC[C@@H]([C@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])C1 ZINC000909910342 663820055 /nfs/dbraw/zinc/82/00/55/663820055.db2.gz YOIFHIUGZNYVHS-QKCSRTOESA-N 0 2 309.366 0.737 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)[C@@H](C(=O)[O-])C1 ZINC000909980519 663828401 /nfs/dbraw/zinc/82/84/01/663828401.db2.gz AENFOSBCCMUBBM-QJPTWQEYSA-N 0 2 307.350 0.536 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)[C@@](C)(C(=O)[O-])C1 ZINC000910267869 663862342 /nfs/dbraw/zinc/86/23/42/663862342.db2.gz YLGYDGSHHLEOQG-JRPNMDOOSA-N 0 2 307.350 0.536 20 0 DCADLN CO[C@H]1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)[C@@](C)(C(=O)[O-])C1 ZINC000910263092 663865887 /nfs/dbraw/zinc/86/58/87/663865887.db2.gz GQKVEZFYWQXYDC-UYUMYWFVSA-N 0 2 307.350 0.614 20 0 DCADLN O=C([O-])[C@@H](CC1CCOCC1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000910449916 663885005 /nfs/dbraw/zinc/88/50/05/663885005.db2.gz BUAQXZNMHJYUNQ-GXTWGEPZSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000910457129 663886193 /nfs/dbraw/zinc/88/61/93/663886193.db2.gz UMOOOYJFKIPZJF-IAQYHMDHSA-N 0 2 324.356 0.831 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000910457129 663886195 /nfs/dbraw/zinc/88/61/95/663886195.db2.gz UMOOOYJFKIPZJF-IAQYHMDHSA-N 0 2 324.356 0.831 20 0 DCADLN C[C@H]1OCC[C@@H]1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171422 664001095 /nfs/dbraw/zinc/00/10/95/664001095.db2.gz FHILRWRZMPGXDK-YPMHNXCESA-N 0 2 319.361 0.853 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)Cc1cccc(C(=O)[O-])c1 ZINC000911195925 664008383 /nfs/dbraw/zinc/00/83/83/664008383.db2.gz BABVLMKUTMHDSI-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)O[C@H]1C(=O)[O-] ZINC000911232260 664012324 /nfs/dbraw/zinc/01/23/24/664012324.db2.gz IZQVUSDJSGHTOQ-DDHJBXDOSA-N 0 2 307.350 0.994 20 0 DCADLN CC1(C)CN(C(=O)c2ccc(C(=O)[O-])s2)CC[N@@H+]1CCO ZINC000911581659 664086583 /nfs/dbraw/zinc/08/65/83/664086583.db2.gz VHLMPMGABYBGOS-UHFFFAOYSA-N 0 2 312.391 0.975 20 0 DCADLN CC1(C)CN(C(=O)c2ccc(C(=O)[O-])s2)CC[N@H+]1CCO ZINC000911581659 664086586 /nfs/dbraw/zinc/08/65/86/664086586.db2.gz VHLMPMGABYBGOS-UHFFFAOYSA-N 0 2 312.391 0.975 20 0 DCADLN CC[C@@H](C(=O)[O-])C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000911743129 664105225 /nfs/dbraw/zinc/10/52/25/664105225.db2.gz QXXANUWTEIESRX-CYBMUJFWSA-N 0 2 319.365 0.756 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)nc1 ZINC000911731212 664106254 /nfs/dbraw/zinc/10/62/54/664106254.db2.gz HXWFYANYKPJDIX-JTQLQIEISA-N 0 2 300.318 0.855 20 0 DCADLN C[C@H]1CN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C[C@H](C)[NH2+]1 ZINC000911797555 664110489 /nfs/dbraw/zinc/11/04/89/664110489.db2.gz SCDQKLFMYSLUNX-XQLPTFJDSA-N 0 2 311.426 0.075 20 0 DCADLN COc1ccc(NCC(=O)NNC(=O)c2ccccn2)cc1 ZINC000058168264 664472355 /nfs/dbraw/zinc/47/23/55/664472355.db2.gz QKQWAVNOVVYOSK-UHFFFAOYSA-N 0 2 300.318 0.963 20 0 DCADLN CC(C)(C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCCO1 ZINC001030326341 664513444 /nfs/dbraw/zinc/51/34/44/664513444.db2.gz HJJMANHFAHKTFV-LLVKDONJSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccnn1C1CCC1 ZINC001030326265 664513482 /nfs/dbraw/zinc/51/34/82/664513482.db2.gz FUPIRXFSIYKEOH-UHFFFAOYSA-N 0 2 317.353 0.046 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccnc(C2CC2)c1 ZINC001030619120 664607311 /nfs/dbraw/zinc/60/73/11/664607311.db2.gz KBROQRUYIIDJMP-UHFFFAOYSA-N 0 2 314.349 0.397 20 0 DCADLN CN(C(=O)c1ccnn1C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953866394 664627864 /nfs/dbraw/zinc/62/78/64/664627864.db2.gz VRMILLZIJLQDTJ-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1ccnn1C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953866394 664627867 /nfs/dbraw/zinc/62/78/67/664627867.db2.gz VRMILLZIJLQDTJ-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CC(C)c1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001030754775 664656079 /nfs/dbraw/zinc/65/60/79/664656079.db2.gz HEEAOOZKKYPKEI-UHFFFAOYSA-N 0 2 306.326 0.236 20 0 DCADLN O=C(Cc1ccc(F)c(F)c1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030864730 664690370 /nfs/dbraw/zinc/69/03/70/664690370.db2.gz PVARCNNCJIJKQI-UHFFFAOYSA-N 0 2 323.303 0.332 20 0 DCADLN C[C@@H]1C[NH+](C(C)(C)CNC(=O)NC[C@H]2CC[N@H+]2C)C[C@@H](C)O1 ZINC000913977799 664740005 /nfs/dbraw/zinc/74/00/05/664740005.db2.gz RVPWDXQTEFCHHE-MGPQQGTHSA-N 0 2 312.458 0.878 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1Cc2ccccc2C1 ZINC001031001208 664752753 /nfs/dbraw/zinc/75/27/53/664752753.db2.gz ZNYJCRODJCDNMT-UHFFFAOYSA-N 0 2 313.361 0.226 20 0 DCADLN CC(C)c1ncsc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031070018 664784447 /nfs/dbraw/zinc/78/44/47/664784447.db2.gz LBLXFEYTIDOXLY-UHFFFAOYSA-N 0 2 322.394 0.704 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1Cc2ccccc21 ZINC000730530842 664786615 /nfs/dbraw/zinc/78/66/15/664786615.db2.gz ZAFQLKFGDBNUAR-LLVKDONJSA-N 0 2 309.329 0.527 20 0 DCADLN CC(C)n1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000730543688 664791282 /nfs/dbraw/zinc/79/12/82/664791282.db2.gz OUQMWRAXLHNPBJ-UHFFFAOYSA-N 0 2 301.310 0.487 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1cccc(F)c1 ZINC000730658887 664799617 /nfs/dbraw/zinc/79/96/17/664799617.db2.gz XFWMZCXPPNRTSJ-UHFFFAOYSA-N 0 2 302.265 0.954 20 0 DCADLN Cc1ncsc1CCOC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730689979 664800345 /nfs/dbraw/zinc/80/03/45/664800345.db2.gz OJFIGFUAXTZLIN-UHFFFAOYSA-N 0 2 319.346 0.622 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cn[nH]c2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993122483 664819021 /nfs/dbraw/zinc/81/90/21/664819021.db2.gz YEBMORGDSVEBFY-PSASIEDQSA-N 0 2 305.342 0.016 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)CC3(F)CC3)C2)[nH]1 ZINC000915693283 664937108 /nfs/dbraw/zinc/93/71/08/664937108.db2.gz ZERVQHPXJHMKHR-QMMMGPOBSA-N 0 2 304.347 0.522 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cc(F)c[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993600378 664983641 /nfs/dbraw/zinc/98/36/41/664983641.db2.gz HLQZYBVAJYSBOQ-WCBMZHEXSA-N 0 2 322.344 0.760 20 0 DCADLN C[C@@H](c1ccccc1)[NH+]1CC(NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001031314619 665068652 /nfs/dbraw/zinc/06/86/52/665068652.db2.gz CMGKWSXAWYHXOR-JTQLQIEISA-N 0 2 317.349 0.251 20 0 DCADLN C[C@@H]1[C@H](NC(=O)Cc2ccc[nH]2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994462996 665110522 /nfs/dbraw/zinc/11/05/22/665110522.db2.gz LOLPJKQMVJMRAA-ZYHUDNBSSA-N 0 2 318.381 0.550 20 0 DCADLN C[C@@H]1[C@H](NC(=O)Cc2ccc[nH]2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994462996 665110523 /nfs/dbraw/zinc/11/05/23/665110523.db2.gz LOLPJKQMVJMRAA-ZYHUDNBSSA-N 0 2 318.381 0.550 20 0 DCADLN CCc1nc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001031431979 665148024 /nfs/dbraw/zinc/14/80/24/665148024.db2.gz ITMYODGZYNAEJH-UHFFFAOYSA-N 0 2 322.394 0.072 20 0 DCADLN Cc1cccc(F)c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031481934 665169727 /nfs/dbraw/zinc/16/97/27/665169727.db2.gz FVIGUCAZIHZKAZ-UHFFFAOYSA-N 0 2 305.313 0.572 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1sccc1F ZINC001031652266 665264158 /nfs/dbraw/zinc/26/41/58/665264158.db2.gz ABVLOVDYUIJPKJ-UHFFFAOYSA-N 0 2 311.342 0.573 20 0 DCADLN Cn1ccc(CNC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)c1 ZINC000921308322 665294417 /nfs/dbraw/zinc/29/44/17/665294417.db2.gz ZKQMJNCUIUZGED-UHFFFAOYSA-N 0 2 304.354 0.938 20 0 DCADLN C[C@]1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)C[C@H]2C[C@H]2C1 ZINC001032537455 665319463 /nfs/dbraw/zinc/31/94/63/665319463.db2.gz ZNYFWLFWYJSLLT-IOFNBGNJSA-N 0 2 317.393 0.732 20 0 DCADLN O=C(NCCc1ncc[nH]1)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000175350849 665322925 /nfs/dbraw/zinc/32/29/25/665322925.db2.gz ZPENXNSBTYJGEV-UHFFFAOYSA-N 0 2 315.358 0.907 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC000938514806 665376751 /nfs/dbraw/zinc/37/67/51/665376751.db2.gz INSBBLZBJHNKSU-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cccnn1 ZINC000938514806 665376752 /nfs/dbraw/zinc/37/67/52/665376752.db2.gz INSBBLZBJHNKSU-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN COc1ccc(C(=O)NN(C)C(N)=O)nc1Br ZINC000183391792 666036828 /nfs/dbraw/zinc/03/68/28/666036828.db2.gz ZLKQSHWSIAFYAM-UHFFFAOYSA-N 0 2 303.116 0.508 20 0 DCADLN CCCC(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043373838 666112865 /nfs/dbraw/zinc/11/28/65/666112865.db2.gz FDSZUCQJCKGCRG-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN CCCC(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043373838 666112866 /nfs/dbraw/zinc/11/28/66/666112866.db2.gz FDSZUCQJCKGCRG-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN CN(C(=O)c1ccccc1F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032876483 666367825 /nfs/dbraw/zinc/36/78/25/666367825.db2.gz NOZHCXICOPWUEM-JTQLQIEISA-N 0 2 319.340 0.996 20 0 DCADLN COc1ccccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032143528 666372320 /nfs/dbraw/zinc/37/23/20/666372320.db2.gz AAWHPSJADBMSBF-UHFFFAOYSA-N 0 2 317.349 0.381 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1nccs1 ZINC001003833737 666377898 /nfs/dbraw/zinc/37/78/98/666377898.db2.gz FZWHXKRJVFNAPE-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1ccc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)n1C ZINC001032903809 666382192 /nfs/dbraw/zinc/38/21/92/666382192.db2.gz CWGBAORSWNLCHE-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN Cc1ccc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)n1C ZINC001032903809 666382194 /nfs/dbraw/zinc/38/21/94/666382194.db2.gz CWGBAORSWNLCHE-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN C[C@@]1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCCOC1 ZINC001003856438 666385985 /nfs/dbraw/zinc/38/59/85/666385985.db2.gz JCOVWOWHYYWDRS-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1noc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001033173449 666591550 /nfs/dbraw/zinc/59/15/50/666591550.db2.gz BJQNBIYOXXYEEG-SNVBAGLBSA-N 0 2 320.353 0.462 20 0 DCADLN C[C@@H]1CCCN(C(=O)c2ccn[nH]2)[C@@H]1CNC(=O)c1cn[nH]n1 ZINC000945738893 666645725 /nfs/dbraw/zinc/64/57/25/666645725.db2.gz CTZOVUYBJMRNDC-BXKDBHETSA-N 0 2 317.353 0.199 20 0 DCADLN O=C(c1ccc(Cl)o1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032279840 666668558 /nfs/dbraw/zinc/66/85/58/666668558.db2.gz GXIFNYYRFLGBDS-YUMQZZPRSA-N 0 2 323.740 0.856 20 0 DCADLN CN(C(=O)c1ccc(Cl)[nH]1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033395855 666699502 /nfs/dbraw/zinc/69/95/02/666699502.db2.gz MNVRRCXQZUQZQG-QMMMGPOBSA-N 0 2 324.772 0.838 20 0 DCADLN CN(C(=O)c1ccc(Cl)[nH]1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033395855 666699504 /nfs/dbraw/zinc/69/95/04/666699504.db2.gz MNVRRCXQZUQZQG-QMMMGPOBSA-N 0 2 324.772 0.838 20 0 DCADLN CN(C(=O)c1cocn1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005167084 666736388 /nfs/dbraw/zinc/73/63/88/666736388.db2.gz FWDDJPKEQGOZSD-UHFFFAOYSA-N 0 2 306.326 0.235 20 0 DCADLN CN(C(=O)c1nccs1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033522644 666747284 /nfs/dbraw/zinc/74/72/84/666747284.db2.gz RNSQCOVVWGXISU-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005232492 666769965 /nfs/dbraw/zinc/76/99/65/666769965.db2.gz PCZBTIHPDRFYGL-IMRBUKKESA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)C[N@@H+]1CC[C@H](N(C)C(=O)C[NH+]2CCCC2)C1)C1CC1 ZINC001033657603 666783235 /nfs/dbraw/zinc/78/32/35/666783235.db2.gz NGEIGMGELUGZDV-HNNXBMFYSA-N 0 2 322.453 0.236 20 0 DCADLN CCn1cccc1C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033661431 666791914 /nfs/dbraw/zinc/79/19/14/666791914.db2.gz PHHASBDULPBAHM-NSHDSACASA-N 0 2 318.381 0.678 20 0 DCADLN CCn1cccc1C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033661431 666791915 /nfs/dbraw/zinc/79/19/15/666791915.db2.gz PHHASBDULPBAHM-NSHDSACASA-N 0 2 318.381 0.678 20 0 DCADLN CCN(C(=O)c1cocn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033771005 666821299 /nfs/dbraw/zinc/82/12/99/666821299.db2.gz BESTUNQMDRJFFY-SECBINFHSA-N 0 2 306.326 0.235 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033824883 666835898 /nfs/dbraw/zinc/83/58/98/666835898.db2.gz GAGQWLIRJPNGHG-IUCAKERBSA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033824883 666835899 /nfs/dbraw/zinc/83/58/99/666835899.db2.gz GAGQWLIRJPNGHG-IUCAKERBSA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033824883 666835902 /nfs/dbraw/zinc/83/59/02/666835902.db2.gz GAGQWLIRJPNGHG-IUCAKERBSA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)[C@@H]1CCc2[nH+]ccn2C1)[C@@H]1CC[N@H+](CCO)C1 ZINC001033881340 666855542 /nfs/dbraw/zinc/85/55/42/666855542.db2.gz PROPVQYDOLZPBE-ZIAGYGMSSA-N 0 2 306.410 0.361 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(CC1CC1)CC1CC1 ZINC000734400728 666871909 /nfs/dbraw/zinc/87/19/09/666871909.db2.gz YHFYMEBGLFEIJJ-UHFFFAOYSA-N 0 2 301.350 0.720 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1=COCCC1 ZINC001034125325 666921457 /nfs/dbraw/zinc/92/14/57/666921457.db2.gz JPTSODGNKFWZPG-GFCCVEGCSA-N 0 2 321.381 0.675 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1=COCCC1 ZINC001034125325 666921458 /nfs/dbraw/zinc/92/14/58/666921458.db2.gz JPTSODGNKFWZPG-GFCCVEGCSA-N 0 2 321.381 0.675 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cncs1 ZINC001034123602 666929181 /nfs/dbraw/zinc/92/91/81/666929181.db2.gz NMEPKASHZOQRCD-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC=CC1 ZINC001034139517 666929706 /nfs/dbraw/zinc/92/97/06/666929706.db2.gz YYIPBUIZBBAWTM-GFCCVEGCSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC=CC1 ZINC001034139517 666929709 /nfs/dbraw/zinc/92/97/09/666929709.db2.gz YYIPBUIZBBAWTM-GFCCVEGCSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1CCOC(=O)N1 ZINC000861508574 666937007 /nfs/dbraw/zinc/93/70/07/666937007.db2.gz QSGCMLMRXJKUBK-VIFPVBQESA-N 0 2 317.305 0.923 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001034409384 667011973 /nfs/dbraw/zinc/01/19/73/667011973.db2.gz QPZYMSSZDSNBNW-IJLUTSLNSA-N 0 2 323.397 0.549 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001034409384 667011977 /nfs/dbraw/zinc/01/19/77/667011977.db2.gz QPZYMSSZDSNBNW-IJLUTSLNSA-N 0 2 323.397 0.549 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccncn1 ZINC001034447656 667023100 /nfs/dbraw/zinc/02/31/00/667023100.db2.gz JZAYCGYDBVJNJE-JTQLQIEISA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccncn1 ZINC001034447656 667023103 /nfs/dbraw/zinc/02/31/03/667023103.db2.gz JZAYCGYDBVJNJE-JTQLQIEISA-N 0 2 317.353 0.085 20 0 DCADLN CCn1ncc(CNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)n1 ZINC000862382305 667040614 /nfs/dbraw/zinc/04/06/14/667040614.db2.gz QXFRZTCZRRHWJN-VIFPVBQESA-N 0 2 321.303 0.617 20 0 DCADLN Cc1cccc2c1C[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)CO2 ZINC000862532458 667047422 /nfs/dbraw/zinc/04/74/22/667047422.db2.gz GGXIJJQSSTUUBK-BXKDBHETSA-N 0 2 319.386 0.971 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)N2C[C@H](C)C[C@H](C)C2)n1 ZINC000921532038 667161870 /nfs/dbraw/zinc/16/18/70/667161870.db2.gz JRAGLWYYVBAACX-AOOOYVTPSA-N 0 2 302.404 0.889 20 0 DCADLN Cn1nnc(CNC[C@H]2CCCN2C(=O)C(F)C(F)(F)F)n1 ZINC001034943632 667195506 /nfs/dbraw/zinc/19/55/06/667195506.db2.gz GPCXOGSEEHSNEN-APPZFPTMSA-N 0 2 324.282 0.191 20 0 DCADLN O=C([O-])C1=CC[C@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000864169343 667221352 /nfs/dbraw/zinc/22/13/52/667221352.db2.gz SYUHSCSPLSKNFM-GXTWGEPZSA-N 0 2 308.378 0.919 20 0 DCADLN O=C([O-])C1=CC[C@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000864169343 667221353 /nfs/dbraw/zinc/22/13/53/667221353.db2.gz SYUHSCSPLSKNFM-GXTWGEPZSA-N 0 2 308.378 0.919 20 0 DCADLN C[C@H](O)[C@H](NS(=O)(=O)N=S(C)(C)=O)c1ccccc1F ZINC000867458460 667405360 /nfs/dbraw/zinc/40/53/60/667405360.db2.gz NDADLEFUOKXVFP-KWQFWETISA-N 0 2 324.399 0.810 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCC(O)(C(=O)[O-])CC3)[nH+]c12 ZINC000738322559 667666704 /nfs/dbraw/zinc/66/67/04/667666704.db2.gz RVYIIGOCASIBBN-UHFFFAOYSA-N 0 2 317.345 0.623 20 0 DCADLN COC(=O)[C@@H](F)CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000838075189 667671930 /nfs/dbraw/zinc/67/19/30/667671930.db2.gz PLTHDNDAFUCLTC-YFKPBYRVSA-N 0 2 302.224 0.071 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC(O)(c3ccccc3)C2)S1 ZINC000870238630 667724655 /nfs/dbraw/zinc/72/46/55/667724655.db2.gz KMVBOECZZHVPKE-JTQLQIEISA-N 0 2 305.359 0.273 20 0 DCADLN O=C(NCCc1nnc(S)o1)c1cnc2sccn2c1=O ZINC000788146496 667748292 /nfs/dbraw/zinc/74/82/92/667748292.db2.gz CIPMBIQXKGSCFI-UHFFFAOYSA-N 0 2 323.359 0.400 20 0 DCADLN CC(C)C1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000742115414 667781058 /nfs/dbraw/zinc/78/10/58/667781058.db2.gz AULRDRUFVYPSSX-UHFFFAOYSA-N 0 2 303.366 0.966 20 0 DCADLN CNC(=O)c1ccccc1NC(=O)CC1SC(=N)NC1=O ZINC000742516594 667793169 /nfs/dbraw/zinc/79/31/69/667793169.db2.gz XDPVXEOOGZCRTN-SECBINFHSA-N 0 2 306.347 0.541 20 0 DCADLN CCCNC(=O)CN(CCC)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742563865 667793712 /nfs/dbraw/zinc/79/37/12/667793712.db2.gz RTOYZBGNPGODOM-VIFPVBQESA-N 0 2 314.411 0.308 20 0 DCADLN Cc1ccc2nc(CNC(=O)C[C@@H]3SC(=N)NC3=O)cn2c1 ZINC000742581871 667794209 /nfs/dbraw/zinc/79/42/09/667794209.db2.gz WGGBRKGAYLJHOE-JTQLQIEISA-N 0 2 317.374 0.815 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-c3nn[nH]n3)cc2)S1 ZINC000742610716 667794900 /nfs/dbraw/zinc/79/49/00/667794900.db2.gz FXPJFPUHVYMVRJ-MRVPVSSYSA-N 0 2 317.334 0.362 20 0 DCADLN COC(=O)[C@@H](C)CN(C(=O)C[C@@H]1SC(=N)NC1=O)C1CC1 ZINC000742619954 667795247 /nfs/dbraw/zinc/79/52/47/667795247.db2.gz QMPGVCHVEVSZGH-CBAPKCEASA-N 0 2 313.379 0.343 20 0 DCADLN CN(Cc1nnc2ccccn21)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742605148 667795330 /nfs/dbraw/zinc/79/53/30/667795330.db2.gz OROCLGREBZIKGA-MRVPVSSYSA-N 0 2 318.362 0.244 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCN(c3ccccn3)CC2)S1 ZINC000745415666 667847310 /nfs/dbraw/zinc/84/73/10/667847310.db2.gz SNFRCLKUXVWVIN-JTQLQIEISA-N 0 2 319.390 0.287 20 0 DCADLN O=C([N-]CC1C[NH+](C[C@H](O)c2ccccn2)C1)C(F)(F)F ZINC000871992147 667864153 /nfs/dbraw/zinc/86/41/53/667864153.db2.gz SKNCHNANTSOAPH-NSHDSACASA-N 0 2 303.284 0.725 20 0 DCADLN COC[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1ccc(C)o1 ZINC000746641361 667884122 /nfs/dbraw/zinc/88/41/22/667884122.db2.gz QFZROMWNHVMENP-SCZZXKLOSA-N 0 2 311.363 0.948 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NC[C@@](C)(O)C1CC1 ZINC000872452631 667897957 /nfs/dbraw/zinc/89/79/57/667897957.db2.gz BYSWUPHTMRCLSH-ADLMAVQZSA-N 0 2 312.457 0.878 20 0 DCADLN CC(C)NC(=O)Nc1ccccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748057175 667917644 /nfs/dbraw/zinc/91/76/44/667917644.db2.gz LINQHBLDIFRKEO-UHFFFAOYSA-N 0 2 318.337 0.970 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000887911939 667928872 /nfs/dbraw/zinc/92/88/72/667928872.db2.gz GLEHITWJSOKIEI-DTORHVGOSA-N 0 2 317.313 0.787 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccn(CC(F)F)n2)S1 ZINC000748759604 667936909 /nfs/dbraw/zinc/93/69/09/667936909.db2.gz GQCKTVUJFWHUAB-RXMQYKEDSA-N 0 2 303.294 0.643 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H]1C1CCCC1 ZINC000889401111 667964891 /nfs/dbraw/zinc/96/48/91/667964891.db2.gz YSTCQSANKJMYSE-GFCCVEGCSA-N 0 2 301.350 0.862 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC([C@@H]3CCOC3)CC2)S1 ZINC000752971947 668024070 /nfs/dbraw/zinc/02/40/70/668024070.db2.gz BDCHAZWKFPRTIF-MNOVXSKESA-N 0 2 311.407 0.818 20 0 DCADLN CCOC(=O)[C@H](C)[C@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000753335131 668028882 /nfs/dbraw/zinc/02/88/82/668028882.db2.gz JZELJAOUCANTBM-GJMOJQLCSA-N 0 2 301.368 0.247 20 0 DCADLN CCOC(=O)[C@H](C)[C@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000753335130 668029006 /nfs/dbraw/zinc/02/90/06/668029006.db2.gz JZELJAOUCANTBM-CSMHCCOUSA-N 0 2 301.368 0.247 20 0 DCADLN CN(C)c1cccc(CNC(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC000755227109 668058299 /nfs/dbraw/zinc/05/82/99/668058299.db2.gz OTGDHJPTSQBBQL-SECBINFHSA-N 0 2 307.379 0.320 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@@H]1CCCO1 ZINC000756103067 668078899 /nfs/dbraw/zinc/07/88/99/668078899.db2.gz FNDBBNDHVONLSJ-QWRGUYRKSA-N 0 2 305.338 0.145 20 0 DCADLN COCC1(N(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC000874938496 668238517 /nfs/dbraw/zinc/23/85/17/668238517.db2.gz ZACXMSGJUCXRDU-UHFFFAOYSA-N 0 2 305.338 0.099 20 0 DCADLN COc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(C)n1 ZINC000760096007 668256095 /nfs/dbraw/zinc/25/60/95/668256095.db2.gz KPRWEJUWPFBVBT-UHFFFAOYSA-N 0 2 314.305 0.422 20 0 DCADLN Cc1ccc(-c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)cn1 ZINC000761634121 668332876 /nfs/dbraw/zinc/33/28/76/668332876.db2.gz XUNYFUIIJNETFN-UHFFFAOYSA-N 0 2 309.289 0.873 20 0 DCADLN C[C@H](C[C@@H](O)c1ccco1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000761875912 668339467 /nfs/dbraw/zinc/33/94/67/668339467.db2.gz WDCIEQHAPCUCBH-MRTMQBJTSA-N 0 2 311.363 0.764 20 0 DCADLN C[C@H](C[C@H](O)c1ccco1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000761875915 668339639 /nfs/dbraw/zinc/33/96/39/668339639.db2.gz WDCIEQHAPCUCBH-WEDXCCLWSA-N 0 2 311.363 0.764 20 0 DCADLN Cc1nc(-c2cccc(NC(=O)NCc3n[nH]c(=O)[nH]3)c2)n[nH]1 ZINC000762216283 668351941 /nfs/dbraw/zinc/35/19/41/668351941.db2.gz SYBFGPFEKQCQIS-UHFFFAOYSA-N 0 2 314.309 0.926 20 0 DCADLN COc1ccccc1[C@@H](O)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000762335704 668356731 /nfs/dbraw/zinc/35/67/31/668356731.db2.gz VWAXEORDEJIDME-GXSJLCMTSA-N 0 2 323.374 0.401 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000927490138 668471200 /nfs/dbraw/zinc/47/12/00/668471200.db2.gz NURHNXMSAXYVBD-HBNTYKKESA-N 0 2 301.350 0.624 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2CCCCC23OCCO3)S1 ZINC000765192258 668480480 /nfs/dbraw/zinc/48/04/80/668480480.db2.gz AEJZKHFCGKAOOU-BDAKNGLRSA-N 0 2 313.379 0.345 20 0 DCADLN C[N@@H+]1CC[C@@H](NC(=O)c2cc(=O)[nH][n-]2)[C@@H]1c1ccc(F)c(F)c1 ZINC000765419930 668489615 /nfs/dbraw/zinc/48/96/15/668489615.db2.gz YXQIRXKZKUGEBM-RISCZKNCSA-N 0 2 322.315 0.702 20 0 DCADLN C[N@H+]1CC[C@@H](NC(=O)c2cc(=O)[nH][n-]2)[C@@H]1c1ccc(F)c(F)c1 ZINC000765419930 668489616 /nfs/dbraw/zinc/48/96/16/668489616.db2.gz YXQIRXKZKUGEBM-RISCZKNCSA-N 0 2 322.315 0.702 20 0 DCADLN O=C(NCCNS(=O)(=O)c1cccs1)C(F)(F)F ZINC000126254834 668543299 /nfs/dbraw/zinc/54/32/99/668543299.db2.gz BTJCEHHRPAVCOI-UHFFFAOYSA-N 0 2 302.299 0.705 20 0 DCADLN CO[C@@]1(CNC(=O)C(F)C(F)(F)F)CCS(=O)(=O)C1 ZINC000928775302 668622986 /nfs/dbraw/zinc/62/29/86/668622986.db2.gz WPMDXHLQHQKFCZ-HTRCEHHLSA-N 0 2 307.265 0.207 20 0 DCADLN CO[C@@]1(CNC(=O)[C@@H](F)C(F)(F)F)CCS(=O)(=O)C1 ZINC000928775302 668622991 /nfs/dbraw/zinc/62/29/91/668622991.db2.gz WPMDXHLQHQKFCZ-HTRCEHHLSA-N 0 2 307.265 0.207 20 0 DCADLN CCC[C@@]1(CO)CCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000768964193 668628882 /nfs/dbraw/zinc/62/88/82/668628882.db2.gz VRNSQJZXDFESQB-HNNXBMFYSA-N 0 2 319.365 0.225 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCSCC(F)(F)F)S1 ZINC000770220249 668684630 /nfs/dbraw/zinc/68/46/30/668684630.db2.gz FHEUSRZCXFKRHP-YFKPBYRVSA-N 0 2 315.342 0.955 20 0 DCADLN CC(C)[C@H](C(=O)NCCc1n[nH]c(=S)o1)N1CCCC1=O ZINC000773088869 668792932 /nfs/dbraw/zinc/79/29/32/668792932.db2.gz UUUVZGDGAXMVRI-LLVKDONJSA-N 0 2 312.395 0.664 20 0 DCADLN C[C@@H](C(=O)OCc1n[nH]c(=O)[nH]1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000774903715 668850386 /nfs/dbraw/zinc/85/03/86/668850386.db2.gz ZEGWPYZRKWNONV-XHNCKOQMSA-N 0 2 322.321 0.117 20 0 DCADLN Cc1ccc(C(=O)NCC(=O)OCc2n[nH]c(=O)[nH]2)cc1C ZINC000774901451 668850590 /nfs/dbraw/zinc/85/05/90/668850590.db2.gz KERSURWOBMKEIA-UHFFFAOYSA-N 0 2 304.306 0.600 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C)CCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000775361730 668861459 /nfs/dbraw/zinc/86/14/59/668861459.db2.gz FLJGHHLKDDCSFW-HRDYMLBCSA-N 0 2 313.379 0.343 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)NCCCNc1cccc[nH+]1 ZINC000780580063 668996708 /nfs/dbraw/zinc/99/67/08/668996708.db2.gz UMVMLYVYVADIBR-NSHDSACASA-N 0 2 308.338 0.379 20 0 DCADLN O=C([O-])CN(C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)C1CC1 ZINC000780696245 669006171 /nfs/dbraw/zinc/00/61/71/669006171.db2.gz LQPZXDVKPNWVQD-NSHDSACASA-N 0 2 304.350 0.919 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc2n[nH]nc2cc1F ZINC000781946314 669070634 /nfs/dbraw/zinc/07/06/34/669070634.db2.gz HEPQLJGQNLKAHV-UHFFFAOYSA-N 0 2 305.273 0.283 20 0 DCADLN CCCCCNC(=O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881085211 669294101 /nfs/dbraw/zinc/29/41/01/669294101.db2.gz IFVBSVARQWMYMZ-UHFFFAOYSA-N 0 2 321.303 0.763 20 0 DCADLN Cc1ccnc(Cn2cc(CNC(=O)C(F)(F)F)nn2)n1 ZINC000881297973 669319199 /nfs/dbraw/zinc/31/91/99/669319199.db2.gz WDDOIPOUYZFLEB-UHFFFAOYSA-N 0 2 300.244 0.603 20 0 DCADLN COC(=O)[C@@H](NC(=O)C(F)C(F)(F)F)C1CCOCC1 ZINC000881761394 669378331 /nfs/dbraw/zinc/37/83/31/669378331.db2.gz PTVRZKYMIHDCEU-JGVFFNPUSA-N 0 2 301.236 0.971 20 0 DCADLN COC(=O)[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CCOCC1 ZINC000881761394 669378339 /nfs/dbraw/zinc/37/83/39/669378339.db2.gz PTVRZKYMIHDCEU-JGVFFNPUSA-N 0 2 301.236 0.971 20 0 DCADLN CN1c2ccc(NC(=O)CC3SC(=N)NC3=O)cc2CC1=O ZINC000790098121 669536970 /nfs/dbraw/zinc/53/69/70/669536970.db2.gz UXLDMXXCMOPNIE-JTQLQIEISA-N 0 2 318.358 0.700 20 0 DCADLN O=c1[nH]nc(CN2CCCS(=O)(=O)C[C@@H]2c2ccccc2)[nH]1 ZINC000933981988 669558064 /nfs/dbraw/zinc/55/80/64/669558064.db2.gz DFUONECEGKNQPS-GFCCVEGCSA-N 0 2 322.390 0.872 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(C3(O)COC3)cc2)cnn1C ZINC000793444651 669718448 /nfs/dbraw/zinc/71/84/48/669718448.db2.gz TYDIDXDCFKZWBN-UHFFFAOYSA-N 0 2 323.374 0.747 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cc(Br)ccn1 ZINC000794334935 669824986 /nfs/dbraw/zinc/82/49/86/669824986.db2.gz SOGHNSYSDVLQTF-UHFFFAOYSA-N 0 2 313.115 0.990 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)N(CC)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC000950447473 670028395 /nfs/dbraw/zinc/02/83/95/670028395.db2.gz PSUBCIPXPNTDDT-NEPJUHHUSA-N 0 2 323.397 0.501 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](CO)CC2CCCC2)S1 ZINC000799051932 670035013 /nfs/dbraw/zinc/03/50/13/670035013.db2.gz CRDCIADFXLFDPU-GHMZBOCLSA-N 0 2 313.423 0.848 20 0 DCADLN CN(C(=O)c1ccn[nH]1)[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000948207778 670076606 /nfs/dbraw/zinc/07/66/06/670076606.db2.gz AOQWUZQZZLTYAZ-JTQLQIEISA-N 0 2 319.369 0.360 20 0 DCADLN CN(C(=O)c1ccn[nH]1)[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000948207778 670076607 /nfs/dbraw/zinc/07/66/07/670076607.db2.gz AOQWUZQZZLTYAZ-JTQLQIEISA-N 0 2 319.369 0.360 20 0 DCADLN CCN(C(=O)[C@H]1CCC[C@@H]1OC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950666078 670089053 /nfs/dbraw/zinc/08/90/53/670089053.db2.gz DWWWBSJHKIZBPZ-RYUDHWBXSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1)n1cc[nH+]c1 ZINC000950962170 670162075 /nfs/dbraw/zinc/16/20/75/670162075.db2.gz KDZJPQRMUUQPHY-LLVKDONJSA-N 0 2 319.369 0.002 20 0 DCADLN CCc1cccnc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949013005 670237505 /nfs/dbraw/zinc/23/75/05/670237505.db2.gz XJDVKSOIKATOSS-UHFFFAOYSA-N 0 2 316.365 0.426 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951447916 670275875 /nfs/dbraw/zinc/27/58/75/670275875.db2.gz ADTCWSUEAFMVQI-SVRRBLITSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000951447916 670275881 /nfs/dbraw/zinc/27/58/81/670275881.db2.gz ADTCWSUEAFMVQI-SVRRBLITSA-N 0 2 323.250 0.344 20 0 DCADLN CC[C@@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@H](C)[S@]1=O ZINC000804438618 670294369 /nfs/dbraw/zinc/29/43/69/670294369.db2.gz SWKYJCRJVQYZEO-ODGUKDLOSA-N 0 2 317.436 0.301 20 0 DCADLN O=C(C[C@@H]1C[C@H]1C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949377435 670326756 /nfs/dbraw/zinc/32/67/56/670326756.db2.gz CICUFLWYZBGUCZ-RYUDHWBXSA-N 0 2 305.382 0.591 20 0 DCADLN O=C(CN1CCSC1=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000808027457 670386573 /nfs/dbraw/zinc/38/65/73/670386573.db2.gz BSSWNIAMUJXRCC-MRVPVSSYSA-N 0 2 311.367 0.385 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(C2OCCO2)c1 ZINC000808860670 670427319 /nfs/dbraw/zinc/42/73/19/670427319.db2.gz XQXAXVWZJUCZGS-UHFFFAOYSA-N 0 2 305.294 0.877 20 0 DCADLN CCN(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949847322 670447116 /nfs/dbraw/zinc/44/71/16/670447116.db2.gz SCCCXGUMUSYNGF-IMRBUKKESA-N 0 2 319.409 0.979 20 0 DCADLN CCC(=O)N1CC[C@@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000952194509 670457533 /nfs/dbraw/zinc/45/75/33/670457533.db2.gz VWBJKECJCWIFAK-LLVKDONJSA-N 0 2 316.365 0.551 20 0 DCADLN CS(=O)(=O)N1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC000810007354 670471878 /nfs/dbraw/zinc/47/18/78/670471878.db2.gz IMHPBKAIVSWWHR-ZETCQYMHSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N1CCC(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC000810007354 670471880 /nfs/dbraw/zinc/47/18/80/670471880.db2.gz IMHPBKAIVSWWHR-ZETCQYMHSA-N 0 2 306.281 0.427 20 0 DCADLN Cc1cccc(CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000949979862 670475038 /nfs/dbraw/zinc/47/50/38/670475038.db2.gz FYFMBJUPLFRLCD-UHFFFAOYSA-N 0 2 315.377 0.706 20 0 DCADLN CC(C)(C)NS(=O)(=O)CCNC(=O)C(F)C(F)(F)F ZINC000810091987 670475105 /nfs/dbraw/zinc/47/51/05/670475105.db2.gz NFOUXPOPYJMAAP-LURJTMIESA-N 0 2 308.297 0.721 20 0 DCADLN CC(C)(C)NS(=O)(=O)CCNC(=O)[C@H](F)C(F)(F)F ZINC000810091987 670475109 /nfs/dbraw/zinc/47/51/09/670475109.db2.gz NFOUXPOPYJMAAP-LURJTMIESA-N 0 2 308.297 0.721 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000810120219 670476879 /nfs/dbraw/zinc/47/68/79/670476879.db2.gz NNTCKGNUSDVGKL-HTQZYQBOSA-N 0 2 320.308 0.675 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000810120219 670476883 /nfs/dbraw/zinc/47/68/83/670476883.db2.gz NNTCKGNUSDVGKL-HTQZYQBOSA-N 0 2 320.308 0.675 20 0 DCADLN CCN(C(=O)[C@H]1CC12CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952375103 670484395 /nfs/dbraw/zinc/48/43/95/670484395.db2.gz HYGQTMKIZRQFNN-LLVKDONJSA-N 0 2 305.382 0.733 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@@]1(F)CCOC1 ZINC000810929355 670501177 /nfs/dbraw/zinc/50/11/77/670501177.db2.gz MHYTXCPDVRMMTM-CQSZACIVSA-N 0 2 309.297 0.545 20 0 DCADLN CC1(C)CCC[C@H](OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1=O ZINC000840227158 670514517 /nfs/dbraw/zinc/51/45/17/670514517.db2.gz FYBIFNNIQNIRLP-JTQLQIEISA-N 0 2 318.333 0.762 20 0 DCADLN CCC[C@]1(CO)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000811565080 670536185 /nfs/dbraw/zinc/53/61/85/670536185.db2.gz PSNBRVLPLHHUGI-HNNXBMFYSA-N 0 2 319.365 0.082 20 0 DCADLN CCCc1nnc(SCC(=O)NOCc2cccnc2)n1N ZINC000813494109 670630742 /nfs/dbraw/zinc/63/07/42/670630742.db2.gz XNIPQKGMTDDJOF-UHFFFAOYSA-N 0 2 322.394 0.680 20 0 DCADLN O=C(CSc1n[nH]c(=S)s1)NCCc1nc[nH]n1 ZINC000848153986 670646054 /nfs/dbraw/zinc/64/60/54/670646054.db2.gz ZCOGVNPAYSHDOG-UHFFFAOYSA-N 0 2 302.410 0.396 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000815836552 670766477 /nfs/dbraw/zinc/76/64/77/670766477.db2.gz HZUWOPDZEAPYIB-RQJHMYQMSA-N 0 2 306.281 0.556 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCCN1C(=O)[C@H](F)C(F)(F)F ZINC000815836552 670766479 /nfs/dbraw/zinc/76/64/79/670766479.db2.gz HZUWOPDZEAPYIB-RQJHMYQMSA-N 0 2 306.281 0.556 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@H]1CCn2cncc21 ZINC000857978494 670966463 /nfs/dbraw/zinc/96/64/63/670966463.db2.gz GVKRRHDOEMXACX-ZETCQYMHSA-N 0 2 304.272 0.901 20 0 DCADLN CC(C)(C)OC(=O)CS(=O)(=O)CC[NH2+]CCn1cc[nH+]c1 ZINC000818958859 671079651 /nfs/dbraw/zinc/07/96/51/671079651.db2.gz YCLVBZUDGNOUOQ-UHFFFAOYSA-N 0 2 317.411 0.229 20 0 DCADLN O=C(Nc1ccc2nn[nH]c2c1)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC000859914488 671157744 /nfs/dbraw/zinc/15/77/44/671157744.db2.gz PSTRPBYLQDSAJY-MCYUEQNJSA-N 0 2 314.301 0.617 20 0 DCADLN CN1C(=O)C[C@H](C(=O)OCc2n[nH]c(=O)[nH]2)[C@H]1c1cccnc1 ZINC000860218026 671190847 /nfs/dbraw/zinc/19/08/47/671190847.db2.gz PBMWBXVMUKDQCX-JOYOIKCWSA-N 0 2 317.305 0.168 20 0 DCADLN CCn1ncn(NC(=O)c2cnc(-c3ccccn3)s2)c1=O ZINC000820384067 671197025 /nfs/dbraw/zinc/19/70/25/671197025.db2.gz UCQRPUAQADJRBV-UHFFFAOYSA-N 0 2 316.346 0.967 20 0 DCADLN CCc1c(C(=O)Nn2cnn(CC)c2=O)cnn1C(C)(C)C ZINC000820392272 671198429 /nfs/dbraw/zinc/19/84/29/671198429.db2.gz WHGVROOKUDQISG-UHFFFAOYSA-N 0 2 306.370 0.963 20 0 DCADLN O=C(NOCc1cccnc1)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC000860312498 671198673 /nfs/dbraw/zinc/19/86/73/671198673.db2.gz KFENYYAASSRNLM-MCYUEQNJSA-N 0 2 304.302 0.350 20 0 DCADLN COc1cc(COCC(=O)NCCc2n[nH]c(=S)o2)ccn1 ZINC000860922443 671250052 /nfs/dbraw/zinc/25/00/52/671250052.db2.gz RPORQSCMCXZJPI-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN Cc1onc(CC(=O)NOCc2cccnc2)c1-c1nn[nH]n1 ZINC000826348464 671486500 /nfs/dbraw/zinc/48/65/00/671486500.db2.gz NIDJWXLSOSHKKK-UHFFFAOYSA-N 0 2 315.293 0.349 20 0 DCADLN COc1ccccc1C(F)(F)C(=O)NN1CC(=O)N(C)C1=O ZINC000831547557 671674459 /nfs/dbraw/zinc/67/44/59/671674459.db2.gz APKGAGKJMNGINP-UHFFFAOYSA-N 0 2 313.260 0.712 20 0 DCADLN C[C@H](CN(C)C(=O)c1[nH]cnc1C(F)(F)F)c1nn[nH]n1 ZINC000832126348 671702119 /nfs/dbraw/zinc/70/21/19/671702119.db2.gz MDOWILYYBZCVSU-RXMQYKEDSA-N 0 2 303.248 0.817 20 0 DCADLN C[C@H](CN(C)C(=O)c1nc[nH]c1C(F)(F)F)c1nn[nH]n1 ZINC000832126348 671702121 /nfs/dbraw/zinc/70/21/21/671702121.db2.gz MDOWILYYBZCVSU-RXMQYKEDSA-N 0 2 303.248 0.817 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2C[C@H](C)[C@@H](C(=O)[O-])C2)cc1 ZINC000832169712 671706484 /nfs/dbraw/zinc/70/64/84/671706484.db2.gz DUZFKGPLMXRLNA-GWCFXTLKSA-N 0 2 320.345 0.604 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2C[C@H](C)[C@@H](C(=O)[O-])C2)cc1 ZINC000832169712 671706487 /nfs/dbraw/zinc/70/64/87/671706487.db2.gz DUZFKGPLMXRLNA-GWCFXTLKSA-N 0 2 320.345 0.604 20 0 DCADLN O=C([O-])c1cn(CC(=O)Nc2ccccc2-n2cc[nH+]c2)nn1 ZINC000833122216 671746355 /nfs/dbraw/zinc/74/63/55/671746355.db2.gz YSDCLIKFKAAYNP-UHFFFAOYSA-N 0 2 312.289 0.801 20 0 DCADLN C[C@H]1NC(=O)N(CCOC(=O)c2cc(F)c(O)c(F)c2)C1=O ZINC000837554987 671866804 /nfs/dbraw/zinc/86/68/04/671866804.db2.gz AJMLQHJCRXHFRI-ZCFIWIBFSA-N 0 2 314.244 0.768 20 0 DCADLN Cn1c(C=O)ccc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843879084 672127563 /nfs/dbraw/zinc/12/75/63/672127563.db2.gz TVSQOVHUDNKAGN-VIFPVBQESA-N 0 2 303.322 0.681 20 0 DCADLN CCCC[C@@](C)(CO)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000844017247 672137866 /nfs/dbraw/zinc/13/78/66/672137866.db2.gz FANKDSXSAFRAKZ-AWEZNQCLSA-N 0 2 307.354 0.129 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000844219459 672164962 /nfs/dbraw/zinc/16/49/62/672164962.db2.gz TYUKCAHRYNZCNG-LRDDRELGSA-N 0 2 320.393 0.986 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000844219459 672164963 /nfs/dbraw/zinc/16/49/63/672164963.db2.gz TYUKCAHRYNZCNG-LRDDRELGSA-N 0 2 320.393 0.986 20 0 DCADLN CC[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H](CC)CO1 ZINC000844786816 672202721 /nfs/dbraw/zinc/20/27/21/672202721.db2.gz DYAMXICCXVIAJW-QWRGUYRKSA-N 0 2 319.365 0.487 20 0 DCADLN O=C(NC[C@H](O)c1ccc(Cl)c(F)c1)c1nc(=O)[nH][nH]1 ZINC000305675317 685215748 /nfs/dbraw/zinc/21/57/48/685215748.db2.gz KWPGWANMYYWTRC-QMMMGPOBSA-N 0 2 300.677 0.354 20 0 DCADLN CN(C(=O)[C@@H]1CCCO1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953673513 685777573 /nfs/dbraw/zinc/77/75/73/685777573.db2.gz NWZWGNWXTFXRMP-IUCAKERBSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)[C@@H]1CCCO1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953673513 685777575 /nfs/dbraw/zinc/77/75/75/685777575.db2.gz NWZWGNWXTFXRMP-IUCAKERBSA-N 0 2 312.263 0.735 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCCC12CC2 ZINC001038398675 694070142 /nfs/dbraw/zinc/07/01/42/694070142.db2.gz IBVMYKWIVZYCLB-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)c1cccnc1 ZINC000957368381 687043179 /nfs/dbraw/zinc/04/31/79/687043179.db2.gz NMFMIICWNSFEJT-LLVKDONJSA-N 0 2 316.365 0.353 20 0 DCADLN O=C([C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957857094 687224728 /nfs/dbraw/zinc/22/47/28/687224728.db2.gz WXGYGQOFHLEMHK-SWLJZFRDSA-N 0 2 317.393 0.447 20 0 DCADLN COC1CCC(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001038641476 694143791 /nfs/dbraw/zinc/14/37/91/694143791.db2.gz DUDKZAYWXWAVMI-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(F)c1 ZINC001038738444 694177932 /nfs/dbraw/zinc/17/79/32/694177932.db2.gz BWHRXFGPAXNBOZ-SNVBAGLBSA-N 0 2 319.340 0.962 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@@H]2CN(C(=O)C3CC3)C[C@@H]21 ZINC000960492940 688395588 /nfs/dbraw/zinc/39/55/88/688395588.db2.gz UTZMWPZLWXCUSD-IVZWLZJFSA-N 0 2 324.274 0.735 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCO[C@@H]2CN(C(=O)C3CC3)C[C@@H]21 ZINC000960492940 688395591 /nfs/dbraw/zinc/39/55/91/688395591.db2.gz UTZMWPZLWXCUSD-IVZWLZJFSA-N 0 2 324.274 0.735 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1(F)CCCCC1 ZINC000962198006 688844874 /nfs/dbraw/zinc/84/48/74/688844874.db2.gz VKOFEAFSHIUZCS-IAZYJMLFSA-N 0 2 323.372 0.729 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1(F)CCCCC1 ZINC000962198006 688844878 /nfs/dbraw/zinc/84/48/78/688844878.db2.gz VKOFEAFSHIUZCS-IAZYJMLFSA-N 0 2 323.372 0.729 20 0 DCADLN CCc1onc(C)c1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039001529 694265933 /nfs/dbraw/zinc/26/59/33/694265933.db2.gz DMSUCRFQWDRNNR-SECBINFHSA-N 0 2 320.353 0.373 20 0 DCADLN CCc1noc(C)c1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039005372 694267971 /nfs/dbraw/zinc/26/79/71/694267971.db2.gz FMOBNRPKOGOCBN-VIFPVBQESA-N 0 2 320.353 0.373 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccco1 ZINC000964653063 689280921 /nfs/dbraw/zinc/28/09/21/689280921.db2.gz BZGZSPJUPOLPSL-ZJUUUORDSA-N 0 2 305.338 0.744 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cccn1C ZINC000965219103 689376657 /nfs/dbraw/zinc/37/66/57/689376657.db2.gz NCUGVQSZDGXXEY-GHMZBOCLSA-N 0 2 318.381 0.489 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC12CCOCC2 ZINC001039100444 694302663 /nfs/dbraw/zinc/30/26/63/694302663.db2.gz AQUYHDPMAQXUHI-QWRGUYRKSA-N 0 2 321.381 0.018 20 0 DCADLN Cc1ccc(CC(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001007145660 690632745 /nfs/dbraw/zinc/63/27/45/690632745.db2.gz AVOWRQWDNCNJNY-NSHDSACASA-N 0 2 319.365 0.735 20 0 DCADLN CC1(C(=O)N2C[C@H]3CCC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001039599726 694392903 /nfs/dbraw/zinc/39/29/03/694392903.db2.gz JYZKLAQPTGPIAG-PHIMTYICSA-N 0 2 305.382 0.876 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)[nH]1 ZINC001008448279 690868611 /nfs/dbraw/zinc/86/86/11/690868611.db2.gz LIINQLWCTVXWJB-QMMMGPOBSA-N 0 2 324.772 0.886 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1C1CC1 ZINC001008826098 690931525 /nfs/dbraw/zinc/93/15/25/690931525.db2.gz UQLUUXNDGPTLCP-GRYCIOLGSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@]1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCCOC1 ZINC001008993213 690969890 /nfs/dbraw/zinc/96/98/90/690969890.db2.gz KHUSNNLEOYUQDX-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(F)cn3)C2)c1[O-] ZINC001010619195 691327524 /nfs/dbraw/zinc/32/75/24/691327524.db2.gz FLMWTYZJOQMTNY-GFCCVEGCSA-N 0 2 319.340 0.962 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)c1[O-] ZINC001010619195 691327529 /nfs/dbraw/zinc/32/75/29/691327529.db2.gz FLMWTYZJOQMTNY-GFCCVEGCSA-N 0 2 319.340 0.962 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)nn1C ZINC001010622571 691328930 /nfs/dbraw/zinc/32/89/30/691328930.db2.gz BQJMVQCHSFHNCT-NSHDSACASA-N 0 2 318.381 0.470 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)nn1C ZINC001010622571 691328936 /nfs/dbraw/zinc/32/89/36/691328936.db2.gz BQJMVQCHSFHNCT-NSHDSACASA-N 0 2 318.381 0.470 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010765141 691373998 /nfs/dbraw/zinc/37/39/98/691373998.db2.gz BRXFDPZZWTXCIW-SNVBAGLBSA-N 0 2 304.354 0.162 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC001010772485 691375938 /nfs/dbraw/zinc/37/59/38/691375938.db2.gz HFGOIIDJXXDJGQ-MRVPVSSYSA-N 0 2 308.367 0.280 20 0 DCADLN CCc1cc(C(=O)N2CC[C@@H](NC(=O)c3ncn[nH]3)[C@@H]2C)[nH]n1 ZINC001011523569 691558483 /nfs/dbraw/zinc/55/84/83/691558483.db2.gz VFRMAYBMSZQIJT-WCBMZHEXSA-N 0 2 317.353 0.123 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC(F)(F)C1 ZINC001014663921 692067326 /nfs/dbraw/zinc/06/73/26/692067326.db2.gz SVYWLCDBWSVSFU-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(C[C@@H]1C[C@H]1C1CC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015323348 692263525 /nfs/dbraw/zinc/26/35/25/692263525.db2.gz IIXGOTSXRQXPAU-SRVKXCTJSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ccc1F ZINC001015636088 692374587 /nfs/dbraw/zinc/37/45/87/692374587.db2.gz BPXJTRWUBMVWNQ-LLVKDONJSA-N 0 2 319.340 0.962 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C1CC1)C1CC1 ZINC001015967025 692526027 /nfs/dbraw/zinc/52/60/27/692526027.db2.gz SRQWBKBSNNKHQX-LLVKDONJSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](NC(=O)c3cn[nH]n3)CC[C@H]2C)n[nH]1 ZINC001018250062 693070375 /nfs/dbraw/zinc/07/03/75/693070375.db2.gz JRMYSRGZEZCBCV-NXEZZACHSA-N 0 2 317.353 0.259 20 0 DCADLN O=C(C[C@H]1C=CCC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075532865 694957215 /nfs/dbraw/zinc/95/72/15/694957215.db2.gz SNAJTANICWPDTE-RWMBFGLXSA-N 0 2 317.393 0.899 20 0 DCADLN O=C(C[C@H]1C=CCC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075532865 694957216 /nfs/dbraw/zinc/95/72/16/694957216.db2.gz SNAJTANICWPDTE-RWMBFGLXSA-N 0 2 317.393 0.899 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001076857900 695077631 /nfs/dbraw/zinc/07/76/31/695077631.db2.gz YUQDONOFKFYZEY-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001076857900 695077635 /nfs/dbraw/zinc/07/76/35/695077635.db2.gz YUQDONOFKFYZEY-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN O=C(NCc1nccs1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001625801796 1157362228 /nfs/dbraw/zinc/36/22/28/1157362228.db2.gz HDMDSRCARFYSIQ-UHFFFAOYSA-N 0 2 317.330 0.653 20 0 DCADLN Cc1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nn1 ZINC001720089973 1158944493 /nfs/dbraw/zinc/94/44/93/1158944493.db2.gz WWVFINGZJAGIME-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1ccc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001720089973 1158944499 /nfs/dbraw/zinc/94/44/99/1158944499.db2.gz WWVFINGZJAGIME-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001447512466 1159653547 /nfs/dbraw/zinc/65/35/47/1159653547.db2.gz BKAWRLBKJJSCSX-MAUMQABQSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC001447512466 1159653552 /nfs/dbraw/zinc/65/35/52/1159653552.db2.gz BKAWRLBKJJSCSX-MAUMQABQSA-N 0 2 312.263 0.687 20 0 DCADLN C[C@@H](NC(=O)[C@@H](C)n1nnnc1C(C)(C)C)c1nn(C)cc1O ZINC001456799387 1159762981 /nfs/dbraw/zinc/76/29/81/1159762981.db2.gz VYZWZXRGUOZRIE-RKDXNWHRSA-N 0 2 321.385 0.848 20 0 DCADLN CC(=O)N[C@@](C)(C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C(C)C ZINC001573417681 1163431958 /nfs/dbraw/zinc/43/19/58/1163431958.db2.gz KTHRYLBSWFOYBY-SMDDNHRTSA-N 0 2 310.354 0.072 20 0 DCADLN CC(=O)N[C@@](C)(C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C(C)C ZINC001573417681 1163431962 /nfs/dbraw/zinc/43/19/62/1163431962.db2.gz KTHRYLBSWFOYBY-SMDDNHRTSA-N 0 2 310.354 0.072 20 0 DCADLN C[C@H]1CC[N@H+](Cc2cnc3c(C(=O)[O-])cnn3c2)[C@H](C(N)=O)C1 ZINC001573645454 1163520994 /nfs/dbraw/zinc/52/09/94/1163520994.db2.gz GEJIYVMAFRKNGG-CABZTGNLSA-N 0 2 317.349 0.513 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2cnc3c(C(=O)[O-])cnn3c2)[C@H](C(N)=O)C1 ZINC001573645454 1163521000 /nfs/dbraw/zinc/52/10/00/1163521000.db2.gz GEJIYVMAFRKNGG-CABZTGNLSA-N 0 2 317.349 0.513 20 0 DCADLN CCc1nc(N2C[C@H](O)C[C@H](C(=O)OC)C2)c(C(=O)[O-])c[nH+]1 ZINC001573837178 1163563383 /nfs/dbraw/zinc/56/33/83/1163563383.db2.gz FEHLEDILIWWZQQ-DTWKUNHWSA-N 0 2 309.322 0.097 20 0 DCADLN CCn1c(C[N@H+](C)[C@@H]2COC[C@H]2O)nc2cc(C(=O)[O-])ccc21 ZINC001573844701 1163564931 /nfs/dbraw/zinc/56/49/31/1163564931.db2.gz MCDQSPPBSUJWER-ZIAGYGMSSA-N 0 2 319.361 0.946 20 0 DCADLN CCn1c(C[N@@H+](C)[C@@H]2COC[C@H]2O)nc2cc(C(=O)[O-])ccc21 ZINC001573844701 1163564937 /nfs/dbraw/zinc/56/49/37/1163564937.db2.gz MCDQSPPBSUJWER-ZIAGYGMSSA-N 0 2 319.361 0.946 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@@H](C(=O)[O-])[C@@H](O)c1ccncc1 ZINC001574221410 1163668551 /nfs/dbraw/zinc/66/85/51/1163668551.db2.gz PPZADGUNWRXTRP-KGLIPLIRSA-N 0 2 318.333 0.051 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)N[C@H]3CCn4cc[nH+]c4C3)C[C@@H]21 ZINC001574403835 1163715010 /nfs/dbraw/zinc/71/50/10/1163715010.db2.gz WMXQWRIBKYGPQK-SRRSOLGSSA-N 0 2 304.350 0.560 20 0 DCADLN O=C([O-])c1noc(CN2CC[NH+](Cc3ccncc3)CC2)n1 ZINC001574429351 1163721609 /nfs/dbraw/zinc/72/16/09/1163721609.db2.gz MWNKTLMPLZPSHA-UHFFFAOYSA-N 0 2 303.322 0.481 20 0 DCADLN Cc1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c(=O)[nH]1 ZINC001575310639 1163969843 /nfs/dbraw/zinc/96/98/43/1163969843.db2.gz FETGRMVUYQUEAI-QMMMGPOBSA-N 0 2 323.246 0.842 20 0 DCADLN Cc1ccc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c(=O)[nH]1 ZINC001575310639 1163969848 /nfs/dbraw/zinc/96/98/48/1163969848.db2.gz FETGRMVUYQUEAI-QMMMGPOBSA-N 0 2 323.246 0.842 20 0 DCADLN COc1nscc1S(=O)(=O)NCCCc1cnn[nH]1 ZINC001577108702 1164605320 /nfs/dbraw/zinc/60/53/20/1164605320.db2.gz QKUAHBGLALJWFH-UHFFFAOYSA-N 0 2 303.369 0.181 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2C[C@H]([NH2+]Cc3c[nH]nn3)C2)cnn1 ZINC001577294028 1164661616 /nfs/dbraw/zinc/66/16/16/1164661616.db2.gz PMOKZAIHZAGDDE-UMSPYCQHSA-N 0 2 301.354 0.201 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1CC[C@H](C[NH2+]Cc2c[nH]nn2)CC1 ZINC001577965099 1164865946 /nfs/dbraw/zinc/86/59/46/1164865946.db2.gz JZFCBGHTXNEYKU-AULYBMBSSA-N 0 2 318.381 0.800 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1CC[C@H](C[NH2+]Cc2cnn[n-]2)CC1 ZINC001577965099 1164865961 /nfs/dbraw/zinc/86/59/61/1164865961.db2.gz JZFCBGHTXNEYKU-AULYBMBSSA-N 0 2 318.381 0.800 20 0 DCADLN O=C(NCC[C@H]1CC[N@H+](Cc2c[nH]nn2)C1)c1cc[n+]([O-])cc1 ZINC001578005281 1164887426 /nfs/dbraw/zinc/88/74/26/1164887426.db2.gz IKHPHRXLFAEJMU-LBPRGKRZSA-N 0 2 316.365 0.080 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H]1CCCO1 ZINC001580602945 1165652592 /nfs/dbraw/zinc/65/25/92/1165652592.db2.gz JDBCYDXNEYFKIF-QMMMGPOBSA-N 0 2 304.310 0.185 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccc2c(c1)CCO2 ZINC001582197992 1165981277 /nfs/dbraw/zinc/98/12/77/1165981277.db2.gz HZFWDBHFULNDGB-UHFFFAOYSA-N 0 2 324.300 0.241 20 0 DCADLN CC(C)(C)CCCn1c(=O)c(-c2nn[nH]n2)cn(CCO)c1=O ZINC001589124551 1166635829 /nfs/dbraw/zinc/63/58/29/1166635829.db2.gz IAAFBOCGSMTCOB-UHFFFAOYSA-N 0 2 322.369 0.009 20 0 DCADLN C[C@H](CC(F)(F)F)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589798513 1166689980 /nfs/dbraw/zinc/68/99/80/1166689980.db2.gz GJFQBFPGZKBNQL-SCSAIBSYSA-N 0 2 319.247 0.419 20 0 DCADLN C[C@H](CCC1CC1)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001589806275 1166690250 /nfs/dbraw/zinc/69/02/50/1166690250.db2.gz ZMVKVUDUVNYMDO-MRVPVSSYSA-N 0 2 319.369 0.255 20 0 DCADLN C[C@@H](NS(=O)(=O)c1cccnc1-c1nn[nH]n1)C(F)(F)F ZINC001589975484 1166733259 /nfs/dbraw/zinc/73/32/59/1166733259.db2.gz CRUVBRRWTOWOSX-RXMQYKEDSA-N 0 2 322.272 0.491 20 0 DCADLN C[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@@H](C)[C@H](C)O1 ZINC001590311572 1166858458 /nfs/dbraw/zinc/85/84/58/1166858458.db2.gz XVQVOSUEBZLDIL-VWYCJHECSA-N 0 2 304.354 0.484 20 0 DCADLN CC[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCCO1 ZINC001590521985 1166934859 /nfs/dbraw/zinc/93/48/59/1166934859.db2.gz FSAOGRZQFUDNFP-NSHDSACASA-N 0 2 304.354 0.487 20 0 DCADLN CCCCn1nnnc1Cn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001590616607 1166953025 /nfs/dbraw/zinc/95/30/25/1166953025.db2.gz YULVZSBXQBGHRM-UHFFFAOYSA-N 0 2 315.341 0.172 20 0 DCADLN CC[N@@H+]1CCN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)[C@@H](C)C1 ZINC001590735116 1166993104 /nfs/dbraw/zinc/99/31/04/1166993104.db2.gz RKRPIBIINWUUML-JTQLQIEISA-N 0 2 316.365 0.739 20 0 DCADLN CC[N@H+]1CCN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)[C@@H](C)C1 ZINC001590735116 1166993109 /nfs/dbraw/zinc/99/31/09/1166993109.db2.gz RKRPIBIINWUUML-JTQLQIEISA-N 0 2 316.365 0.739 20 0 DCADLN COCc1nc(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)cs1 ZINC001591459909 1167294993 /nfs/dbraw/zinc/29/49/93/1167294993.db2.gz NYCYULZSPDHYIT-UHFFFAOYSA-N 0 2 318.362 0.988 20 0 DCADLN CSc1n[nH]c(NC(=O)c2cccc(-c3nn[nH]n3)n2)n1 ZINC001591720966 1167360770 /nfs/dbraw/zinc/36/07/70/1167360770.db2.gz JVRMZQBDTKCDKI-UHFFFAOYSA-N 0 2 303.311 0.354 20 0 DCADLN Cc1ccn(CCNC(=O)OC(C)(C)C)c(=O)c1-c1nn[nH]n1 ZINC001592266809 1167480768 /nfs/dbraw/zinc/48/07/68/1167480768.db2.gz XUZYSKOZQYOZOY-UHFFFAOYSA-N 0 2 320.353 0.862 20 0 DCADLN Cc1nc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)cs1 ZINC001592363123 1167505805 /nfs/dbraw/zinc/50/58/05/1167505805.db2.gz WPAHQZPCDCVHKX-UHFFFAOYSA-N 0 2 303.351 0.853 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cncc(-c3nn[nH]n3)c2)oc1C ZINC001592393680 1167511608 /nfs/dbraw/zinc/51/16/08/1167511608.db2.gz DUTHXBMCCCVXAD-UHFFFAOYSA-N 0 2 321.322 0.667 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC001592499466 1167539258 /nfs/dbraw/zinc/53/92/58/1167539258.db2.gz NDKJTXPVVOHIOD-QMMMGPOBSA-N 0 2 316.321 0.261 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H](C(N)=O)CC[C@H]1C ZINC001592496339 1167539568 /nfs/dbraw/zinc/53/95/68/1167539568.db2.gz DLNZWJMLLKHMQP-APPZFPTMSA-N 0 2 318.337 0.494 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@H](C(N)=O)CC[C@@H]1C ZINC001592496341 1167539688 /nfs/dbraw/zinc/53/96/88/1167539688.db2.gz DLNZWJMLLKHMQP-IONNQARKSA-N 0 2 318.337 0.494 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc(F)cc1F ZINC001593489174 1167872848 /nfs/dbraw/zinc/87/28/48/1167872848.db2.gz CGJDARPNMCMLPT-UHFFFAOYSA-N 0 2 306.232 0.456 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCC1SCCS1 ZINC001593489624 1167873453 /nfs/dbraw/zinc/87/34/53/1167873453.db2.gz RSKMZWZRZMLFKM-UHFFFAOYSA-N 0 2 312.380 0.325 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1ccn(-c2ccccc2)n1 ZINC001593494212 1167876300 /nfs/dbraw/zinc/87/63/00/1167876300.db2.gz KLPQGTQSAHIWKW-UHFFFAOYSA-N 0 2 320.316 0.657 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1CCc1c(F)cccc1F ZINC001593494691 1167877302 /nfs/dbraw/zinc/87/73/02/1167877302.db2.gz PIJZXQOVWYLJOO-UHFFFAOYSA-N 0 2 304.260 0.944 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@H]1COc2ccccc2O1 ZINC001593494731 1167880730 /nfs/dbraw/zinc/88/07/30/1167880730.db2.gz UXIWJNZEJVQPKX-VIFPVBQESA-N 0 2 312.289 0.263 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@@H]2[N@@H+]1Cc1nc(N(C)C)no1 ZINC001594341227 1167965518 /nfs/dbraw/zinc/96/55/18/1167965518.db2.gz SOXXTLUXNKCRJV-VPOLOUISSA-N 0 2 310.354 0.731 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@@H]2[N@H+]1Cc1nc(N(C)C)no1 ZINC001594341227 1167965529 /nfs/dbraw/zinc/96/55/29/1167965529.db2.gz SOXXTLUXNKCRJV-VPOLOUISSA-N 0 2 310.354 0.731 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)NN2CC(=O)N(C)C2=O)o1 ZINC001596444046 1167992797 /nfs/dbraw/zinc/99/27/97/1167992797.db2.gz BOAODKQEYYGORH-GQCTYLIASA-N 0 2 307.262 0.005 20 0 DCADLN COC(=O)[C@@H]1CC[N@H+](CC2(CC(=O)[O-])CC2)[C@H](C(=O)OC)C1 ZINC001598797534 1168039979 /nfs/dbraw/zinc/03/99/79/1168039979.db2.gz FADLPGVBLSUKFS-MNOVXSKESA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)[C@@H]1CC[N@@H+](CC2(CC(=O)[O-])CC2)[C@H](C(=O)OC)C1 ZINC001598797534 1168039987 /nfs/dbraw/zinc/03/99/87/1168039987.db2.gz FADLPGVBLSUKFS-MNOVXSKESA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cc(C(=O)[O-])c(C)o1 ZINC001598864645 1168051805 /nfs/dbraw/zinc/05/18/05/1168051805.db2.gz KNAKWCGNJLIGFU-LBPRGKRZSA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1cc(C(=O)[O-])c(C)o1 ZINC001598864645 1168051809 /nfs/dbraw/zinc/05/18/09/1168051809.db2.gz KNAKWCGNJLIGFU-LBPRGKRZSA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1cc(C(=O)[O-])c(C)[nH]1 ZINC001598864139 1168051855 /nfs/dbraw/zinc/05/18/55/1168051855.db2.gz BVCNJSZMLGHPHY-GFCCVEGCSA-N 0 2 323.349 0.275 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1cc(C(=O)[O-])c(C)[nH]1 ZINC001598864139 1168051861 /nfs/dbraw/zinc/05/18/61/1168051861.db2.gz BVCNJSZMLGHPHY-GFCCVEGCSA-N 0 2 323.349 0.275 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2CC[C@H](C(=O)[O-])[C@@H]2C)c[nH+]1 ZINC001600159667 1168128447 /nfs/dbraw/zinc/12/84/47/1168128447.db2.gz FDSKPEZJKILHCJ-AAEUAGOBSA-N 0 2 319.361 0.636 20 0 DCADLN Cc1cc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@H]2C(=O)[O-])no1 ZINC001600196099 1168136374 /nfs/dbraw/zinc/13/63/74/1168136374.db2.gz KCECEMMGBLBWAG-KVSVUVNWSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1cc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@H]2C(=O)[O-])no1 ZINC001600196099 1168136376 /nfs/dbraw/zinc/13/63/76/1168136376.db2.gz KCECEMMGBLBWAG-KVSVUVNWSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1ccc(F)cc1C(=O)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600432066 1168183308 /nfs/dbraw/zinc/18/33/08/1168183308.db2.gz YJUYUDCYYZYCNJ-GFCCVEGCSA-N 0 2 319.292 0.852 20 0 DCADLN Cc1ccc(F)cc1C(=O)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600432066 1168183312 /nfs/dbraw/zinc/18/33/12/1168183312.db2.gz YJUYUDCYYZYCNJ-GFCCVEGCSA-N 0 2 319.292 0.852 20 0 DCADLN Cc1ccccc1OCC[NH+]1CC(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C1 ZINC001600586418 1168203838 /nfs/dbraw/zinc/20/38/38/1168203838.db2.gz XPMMKEZGMMDXFB-KGLIPLIRSA-N 0 2 318.373 0.895 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)c[nH+]1 ZINC001600611197 1168212798 /nfs/dbraw/zinc/21/27/98/1168212798.db2.gz BCRXKHNMYVJOSR-OAHLLOKOSA-N 0 2 320.349 0.759 20 0 DCADLN Cc1cnc(C[NH2+]C/C=C/CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])nc1 ZINC001600621142 1168216821 /nfs/dbraw/zinc/21/68/21/1168216821.db2.gz JDVIWIGIELHFPS-JGLYPNHGSA-N 0 2 304.350 0.268 20 0 DCADLN Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@@H]2C(=O)[O-])co1 ZINC001600700761 1168256049 /nfs/dbraw/zinc/25/60/49/1168256049.db2.gz AQXLLPQNTVVZDP-JZRPKSSGSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@@H]2C(=O)[O-])co1 ZINC001600700761 1168256059 /nfs/dbraw/zinc/25/60/59/1168256059.db2.gz AQXLLPQNTVVZDP-JZRPKSSGSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1ncc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)cc1C(=O)[O-] ZINC001600746161 1168272879 /nfs/dbraw/zinc/27/28/79/1168272879.db2.gz ZOIVRFXHPMJYRL-UHFFFAOYSA-N 0 2 322.346 0.530 20 0 DCADLN Cc1ncsc1C=CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600761189 1168282229 /nfs/dbraw/zinc/28/22/29/1168282229.db2.gz YCTUHUFLCQPZSD-PBKGFPTLSA-N 0 2 306.347 1.000 20 0 DCADLN Cc1ncsc1C=CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600761189 1168282237 /nfs/dbraw/zinc/28/22/37/1168282237.db2.gz YCTUHUFLCQPZSD-PBKGFPTLSA-N 0 2 306.347 1.000 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001600994484 1168551865 /nfs/dbraw/zinc/55/18/65/1168551865.db2.gz UUPZPGZLMHSFPQ-FCHSOHFDSA-N 0 2 318.333 0.356 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](C(=O)[O-])c1ccccn1 ZINC001600994526 1168552120 /nfs/dbraw/zinc/55/21/20/1168552120.db2.gz XQTZNAPDRNUDOM-BREBYQMCSA-N 0 2 300.318 0.861 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC001601001144 1168556174 /nfs/dbraw/zinc/55/61/74/1168556174.db2.gz PDHTYBMZRWJBSI-JTQLQIEISA-N 0 2 315.333 0.522 20 0 DCADLN NC(=O)C[C@@H]([NH2+]Cc1cn(CC(=O)[O-])nn1)c1ccccc1 ZINC001601153988 1168607561 /nfs/dbraw/zinc/60/75/61/1168607561.db2.gz IOBMGNGXPWGBFE-GFCCVEGCSA-N 0 2 303.322 0.069 20 0 DCADLN NC(=O)NC(=O)C[NH2+][C@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC001601155158 1168607970 /nfs/dbraw/zinc/60/79/70/1168607970.db2.gz DALVSSYXKMROHG-VIFPVBQESA-N 0 2 319.239 0.616 20 0 DCADLN O=C([O-])[C@H]1CC[N@H+](CC(=O)Nc2ccon2)[C@@H]([C@@H]2CCCO2)C1 ZINC001601305356 1168698676 /nfs/dbraw/zinc/69/86/76/1168698676.db2.gz KROJKMAPFPSRAV-TUAOUCFPSA-N 0 2 323.349 0.957 20 0 DCADLN O=C([O-])[C@H]1CC[N@@H+](CC(=O)Nc2ccon2)[C@@H]([C@@H]2CCCO2)C1 ZINC001601305356 1168698683 /nfs/dbraw/zinc/69/86/83/1168698683.db2.gz KROJKMAPFPSRAV-TUAOUCFPSA-N 0 2 323.349 0.957 20 0 DCADLN O=C([O-])[C@@H](CCC1OCCO1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001601412314 1168736433 /nfs/dbraw/zinc/73/64/33/1168736433.db2.gz GWUXQKHRGXIWHB-GHMZBOCLSA-N 0 2 323.349 0.168 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)N1CC[NH+]([C@@H]3CCOC3)CC1)C2 ZINC001601574526 1168787193 /nfs/dbraw/zinc/78/71/93/1168787193.db2.gz PMIZSEPDDPYWCW-IATRGZMQSA-N 0 2 323.393 0.356 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)CC1 ZINC001601681225 1168814524 /nfs/dbraw/zinc/81/45/24/1168814524.db2.gz BNIMJZHORACEEQ-YPMHNXCESA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC001601714376 1168826056 /nfs/dbraw/zinc/82/60/56/1168826056.db2.gz JKZFLQPUHKQQRC-UHFFFAOYSA-N 0 2 309.347 0.619 20 0 DCADLN O=C([O-])C12CCC(C(=O)NC[C@H]3C[NH+]4CCN3CC4)(CC1)CC2 ZINC001601730046 1168836692 /nfs/dbraw/zinc/83/66/92/1168836692.db2.gz CMNGKYRVEXBKAR-IGEOTXOUSA-N 0 2 321.421 0.528 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CC[N@H+](CN3C(=O)CC34CCCC4)C2)C1 ZINC001601746936 1168848494 /nfs/dbraw/zinc/84/84/94/1168848494.db2.gz UDIFQOXVSWHKRR-HNNXBMFYSA-N 0 2 307.350 0.794 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CC[N@@H+](CN3C(=O)CC34CCCC4)C2)C1 ZINC001601746936 1168848502 /nfs/dbraw/zinc/84/85/02/1168848502.db2.gz UDIFQOXVSWHKRR-HNNXBMFYSA-N 0 2 307.350 0.794 20 0 DCADLN O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2C[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC001601756113 1168853407 /nfs/dbraw/zinc/85/34/07/1168853407.db2.gz BTHQZTXDNTVLEM-PUYPPJJSSA-N 0 2 302.334 0.708 20 0 DCADLN O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2C[N@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC001601756113 1168853412 /nfs/dbraw/zinc/85/34/12/1168853412.db2.gz BTHQZTXDNTVLEM-PUYPPJJSSA-N 0 2 302.334 0.708 20 0 DCADLN O=C([O-])[C@@H]1[C@H]2CCC[C@H]2C[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC001601756111 1168853720 /nfs/dbraw/zinc/85/37/20/1168853720.db2.gz BTHQZTXDNTVLEM-CHIMOYNISA-N 0 2 302.334 0.708 20 0 DCADLN O=C([O-])[C@@H]1[C@H]2CCC[C@H]2C[N@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC001601756111 1168853728 /nfs/dbraw/zinc/85/37/28/1168853728.db2.gz BTHQZTXDNTVLEM-CHIMOYNISA-N 0 2 302.334 0.708 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001601764641 1168861302 /nfs/dbraw/zinc/86/13/02/1168861302.db2.gz MUQZPLKJFIMUQY-TZMCWYRMSA-N 0 2 315.329 0.780 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001601764639 1168862337 /nfs/dbraw/zinc/86/23/37/1168862337.db2.gz MUQZPLKJFIMUQY-OCCSQVGLSA-N 0 2 315.329 0.780 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001601767349 1168865325 /nfs/dbraw/zinc/86/53/25/1168865325.db2.gz DGMVLFHYNNCMJN-RQJHMYQMSA-N 0 2 309.244 0.442 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001601767349 1168865329 /nfs/dbraw/zinc/86/53/29/1168865329.db2.gz DGMVLFHYNNCMJN-RQJHMYQMSA-N 0 2 309.244 0.442 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)CN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC001601768928 1168867593 /nfs/dbraw/zinc/86/75/93/1168867593.db2.gz KZDLRADCQAIUJA-GHMZBOCLSA-N 0 2 324.406 0.302 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)CN(c2cc(N3CCSCC3)nc[nH+]2)C1 ZINC001601768928 1168867609 /nfs/dbraw/zinc/86/76/09/1168867609.db2.gz KZDLRADCQAIUJA-GHMZBOCLSA-N 0 2 324.406 0.302 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1ccon1)C2 ZINC001601776227 1168875670 /nfs/dbraw/zinc/87/56/70/1168875670.db2.gz WKBCDJGYLPRNNG-QWHCGFSZSA-N 0 2 319.361 0.820 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1ccon1)C2 ZINC001601776227 1168875681 /nfs/dbraw/zinc/87/56/81/1168875681.db2.gz WKBCDJGYLPRNNG-QWHCGFSZSA-N 0 2 319.361 0.820 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001601780277 1168886684 /nfs/dbraw/zinc/88/66/84/1168886684.db2.gz KQARCWGGGJMJBG-NAKRPEOUSA-N 0 2 320.349 0.405 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001601910658 1168930851 /nfs/dbraw/zinc/93/08/51/1168930851.db2.gz QPWHTJFZIGIVEO-UONOGXRCSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001601910658 1168930857 /nfs/dbraw/zinc/93/08/57/1168930857.db2.gz QPWHTJFZIGIVEO-UONOGXRCSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@@H+]1[C@@H]1CCC2(C[C@H]1F)OCCO2 ZINC001601965473 1168950698 /nfs/dbraw/zinc/95/06/98/1168950698.db2.gz KMCPWGZSKMNYAN-IJLUTSLNSA-N 0 2 303.330 0.796 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@H+]1[C@@H]1CCC2(C[C@H]1F)OCCO2 ZINC001601965473 1168950703 /nfs/dbraw/zinc/95/07/03/1168950703.db2.gz KMCPWGZSKMNYAN-IJLUTSLNSA-N 0 2 303.330 0.796 20 0 DCADLN O=C([O-])CCN1CCC[C@H]([N@H+](CCO)Cc2cccnc2)C1=O ZINC001602011583 1168962311 /nfs/dbraw/zinc/96/23/11/1168962311.db2.gz BAGIMYXSODHPHI-AWEZNQCLSA-N 0 2 321.377 0.342 20 0 DCADLN O=C([O-])CCN1CCC[C@H]([N@@H+](CCO)Cc2cccnc2)C1=O ZINC001602011583 1168962320 /nfs/dbraw/zinc/96/23/20/1168962320.db2.gz BAGIMYXSODHPHI-AWEZNQCLSA-N 0 2 321.377 0.342 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@H+]2CCC[C@@H](O)CC2)n1 ZINC001602150236 1169003279 /nfs/dbraw/zinc/00/32/79/1169003279.db2.gz AKZIKZBHZIBTRY-SNVBAGLBSA-N 0 2 313.379 0.556 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@@H+]2CCC[C@@H](O)CC2)n1 ZINC001602150236 1169003289 /nfs/dbraw/zinc/00/32/89/1169003289.db2.gz AKZIKZBHZIBTRY-SNVBAGLBSA-N 0 2 313.379 0.556 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)OCCc2cn3c([nH+]2)CCCC3)O1 ZINC001602178552 1169018107 /nfs/dbraw/zinc/01/81/07/1169018107.db2.gz WCOIAQCENUVNEO-NWDGAFQWSA-N 0 2 308.334 0.937 20 0 DCADLN O=C([O-])c1nc(Cl)ccc1S(=O)(=O)NCC[NH+]1CCC1 ZINC001602568320 1169120221 /nfs/dbraw/zinc/12/02/21/1169120221.db2.gz HMGQGXKGFQLPGX-UHFFFAOYSA-N 0 2 319.770 0.417 20 0 DCADLN O=Cc1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccc1F ZINC001602664191 1169154489 /nfs/dbraw/zinc/15/44/89/1169154489.db2.gz HHDPRGCDIFHDIA-GFCCVEGCSA-N 0 2 305.265 0.787 20 0 DCADLN O=Cc1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccc1F ZINC001602664191 1169154492 /nfs/dbraw/zinc/15/44/92/1169154492.db2.gz HHDPRGCDIFHDIA-GFCCVEGCSA-N 0 2 305.265 0.787 20 0 DCADLN CC(C)(C)OC(=O)C[N@H+](CCO[C@@H]1CCOC1)CCC(=O)[O-] ZINC001603373750 1169301337 /nfs/dbraw/zinc/30/13/37/1169301337.db2.gz KYMFXRFXHYCBSJ-GFCCVEGCSA-N 0 2 317.382 0.910 20 0 DCADLN CC(C)(C)OC(=O)C[N@@H+](CCO[C@@H]1CCOC1)CCC(=O)[O-] ZINC001603373750 1169301344 /nfs/dbraw/zinc/30/13/44/1169301344.db2.gz KYMFXRFXHYCBSJ-GFCCVEGCSA-N 0 2 317.382 0.910 20 0 DCADLN C[C@]1(O)CCC[N@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CC1 ZINC001603820634 1169404743 /nfs/dbraw/zinc/40/47/43/1169404743.db2.gz UEDYLVZGWANWOB-AWEZNQCLSA-N 0 2 304.354 0.223 20 0 DCADLN C[C@]1(O)CCC[N@@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CC1 ZINC001603820634 1169404744 /nfs/dbraw/zinc/40/47/44/1169404744.db2.gz UEDYLVZGWANWOB-AWEZNQCLSA-N 0 2 304.354 0.223 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001604167833 1169511377 /nfs/dbraw/zinc/51/13/77/1169511377.db2.gz VBEDELFOTKMNAQ-HUBLWGQQSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@](Cn1cccn1)([NH2+]Cc1cccc(C(=O)[O-])n1)C(N)=O ZINC001604245098 1169532667 /nfs/dbraw/zinc/53/26/67/1169532667.db2.gz UDNLWMZJBKJNQP-AWEZNQCLSA-N 0 2 303.322 0.010 20 0 DCADLN CC1(C)[C@@H]2CC[C@@]1(C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C(=O)C2 ZINC001604560926 1169616920 /nfs/dbraw/zinc/61/69/20/1169616920.db2.gz ORRBOJIBSOQGSP-RDGWCUKFSA-N 0 2 319.361 0.917 20 0 DCADLN CC1(C)[C@@H]2CC[C@@]1(C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C(=O)C2 ZINC001604560926 1169616923 /nfs/dbraw/zinc/61/69/23/1169616923.db2.gz ORRBOJIBSOQGSP-RDGWCUKFSA-N 0 2 319.361 0.917 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=S)NCCCC(=O)[O-] ZINC001604795993 1169689409 /nfs/dbraw/zinc/68/94/09/1169689409.db2.gz JDUKNALBXGTLKE-MNOVXSKESA-N 0 2 303.428 0.425 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=S)NCCCC(=O)[O-] ZINC001604795993 1169689414 /nfs/dbraw/zinc/68/94/14/1169689414.db2.gz JDUKNALBXGTLKE-MNOVXSKESA-N 0 2 303.428 0.425 20 0 DCADLN CO[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CC[C@@H]1C ZINC001605036158 1169788537 /nfs/dbraw/zinc/78/85/37/1169788537.db2.gz FTJVSMXNOUNLFE-CMPLNLGQSA-N 0 2 304.354 0.343 20 0 DCADLN COC[C@@H]1CCC[N@H+](Cn2cccc(-c3nnn[n-]3)c2=O)C1 ZINC001605071563 1169807587 /nfs/dbraw/zinc/80/75/87/1169807587.db2.gz GSFMVPRQISCQBA-LLVKDONJSA-N 0 2 304.354 0.344 20 0 DCADLN COC[C@@H]1CCC[N@@H+](Cn2cccc(-c3nnn[n-]3)c2=O)C1 ZINC001605071563 1169807591 /nfs/dbraw/zinc/80/75/91/1169807591.db2.gz GSFMVPRQISCQBA-LLVKDONJSA-N 0 2 304.354 0.344 20 0 DCADLN CCN(CC(F)(F)F)C(=O)C[NH+]1CCC(O)(C(=O)[O-])CC1 ZINC001605418800 1169915530 /nfs/dbraw/zinc/91/55/30/1169915530.db2.gz AILIVABKLAUDGV-UHFFFAOYSA-N 0 2 312.288 0.309 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)c1cccnc1 ZINC001605504418 1169941482 /nfs/dbraw/zinc/94/14/82/1169941482.db2.gz ALFBEAMZOFAZEV-UHFFFAOYSA-N 0 2 311.305 0.947 20 0 DCADLN CCOC(=O)[C@H]([NH2+]C[C@@H]1[C@H](C(=O)[O-])C1(F)F)[C@H]1CCCOC1 ZINC001605515530 1169944878 /nfs/dbraw/zinc/94/48/78/1169944878.db2.gz GOJINORFBQQAMC-LNFKQOIKSA-N 0 2 321.320 0.900 20 0 DCADLN CCc1noc([C@@H](C)[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001605874185 1170019207 /nfs/dbraw/zinc/01/92/07/1170019207.db2.gz QVUWTZLUYOXLRM-BDAKNGLRSA-N 0 2 306.326 0.930 20 0 DCADLN CCc1noc([C@@H](C)[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001605874185 1170019213 /nfs/dbraw/zinc/01/92/13/1170019213.db2.gz QVUWTZLUYOXLRM-BDAKNGLRSA-N 0 2 306.326 0.930 20 0 DCADLN CCc1noc(CC)c1CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001605877009 1170020026 /nfs/dbraw/zinc/02/00/26/1170020026.db2.gz WZYYDZXZYQVERG-LBPRGKRZSA-N 0 2 320.349 0.808 20 0 DCADLN CCc1oc(C(=O)[O-])cc1Cn1cc(C[N@H+](C)CCO)nn1 ZINC001605883772 1170021569 /nfs/dbraw/zinc/02/15/69/1170021569.db2.gz OIFLJNSHQGMRDK-UHFFFAOYSA-N 0 2 308.338 0.604 20 0 DCADLN CCc1oc(C(=O)[O-])cc1Cn1cc(C[N@@H+](C)CCO)nn1 ZINC001605883772 1170021576 /nfs/dbraw/zinc/02/15/76/1170021576.db2.gz OIFLJNSHQGMRDK-UHFFFAOYSA-N 0 2 308.338 0.604 20 0 DCADLN CN(C(=O)[C@@H]1CCn2cc[nH+]c2C1)[C@@H](COC1CCC1)C(=O)[O-] ZINC001605956747 1170036028 /nfs/dbraw/zinc/03/60/28/1170036028.db2.gz XHCHVRSEJPIDFD-YPMHNXCESA-N 0 2 321.377 0.926 20 0 DCADLN Cc1nn(COC(=O)C2CCC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606115913 1170080504 /nfs/dbraw/zinc/08/05/04/1170080504.db2.gz IKOCLDXQNJBCDN-UHFFFAOYSA-N 0 2 304.310 0.341 20 0 DCADLN C[N@@H+](Cc1ccc2c(c1)OCCO2)CN1C[C@H](C(=O)[O-])CC1=O ZINC001606164117 1170097148 /nfs/dbraw/zinc/09/71/48/1170097148.db2.gz JDDKKDGJCGBUKQ-GFCCVEGCSA-N 0 2 320.345 0.780 20 0 DCADLN C[N@H+](Cc1ccc2c(c1)OCCO2)CN1C[C@H](C(=O)[O-])CC1=O ZINC001606164117 1170097156 /nfs/dbraw/zinc/09/71/56/1170097156.db2.gz JDDKKDGJCGBUKQ-GFCCVEGCSA-N 0 2 320.345 0.780 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1cncc(-c2nn[nH]n2)c1 ZINC001606180482 1170106097 /nfs/dbraw/zinc/10/60/97/1170106097.db2.gz JDAQZSMRUTZXNT-UHFFFAOYSA-N 0 2 307.295 0.359 20 0 DCADLN C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001606219028 1170120355 /nfs/dbraw/zinc/12/03/55/1170120355.db2.gz QBYFJCAFIWUPMI-SNVBAGLBSA-N 0 2 314.363 0.132 20 0 DCADLN C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001606219028 1170120357 /nfs/dbraw/zinc/12/03/57/1170120357.db2.gz QBYFJCAFIWUPMI-SNVBAGLBSA-N 0 2 314.363 0.132 20 0 DCADLN Cn1cc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@H+](C)C(C)(C)C1 ZINC001606245004 1170134295 /nfs/dbraw/zinc/13/42/95/1170134295.db2.gz OLXIHNDXOROITH-UHFFFAOYSA-N 0 2 315.395 0.438 20 0 DCADLN Cn1cc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@@H+](C)C(C)(C)C1 ZINC001606245004 1170134298 /nfs/dbraw/zinc/13/42/98/1170134298.db2.gz OLXIHNDXOROITH-UHFFFAOYSA-N 0 2 315.395 0.438 20 0 DCADLN CN1CCN(c2cc(NCC(C)(C)CC(=O)[O-])[nH+]cn2)CC1=O ZINC001606245389 1170136203 /nfs/dbraw/zinc/13/62/03/1170136203.db2.gz FEMFVKDPEVVEHC-UHFFFAOYSA-N 0 2 321.381 0.668 20 0 DCADLN CN1CCN(c2cc(NCC(C)(C)CC(=O)[O-])nc[nH+]2)CC1=O ZINC001606245389 1170136212 /nfs/dbraw/zinc/13/62/12/1170136212.db2.gz FEMFVKDPEVVEHC-UHFFFAOYSA-N 0 2 321.381 0.668 20 0 DCADLN Cn1cccc1C(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001606254377 1170137570 /nfs/dbraw/zinc/13/75/70/1170137570.db2.gz PLCFYYNIMWSEOM-UHFFFAOYSA-N 0 2 324.344 0.739 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001606369840 1170171530 /nfs/dbraw/zinc/17/15/30/1170171530.db2.gz HYRDJAYNTAHJFL-MWLCHTKSSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001606369840 1170171534 /nfs/dbraw/zinc/17/15/34/1170171534.db2.gz HYRDJAYNTAHJFL-MWLCHTKSSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+]([C@H](C(=O)[O-])C2(OC)CCC2)C[C@H](C)O1 ZINC001606510975 1170224158 /nfs/dbraw/zinc/22/41/58/1170224158.db2.gz UEYOPXAAVYYGCQ-GARJFASQSA-N 0 2 301.339 0.271 20 0 DCADLN COC(=O)[C@@H]1C[N@H+]([C@H](C(=O)[O-])C2(OC)CCC2)C[C@H](C)O1 ZINC001606510975 1170224159 /nfs/dbraw/zinc/22/41/59/1170224159.db2.gz UEYOPXAAVYYGCQ-GARJFASQSA-N 0 2 301.339 0.271 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CC[C@@H]2OCCC[C@H]2C1 ZINC001606538420 1170230687 /nfs/dbraw/zinc/23/06/87/1170230687.db2.gz TXPORCVIZJNHMD-GWCFXTLKSA-N 0 2 323.349 0.826 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CC[C@@H]2OCCC[C@H]2C1 ZINC001606538420 1170230689 /nfs/dbraw/zinc/23/06/89/1170230689.db2.gz TXPORCVIZJNHMD-GWCFXTLKSA-N 0 2 323.349 0.826 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1csc(-c2nn[nH]n2)c1 ZINC001606802788 1170295256 /nfs/dbraw/zinc/29/52/56/1170295256.db2.gz UMNVGVMGTDTGJT-UHFFFAOYSA-N 0 2 323.363 0.572 20 0 DCADLN O=C(Nc1nc(-c2cccc(-c3nn[nH]n3)c2)n[nH]1)[C@@H]1C[C@H]1F ZINC001606950545 1170336529 /nfs/dbraw/zinc/33/65/29/1170336529.db2.gz HTUWOSMMHNJNQP-RKDXNWHRSA-N 0 2 314.284 0.948 20 0 DCADLN CO[C@@H]1C[N@@H+]([C@@H](C)C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@H]1O ZINC001606999165 1170350203 /nfs/dbraw/zinc/35/02/03/1170350203.db2.gz JQNQZDBTPNWEQR-HBNTYKKESA-N 0 2 310.350 0.592 20 0 DCADLN CO[C@@H]1C[N@H+]([C@@H](C)C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@H]1O ZINC001606999165 1170350208 /nfs/dbraw/zinc/35/02/08/1170350208.db2.gz JQNQZDBTPNWEQR-HBNTYKKESA-N 0 2 310.350 0.592 20 0 DCADLN N=C1NC(=O)C(CC(=O)NOCCOc2ccccc2)S1 ZINC001607205651 1170413866 /nfs/dbraw/zinc/41/38/66/1170413866.db2.gz XGIBGPSIFPUYAO-SNVBAGLBSA-N 0 2 309.347 0.670 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cncc(C(=O)[O-])c2)C[C@H](C)[N@H+]1C ZINC001607478918 1170463625 /nfs/dbraw/zinc/46/36/25/1170463625.db2.gz DULJBVUELYJMBK-AOOOYVTPSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cncc(C(=O)[O-])c2)C[C@H](C)[N@@H+]1C ZINC001607478918 1170463628 /nfs/dbraw/zinc/46/36/28/1170463628.db2.gz DULJBVUELYJMBK-AOOOYVTPSA-N 0 2 313.379 0.493 20 0 DCADLN Cc1c(-c2cc(C[N@@H+]3CCC[C@H](O)[C@@H]3C(=O)[O-])on2)cnn1C ZINC001607502724 1170468687 /nfs/dbraw/zinc/46/86/87/1170468687.db2.gz UFVLPEBFQROAIC-UONOGXRCSA-N 0 2 320.349 0.793 20 0 DCADLN Cc1c(-c2cc(C[N@H+]3CCC[C@H](O)[C@@H]3C(=O)[O-])on2)cnn1C ZINC001607502724 1170468689 /nfs/dbraw/zinc/46/86/89/1170468689.db2.gz UFVLPEBFQROAIC-UONOGXRCSA-N 0 2 320.349 0.793 20 0 DCADLN Cc1oc(CN(C)C(=O)C(=O)N2CC[NH+](C)CC2)cc1C(=O)[O-] ZINC001608043706 1170557743 /nfs/dbraw/zinc/55/77/43/1170557743.db2.gz YUFPEBHTYQPLEL-UHFFFAOYSA-N 0 2 323.349 0.019 20 0 DCADLN Cn1c(CN2CC[NH+](C(C)(C)C(N)=O)CC2)ccc1C(=O)[O-] ZINC001608087345 1170567304 /nfs/dbraw/zinc/56/73/04/1170567304.db2.gz MJPDJUAWEUXVNP-UHFFFAOYSA-N 0 2 308.382 0.105 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](C(=O)[O-])c1ccnn1C ZINC001608137127 1170589727 /nfs/dbraw/zinc/58/97/27/1170589727.db2.gz UIMDFBLKIXZNKW-LNLATYFQSA-N 0 2 303.322 0.199 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1C[C@@H](C(=O)[O-])Oc2cc(F)ccc21 ZINC001608136509 1170590061 /nfs/dbraw/zinc/59/00/61/1170590061.db2.gz PHUOSOAPVIXPRA-ZDUSSCGKSA-N 0 2 319.292 0.981 20 0 DCADLN NC(=O)[C@@H]([NH2+][C@@H](Cc1cncs1)C(=O)[O-])c1ccccc1 ZINC001608227778 1170620773 /nfs/dbraw/zinc/62/07/73/1170620773.db2.gz DGNLUESOXLMMPN-RYUDHWBXSA-N 0 2 305.359 0.955 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(CO)c(F)c1 ZINC001608485811 1170688855 /nfs/dbraw/zinc/68/88/55/1170688855.db2.gz BHDREPNPRQSTGF-LBPRGKRZSA-N 0 2 307.281 0.467 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(CO)c(F)c1 ZINC001608485811 1170688858 /nfs/dbraw/zinc/68/88/58/1170688858.db2.gz BHDREPNPRQSTGF-LBPRGKRZSA-N 0 2 307.281 0.467 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccnc(C2CC2)n1 ZINC001608487131 1170689128 /nfs/dbraw/zinc/68/91/28/1170689128.db2.gz PGKKVSUIKPBPAJ-LLVKDONJSA-N 0 2 301.306 0.503 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccnc(C2CC2)n1 ZINC001608487131 1170689130 /nfs/dbraw/zinc/68/91/30/1170689130.db2.gz PGKKVSUIKPBPAJ-LLVKDONJSA-N 0 2 301.306 0.503 20 0 DCADLN O=C([O-])[C@H](C[C@@H]1CCCOC1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001608532292 1170697337 /nfs/dbraw/zinc/69/73/37/1170697337.db2.gz RABYUQGQDWBALX-QCNOEVLYSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@H](C[C@@H]1CCCOC1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001608532292 1170697340 /nfs/dbraw/zinc/69/73/40/1170697340.db2.gz RABYUQGQDWBALX-QCNOEVLYSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])CC(=O)NC1CC[NH+](CC(=O)Nc2ccccc2)CC1 ZINC001608664197 1170733284 /nfs/dbraw/zinc/73/32/84/1170733284.db2.gz IXUCEZYRYBLLLL-UHFFFAOYSA-N 0 2 319.361 0.680 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc2oc(=O)oc2c1 ZINC001608750637 1170748560 /nfs/dbraw/zinc/74/85/60/1170748560.db2.gz IJDBEOZMQMBPTI-JTQLQIEISA-N 0 2 320.301 0.551 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1Cc1ccc2oc(=O)oc2c1 ZINC001608750637 1170748563 /nfs/dbraw/zinc/74/85/63/1170748563.db2.gz IJDBEOZMQMBPTI-JTQLQIEISA-N 0 2 320.301 0.551 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1Cc1ccc2oc(=O)oc2c1 ZINC001608750638 1170749193 /nfs/dbraw/zinc/74/91/93/1170749193.db2.gz IJDBEOZMQMBPTI-SNVBAGLBSA-N 0 2 320.301 0.551 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1Cc1ccc2oc(=O)oc2c1 ZINC001608750638 1170749194 /nfs/dbraw/zinc/74/91/94/1170749194.db2.gz IJDBEOZMQMBPTI-SNVBAGLBSA-N 0 2 320.301 0.551 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1Cc1ccc(Cl)cc1-n1cncn1 ZINC001608810495 1170759004 /nfs/dbraw/zinc/75/90/04/1170759004.db2.gz CCBRWSPZANROHP-YPMHNXCESA-N 0 2 322.752 0.941 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1Cc1ccc(Cl)cc1-n1cncn1 ZINC001608810495 1170759006 /nfs/dbraw/zinc/75/90/06/1170759006.db2.gz CCBRWSPZANROHP-YPMHNXCESA-N 0 2 322.752 0.941 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)NCC[NH+]3Cc4ccccc4C3)C[C@@H]21 ZINC001608816561 1170760214 /nfs/dbraw/zinc/76/02/14/1170760214.db2.gz QYBVSYNMPPEKEF-FICVDOATSA-N 0 2 315.373 0.974 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@H+](Cc3nccn3C3CC3)C2)nn1 ZINC001608961847 1170776948 /nfs/dbraw/zinc/77/69/48/1170776948.db2.gz BLDYNKKLSOJGSP-LLVKDONJSA-N 0 2 302.338 0.955 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@@H+](Cc3nccn3C3CC3)C2)nn1 ZINC001608961847 1170776951 /nfs/dbraw/zinc/77/69/51/1170776951.db2.gz BLDYNKKLSOJGSP-LLVKDONJSA-N 0 2 302.338 0.955 20 0 DCADLN O=C([O-])c1cccc2c1OCC[N@@H+]([C@H]1CCC(=O)NC1=O)C2 ZINC001609035602 1170789115 /nfs/dbraw/zinc/78/91/15/1170789115.db2.gz JVTCQVRLGHILNJ-NSHDSACASA-N 0 2 304.302 0.384 20 0 DCADLN O=C([O-])c1cccc2c1OCC[N@H+]([C@H]1CCC(=O)NC1=O)C2 ZINC001609035602 1170789119 /nfs/dbraw/zinc/78/91/19/1170789119.db2.gz JVTCQVRLGHILNJ-NSHDSACASA-N 0 2 304.302 0.384 20 0 DCADLN C[C@H]1SCCN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@H]1C ZINC001609180706 1170852398 /nfs/dbraw/zinc/85/23/98/1170852398.db2.gz QISCOWYROPHJBO-VHSXEESVSA-N 0 2 306.395 0.812 20 0 DCADLN COCC1(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)CCC1 ZINC001609319227 1170932496 /nfs/dbraw/zinc/93/24/96/1170932496.db2.gz LCTXNTDABAYQSG-UHFFFAOYSA-N 0 2 304.354 0.857 20 0 DCADLN Cc1ncsc1Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001609457625 1170984954 /nfs/dbraw/zinc/98/49/54/1170984954.db2.gz JEUOAGWSAKFZTL-UHFFFAOYSA-N 0 2 303.351 0.853 20 0 DCADLN Cn1cc(Cn2cncc(-c3nn[nH]n3)c2=O)c(C(F)F)n1 ZINC001609479336 1170991793 /nfs/dbraw/zinc/99/17/93/1170991793.db2.gz HVYBAHATADKHPB-UHFFFAOYSA-N 0 2 308.252 0.143 20 0 DCADLN NC(=O)C[C@@H]1CCCCN1Cc1nc(-c2nn[nH]n2)cs1 ZINC001609502082 1171003560 /nfs/dbraw/zinc/00/35/60/1171003560.db2.gz BTMLXLXXNHAVAP-QMMMGPOBSA-N 0 2 307.383 0.553 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1csc(C2CC2)n1 ZINC001609604845 1171038670 /nfs/dbraw/zinc/03/86/70/1171038670.db2.gz SBTRVLUMRYOKFV-UHFFFAOYSA-N 0 2 301.335 0.806 20 0 DCADLN C[C@@H](Oc1ccc(C[NH+]2CCN(C(=O)CO)CC2)cc1)C(=O)[O-] ZINC001609803924 1171089660 /nfs/dbraw/zinc/08/96/60/1171089660.db2.gz YVJGUQYDZRDYIP-GFCCVEGCSA-N 0 2 322.361 0.175 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CC[N@@H+]1Cn1nc(-c2cnn(C)c2)ccc1=O ZINC001609833656 1171099025 /nfs/dbraw/zinc/09/90/25/1171099025.db2.gz LQXIDLJAVFMRCG-JQWIXIFHSA-N 0 2 317.349 0.396 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CC[N@H+]1Cn1nc(-c2cnn(C)c2)ccc1=O ZINC001609833656 1171099035 /nfs/dbraw/zinc/09/90/35/1171099035.db2.gz LQXIDLJAVFMRCG-JQWIXIFHSA-N 0 2 317.349 0.396 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@H]2[N@@H+]1Cc1nnnn1C1CC1 ZINC001609853257 1171103988 /nfs/dbraw/zinc/10/39/88/1171103988.db2.gz RSFLASDSLCVKIP-YJQGPUDQSA-N 0 2 307.354 0.603 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@H]2[N@H+]1Cc1nnnn1C1CC1 ZINC001609853257 1171103995 /nfs/dbraw/zinc/10/39/95/1171103995.db2.gz RSFLASDSLCVKIP-YJQGPUDQSA-N 0 2 307.354 0.603 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC001610003091 1171135476 /nfs/dbraw/zinc/13/54/76/1171135476.db2.gz SWOVYSDVENIKTL-KBPBESRZSA-N 0 2 324.352 0.694 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC001610003091 1171135481 /nfs/dbraw/zinc/13/54/81/1171135481.db2.gz SWOVYSDVENIKTL-KBPBESRZSA-N 0 2 324.352 0.694 20 0 DCADLN CCc1nnsc1C(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC001610074486 1171149351 /nfs/dbraw/zinc/14/93/51/1171149351.db2.gz HVGAAKMYYVXXMA-SECBINFHSA-N 0 2 312.395 0.722 20 0 DCADLN CCc1nnsc1C(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001610074486 1171149354 /nfs/dbraw/zinc/14/93/54/1171149354.db2.gz HVGAAKMYYVXXMA-SECBINFHSA-N 0 2 312.395 0.722 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001610121644 1171164011 /nfs/dbraw/zinc/16/40/11/1171164011.db2.gz BXUMWEYMNNLYFB-CHWSQXEVSA-N 0 2 310.325 0.304 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001610121644 1171164016 /nfs/dbraw/zinc/16/40/16/1171164016.db2.gz BXUMWEYMNNLYFB-CHWSQXEVSA-N 0 2 310.325 0.304 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cncc(C(=O)[O-])c1 ZINC001610190558 1171192449 /nfs/dbraw/zinc/19/24/49/1171192449.db2.gz MVEGQSCSDJKETP-NSHDSACASA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cncc(C(=O)[O-])c1 ZINC001610190558 1171192453 /nfs/dbraw/zinc/19/24/53/1171192453.db2.gz MVEGQSCSDJKETP-NSHDSACASA-N 0 2 318.289 0.017 20 0 DCADLN COCCn1nnc2c1CC[N@H+](Cc1ccc(C(=O)[O-])[nH]1)C2 ZINC001610268976 1171214249 /nfs/dbraw/zinc/21/42/49/1171214249.db2.gz XJRHABUYILMJQC-UHFFFAOYSA-N 0 2 305.338 0.509 20 0 DCADLN COCCn1nnc2c1CC[N@@H+](Cc1ccc(C(=O)[O-])[nH]1)C2 ZINC001610268976 1171214252 /nfs/dbraw/zinc/21/42/52/1171214252.db2.gz XJRHABUYILMJQC-UHFFFAOYSA-N 0 2 305.338 0.509 20 0 DCADLN COCc1noc([C@@H](C)[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610274505 1171214875 /nfs/dbraw/zinc/21/48/75/1171214875.db2.gz HJHIQEHHFLYJHJ-RKDXNWHRSA-N 0 2 322.325 0.514 20 0 DCADLN COCc1noc([C@@H](C)[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610274505 1171214883 /nfs/dbraw/zinc/21/48/83/1171214883.db2.gz HJHIQEHHFLYJHJ-RKDXNWHRSA-N 0 2 322.325 0.514 20 0 DCADLN C[C@@H]1CN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C[C@H]1C(=O)[O-] ZINC001610392522 1171229300 /nfs/dbraw/zinc/22/93/00/1171229300.db2.gz CZGJKTKIYCQRQA-MWLCHTKSSA-N 0 2 316.317 0.452 20 0 DCADLN Cc1cc(=O)[nH]c([C@H](C)[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610475545 1171240711 /nfs/dbraw/zinc/24/07/11/1171240711.db2.gz BBJLZDBZYFYBNQ-VHSXEESVSA-N 0 2 318.337 0.788 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NC2(C(=O)[O-])CC2)c(N(C)C)[nH+]1 ZINC001610505323 1171245796 /nfs/dbraw/zinc/24/57/96/1171245796.db2.gz WQAUPMZOHWZPCJ-UHFFFAOYSA-N 0 2 306.322 0.128 20 0 DCADLN Cc1nc(N(C)C)sc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610557331 1171252835 /nfs/dbraw/zinc/25/28/35/1171252835.db2.gz XHFJHCGIVDGZIO-VIFPVBQESA-N 0 2 323.378 0.666 20 0 DCADLN Cc1nc(N(C)C)sc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610557331 1171252838 /nfs/dbraw/zinc/25/28/38/1171252838.db2.gz XHFJHCGIVDGZIO-VIFPVBQESA-N 0 2 323.378 0.666 20 0 DCADLN Cn1cc(-c2cc(C[N@@H+]3CCC[C@H](O)[C@H]3C(=O)[O-])on2)cn1 ZINC001610599401 1171263026 /nfs/dbraw/zinc/26/30/26/1171263026.db2.gz FFAVQBIYTIMBJR-STQMWFEESA-N 0 2 306.322 0.485 20 0 DCADLN Cn1cc(-c2cc(C[N@H+]3CCC[C@H](O)[C@H]3C(=O)[O-])on2)cn1 ZINC001610599401 1171263032 /nfs/dbraw/zinc/26/30/32/1171263032.db2.gz FFAVQBIYTIMBJR-STQMWFEESA-N 0 2 306.322 0.485 20 0 DCADLN Nc1nsc(N2CC[NH+](Cc3ccc(C(=O)[O-])cn3)CC2)n1 ZINC001610651368 1171282149 /nfs/dbraw/zinc/28/21/49/1171282149.db2.gz BKDWYWSFOQAHMH-UHFFFAOYSA-N 0 2 320.378 0.536 20 0 DCADLN O=C(C[N@H+]1CCSC[C@H]1CO)NC1(C(=O)[O-])CCCCC1 ZINC001610663785 1171285778 /nfs/dbraw/zinc/28/57/78/1171285778.db2.gz HNHSQVAVJXOSDI-LLVKDONJSA-N 0 2 316.423 0.300 20 0 DCADLN O=C(C[N@@H+]1CCSC[C@H]1CO)NC1(C(=O)[O-])CCCCC1 ZINC001610663785 1171285783 /nfs/dbraw/zinc/28/57/83/1171285783.db2.gz HNHSQVAVJXOSDI-LLVKDONJSA-N 0 2 316.423 0.300 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2c(c1)OCCO2 ZINC001610681024 1171290372 /nfs/dbraw/zinc/29/03/72/1171290372.db2.gz CXPUWEWXJVUNMA-LLVKDONJSA-N 0 2 317.301 0.538 20 0 DCADLN O=C([O-])CNC(=O)c1ccc(NC(=O)CCc2c[nH]c[nH+]2)cc1 ZINC001610784256 1171324363 /nfs/dbraw/zinc/32/43/63/1171324363.db2.gz YQTYSVZCXRGBCX-UHFFFAOYSA-N 0 2 316.317 0.795 20 0 DCADLN O=C([O-])CNC(=O)c1ccc(NC(=O)CCc2c[nH+]c[nH]2)cc1 ZINC001610784256 1171324366 /nfs/dbraw/zinc/32/43/66/1171324366.db2.gz YQTYSVZCXRGBCX-UHFFFAOYSA-N 0 2 316.317 0.795 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCCN1c1cc(NCC2CC2)[nH+]cn1 ZINC001610784080 1171324496 /nfs/dbraw/zinc/32/44/96/1171324496.db2.gz UEBFDUBMTAUBBI-NSHDSACASA-N 0 2 319.365 0.468 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCCN1c1cc(NCC2CC2)nc[nH+]1 ZINC001610784080 1171324505 /nfs/dbraw/zinc/32/45/05/1171324505.db2.gz UEBFDUBMTAUBBI-NSHDSACASA-N 0 2 319.365 0.468 20 0 DCADLN O=C([O-])c1cn(C[N@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)nn1 ZINC001610860153 1171343133 /nfs/dbraw/zinc/34/31/33/1171343133.db2.gz MURBGKBGXXKGOY-AWEZNQCLSA-N 0 2 306.297 0.666 20 0 DCADLN O=C([O-])c1cn(C[N@@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)nn1 ZINC001610860153 1171343139 /nfs/dbraw/zinc/34/31/39/1171343139.db2.gz MURBGKBGXXKGOY-AWEZNQCLSA-N 0 2 306.297 0.666 20 0 DCADLN Cn1c(C(=O)Nc2c[nH]nc2O)cc2c1nc1ccccn1c2=O ZINC001611380492 1171355099 /nfs/dbraw/zinc/35/50/99/1171355099.db2.gz VKYQNRVYTDHQKL-UHFFFAOYSA-N 0 2 324.300 0.867 20 0 DCADLN O=C(NCCNS(=O)(=O)c1cccs1)c1[nH]ncc1F ZINC001623456066 1171439094 /nfs/dbraw/zinc/43/90/94/1171439094.db2.gz RAVNPBXNPGFUBO-UHFFFAOYSA-N 0 2 318.355 0.319 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1c(F)c(F)cc(F)c1F ZINC001629997974 1171563996 /nfs/dbraw/zinc/56/39/96/1171563996.db2.gz OKGBPOSUNXJAPG-UHFFFAOYSA-N 0 2 304.203 0.783 20 0 DCADLN CN(C(=O)CSCC(=O)NOCC(N)=O)C1CCCCC1 ZINC001631793524 1171600535 /nfs/dbraw/zinc/60/05/35/1171600535.db2.gz WYPBZHVYPWKDIX-UHFFFAOYSA-N 0 2 317.411 0.044 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)c1 ZINC001632776628 1171625594 /nfs/dbraw/zinc/62/55/94/1171625594.db2.gz WKECXZCSCZQHHI-NSHDSACASA-N 0 2 317.305 0.240 20 0 DCADLN O=C(Cn1cc[nH+]c1)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[n-]1 ZINC001635456741 1171703983 /nfs/dbraw/zinc/70/39/83/1171703983.db2.gz QZCYOUJYYSTHCO-LBPRGKRZSA-N 0 2 312.333 0.807 20 0 DCADLN O=c1[nH]nc(CNc2ccc3nnc(Br)n3n2)[nH]1 ZINC001642386464 1171943160 /nfs/dbraw/zinc/94/31/60/1171943160.db2.gz VAKHFXWOOSZLGW-UHFFFAOYSA-N 0 2 311.103 0.323 20 0 DCADLN Cc1nnc(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)s1 ZINC001647293837 1172790635 /nfs/dbraw/zinc/79/06/35/1172790635.db2.gz TWNIUQRWIMNTTP-MRVPVSSYSA-N 0 2 308.367 0.619 20 0 DCADLN O=C(NCc1nc2c(c(=O)[nH]1)COCC2)C(F)C(F)(F)F ZINC001647351554 1172832855 /nfs/dbraw/zinc/83/28/55/1172832855.db2.gz KCTLHXSNFFMKBJ-MRVPVSSYSA-N 0 2 309.219 0.772 20 0 DCADLN O=C(NCc1nc2c(c(=O)[nH]1)COCC2)[C@@H](F)C(F)(F)F ZINC001647351554 1172832861 /nfs/dbraw/zinc/83/28/61/1172832861.db2.gz KCTLHXSNFFMKBJ-MRVPVSSYSA-N 0 2 309.219 0.772 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001647422603 1172879841 /nfs/dbraw/zinc/87/98/41/1172879841.db2.gz OUSFKLRPQZCQME-SDDRHHMPSA-N 0 2 307.350 0.584 20 0 DCADLN NC(=O)c1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001649794711 1173228969 /nfs/dbraw/zinc/22/89/69/1173228969.db2.gz YHBWKZNFACEGCC-SSDOTTSWSA-N 0 2 305.294 0.222 20 0 DCADLN CNC(=O)Nc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC001650837084 1173733701 /nfs/dbraw/zinc/73/37/01/1173733701.db2.gz SLURTWMYLDNJNT-UHFFFAOYSA-N 0 2 318.337 0.624 20 0 DCADLN O=C(NCCCn1cc[nH+]c1)N[C@@H]1CCC[N@H+](CCCO)C1 ZINC001675419157 1175354273 /nfs/dbraw/zinc/35/42/73/1175354273.db2.gz CCHAMGOAGQBTJN-CQSZACIVSA-N 0 2 309.414 0.419 20 0 DCADLN CCOCC1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CC1 ZINC001720130551 1178700988 /nfs/dbraw/zinc/70/09/88/1178700988.db2.gz VBKLWCXVVDYLDV-QMMMGPOBSA-N 0 2 314.279 0.936 20 0 DCADLN CCOCC1(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001720130551 1178700994 /nfs/dbraw/zinc/70/09/94/1178700994.db2.gz VBKLWCXVVDYLDV-QMMMGPOBSA-N 0 2 314.279 0.936 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCNC(=O)[C@@H]1CCO[C@H](C)C1 ZINC001703278681 1179438739 /nfs/dbraw/zinc/43/87/39/1179438739.db2.gz YVYOUMULUNXUQL-ZYHUDNBSSA-N 0 2 308.382 0.308 20 0 DCADLN Cc1nc(C[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])co1 ZINC001707373649 1180463677 /nfs/dbraw/zinc/46/36/77/1180463677.db2.gz MNOPYRAMZSRDBF-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN Cc1nc(C[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])co1 ZINC001707373649 1180463681 /nfs/dbraw/zinc/46/36/81/1180463681.db2.gz MNOPYRAMZSRDBF-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN CC1(CC(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001715280023 1181752817 /nfs/dbraw/zinc/75/28/17/1181752817.db2.gz ARRHOUNJMCTKLE-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NCCC[C@H]1CCCN1C(=O)c1ccn[nH]1)c1cn[nH]n1 ZINC001721048417 1183806507 /nfs/dbraw/zinc/80/65/07/1183806507.db2.gz YBOAQUGUJKTQID-JTQLQIEISA-N 0 2 317.353 0.343 20 0 DCADLN O=C(NCCC[C@@H]1CCCN1C(=O)c1ccn[nH]1)c1cn[nH]n1 ZINC001721048418 1183806759 /nfs/dbraw/zinc/80/67/59/1183806759.db2.gz YBOAQUGUJKTQID-SNVBAGLBSA-N 0 2 317.353 0.343 20 0 DCADLN Cn1nncc1C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001721847094 1184076198 /nfs/dbraw/zinc/07/61/98/1184076198.db2.gz XKYICYDWWCEJRE-SGJFDWMWSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1nncc1C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001721847094 1184076204 /nfs/dbraw/zinc/07/62/04/1184076204.db2.gz XKYICYDWWCEJRE-SGJFDWMWSA-N 0 2 323.250 0.118 20 0 DCADLN CCOCCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001725813700 1185012732 /nfs/dbraw/zinc/01/27/32/1185012732.db2.gz WRJFQNWAZLRTIO-UHFFFAOYSA-N 0 2 309.370 0.185 20 0 DCADLN CCOCCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001725813700 1185012740 /nfs/dbraw/zinc/01/27/40/1185012740.db2.gz WRJFQNWAZLRTIO-UHFFFAOYSA-N 0 2 309.370 0.185 20 0 DCADLN CC/C(C)=C/C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001732099989 1185670653 /nfs/dbraw/zinc/67/06/53/1185670653.db2.gz NTLYNLKXAANHLF-IZZDOVSWSA-N 0 2 315.377 0.679 20 0 DCADLN COC[C@@H](OC)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001736947692 1187190807 /nfs/dbraw/zinc/19/08/07/1187190807.db2.gz CXNBAGUXWNMFJM-SFYZADRCSA-N 0 2 318.267 0.171 20 0 DCADLN COC[C@@H](OC)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001736947692 1187190809 /nfs/dbraw/zinc/19/08/09/1187190809.db2.gz CXNBAGUXWNMFJM-SFYZADRCSA-N 0 2 318.267 0.171 20 0 DCADLN CCc1c[nH]c(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001742496904 1187994975 /nfs/dbraw/zinc/99/49/75/1187994975.db2.gz HGOLOSLNPQTUCT-JTQLQIEISA-N 0 2 324.278 0.647 20 0 DCADLN CCc1c[nH]c(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001742496904 1187994982 /nfs/dbraw/zinc/99/49/82/1187994982.db2.gz HGOLOSLNPQTUCT-JTQLQIEISA-N 0 2 324.278 0.647 20 0 DCADLN CC[N@@H+]1CCO[C@@H](C(=O)N(C)CC[NH2+]Cc2cnc(C)o2)C1 ZINC001753272493 1188555099 /nfs/dbraw/zinc/55/50/99/1188555099.db2.gz ONUIIUOSKHPFCG-CQSZACIVSA-N 0 2 310.398 0.252 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+][C@H](C)c2ncccn2)c1[O-] ZINC001754113144 1188744327 /nfs/dbraw/zinc/74/43/27/1188744327.db2.gz USNGBIZSHVEHJC-PGLGOXFNSA-N 0 2 316.365 0.851 20 0 DCADLN C[C@@H](CC(N)=O)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC001771692050 1190470602 /nfs/dbraw/zinc/47/06/02/1190470602.db2.gz FQLWYCIUSNTFIZ-ZETCQYMHSA-N 0 2 308.363 0.867 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CCc2ccc(C)cc2)[nH]1 ZINC001771771911 1190495677 /nfs/dbraw/zinc/49/56/77/1190495677.db2.gz GJUFUPWZDRLSBE-UHFFFAOYSA-N 0 2 324.406 0.922 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)[C@H](C)C[NH2+]1 ZINC001772226360 1190635721 /nfs/dbraw/zinc/63/57/21/1190635721.db2.gz MIJKOMBHGQHRNI-TUVASFSCSA-N 0 2 311.426 0.075 20 0 DCADLN Cc1noc(C)c1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042465011 751668689 /nfs/dbraw/zinc/66/86/89/751668689.db2.gz SROOBSUIRLIPGZ-UHFFFAOYSA-N 0 2 306.326 0.072 20 0 DCADLN CN(C(=O)[C@@H]1CCCC12CC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043076351 752065272 /nfs/dbraw/zinc/06/52/72/752065272.db2.gz OISBQBMYMRDIQY-NSHDSACASA-N 0 2 305.382 0.733 20 0 DCADLN CN(C[C@H](O)CN(C)c1ncccn1)C(=O)C(F)C(F)(F)F ZINC001125305379 746963156 /nfs/dbraw/zinc/96/31/56/746963156.db2.gz OQFUMQZIIHKTAY-DTWKUNHWSA-N 0 2 324.278 0.633 20 0 DCADLN CN(C[C@H](O)CN(C)c1ncccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001125305379 746963160 /nfs/dbraw/zinc/96/31/60/746963160.db2.gz OQFUMQZIIHKTAY-DTWKUNHWSA-N 0 2 324.278 0.633 20 0 DCADLN COCc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001043278462 752157745 /nfs/dbraw/zinc/15/77/45/752157745.db2.gz PIXJZRLQULEULD-UHFFFAOYSA-N 0 2 321.337 0.206 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)[C@@H]1C[C@H](c2ccccc2)OC1=O ZINC001167491683 747302786 /nfs/dbraw/zinc/30/27/86/747302786.db2.gz DUFFGXXOVURZJS-WDEREUQCSA-N 0 2 316.317 0.218 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccc[nH]2)C1)C(F)C(F)(F)F ZINC001043378549 752203619 /nfs/dbraw/zinc/20/36/19/752203619.db2.gz ZEZADZFBDXCEEJ-MRVPVSSYSA-N 0 2 323.246 0.218 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccc[nH]2)C1)[C@@H](F)C(F)(F)F ZINC001043378549 752203621 /nfs/dbraw/zinc/20/36/21/752203621.db2.gz ZEZADZFBDXCEEJ-MRVPVSSYSA-N 0 2 323.246 0.218 20 0 DCADLN CC(C)(C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043378425 752203647 /nfs/dbraw/zinc/20/36/47/752203647.db2.gz XOBUIJSKGMTJKM-ZETCQYMHSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)(C)C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043378425 752203650 /nfs/dbraw/zinc/20/36/50/752203650.db2.gz XOBUIJSKGMTJKM-ZETCQYMHSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C(=O)C[C@@H]1C[C@H]1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043397455 752217159 /nfs/dbraw/zinc/21/71/59/752217159.db2.gz JDMJQFZHRLQZJM-JQWIXIFHSA-N 0 2 305.382 0.589 20 0 DCADLN COc1ccnc(N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001100366667 748455786 /nfs/dbraw/zinc/45/57/86/748455786.db2.gz DQHFITFJFMESBF-QMMMGPOBSA-N 0 2 310.251 0.938 20 0 DCADLN COc1ccnc(N(C)CCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001100366667 748455794 /nfs/dbraw/zinc/45/57/94/748455794.db2.gz DQHFITFJFMESBF-QMMMGPOBSA-N 0 2 310.251 0.938 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccon2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087512348 748908166 /nfs/dbraw/zinc/90/81/66/748908166.db2.gz MLXWREGQUODOJH-WCBMZHEXSA-N 0 2 306.326 0.281 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccon2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087512348 748908170 /nfs/dbraw/zinc/90/81/70/748908170.db2.gz MLXWREGQUODOJH-WCBMZHEXSA-N 0 2 306.326 0.281 20 0 DCADLN COc1ccnc(N[C@H]2C[C@H](NC(=O)Cc3[nH]c[nH+]c3C)C2)n1 ZINC001059272334 748911044 /nfs/dbraw/zinc/91/10/44/748911044.db2.gz UZZSOVCXXQJPNX-XYPYZODXSA-N 0 2 316.365 0.819 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cocn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087521178 748918614 /nfs/dbraw/zinc/91/86/14/748918614.db2.gz PFQKSZXWMAISGE-WCBMZHEXSA-N 0 2 306.326 0.281 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cocn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087521178 748918617 /nfs/dbraw/zinc/91/86/17/748918617.db2.gz PFQKSZXWMAISGE-WCBMZHEXSA-N 0 2 306.326 0.281 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cccnn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087770363 749044581 /nfs/dbraw/zinc/04/45/81/749044581.db2.gz ADNZPKVDPCUDQY-GXSJLCMTSA-N 0 2 317.353 0.083 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cccnn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087770363 749044585 /nfs/dbraw/zinc/04/45/85/749044585.db2.gz ADNZPKVDPCUDQY-GXSJLCMTSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccsc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088453793 749460319 /nfs/dbraw/zinc/46/03/19/749460319.db2.gz GUDMFYSHJGYFQN-KOLCDFICSA-N 0 2 321.406 0.894 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccsc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088453793 749460327 /nfs/dbraw/zinc/46/03/27/749460327.db2.gz GUDMFYSHJGYFQN-KOLCDFICSA-N 0 2 321.406 0.894 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)s1 ZINC001088490128 749498458 /nfs/dbraw/zinc/49/84/58/749498458.db2.gz JMBFEJPMVNUSGB-APPZFPTMSA-N 0 2 322.394 0.668 20 0 DCADLN C[C@@H](CNC(=O)c1[nH]ncc1F)Nc1cc(Cl)c(=O)[nH]n1 ZINC001098237907 749521891 /nfs/dbraw/zinc/52/18/91/749521891.db2.gz JJELNTLYHNWHNU-YFKPBYRVSA-N 0 2 314.708 0.928 20 0 DCADLN Cc1cccnc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088514705 749539748 /nfs/dbraw/zinc/53/97/48/749539748.db2.gz GVZITEWNBFLYNZ-MNOVXSKESA-N 0 2 316.365 0.607 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)/C=C(\C)C1CC1 ZINC001211954508 749689980 /nfs/dbraw/zinc/68/99/80/749689980.db2.gz MXVURKRYEYALQS-YRCSQKNFSA-N 0 2 321.381 0.182 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)[nH]1 ZINC001088668015 749838446 /nfs/dbraw/zinc/83/84/46/749838446.db2.gz XXKACYJMLXYSFT-MNOVXSKESA-N 0 2 318.381 0.848 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)[nH]1 ZINC001088668015 749838452 /nfs/dbraw/zinc/83/84/52/749838452.db2.gz XXKACYJMLXYSFT-MNOVXSKESA-N 0 2 318.381 0.848 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CC23CCC3)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088887363 750714797 /nfs/dbraw/zinc/71/47/97/750714797.db2.gz CUNAWOCMHUFSSL-VWYCJHECSA-N 0 2 305.382 0.780 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CC23CCC3)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088887363 750714801 /nfs/dbraw/zinc/71/48/01/750714801.db2.gz CUNAWOCMHUFSSL-VWYCJHECSA-N 0 2 305.382 0.780 20 0 DCADLN CC1(C)C[C@H]1C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107877095 752467387 /nfs/dbraw/zinc/46/73/87/752467387.db2.gz PNFBOHUZNPTECR-ZUZCIYMTSA-N 0 2 323.397 0.264 20 0 DCADLN CCCn1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001043990223 752508122 /nfs/dbraw/zinc/50/81/22/752508122.db2.gz XFSHTIWSEBSGSS-UHFFFAOYSA-N 0 2 319.369 0.073 20 0 DCADLN O=C(CCCF)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001044859878 752906890 /nfs/dbraw/zinc/90/68/90/752906890.db2.gz CBPKRQOXOITTFV-MRVPVSSYSA-N 0 2 318.242 0.326 20 0 DCADLN O=C(CCCF)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001044859878 752906896 /nfs/dbraw/zinc/90/68/96/752906896.db2.gz CBPKRQOXOITTFV-MRVPVSSYSA-N 0 2 318.242 0.326 20 0 DCADLN Cc1cc(C(=O)NC2(C)CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)no1 ZINC001045354435 753156464 /nfs/dbraw/zinc/15/64/64/753156464.db2.gz UUNFLXQHFAMIMJ-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN CC1(NC(=O)c2ccon2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045376718 753168240 /nfs/dbraw/zinc/16/82/40/753168240.db2.gz OQNRJGDOAGRUKA-UHFFFAOYSA-N 0 2 306.326 0.283 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001071886449 762202444 /nfs/dbraw/zinc/20/24/44/762202444.db2.gz DLDZQVSVQSFQJP-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN Cc1ocnc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046178686 753532550 /nfs/dbraw/zinc/53/25/50/753532550.db2.gz SXYCFESLUDNFAH-ZDUSSCGKSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@]1(NC(=O)c2ccncc2F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046206732 753557029 /nfs/dbraw/zinc/55/70/29/753557029.db2.gz WOIXSMMFDHSLLW-AWEZNQCLSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001046426540 753709862 /nfs/dbraw/zinc/70/98/62/753709862.db2.gz WBFQLBDFVBSZJT-HNNXBMFYSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001046426540 753709864 /nfs/dbraw/zinc/70/98/64/753709864.db2.gz WBFQLBDFVBSZJT-HNNXBMFYSA-N 0 2 318.381 0.850 20 0 DCADLN C[C@]1(NC(=O)c2ncccc2F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046731973 753913838 /nfs/dbraw/zinc/91/38/38/753913838.db2.gz OGHCEFBATNAITC-AWEZNQCLSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(CCCC(F)(F)F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113377731 762271187 /nfs/dbraw/zinc/27/11/87/762271187.db2.gz KQUJCGMYCMLWQH-UHFFFAOYSA-N 0 2 321.303 0.887 20 0 DCADLN COCC(=O)NCC1(NC(=O)C(F)C(F)(F)F)CCC1 ZINC001062398908 754721019 /nfs/dbraw/zinc/72/10/19/754721019.db2.gz NWWHDXSJFWEGDB-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN COCC(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CCC1 ZINC001062398908 754721023 /nfs/dbraw/zinc/72/10/23/754721023.db2.gz NWWHDXSJFWEGDB-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN CCC(=O)N1CCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001064697156 755451965 /nfs/dbraw/zinc/45/19/65/755451965.db2.gz UHEWVLNFPIUCEL-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)N1CCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001064697156 755451967 /nfs/dbraw/zinc/45/19/67/755451967.db2.gz UHEWVLNFPIUCEL-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CC(C)C(=O)N1CCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001064869907 755549466 /nfs/dbraw/zinc/54/94/66/755549466.db2.gz FMHIJELSECOGSD-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)N1CCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001064869907 755549470 /nfs/dbraw/zinc/54/94/70/755549470.db2.gz FMHIJELSECOGSD-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001097684450 755704732 /nfs/dbraw/zinc/70/47/32/755704732.db2.gz BDTHVCFWMQEWPW-YERPJTIDSA-N 0 2 317.393 0.778 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001079901878 756032748 /nfs/dbraw/zinc/03/27/48/756032748.db2.gz YYPUJMZMLZLVPU-MRVPVSSYSA-N 0 2 324.234 0.643 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001079901878 756032755 /nfs/dbraw/zinc/03/27/55/756032755.db2.gz YYPUJMZMLZLVPU-MRVPVSSYSA-N 0 2 324.234 0.643 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)O1 ZINC001080477236 756225240 /nfs/dbraw/zinc/22/52/40/756225240.db2.gz ITHPDKVYQLSLHS-GWOFURMSSA-N 0 2 309.370 0.014 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)O1 ZINC001080477236 756225246 /nfs/dbraw/zinc/22/52/46/756225246.db2.gz ITHPDKVYQLSLHS-GWOFURMSSA-N 0 2 309.370 0.014 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1CCCC1 ZINC001081261192 756512190 /nfs/dbraw/zinc/51/21/90/756512190.db2.gz XXYXEVRCSJQAGW-GHMZBOCLSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@H](C)C1CCC1 ZINC001081843424 756839562 /nfs/dbraw/zinc/83/95/62/756839562.db2.gz XBUCEMMBKOYUAC-MVWJERBFSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(C1=CCCC1)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001083048885 757452279 /nfs/dbraw/zinc/45/22/79/757452279.db2.gz QGCKOXFFBJAIGL-NWDGAFQWSA-N 0 2 319.365 0.032 20 0 DCADLN O=C(C[C@@H]1CCCO1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084207206 757726847 /nfs/dbraw/zinc/72/68/47/757726847.db2.gz FVIWTVDUMUGUDP-GRYCIOLGSA-N 0 2 321.381 0.112 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084287464 757758610 /nfs/dbraw/zinc/75/86/10/757758610.db2.gz QQLCAJVORSVGKS-GMTAPVOTSA-N 0 2 305.382 0.589 20 0 DCADLN Cc1ncoc1C(=O)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072585924 762589443 /nfs/dbraw/zinc/58/94/43/762589443.db2.gz FFGFXWIPMUINQR-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN Cc1ncoc1C(=O)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072585924 762589447 /nfs/dbraw/zinc/58/94/47/762589447.db2.gz FFGFXWIPMUINQR-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC=CCC1 ZINC001050811196 758103263 /nfs/dbraw/zinc/10/32/63/758103263.db2.gz ACEKNZLWRULPOY-NWDGAFQWSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC12CCC2 ZINC001051143100 758464070 /nfs/dbraw/zinc/46/40/70/758464070.db2.gz WPCRGKXXXZHPCR-QWRGUYRKSA-N 0 2 321.381 0.018 20 0 DCADLN CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001051206694 758511200 /nfs/dbraw/zinc/51/12/00/758511200.db2.gz MCDLQFSKODDSIK-JTQLQIEISA-N 0 2 320.349 0.769 20 0 DCADLN CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001051206694 758511205 /nfs/dbraw/zinc/51/12/05/758511205.db2.gz MCDLQFSKODDSIK-JTQLQIEISA-N 0 2 320.349 0.769 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccsc1 ZINC001085476003 758926381 /nfs/dbraw/zinc/92/63/81/758926381.db2.gz PMRKGHMWHGBLKG-JTQLQIEISA-N 0 2 307.379 0.918 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]1 ZINC001085823441 759356811 /nfs/dbraw/zinc/35/68/11/759356811.db2.gz JEQAAEQWIJWIRH-SNVBAGLBSA-N 0 2 319.369 0.197 20 0 DCADLN Cc1nc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001085892027 759448075 /nfs/dbraw/zinc/44/80/75/759448075.db2.gz OHLNNHOAHQJNEN-SNVBAGLBSA-N 0 2 320.353 0.462 20 0 DCADLN Cc1nccc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122762389 767871434 /nfs/dbraw/zinc/87/14/34/767871434.db2.gz YNMICHDPFZICCJ-VXNVDRBHSA-N 0 2 310.251 0.574 20 0 DCADLN C[C@H](O)CN1CCO[C@](C)(CNC(=O)COc2cccnc2)C1 ZINC001108282680 760274031 /nfs/dbraw/zinc/27/40/31/760274031.db2.gz YIDDFDCKHVETPV-XJKSGUPXSA-N 0 2 323.393 0.048 20 0 DCADLN CS(=O)(=O)C1CCC(n2[nH]c3ccnc(=O)c-3c2N)CC1 ZINC001169873471 760913305 /nfs/dbraw/zinc/91/33/05/760913305.db2.gz NMCDDSAJTVUHFX-UHFFFAOYSA-N 0 2 310.379 0.787 20 0 DCADLN CCC(=O)N1C[C@@H](C)O[C@@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071116870 761630999 /nfs/dbraw/zinc/63/09/99/761630999.db2.gz QRGAZTFNYINGQC-QMTHXVAHSA-N 0 2 309.370 0.112 20 0 DCADLN CCC(=O)N1C[C@@H](C)O[C@@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071116870 761631004 /nfs/dbraw/zinc/63/10/04/761631004.db2.gz QRGAZTFNYINGQC-QMTHXVAHSA-N 0 2 309.370 0.112 20 0 DCADLN CC(C)[NH+]1CC(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)C1 ZINC001148927698 768061565 /nfs/dbraw/zinc/06/15/65/768061565.db2.gz RDJGVIIUZRSULN-UHFFFAOYSA-N 0 2 314.363 0.461 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001071366955 761798286 /nfs/dbraw/zinc/79/82/86/761798286.db2.gz WFJKGZLVYTZRGV-UWVGGRQHSA-N 0 2 319.369 0.325 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cnns2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071366258 761798454 /nfs/dbraw/zinc/79/84/54/761798454.db2.gz LLZNOWHSHWDBTF-HTQZYQBOSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)Cc2ccon2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071393812 761818620 /nfs/dbraw/zinc/81/86/20/761818620.db2.gz KQPXNVTVBSLZCM-MWLCHTKSSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)Cc2ccon2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071393812 761818630 /nfs/dbraw/zinc/81/86/30/761818630.db2.gz KQPXNVTVBSLZCM-MWLCHTKSSA-N 0 2 320.353 0.210 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071410395 761826981 /nfs/dbraw/zinc/82/69/81/761826981.db2.gz AYKDLIOCMIRQAY-PSASIEDQSA-N 0 2 320.353 0.590 20 0 DCADLN NC(=O)c1nc(Cl)cnc1NCCNC(=O)C(F)(F)F ZINC001156203130 770747117 /nfs/dbraw/zinc/74/71/17/770747117.db2.gz JHBXGAANLWIZQJ-UHFFFAOYSA-N 0 2 311.651 0.319 20 0 DCADLN COc1ccnc(C[NH2+]CCNC(=O)CCc2cnn[nH]2)c1 ZINC001134014706 765302610 /nfs/dbraw/zinc/30/26/10/765302610.db2.gz ZCAKNJDKHAJCEF-UHFFFAOYSA-N 0 2 304.354 0.047 20 0 DCADLN CN(C[C@H](O)CNc1ncccn1)C(=O)C(F)C(F)(F)F ZINC001124809609 768308792 /nfs/dbraw/zinc/30/87/92/768308792.db2.gz YBNKNERMOGGARJ-HTQZYQBOSA-N 0 2 310.251 0.608 20 0 DCADLN CN(C[C@H](O)CNc1ncccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001124809609 768308798 /nfs/dbraw/zinc/30/87/98/768308798.db2.gz YBNKNERMOGGARJ-HTQZYQBOSA-N 0 2 310.251 0.608 20 0 DCADLN O=C([O-])[C@@H](C(=O)N1CC[NH+](CCc2cnccn2)CC1)C1CC1 ZINC001119539066 766719144 /nfs/dbraw/zinc/71/91/44/766719144.db2.gz MNBJTIBXBYNFRF-CQSZACIVSA-N 0 2 318.377 0.274 20 0 DCADLN Cc1cc2ncc(C(=O)NCCc3n[nH]c(=S)o3)c(C)n2n1 ZINC001138525644 768423199 /nfs/dbraw/zinc/42/31/99/768423199.db2.gz SPRGIDPSVUKPMZ-UHFFFAOYSA-N 0 2 318.362 0.990 20 0 DCADLN COCCCCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231148551 769180759 /nfs/dbraw/zinc/18/07/59/769180759.db2.gz GCLFYJHXQYXJBR-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC[C@@H]1CCOC1 ZINC001233580216 769399410 /nfs/dbraw/zinc/39/94/10/769399410.db2.gz JRMNOKIRHKOQRO-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc2[nH]c(=S)[nH]c2c1 ZINC001152287034 769522741 /nfs/dbraw/zinc/52/27/41/769522741.db2.gz UIJXFQKNUXRQKK-SECBINFHSA-N 0 2 308.344 0.891 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)o1 ZINC001095144669 769615497 /nfs/dbraw/zinc/61/54/97/769615497.db2.gz AMZNLCDJZWOZEA-VWYCJHECSA-N 0 2 317.349 0.947 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(=O)c2cccc(O)c2[nH]1 ZINC001152681197 769622562 /nfs/dbraw/zinc/62/25/62/769622562.db2.gz GVQOLWAZBDNLJO-UHFFFAOYSA-N 0 2 301.262 0.400 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cscn1 ZINC001095235519 769689890 /nfs/dbraw/zinc/68/98/90/769689890.db2.gz XGTNWBNNFBQSLW-WEDXCCLWSA-N 0 2 320.378 0.502 20 0 DCADLN O=C(N=c1nc2[nH][nH]cc-2c(Cl)n1)c1n[nH]c2cccnc21 ZINC001153143511 769733774 /nfs/dbraw/zinc/73/37/74/769733774.db2.gz GQZDHSPYTPXHIM-UHFFFAOYSA-N 0 2 314.696 0.903 20 0 DCADLN CC[C@@H](C(N)=O)[NH+]1CC[C@@H]1CN(C)C(=O)C[N@H+](C)C1CCC1 ZINC001235392233 769827887 /nfs/dbraw/zinc/82/78/87/769827887.db2.gz IMQMFTOMTPCKFT-KGLIPLIRSA-N 0 2 310.442 0.267 20 0 DCADLN C[NH+]1CCC[C@H]2CN(C(=O)c3cc4n(n3)CCC[N@H+](C)C4)C[C@@H]21 ZINC001175077450 769863563 /nfs/dbraw/zinc/86/35/63/769863563.db2.gz OLMQBAXDCWMDFI-BBRMVZONSA-N 0 2 317.437 0.885 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(C2CC2)CC1 ZINC001235684783 769930797 /nfs/dbraw/zinc/93/07/97/769930797.db2.gz KLPSXAIAYSYRQG-NSHDSACASA-N 0 2 305.382 0.733 20 0 DCADLN COc1ccc(CNC(=O)c2c[nH]c3cccnc3c2=O)nn1 ZINC001153862500 769971376 /nfs/dbraw/zinc/97/13/76/769971376.db2.gz WZPFRXYETMBAKH-UHFFFAOYSA-N 0 2 311.301 0.652 20 0 DCADLN CCc1noc(CN(CC)[C@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001154137704 770088158 /nfs/dbraw/zinc/08/81/58/770088158.db2.gz QPWCRCCQBIWSGA-SECBINFHSA-N 0 2 307.358 0.391 20 0 DCADLN CCN(Cc1nnc(C2CC2)[nH]1)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001154137701 770088250 /nfs/dbraw/zinc/08/82/50/770088250.db2.gz QLEJRTUDNGSIMU-SECBINFHSA-N 0 2 318.385 0.441 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(-c2ccnc(N3CC[NH2+]CC3)c2)cc1 ZINC001242397400 770283336 /nfs/dbraw/zinc/28/33/36/770283336.db2.gz DPFZKNKBAOYRNS-UHFFFAOYSA-N 0 2 319.301 0.961 20 0 DCADLN O=[P@]([O-])(O)c1ccc(-c2ccnc(N3CC[NH2+]CC3)c2)cc1 ZINC001242397400 770283342 /nfs/dbraw/zinc/28/33/42/770283342.db2.gz DPFZKNKBAOYRNS-UHFFFAOYSA-N 0 2 319.301 0.961 20 0 DCADLN COC(=O)c1nc2ccc(-c3cnc(C(=O)OC)nc3)[nH]c-2n1 ZINC001243011890 770298207 /nfs/dbraw/zinc/29/82/07/770298207.db2.gz YQGABTQRDQRAPI-UHFFFAOYSA-N 0 2 313.273 0.988 20 0 DCADLN O=C(Cc1c(F)c(F)nc(F)c1F)NCc1n[nH]c(=O)[nH]1 ZINC001177481674 770468816 /nfs/dbraw/zinc/46/88/16/770468816.db2.gz DOJSKDAWYNZPHK-UHFFFAOYSA-N 0 2 305.191 0.321 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnc2cccc(O)c2c1 ZINC001155543660 770539990 /nfs/dbraw/zinc/53/99/90/770539990.db2.gz LXZRKWVGPNCHGC-GFCCVEGCSA-N 0 2 303.299 0.979 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H]1CCCN(c2ccncn2)C1 ZINC001178133310 770660020 /nfs/dbraw/zinc/66/00/20/770660020.db2.gz LIEFOXHXDQLRHP-UFBFGSQYSA-N 0 2 321.362 0.118 20 0 DCADLN CCNC(=O)C[N@H+](CC)[C@H](C)CNC(=O)Cn1cc[nH+]c1C ZINC001156942573 770971468 /nfs/dbraw/zinc/97/14/68/770971468.db2.gz ZRVWUKOQTGBDEH-GFCCVEGCSA-N 0 2 309.414 0.154 20 0 DCADLN C[C@@H](Cc1ccc2c(c1)OCO2)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001182411624 771473710 /nfs/dbraw/zinc/47/37/10/771473710.db2.gz MIEQMRWJAGLJAZ-QMMMGPOBSA-N 0 2 304.306 0.734 20 0 DCADLN NC(=O)c1ncc(NS(=O)(=O)c2ccc3n[nH]cc3c2)cn1 ZINC001185954521 771975336 /nfs/dbraw/zinc/97/53/36/771975336.db2.gz HBYQRJQEYUNZQN-UHFFFAOYSA-N 0 2 318.318 0.253 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H](O)CCCc1ccccc1 ZINC001187056357 772127229 /nfs/dbraw/zinc/12/72/29/772127229.db2.gz JLRKXJQDVOPNNQ-GWCFXTLKSA-N 0 2 308.359 0.796 20 0 DCADLN CO[C@@H](C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187623047 772205398 /nfs/dbraw/zinc/20/53/98/772205398.db2.gz WGUHFNCEMJZTQM-SDDRHHMPSA-N 0 2 323.397 0.358 20 0 DCADLN CO[C@@H](C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187623047 772205403 /nfs/dbraw/zinc/20/54/03/772205403.db2.gz WGUHFNCEMJZTQM-SDDRHHMPSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12)c1nc[nH]n1 ZINC001188696239 772346240 /nfs/dbraw/zinc/34/62/40/772346240.db2.gz VRCLDHZTLYCJDU-UHFFFAOYSA-N 0 2 324.260 0.344 20 0 DCADLN O=S(=O)(Nc1cnc(OC2COC2)nc1)c1ccncc1 ZINC001190855304 772682890 /nfs/dbraw/zinc/68/28/90/772682890.db2.gz BHCOBYADJXMABQ-UHFFFAOYSA-N 0 2 308.319 0.450 20 0 DCADLN CCNC(=O)C[NH+](C)[C@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190946926 772696863 /nfs/dbraw/zinc/69/68/63/772696863.db2.gz PQQQGCKVHBTBJX-UONOGXRCSA-N 0 2 310.442 0.140 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)N2C[C@@H]3C[C@@H]3C2)c(O)cc1Cl ZINC001191018313 772708796 /nfs/dbraw/zinc/70/87/96/772708796.db2.gz UADQZOOTALBLCM-KNVOCYPGSA-N 0 2 316.766 0.785 20 0 DCADLN COC(=O)[C@H](CCc1cn(C)c[nH+]1)NC(=O)c1n[nH]c(C)c1C ZINC001191130604 772730367 /nfs/dbraw/zinc/73/03/67/772730367.db2.gz RHYPMGYJPKNRLN-LBPRGKRZSA-N 0 2 319.365 0.664 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc(Br)no1 ZINC001191338111 772750095 /nfs/dbraw/zinc/75/00/95/772750095.db2.gz UZACJVDDONIROD-LURJTMIESA-N 0 2 306.097 0.476 20 0 DCADLN O=C(c1c(F)ccc(F)c1O)N1CCN2C(=O)COC[C@H]2C1 ZINC001192703351 772948757 /nfs/dbraw/zinc/94/87/57/772948757.db2.gz SWYOKCBHITWERF-MRVPVSSYSA-N 0 2 312.272 0.354 20 0 DCADLN O=C(c1c(F)ccc(F)c1O)N1CCC2(CNC(=O)N2)CC1 ZINC001192705678 772951896 /nfs/dbraw/zinc/95/18/96/772951896.db2.gz CZDXMRAEBAQBPD-UHFFFAOYSA-N 0 2 311.288 0.958 20 0 DCADLN O=C(c1ccc(O)c(F)c1F)N1CC(=O)N(C2CC2)C(=O)C1 ZINC001192833603 772966138 /nfs/dbraw/zinc/96/61/38/772966138.db2.gz YKNOBNPPGBDVCP-UHFFFAOYSA-N 0 2 310.256 0.644 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc(Br)n[nH]1 ZINC001193297171 773041947 /nfs/dbraw/zinc/04/19/47/773041947.db2.gz PBTGVAMFBOFJGY-ZCFIWIBFSA-N 0 2 305.113 0.211 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2nc3n[nH]cc3c(Cl)n2)[nH]n1 ZINC001194284530 773175796 /nfs/dbraw/zinc/17/57/96/773175796.db2.gz ZWTWEJHPXKDTFJ-UHFFFAOYSA-N 0 2 321.684 0.768 20 0 DCADLN COC[C@H](C)Oc1cc(NC(=O)c2cc(C(=O)OC)n[nH]2)[nH]n1 ZINC001194284117 773176389 /nfs/dbraw/zinc/17/63/89/773176389.db2.gz RHDOFPXWUBPXSB-ZETCQYMHSA-N 0 2 323.309 0.585 20 0 DCADLN COC[C@H](C)Oc1cc(NC(=O)c2cc(C(=O)OC)[nH]n2)[nH]n1 ZINC001194284117 773176392 /nfs/dbraw/zinc/17/63/92/773176392.db2.gz RHDOFPXWUBPXSB-ZETCQYMHSA-N 0 2 323.309 0.585 20 0 DCADLN O=C(OCCF)N1CC[NH+](CCCCS(=O)(=O)[O-])CC1 ZINC001194957634 773292152 /nfs/dbraw/zinc/29/21/52/773292152.db2.gz DUYKCFMTXVXAHQ-UHFFFAOYSA-N 0 2 312.363 0.378 20 0 DCADLN O=C(CCn1cc[nH+]c1)N[C@@H]1C[N@H+](CC2=CCCCC2)C[C@H]1O ZINC001195138660 773333879 /nfs/dbraw/zinc/33/38/79/773333879.db2.gz CFZHGYGORZTETI-HZPDHXFCSA-N 0 2 318.421 0.935 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC001195968400 773508219 /nfs/dbraw/zinc/50/82/19/773508219.db2.gz ZOICKNISFCZICV-UHFFFAOYSA-N 0 2 321.376 0.395 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cccc(C(=O)NC)c1 ZINC001195967128 773508477 /nfs/dbraw/zinc/50/84/77/773508477.db2.gz APMRLIOEPLRRLS-UHFFFAOYSA-N 0 2 300.336 0.351 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cn(C)cn2)ccc1O ZINC001197200928 773713393 /nfs/dbraw/zinc/71/33/93/773713393.db2.gz QNHZHWAJMMORPB-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@](C)(c2ccccc2)[NH+](C)C)C1 ZINC001197689135 773783437 /nfs/dbraw/zinc/78/34/37/773783437.db2.gz FDCDIGKUTZBLBD-INMHGKMJSA-N 0 2 305.422 0.645 20 0 DCADLN Nc1cncc(S(=O)(=O)Nc2cnc3nccnc3c2)c1 ZINC001213217991 773818380 /nfs/dbraw/zinc/81/83/80/773818380.db2.gz UDDIYYJYBMCBNA-UHFFFAOYSA-N 0 2 302.319 0.803 20 0 DCADLN Cc1nn(C2CCOCC2)c(C)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001198445961 773929804 /nfs/dbraw/zinc/92/98/04/773929804.db2.gz CHRBLHGVQXDLRF-UHFFFAOYSA-N 0 2 320.353 0.605 20 0 DCADLN C[NH+](C)[C@@H](C(=O)N[C@@H]1C[N@H+](CCCF)C[C@H]1O)c1ccccc1 ZINC001199119517 774043031 /nfs/dbraw/zinc/04/30/31/774043031.db2.gz HWPFCQSMLKFKET-BZUAXINKSA-N 0 2 323.412 0.810 20 0 DCADLN CCC[C@@H](C)C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217572597 774185256 /nfs/dbraw/zinc/18/52/56/774185256.db2.gz BCYJSNSJTNUEDJ-GRYCIOLGSA-N 0 2 323.397 0.358 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1n[nH]c2c1COCC2)C(C)C ZINC000886479473 775006008 /nfs/dbraw/zinc/00/60/08/775006008.db2.gz RNGQGECOKTVRKI-LLVKDONJSA-N 0 2 303.384 0.895 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)co1 ZINC001095355215 775011346 /nfs/dbraw/zinc/01/13/46/775011346.db2.gz FSKHTNNKWHNDCI-WOPDTQHZSA-N 0 2 317.349 0.947 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@H]3CC[N@@H+](CCOC(C)C)C[C@H]32)c1[O-] ZINC001221482054 775119602 /nfs/dbraw/zinc/11/96/02/775119602.db2.gz VMYVGXUCDHBPIN-CHWSQXEVSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@H]3CC[N@H+](CCOC(C)C)C[C@H]32)c1[O-] ZINC001221482054 775119611 /nfs/dbraw/zinc/11/96/11/775119611.db2.gz VMYVGXUCDHBPIN-CHWSQXEVSA-N 0 2 322.409 0.995 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCc1ccccn1 ZINC001222147458 775285088 /nfs/dbraw/zinc/28/50/88/775285088.db2.gz LJQCBILKVOHULX-CYBMUJFWSA-N 0 2 316.379 0.187 20 0 DCADLN COCCCCO[C@@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001224319655 775558699 /nfs/dbraw/zinc/55/86/99/775558699.db2.gz JRWPPMRNGAOFRI-LBPRGKRZSA-N 0 2 311.400 0.018 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCCn1cccc1 ZINC001224891139 775616568 /nfs/dbraw/zinc/61/65/68/775616568.db2.gz UVGWPFWMLGVICQ-CYBMUJFWSA-N 0 2 318.395 0.093 20 0 DCADLN O=C(C=Cc1ccco1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001225854104 775729629 /nfs/dbraw/zinc/72/96/29/775729629.db2.gz IMWIMVTXAIMUEA-ONEGZZNKSA-N 0 2 317.349 0.897 20 0 DCADLN CC(C)OCCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226176680 775776474 /nfs/dbraw/zinc/77/64/74/775776474.db2.gz GWFDNQKPABKXEV-UHFFFAOYSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@H]1OC(=O)C(Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)=C1C ZINC001226888396 775891068 /nfs/dbraw/zinc/89/10/68/775891068.db2.gz PXHMGPCLZHGRKX-RXMQYKEDSA-N 0 2 320.261 0.525 20 0 DCADLN CC[N@@H+]1CCC[C@@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226887122 775891704 /nfs/dbraw/zinc/89/17/04/775891704.db2.gz ZTGPAEKSQFZFJL-SSDOTTSWSA-N 0 2 307.310 0.400 20 0 DCADLN CC[N@H+]1CCC[C@@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226887122 775891708 /nfs/dbraw/zinc/89/17/08/775891708.db2.gz ZTGPAEKSQFZFJL-SSDOTTSWSA-N 0 2 307.310 0.400 20 0 DCADLN COC(=O)[C@@H](Oc1[nH]c(=O)nc2nccnc21)C(F)(F)F ZINC001226991047 775906877 /nfs/dbraw/zinc/90/68/77/775906877.db2.gz DUDJZKRJQGDCOM-RXMQYKEDSA-N 0 2 304.184 0.608 20 0 DCADLN COCC1(CC(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001227308390 775958295 /nfs/dbraw/zinc/95/82/95/775958295.db2.gz VBMWHZIKDRWWNB-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CCn1cccc1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001229740452 776221609 /nfs/dbraw/zinc/22/16/09/776221609.db2.gz KABMKNZSSYFLDT-UHFFFAOYSA-N 0 2 318.381 0.483 20 0 DCADLN COCC[C@H](C)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001230306828 776279903 /nfs/dbraw/zinc/27/99/03/776279903.db2.gz FQKYPLLXDOJHJH-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)c1ccc[nH]1 ZINC001041447086 777285487 /nfs/dbraw/zinc/28/54/87/777285487.db2.gz GVFYJOXMXVFZPC-MRVPVSSYSA-N 0 2 323.246 0.218 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccc[nH]1 ZINC001041447086 777285490 /nfs/dbraw/zinc/28/54/90/777285490.db2.gz GVFYJOXMXVFZPC-MRVPVSSYSA-N 0 2 323.246 0.218 20 0 DCADLN O=C(c1ccoc1)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041939935 777595436 /nfs/dbraw/zinc/59/54/36/777595436.db2.gz YDKJHDSUSCAAMP-ZYHUDNBSSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccoc1)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041939935 777595444 /nfs/dbraw/zinc/59/54/44/777595444.db2.gz YDKJHDSUSCAAMP-ZYHUDNBSSA-N 0 2 317.349 0.840 20 0 DCADLN C[C@@](NC(=O)/C=C/C[NH+]1CCOCC1)(C(=O)[O-])c1ccccc1 ZINC000902083477 939283752 /nfs/dbraw/zinc/28/37/52/939283752.db2.gz HFBWPJHKVQKWDI-JZLODUJNSA-N 0 2 318.373 0.991 20 0 DCADLN C[C@@H](C(=O)NC1CC1)[N@@H+]1CCC[C@@H]1CNC(=O)C[NH+]1CCCC1 ZINC001481071026 939548676 /nfs/dbraw/zinc/54/86/76/939548676.db2.gz LADDBVNUXIWKOW-DZGCQCFKSA-N 0 2 322.453 0.330 20 0 DCADLN Cc1cnccc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481168163 939593125 /nfs/dbraw/zinc/59/31/25/939593125.db2.gz MPQSNCONPZJZKI-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cnccc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481168163 939593126 /nfs/dbraw/zinc/59/31/26/939593126.db2.gz MPQSNCONPZJZKI-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN CCN(CCNC(=O)Cc1cc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001408727476 939612420 /nfs/dbraw/zinc/61/24/20/939612420.db2.gz VGOPAFJUILFQMQ-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CCN(CCNC(=O)Cc1cc[nH]n1)C(=O)[C@H](F)C(F)(F)F ZINC001408727476 939612423 /nfs/dbraw/zinc/61/24/23/939612423.db2.gz VGOPAFJUILFQMQ-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CCOCCC1(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001268310704 940046783 /nfs/dbraw/zinc/04/67/83/940046783.db2.gz ZESOBYFDUGRJSV-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2nncs2)c1[O-] ZINC001268530208 940218519 /nfs/dbraw/zinc/21/85/19/940218519.db2.gz YCPCLXIUYWUJLB-NSCUHMNNSA-N 0 2 308.367 0.351 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001610920408 970787329 /nfs/dbraw/zinc/78/73/29/970787329.db2.gz CAVSDAHNIJCRGQ-SNVBAGLBSA-N 0 2 308.338 0.049 20 0 DCADLN CC[C@H](CNC(=O)c1nocc1C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001409386940 941975015 /nfs/dbraw/zinc/97/50/15/941975015.db2.gz CMSQEHFHWLYEKY-LLVKDONJSA-N 0 2 319.365 0.882 20 0 DCADLN COc1ccc(-c2noc(C[NH2+][C@@H](C(=O)[O-])C(C)C)n2)nn1 ZINC001611040734 970862865 /nfs/dbraw/zinc/86/28/65/970862865.db2.gz IBLIAQMSDUUCEQ-LLVKDONJSA-N 0 2 307.310 0.734 20 0 DCADLN CO[C@@H](C)[C@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412840348 942079521 /nfs/dbraw/zinc/07/95/21/942079521.db2.gz PBLVUTAFBCHWAG-IUCAKERBSA-N 0 2 306.322 0.419 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1Cc2ccccc21 ZINC001483379049 942315332 /nfs/dbraw/zinc/31/53/32/942315332.db2.gz QLQQTZKFVRFVMR-GXFFZTMASA-N 0 2 315.377 0.787 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1Cc2ccccc21 ZINC001483379049 942315338 /nfs/dbraw/zinc/31/53/38/942315338.db2.gz QLQQTZKFVRFVMR-GXFFZTMASA-N 0 2 315.377 0.787 20 0 DCADLN C[C@@H]1C[NH+](C)[C@H](C)CN1S(=O)(=O)C[C@@]12CC[N@H+](C1)CCC2 ZINC000914317345 942838084 /nfs/dbraw/zinc/83/80/84/942838084.db2.gz VFKSIFKSKMGTDN-KFWWJZLASA-N 0 2 315.483 0.827 20 0 DCADLN COC(=O)c1oc(CNS(=O)(=O)N=S(C)(C)=O)cc1C ZINC001365725006 942898002 /nfs/dbraw/zinc/89/80/02/942898002.db2.gz PMGIOUXDWLEOJX-UHFFFAOYSA-N 0 2 324.380 0.437 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cccc3c2OC(F)(F)O3)n1 ZINC001413428053 942973555 /nfs/dbraw/zinc/97/35/55/942973555.db2.gz NSQKQVYTJRUZRN-UHFFFAOYSA-N 0 2 319.249 0.332 20 0 DCADLN O=C(NCCN(CCO)C(=O)C1CC1)C(F)C(F)(F)F ZINC001056759423 943396908 /nfs/dbraw/zinc/39/69/08/943396908.db2.gz ZUKOXTIOZPAHEZ-MRVPVSSYSA-N 0 2 300.252 0.234 20 0 DCADLN O=C(NCCN(CCO)C(=O)C1CC1)[C@@H](F)C(F)(F)F ZINC001056759423 943396914 /nfs/dbraw/zinc/39/69/14/943396914.db2.gz ZUKOXTIOZPAHEZ-MRVPVSSYSA-N 0 2 300.252 0.234 20 0 DCADLN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413672602 943563287 /nfs/dbraw/zinc/56/32/87/943563287.db2.gz PDAVVOZKYSRYOJ-RGOKHQFPSA-N 0 2 312.395 0.258 20 0 DCADLN C[C@H](CCC[NH2+]Cc1nccn1C)NC(=O)[C@@H]1C[N@@H+](C)CCO1 ZINC001485715837 944094485 /nfs/dbraw/zinc/09/44/85/944094485.db2.gz HQBQFEOZWOXJOF-KGLIPLIRSA-N 0 2 323.441 0.125 20 0 DCADLN CCC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2OC)CCC1 ZINC001213309514 944265017 /nfs/dbraw/zinc/26/50/17/944265017.db2.gz ZQRQWTGDPOHHIL-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001272850157 944278970 /nfs/dbraw/zinc/27/89/70/944278970.db2.gz DTRZRCAHRWEQLI-RUYJGKKWSA-N 0 2 309.370 0.184 20 0 DCADLN CNC(=O)C[NH2+]C[C@@H](NC(=O)CCCn1cc[nH+]c1)C(C)(C)C ZINC001486423578 944870669 /nfs/dbraw/zinc/87/06/69/944870669.db2.gz UOVMAVANYAKDDP-CYBMUJFWSA-N 0 2 323.441 0.530 20 0 DCADLN CC(=O)Nc1ccc(NS(=O)(=O)c2cncc(N)c2)cn1 ZINC001249747492 945645160 /nfs/dbraw/zinc/64/51/60/945645160.db2.gz TUTYMWOCDANMCF-UHFFFAOYSA-N 0 2 307.335 0.818 20 0 DCADLN O=C1COc2ccc(CNS(=O)(=O)CC(F)(F)F)cc2N1 ZINC001253261375 946101838 /nfs/dbraw/zinc/10/18/38/946101838.db2.gz RFCBULMFASFOED-UHFFFAOYSA-N 0 2 324.280 0.999 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)c1nncn1C ZINC001321108351 946168334 /nfs/dbraw/zinc/16/83/34/946168334.db2.gz ANJFFXFUIDFVDE-VIFPVBQESA-N 0 2 314.353 0.472 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1nccnc1N ZINC001410346265 946227778 /nfs/dbraw/zinc/22/77/78/946227778.db2.gz IRTBFMHGFSPENF-CAHLUQPWSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1nccnc1N ZINC001410346265 946227786 /nfs/dbraw/zinc/22/77/86/946227786.db2.gz IRTBFMHGFSPENF-CAHLUQPWSA-N 0 2 323.250 0.194 20 0 DCADLN Cn1cnc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001410703319 946805036 /nfs/dbraw/zinc/80/50/36/946805036.db2.gz MNHPHUOKURYZPK-ZCFIWIBFSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1cnc(C(=O)NCC2(NC(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001410703319 946805041 /nfs/dbraw/zinc/80/50/41/946805041.db2.gz MNHPHUOKURYZPK-ZCFIWIBFSA-N 0 2 323.250 0.094 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001258292276 946819863 /nfs/dbraw/zinc/81/98/63/946819863.db2.gz VRPUFMNAIMUFQS-ARJAWSKDSA-N 0 2 302.338 0.196 20 0 DCADLN COC(=O)Cc1ccc(F)c(NS(=O)(=O)CC(=O)OC)c1 ZINC001259027145 946898623 /nfs/dbraw/zinc/89/86/23/946898623.db2.gz OCDQIDRQGSEGJH-UHFFFAOYSA-N 0 2 319.310 0.456 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2c(F)cccc2F)S1 ZINC001259070752 946910648 /nfs/dbraw/zinc/91/06/48/946910648.db2.gz AHVYWBMEAZTPMX-QMMMGPOBSA-N 0 2 308.287 0.552 20 0 DCADLN COc1cccc(S(=O)(=O)Nc2c(C)cnn2CCO)c1 ZINC001259184194 946923972 /nfs/dbraw/zinc/92/39/72/946923972.db2.gz BWPRIANXMHYCIW-UHFFFAOYSA-N 0 2 311.363 0.993 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1cc(C)ccc1F)C(=O)OC ZINC001259639467 946975571 /nfs/dbraw/zinc/97/55/71/946975571.db2.gz ZBDLNKSMEKNNFH-UHFFFAOYSA-N 0 2 319.310 0.127 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)CCc2cccc(F)c2)S1 ZINC001259775230 946983698 /nfs/dbraw/zinc/98/36/98/946983698.db2.gz KGSOQRZSBUHBEA-SNVBAGLBSA-N 0 2 318.351 0.597 20 0 DCADLN COc1ccc2nc(NS(=O)(=O)c3cnn(C)c3)nnc2c1 ZINC001259820460 946987854 /nfs/dbraw/zinc/98/78/54/946987854.db2.gz WMAROCHEKSMFTC-UHFFFAOYSA-N 0 2 320.334 0.568 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3c2CNC(=O)N3)cn1 ZINC001259827829 946990886 /nfs/dbraw/zinc/99/08/86/946990886.db2.gz RZCIMKULEAHQOP-UHFFFAOYSA-N 0 2 307.335 0.856 20 0 DCADLN COc1ccc(NS(=O)(=O)c2cnn(C)c2)cc1C(N)=O ZINC001259826433 946992158 /nfs/dbraw/zinc/99/21/58/946992158.db2.gz QZEZPELYCSAYRL-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(OC3COC3)nc2)cn1 ZINC001259832796 946994925 /nfs/dbraw/zinc/99/49/25/946994925.db2.gz ZACWFRXJAHBXBL-UHFFFAOYSA-N 0 2 324.362 0.876 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccccc2S(C)(=O)=O)n1 ZINC001260514734 947106125 /nfs/dbraw/zinc/10/61/25/947106125.db2.gz FXRSCGSJZNQHQF-UHFFFAOYSA-N 0 2 316.364 0.019 20 0 DCADLN CC(=O)c1ccc(C)cc1NS(=O)(=O)CS(C)(=O)=O ZINC001260592106 947121903 /nfs/dbraw/zinc/12/19/03/947121903.db2.gz CYEQVMPFBUBJII-UHFFFAOYSA-N 0 2 305.377 0.941 20 0 DCADLN COc1cc(NS(=O)(=O)c2c(C)n[nH]c2C)cc(C(N)=O)c1 ZINC001261031290 947169425 /nfs/dbraw/zinc/16/94/25/947169425.db2.gz YUQNSLIDAIIHPU-UHFFFAOYSA-N 0 2 324.362 0.935 20 0 DCADLN CC(C)OCCCC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001324029335 947290854 /nfs/dbraw/zinc/29/08/54/947290854.db2.gz NGGMMJNPUKDOPL-UHFFFAOYSA-N 0 2 311.386 0.358 20 0 DCADLN Nc1nc2nc(CSc3nc4c([nH]3)CCC4)cc(=O)n2[nH]1 ZINC001324103452 947312131 /nfs/dbraw/zinc/31/21/31/947312131.db2.gz QPOASKUJNSYZFO-UHFFFAOYSA-N 0 2 303.351 0.504 20 0 DCADLN C[C@H](CN(C)C(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001487769043 948249769 /nfs/dbraw/zinc/24/97/69/948249769.db2.gz JDJARDLWHLSWTG-RQJHMYQMSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)c1ncc[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001487769043 948249778 /nfs/dbraw/zinc/24/97/78/948249778.db2.gz JDJARDLWHLSWTG-RQJHMYQMSA-N 0 2 310.251 0.887 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1cnn2ccncc12 ZINC001327412619 948500854 /nfs/dbraw/zinc/50/08/54/948500854.db2.gz XTICKNLAEXPDEO-UHFFFAOYSA-N 0 2 307.269 0.670 20 0 DCADLN COC[C@@](C)([NH2+]CC(=O)Nc1oc(C)c(C)c1C#N)C(=O)[O-] ZINC000328549478 971495299 /nfs/dbraw/zinc/49/52/99/971495299.db2.gz RHMVXDZBAXFUDO-CQSZACIVSA-N 0 2 309.322 0.786 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC(c2cnn(C)c2)=NO1)c1nn(C)cc1O ZINC001364397335 949188322 /nfs/dbraw/zinc/18/83/22/949188322.db2.gz JYOCNLMWCJRZBX-UFBFGSQYSA-N 0 2 318.337 0.230 20 0 DCADLN O=C([O-])c1ccnc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC001595263354 949714171 /nfs/dbraw/zinc/71/41/71/949714171.db2.gz NEPMJBGDWIGYKR-LBPRGKRZSA-N 0 2 320.349 0.718 20 0 DCADLN COCC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1cccnc1 ZINC001364669607 949731656 /nfs/dbraw/zinc/73/16/56/949731656.db2.gz PCYGWIGIGSQFQF-QWRGUYRKSA-N 0 2 322.390 0.832 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@H]1CCCN1C(=O)Cc1ccn[nH]1 ZINC001364772358 949914519 /nfs/dbraw/zinc/91/45/19/949914519.db2.gz ZZJNWMMIEOOMFP-SNVBAGLBSA-N 0 2 318.337 0.611 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](c2ncccn2)C2CC2)S1 ZINC001364918130 950188291 /nfs/dbraw/zinc/18/82/91/950188291.db2.gz LREBZVKWTHLQFB-SCZZXKLOSA-N 0 2 305.363 0.600 20 0 DCADLN O=C(NC[C@H]1CC[N@@H+]1C1CCOCC1)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001364977563 950289572 /nfs/dbraw/zinc/28/95/72/950289572.db2.gz UJZKGFWPDKLRAH-MGPQQGTHSA-N 0 2 304.394 0.883 20 0 DCADLN Cc1ncsc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364998503 950329338 /nfs/dbraw/zinc/32/93/38/950329338.db2.gz TYAWOPMDYKJNHY-UHFFFAOYSA-N 0 2 315.380 0.044 20 0 DCADLN Cc1ccc([C@@H](O)CNS(=O)(=O)N=S2(=O)CCCC2)o1 ZINC001365147505 950609654 /nfs/dbraw/zinc/60/96/54/950609654.db2.gz RUDVDZPXUGFZRK-JTQLQIEISA-N 0 2 322.408 0.718 20 0 DCADLN O=C(c1cc(F)cc(Cl)c1O)N1CC[C@H](O)[C@@H](CO)C1 ZINC001365197239 950713179 /nfs/dbraw/zinc/71/31/79/950713179.db2.gz HBGBODCSSTYEGW-HQJQHLMTSA-N 0 2 303.717 1.000 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000318686615 950931634 /nfs/dbraw/zinc/93/16/34/950931634.db2.gz BPJNYTPQFLLABD-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN COC(=O)c1ccc(OC)c2c1CCN(Cc1n[nH]c(=O)[nH]1)C2 ZINC001365331237 950972934 /nfs/dbraw/zinc/97/29/34/950972934.db2.gz XHRJWMJPUFMLEM-UHFFFAOYSA-N 0 2 318.333 0.864 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1ncnn1C ZINC001365373768 951035201 /nfs/dbraw/zinc/03/52/01/951035201.db2.gz ILKRTACKZAYHTE-BDAKNGLRSA-N 0 2 320.357 0.289 20 0 DCADLN O=C([C@H]1CCC1(F)F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365631041 951351209 /nfs/dbraw/zinc/35/12/09/951351209.db2.gz LJHXLUNVIBLJPK-MRVPVSSYSA-N 0 2 301.297 0.200 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)N[C@@H](C)C(F)(F)F ZINC001365717019 951470584 /nfs/dbraw/zinc/47/05/84/951470584.db2.gz SERNTKLOIFSDQL-YFKPBYRVSA-N 0 2 315.273 0.734 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(N2CCNC2=O)cc1 ZINC001365757909 951506609 /nfs/dbraw/zinc/50/66/09/951506609.db2.gz BFQDXVKGGBFOFH-UHFFFAOYSA-N 0 2 317.309 0.362 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@@H+]1CC[C@@H]1CN(C)C(=O)C[NH+]1CCCC1 ZINC001365805007 951561273 /nfs/dbraw/zinc/56/12/73/951561273.db2.gz NPKSVEUPWNOXSN-CABCVRRESA-N 0 2 324.469 0.659 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCCN(CC(F)(F)F)CC2)C1=O ZINC001595074399 951630552 /nfs/dbraw/zinc/63/05/52/951630552.db2.gz QVAWPHSBIPTWMC-JTQLQIEISA-N 0 2 323.315 0.242 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCCN(CC(F)(F)F)CC2)C1=O ZINC001595074399 951630557 /nfs/dbraw/zinc/63/05/57/951630557.db2.gz QVAWPHSBIPTWMC-JTQLQIEISA-N 0 2 323.315 0.242 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@H](OCC2CC2)C1 ZINC001595123937 951993631 /nfs/dbraw/zinc/99/36/31/951993631.db2.gz HFLPTSOFAMAITJ-LBPRGKRZSA-N 0 2 305.396 0.377 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@H](OCC2CC2)C1 ZINC001595123937 951993638 /nfs/dbraw/zinc/99/36/38/951993638.db2.gz HFLPTSOFAMAITJ-LBPRGKRZSA-N 0 2 305.396 0.377 20 0 DCADLN C/C(=C/C(=O)NC[C@@H](CC1CC1)C(=O)[O-])C[NH+]1CCOCC1 ZINC001333335976 952011865 /nfs/dbraw/zinc/01/18/65/952011865.db2.gz ZPCBBKUXCWMZLD-ICDLMJIXSA-N 0 2 310.394 0.882 20 0 DCADLN C[NH+](C)Cc1cccc(C(=O)N2C[C@@H]3C[N@H+](C)C[C@H](C2)O3)c1 ZINC001275443164 952149403 /nfs/dbraw/zinc/14/94/03/952149403.db2.gz AGHNUUJZHCCKMT-IYBDPMFKSA-N 0 2 303.406 0.903 20 0 DCADLN COCc1nnc(CNCc2cn(Cc3ccccc3)nn2)[nH]1 ZINC001333839237 952171064 /nfs/dbraw/zinc/17/10/64/952171064.db2.gz ZKBIJOSVQYJDMB-UHFFFAOYSA-N 0 2 313.365 0.881 20 0 DCADLN Cc1ccc([N-]S(C)(=O)=O)c(C(=O)N2CC3(CC[N@H+]3C)C2)c1 ZINC001275512497 952214176 /nfs/dbraw/zinc/21/41/76/952214176.db2.gz FPBDXLIALDHOGX-UHFFFAOYSA-N 0 2 323.418 0.897 20 0 DCADLN Cc1ccc([N-]S(C)(=O)=O)c(C(=O)N2CC3(CC[N@@H+]3C)C2)c1 ZINC001275512497 952214180 /nfs/dbraw/zinc/21/41/80/952214180.db2.gz FPBDXLIALDHOGX-UHFFFAOYSA-N 0 2 323.418 0.897 20 0 DCADLN Cc1cc(CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F)no1 ZINC001448824531 952624905 /nfs/dbraw/zinc/62/49/05/952624905.db2.gz PUISHCHJZDSWPP-RKDXNWHRSA-N 0 2 313.251 0.450 20 0 DCADLN CCO[C@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)[C@@H]1CCOC1 ZINC001412062699 952627273 /nfs/dbraw/zinc/62/72/73/952627273.db2.gz DOMQBESFRBYUTJ-TUAOUCFPSA-N 0 2 324.381 0.658 20 0 DCADLN CCCN(C(=O)C[C@H]1SC(=N)NC1=O)[C@@H](C)C(=O)OCC ZINC001412083579 952638306 /nfs/dbraw/zinc/63/83/06/952638306.db2.gz ILKMBTAUUUDECV-DTWKUNHWSA-N 0 2 315.395 0.733 20 0 DCADLN O=C(N[C@@H](CO)CC1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412098181 952644377 /nfs/dbraw/zinc/64/43/77/952644377.db2.gz YAYNXBXNHHJOQD-LLVKDONJSA-N 0 2 318.333 0.157 20 0 DCADLN Cc1cc(NC(=O)CC2SC(=N)NC2=O)cc(C(N)=O)c1 ZINC001412163381 952673037 /nfs/dbraw/zinc/67/30/37/952673037.db2.gz RSDUZMBDJSAKID-VIFPVBQESA-N 0 2 306.347 0.589 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cccc3nsnc32)S1 ZINC001412596179 952925492 /nfs/dbraw/zinc/92/54/92/952925492.db2.gz YAFJEJIESDZKBJ-MRVPVSSYSA-N 0 2 321.387 0.864 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)C2(C(=O)[O-])CCOCC2)co1 ZINC001593704760 954149229 /nfs/dbraw/zinc/14/92/29/954149229.db2.gz ZWUZNZDAUQKXLD-UHFFFAOYSA-N 0 2 311.338 0.234 20 0 DCADLN O=C([O-])[C@@]1(C(=O)N2CC[NH+](Cc3ccccc3)CC2)CCCO1 ZINC001594851296 954191919 /nfs/dbraw/zinc/19/19/19/954191919.db2.gz KOEZWAAHYGEEJC-KRWDZBQOSA-N 0 2 318.373 0.965 20 0 DCADLN CN(C)c1cc[nH+]cc1CN[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC001593713007 954197764 /nfs/dbraw/zinc/19/77/64/954197764.db2.gz XYJKPJMQCXJWFA-CYBMUJFWSA-N 0 2 320.393 0.703 20 0 DCADLN CN(C)c1cc[nH+]cc1CN[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC001593713008 954197851 /nfs/dbraw/zinc/19/78/51/954197851.db2.gz XYJKPJMQCXJWFA-ZDUSSCGKSA-N 0 2 320.393 0.703 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CC[C@@H](C(=O)[O-])[C@H](C)C2)CCO1 ZINC001589399484 954403138 /nfs/dbraw/zinc/40/31/38/954403138.db2.gz GERJPQIVNUUPCC-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CC[C@@H](C(=O)[O-])[C@H](C)C2)CCO1 ZINC001589399484 954403145 /nfs/dbraw/zinc/40/31/45/954403145.db2.gz GERJPQIVNUUPCC-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN C[N@H+](CCc1ccccc1F)CCS(=O)(=O)CC(=O)[O-] ZINC001593755284 954446372 /nfs/dbraw/zinc/44/63/72/954446372.db2.gz CMMQIEHQWNFTRJ-UHFFFAOYSA-N 0 2 303.355 0.799 20 0 DCADLN C[N@@H+](CCc1ccccc1F)CCS(=O)(=O)CC(=O)[O-] ZINC001593755284 954446375 /nfs/dbraw/zinc/44/63/75/954446375.db2.gz CMMQIEHQWNFTRJ-UHFFFAOYSA-N 0 2 303.355 0.799 20 0 DCADLN CC(C)(C(N)=O)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001350151862 955001426 /nfs/dbraw/zinc/00/14/26/955001426.db2.gz QRYYCNDARGWQPM-LURJTMIESA-N 0 2 315.267 0.021 20 0 DCADLN CC(C)(C(N)=O)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001350151862 955001440 /nfs/dbraw/zinc/00/14/40/955001440.db2.gz QRYYCNDARGWQPM-LURJTMIESA-N 0 2 315.267 0.021 20 0 DCADLN COC(=O)C1=NO[C@@]2(CCN(c3ccc(C(=O)[O-])c[nH+]3)C2)C1 ZINC001593816897 955008406 /nfs/dbraw/zinc/00/84/06/955008406.db2.gz VIHNYDZYSADQLH-AWEZNQCLSA-N 0 2 305.290 0.678 20 0 DCADLN CC[C@H](CNC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC001589518816 955083860 /nfs/dbraw/zinc/08/38/60/955083860.db2.gz NTJLQUZOCQJGLL-VXGBXAGGSA-N 0 2 322.365 0.274 20 0 DCADLN CN(CCCNC(=O)c1cnn(C)c1)C(=O)C(F)C(F)(F)F ZINC001351232287 955270067 /nfs/dbraw/zinc/27/00/67/955270067.db2.gz XFVOAZKAUOWZST-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)c1cnn(C)c1)C(=O)[C@H](F)C(F)(F)F ZINC001351232287 955270072 /nfs/dbraw/zinc/27/00/72/955270072.db2.gz XFVOAZKAUOWZST-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN C[C@@H](CN(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1)C(=O)[O-] ZINC001589019360 955450966 /nfs/dbraw/zinc/45/09/66/955450966.db2.gz HINBNCOHXIZZFC-LBPRGKRZSA-N 0 2 322.409 0.811 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(C(=O)[C@@]2(C(=O)[O-])CCCO2)C1 ZINC001594555802 955758165 /nfs/dbraw/zinc/75/81/65/955758165.db2.gz MBBOBQOADBLIBF-XHDPSFHLSA-N 0 2 307.350 0.760 20 0 DCADLN Cn1c[nH+]cc1CCCOC(=O)[C@@H]1CCCN(CC(=O)[O-])C1=O ZINC001594558623 955789496 /nfs/dbraw/zinc/78/94/96/955789496.db2.gz PQFBLEGTGBVAGK-GFCCVEGCSA-N 0 2 323.349 0.219 20 0 DCADLN CCOCC(=O)N(C)C[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001414104941 955823200 /nfs/dbraw/zinc/82/32/00/955823200.db2.gz VQFFGQBVSSHOGE-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N(C)C[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001414104941 955823210 /nfs/dbraw/zinc/82/32/10/955823210.db2.gz VQFFGQBVSSHOGE-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC001594565719 955843027 /nfs/dbraw/zinc/84/30/27/955843027.db2.gz OHOTUNDCUCYKFA-UHFFFAOYSA-N 0 2 317.349 0.624 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)NCc1[nH]c2c([nH+]1)CCCC2 ZINC001594568110 955854998 /nfs/dbraw/zinc/85/49/98/955854998.db2.gz HUPMZPCWXFFMNO-UHFFFAOYSA-N 0 2 303.322 0.650 20 0 DCADLN CCn1nncc1C(=O)Nn1c(C)nc2sccc2c1=O ZINC001352975192 955857641 /nfs/dbraw/zinc/85/76/41/955857641.db2.gz XJKIEWYIJLOSQN-UHFFFAOYSA-N 0 2 304.335 0.762 20 0 DCADLN C[C@@H]([NH2+]CC(=O)NCC(=O)[O-])c1nc(-c2cccc(F)c2)no1 ZINC001589122402 956136736 /nfs/dbraw/zinc/13/67/36/956136736.db2.gz JAGZQQDKADTBAS-MRVPVSSYSA-N 0 2 322.296 0.727 20 0 DCADLN CCCc1cc(=O)n2nc(NC(=O)c3nocc3C)[nH]c2n1 ZINC001287288180 956331381 /nfs/dbraw/zinc/33/13/81/956331381.db2.gz BBAYOLUMCZSRSO-UHFFFAOYSA-N 0 2 302.294 0.919 20 0 DCADLN CC[C@@H](OC)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416071735 956388974 /nfs/dbraw/zinc/38/89/74/956388974.db2.gz KBWWGEGUSPHJKO-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CC[C@@H](OC)C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416071735 956388984 /nfs/dbraw/zinc/38/89/84/956388984.db2.gz KBWWGEGUSPHJKO-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN NC(=O)c1ccccc1NC(=O)C[N@H+](CC(=O)[O-])C1CCC1 ZINC001594652328 956479686 /nfs/dbraw/zinc/47/96/86/956479686.db2.gz KKHCJRDXPVIUCD-UHFFFAOYSA-N 0 2 305.334 0.663 20 0 DCADLN NC(=O)c1ccccc1NC(=O)C[N@@H+](CC(=O)[O-])C1CCC1 ZINC001594652328 956479693 /nfs/dbraw/zinc/47/96/93/956479693.db2.gz KKHCJRDXPVIUCD-UHFFFAOYSA-N 0 2 305.334 0.663 20 0 DCADLN O=C(CCc1cncnc1)NCCNC(=O)C(F)C(F)(F)F ZINC001292843724 957170628 /nfs/dbraw/zinc/17/06/28/957170628.db2.gz KQXPRSGJEZSOAA-SNVBAGLBSA-N 0 2 322.262 0.542 20 0 DCADLN O=C(CCc1cncnc1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001292843724 957170635 /nfs/dbraw/zinc/17/06/35/957170635.db2.gz KQXPRSGJEZSOAA-SNVBAGLBSA-N 0 2 322.262 0.542 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC001603158840 972258723 /nfs/dbraw/zinc/25/87/23/972258723.db2.gz HCRGTRUSTMWXSR-NWDGAFQWSA-N 0 2 300.399 0.912 20 0 DCADLN COC(=O)c1cc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001413897759 957494263 /nfs/dbraw/zinc/49/42/63/957494263.db2.gz ZIMVWWFPBGZOCH-QMMMGPOBSA-N 0 2 304.310 0.471 20 0 DCADLN COC(=O)c1cc(CN2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)on1 ZINC001414003763 957594511 /nfs/dbraw/zinc/59/45/11/957594511.db2.gz WYIDQSXQHBWZLX-MRVPVSSYSA-N 0 2 307.310 0.665 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)on1 ZINC001414003763 957594513 /nfs/dbraw/zinc/59/45/13/957594513.db2.gz WYIDQSXQHBWZLX-MRVPVSSYSA-N 0 2 307.310 0.665 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)on1 ZINC001414003763 957594517 /nfs/dbraw/zinc/59/45/17/957594517.db2.gz WYIDQSXQHBWZLX-MRVPVSSYSA-N 0 2 307.310 0.665 20 0 DCADLN COCc1cc(C[NH2+][C@H]2CCCCN(CC(=O)[O-])C2=O)no1 ZINC001594028143 957728076 /nfs/dbraw/zinc/72/80/76/957728076.db2.gz VRYILOOWEWEXDX-LBPRGKRZSA-N 0 2 311.338 0.376 20 0 DCADLN CC(C)C[C@@H](C[NH2+][C@@H](C)c1nncn1C)NC(=O)c1nnc[nH]1 ZINC001367417247 957791243 /nfs/dbraw/zinc/79/12/43/957791243.db2.gz CNERRDMKEAWXFA-QWRGUYRKSA-N 0 2 320.401 0.429 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@@H]1CCn2ccnc21 ZINC001361392011 957920461 /nfs/dbraw/zinc/92/04/61/957920461.db2.gz YEIBNRHMNYKNAS-SSDOTTSWSA-N 0 2 304.272 0.901 20 0 DCADLN C[C@H]1CNc2c(C(=O)NCCc3n[nH]c(=S)o3)cnn2C1 ZINC001296734072 958043123 /nfs/dbraw/zinc/04/31/23/958043123.db2.gz KOKZKRYVOJLLCE-ZETCQYMHSA-N 0 2 308.367 0.589 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1nocc1C1CC1 ZINC001361737320 958271391 /nfs/dbraw/zinc/27/13/91/958271391.db2.gz FVERGHKPFFCDEX-UHFFFAOYSA-N 0 2 300.278 0.575 20 0 DCADLN COCC(=O)N[C@@H](CNC(=O)C(F)C(F)(F)F)C(C)C ZINC001433103386 1013175246 /nfs/dbraw/zinc/17/52/46/1013175246.db2.gz VQBOGVRTZBXWOM-IONNQARKSA-N 0 2 302.268 0.790 20 0 DCADLN COCC(=O)N[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C(C)C ZINC001433103386 1013175252 /nfs/dbraw/zinc/17/52/52/1013175252.db2.gz VQBOGVRTZBXWOM-IONNQARKSA-N 0 2 302.268 0.790 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N(C)CCC(=O)[O-])c(N(C)C)[nH+]1 ZINC001594368677 958516438 /nfs/dbraw/zinc/51/64/38/958516438.db2.gz MHCKPLGAAPUMMB-UHFFFAOYSA-N 0 2 308.338 0.328 20 0 DCADLN C/C(=C/C(=O)N1C[C@H](C)C[C@H](C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001588480659 958589559 /nfs/dbraw/zinc/58/95/59/958589559.db2.gz SOEDYEDYGQTNTC-UILUVHTGSA-N 0 2 310.394 0.834 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(F)C(F)(F)F ZINC001298435822 958603845 /nfs/dbraw/zinc/60/38/45/958603845.db2.gz LOMVTHSZCQTLQC-PKMLGSJUSA-N 0 2 324.274 0.568 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@@H](F)C(F)(F)F ZINC001298435822 958603853 /nfs/dbraw/zinc/60/38/53/958603853.db2.gz LOMVTHSZCQTLQC-PKMLGSJUSA-N 0 2 324.274 0.568 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@@H]2CCCNC2=O)cn1 ZINC001362058739 958669909 /nfs/dbraw/zinc/66/99/09/958669909.db2.gz DEMPOHUOSYYIDY-VIFPVBQESA-N 0 2 302.338 0.066 20 0 DCADLN O=C([O-])c1ncsc1S(=O)(=O)NCCn1cc[nH+]c1 ZINC000134693854 958851337 /nfs/dbraw/zinc/85/13/37/958851337.db2.gz NAMSAWBBJVIGRQ-UHFFFAOYSA-N 0 2 302.337 0.016 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1(C(F)F)CC1 ZINC001367937115 958907923 /nfs/dbraw/zinc/90/79/23/958907923.db2.gz LUNXYQOFJZTLCZ-ZETCQYMHSA-N 0 2 301.297 0.246 20 0 DCADLN CS(=O)(=O)CCN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001367941718 958917142 /nfs/dbraw/zinc/91/71/42/958917142.db2.gz VPGUEYFKAUNCGE-SFYZADRCSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001367941718 958917158 /nfs/dbraw/zinc/91/71/58/958917158.db2.gz VPGUEYFKAUNCGE-SFYZADRCSA-N 0 2 320.308 0.122 20 0 DCADLN C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)N1CSCCSC1 ZINC001362218078 958945775 /nfs/dbraw/zinc/94/57/75/958945775.db2.gz MAYYRKJRCDBZHU-ZETCQYMHSA-N 0 2 303.413 0.212 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001362219764 958948550 /nfs/dbraw/zinc/94/85/50/958948550.db2.gz IKPICHLIZMMQLC-ONGXEEELSA-N 0 2 318.333 0.564 20 0 DCADLN CO[C@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCCC1 ZINC001367971272 958983945 /nfs/dbraw/zinc/98/39/45/958983945.db2.gz CHZNUPLKUBJQRV-AAEUAGOBSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@H]1CC[N@@H+]1Cc1ccsc1 ZINC001367976714 958994363 /nfs/dbraw/zinc/99/43/63/958994363.db2.gz XREMSBVVFBTCLZ-SNVBAGLBSA-N 0 2 323.378 0.142 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@H]1CC[N@H+]1Cc1ccsc1 ZINC001367976714 958994379 /nfs/dbraw/zinc/99/43/79/958994379.db2.gz XREMSBVVFBTCLZ-SNVBAGLBSA-N 0 2 323.378 0.142 20 0 DCADLN C[C@@H](O)C(C)(C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362249959 959003044 /nfs/dbraw/zinc/00/30/44/959003044.db2.gz JRNUCKBNNUMKNA-MRVPVSSYSA-N 0 2 306.322 0.155 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)CN1CCOC1=O ZINC001362375262 959241328 /nfs/dbraw/zinc/24/13/28/959241328.db2.gz LQXJACGAWAXONZ-UHFFFAOYSA-N 0 2 317.305 0.876 20 0 DCADLN C[C@@H]1CCC[C@H](C(=O)[O-])[N@@H+]1CC(=O)NCC(=O)OC(C)(C)C ZINC001594213692 959310079 /nfs/dbraw/zinc/31/00/79/959310079.db2.gz KJFVGAMBCLPZCV-GHMZBOCLSA-N 0 2 314.382 0.772 20 0 DCADLN C[C@@H]1CCC[C@H](C(=O)[O-])[N@H+]1CC(=O)NCC(=O)OC(C)(C)C ZINC001594213692 959310095 /nfs/dbraw/zinc/31/00/95/959310095.db2.gz KJFVGAMBCLPZCV-GHMZBOCLSA-N 0 2 314.382 0.772 20 0 DCADLN C[C@H]1CCC[C@H]1NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001368212221 959450059 /nfs/dbraw/zinc/45/00/59/959450059.db2.gz UJHDCDUXMRLIID-DTWKUNHWSA-N 0 2 315.399 0.337 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC/C=C/c1cccnc1 ZINC001362505586 959483454 /nfs/dbraw/zinc/48/34/54/959483454.db2.gz SROCKZZDRXNJJQ-DUXPYHPUSA-N 0 2 310.317 0.296 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cncnc2-n2cccn2)S1 ZINC001362612195 959697716 /nfs/dbraw/zinc/69/77/16/959697716.db2.gz KMKWUGWHUVUPDD-QMMMGPOBSA-N 0 2 317.334 0.157 20 0 DCADLN Cc1noc([C@@H](C)[NH+]2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)n1 ZINC001594495960 959757319 /nfs/dbraw/zinc/75/73/19/959757319.db2.gz OADMLSSWHVKVGJ-KOLCDFICSA-N 0 2 322.365 0.837 20 0 DCADLN CCCn1cc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001377339104 959947547 /nfs/dbraw/zinc/94/75/47/959947547.db2.gz NCRBSMZQCGMMSL-UHFFFAOYSA-N 0 2 321.385 0.369 20 0 DCADLN CCCn1cc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001377339104 959947555 /nfs/dbraw/zinc/94/75/55/959947555.db2.gz NCRBSMZQCGMMSL-UHFFFAOYSA-N 0 2 321.385 0.369 20 0 DCADLN C[N@H+](CCNC(=O)c1cnc(C2CC2)nc1)Cc1n[nH]c(=O)[n-]1 ZINC001492443962 959962075 /nfs/dbraw/zinc/96/20/75/959962075.db2.gz GSHLHIQDQLPFEV-UHFFFAOYSA-N 0 2 317.353 0.040 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnc(C2CC2)nc1)Cc1n[nH]c(=O)[n-]1 ZINC001492443962 959962079 /nfs/dbraw/zinc/96/20/79/959962079.db2.gz GSHLHIQDQLPFEV-UHFFFAOYSA-N 0 2 317.353 0.040 20 0 DCADLN CCN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@@H](C)CO ZINC001362778136 959974958 /nfs/dbraw/zinc/97/49/58/959974958.db2.gz ADQPAFSRADNJHZ-VIFPVBQESA-N 0 2 306.322 0.109 20 0 DCADLN CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@H]1CCSC1 ZINC001362783307 959980573 /nfs/dbraw/zinc/98/05/73/959980573.db2.gz SSMRZMXMHQOGGF-NSHDSACASA-N 0 2 320.374 0.844 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)C1CS(=O)(=O)C1 ZINC001362877410 960121924 /nfs/dbraw/zinc/12/19/24/960121924.db2.gz LJMFHJJWURXOMR-UHFFFAOYSA-N 0 2 308.319 0.160 20 0 DCADLN Cn1cc(Cl)c(CNC(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC001362985156 960258509 /nfs/dbraw/zinc/25/85/09/960258509.db2.gz RCBXLTVEJZVGRC-ZETCQYMHSA-N 0 2 301.759 0.246 20 0 DCADLN CN1C(=O)CN=C1NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001363037440 960326721 /nfs/dbraw/zinc/32/67/21/960326721.db2.gz AZMSPWHDHCOEKQ-UHFFFAOYSA-N 0 2 317.280 0.275 20 0 DCADLN COC(=O)c1ccccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363042699 960334801 /nfs/dbraw/zinc/33/48/01/960334801.db2.gz YNDOUEPCJWRVKF-UHFFFAOYSA-N 0 2 303.274 0.604 20 0 DCADLN Cn1cc([C@H]2CCCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)nn1 ZINC001363072633 960369933 /nfs/dbraw/zinc/36/99/33/960369933.db2.gz NMNLZZJEEIDXNH-WCBMZHEXSA-N 0 2 322.394 0.078 20 0 DCADLN CCCc1cc(C(=O)N2CCC[C@H]2C[N@@H+](C)[C@H](C)C(N)=O)n[nH]1 ZINC001377661234 960402104 /nfs/dbraw/zinc/40/21/04/960402104.db2.gz WZEVNCNDYJPIFN-YPMHNXCESA-N 0 2 321.425 0.772 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC[C@H](CF)C1 ZINC001363320778 960890246 /nfs/dbraw/zinc/89/02/46/960890246.db2.gz JKGUHQLDIUWDBO-SECBINFHSA-N 0 2 306.297 0.698 20 0 DCADLN C[C@H](CNC(=O)c1ccc(F)cn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019521 961201294 /nfs/dbraw/zinc/20/12/94/961201294.db2.gz FLDNDDFPPDLHCX-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](CNC(=O)c1ccc(F)cn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019521 961201310 /nfs/dbraw/zinc/20/13/10/961201310.db2.gz FLDNDDFPPDLHCX-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN O=C([O-])[C@]12C[C@H]1CCC[N@@H+]2[C@@H]1CCN(C2CCOCC2)C1=O ZINC001571102937 961251196 /nfs/dbraw/zinc/25/11/96/961251196.db2.gz RNIJMQOOLAAHRL-KFNAQCHYSA-N 0 2 308.378 0.705 20 0 DCADLN O=C([O-])[C@]12C[C@H]1CCC[N@H+]2[C@@H]1CCN(C2CCOCC2)C1=O ZINC001571102937 961251206 /nfs/dbraw/zinc/25/12/06/961251206.db2.gz RNIJMQOOLAAHRL-KFNAQCHYSA-N 0 2 308.378 0.705 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(CO)c(CO)c2)S1 ZINC001363489995 961265112 /nfs/dbraw/zinc/26/51/12/961265112.db2.gz KOFGBSXEZCNPLS-JTQLQIEISA-N 0 2 309.347 0.166 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2CCCc3cc[nH]c(=O)c32)S1 ZINC001363497177 961281914 /nfs/dbraw/zinc/28/19/14/961281914.db2.gz RUTMKJFYOKQBSO-QMMMGPOBSA-N 0 2 306.347 0.623 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCCc3cc[nH]c(=O)c32)S1 ZINC001363497177 961281929 /nfs/dbraw/zinc/28/19/29/961281929.db2.gz RUTMKJFYOKQBSO-QMMMGPOBSA-N 0 2 306.347 0.623 20 0 DCADLN C[C@@H]1[C@@H](CO)CC[N@@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001571116441 961395766 /nfs/dbraw/zinc/39/57/66/961395766.db2.gz CMMQBVKEWOKFPS-RKDXNWHRSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@@H]1[C@@H](CO)CC[N@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001571116441 961395780 /nfs/dbraw/zinc/39/57/80/961395780.db2.gz CMMQBVKEWOKFPS-RKDXNWHRSA-N 0 2 313.379 0.411 20 0 DCADLN O=C(N[C@@H](CO)CC1OCCO1)c1cc(F)c(O)c(F)c1 ZINC001363545779 961412406 /nfs/dbraw/zinc/41/24/06/961412406.db2.gz BUZJRVNAQGHTFB-MRVPVSSYSA-N 0 2 303.261 0.524 20 0 DCADLN CCC[C@H](OC)C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378160832 961561563 /nfs/dbraw/zinc/56/15/63/961561563.db2.gz NEWVLEUVJDRROB-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN Cc1cccn2cc(CCNC(=O)[C@H]3CC[C@@H](C(=O)[O-])O3)[nH+]c12 ZINC001571133827 961601968 /nfs/dbraw/zinc/60/19/68/961601968.db2.gz XPBVCKFVUAFOLQ-OLZOCXBDSA-N 0 2 317.345 0.934 20 0 DCADLN Cc1cnc(C[NH+]2CCC(NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)nc1 ZINC001571137401 961611253 /nfs/dbraw/zinc/61/12/53/961611253.db2.gz FEDBYOORWWCASC-OLZOCXBDSA-N 0 2 318.377 0.586 20 0 DCADLN C[C@@H](CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001378191235 961630777 /nfs/dbraw/zinc/63/07/77/961630777.db2.gz GMIHOCHWHNDMGK-RYUDHWBXSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@@H](CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001378191235 961630792 /nfs/dbraw/zinc/63/07/92/961630792.db2.gz GMIHOCHWHNDMGK-RYUDHWBXSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@@H](CN(C)[C@@H](C)c1nc(C2CC2)no1)NC(=O)c1cnn[nH]1 ZINC001379714009 961835655 /nfs/dbraw/zinc/83/56/55/961835655.db2.gz WJWOWDJPMCQVDE-IUCAKERBSA-N 0 2 319.369 0.876 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379740280 961904683 /nfs/dbraw/zinc/90/46/83/961904683.db2.gz JCAAHLWISKHCQS-QWRGUYRKSA-N 0 2 313.402 0.508 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379740280 961904688 /nfs/dbraw/zinc/90/46/88/961904688.db2.gz JCAAHLWISKHCQS-QWRGUYRKSA-N 0 2 313.402 0.508 20 0 DCADLN CCON1CCC(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1 ZINC001571166548 962001458 /nfs/dbraw/zinc/00/14/58/962001458.db2.gz XOZQDKHCARJCBS-CYBMUJFWSA-N 0 2 324.381 0.575 20 0 DCADLN CCON1CCC(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1 ZINC001571166548 962001478 /nfs/dbraw/zinc/00/14/78/962001478.db2.gz XOZQDKHCARJCBS-CYBMUJFWSA-N 0 2 324.381 0.575 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N3CC[C@H](F)C3)cc-2c(=O)[nH]1 ZINC001363918382 962127070 /nfs/dbraw/zinc/12/70/70/962127070.db2.gz JDFURESCYNAFSW-LURJTMIESA-N 0 2 314.298 0.169 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001364057041 962383131 /nfs/dbraw/zinc/38/31/31/962383131.db2.gz ZJGFODPBZORLKS-CABCVRRESA-N 0 2 323.437 0.757 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001380006860 962485312 /nfs/dbraw/zinc/48/53/12/962485312.db2.gz BMNHVUCWCOCVTC-BQBZGAKWSA-N 0 2 307.276 0.399 20 0 DCADLN CC[C@H](F)C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001380024109 962517023 /nfs/dbraw/zinc/51/70/23/962517023.db2.gz WBPBHMPMHDIAQH-RYUDHWBXSA-N 0 2 321.356 0.461 20 0 DCADLN Cn1nc(Br)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001364123920 962518616 /nfs/dbraw/zinc/51/86/16/962518616.db2.gz HVIMKOSBBQTIMC-UHFFFAOYSA-N 0 2 316.119 0.328 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)N[C@@H]([C@H]1CCOC1)C(F)(F)F ZINC001364181449 962622444 /nfs/dbraw/zinc/62/24/44/962622444.db2.gz FHJLPURNKJMGPC-FSPLSTOPSA-N 0 2 309.248 0.277 20 0 DCADLN O=C([O-])CCN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C1CCOCC1 ZINC001574704699 962688408 /nfs/dbraw/zinc/68/84/08/962688408.db2.gz CHBGQZLJMRLMTO-VXGBXAGGSA-N 0 2 307.350 0.996 20 0 DCADLN Nc1ccnn1CC(=O)Nc1nccc(Br)c1O ZINC001364308508 962871847 /nfs/dbraw/zinc/87/18/47/962871847.db2.gz SMIPVCTVDXYBMF-UHFFFAOYSA-N 0 2 312.127 0.967 20 0 DCADLN C[C@@]1(NC(=O)C[C@H]2CCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380354046 963094005 /nfs/dbraw/zinc/09/40/05/963094005.db2.gz JCHNHQNOTLETIK-QMTHXVAHSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(NC(=O)C[C@H]2CCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380354046 963094020 /nfs/dbraw/zinc/09/40/20/963094020.db2.gz JCHNHQNOTLETIK-QMTHXVAHSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ncccn2)C1)C(F)C(F)(F)F ZINC001447368493 1013400602 /nfs/dbraw/zinc/40/06/02/1013400602.db2.gz WDRWLPIJTFGLCQ-JGVFFNPUSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ncccn2)C1)[C@@H](F)C(F)(F)F ZINC001447368493 1013400609 /nfs/dbraw/zinc/40/06/09/1013400609.db2.gz WDRWLPIJTFGLCQ-JGVFFNPUSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1ccnc2n[nH]nc21 ZINC001447382479 1013407988 /nfs/dbraw/zinc/40/79/88/1013407988.db2.gz LNGYMVMXINEDCP-UHFFFAOYSA-N 0 2 308.257 0.294 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001379639508 963310373 /nfs/dbraw/zinc/31/03/73/963310373.db2.gz KWKLOMXNCFAHJD-SSDOTTSWSA-N 0 2 307.358 0.101 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001379639508 963310380 /nfs/dbraw/zinc/31/03/80/963310380.db2.gz KWKLOMXNCFAHJD-SSDOTTSWSA-N 0 2 307.358 0.101 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)c2ccc(C(=O)[O-])s2)c1 ZINC000313199994 963622675 /nfs/dbraw/zinc/62/26/75/963622675.db2.gz QZEJUJBFXRTQCR-UHFFFAOYSA-N 0 2 315.376 0.701 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CCc1ccccc1O ZINC000314107179 963712808 /nfs/dbraw/zinc/71/28/08/963712808.db2.gz JSMFKLRMRBOTKG-LBPRGKRZSA-N 0 2 303.318 0.860 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CCc1ccccc1O ZINC000314107179 963712818 /nfs/dbraw/zinc/71/28/18/963712818.db2.gz JSMFKLRMRBOTKG-LBPRGKRZSA-N 0 2 303.318 0.860 20 0 DCADLN Cn1nccc1C[NH2+]C[C@H](NC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001375229034 964152883 /nfs/dbraw/zinc/15/28/83/964152883.db2.gz LPAOIHYYWFRAHO-HNNXBMFYSA-N 0 2 316.409 0.760 20 0 DCADLN CCO[C@H](C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001368941356 964164525 /nfs/dbraw/zinc/16/45/25/964164525.db2.gz QACLCNSMXBYPJY-SKDRFNHKSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@H](C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001368941356 964164532 /nfs/dbraw/zinc/16/45/32/964164532.db2.gz QACLCNSMXBYPJY-SKDRFNHKSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](CNC(=O)Cc1ccccc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368994652 964260656 /nfs/dbraw/zinc/26/06/56/964260656.db2.gz IANKCMKSCUAUJS-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN C[C@H](CNC(=O)Cc1ccccc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368994652 964260666 /nfs/dbraw/zinc/26/06/66/964260666.db2.gz IANKCMKSCUAUJS-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN C[C@H](CNC(=O)c1cc2c([nH]1)CCC2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369004337 964277537 /nfs/dbraw/zinc/27/75/37/964277537.db2.gz VEZCMGLAJBKCTJ-SECBINFHSA-N 0 2 318.381 0.577 20 0 DCADLN C[C@H](CNC(=O)c1cc2c([nH]1)CCC2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369004337 964277547 /nfs/dbraw/zinc/27/75/47/964277547.db2.gz VEZCMGLAJBKCTJ-SECBINFHSA-N 0 2 318.381 0.577 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001375374116 964328121 /nfs/dbraw/zinc/32/81/21/964328121.db2.gz QINHICRLQVADRP-GFCCVEGCSA-N 0 2 316.365 0.147 20 0 DCADLN CCC[NH+](C)CC(=O)N[C@@H]1CC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001373648485 964423856 /nfs/dbraw/zinc/42/38/56/964423856.db2.gz HZKYLXJAHYGUDG-CQSZACIVSA-N 0 2 321.425 0.518 20 0 DCADLN CCNC(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC[NH+]1C ZINC001375590271 964584579 /nfs/dbraw/zinc/58/45/79/964584579.db2.gz QGBICIHOTMQXAF-QLFBSQMISA-N 0 2 322.453 0.282 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001414116563 964751864 /nfs/dbraw/zinc/75/18/64/964751864.db2.gz BHYGETJQNQDAID-YUMQZZPRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1ncc[nH]1)C(=O)[C@H](F)C(F)(F)F ZINC001414116563 964751870 /nfs/dbraw/zinc/75/18/70/964751870.db2.gz BHYGETJQNQDAID-YUMQZZPRSA-N 0 2 322.262 0.983 20 0 DCADLN Cn1ccc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001374085498 964993091 /nfs/dbraw/zinc/99/30/91/964993091.db2.gz PRJLJIHNZIHQIX-SNVBAGLBSA-N 0 2 308.279 0.965 20 0 DCADLN Cn1ccc(CN2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001374085498 964993103 /nfs/dbraw/zinc/99/31/03/964993103.db2.gz PRJLJIHNZIHQIX-SNVBAGLBSA-N 0 2 308.279 0.965 20 0 DCADLN O=C(NC[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)C(F)F ZINC001370178347 965844417 /nfs/dbraw/zinc/84/44/17/965844417.db2.gz COQQGBJOINMGAP-YTLHQDLWSA-N 0 2 318.217 0.973 20 0 DCADLN O=C(NC[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)C(F)F ZINC001370178347 965844390 /nfs/dbraw/zinc/84/43/90/965844390.db2.gz COQQGBJOINMGAP-YTLHQDLWSA-N 0 2 318.217 0.973 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001374845837 966020396 /nfs/dbraw/zinc/02/03/96/966020396.db2.gz HFDKAERWMCHNNL-APPZFPTMSA-N 0 2 314.279 0.744 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001374845837 966020410 /nfs/dbraw/zinc/02/04/10/966020410.db2.gz HFDKAERWMCHNNL-APPZFPTMSA-N 0 2 314.279 0.744 20 0 DCADLN Cc1cc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001374846844 966026567 /nfs/dbraw/zinc/02/65/67/966026567.db2.gz LYBQPHJPOWFUGL-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1cc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC001374846844 966026580 /nfs/dbraw/zinc/02/65/80/966026580.db2.gz LYBQPHJPOWFUGL-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN C[C@H](CN(C)C(=O)c1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001370470596 966160989 /nfs/dbraw/zinc/16/09/89/966160989.db2.gz PONQXTLXBIROBX-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001370470596 966160993 /nfs/dbraw/zinc/16/09/93/966160993.db2.gz PONQXTLXBIROBX-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)c1cc(C2CC2)no1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381589626 966559800 /nfs/dbraw/zinc/55/98/00/966559800.db2.gz RNLNJCKJAFYWMF-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CNC(=O)c1cc(C2CC2)no1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381589626 966559803 /nfs/dbraw/zinc/55/98/03/966559803.db2.gz RNLNJCKJAFYWMF-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN CCOCC1(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001381797647 966690467 /nfs/dbraw/zinc/69/04/67/966690467.db2.gz TVJNKTYHMJJARN-SNVBAGLBSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCc1ncc[nH]1 ZINC001447977119 1013679074 /nfs/dbraw/zinc/67/90/74/1013679074.db2.gz GFPSDBWJKTWNIU-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001447983338 1013683375 /nfs/dbraw/zinc/68/33/75/1013683375.db2.gz ZUFRSCNJNTXVTA-XPUUQOCRSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001447983338 1013683382 /nfs/dbraw/zinc/68/33/82/1013683382.db2.gz ZUFRSCNJNTXVTA-XPUUQOCRSA-N 0 2 310.251 0.853 20 0 DCADLN COCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001371480814 967029820 /nfs/dbraw/zinc/02/98/20/967029820.db2.gz MJYRCNLFWVLJTL-BHNWBGBOSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C ZINC001371480814 967029824 /nfs/dbraw/zinc/02/98/24/967029824.db2.gz MJYRCNLFWVLJTL-BHNWBGBOSA-N 0 2 300.252 0.496 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(C)C)C(=O)C(F)C(F)(F)F ZINC001383002043 967843474 /nfs/dbraw/zinc/84/34/74/967843474.db2.gz DKHNLWFHOJRFDH-JGVFFNPUSA-N 0 2 316.295 0.868 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(C)C)C(=O)[C@@H](F)C(F)(F)F ZINC001383002043 967843485 /nfs/dbraw/zinc/84/34/85/967843485.db2.gz DKHNLWFHOJRFDH-JGVFFNPUSA-N 0 2 316.295 0.868 20 0 DCADLN Cn1nnnc1CN1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001372510521 968064666 /nfs/dbraw/zinc/06/46/66/968064666.db2.gz NNJGIIXEPVYPRJ-IONNQARKSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CCC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001372510521 968064668 /nfs/dbraw/zinc/06/46/68/968064668.db2.gz NNJGIIXEPVYPRJ-IONNQARKSA-N 0 2 324.282 0.191 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)cnn1 ZINC001372792992 968381205 /nfs/dbraw/zinc/38/12/05/968381205.db2.gz KTOLWPXKTXZZGC-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)cnn1 ZINC001372792992 968381214 /nfs/dbraw/zinc/38/12/14/968381214.db2.gz KTOLWPXKTXZZGC-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1nccc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001372881570 968494188 /nfs/dbraw/zinc/49/41/88/968494188.db2.gz WDDBVZAAJWJXEA-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nccc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)n1 ZINC001372881570 968494196 /nfs/dbraw/zinc/49/41/96/968494196.db2.gz WDDBVZAAJWJXEA-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN CCC(=O)N[C@@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001448215377 1013829337 /nfs/dbraw/zinc/82/93/37/1013829337.db2.gz ITRABLAMMTYRHY-VIFPVBQESA-N 0 2 304.354 0.455 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001373384414 969020445 /nfs/dbraw/zinc/02/04/45/969020445.db2.gz YXWGQGDANSWCOR-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001373384414 969020454 /nfs/dbraw/zinc/02/04/54/969020454.db2.gz YXWGQGDANSWCOR-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1c[nH]c(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001373490112 969143773 /nfs/dbraw/zinc/14/37/73/969143773.db2.gz WDUUELRASMMLIG-ZETCQYMHSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1c[nH]c(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001373490112 969143792 /nfs/dbraw/zinc/14/37/92/969143792.db2.gz WDUUELRASMMLIG-ZETCQYMHSA-N 0 2 310.251 0.807 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nnn(C)n2)C1 ZINC001448287242 1013859740 /nfs/dbraw/zinc/85/97/40/1013859740.db2.gz HBBDLFPYBUTNCQ-IMTBSYHQSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2nnn(C)n2)C1 ZINC001448287242 1013859748 /nfs/dbraw/zinc/85/97/48/1013859748.db2.gz HBBDLFPYBUTNCQ-IMTBSYHQSA-N 0 2 324.282 0.047 20 0 DCADLN Cn1cnnc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001373592626 969273667 /nfs/dbraw/zinc/27/36/67/969273667.db2.gz VEIMAFWLZAYNKS-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cnnc1CN1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001373592626 969273672 /nfs/dbraw/zinc/27/36/72/969273672.db2.gz VEIMAFWLZAYNKS-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c(=O)c1 ZINC001431308348 1013989371 /nfs/dbraw/zinc/98/93/71/1013989371.db2.gz ZMYRIASOICUMPL-MRVPVSSYSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]c(=O)c1 ZINC001431308348 1013989374 /nfs/dbraw/zinc/98/93/74/1013989374.db2.gz ZMYRIASOICUMPL-MRVPVSSYSA-N 0 2 324.234 0.271 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC000318320564 970425055 /nfs/dbraw/zinc/42/50/55/970425055.db2.gz QSFJUMGBXCWRHI-UONOGXRCSA-N 0 2 306.362 0.473 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC000318320564 970425064 /nfs/dbraw/zinc/42/50/64/970425064.db2.gz QSFJUMGBXCWRHI-UONOGXRCSA-N 0 2 306.362 0.473 20 0 DCADLN Cc1cc(CN[C@H](CO)CNC(=O)C(F)C(F)(F)F)no1 ZINC001448824521 1014059088 /nfs/dbraw/zinc/05/90/88/1014059088.db2.gz PUISHCHJZDSWPP-DTWKUNHWSA-N 0 2 313.251 0.450 20 0 DCADLN COC(=O)C1CC2(C1)CN(C(=O)Cc1[nH]cc[nH+]1)C[C@H]2C(=O)[O-] ZINC001604283311 972868277 /nfs/dbraw/zinc/86/82/77/972868277.db2.gz GYGSEMVPEJXGLO-VOZQYIJBSA-N 0 2 321.333 0.065 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C1(CC(=O)[O-])CCC1 ZINC001603375248 972919954 /nfs/dbraw/zinc/91/99/54/972919954.db2.gz NNMQHJGBPDEZGY-OLZOCXBDSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])/C=C/c1cc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)co1 ZINC001606063954 973195872 /nfs/dbraw/zinc/19/58/72/973195872.db2.gz VRGGXXSAGYLZAX-ZIYVKSGCSA-N 0 2 316.317 0.887 20 0 DCADLN C[C@H]1C[N@H+](Cc2cc(C(=O)[O-])nn2C)C[C@@]2(CCCOC2)O1 ZINC001603461081 973295730 /nfs/dbraw/zinc/29/57/30/973295730.db2.gz LBNLFCXLIGOFED-XHDPSFHLSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cc(C(=O)[O-])nn2C)C[C@@]2(CCCOC2)O1 ZINC001603461081 973295736 /nfs/dbraw/zinc/29/57/36/973295736.db2.gz LBNLFCXLIGOFED-XHDPSFHLSA-N 0 2 309.366 0.888 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)NC[C@H](CC)C(=O)[O-] ZINC001603548519 973606263 /nfs/dbraw/zinc/60/62/63/973606263.db2.gz HIZVOBHXLGBXDI-NWDGAFQWSA-N 0 2 301.387 0.507 20 0 DCADLN CCCCNC(=O)[C@H](C)[N@@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001603647464 974007144 /nfs/dbraw/zinc/00/71/44/974007144.db2.gz SCMXXDAUKAQAPB-FZMZJTMJSA-N 0 2 302.371 0.093 20 0 DCADLN CCCCNC(=O)[C@H](C)[N@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001603647464 974007155 /nfs/dbraw/zinc/00/71/55/974007155.db2.gz SCMXXDAUKAQAPB-FZMZJTMJSA-N 0 2 302.371 0.093 20 0 DCADLN CC(C)[C@H](NC(=O)CO[NH+]=C(N)Cc1cccnc1)C(=O)[O-] ZINC001591877797 976231370 /nfs/dbraw/zinc/23/13/70/976231370.db2.gz RQGAGVKFAIHYTQ-ZDUSSCGKSA-N 0 2 308.338 0.348 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001528891436 1014449660 /nfs/dbraw/zinc/44/96/60/1014449660.db2.gz INQGJJJZFZJGCI-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001528891436 1014449666 /nfs/dbraw/zinc/44/96/66/1014449666.db2.gz INQGJJJZFZJGCI-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN C[C@H](CCNC(=O)Cc1c[nH]c[nH+]1)Nc1ncc(C(=O)[O-])cn1 ZINC001592512706 978338111 /nfs/dbraw/zinc/33/81/11/978338111.db2.gz BVSUNRMGLHZSFS-SECBINFHSA-N 0 2 318.337 0.447 20 0 DCADLN O=C([O-])C1(C(=O)NC[C@]2([NH+]3CCOCC3)CCSC2)CC1 ZINC000738524218 978551736 /nfs/dbraw/zinc/55/17/36/978551736.db2.gz JEZMZRRBVJYVCC-CYBMUJFWSA-N 0 2 314.407 0.175 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC001592931494 979982995 /nfs/dbraw/zinc/98/29/95/979982995.db2.gz XCIDLPMFCAXYPR-SECBINFHSA-N 0 2 310.335 0.343 20 0 DCADLN CCCCCC[C@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001595696628 982232547 /nfs/dbraw/zinc/23/25/47/982232547.db2.gz CWSHNRYQAQLNIN-YNEHKIRRSA-N 0 2 300.399 0.981 20 0 DCADLN CCCCCC[C@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001595696628 982232554 /nfs/dbraw/zinc/23/25/54/982232554.db2.gz CWSHNRYQAQLNIN-YNEHKIRRSA-N 0 2 300.399 0.981 20 0 DCADLN O=C(N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001524428552 1014973972 /nfs/dbraw/zinc/97/39/72/1014973972.db2.gz GAULQRMDWSOZTF-YUMQZZPRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001524428552 1014973985 /nfs/dbraw/zinc/97/39/85/1014973985.db2.gz GAULQRMDWSOZTF-YUMQZZPRSA-N 0 2 322.262 0.935 20 0 DCADLN CCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1ccnc(C(=O)[O-])n1 ZINC001596334419 983776610 /nfs/dbraw/zinc/77/66/10/983776610.db2.gz ILFFHVLAODBQJU-UHFFFAOYSA-N 0 2 318.337 0.083 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C(C)C)no1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001596339081 983783199 /nfs/dbraw/zinc/78/31/99/983783199.db2.gz DLVAAWQHIXPJQY-GHMZBOCLSA-N 0 2 324.381 0.852 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(CC(=O)[O-])cc2)CC1 ZINC001596427754 984088666 /nfs/dbraw/zinc/08/86/66/984088666.db2.gz QANIUSNITSCMEY-UHFFFAOYSA-N 0 2 319.361 0.416 20 0 DCADLN CCOC(=O)C[C@H](O)CO[NH+]=C(N)c1cccc(C(=O)[O-])c1 ZINC001596594889 984545365 /nfs/dbraw/zinc/54/53/65/984545365.db2.gz XJZLJVLBMCUEIV-NSHDSACASA-N 0 2 310.306 0.545 20 0 DCADLN C/C(=C\C(=O)N[C@H](CC(F)F)C(=O)[O-])C[NH+]1CCOCC1 ZINC001588938570 984623068 /nfs/dbraw/zinc/62/30/68/984623068.db2.gz HKCLIYOKFAHRCB-OLKPEBQYSA-N 0 2 306.309 0.490 20 0 DCADLN C/C(=C/C(=O)[O-])C[N@@H+]1CCn2c(nnc2-c2cn(C)cn2)C1 ZINC001588948456 984664802 /nfs/dbraw/zinc/66/48/02/984664802.db2.gz FUHYWIBPUIZFLE-YHYXMXQVSA-N 0 2 302.338 0.525 20 0 DCADLN C/C(=C/C(=O)[O-])C[N@H+]1CCn2c(nnc2-c2cn(C)cn2)C1 ZINC001588948456 984664804 /nfs/dbraw/zinc/66/48/04/984664804.db2.gz FUHYWIBPUIZFLE-YHYXMXQVSA-N 0 2 302.338 0.525 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2cncnc2C)n[nH]1 ZINC001551461189 1015095736 /nfs/dbraw/zinc/09/57/36/1015095736.db2.gz QXOZXQBMXICHJC-AAEUAGOBSA-N 0 2 316.365 0.856 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2ccnnc2C)n[nH]1 ZINC001551459994 1015096549 /nfs/dbraw/zinc/09/65/49/1015096549.db2.gz NEYYXFQTFORWMC-OLZOCXBDSA-N 0 2 316.365 0.856 20 0 DCADLN O=C(C[C@@H]1CCOC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450374009 1015096697 /nfs/dbraw/zinc/09/66/97/1015096697.db2.gz KVSDXBSPDRDMFX-XVKPBYJWSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(C[C@@H]1CCOC1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001450374009 1015096705 /nfs/dbraw/zinc/09/67/05/1015096705.db2.gz KVSDXBSPDRDMFX-XVKPBYJWSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)CCC(C)(C)O)n[nH]1 ZINC001551461669 1015097019 /nfs/dbraw/zinc/09/70/19/1015097019.db2.gz RQSTWYPTOCDMJW-NEPJUHHUSA-N 0 2 310.398 0.995 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)CCCCCC(=O)[O-])C[C@H](C)[N@H+]1C ZINC001599930778 985310755 /nfs/dbraw/zinc/31/07/55/985310755.db2.gz YYBZFYLUKWNOCX-TXEJJXNPSA-N 0 2 306.428 0.986 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)CCCCCC(=O)[O-])C[C@H](C)[N@@H+]1C ZINC001599930778 985310766 /nfs/dbraw/zinc/31/07/66/985310766.db2.gz YYBZFYLUKWNOCX-TXEJJXNPSA-N 0 2 306.428 0.986 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2nnc(C)s2)n[nH]1 ZINC001551655770 1015162865 /nfs/dbraw/zinc/16/28/65/1015162865.db2.gz AYMGWVWAFPUWPH-VHSXEESVSA-N 0 2 322.394 0.918 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H]2OCC[C@H]2c2n[nH]c(C)n2)n(C)n1 ZINC001551661979 1015169370 /nfs/dbraw/zinc/16/93/70/1015169370.db2.gz DOBVHUBPDWEKBU-DGCLKSJQSA-N 0 2 318.381 0.800 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)/C=C\c2cc(C(=O)[O-])co2)CCO1 ZINC001594527631 986083499 /nfs/dbraw/zinc/08/34/99/986083499.db2.gz NGXDAMFULFAROE-OTDNITJGSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)/C=C\c2cc(C(=O)[O-])co2)CCO1 ZINC001594527631 986083514 /nfs/dbraw/zinc/08/35/14/986083514.db2.gz NGXDAMFULFAROE-OTDNITJGSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Cc1ccc(C(=O)[O-])nc1 ZINC001594528206 986095836 /nfs/dbraw/zinc/09/58/36/986095836.db2.gz STDJYRBHIUNEBA-NEPJUHHUSA-N 0 2 321.377 0.548 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Cc1ccc(C(=O)[O-])nc1 ZINC001594528206 986095851 /nfs/dbraw/zinc/09/58/51/986095851.db2.gz STDJYRBHIUNEBA-NEPJUHHUSA-N 0 2 321.377 0.548 20 0 DCADLN Cc1c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cnn1CC(C)C ZINC001599981684 986171847 /nfs/dbraw/zinc/17/18/47/986171847.db2.gz KUJRYIAQZDMMIR-ZDUSSCGKSA-N 0 2 319.365 0.996 20 0 DCADLN Cc1c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cnn1CC(C)C ZINC001599981684 986171850 /nfs/dbraw/zinc/17/18/50/986171850.db2.gz KUJRYIAQZDMMIR-ZDUSSCGKSA-N 0 2 319.365 0.996 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[NH+]1CCN(C2CC2)CC1 ZINC001589351325 986316393 /nfs/dbraw/zinc/31/63/93/986316393.db2.gz XWIJNQWQOQUXRJ-GFCCVEGCSA-N 0 2 309.410 0.478 20 0 DCADLN C[C@@H]1CN(c2cccc(F)c2)CC[N@@H+]1CC(=O)NCCC(=O)[O-] ZINC001594575086 986408917 /nfs/dbraw/zinc/40/89/17/986408917.db2.gz HHVFMCZYNBAODX-GFCCVEGCSA-N 0 2 323.368 0.927 20 0 DCADLN C[C@@H]1CN(c2cccc(F)c2)CC[N@H+]1CC(=O)NCCC(=O)[O-] ZINC001594575086 986408923 /nfs/dbraw/zinc/40/89/23/986408923.db2.gz HHVFMCZYNBAODX-GFCCVEGCSA-N 0 2 323.368 0.927 20 0 DCADLN COCCC(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001385545373 986417973 /nfs/dbraw/zinc/41/79/73/986417973.db2.gz XRMKQCXTRAJDNP-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385545373 986417981 /nfs/dbraw/zinc/41/79/81/986417981.db2.gz XRMKQCXTRAJDNP-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H]1COCCC[N@@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001594607672 986584971 /nfs/dbraw/zinc/58/49/71/986584971.db2.gz VQDOFCUPWWUVCN-IINYFYTJSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@H]1COCCC[N@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001594607672 986584974 /nfs/dbraw/zinc/58/49/74/986584974.db2.gz VQDOFCUPWWUVCN-IINYFYTJSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1)CNC(=O)C(F)C(F)(F)F ZINC001532126737 1015278375 /nfs/dbraw/zinc/27/83/75/1015278375.db2.gz RURMPUNFLOTSQO-SVRRBLITSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1)CNC(=O)[C@H](F)C(F)(F)F ZINC001532126737 1015278382 /nfs/dbraw/zinc/27/83/82/1015278382.db2.gz RURMPUNFLOTSQO-SVRRBLITSA-N 0 2 310.251 0.792 20 0 DCADLN CCC(=O)N1CCCC[C@H]1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001594701312 987141756 /nfs/dbraw/zinc/14/17/56/987141756.db2.gz JWDXHXJJMMKHSG-NEPJUHHUSA-N 0 2 322.365 0.313 20 0 DCADLN CCC(=O)N1CCCC[C@H]1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001594701312 987141757 /nfs/dbraw/zinc/14/17/57/987141757.db2.gz JWDXHXJJMMKHSG-NEPJUHHUSA-N 0 2 322.365 0.313 20 0 DCADLN C[C@H](CNC(=O)c1cnc[nH]c1=O)NC(=O)C(F)C(F)(F)F ZINC001386890806 987482583 /nfs/dbraw/zinc/48/25/83/987482583.db2.gz YVMPMDQSMJQFGC-IYSWYEEDSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)c1cnc[nH]c1=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001386890806 987482590 /nfs/dbraw/zinc/48/25/90/987482590.db2.gz YVMPMDQSMJQFGC-IYSWYEEDSA-N 0 2 324.234 0.317 20 0 DCADLN CC(C)(C)OC(=O)C[NH+]1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001589891932 988583538 /nfs/dbraw/zinc/58/35/38/988583538.db2.gz WJTBXUFIVFGLGR-UHFFFAOYSA-N 0 2 310.354 0.955 20 0 DCADLN O=C(CCc1ccon1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001389236072 989012003 /nfs/dbraw/zinc/01/20/03/989012003.db2.gz VJVIYAGPOLZWKC-SNVBAGLBSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(CCc1ccon1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001389236072 989012014 /nfs/dbraw/zinc/01/20/14/989012014.db2.gz VJVIYAGPOLZWKC-SNVBAGLBSA-N 0 2 323.246 0.835 20 0 DCADLN CCc1noc(C)c1C[NH+]1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001597742320 989106206 /nfs/dbraw/zinc/10/62/06/989106206.db2.gz NRMBQCHVUDIKNA-INIZCTEOSA-N 0 2 323.393 0.907 20 0 DCADLN CCOCC(=O)N[C@H](CC)CNC(=O)C(F)C(F)(F)F ZINC001450957592 1015482792 /nfs/dbraw/zinc/48/27/92/1015482792.db2.gz JWFPSULTHXOJBB-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)N[C@H](CC)CNC(=O)[C@@H](F)C(F)(F)F ZINC001450957592 1015482793 /nfs/dbraw/zinc/48/27/93/1015482793.db2.gz JWFPSULTHXOJBB-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCn1cc(C[NH2+][C@H](C)c2ncc(C(=O)[O-])s2)c(=O)[nH]c1=O ZINC001597889648 989739442 /nfs/dbraw/zinc/73/94/42/989739442.db2.gz MXNSRPKTHMUIIR-SSDOTTSWSA-N 0 2 324.362 0.974 20 0 DCADLN CC(C)(C)n1cc(C(=O)C(=O)N2CC[NH+](CC(=O)[O-])CC2)cn1 ZINC001590586928 990232197 /nfs/dbraw/zinc/23/21/97/990232197.db2.gz AQNCTMRKFYEIJI-UHFFFAOYSA-N 0 2 322.365 0.050 20 0 DCADLN CCn1cc(CC[N@H+](C)CCNC(=O)c2n[nH]c(C)c2[O-])cn1 ZINC001390756273 990356085 /nfs/dbraw/zinc/35/60/85/990356085.db2.gz YVCQNDULUUZQFO-UHFFFAOYSA-N 0 2 320.397 0.544 20 0 DCADLN CCn1cc(CC[N@@H+](C)CCNC(=O)c2n[nH]c(C)c2[O-])cn1 ZINC001390756273 990356091 /nfs/dbraw/zinc/35/60/91/990356091.db2.gz YVCQNDULUUZQFO-UHFFFAOYSA-N 0 2 320.397 0.544 20 0 DCADLN CN(C)C(=O)C[N@@H+]1CCCN(Cc2occc2C(=O)[O-])CC1 ZINC001598130034 990567200 /nfs/dbraw/zinc/56/72/00/990567200.db2.gz OTCFHXJJXMSWEN-UHFFFAOYSA-N 0 2 309.366 0.574 20 0 DCADLN CN(C)C(=O)C[N@H+]1CCCN(Cc2occc2C(=O)[O-])CC1 ZINC001598130034 990567203 /nfs/dbraw/zinc/56/72/03/990567203.db2.gz OTCFHXJJXMSWEN-UHFFFAOYSA-N 0 2 309.366 0.574 20 0 DCADLN COCCC(=O)N(C)CCN(C)C(=O)C(F)C(F)(F)F ZINC001391709869 991121770 /nfs/dbraw/zinc/12/17/70/991121770.db2.gz TXKQHAGIJYSCNZ-SECBINFHSA-N 0 2 302.268 0.840 20 0 DCADLN COCCC(=O)N(C)CCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001391709869 991121772 /nfs/dbraw/zinc/12/17/72/991121772.db2.gz TXKQHAGIJYSCNZ-SECBINFHSA-N 0 2 302.268 0.840 20 0 DCADLN CC(C)[C@H]1C[C@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCO1 ZINC001591073081 991538831 /nfs/dbraw/zinc/53/88/31/991538831.db2.gz RNXWBZREDHLLJN-RAIGVLPGSA-N 0 2 309.366 0.973 20 0 DCADLN CC(C)[C@H]1C[C@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCO1 ZINC001591073081 991538839 /nfs/dbraw/zinc/53/88/39/991538839.db2.gz RNXWBZREDHLLJN-RAIGVLPGSA-N 0 2 309.366 0.973 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC001598485103 992195023 /nfs/dbraw/zinc/19/50/23/992195023.db2.gz KPOCHDZEYAQLCT-OAHLLOKOSA-N 0 2 322.365 0.055 20 0 DCADLN CN(CC[N@H+](C)CC(=O)NC(C)(C)C)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001598486144 992208329 /nfs/dbraw/zinc/20/83/29/992208329.db2.gz KCMAWNVSNZUMGK-QWRGUYRKSA-N 0 2 313.398 0.012 20 0 DCADLN CN(CC[N@@H+](C)CC(=O)NC(C)(C)C)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001598486144 992208335 /nfs/dbraw/zinc/20/83/35/992208335.db2.gz KCMAWNVSNZUMGK-QWRGUYRKSA-N 0 2 313.398 0.012 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cnc(C2CC2)o1 ZINC001392663912 992230427 /nfs/dbraw/zinc/23/04/27/992230427.db2.gz SENYSZYFXCHCRG-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cnc(C2CC2)o1 ZINC001392663912 992230434 /nfs/dbraw/zinc/23/04/34/992230434.db2.gz SENYSZYFXCHCRG-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN CCc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001392705592 992294481 /nfs/dbraw/zinc/29/44/81/992294481.db2.gz FGHJISYGHDKBNI-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CCc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001392705592 992294490 /nfs/dbraw/zinc/29/44/90/992294490.db2.gz FGHJISYGHDKBNI-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)CCCn1cc[nH+]c1)C(=O)[O-] ZINC001591457285 992563214 /nfs/dbraw/zinc/56/32/14/992563214.db2.gz DQWCYIPVSSGSCO-LBPRGKRZSA-N 0 2 324.381 0.395 20 0 DCADLN CN(C(=O)C1(C(F)(F)F)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001393011514 992621973 /nfs/dbraw/zinc/62/19/73/992621973.db2.gz KIZMXSGHXNTXKS-UHFFFAOYSA-N 0 2 319.287 0.495 20 0 DCADLN COC[C@H](C)CC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393124945 992701502 /nfs/dbraw/zinc/70/15/02/992701502.db2.gz TXJWDBNNFSNHSQ-YGRLFVJLSA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@H](C)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393124945 992701510 /nfs/dbraw/zinc/70/15/10/992701510.db2.gz TXJWDBNNFSNHSQ-YGRLFVJLSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ncccn1)C(F)C(F)(F)F ZINC001393350775 992905809 /nfs/dbraw/zinc/90/58/09/992905809.db2.gz WTZUHODXPHPBLI-HTQZYQBOSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ncccn1)[C@@H](F)C(F)(F)F ZINC001393350775 992905829 /nfs/dbraw/zinc/90/58/29/992905829.db2.gz WTZUHODXPHPBLI-HTQZYQBOSA-N 0 2 320.246 0.708 20 0 DCADLN COc1cccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001394127363 993499103 /nfs/dbraw/zinc/49/91/03/993499103.db2.gz RNRCFUFNNKYVGY-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN COc1cccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001394127363 993499107 /nfs/dbraw/zinc/49/91/07/993499107.db2.gz RNRCFUFNNKYVGY-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN CC(C)c1nc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001399595865 993597440 /nfs/dbraw/zinc/59/74/40/993597440.db2.gz KZXUMAONMZPKEU-UHFFFAOYSA-N 0 2 324.410 0.952 20 0 DCADLN CC(C)c1nc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001399595865 993597443 /nfs/dbraw/zinc/59/74/43/993597443.db2.gz KZXUMAONMZPKEU-UHFFFAOYSA-N 0 2 324.410 0.952 20 0 DCADLN C[C@H](NC(=O)N[C@H]1CC=C(C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC001593081547 993663276 /nfs/dbraw/zinc/66/32/76/993663276.db2.gz CQNGLOYHOXNUTC-GVXVVHGQSA-N 0 2 311.382 0.568 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cccnn1 ZINC001399704914 993687210 /nfs/dbraw/zinc/68/72/10/993687210.db2.gz IHKSRXCUKRKPPL-VIFPVBQESA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1cccnn1 ZINC001399704914 993687221 /nfs/dbraw/zinc/68/72/21/993687221.db2.gz IHKSRXCUKRKPPL-VIFPVBQESA-N 0 2 322.262 0.907 20 0 DCADLN CC(C)(C)CC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001399785193 993770891 /nfs/dbraw/zinc/77/08/91/993770891.db2.gz NEDSTQRQYXBAEX-CBAPKCEASA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001399785193 993770901 /nfs/dbraw/zinc/77/09/01/993770901.db2.gz NEDSTQRQYXBAEX-CBAPKCEASA-N 0 2 316.295 0.916 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)NC[C@@H]1CCC[N@H+]1[C@H](C)C(N)=O ZINC001399871798 993863193 /nfs/dbraw/zinc/86/31/93/993863193.db2.gz LPBIRAFOJJQQFG-OCCSQVGLSA-N 0 2 321.425 0.426 20 0 DCADLN Cc1nc(CN[C@H](CO)CNC(=O)C(F)C(F)(F)F)co1 ZINC001394589089 993876724 /nfs/dbraw/zinc/87/67/24/993876724.db2.gz KPKNUPZLELGGOV-CBAPKCEASA-N 0 2 313.251 0.450 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-])[C@H]1CN(C)CC[N@@H+]1C ZINC001593138749 993909700 /nfs/dbraw/zinc/90/97/00/993909700.db2.gz KJSGNOGQHBLILC-XJFOESAGSA-N 0 2 311.426 0.628 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-])[C@H]1CN(C)CC[N@H+]1C ZINC001593138749 993909714 /nfs/dbraw/zinc/90/97/14/993909714.db2.gz KJSGNOGQHBLILC-XJFOESAGSA-N 0 2 311.426 0.628 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001399989964 994026369 /nfs/dbraw/zinc/02/63/69/994026369.db2.gz ORYAGGBMERDHDY-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001399989964 994026376 /nfs/dbraw/zinc/02/63/76/994026376.db2.gz ORYAGGBMERDHDY-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN CCc1ocnc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001399989636 994027438 /nfs/dbraw/zinc/02/74/38/994027438.db2.gz MSZACXQXUXHZFP-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN CCc1ocnc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001399989636 994027447 /nfs/dbraw/zinc/02/74/47/994027447.db2.gz MSZACXQXUXHZFP-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)NC[C@H]2C[C@H]2C(=O)[O-])C1 ZINC001598626848 994111196 /nfs/dbraw/zinc/11/11/96/994111196.db2.gz RQXKMLXIOAXREE-DGCLKSJQSA-N 0 2 324.402 0.674 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)NC[C@H]2C[C@H]2C(=O)[O-])C1 ZINC001598626848 994111202 /nfs/dbraw/zinc/11/12/02/994111202.db2.gz RQXKMLXIOAXREE-DGCLKSJQSA-N 0 2 324.402 0.674 20 0 DCADLN C[C@@H](CNC(=O)C[N@@H+]1CCC[C@@H]1C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001400307430 994403678 /nfs/dbraw/zinc/40/36/78/994403678.db2.gz JPPVDBROKTWUBC-RYUDHWBXSA-N 0 2 307.398 0.057 20 0 DCADLN C[C@H]([NH2+]CC(=O)NCCC(=O)[O-])c1cn(-c2ccccc2)nn1 ZINC001593236457 994405514 /nfs/dbraw/zinc/40/55/14/994405514.db2.gz FAQSXQQPMZWFOI-NSHDSACASA-N 0 2 317.349 0.509 20 0 DCADLN C[C@H](CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001400547215 994640684 /nfs/dbraw/zinc/64/06/84/994640684.db2.gz VDOLTJZJFDLZRY-LLVKDONJSA-N 0 2 318.381 0.511 20 0 DCADLN CNS(=O)(=O)C1CCN(c2cc(CC(=O)[O-])cc[nH+]2)CC1 ZINC001598669641 994733561 /nfs/dbraw/zinc/73/35/61/994733561.db2.gz OUYUBSQZLMYIEF-UHFFFAOYSA-N 0 2 313.379 0.227 20 0 DCADLN C[C@@H]([NH2+]Cc1cn(CC(=O)[O-])nn1)c1ccc([S@](C)=O)cc1 ZINC001593310417 994772872 /nfs/dbraw/zinc/77/28/72/994772872.db2.gz IEBNKQJRIHFEDW-STFLBKPXSA-N 0 2 322.390 0.951 20 0 DCADLN CN(C(=O)CC(C)(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400708507 994825263 /nfs/dbraw/zinc/82/52/63/994825263.db2.gz NXLMNPJGUFNIKZ-MRVPVSSYSA-N 0 2 303.313 0.588 20 0 DCADLN CN(C(=O)CC(C)(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400708507 994825271 /nfs/dbraw/zinc/82/52/71/994825271.db2.gz NXLMNPJGUFNIKZ-MRVPVSSYSA-N 0 2 303.313 0.588 20 0 DCADLN CN(C(=O)CC(C)(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400708507 994825275 /nfs/dbraw/zinc/82/52/75/994825275.db2.gz NXLMNPJGUFNIKZ-MRVPVSSYSA-N 0 2 303.313 0.588 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001400746428 994873093 /nfs/dbraw/zinc/87/30/93/994873093.db2.gz IIBBYBKBENYQMT-DTWKUNHWSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001400746428 994873103 /nfs/dbraw/zinc/87/31/03/994873103.db2.gz IIBBYBKBENYQMT-DTWKUNHWSA-N 0 2 314.279 0.981 20 0 DCADLN O=C(NC1CCOCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001464314066 1015899861 /nfs/dbraw/zinc/89/98/61/1015899861.db2.gz RJJAEQAFUMLHIW-UHFFFAOYSA-N 0 2 304.306 0.175 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1(CF)CC1)C(F)C(F)(F)F ZINC001395741429 994955939 /nfs/dbraw/zinc/95/59/39/994955939.db2.gz MGMCKYSZTKBBSW-NKWVEPMBSA-N 0 2 318.242 0.230 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1(CF)CC1)[C@@H](F)C(F)(F)F ZINC001395741429 994955953 /nfs/dbraw/zinc/95/59/53/994955953.db2.gz MGMCKYSZTKBBSW-NKWVEPMBSA-N 0 2 318.242 0.230 20 0 DCADLN C[C@](O)(C[N@@H+]1CCN(Cc2cccc(F)c2)C(=O)C1)C(=O)[O-] ZINC001593396067 995136807 /nfs/dbraw/zinc/13/68/07/995136807.db2.gz GAZQBONVCZTADV-HNNXBMFYSA-N 0 2 310.325 0.306 20 0 DCADLN C[C@](O)(C[N@H+]1CCN(Cc2cccc(F)c2)C(=O)C1)C(=O)[O-] ZINC001593396067 995136813 /nfs/dbraw/zinc/13/68/13/995136813.db2.gz GAZQBONVCZTADV-HNNXBMFYSA-N 0 2 310.325 0.306 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC001598703430 995254289 /nfs/dbraw/zinc/25/42/89/995254289.db2.gz VHCGIGVNHOHDRA-SECBINFHSA-N 0 2 321.289 0.454 20 0 DCADLN COC(=O)C1=NO[C@]2(CCN(c3ccc(C)c(C(=O)[O-])[nH+]3)C2)C1 ZINC001598728566 995537847 /nfs/dbraw/zinc/53/78/47/995537847.db2.gz JBMMPFHHNYMCNF-OAHLLOKOSA-N 0 2 319.317 0.986 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NCc1nnn(C)n1 ZINC001507076342 1015957337 /nfs/dbraw/zinc/95/73/37/1015957337.db2.gz HSBLXVQQPYIPJU-HTRCEHHLSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NCc1nnn(C)n1 ZINC001507076347 1015958287 /nfs/dbraw/zinc/95/82/87/1015958287.db2.gz HSBLXVQQPYIPJU-SVRRBLITSA-N 0 2 312.271 0.047 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)C[N@@H+]([C@H](C(=O)[O-])c2ccccc2F)C1 ZINC001598756497 995726102 /nfs/dbraw/zinc/72/61/02/995726102.db2.gz LSNNJJYFEJWZET-NRUUGDAUSA-N 0 2 311.309 0.807 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)C[N@H+]([C@H](C(=O)[O-])c2ccccc2F)C1 ZINC001598756497 995726110 /nfs/dbraw/zinc/72/61/10/995726110.db2.gz LSNNJJYFEJWZET-NRUUGDAUSA-N 0 2 311.309 0.807 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)C[N@@H+]([C@@H](C(=O)[O-])c2ccccc2F)C1 ZINC001598756495 995726265 /nfs/dbraw/zinc/72/62/65/995726265.db2.gz LSNNJJYFEJWZET-GIPNMCIBSA-N 0 2 311.309 0.807 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)C[N@H+]([C@@H](C(=O)[O-])c2ccccc2F)C1 ZINC001598756495 995726273 /nfs/dbraw/zinc/72/62/73/995726273.db2.gz LSNNJJYFEJWZET-GIPNMCIBSA-N 0 2 311.309 0.807 20 0 DCADLN C[C@H](OC[C@@H]1CCCO1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593607994 995791479 /nfs/dbraw/zinc/79/14/79/995791479.db2.gz ASHXVUXKQNSYKR-ZMLRMANQSA-N 0 2 311.338 0.106 20 0 DCADLN C[C@H](OC[C@@H]1CCCO1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593607994 995791484 /nfs/dbraw/zinc/79/14/84/995791484.db2.gz ASHXVUXKQNSYKR-ZMLRMANQSA-N 0 2 311.338 0.106 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n1C ZINC001397533848 996052664 /nfs/dbraw/zinc/05/26/64/996052664.db2.gz CNUZFNQULHJIOU-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)n1C ZINC001397533848 996052669 /nfs/dbraw/zinc/05/26/69/996052669.db2.gz CNUZFNQULHJIOU-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001510859729 1016018476 /nfs/dbraw/zinc/01/84/76/1016018476.db2.gz BHGAYLDQYCOHAD-CNTVWIKJSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001510859729 1016018482 /nfs/dbraw/zinc/01/84/82/1016018482.db2.gz BHGAYLDQYCOHAD-CNTVWIKJSA-N 0 2 314.279 0.836 20 0 DCADLN C[C@@H](c1nnnn1C)[N@@H+]1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001593737931 996341756 /nfs/dbraw/zinc/34/17/56/996341756.db2.gz NHRZEVYFZNFHOG-YUMQZZPRSA-N 0 2 308.367 0.915 20 0 DCADLN C[C@@H](c1nnnn1C)[N@H+]1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001593737931 996341760 /nfs/dbraw/zinc/34/17/60/996341760.db2.gz NHRZEVYFZNFHOG-YUMQZZPRSA-N 0 2 308.367 0.915 20 0 DCADLN C[C@@]1(Br)C[C@@H]1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593740353 996356648 /nfs/dbraw/zinc/35/66/48/996356648.db2.gz RNESCXBBYUMVQC-SOCHQFKDSA-N 0 2 316.155 0.695 20 0 DCADLN C[C@@]1(Br)C[C@@H]1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593740353 996356651 /nfs/dbraw/zinc/35/66/51/996356651.db2.gz RNESCXBBYUMVQC-SOCHQFKDSA-N 0 2 316.155 0.695 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]n1 ZINC001398099434 996364664 /nfs/dbraw/zinc/36/46/64/996364664.db2.gz PJXVDUFHSBRTCW-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccn[nH]1 ZINC001398099434 996364669 /nfs/dbraw/zinc/36/46/69/996364669.db2.gz PJXVDUFHSBRTCW-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN O=C(Cc1cnoc1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398755868 996673927 /nfs/dbraw/zinc/67/39/27/996673927.db2.gz BSJVJJSJYWRYRG-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN O=C(Cc1cnoc1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398755868 996673931 /nfs/dbraw/zinc/67/39/31/996673931.db2.gz BSJVJJSJYWRYRG-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN COC(=O)CNC(=O)[C@@H](C)[N@@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC001598864082 996699785 /nfs/dbraw/zinc/69/97/85/996699785.db2.gz ZKJLPSAGCGWFSF-SNVBAGLBSA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)CNC(=O)[C@@H](C)[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC001598864082 996699788 /nfs/dbraw/zinc/69/97/88/996699788.db2.gz ZKJLPSAGCGWFSF-SNVBAGLBSA-N 0 2 320.345 0.421 20 0 DCADLN O=C(C[C@@H]1CCCO1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404225997 996833152 /nfs/dbraw/zinc/83/31/52/996833152.db2.gz VRKOLKFYCDEKLA-NSHDSACASA-N 0 2 309.370 0.160 20 0 DCADLN O=C(C[C@@H]1CCCO1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404225997 996833163 /nfs/dbraw/zinc/83/31/63/996833163.db2.gz VRKOLKFYCDEKLA-NSHDSACASA-N 0 2 309.370 0.160 20 0 DCADLN CC1(C)NC(=O)N(CCCNc2ccc(C(=O)[O-])c[nH+]2)C1=O ZINC001593967053 997111480 /nfs/dbraw/zinc/11/14/80/997111480.db2.gz GANHNOVKQVHVAM-UHFFFAOYSA-N 0 2 306.322 0.912 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@@H+]([C@@H]1CCN(C)C1=O)CC2 ZINC001599501220 997124477 /nfs/dbraw/zinc/12/44/77/997124477.db2.gz KIEUQWKNMNIHJI-CYBMUJFWSA-N 0 2 304.346 0.982 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@H+]([C@@H]1CCN(C)C1=O)CC2 ZINC001599501220 997124483 /nfs/dbraw/zinc/12/44/83/997124483.db2.gz KIEUQWKNMNIHJI-CYBMUJFWSA-N 0 2 304.346 0.982 20 0 DCADLN COC(=O)[C@@H]1OCC[C@@H]1[NH2+]CC(=O)c1[nH]c(C)c(C(=O)[O-])c1C ZINC001598902210 997193630 /nfs/dbraw/zinc/19/36/30/997193630.db2.gz VWMVQENGUVKRIL-TVQRCGJNSA-N 0 2 324.333 0.433 20 0 DCADLN COCc1nc(C[N@H+]2C[C@H](C(=O)OC)[C@H](C(=O)[O-])C2)cs1 ZINC001599301107 997234969 /nfs/dbraw/zinc/23/49/69/997234969.db2.gz YRQDKBUCAPRMGH-ZJUUUORDSA-N 0 2 314.363 0.595 20 0 DCADLN COCc1nc(C[N@@H+]2C[C@H](C(=O)OC)[C@H](C(=O)[O-])C2)cs1 ZINC001599301107 997234978 /nfs/dbraw/zinc/23/49/78/997234978.db2.gz YRQDKBUCAPRMGH-ZJUUUORDSA-N 0 2 314.363 0.595 20 0 DCADLN COCc1ncsc1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001599305229 997301294 /nfs/dbraw/zinc/30/12/94/997301294.db2.gz KEXBTDSKGSXUCZ-QMMMGPOBSA-N 0 2 310.335 0.369 20 0 DCADLN CC(C)(O)[C@@H](O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001467266802 1016150193 /nfs/dbraw/zinc/15/01/93/1016150193.db2.gz UEIPRLFJFYLTHC-ZDUSSCGKSA-N 0 2 320.349 0.132 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405090720 997778576 /nfs/dbraw/zinc/77/85/76/997778576.db2.gz PIAFIZDORLQCCY-PWSUYJOCSA-N 0 2 323.397 0.358 20 0 DCADLN CO[C@H]1CC[N@H+](CC(=O)NCc2ccccc2)[C@H](C(=O)[O-])C1 ZINC001599124644 997817975 /nfs/dbraw/zinc/81/79/75/997817975.db2.gz FPPGYFLONFQMIS-KBPBESRZSA-N 0 2 306.362 0.867 20 0 DCADLN CO[C@H]1CC[N@@H+](CC(=O)NCc2ccccc2)[C@H](C(=O)[O-])C1 ZINC001599124644 997817982 /nfs/dbraw/zinc/81/79/82/997817982.db2.gz FPPGYFLONFQMIS-KBPBESRZSA-N 0 2 306.362 0.867 20 0 DCADLN C[C@]1([NH2+]CCCN2C(=O)CS/C2=C\C(=O)[O-])CCOC1=O ZINC001594059661 997820278 /nfs/dbraw/zinc/82/02/78/997820278.db2.gz CMDBRXZHEZFXJI-SZNGSJQDSA-N 0 2 314.363 0.173 20 0 DCADLN CNc1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC001467509175 1016176049 /nfs/dbraw/zinc/17/60/49/1016176049.db2.gz SXXSSITYSUMMAZ-VIFPVBQESA-N 0 2 302.338 0.967 20 0 DCADLN CC1=C(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C(=O)OC1(C)C ZINC001594093897 998059067 /nfs/dbraw/zinc/05/90/67/998059067.db2.gz QPDHPNSYLFQWLV-SECBINFHSA-N 0 2 307.306 0.174 20 0 DCADLN CC1=C(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C(=O)OC1(C)C ZINC001594093897 998059079 /nfs/dbraw/zinc/05/90/79/998059079.db2.gz QPDHPNSYLFQWLV-SECBINFHSA-N 0 2 307.306 0.174 20 0 DCADLN CCc1occc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001492941323 998242384 /nfs/dbraw/zinc/24/23/84/998242384.db2.gz DRBVWOXHGGQBAS-UHFFFAOYSA-N 0 2 307.354 0.918 20 0 DCADLN CCc1occc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001492941323 998242396 /nfs/dbraw/zinc/24/23/96/998242396.db2.gz DRBVWOXHGGQBAS-UHFFFAOYSA-N 0 2 307.354 0.918 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)n1C ZINC001598974883 998585557 /nfs/dbraw/zinc/58/55/57/998585557.db2.gz VLHCIPFPQAGEKI-HNNXBMFYSA-N 0 2 321.333 0.617 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)n1C ZINC001598974883 998585563 /nfs/dbraw/zinc/58/55/63/998585563.db2.gz VLHCIPFPQAGEKI-HNNXBMFYSA-N 0 2 321.333 0.617 20 0 DCADLN COC(=O)c1ccc2c(c1)C[N@H+]([C@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001598991772 998744273 /nfs/dbraw/zinc/74/42/73/998744273.db2.gz WIVVLVJCCCHWBR-SNVBAGLBSA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)c1ccc2c(c1)C[N@@H+]([C@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001598991772 998744279 /nfs/dbraw/zinc/74/42/79/998744279.db2.gz WIVVLVJCCCHWBR-SNVBAGLBSA-N 0 2 320.345 0.421 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])n1C ZINC001594251927 998970182 /nfs/dbraw/zinc/97/01/82/998970182.db2.gz ZVHVWVUVOGCWDP-VXGBXAGGSA-N 0 2 321.377 0.659 20 0 DCADLN COC(CN(CCC(=O)[O-])C(=O)CCc1c[nH+]cn1C)OC ZINC001599045560 999148599 /nfs/dbraw/zinc/14/85/99/999148599.db2.gz NLDNAAMKVCSEFP-UHFFFAOYSA-N 0 2 313.354 0.275 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1CCC1 ZINC001511465703 1016291774 /nfs/dbraw/zinc/29/17/74/1016291774.db2.gz HIYFSYQMNHSRCQ-DTWKUNHWSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1CCC1 ZINC001511465703 1016291785 /nfs/dbraw/zinc/29/17/85/1016291785.db2.gz HIYFSYQMNHSRCQ-DTWKUNHWSA-N 0 2 314.279 0.622 20 0 DCADLN CSCC(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001511548864 1016345887 /nfs/dbraw/zinc/34/58/87/1016345887.db2.gz LLIWZFUSRRMYFC-POYBYMJQSA-N 0 2 320.308 0.185 20 0 DCADLN CSCC(=O)NC[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001511548864 1016345898 /nfs/dbraw/zinc/34/58/98/1016345898.db2.gz LLIWZFUSRRMYFC-POYBYMJQSA-N 0 2 320.308 0.185 20 0 DCADLN O=C(CC1CCCC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001401193906 1000259052 /nfs/dbraw/zinc/25/90/52/1000259052.db2.gz MSBVUVPWXSDJFG-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1ccc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001418518536 1000307246 /nfs/dbraw/zinc/30/72/46/1000307246.db2.gz FKNKYIPWQLVERJ-UHFFFAOYSA-N 0 2 317.393 0.918 20 0 DCADLN Cc1ccc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001418518536 1000307250 /nfs/dbraw/zinc/30/72/50/1000307250.db2.gz FKNKYIPWQLVERJ-UHFFFAOYSA-N 0 2 317.393 0.918 20 0 DCADLN COc1ccc(F)c(C(=O)NCC2=NC(=O)CC(=O)N2)c1OC ZINC001418621658 1000400918 /nfs/dbraw/zinc/40/09/18/1000400918.db2.gz KLJVVKIBVNQYEX-UHFFFAOYSA-N 0 2 323.280 0.974 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1(CCF)CC1 ZINC001418628126 1000406702 /nfs/dbraw/zinc/40/67/02/1000406702.db2.gz XLCLQNAYYFHXSS-SNVBAGLBSA-N 0 2 311.361 0.731 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1(CCF)CC1 ZINC001418628126 1000406709 /nfs/dbraw/zinc/40/67/09/1000406709.db2.gz XLCLQNAYYFHXSS-SNVBAGLBSA-N 0 2 311.361 0.731 20 0 DCADLN Cn1nncc1C[N@H+](CCNC(=O)C[NH+](C)C1CCC1)C1CC1 ZINC001418762424 1000500556 /nfs/dbraw/zinc/50/05/56/1000500556.db2.gz WOGSIVZTEXQANO-UHFFFAOYSA-N 0 2 320.441 0.380 20 0 DCADLN CN(C(=O)CC[C@@H]1CCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419104107 1000774740 /nfs/dbraw/zinc/77/47/40/1000774740.db2.gz JVPAPRZOZKIWIX-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)CC[C@@H]1CCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419104107 1000774745 /nfs/dbraw/zinc/77/47/45/1000774745.db2.gz JVPAPRZOZKIWIX-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(N[C@H]1CCNC1=O)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC001470482429 1016440000 /nfs/dbraw/zinc/44/00/00/1016440000.db2.gz BQUQVAIJWVXSEF-JTQLQIEISA-N 0 2 320.736 0.850 20 0 DCADLN Cn1ncc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001401897260 1000831589 /nfs/dbraw/zinc/83/15/89/1000831589.db2.gz WIMXTNYRIQPVSO-BDAKNGLRSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncc(CN2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001401897260 1000831593 /nfs/dbraw/zinc/83/15/93/1000831593.db2.gz WIMXTNYRIQPVSO-BDAKNGLRSA-N 0 2 309.267 0.406 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001402018016 1000949518 /nfs/dbraw/zinc/94/95/18/1000949518.db2.gz GKDJGVDUSXOKMH-VIFPVBQESA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001402018016 1000949522 /nfs/dbraw/zinc/94/95/22/1000949522.db2.gz GKDJGVDUSXOKMH-VIFPVBQESA-N 0 2 309.267 0.358 20 0 DCADLN CCn1cc(CN2CC(N(C)C(=O)C(F)C(F)(F)F)C2)nn1 ZINC001402028540 1000958101 /nfs/dbraw/zinc/95/81/01/1000958101.db2.gz WQMXLGAGLLVRAR-SNVBAGLBSA-N 0 2 323.294 0.841 20 0 DCADLN CCn1cc(CN2CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001402028540 1000958110 /nfs/dbraw/zinc/95/81/10/1000958110.db2.gz WQMXLGAGLLVRAR-SNVBAGLBSA-N 0 2 323.294 0.841 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CCC1 ZINC001419572644 1001149077 /nfs/dbraw/zinc/14/90/77/1001149077.db2.gz XFFODGHRZDVKLC-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001505749096 1016519385 /nfs/dbraw/zinc/51/93/85/1016519385.db2.gz CDPVBPZMMWZFLJ-OIBJUYFYSA-N 0 2 322.262 0.570 20 0 DCADLN CC(=O)NC(C)(C)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001420113037 1001889186 /nfs/dbraw/zinc/88/91/86/1001889186.db2.gz WNJKIYXXKSBFPQ-UHFFFAOYSA-N 0 2 310.354 0.112 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](C)CC(=O)N[C@H](C)C2CC2)c1[O-] ZINC001403550375 1002122981 /nfs/dbraw/zinc/12/29/81/1002122981.db2.gz ZEGSWKIWWLOIKZ-SECBINFHSA-N 0 2 323.397 0.000 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](C)CC(=O)N[C@H](C)C2CC2)c1[O-] ZINC001403550375 1002122984 /nfs/dbraw/zinc/12/29/84/1002122984.db2.gz ZEGSWKIWWLOIKZ-SECBINFHSA-N 0 2 323.397 0.000 20 0 DCADLN CC[C@H](C)n1nccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403562874 1002146228 /nfs/dbraw/zinc/14/62/28/1002146228.db2.gz IIMOUMLCWFXWCV-JTQLQIEISA-N 0 2 321.385 0.540 20 0 DCADLN CC[C@H](C)n1nccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403562874 1002146237 /nfs/dbraw/zinc/14/62/37/1002146237.db2.gz IIMOUMLCWFXWCV-JTQLQIEISA-N 0 2 321.385 0.540 20 0 DCADLN Cc1cnc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001420276486 1002153462 /nfs/dbraw/zinc/15/34/62/1002153462.db2.gz ZEKGLTMYKQHIMO-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN Cc1cnc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001420276486 1002153465 /nfs/dbraw/zinc/15/34/65/1002153465.db2.gz ZEKGLTMYKQHIMO-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN C[C@H](NC(=O)Cc1[nH]cc[nH+]1)C1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001425255628 1002483701 /nfs/dbraw/zinc/48/37/01/1002483701.db2.gz QYJGYIGCKDWQJY-JSGCOSHPSA-N 0 2 306.410 0.958 20 0 DCADLN CCn1nnc(C)c1C[NH2+]C[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001420495604 1002500348 /nfs/dbraw/zinc/50/03/48/1002500348.db2.gz CGZGUGABCLGQKE-JTQLQIEISA-N 0 2 319.413 0.475 20 0 DCADLN CCCn1nccc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420569032 1002631621 /nfs/dbraw/zinc/63/16/21/1002631621.db2.gz CMJGFVLDGHARPQ-JTQLQIEISA-N 0 2 321.385 0.367 20 0 DCADLN CCCn1nccc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420569032 1002631629 /nfs/dbraw/zinc/63/16/29/1002631629.db2.gz CMJGFVLDGHARPQ-JTQLQIEISA-N 0 2 321.385 0.367 20 0 DCADLN CC(C)c1nnc(CN(C)C[C@@H](C)NC(=O)c2cnn[nH]2)[nH]1 ZINC001420588233 1002655266 /nfs/dbraw/zinc/65/52/66/1002655266.db2.gz BATKVGTZAGWAIN-SECBINFHSA-N 0 2 306.374 0.297 20 0 DCADLN CC[N@H+](CCNC(=O)c1cn(C(C)C)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001403892238 1002692747 /nfs/dbraw/zinc/69/27/47/1002692747.db2.gz HJPLMIIRDXSZBT-UHFFFAOYSA-N 0 2 321.385 0.540 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cn(C(C)C)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001403892238 1002692758 /nfs/dbraw/zinc/69/27/58/1002692758.db2.gz HJPLMIIRDXSZBT-UHFFFAOYSA-N 0 2 321.385 0.540 20 0 DCADLN C[C@@](O)(CC(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001420649894 1002747924 /nfs/dbraw/zinc/74/79/24/1002747924.db2.gz FMLQAIUAOCCXJQ-OAHLLOKOSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@](O)(CC(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001420649894 1002747934 /nfs/dbraw/zinc/74/79/34/1002747934.db2.gz FMLQAIUAOCCXJQ-OAHLLOKOSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1C[C@@H]1C(F)F ZINC001420659387 1002764400 /nfs/dbraw/zinc/76/44/00/1002764400.db2.gz RGIMLYOVFBYYRC-IUCAKERBSA-N 0 2 315.324 0.492 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1C[C@@H]1C(F)F ZINC001420659387 1002764408 /nfs/dbraw/zinc/76/44/08/1002764408.db2.gz RGIMLYOVFBYYRC-IUCAKERBSA-N 0 2 315.324 0.492 20 0 DCADLN CC[C@H](C)OCC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403983820 1002847720 /nfs/dbraw/zinc/84/77/20/1002847720.db2.gz NOZQQNDVPUFGAU-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@H](C)OCC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403983820 1002847735 /nfs/dbraw/zinc/84/77/35/1002847735.db2.gz NOZQQNDVPUFGAU-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN Cc1nc(C2CCN(C(=O)CCc3nn[nH]n3)CC2)[nH]c1C ZINC001471912459 1016687188 /nfs/dbraw/zinc/68/71/88/1016687188.db2.gz XJJQCEXNMXYFKE-UHFFFAOYSA-N 0 2 303.370 0.878 20 0 DCADLN C[C@H]([NH2+][C@@H](CO)CNC(=O)C[N@H+](C)C1CCC1)c1ncccn1 ZINC001425751227 1003052814 /nfs/dbraw/zinc/05/28/14/1003052814.db2.gz IWAAHCWNQQJNTE-QWHCGFSZSA-N 0 2 321.425 0.089 20 0 DCADLN C[C@H](c1nncn1C)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001421133735 1003367254 /nfs/dbraw/zinc/36/72/54/1003367254.db2.gz OEWPGBIIAPKMKD-VXNVDRBHSA-N 0 2 323.294 0.825 20 0 DCADLN C[C@H](c1nncn1C)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001421133735 1003367261 /nfs/dbraw/zinc/36/72/61/1003367261.db2.gz OEWPGBIIAPKMKD-VXNVDRBHSA-N 0 2 323.294 0.825 20 0 DCADLN C[C@H](NC(=O)c1cn(C2CCOCC2)nn1)c1nn(C)cc1O ZINC001472237271 1016767794 /nfs/dbraw/zinc/76/77/94/1016767794.db2.gz SHMSBTLAGJNOMM-VIFPVBQESA-N 0 2 320.353 0.560 20 0 DCADLN CC(C)(C)OCC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421197936 1003432698 /nfs/dbraw/zinc/43/26/98/1003432698.db2.gz RBNRVSMUOSYILH-AWEZNQCLSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)(C)OCC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421197936 1003432704 /nfs/dbraw/zinc/43/27/04/1003432704.db2.gz RBNRVSMUOSYILH-AWEZNQCLSA-N 0 2 311.386 0.406 20 0 DCADLN CN(C(=O)C1(CCF)CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421312276 1003527056 /nfs/dbraw/zinc/52/70/56/1003527056.db2.gz BCWITVCXWSXTIW-JTQLQIEISA-N 0 2 311.361 0.683 20 0 DCADLN CN(C(=O)C1(CCF)CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421312276 1003527065 /nfs/dbraw/zinc/52/70/65/1003527065.db2.gz BCWITVCXWSXTIW-JTQLQIEISA-N 0 2 311.361 0.683 20 0 DCADLN CC(C)N1CC[C@H]([NH2+]C[C@@H](C)NC(=O)CCn2cc[nH+]c2)C1=O ZINC001406381080 1003661861 /nfs/dbraw/zinc/66/18/61/1003661861.db2.gz PKAGKNPBHKRIML-KGLIPLIRSA-N 0 2 321.425 0.377 20 0 DCADLN Cc1nc(C)c(CCC(=O)N2CCc3[nH]nnc3C2)c(=O)[nH]1 ZINC001472519996 1016817359 /nfs/dbraw/zinc/81/73/59/1016817359.db2.gz JNYHWGWPQNFYFF-UHFFFAOYSA-N 0 2 302.338 0.435 20 0 DCADLN COCc1noc([C@H](C)N(C)C[C@@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001406617165 1003929439 /nfs/dbraw/zinc/92/94/39/1003929439.db2.gz XVSGHKWSQSHTPO-BDAKNGLRSA-N 0 2 323.357 0.145 20 0 DCADLN CCn1cc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001427470459 1004407885 /nfs/dbraw/zinc/40/78/85/1004407885.db2.gz KORHDFBGMPOHGW-NSHDSACASA-N 0 2 319.369 0.816 20 0 DCADLN CC[C@H](SC)C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407188791 1004456216 /nfs/dbraw/zinc/45/62/16/1004456216.db2.gz YPWRWJOAPSMLDI-ZANVPECISA-N 0 2 313.427 0.733 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cccnn1 ZINC001429595357 1004687228 /nfs/dbraw/zinc/68/72/28/1004687228.db2.gz HHPLPGSHIUOFOO-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cccnn1 ZINC001429595357 1004687233 /nfs/dbraw/zinc/68/72/33/1004687233.db2.gz HHPLPGSHIUOFOO-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN O=C(NC1(CO)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CCCC1 ZINC001408251298 1005126165 /nfs/dbraw/zinc/12/61/65/1005126165.db2.gz LDYKZAHDFOMSGF-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN C[C@H]1C[C@H](C)N(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001414730790 1005391792 /nfs/dbraw/zinc/39/17/92/1005391792.db2.gz JNRZEWFOZBEUAZ-IUCAKERBSA-N 0 2 315.399 0.289 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001415181205 1005476553 /nfs/dbraw/zinc/47/65/53/1005476553.db2.gz BHNCSCBNQLFDMW-APPZFPTMSA-N 0 2 310.251 0.404 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001415181205 1005476554 /nfs/dbraw/zinc/47/65/54/1005476554.db2.gz BHNCSCBNQLFDMW-APPZFPTMSA-N 0 2 310.251 0.404 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1n[nH]cc1F ZINC001415514353 1005551207 /nfs/dbraw/zinc/55/12/07/1005551207.db2.gz KEWPIXSAJWWPGQ-ZETCQYMHSA-N 0 2 314.214 0.637 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1n[nH]cc1F ZINC001415514353 1005551210 /nfs/dbraw/zinc/55/12/10/1005551210.db2.gz KEWPIXSAJWWPGQ-ZETCQYMHSA-N 0 2 314.214 0.637 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@]1(O)CCOC1 ZINC001417602759 1005849559 /nfs/dbraw/zinc/84/95/59/1005849559.db2.gz PZYUIUFBZZIVHM-CYBMUJFWSA-N 0 2 309.347 0.507 20 0 DCADLN CN(C(=O)c1cc(S(N)(=O)=O)ccc1O)c1nccs1 ZINC001417635311 1005856502 /nfs/dbraw/zinc/85/65/02/1005856502.db2.gz NONGBEWECPJNSJ-UHFFFAOYSA-N 0 2 313.360 0.773 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001451306544 1006077965 /nfs/dbraw/zinc/07/79/65/1006077965.db2.gz DDGFZXVLYKCTCZ-HTRCEHHLSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccco1 ZINC001451306544 1006077983 /nfs/dbraw/zinc/07/79/83/1006077983.db2.gz DDGFZXVLYKCTCZ-HTRCEHHLSA-N 0 2 312.219 0.387 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001436479161 1006209146 /nfs/dbraw/zinc/20/91/46/1006209146.db2.gz MBXQTHBZHSCPMP-QRTLGDNMSA-N 0 2 309.370 0.014 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001436479161 1006209157 /nfs/dbraw/zinc/20/91/57/1006209157.db2.gz MBXQTHBZHSCPMP-QRTLGDNMSA-N 0 2 309.370 0.014 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1nccs1 ZINC001438934614 1006246242 /nfs/dbraw/zinc/24/62/42/1006246242.db2.gz MVHOPGZPANLQMV-UHFFFAOYSA-N 0 2 324.410 0.490 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1nccs1 ZINC001438934614 1006246252 /nfs/dbraw/zinc/24/62/52/1006246252.db2.gz MVHOPGZPANLQMV-UHFFFAOYSA-N 0 2 324.410 0.490 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CC(NC(=O)c2cnon2)C1 ZINC001452211239 1006659675 /nfs/dbraw/zinc/65/96/75/1006659675.db2.gz CVNYDAQUQUVCIM-QIECLKSESA-N 0 2 324.234 0.689 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CC(NC(=O)c2cnon2)C1 ZINC001452211239 1006659679 /nfs/dbraw/zinc/65/96/79/1006659679.db2.gz CVNYDAQUQUVCIM-QIECLKSESA-N 0 2 324.234 0.689 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001439346455 1006736309 /nfs/dbraw/zinc/73/63/09/1006736309.db2.gz JIALWQGATRZYHQ-MRBYEJRBSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001439346455 1006736319 /nfs/dbraw/zinc/73/63/19/1006736319.db2.gz JIALWQGATRZYHQ-MRBYEJRBSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H]1CC[C@H](C(N)=O)[C@H](C)N1C(=O)C[C@H]1SC(=N)NC1=O ZINC001452456898 1006842541 /nfs/dbraw/zinc/84/25/41/1006842541.db2.gz IFXHWFVDSADRSN-RYPBNFRJSA-N 0 2 312.395 0.044 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(C)CCn3cccn3)n2C)c1=O ZINC001520047394 1017241966 /nfs/dbraw/zinc/24/19/66/1017241966.db2.gz KSBSENPSXZRROS-UHFFFAOYSA-N 0 2 316.369 0.737 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnccc1C)Cc1n[nH]c(=O)[n-]1 ZINC001437588620 1007154578 /nfs/dbraw/zinc/15/45/78/1007154578.db2.gz JSWZDJBULOCYRI-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cnccc1C)Cc1n[nH]c(=O)[n-]1 ZINC001437588620 1007154585 /nfs/dbraw/zinc/15/45/85/1007154585.db2.gz JSWZDJBULOCYRI-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN([C@H]2CCCNC2=O)C1 ZINC001439725108 1007156180 /nfs/dbraw/zinc/15/61/80/1007156180.db2.gz JGXKWZBFKPTWOQ-IUCAKERBSA-N 0 2 311.279 0.308 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN([C@H]2CCCNC2=O)C1 ZINC001439725108 1007156186 /nfs/dbraw/zinc/15/61/86/1007156186.db2.gz JGXKWZBFKPTWOQ-IUCAKERBSA-N 0 2 311.279 0.308 20 0 DCADLN Cn1ncc(CN(CCNC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001437783670 1007401720 /nfs/dbraw/zinc/40/17/20/1007401720.db2.gz AJZZVQFUSAPYQM-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN(CCNC(=O)[C@@H](F)C(F)(F)F)C2CC2)n1 ZINC001437783670 1007401728 /nfs/dbraw/zinc/40/17/28/1007401728.db2.gz AJZZVQFUSAPYQM-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN CN(C(=O)CCc1ccoc1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438230881 1007722476 /nfs/dbraw/zinc/72/24/76/1007722476.db2.gz ACVUQTWDWASLAX-LBPRGKRZSA-N 0 2 319.365 0.769 20 0 DCADLN CN(C(=O)CCc1ccoc1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438230881 1007722484 /nfs/dbraw/zinc/72/24/84/1007722484.db2.gz ACVUQTWDWASLAX-LBPRGKRZSA-N 0 2 319.365 0.769 20 0 DCADLN CN(C(=O)CC[C@@H]1CCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438242715 1007739598 /nfs/dbraw/zinc/73/95/98/1007739598.db2.gz YNJNHEVTHQTGDB-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)CC[C@@H]1CCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438242715 1007739604 /nfs/dbraw/zinc/73/96/04/1007739604.db2.gz YNJNHEVTHQTGDB-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1ncoc1C[NH2+][C@@H](C)CN(C)C(=O)CCc1nc[nH]n1 ZINC001441516589 1008386774 /nfs/dbraw/zinc/38/67/74/1008386774.db2.gz WKQYAAADEVBWRI-JTQLQIEISA-N 0 2 306.370 0.670 20 0 DCADLN CCC(CC)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001421796515 1009103787 /nfs/dbraw/zinc/10/37/87/1009103787.db2.gz RGTQHXPLCQFYKW-NSHDSACASA-N 0 2 311.386 0.264 20 0 DCADLN CCC(CC)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001421796508 1009104438 /nfs/dbraw/zinc/10/44/38/1009104438.db2.gz RGTQHXPLCQFYKW-LLVKDONJSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)C[N@H+]1CC[C@@H](C)C1 ZINC001454697872 1009272983 /nfs/dbraw/zinc/27/29/83/1009272983.db2.gz MGCQDYNPNSOAOP-VXGBXAGGSA-N 0 2 321.425 0.223 20 0 DCADLN Cc1nc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001442433836 1009324419 /nfs/dbraw/zinc/32/44/19/1009324419.db2.gz SXDNFLRRGMANTC-UHFFFAOYSA-N 0 2 324.410 0.374 20 0 DCADLN Cc1nc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001442433836 1009324426 /nfs/dbraw/zinc/32/44/26/1009324426.db2.gz SXDNFLRRGMANTC-UHFFFAOYSA-N 0 2 324.410 0.374 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@@H]2CCO[C@H]2C)CC1 ZINC001433955528 1009394532 /nfs/dbraw/zinc/39/45/32/1009394532.db2.gz ZHPLHFRPVANQRJ-NWDGAFQWSA-N 0 2 320.393 0.451 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@@H]2CCO[C@@H]2C)CC1 ZINC001433955530 1009395764 /nfs/dbraw/zinc/39/57/64/1009395764.db2.gz ZHPLHFRPVANQRJ-VXGBXAGGSA-N 0 2 320.393 0.451 20 0 DCADLN C[N@H+](CCNC(=O)c1cc(C2CC2)no1)Cc1n[nH]c(=O)[n-]1 ZINC001442537158 1009451951 /nfs/dbraw/zinc/45/19/51/1009451951.db2.gz NFDPEPMMDAHWHK-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc(C2CC2)no1)Cc1n[nH]c(=O)[n-]1 ZINC001442537158 1009451958 /nfs/dbraw/zinc/45/19/58/1009451958.db2.gz NFDPEPMMDAHWHK-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccn(C)n2)C1 ZINC001434066292 1009516805 /nfs/dbraw/zinc/51/68/05/1009516805.db2.gz HEZGVQPPNZJCRG-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2ccn(C)n2)C1 ZINC001434066292 1009516818 /nfs/dbraw/zinc/51/68/18/1009516818.db2.gz HEZGVQPPNZJCRG-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN Cc1nc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)c[nH]1 ZINC001455283845 1009631532 /nfs/dbraw/zinc/63/15/32/1009631532.db2.gz YMBOUKMXFONBSW-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1nc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)c[nH]1 ZINC001455283845 1009631545 /nfs/dbraw/zinc/63/15/45/1009631545.db2.gz YMBOUKMXFONBSW-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@]1(C)CCOC1 ZINC001455283820 1009632139 /nfs/dbraw/zinc/63/21/39/1009632139.db2.gz YFIWCFYPBLZFIX-KWQFWETISA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@@]1(C)CCOC1 ZINC001455283820 1009632147 /nfs/dbraw/zinc/63/21/47/1009632147.db2.gz YFIWCFYPBLZFIX-KWQFWETISA-N 0 2 314.279 0.888 20 0 DCADLN CC(=O)N[C@H](C)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001434281202 1009722627 /nfs/dbraw/zinc/72/26/27/1009722627.db2.gz XLRITKGKVILDAA-BXKDBHETSA-N 0 2 317.349 0.435 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N(C)C[C@H]1C[C@@H](O)C1 ZINC001434283526 1009724561 /nfs/dbraw/zinc/72/45/61/1009724561.db2.gz WSTFCFHTLZBHNJ-PHIMTYICSA-N 0 2 304.350 0.103 20 0 DCADLN CCN1CC[C@@H]1CNC(=O)c1cc(S(N)(=O)=O)oc1Cl ZINC001434326253 1009771473 /nfs/dbraw/zinc/77/14/73/1009771473.db2.gz QVFWYBDNTPCDHA-SSDOTTSWSA-N 0 2 321.786 0.404 20 0 DCADLN CNC(=O)c1cccc(C(=O)N[C@H](C)c2nn(C)cc2O)n1 ZINC001434407465 1009915315 /nfs/dbraw/zinc/91/53/15/1009915315.db2.gz OIUZRLBRXBRTKD-MRVPVSSYSA-N 0 2 303.322 0.371 20 0 DCADLN Cc1nc(C)c(CC(=O)N[C@@H](C)c2nn(C)cc2O)c(=O)[nH]1 ZINC001434408450 1009916071 /nfs/dbraw/zinc/91/60/71/1009916071.db2.gz XZORZUKWMSVGOW-QMMMGPOBSA-N 0 2 305.338 0.658 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCCN1C(=O)c1cnco1 ZINC001455756696 1009953661 /nfs/dbraw/zinc/95/36/61/1009953661.db2.gz XODYNZNHEAGNCW-NSHDSACASA-N 0 2 317.349 0.670 20 0 DCADLN NC(=O)C[C@H]1COCCN1C(=O)c1c(O)cc(F)cc1F ZINC001434435887 1009954180 /nfs/dbraw/zinc/95/41/80/1009954180.db2.gz BXOXDRXHOJJPQE-QMMMGPOBSA-N 0 2 300.261 0.387 20 0 DCADLN O=c1cc(CN2CCS(=O)(=O)[C@@H]3CCC[C@@H]32)nc2cc[nH]n21 ZINC001434469182 1009988270 /nfs/dbraw/zinc/98/82/70/1009988270.db2.gz JSYZRPNSQIXEBZ-NWDGAFQWSA-N 0 2 322.390 0.174 20 0 DCADLN O=c1cc(CN2CCS(=O)(=O)C[C@H]2C2CC2)nc2cc[nH]n21 ZINC001434474989 1009998894 /nfs/dbraw/zinc/99/88/94/1009998894.db2.gz XSTWYIYLSANJBW-LBPRGKRZSA-N 0 2 322.390 0.032 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(c3ncon3)CC2)S1 ZINC001456003332 1010066211 /nfs/dbraw/zinc/06/62/11/1010066211.db2.gz JIJKFSQTTHKZKU-QMMMGPOBSA-N 0 2 309.351 0.332 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC2(c3ncon3)CCCC2)S1 ZINC001456159229 1010108633 /nfs/dbraw/zinc/10/86/33/1010108633.db2.gz SSXLXNRCUAWUDF-ZETCQYMHSA-N 0 2 309.351 0.512 20 0 DCADLN C[C@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001443539662 1010481868 /nfs/dbraw/zinc/48/18/68/1010481868.db2.gz FMCVNQJZCDRMEH-SECBINFHSA-N 0 2 305.338 0.598 20 0 DCADLN CC(C)CC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001444208140 1010905244 /nfs/dbraw/zinc/90/52/44/1010905244.db2.gz GJVFUQBJGWZKJG-IONNQARKSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001444208140 1010905246 /nfs/dbraw/zinc/90/52/46/1010905246.db2.gz GJVFUQBJGWZKJG-IONNQARKSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)[C@H](O)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001444231997 1010916205 /nfs/dbraw/zinc/91/62/05/1010916205.db2.gz DETSFAWFRATHKD-GFCCVEGCSA-N 0 2 320.349 0.403 20 0 DCADLN CC(C)c1nccn1CC(=O)NC[C@@H](CO)NCc1ccon1 ZINC001423282928 1011045345 /nfs/dbraw/zinc/04/53/45/1011045345.db2.gz FDBUCVYGDAPHMJ-ZDUSSCGKSA-N 0 2 321.381 0.261 20 0 DCADLN C[C@@H]1[C@H](NC(=O)CC(F)(F)F)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423373530 1011108464 /nfs/dbraw/zinc/10/84/64/1011108464.db2.gz LGJZNKSKWNYKFM-HTQZYQBOSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@@H]1[C@H](NC(=O)CC(F)(F)F)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423373530 1011108466 /nfs/dbraw/zinc/10/84/66/1011108466.db2.gz LGJZNKSKWNYKFM-HTQZYQBOSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@@H]1[C@H](NC(=O)CC(F)(F)F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001423373530 1011108468 /nfs/dbraw/zinc/10/84/68/1011108468.db2.gz LGJZNKSKWNYKFM-HTQZYQBOSA-N 0 2 321.303 0.932 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cocn1)C(F)C(F)(F)F ZINC001534851592 1011697214 /nfs/dbraw/zinc/69/72/14/1011697214.db2.gz YJNOHOVXGWBUEN-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cocn1)[C@H](F)C(F)(F)F ZINC001534851592 1011697242 /nfs/dbraw/zinc/69/72/42/1011697242.db2.gz YJNOHOVXGWBUEN-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN COCCC(=O)NC[C@@H](C)N(C)C(=O)C(F)C(F)(F)F ZINC001424028340 1011880879 /nfs/dbraw/zinc/88/08/79/1011880879.db2.gz UXWRWAKWEVPWNR-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)NC[C@@H](C)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001424028340 1011880896 /nfs/dbraw/zinc/88/08/96/1011880896.db2.gz UXWRWAKWEVPWNR-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CCn1ncn(NC(=O)c2cnc3c(C)cccc3c2O)c1=O ZINC001457853288 1011907552 /nfs/dbraw/zinc/90/75/52/1011907552.db2.gz MBXWGNCNQHHACQ-UHFFFAOYSA-N 0 2 313.317 0.599 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)C(=O)C(F)C(F)(F)F ZINC001424102440 1011997808 /nfs/dbraw/zinc/99/78/08/1011997808.db2.gz GBZVXEOSRVIFRV-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001424102440 1011997818 /nfs/dbraw/zinc/99/78/18/1011997818.db2.gz GBZVXEOSRVIFRV-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)C(=O)c1ccc(O)cc1 ZINC001558163815 1012328436 /nfs/dbraw/zinc/32/84/36/1012328436.db2.gz ZRZMTWVKZQLDTB-UHFFFAOYSA-N 0 2 303.322 0.773 20 0 DCADLN O=C(Nc1nc(-c2ccncc2)n[nH]1)c1cccn2nnnc12 ZINC001542618077 1012490178 /nfs/dbraw/zinc/49/01/78/1012490178.db2.gz LLXQHIKKFBSAFU-UHFFFAOYSA-N 0 2 307.277 0.557 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001424524244 1012612746 /nfs/dbraw/zinc/61/27/46/1012612746.db2.gz XZPCBMCXANABKU-XRGYYRRGSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001424524244 1012612755 /nfs/dbraw/zinc/61/27/55/1012612755.db2.gz XZPCBMCXANABKU-XRGYYRRGSA-N 0 2 323.250 0.282 20 0 DCADLN COCC(=O)N1CC[C@@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001459444030 1012728984 /nfs/dbraw/zinc/72/89/84/1012728984.db2.gz GLIUSWZMVBWAQD-KOLCDFICSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CC[C@@](C)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001459444030 1012728997 /nfs/dbraw/zinc/72/89/97/1012728997.db2.gz GLIUSWZMVBWAQD-KOLCDFICSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001429938187 1012971210 /nfs/dbraw/zinc/97/12/10/1012971210.db2.gz HIZBXLWTHKWPEY-DTWKUNHWSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001429938187 1012971219 /nfs/dbraw/zinc/97/12/19/1012971219.db2.gz HIZBXLWTHKWPEY-DTWKUNHWSA-N 0 2 322.262 0.935 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NCc1noc2ccccc12 ZINC001475663454 1017131546 /nfs/dbraw/zinc/13/15/46/1017131546.db2.gz HVWSHSBSPZRJFA-UHFFFAOYSA-N 0 2 303.365 0.890 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506607495 1017401297 /nfs/dbraw/zinc/40/12/97/1017401297.db2.gz GKHNTTUAWWQODR-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN COCCCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001522433225 1017499697 /nfs/dbraw/zinc/49/96/97/1017499697.db2.gz HRAFUIOUAHFNJF-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN COCCCC(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001522433225 1017499703 /nfs/dbraw/zinc/49/97/03/1017499703.db2.gz HRAFUIOUAHFNJF-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN Cc1ncoc1C[NH2+]CCC[C@H](C)NC(=O)[C@H]1C[N@H+](C)CCO1 ZINC001506916873 1017666887 /nfs/dbraw/zinc/66/68/87/1017666887.db2.gz IWJFNXNOSOTSBS-SWLSCSKDSA-N 0 2 324.425 0.688 20 0 DCADLN C[C@@]1(NC(=O)CC(F)(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500190735 1018289461 /nfs/dbraw/zinc/28/94/61/1018289461.db2.gz IAMQIBVAJDQCFN-SNVBAGLBSA-N 0 2 307.276 0.543 20 0 DCADLN C[C@@]1(NC(=O)CC(F)(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500190735 1018289476 /nfs/dbraw/zinc/28/94/76/1018289476.db2.gz IAMQIBVAJDQCFN-SNVBAGLBSA-N 0 2 307.276 0.543 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498223123 1018770816 /nfs/dbraw/zinc/77/08/16/1018770816.db2.gz JZIJLMVVKWTQBO-SECBINFHSA-N 0 2 308.235 0.180 20 0 DCADLN Cc1cc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)no1 ZINC001498224352 1018774509 /nfs/dbraw/zinc/77/45/09/1018774509.db2.gz VZJCTKPGMUSHDC-JTQLQIEISA-N 0 2 323.246 0.753 20 0 DCADLN Cc1cc(CC(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)no1 ZINC001498224352 1018774520 /nfs/dbraw/zinc/77/45/20/1018774520.db2.gz VZJCTKPGMUSHDC-JTQLQIEISA-N 0 2 323.246 0.753 20 0 DCADLN COCC1(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001498341675 1018976327 /nfs/dbraw/zinc/97/63/27/1018976327.db2.gz OXZOMUVLGHQIIK-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COCC1(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001498341675 1018976334 /nfs/dbraw/zinc/97/63/34/1018976334.db2.gz OXZOMUVLGHQIIK-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ncccc1O ZINC001496122990 1019087570 /nfs/dbraw/zinc/08/75/70/1019087570.db2.gz IAMZXEFQIMCVLP-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CCCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)C1([NH+](C)C)CCC1 ZINC001493109463 1019106949 /nfs/dbraw/zinc/10/69/49/1019106949.db2.gz TZQNOPXRTOQAKZ-CQSZACIVSA-N 0 2 324.469 0.578 20 0 DCADLN CC(C)(C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001501546617 1019285404 /nfs/dbraw/zinc/28/54/04/1019285404.db2.gz LTLLBOUHABCZFM-RQJHMYQMSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001501546617 1019285410 /nfs/dbraw/zinc/28/54/10/1019285410.db2.gz LTLLBOUHABCZFM-RQJHMYQMSA-N 0 2 302.268 0.526 20 0 DCADLN O=C(Cc1cc[nH]n1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001501752736 1019441649 /nfs/dbraw/zinc/44/16/49/1019441649.db2.gz BDZFEEJMTJNFAK-SYBPUXJVSA-N 0 2 322.262 0.641 20 0 DCADLN O=C(Cc1cc[nH]n1)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001501752736 1019441654 /nfs/dbraw/zinc/44/16/54/1019441654.db2.gz BDZFEEJMTJNFAK-SYBPUXJVSA-N 0 2 322.262 0.641 20 0 DCADLN O=C(C[C@H]1C=CCC1)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001501755206 1019448016 /nfs/dbraw/zinc/44/80/16/1019448016.db2.gz RZEMFBUUAHBRFU-RYUDHWBXSA-N 0 2 321.381 0.184 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1ccoc1CC(=O)[O-] ZINC001609819482 1171094483 /nfs/dbraw/zinc/09/44/83/1171094483.db2.gz YEIKWLHMLYYNAI-UHFFFAOYSA-N 0 2 310.350 0.747 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)c1ccoc1CC(=O)[O-] ZINC001609819482 1171094491 /nfs/dbraw/zinc/09/44/91/1171094491.db2.gz YEIKWLHMLYYNAI-UHFFFAOYSA-N 0 2 310.350 0.747 20 0 DCADLN CCC(CC)[C@H](C(=O)NC[C@@](C)(O)C(=O)[O-])[NH+]1CCOCC1 ZINC001609916463 1171121371 /nfs/dbraw/zinc/12/13/71/1171121371.db2.gz ANNMYIICULSDHR-IUODEOHRSA-N 0 2 316.398 0.075 20 0 DCADLN CCc1[nH]c(C(=O)N2CC[NH+](CCO)CC2)c(C)c1C(=O)[O-] ZINC001610053410 1171147393 /nfs/dbraw/zinc/14/73/93/1171147393.db2.gz ZYWCTQWPTXTNHM-UHFFFAOYSA-N 0 2 309.366 0.334 20 0 DCADLN COCn1nc(C)c(S(=O)(=O)Nc2nncs2)c1C ZINC000330929378 232172890 /nfs/dbraw/zinc/17/28/90/232172890.db2.gz YNUWFLOUKUWPHA-UHFFFAOYSA-N 0 2 303.369 0.756 20 0 DCADLN CC(=O)N1CCC[C@](O)(C(=O)Nc2ccc3nn[nH]c3c2)C1 ZINC000286911330 219222859 /nfs/dbraw/zinc/22/28/59/219222859.db2.gz PQQKSEMOSPODTQ-CQSZACIVSA-N 0 2 303.322 0.270 20 0 DCADLN C[C@H]1CCCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000084014303 192395723 /nfs/dbraw/zinc/39/57/23/192395723.db2.gz VRVXXPYBBFVDON-QMMMGPOBSA-N 0 2 324.362 0.444 20 0 DCADLN COCCN(CC(=O)N(C)C)Cc1nc(=O)c2sccc2[nH]1 ZINC000080732010 192186499 /nfs/dbraw/zinc/18/64/99/192186499.db2.gz CHYOZNPKKBRAAI-UHFFFAOYSA-N 0 2 324.406 0.521 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000281072575 216061122 /nfs/dbraw/zinc/06/11/22/216061122.db2.gz AQZSOXFKQLRJME-UHFFFAOYSA-N 0 2 320.374 0.483 20 0 DCADLN CCS(=O)(=O)c1cccc(C(=O)N[C@@H](CO)CCOC)c1 ZINC000281140770 216105244 /nfs/dbraw/zinc/10/52/44/216105244.db2.gz AEXFQIOOQLLEKD-GFCCVEGCSA-N 0 2 315.391 0.607 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N(CCc1ccccc1)[C@@H]1CCOC1 ZINC000162900244 291245352 /nfs/dbraw/zinc/24/53/52/291245352.db2.gz OKSUUFUUWUBGAI-GFCCVEGCSA-N 0 2 302.334 0.572 20 0 DCADLN O=C([O-])CCCNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000566181678 291277889 /nfs/dbraw/zinc/27/78/89/291277889.db2.gz MUMMBZVXUTZVRD-UHFFFAOYSA-N 0 2 322.365 0.582 20 0 DCADLN COc1cc(S(=O)(=O)N2CC[NH+](C)CC2)ccc1C(=O)[O-] ZINC000566181341 291277980 /nfs/dbraw/zinc/27/79/80/291277980.db2.gz YUYAKXWDUPJHGV-UHFFFAOYSA-N 0 2 314.363 0.330 20 0 DCADLN CO[C@@H]1C[C@H](C(=O)[O-])[N@H+](Cc2cc(-c3cnn(C)c3)no2)C1 ZINC000566361659 291297026 /nfs/dbraw/zinc/29/70/26/291297026.db2.gz JPJOBBSRMVXZHI-ZWNOBZJWSA-N 0 2 306.322 0.749 20 0 DCADLN CO[C@@H]1C[C@H](C(=O)[O-])[N@@H+](Cc2cc(-c3cnn(C)c3)no2)C1 ZINC000566361659 291297028 /nfs/dbraw/zinc/29/70/28/291297028.db2.gz JPJOBBSRMVXZHI-ZWNOBZJWSA-N 0 2 306.322 0.749 20 0 DCADLN O=C(NC[C@@H](O)Cn1ccnn1)c1cc(F)cc(Cl)c1O ZINC000412413567 239415048 /nfs/dbraw/zinc/41/50/48/239415048.db2.gz DZHKAKJASNOLDP-MRVPVSSYSA-N 0 2 314.704 0.567 20 0 DCADLN CC(C)N(C[C@H](O)CO)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000421051683 240076763 /nfs/dbraw/zinc/07/67/63/240076763.db2.gz ASTHLVVDYQEMFM-VIFPVBQESA-N 0 2 316.427 0.853 20 0 DCADLN C[C@H]1CCN(C(=O)C(=O)NCCCC(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000567048977 291334364 /nfs/dbraw/zinc/33/43/64/291334364.db2.gz YAAHQNGSBXCSER-NWDGAFQWSA-N 0 2 322.365 0.274 20 0 DCADLN O=C([O-])C[C@@H]1CN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)CCO1 ZINC000567356275 291351152 /nfs/dbraw/zinc/35/11/52/291351152.db2.gz FLGWACDMVMKZRJ-VXGBXAGGSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])C[C@@H]1CN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)CCO1 ZINC000567356275 291351153 /nfs/dbraw/zinc/35/11/53/291351153.db2.gz FLGWACDMVMKZRJ-VXGBXAGGSA-N 0 2 322.365 0.118 20 0 DCADLN CCN1C[C@@H](NC(=O)N=c2nc(C(C)(C)C)[nH]s2)CC1=O ZINC000454712786 240805077 /nfs/dbraw/zinc/80/50/77/240805077.db2.gz RYYKJKJABIAJHH-QMMMGPOBSA-N 0 2 311.411 1.000 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/c2ncccc2C)cnn1C ZINC000493865911 241171387 /nfs/dbraw/zinc/17/13/87/241171387.db2.gz ACQMDSVHTOOZET-VOTSOKGWSA-N 0 2 320.374 0.950 20 0 DCADLN CC(=O)NCc1ccc(S(=O)(=O)Nc2noc(C)n2)s1 ZINC000567869790 291385993 /nfs/dbraw/zinc/38/59/93/291385993.db2.gz IMMHXGPIYNDCGM-UHFFFAOYSA-N 0 2 316.364 0.876 20 0 DCADLN CCOCC1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CC1 ZINC000282446671 217001177 /nfs/dbraw/zinc/00/11/77/217001177.db2.gz OLVMDPLIOSLYDH-UHFFFAOYSA-N 0 2 320.396 0.665 20 0 DCADLN CC(C)(C)[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(N)=O ZINC000264718279 204101023 /nfs/dbraw/zinc/10/10/23/204101023.db2.gz GFZLISDKODAGRX-MRVPVSSYSA-N 0 2 319.321 0.066 20 0 DCADLN CCNC(=O)[C@@H](C)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000264975591 204317991 /nfs/dbraw/zinc/31/79/91/204317991.db2.gz JFZLCTXZMYVHMY-SNVBAGLBSA-N 0 2 302.334 0.832 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCc1nc2ccccc2[nH]1 ZINC000571590534 291522739 /nfs/dbraw/zinc/52/27/39/291522739.db2.gz CUFCZGVLQLSCNQ-UHFFFAOYSA-N 0 2 318.362 0.838 20 0 DCADLN CC(C)Oc1ccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)cn1 ZINC000274891836 212013789 /nfs/dbraw/zinc/01/37/89/212013789.db2.gz MCWVOMXPJVWBKC-UHFFFAOYSA-N 0 2 323.378 0.689 20 0 DCADLN COC(=O)[C@H]1CN(CC(=O)NOCc2ccccc2)C[C@@H](C)O1 ZINC000282553987 217085328 /nfs/dbraw/zinc/08/53/28/217085328.db2.gz XLRXKOKOUDTMLU-TZMCWYRMSA-N 0 2 322.361 0.497 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)NCCCn2cc[nH+]c2)c1 ZINC000020303341 182204351 /nfs/dbraw/zinc/20/43/51/182204351.db2.gz GVASKBZSFHXKHN-UHFFFAOYSA-N 0 2 309.347 0.950 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CO[C@H](C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000573923580 291663748 /nfs/dbraw/zinc/66/37/48/291663748.db2.gz PVCMZVNSWXHHJC-SFYZADRCSA-N 0 2 304.306 0.652 20 0 DCADLN CS(=O)(=O)CCC(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000339601607 253111342 /nfs/dbraw/zinc/11/13/42/253111342.db2.gz RWHJOELIGWFGAE-UHFFFAOYSA-N 0 2 312.376 0.395 20 0 DCADLN COc1c(NS(=O)(=O)c2cn(C)nc2C)cccc1C(N)=O ZINC000340937650 253324737 /nfs/dbraw/zinc/32/47/37/253324737.db2.gz LBBBLLDZSGOWNZ-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN COC[C@@H](CNC(=O)C1=NN(c2ccccc2)CC1=O)OC ZINC000272987605 210367391 /nfs/dbraw/zinc/36/73/91/210367391.db2.gz PQBASGGNPVMOGB-GFCCVEGCSA-N 0 2 305.334 0.969 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(C(=O)OC)nc2)cn1 ZINC000272955619 210342174 /nfs/dbraw/zinc/34/21/74/210342174.db2.gz YKQUERINMWPGIW-UHFFFAOYSA-N 0 2 310.335 0.885 20 0 DCADLN CNC(=O)CCCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288696143 220353338 /nfs/dbraw/zinc/35/33/38/220353338.db2.gz AYEKRIHOFDGKQV-UHFFFAOYSA-N 0 2 303.322 0.620 20 0 DCADLN O=C([O-])[C@H]1CCC[N@@H+]1CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000346333921 254037877 /nfs/dbraw/zinc/03/78/77/254037877.db2.gz OOVNSMGZFKNXHC-VXGBXAGGSA-N 0 2 320.345 0.492 20 0 DCADLN O=C([O-])[C@H]1CCC[N@H+]1CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000346333921 254037879 /nfs/dbraw/zinc/03/78/79/254037879.db2.gz OOVNSMGZFKNXHC-VXGBXAGGSA-N 0 2 320.345 0.492 20 0 DCADLN CCNC(=O)[C@@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348729849 254250466 /nfs/dbraw/zinc/25/04/66/254250466.db2.gz MFVGFOXKAQSOML-MRVPVSSYSA-N 0 2 304.306 0.284 20 0 DCADLN COCCOCCS(=O)(=O)Nc1cccc(OC)c1O ZINC000351749025 254365416 /nfs/dbraw/zinc/36/54/16/254365416.db2.gz RMYSCVLJQVZXII-UHFFFAOYSA-N 0 2 305.352 0.806 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2cccc[nH+]2)CC1)[N@H+]1C[C@@H](C)[C@H](O)C1 ZINC000351775169 254366865 /nfs/dbraw/zinc/36/68/65/254366865.db2.gz RBRMZVBBBZWUGB-QLFBSQMISA-N 0 2 318.421 0.431 20 0 DCADLN COC(=O)[C@H]1COCCN1C(=O)c1cc(F)c(F)c(O)c1F ZINC000273449124 291913023 /nfs/dbraw/zinc/91/30/23/291913023.db2.gz SSDUIFBOXGUUKX-MRVPVSSYSA-N 0 2 319.235 0.824 20 0 DCADLN COC(=O)c1ccncc1NS(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000284673226 131102722 /nfs/dbraw/zinc/10/27/22/131102722.db2.gz FUWPHUFFDSGOEN-QMMMGPOBSA-N 0 2 315.351 0.087 20 0 DCADLN C[N@H+](CCNC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C1CC1 ZINC000284885363 131119550 /nfs/dbraw/zinc/11/95/50/131119550.db2.gz FHAUOZRAPDDAEO-UHFFFAOYSA-N 0 2 319.409 0.326 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ccc2nc(C)nn2c1 ZINC000284960538 131126908 /nfs/dbraw/zinc/12/69/08/131126908.db2.gz CAHNMNJXPCQODV-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccsc1 ZINC000092617973 395732340 /nfs/dbraw/zinc/73/23/40/395732340.db2.gz ZAAPXUQIMUNZDI-UHFFFAOYSA-N 0 2 307.379 0.554 20 0 DCADLN CCc1cc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)n(C)n1 ZINC000116602805 395844782 /nfs/dbraw/zinc/84/47/82/395844782.db2.gz CFIGYRQWKFSEPC-UHFFFAOYSA-N 0 2 311.367 0.221 20 0 DCADLN CCN1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)CC1=O ZINC000273546680 395929278 /nfs/dbraw/zinc/92/92/78/395929278.db2.gz ZMKVNBJQLSSFJF-UHFFFAOYSA-N 0 2 314.345 0.882 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCCO1 ZINC000052431338 395863459 /nfs/dbraw/zinc/86/34/59/395863459.db2.gz PRNKXXDXXQFRMO-XVKPBYJWSA-N 0 2 304.306 0.321 20 0 DCADLN CCNC(=O)COc1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000057117954 395939477 /nfs/dbraw/zinc/93/94/77/395939477.db2.gz GYXNQTUHVGQBLS-UHFFFAOYSA-N 0 2 324.362 0.725 20 0 DCADLN O=C(CCCCc1cn[nH]n1)Nc1n[nH]c(SCCO)n1 ZINC000274348512 395940668 /nfs/dbraw/zinc/94/06/68/395940668.db2.gz CSBZLUWJGSKLJB-UHFFFAOYSA-N 0 2 311.371 0.359 20 0 DCADLN NC(=O)C[NH+](CCC[N@@H+](CC(N)=O)C1CCCC1)C1CCCC1 ZINC000157585557 396063780 /nfs/dbraw/zinc/06/37/80/396063780.db2.gz IWBLPIWANSIBLN-UHFFFAOYSA-N 0 2 324.469 0.836 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(F)c(C(N)=O)c2)cn1 ZINC000066579814 396010615 /nfs/dbraw/zinc/01/06/15/396010615.db2.gz IFHKYFYIKKFDDF-UHFFFAOYSA-N 0 2 312.326 0.942 20 0 DCADLN Cc1cc2[nH+]cn(C[C@@H](O)C[N@H+]3CCC[C@H]3C(N)=O)c2cc1C ZINC000249721610 396011852 /nfs/dbraw/zinc/01/18/52/396011852.db2.gz MTWODVWGJWZADE-ZFWWWQNUSA-N 0 2 316.405 0.964 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C)c(C(N)=O)c2)c1O ZINC000278154702 396109206 /nfs/dbraw/zinc/10/92/06/396109206.db2.gz OGQSUVAJUHQZBT-SNVBAGLBSA-N 0 2 305.290 0.082 20 0 DCADLN O=C([O-])[C@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000262198003 396109938 /nfs/dbraw/zinc/10/99/38/396109938.db2.gz ZQGJXNSWLKHXMW-STQMWFEESA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])[C@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000262198003 396109941 /nfs/dbraw/zinc/10/99/41/396109941.db2.gz ZQGJXNSWLKHXMW-STQMWFEESA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])[C@@H]1CCCC[N@@H+]1CC(=O)NC(=O)NCc1ccccc1 ZINC000262279618 396117514 /nfs/dbraw/zinc/11/75/14/396117514.db2.gz KNXZAYRXCGXSEH-ZDUSSCGKSA-N 0 2 319.361 0.952 20 0 DCADLN O=C([O-])[C@@H]1CCCC[N@H+]1CC(=O)NC(=O)NCc1ccccc1 ZINC000262279618 396117516 /nfs/dbraw/zinc/11/75/16/396117516.db2.gz KNXZAYRXCGXSEH-ZDUSSCGKSA-N 0 2 319.361 0.952 20 0 DCADLN NC(=O)C1=NO[C@H](CNC(=O)c2cc(F)c(F)c(O)c2F)C1 ZINC000277669018 396081114 /nfs/dbraw/zinc/08/11/14/396081114.db2.gz PNTIBVQVYFNTJG-BYPYZUCNSA-N 0 2 317.223 0.170 20 0 DCADLN CCc1cccc(S(=O)(=O)Nc2cnn(CC(=O)NC)c2)c1 ZINC000176456512 396155767 /nfs/dbraw/zinc/15/57/67/396155767.db2.gz RBOZWHJUWJTGPD-UHFFFAOYSA-N 0 2 322.390 0.992 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2nn3c(nc(C)cc3=O)[nH]2)c(C)o1 ZINC000183120500 396262273 /nfs/dbraw/zinc/26/22/73/396262273.db2.gz XRPDMZHYLHSTJU-UHFFFAOYSA-N 0 2 323.334 0.737 20 0 DCADLN C[C@@H]1C[C@@H](C)N(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000083995492 396318081 /nfs/dbraw/zinc/31/80/81/396318081.db2.gz YSIRWDMDTWWAFN-HTQZYQBOSA-N 0 2 324.362 0.443 20 0 DCADLN CCN(C(=O)c1cc(F)c(F)c(O)c1F)[C@@H]1CC(=O)NC1=O ZINC000185711893 396333489 /nfs/dbraw/zinc/33/34/89/396333489.db2.gz NIJKZESTOCOPAF-SSDOTTSWSA-N 0 2 316.235 0.687 20 0 DCADLN COCCN(Cc1ccccc1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000186773980 396357858 /nfs/dbraw/zinc/35/78/58/396357858.db2.gz WYRPIHRIMWMWFC-UHFFFAOYSA-N 0 2 322.390 0.865 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CC[C@H](Nc2ccccc2)C1 ZINC000187066509 396362158 /nfs/dbraw/zinc/36/21/58/396362158.db2.gz ZBTIKGVTDJJFEY-NSHDSACASA-N 0 2 319.390 0.903 20 0 DCADLN C[C@H](C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)S(C)(=O)=O ZINC000289056631 396453964 /nfs/dbraw/zinc/45/39/64/396453964.db2.gz NOUXCMADHMDMJY-SSDOTTSWSA-N 0 2 310.335 0.137 20 0 DCADLN CCCS(=O)(=O)CC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289156654 396455917 /nfs/dbraw/zinc/45/59/17/396455917.db2.gz XWJQVQBYBINMRQ-UHFFFAOYSA-N 0 2 324.362 0.528 20 0 DCADLN CN(C[C@@H]1CCC[C@@H]1O)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000270167297 396462341 /nfs/dbraw/zinc/46/23/41/396462341.db2.gz ZJMNJOHGGUKYFZ-KWQFWETISA-N 0 2 318.333 0.669 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@H](O)C[C@@H]1CO ZINC000371525189 396469350 /nfs/dbraw/zinc/46/93/50/396469350.db2.gz LPNJDNGXWHQZMS-VXGBXAGGSA-N 0 2 303.318 0.146 20 0 DCADLN Cc1nnsc1CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000292403402 396548725 /nfs/dbraw/zinc/54/87/25/396548725.db2.gz OOEQQZYWNFWEON-UHFFFAOYSA-N 0 2 310.301 0.920 20 0 DCADLN COC(=O)c1csc(S(=O)(=O)Nc2nc(C)n(C)n2)c1 ZINC000292625066 396560209 /nfs/dbraw/zinc/56/02/09/396560209.db2.gz YKMDKKOVQLFKBP-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN CC(C)C1(NS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000292714151 396565503 /nfs/dbraw/zinc/56/55/03/396565503.db2.gz ACXAWICGWKHFRE-UHFFFAOYSA-N 0 2 324.362 0.903 20 0 DCADLN CC[C@@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@H](C)S1(=O)=O ZINC000561899426 396648979 /nfs/dbraw/zinc/64/89/79/396648979.db2.gz BSKPJUHXEPXFRF-CMPLNLGQSA-N 0 2 324.406 0.420 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1CCC(=O)N1 ZINC000561049735 396628489 /nfs/dbraw/zinc/62/84/89/396628489.db2.gz SAPFBLHPJNISRV-VIFPVBQESA-N 0 2 301.306 0.703 20 0 DCADLN CO[C@@H](CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000294386331 396671501 /nfs/dbraw/zinc/67/15/01/396671501.db2.gz DLLLJMUFFVGURX-JTQLQIEISA-N 0 2 304.306 0.591 20 0 DCADLN C[N@H+]1CCN(C(=O)Nc2ccc3c(c2)OCCO3)[C@H](C[NH3+])C1 ZINC000562743679 396677933 /nfs/dbraw/zinc/67/79/33/396677933.db2.gz OOHHLTWBSLBCMD-GFCCVEGCSA-N 0 2 306.366 0.564 20 0 DCADLN C[NH+](C)[C@@H](CNC(=O)C[N@H+](C)C(C)(C)C(N)=O)c1ccccc1 ZINC000295372881 396694893 /nfs/dbraw/zinc/69/48/93/396694893.db2.gz ACWUHHKZENQJTJ-AWEZNQCLSA-N 0 2 320.437 0.601 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)Cc1cccc(F)c1F)[C@H](C)O ZINC000563062648 396698220 /nfs/dbraw/zinc/69/82/20/396698220.db2.gz FIWQYPISYSNHTI-WRWORJQWSA-N 0 2 323.317 0.307 20 0 DCADLN Cn1cc(OCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000563191214 396712577 /nfs/dbraw/zinc/71/25/77/396712577.db2.gz FHGDYCDWUISWGG-SECBINFHSA-N 0 2 306.326 0.029 20 0 DCADLN CN(C)c1ncccc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000563193361 396712746 /nfs/dbraw/zinc/71/27/46/396712746.db2.gz JUMKEVXWDXHLQS-SNVBAGLBSA-N 0 2 316.365 0.991 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(c2[nH+]cnc3c2cnn3C)C1 ZINC000563213925 396714601 /nfs/dbraw/zinc/71/46/01/396714601.db2.gz OYGGFOKIDOAMKA-CQSZACIVSA-N 0 2 305.338 0.681 20 0 DCADLN COCCN(C)S(=O)(=O)Nc1cc(F)c(F)cc1C(N)=O ZINC000563222144 396715518 /nfs/dbraw/zinc/71/55/18/396715518.db2.gz KEZDCFBFXUVYOT-UHFFFAOYSA-N 0 2 323.321 0.299 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc3c2CCNC3=O)cn1 ZINC000563345676 396722715 /nfs/dbraw/zinc/72/27/15/396722715.db2.gz NAXZJWRJIKAFBW-UHFFFAOYSA-N 0 2 320.374 0.990 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C2(CO)CCOCC2)s[nH]1 ZINC000634147826 396795813 /nfs/dbraw/zinc/79/58/13/396795813.db2.gz BFPLUBNMPLOQKN-UHFFFAOYSA-N 0 2 315.395 0.569 20 0 DCADLN CC(=O)NCC[N@H+](CC(=O)NCC(C)(C)C)[C@H](C)C(=O)[O-] ZINC000629488956 396770840 /nfs/dbraw/zinc/77/08/40/396770840.db2.gz ZQROFJIYDGELEX-SNVBAGLBSA-N 0 2 301.387 0.060 20 0 DCADLN CC(=O)NCC[N@@H+](CC(=O)NCC(C)(C)C)[C@H](C)C(=O)[O-] ZINC000629488956 396770844 /nfs/dbraw/zinc/77/08/44/396770844.db2.gz ZQROFJIYDGELEX-SNVBAGLBSA-N 0 2 301.387 0.060 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CCC(C(=O)[O-])CC3)[nH+]cn2)C1 ZINC000564089946 396808668 /nfs/dbraw/zinc/80/86/68/396808668.db2.gz KTUFGRPSDKJKPY-YPMHNXCESA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CCC(C(=O)[O-])CC3)nc[nH+]2)C1 ZINC000564089946 396808674 /nfs/dbraw/zinc/80/86/74/396808674.db2.gz KTUFGRPSDKJKPY-YPMHNXCESA-N 0 2 320.393 0.985 20 0 DCADLN CC[C@@]1(O)CCCN(C(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)C1 ZINC000634199687 396811914 /nfs/dbraw/zinc/81/19/14/396811914.db2.gz GXFNBYFMBGNLST-IIYDPXPESA-N 0 2 320.389 0.701 20 0 DCADLN CCc1[nH][nH]c(=O)c1C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000564816698 396873801 /nfs/dbraw/zinc/87/38/01/396873801.db2.gz OSARPWOWIHWTCC-ZDUSSCGKSA-N 0 2 317.349 0.369 20 0 DCADLN CO[C@H]1C[N@H+](CC(=O)NCc2cccnc2)[C@](C)(C(=O)[O-])C1 ZINC000634693836 396897743 /nfs/dbraw/zinc/89/77/43/396897743.db2.gz IPJZAJCBQGRUGO-DOMZBBRYSA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@H]1C[N@@H+](CC(=O)NCc2cccnc2)[C@](C)(C(=O)[O-])C1 ZINC000634693836 396897752 /nfs/dbraw/zinc/89/77/52/396897752.db2.gz IPJZAJCBQGRUGO-DOMZBBRYSA-N 0 2 307.350 0.262 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2n[nH]c(-c3ccccn3)n2)on1 ZINC000611485831 396871472 /nfs/dbraw/zinc/87/14/72/396871472.db2.gz APOWEOBOMBHGPS-UHFFFAOYSA-N 0 2 314.261 0.894 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)on1 ZINC000611485831 396871481 /nfs/dbraw/zinc/87/14/81/396871481.db2.gz APOWEOBOMBHGPS-UHFFFAOYSA-N 0 2 314.261 0.894 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2n[nH]c(C(F)(F)F)n2)on1 ZINC000611486228 396872154 /nfs/dbraw/zinc/87/21/54/396872154.db2.gz SRIQOONEUXLJCN-UHFFFAOYSA-N 0 2 305.172 0.850 20 0 DCADLN CCOC(=O)c1c[nH]ccc1=NS(=O)(=O)c1cnc(C)n1C ZINC000600667918 396912176 /nfs/dbraw/zinc/91/21/76/396912176.db2.gz GAQQEJXXGHMUCW-UHFFFAOYSA-N 0 2 324.362 0.523 20 0 DCADLN CCOC(=O)c1cnccc1NS(=O)(=O)c1cnc(C)n1C ZINC000600667918 396912184 /nfs/dbraw/zinc/91/21/84/396912184.db2.gz GAQQEJXXGHMUCW-UHFFFAOYSA-N 0 2 324.362 0.523 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1COCCN1C ZINC000570941229 396940303 /nfs/dbraw/zinc/94/03/03/396940303.db2.gz JNQJEWABRVALHP-GFCCVEGCSA-N 0 2 317.349 0.755 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC000630183227 396955424 /nfs/dbraw/zinc/95/54/24/396955424.db2.gz UNIOOPBFWTZHKI-ABAIWWIYSA-N 0 2 309.366 0.928 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC000630183227 396955427 /nfs/dbraw/zinc/95/54/27/396955427.db2.gz UNIOOPBFWTZHKI-ABAIWWIYSA-N 0 2 309.366 0.928 20 0 DCADLN CN1CCC[C@@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1=O ZINC000611946198 396955620 /nfs/dbraw/zinc/95/56/20/396955620.db2.gz XMFHBESEFZANQP-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN NC(=O)C[C@H]1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000377135655 397035650 /nfs/dbraw/zinc/03/56/50/397035650.db2.gz FTEAYDMSVKLQBR-CHWSQXEVSA-N 0 2 319.409 0.530 20 0 DCADLN COC(=O)c1cn([C@@H]2CCN(c3[nH+]cccc3C(=O)[O-])C2)nn1 ZINC000592427231 397173963 /nfs/dbraw/zinc/17/39/63/397173963.db2.gz PGEDJKFEZQOEIO-SECBINFHSA-N 0 2 317.305 0.609 20 0 DCADLN CC[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@@H]1O ZINC000613096008 397191165 /nfs/dbraw/zinc/19/11/65/397191165.db2.gz CLTSVSAAVWMBHL-SKDRFNHKSA-N 0 2 303.318 0.873 20 0 DCADLN C[C@@H]1CCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C[C@@H]1C(=O)[O-] ZINC000573723874 397198552 /nfs/dbraw/zinc/19/85/52/397198552.db2.gz CSJWYXRPSBCWBJ-MNOVXSKESA-N 0 2 315.333 0.845 20 0 DCADLN CCc1nc(CNC(=O)N2CC[N@H+](CC(C)(C)O)[C@H](C)C2)n[nH]1 ZINC000574735704 397295293 /nfs/dbraw/zinc/29/52/93/397295293.db2.gz WECVERGEGHKODK-LLVKDONJSA-N 0 2 324.429 0.354 20 0 DCADLN CC1(C)[C@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@@H]1O ZINC000613678392 397299823 /nfs/dbraw/zinc/29/98/23/397299823.db2.gz GHKPWGMSTVENPU-MNOVXSKESA-N 0 2 303.318 0.919 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)Nc1ccc(C(=O)OC)cc1 ZINC000592988252 397310027 /nfs/dbraw/zinc/31/00/27/397310027.db2.gz ZMDWRYUQHVFRAH-UHFFFAOYSA-N 0 2 324.333 0.445 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)Nc1ccc(C(=O)OC)cc1 ZINC000592988252 397310032 /nfs/dbraw/zinc/31/00/32/397310032.db2.gz ZMDWRYUQHVFRAH-UHFFFAOYSA-N 0 2 324.333 0.445 20 0 DCADLN C[S@@](=O)c1cccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000613851241 397335388 /nfs/dbraw/zinc/33/53/88/397335388.db2.gz MGAPZUXCHNCWAQ-OAQYLSRUSA-N 0 2 308.363 0.610 20 0 DCADLN C[N@@H+](C[C@@H](O)C[NH2+][C@H]1CCN(c2ccc(F)cc2)C1=O)C1CC1 ZINC000578316199 397418160 /nfs/dbraw/zinc/41/81/60/397418160.db2.gz QWOAYDSWTVHJGK-HOTGVXAUSA-N 0 2 321.396 0.976 20 0 DCADLN Cc1ccc([C@H](CN=c2nn[n-]n2C)[NH+]2CCOCC2)cc1 ZINC000593992011 397490435 /nfs/dbraw/zinc/49/04/35/397490435.db2.gz NXIJQZORKYQFPA-AWEZNQCLSA-N 0 2 302.382 0.426 20 0 DCADLN CCOC(=O)Cn1ccc(NS(=O)(=O)C[C@H](C)OC)n1 ZINC000594463380 397557928 /nfs/dbraw/zinc/55/79/28/397557928.db2.gz WIPIDFQVKIFDLK-VIFPVBQESA-N 0 2 305.356 0.223 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2nncs2)c1 ZINC000579789557 397592692 /nfs/dbraw/zinc/59/26/92/397592692.db2.gz IDCIUIUTBBRWBI-UHFFFAOYSA-N 0 2 300.321 0.521 20 0 DCADLN COc1ccccc1-n1nnnc1SCc1n[nH]c(=O)[nH]1 ZINC000092869837 158116917 /nfs/dbraw/zinc/11/69/17/158116917.db2.gz MNFOYQAIQVOSON-UHFFFAOYSA-N 0 2 305.323 0.375 20 0 DCADLN CC(C)C(=O)NCCCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119437468 158187178 /nfs/dbraw/zinc/18/71/78/158187178.db2.gz BSJBTQPAHFYHTN-UHFFFAOYSA-N 0 2 319.365 0.566 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC(=O)N1CCCC1)c2=O ZINC000132544585 158311349 /nfs/dbraw/zinc/31/13/49/158311349.db2.gz QMJVPTMZVKPCGM-UHFFFAOYSA-N 0 2 303.322 0.026 20 0 DCADLN COc1ccc2cc(NC(=O)NCc3n[nH]c(=O)[nH]3)ccc2n1 ZINC000137345664 158345165 /nfs/dbraw/zinc/34/51/65/158345165.db2.gz KZJXINASXPJWMQ-UHFFFAOYSA-N 0 2 314.305 0.977 20 0 DCADLN CC(=O)NC[C@H]1CN(Cc2nc(=O)c3sccc3[nH]2)CCO1 ZINC000172182217 158391069 /nfs/dbraw/zinc/39/10/69/158391069.db2.gz OJMVQRFHQSGZQC-JTQLQIEISA-N 0 2 322.390 0.322 20 0 DCADLN CCN(CC)C(=O)CCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330461812 159177358 /nfs/dbraw/zinc/17/73/58/159177358.db2.gz SNYUOGGLXDLGJU-LLVKDONJSA-N 0 2 323.397 0.865 20 0 DCADLN C[C@]1(CNC(=O)CSc2n[nH]c(=O)[nH]2)CCO[C@@H]1C1CC1 ZINC000367689874 159351479 /nfs/dbraw/zinc/35/14/79/159351479.db2.gz WQPFCJZIHSBODF-ZWNOBZJWSA-N 0 2 312.395 0.924 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)NS(=O)(=O)c2cc(C(N)=O)n(C)c2)C1 ZINC000408321662 160084576 /nfs/dbraw/zinc/08/45/76/160084576.db2.gz PWGZSLAQIZGKDX-RKDXNWHRSA-N 0 2 313.379 0.365 20 0 DCADLN O=C([O-])CSCC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000128061461 286980728 /nfs/dbraw/zinc/98/07/28/286980728.db2.gz CKKSYBJVMMZRMS-UHFFFAOYSA-N 0 2 309.391 0.984 20 0 DCADLN NC(=O)c1c[nH]c(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)c1 ZINC000355835311 287188326 /nfs/dbraw/zinc/18/83/26/287188326.db2.gz ANARMSKQBOLZAW-UHFFFAOYSA-N 0 2 312.289 0.857 20 0 DCADLN CCOC(=O)[C@@](C)(O)CNC(=O)c1cc(F)c(F)c(O)c1F ZINC000358398444 287208747 /nfs/dbraw/zinc/20/87/47/287208747.db2.gz YEPDPOJRIRMYAF-ZDUSSCGKSA-N 0 2 321.251 0.853 20 0 DCADLN CCCN(CCC)C(=O)CS(=O)(=O)c1n[nH]c(COC)n1 ZINC000268451093 415215176 /nfs/dbraw/zinc/21/51/76/415215176.db2.gz APYWIGRWROYXOG-UHFFFAOYSA-N 0 2 318.399 0.373 20 0 DCADLN CO[C@@H]1CN(C(=O)c2c[nH]c3c(C)cccc3c2=O)C[C@H]1O ZINC000332052089 415219658 /nfs/dbraw/zinc/21/96/58/415219658.db2.gz ZTLWYKPJCYTQIX-CHWSQXEVSA-N 0 2 302.330 0.668 20 0 DCADLN Cc1ccc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)cn1 ZINC000048704336 415294733 /nfs/dbraw/zinc/29/47/33/415294733.db2.gz ULHGWQMOEMZPDK-UHFFFAOYSA-N 0 2 313.364 0.865 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cnn(CC(F)F)c1 ZINC000274954667 415369905 /nfs/dbraw/zinc/36/99/05/415369905.db2.gz IZTQPJRWVBSTFK-UHFFFAOYSA-N 0 2 304.282 0.290 20 0 DCADLN CN(CC(F)F)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000332685040 415439029 /nfs/dbraw/zinc/43/90/29/415439029.db2.gz FYEIIFPYZNFITJ-UHFFFAOYSA-N 0 2 320.277 0.322 20 0 DCADLN CN(C)C(=O)COc1ccc(NC(=O)C(N)C(F)(F)F)cc1 ZINC000353172175 415460273 /nfs/dbraw/zinc/46/02/73/415460273.db2.gz SLWQKWOIALFFCQ-NSHDSACASA-N 0 2 319.283 0.982 20 0 DCADLN CN(C)C(=O)COc1ccc(NC(=O)[C@H](N)C(F)(F)F)cc1 ZINC000353172175 415460283 /nfs/dbraw/zinc/46/02/83/415460283.db2.gz SLWQKWOIALFFCQ-NSHDSACASA-N 0 2 319.283 0.982 20 0 DCADLN COc1ccccc1CC(C)(C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000085382689 415506356 /nfs/dbraw/zinc/50/63/56/415506356.db2.gz KOSNRJHVUYYXON-UHFFFAOYSA-N 0 2 304.350 0.992 20 0 DCADLN COCC[C@@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(N)=O ZINC000343304224 415546703 /nfs/dbraw/zinc/54/67/03/415546703.db2.gz OBXYEHMDIPHLNC-LLVKDONJSA-N 0 2 318.333 0.198 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(-c3nn[nH]n3)cc2)cn1 ZINC000103059345 415606303 /nfs/dbraw/zinc/60/63/03/415606303.db2.gz WAYCHFXWSASXIB-UHFFFAOYSA-N 0 2 319.350 0.884 20 0 DCADLN CN1CC[N@H+](C)[C@@H](CNC(=O)NC[C@H]2CCCC[NH+]2C2CC2)C1 ZINC000333419697 415644148 /nfs/dbraw/zinc/64/41/48/415644148.db2.gz IGZKNVJTIUSSBI-CVEARBPZSA-N 0 2 323.485 0.548 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCCCNC2=O)c1 ZINC000108064557 415676594 /nfs/dbraw/zinc/67/65/94/415676594.db2.gz RIFWELQBGNJAKG-MCMMXHMISA-N 0 2 324.402 0.588 20 0 DCADLN CCCCN(CC)C(=O)CS(=O)(=O)c1n[nH]c(COC)n1 ZINC000353896187 415689416 /nfs/dbraw/zinc/68/94/16/415689416.db2.gz XVTMBAIXXHYNST-UHFFFAOYSA-N 0 2 318.399 0.373 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C[NH+]1C1CC1 ZINC000333674581 415710649 /nfs/dbraw/zinc/71/06/49/415710649.db2.gz YTCZTXKYMQFAOU-LQKXBSAESA-N 0 2 322.453 0.774 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C[NH+]1C1CC1 ZINC000333674585 415711841 /nfs/dbraw/zinc/71/18/41/415711841.db2.gz YTCZTXKYMQFAOU-XOUADPBQSA-N 0 2 322.453 0.774 20 0 DCADLN C[C@@H](NC(=O)c1nc(=O)[nH][nH]1)c1cccc(N2CCCC2=O)c1 ZINC000120633475 415779358 /nfs/dbraw/zinc/77/93/58/415779358.db2.gz SGTOJAHJMJJYMB-SECBINFHSA-N 0 2 315.333 0.716 20 0 DCADLN O=C(N[C@@H]1CCCS(=O)(=O)C1)N1CCO[C@H](C2CCC2)C1 ZINC000334034564 415787863 /nfs/dbraw/zinc/78/78/63/415787863.db2.gz MWLOWHUCYQLAJX-OLZOCXBDSA-N 0 2 316.423 0.774 20 0 DCADLN C[C@@H](C[S@](C)=O)NC(=O)c1cccnc1N1CCOCC1 ZINC000334034403 415789414 /nfs/dbraw/zinc/78/94/14/415789414.db2.gz QTKANKWKKZHPMJ-MQJDWESPSA-N 0 2 311.407 0.415 20 0 DCADLN COC(=O)CCCONC(=O)CN1C(=O)CCc2ccccc21 ZINC000290491498 415811934 /nfs/dbraw/zinc/81/19/34/415811934.db2.gz DTBOLKOWHITROM-UHFFFAOYSA-N 0 2 320.345 0.967 20 0 DCADLN C[N@H+](CCn1cc(Br)cn1)Cc1n[nH]c(=O)[n-]1 ZINC000344234580 415872202 /nfs/dbraw/zinc/87/22/02/415872202.db2.gz UUZPFYRSOPIBGT-UHFFFAOYSA-N 0 2 301.148 0.601 20 0 DCADLN C[N@@H+](CCn1cc(Br)cn1)Cc1n[nH]c(=O)[n-]1 ZINC000344234580 415872207 /nfs/dbraw/zinc/87/22/07/415872207.db2.gz UUZPFYRSOPIBGT-UHFFFAOYSA-N 0 2 301.148 0.601 20 0 DCADLN C[C@@H]1CCC[N@H+](CCNC(=O)N(C)CC[NH+]2CCOCC2)C1 ZINC000356228545 415887918 /nfs/dbraw/zinc/88/79/18/415887918.db2.gz XTOXPLCWLMSWJU-OAHLLOKOSA-N 0 2 312.458 0.692 20 0 DCADLN O=C(N[C@@H](CO)[C@H]1CCCO1)C1=NN(c2ccccc2)CC1=O ZINC000344884233 416002359 /nfs/dbraw/zinc/00/23/59/416002359.db2.gz YKVKWXHXWYVSPT-GXTWGEPZSA-N 0 2 317.345 0.848 20 0 DCADLN O=C(NCCOC(F)(F)F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000356803191 415990871 /nfs/dbraw/zinc/99/08/71/415990871.db2.gz HAFBIABBDCTAPH-UHFFFAOYSA-N 0 2 318.211 0.702 20 0 DCADLN CC(=O)N1CCC[C@@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000357174877 416041339 /nfs/dbraw/zinc/04/13/39/416041339.db2.gz OPCNAHSXTUJQKL-GFCCVEGCSA-N 0 2 316.317 0.979 20 0 DCADLN COCCO[C@@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000338237638 416062963 /nfs/dbraw/zinc/06/29/63/416062963.db2.gz NOWMTGHLLWCNGS-SECBINFHSA-N 0 2 316.383 0.256 20 0 DCADLN CO[C@@H](C)c1nsc(=NC[C@](C)(O)C[NH+]2CCOCC2)[n-]1 ZINC000337865852 416017042 /nfs/dbraw/zinc/01/70/42/416017042.db2.gz KVZQMPMATXYFQN-GWCFXTLKSA-N 0 2 316.427 0.163 20 0 DCADLN CO[C@@H](C)c1nc(=NC[C@](C)(O)C[NH+]2CCOCC2)s[n-]1 ZINC000337865852 416017046 /nfs/dbraw/zinc/01/70/46/416017046.db2.gz KVZQMPMATXYFQN-GWCFXTLKSA-N 0 2 316.427 0.163 20 0 DCADLN CCc1ccc(S(=O)(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000298806529 416030421 /nfs/dbraw/zinc/03/04/21/416030421.db2.gz XBDQCQKKAGCFMZ-ZDUSSCGKSA-N 0 2 323.374 0.946 20 0 DCADLN CCc1ccc(S(=O)(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000298806529 416030426 /nfs/dbraw/zinc/03/04/26/416030426.db2.gz XBDQCQKKAGCFMZ-ZDUSSCGKSA-N 0 2 323.374 0.946 20 0 DCADLN Cc1nc(-c2ccc(NCc3n[nH]c(=O)[nH]3)nc2)[nH]c(=O)c1C ZINC000301796061 416092521 /nfs/dbraw/zinc/09/25/21/416092521.db2.gz UEMZMSMBVOUFSU-UHFFFAOYSA-N 0 2 313.321 0.885 20 0 DCADLN CCn1nccc1/C=C/C(=O)NS(=O)(=O)c1cnn(C)c1 ZINC000178767710 416172869 /nfs/dbraw/zinc/17/28/69/416172869.db2.gz OIWDPGPGUXJPFQ-SNAWJCMRSA-N 0 2 309.351 0.155 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@H]2CCCC[NH2+]2)CC1 ZINC000178886773 416175625 /nfs/dbraw/zinc/17/56/25/416175625.db2.gz IOPYXPRWIPCPEX-MRXNPFEDSA-N 0 2 319.453 0.823 20 0 DCADLN C[C@H]1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@H]1CO ZINC000358342904 416225456 /nfs/dbraw/zinc/22/54/56/416225456.db2.gz VARJASZJXVGCGH-CABZTGNLSA-N 0 2 304.350 0.907 20 0 DCADLN COCCCOc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC000358353436 416226596 /nfs/dbraw/zinc/22/65/96/416226596.db2.gz JBZJVEPXYVZJIF-UHFFFAOYSA-N 0 2 307.310 0.251 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(OCC(F)(F)F)nc1 ZINC000358349033 416227232 /nfs/dbraw/zinc/22/72/32/416227232.db2.gz CAVFSRAZYCGSGA-UHFFFAOYSA-N 0 2 317.227 0.776 20 0 DCADLN O=S(=O)(Nc1ccc2c(c1)S(=O)(=O)CC2)c1cn[nH]c1 ZINC000178144012 416157968 /nfs/dbraw/zinc/15/79/68/416157968.db2.gz ZZPYPQLETJLYOI-UHFFFAOYSA-N 0 2 313.360 0.540 20 0 DCADLN CCOc1ccccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000342044511 416272095 /nfs/dbraw/zinc/27/20/95/416272095.db2.gz KFLVRJSPAJYPNU-UHFFFAOYSA-N 0 2 305.294 0.164 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cnc(N3CC[NH+](C)CC3)nc2)CC[C@@H]1O ZINC000190053521 416273608 /nfs/dbraw/zinc/27/36/08/416273608.db2.gz PXZGFLKMVQZQIG-HIFRSBDPSA-N 0 2 305.426 0.431 20 0 DCADLN CCCS(=O)(=O)c1ccccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358947792 416297174 /nfs/dbraw/zinc/29/71/74/416297174.db2.gz JBOWKZGJSBZGOJ-UHFFFAOYSA-N 0 2 324.362 0.624 20 0 DCADLN Cc1ccccc1[C@H](CC(=O)NCc1n[nH]c(=O)[nH]1)NC(N)=O ZINC000358944556 416297451 /nfs/dbraw/zinc/29/74/51/416297451.db2.gz FDBKKKUNQMVUGJ-JTQLQIEISA-N 0 2 318.337 0.235 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+]2CCCC[C@H]2C(=O)[O-])C1 ZINC000262276789 416336508 /nfs/dbraw/zinc/33/65/08/416336508.db2.gz JRWBHEXFEAQOHI-OLZOCXBDSA-N 0 2 311.382 0.053 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+]2CCCC[C@H]2C(=O)[O-])C1 ZINC000262276789 416336509 /nfs/dbraw/zinc/33/65/09/416336509.db2.gz JRWBHEXFEAQOHI-OLZOCXBDSA-N 0 2 311.382 0.053 20 0 DCADLN Cc1[nH]nc2nc(C)cc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c12 ZINC000359528275 416349634 /nfs/dbraw/zinc/34/96/34/416349634.db2.gz SCGGZQOJXJEUKG-UHFFFAOYSA-N 0 2 315.337 0.761 20 0 DCADLN Cc1nn(C)c2nc(C(=O)NCCCc3n[nH]c(=O)[nH]3)ccc12 ZINC000359525653 416349811 /nfs/dbraw/zinc/34/98/11/416349811.db2.gz OTWRFBFSOCDAER-UHFFFAOYSA-N 0 2 315.337 0.463 20 0 DCADLN CCc1ncc(S(=O)(=O)Nc2nc3ncccn3n2)s1 ZINC000359659966 416352144 /nfs/dbraw/zinc/35/21/44/416352144.db2.gz TVSXHDMSVFXROB-UHFFFAOYSA-N 0 2 310.364 0.944 20 0 DCADLN Cc1nn(C)cc1[C@H](C)NS(=O)(=O)NCC(F)(F)F ZINC000195215572 416325409 /nfs/dbraw/zinc/32/54/09/416325409.db2.gz UPPIUEZVNHWNOA-ZETCQYMHSA-N 0 2 300.306 0.776 20 0 DCADLN CC[C@@]1(CO)CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000195463044 416326591 /nfs/dbraw/zinc/32/65/91/416326591.db2.gz DSPIFXSQRBLKSK-SECBINFHSA-N 0 2 304.334 0.868 20 0 DCADLN CCOC(=O)[C@@H]1CN(c2cccc(C(=O)[O-])[nH+]2)CC12COC2 ZINC000416422989 416369376 /nfs/dbraw/zinc/36/93/76/416369376.db2.gz QRVGKMHYHOVGRN-JTQLQIEISA-N 0 2 306.318 0.796 20 0 DCADLN COC(=O)[C@@H](C)N(C(=O)CSc1n[nH]c(=O)[nH]1)C1CCC1 ZINC000360432913 416389561 /nfs/dbraw/zinc/38/95/61/416389561.db2.gz CNNXPFRKGOJUJF-SSDOTTSWSA-N 0 2 314.367 0.545 20 0 DCADLN Cn1nncc1CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000360663103 416401794 /nfs/dbraw/zinc/40/17/94/416401794.db2.gz ZKLCUUGMTLLDKP-UHFFFAOYSA-N 0 2 316.296 0.776 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C[C@H](C)S1=O ZINC000360725260 416406234 /nfs/dbraw/zinc/40/62/34/416406234.db2.gz JKZJCHBZTHFMLL-IUCAKERBSA-N 0 2 322.390 0.655 20 0 DCADLN CNC(=O)c1cccc(NS(=O)(=O)c2cn(C)nc2C)c1 ZINC000361114276 416421512 /nfs/dbraw/zinc/42/15/12/416421512.db2.gz AZCUMCCZXNVSCT-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN CCNC(=O)c1ccc(NC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000361918126 416522427 /nfs/dbraw/zinc/52/24/27/416522427.db2.gz DFVNYRIBPKGHLO-UHFFFAOYSA-N 0 2 321.362 0.991 20 0 DCADLN CCOCCOC[C@@H](O)CNC(=O)c1cc(F)c(O)c(F)c1 ZINC000436619400 416564890 /nfs/dbraw/zinc/56/48/90/416564890.db2.gz WCINYDOCUXDKJL-JTQLQIEISA-N 0 2 319.304 0.814 20 0 DCADLN CN1CCOC[C@@H]1C(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000539956890 416588107 /nfs/dbraw/zinc/58/81/07/416588107.db2.gz SRYZLQQAQUTXBB-LLVKDONJSA-N 0 2 304.375 0.896 20 0 DCADLN Cc1cc(=NC(=O)N=c2ncn(Cc3ccccc3)[nH]2)[nH]n1C ZINC000542923564 416636217 /nfs/dbraw/zinc/63/62/17/416636217.db2.gz XFZFBPWUJQPYPF-UHFFFAOYSA-N 0 2 311.349 0.856 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C/C1CCOCC1 ZINC000493853441 416642259 /nfs/dbraw/zinc/64/22/59/416642259.db2.gz LCKUYQILPNLVDY-NSCUHMNNSA-N 0 2 315.351 0.353 20 0 DCADLN CCOc1ccc2c(c1)CC[N@@H+](CC(=O)N1CCNC1=O)C2 ZINC000441062287 416659431 /nfs/dbraw/zinc/65/94/31/416659431.db2.gz HNOVPZWLEGDBCG-UHFFFAOYSA-N 0 2 303.362 0.995 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)[C@@H]2CCNC2=O)c1 ZINC000424755658 416666351 /nfs/dbraw/zinc/66/63/51/416666351.db2.gz RMNLCPNYBINKAD-GTJPDFRWSA-N 0 2 310.375 0.150 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nc3n(n2)CCCC3)cn1 ZINC000514653928 416668506 /nfs/dbraw/zinc/66/85/06/416668506.db2.gz NPDPRQWAALGCQB-UHFFFAOYSA-N 0 2 309.351 0.819 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCCNC(=O)[C@@H]2C)c1 ZINC000424787814 416669105 /nfs/dbraw/zinc/66/91/05/416669105.db2.gz GDCNOGZBBNTHST-SAHAZLINSA-N 0 2 324.402 0.540 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2COC[C@H]2OC)c1 ZINC000424893336 416673437 /nfs/dbraw/zinc/67/34/37/416673437.db2.gz MPTNGQOBHCECIK-OSRJUQGESA-N 0 2 313.375 0.333 20 0 DCADLN C[C@@H](CCO)CNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000442572119 416697941 /nfs/dbraw/zinc/69/79/41/416697941.db2.gz DQXCREQWRXUBNZ-QMMMGPOBSA-N 0 2 314.411 0.331 20 0 DCADLN CCOC(=O)c1cnn(C)c1NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000515386208 416699343 /nfs/dbraw/zinc/69/93/43/416699343.db2.gz IROOFJSJFJFWND-SNVBAGLBSA-N 0 2 324.337 0.084 20 0 DCADLN CCOC(=O)c1cnn(C)c1NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000515386208 416699346 /nfs/dbraw/zinc/69/93/46/416699346.db2.gz IROOFJSJFJFWND-SNVBAGLBSA-N 0 2 324.337 0.084 20 0 DCADLN CN(C)c1cccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)c1 ZINC000442613904 416700089 /nfs/dbraw/zinc/70/00/89/416700089.db2.gz FPQYGDJMJAXDBT-UHFFFAOYSA-N 0 2 323.378 0.386 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)o1 ZINC000443366461 416754009 /nfs/dbraw/zinc/75/40/09/416754009.db2.gz FZCGXOUXBPPCGF-UHFFFAOYSA-N 0 2 306.307 0.760 20 0 DCADLN CCNC(=O)[C@H]1Cc2ccccc2CN1Cc1n[nH]c(=O)[nH]1 ZINC000425533548 416714154 /nfs/dbraw/zinc/71/41/54/416714154.db2.gz FEOBKGSFHWXTGO-GFCCVEGCSA-N 0 2 301.350 0.573 20 0 DCADLN NC(=O)C1(CNS(=O)(=O)NCC(F)(F)F)CCCC1 ZINC000442941095 416721432 /nfs/dbraw/zinc/72/14/32/416721432.db2.gz DRDDZSYDOPQZCC-UHFFFAOYSA-N 0 2 303.306 0.018 20 0 DCADLN COC(=O)[C@@]1(NS(=O)(=O)NCC(F)(F)F)CCSC1 ZINC000443050374 416727220 /nfs/dbraw/zinc/72/72/20/416727220.db2.gz RCHSZQRFTHOKIC-SSDOTTSWSA-N 0 2 322.330 0.021 20 0 DCADLN CC(C)c1cc(=NC(=O)C(=O)N=c2ncn(C(C)(C)C)[nH]2)[nH][nH]1 ZINC000426261294 416756991 /nfs/dbraw/zinc/75/69/91/416756991.db2.gz PRRIHFGGKIPBMY-UHFFFAOYSA-N 0 2 319.369 0.301 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@@H+]([C@@H](C(=O)[O-])c2ccsc2)C1 ZINC000517382052 416802544 /nfs/dbraw/zinc/80/25/44/416802544.db2.gz RKZOYGRIQANGJS-GHMZBOCLSA-N 0 2 318.420 0.887 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2ccsc2)C1 ZINC000517382052 416802554 /nfs/dbraw/zinc/80/25/54/416802554.db2.gz RKZOYGRIQANGJS-GHMZBOCLSA-N 0 2 318.420 0.887 20 0 DCADLN CC(C)[C@@H]1CCN1S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000517685272 416818992 /nfs/dbraw/zinc/81/89/92/416818992.db2.gz WEBKKURKPXZONX-JTQLQIEISA-N 0 2 324.362 0.855 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1nc(C)n(C)n1 ZINC000427649228 416836676 /nfs/dbraw/zinc/83/66/76/416836676.db2.gz WGWRXYVHDVKARF-UHFFFAOYSA-N 0 2 317.352 0.167 20 0 DCADLN O=C(CCCN1C(=O)CNC1=O)Nc1ccc2nn[nH]c2c1 ZINC000427735197 416840674 /nfs/dbraw/zinc/84/06/74/416840674.db2.gz IFGVZQXYTMIJSF-UHFFFAOYSA-N 0 2 302.294 0.228 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cccc(-c3nn[nH]n3)c2)cnn1C ZINC000427750859 416842939 /nfs/dbraw/zinc/84/29/39/416842939.db2.gz YBQSGQTUJZTUMA-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN C[C@@H]1[C@@H](CO)CCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000427829271 416852246 /nfs/dbraw/zinc/85/22/46/416852246.db2.gz RLGQXUNIZJQYAJ-HTQZYQBOSA-N 0 2 304.306 0.279 20 0 DCADLN COC(=O)Cc1cccc(NS(=O)(=O)c2cccnc2)n1 ZINC000616342734 417022194 /nfs/dbraw/zinc/02/21/94/417022194.db2.gz SHLHYIWGACRZKH-UHFFFAOYSA-N 0 2 307.331 0.993 20 0 DCADLN O=C([C@@H]1CC(=O)N(C2CC2)C1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000565835319 417025304 /nfs/dbraw/zinc/02/53/04/417025304.db2.gz HDOLBESOFABZSG-VHSXEESVSA-N 0 2 319.365 0.227 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000616124324 416969695 /nfs/dbraw/zinc/96/96/95/416969695.db2.gz MVTBXHXAJAXTGE-KCJUWKMLSA-N 0 2 316.317 0.414 20 0 DCADLN C[C@@H]1C[C@H](O)CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000616143432 416972461 /nfs/dbraw/zinc/97/24/61/416972461.db2.gz AECDSWXDWIMGQM-SKDRFNHKSA-N 0 2 303.318 0.873 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000430650002 417053158 /nfs/dbraw/zinc/05/31/58/417053158.db2.gz BQVCNRLITXCCIM-WDEREUQCSA-N 0 2 308.334 0.348 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000430650002 417053165 /nfs/dbraw/zinc/05/31/65/417053165.db2.gz BQVCNRLITXCCIM-WDEREUQCSA-N 0 2 308.334 0.348 20 0 DCADLN CCC[C@H](C)C(=O)NCCNS(=O)(=O)NCC(F)(F)F ZINC000431626388 417135564 /nfs/dbraw/zinc/13/55/64/417135564.db2.gz AOVMHOQDJIUFII-QMMMGPOBSA-N 0 2 319.349 0.525 20 0 DCADLN O=C([O-])c1ccc(NCc2ccc(N3CCOCC3)[nH+]c2)nn1 ZINC000568634173 417158244 /nfs/dbraw/zinc/15/82/44/417158244.db2.gz NUMGIPMZYQVHNE-UHFFFAOYSA-N 0 2 315.333 1.019 20 0 DCADLN COCC[N@@H+](C)C[C@@H](O)C[NH+]1CCc2c(cccc2OC)C1 ZINC000569136405 417220212 /nfs/dbraw/zinc/22/02/12/417220212.db2.gz HUVOOXRVYKNNEE-OAHLLOKOSA-N 0 2 308.422 0.992 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)Cc2cncs2)s1 ZINC000438063555 287366602 /nfs/dbraw/zinc/36/66/02/287366602.db2.gz QHEUTIRIIWCXDX-UHFFFAOYSA-N 0 2 319.393 0.547 20 0 DCADLN O[C@@H]1C[C@@H](c2nnc[nH]2)C[C@@H]1CNc1nc(C2CC2)ns1 ZINC000527566716 417264966 /nfs/dbraw/zinc/26/49/66/417264966.db2.gz VZZOQRDTVGVFBV-IVZWLZJFSA-N 0 2 306.395 0.922 20 0 DCADLN O[C@@H]1C[C@@H](c2nc[nH]n2)C[C@@H]1CNc1nc(C2CC2)ns1 ZINC000527566716 417264973 /nfs/dbraw/zinc/26/49/73/417264973.db2.gz VZZOQRDTVGVFBV-IVZWLZJFSA-N 0 2 306.395 0.922 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2cn(C)nc2C(N)=O)sc1C ZINC000414400070 417279809 /nfs/dbraw/zinc/27/98/09/417279809.db2.gz UGQLUYUESWYJGS-UHFFFAOYSA-N 0 2 314.392 0.998 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@]2(CCOC2)O1 ZINC000570379006 417346304 /nfs/dbraw/zinc/34/63/04/417346304.db2.gz ZQAMJYPEBCCMPM-ABAIWWIYSA-N 0 2 304.350 0.402 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@]2(CCOC2)O1 ZINC000570379006 417346310 /nfs/dbraw/zinc/34/63/10/417346310.db2.gz ZQAMJYPEBCCMPM-ABAIWWIYSA-N 0 2 304.350 0.402 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2ccccc2O)c1 ZINC000622503331 417453734 /nfs/dbraw/zinc/45/37/34/417453734.db2.gz PWPPISYALFLTQW-UHFFFAOYSA-N 0 2 308.315 0.998 20 0 DCADLN CC[C@H]1[C@@H](CO)CCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452945062 417510296 /nfs/dbraw/zinc/51/02/96/417510296.db2.gz IMNWEMQTKQKBFA-SKDRFNHKSA-N 0 2 304.350 0.907 20 0 DCADLN CNC(=O)[C@H](CCSC)NC(=O)c1cccc2[nH]nnc21 ZINC000575188560 417520688 /nfs/dbraw/zinc/52/06/88/417520688.db2.gz YJYPVACOILXFCU-JTQLQIEISA-N 0 2 307.379 0.555 20 0 DCADLN CC(C)c1[nH+]ccn1CC(=O)NCCCN1CC[NH+](C)CC1 ZINC000635128437 417583136 /nfs/dbraw/zinc/58/31/36/417583136.db2.gz FABGJCXNQDXNHD-UHFFFAOYSA-N 0 2 307.442 0.760 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCOCC1CCCC1 ZINC000457128597 417690205 /nfs/dbraw/zinc/69/02/05/417690205.db2.gz FZVOXRNHSDSSCV-UHFFFAOYSA-N 0 2 300.384 0.925 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCC[C@@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000456777463 417664187 /nfs/dbraw/zinc/66/41/87/417664187.db2.gz QARGDCRWRWVZNP-RKDXNWHRSA-N 0 2 318.333 0.635 20 0 DCADLN CCC[C@@H](C)[C@@H](CO)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651963854 417825268 /nfs/dbraw/zinc/82/52/68/417825268.db2.gz VKLPUNOUICJJQK-GHMZBOCLSA-N 0 2 311.386 0.133 20 0 DCADLN CCN(C(=O)C(=O)N=c1ncn(C(C)(C)C)[nH]1)[C@@H]1CCCOC1 ZINC000652027041 417837832 /nfs/dbraw/zinc/83/78/32/417837832.db2.gz GHVSLRIIKKUYKM-LLVKDONJSA-N 0 2 323.397 0.421 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc([C@H]2CCC(=O)N2)c1 ZINC000629300240 417774293 /nfs/dbraw/zinc/77/42/93/417774293.db2.gz HCARIEJSKVXYCY-LLVKDONJSA-N 0 2 321.362 0.567 20 0 DCADLN CCN(C)C(=O)c1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000629298700 417775081 /nfs/dbraw/zinc/77/50/81/417775081.db2.gz DJNHBTIWZGHNCO-UHFFFAOYSA-N 0 2 323.378 0.708 20 0 DCADLN CCCCc1nnc(NS(=O)(=O)c2cnnn2C)s1 ZINC000629349564 417785575 /nfs/dbraw/zinc/78/55/75/417785575.db2.gz QYSDVPFZEGCAJY-UHFFFAOYSA-N 0 2 302.385 0.810 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCOCC2CCCC2)[nH]1 ZINC000651846610 417796156 /nfs/dbraw/zinc/79/61/56/417796156.db2.gz XZCWBULFRZSGOF-UHFFFAOYSA-N 0 2 323.397 0.542 20 0 DCADLN CCO[C@@H]1CCCC[C@H]1NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651843310 417797166 /nfs/dbraw/zinc/79/71/66/417797166.db2.gz AZTZMPHCTKTAGK-VXGBXAGGSA-N 0 2 323.397 0.683 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@@H]2CCCC2(F)F)[nH]1 ZINC000657105110 417812736 /nfs/dbraw/zinc/81/27/36/417812736.db2.gz SEDPATMNWZTUPG-QMMMGPOBSA-N 0 2 324.353 0.806 20 0 DCADLN CN(Cc1nc(=O)o[n-]1)C(=O)C1CC[NH+](CC(F)F)CC1 ZINC000640466116 417851541 /nfs/dbraw/zinc/85/15/41/417851541.db2.gz OJIWAVRWJGTXTQ-UHFFFAOYSA-N 0 2 304.297 0.298 20 0 DCADLN C[C@H](COCC1CC1)NC(=O)C(=O)N=c1ncn(C(C)(C)C)[nH]1 ZINC000652156518 417854337 /nfs/dbraw/zinc/85/43/37/417854337.db2.gz FTTURGZVPBRYCY-SNVBAGLBSA-N 0 2 323.397 0.325 20 0 DCADLN C[C@@H](CCO)N(C)C(=O)C(=O)N=c1ncn(C2CCCCC2)[nH]1 ZINC000652254543 417872844 /nfs/dbraw/zinc/87/28/44/417872844.db2.gz SYQCLGKIFAHTCJ-NSHDSACASA-N 0 2 323.397 0.373 20 0 DCADLN COCc1nnc(CNC(=O)C(=O)Nc2ccc(C)cc2F)[nH]1 ZINC000658472267 417974322 /nfs/dbraw/zinc/97/43/22/417974322.db2.gz LKITZQXMQBBMTG-UHFFFAOYSA-N 0 2 321.312 0.654 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC[C@@]2(O)CCCOC2)cn1 ZINC000652952563 418003405 /nfs/dbraw/zinc/00/34/05/418003405.db2.gz UDYQLDHVPSANJC-HNNXBMFYSA-N 0 2 319.365 0.472 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ncccn2)coc1C(=O)N(C)C ZINC000647271129 418005005 /nfs/dbraw/zinc/00/50/05/418005005.db2.gz BWTAQRIFIBMBKO-UHFFFAOYSA-N 0 2 310.335 0.881 20 0 DCADLN CC[C@H](Nc1nc(N)c2cc(OC)c(OC)cc2[nH+]1)C(=O)[O-] ZINC000647459120 418012392 /nfs/dbraw/zinc/01/23/92/418012392.db2.gz OJWLVSCXDUKYGX-QMMMGPOBSA-N 0 2 306.322 0.757 20 0 DCADLN CC[C@H](Nc1nc2cc(OC)c(OC)cc2c(N)[nH+]1)C(=O)[O-] ZINC000647459120 418012395 /nfs/dbraw/zinc/01/23/95/418012395.db2.gz OJWLVSCXDUKYGX-QMMMGPOBSA-N 0 2 306.322 0.757 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC000647590945 418029404 /nfs/dbraw/zinc/02/94/04/418029404.db2.gz IMMVNKJUQLUVCB-NWDGAFQWSA-N 0 2 322.365 0.101 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000663062870 417985075 /nfs/dbraw/zinc/98/50/75/417985075.db2.gz VGYOKVPFGOSKBJ-OAHLLOKOSA-N 0 2 307.350 0.788 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc2c(c1)nnn2C ZINC000647136675 417992641 /nfs/dbraw/zinc/99/26/41/417992641.db2.gz ZZYNRRWPSKVARU-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN(C(=O)[C@]2(C(=O)[O-])CC=CCC2)CC1 ZINC000653294811 418055353 /nfs/dbraw/zinc/05/53/53/418055353.db2.gz ZQLUXHSZHDDFLR-INIZCTEOSA-N 0 2 323.393 0.206 20 0 DCADLN C[C@@H]1C[N@@H+](CCCCNc2[nH+]cnc3c2OCCN3)C[C@H](C)O1 ZINC000647871767 418068579 /nfs/dbraw/zinc/06/85/79/418068579.db2.gz MEJSFQMWYDDYTJ-BETUJISGSA-N 0 2 321.425 0.695 20 0 DCADLN Cn1cc[nH+]c1[C@H](NC(=O)NCC[N@H+](C)C1CC1)C(C)(C)CO ZINC000664092678 418085306 /nfs/dbraw/zinc/08/53/06/418085306.db2.gz AFYPWYSSYWOFOT-ZDUSSCGKSA-N 0 2 323.441 0.873 20 0 DCADLN COCC1(CNC(=O)Cc2c(C)nc3cc[nH]n3c2=O)CC1 ZINC000654093493 418126206 /nfs/dbraw/zinc/12/62/06/418126206.db2.gz XFVAULGOLLLUBM-UHFFFAOYSA-N 0 2 304.350 0.416 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1CCN(C)C1=O ZINC000655050045 418219769 /nfs/dbraw/zinc/21/97/69/418219769.db2.gz WKWZUEXAQPFKSA-SNVBAGLBSA-N 0 2 315.333 0.903 20 0 DCADLN CN(CC(=O)NC1CC1)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665585606 418223496 /nfs/dbraw/zinc/22/34/96/418223496.db2.gz PKQJIXRRYMPSJB-UHFFFAOYSA-N 0 2 316.317 0.381 20 0 DCADLN CC1(C)C[C@@]1(C)NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000660048127 418223846 /nfs/dbraw/zinc/22/38/46/418223846.db2.gz KUZBNDONCMZUEZ-CYBMUJFWSA-N 0 2 324.362 0.903 20 0 DCADLN O=C(N=c1ncn(Cc2ccccc2)[nH]1)N[C@@H](CO)CCF ZINC000650010791 418287713 /nfs/dbraw/zinc/28/77/13/418287713.db2.gz GNOQOHSCVZTTPY-GFCCVEGCSA-N 0 2 307.329 0.590 20 0 DCADLN O=C1NCc2cccc(NS(=O)(=O)N3CCCOCC3)c21 ZINC000650158936 418296193 /nfs/dbraw/zinc/29/61/93/418296193.db2.gz HDDZPTFDVKGKCB-UHFFFAOYSA-N 0 2 311.363 0.309 20 0 DCADLN C[C@H](CNC(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000656001882 418315052 /nfs/dbraw/zinc/31/50/52/418315052.db2.gz OQEVKBUBPALRFG-TUAOUCFPSA-N 0 2 322.365 0.130 20 0 DCADLN CC[C@H](CNS(C)(=O)=O)NC(=O)c1c(O)cc(F)cc1F ZINC000650743834 418322441 /nfs/dbraw/zinc/32/24/41/418322441.db2.gz YJBZYAUKRHKYLT-MRVPVSSYSA-N 0 2 322.333 0.728 20 0 DCADLN CC[C@@H](CNS(C)(=O)=O)NC(=O)c1c(O)cc(F)cc1F ZINC000650743835 418322688 /nfs/dbraw/zinc/32/26/88/418322688.db2.gz YJBZYAUKRHKYLT-QMMMGPOBSA-N 0 2 322.333 0.728 20 0 DCADLN Cn1cc([C@@H]2CN(c3cc[nH+]c(C(=O)[O-])c3)C[C@H]2CO)cn1 ZINC000650769045 418323213 /nfs/dbraw/zinc/32/32/13/418323213.db2.gz NEZNRNYSEOUCPQ-AAEUAGOBSA-N 0 2 302.334 0.726 20 0 DCADLN CN1CCN(c2cc(NC3(CC(=O)[O-])CCC3)[nH+]cn2)CC1=O ZINC000650775915 418323396 /nfs/dbraw/zinc/32/33/96/418323396.db2.gz HZZSKBDUZYXXQH-UHFFFAOYSA-N 0 2 319.365 0.564 20 0 DCADLN CN1CCN(c2cc(NC3(CC(=O)[O-])CCC3)nc[nH+]2)CC1=O ZINC000650775915 418323397 /nfs/dbraw/zinc/32/33/97/418323397.db2.gz HZZSKBDUZYXXQH-UHFFFAOYSA-N 0 2 319.365 0.564 20 0 DCADLN Cc1cc(C(=O)N(C[C@@H](C)O)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000655374413 418257482 /nfs/dbraw/zinc/25/74/82/418257482.db2.gz LPDDHCXEVVQIQX-MRVPVSSYSA-N 0 2 318.333 0.730 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CCc2nc(C(C)C)no2)[nH]n1 ZINC000650816005 418326096 /nfs/dbraw/zinc/32/60/96/418326096.db2.gz GVZFGJWAXHWSJN-UHFFFAOYSA-N 0 2 318.337 0.336 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cccc3c2O[C@H](C)C3)[nH]n1 ZINC000650819199 418326283 /nfs/dbraw/zinc/32/62/83/418326283.db2.gz LKOUHNWNVGVQOP-SECBINFHSA-N 0 2 312.329 0.834 20 0 DCADLN CC[C@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@@H]1CCCO1 ZINC000651638259 418399151 /nfs/dbraw/zinc/39/91/51/418399151.db2.gz SKGANHSGPIFSTJ-QWRGUYRKSA-N 0 2 309.370 0.293 20 0 DCADLN CCn1ccc(NS(=O)(=O)C[C@H](OC)[C@H]2CCOC2)n1 ZINC000656633440 418368208 /nfs/dbraw/zinc/36/82/08/418368208.db2.gz BSICWSHOZLZESG-QWRGUYRKSA-N 0 2 303.384 0.696 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCCOC2CCCC2)[nH]1 ZINC000651548243 418389933 /nfs/dbraw/zinc/38/99/33/418389933.db2.gz SCOYOSBLRZPJNX-UHFFFAOYSA-N 0 2 323.397 0.685 20 0 DCADLN CCCN(CC(F)F)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651548786 418390132 /nfs/dbraw/zinc/39/01/32/418390132.db2.gz LJUGKUORCXWRHC-UHFFFAOYSA-N 0 2 303.313 0.723 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@H](C)S[C@@H](C)C2)[nH]1 ZINC000651591235 418395044 /nfs/dbraw/zinc/39/50/44/418395044.db2.gz JNVWIBOZNIXZCK-UWVGGRQHSA-N 0 2 311.411 0.572 20 0 DCADLN CO[C@]1(C)CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651762731 418408726 /nfs/dbraw/zinc/40/87/26/418408726.db2.gz MCDSOUQNIHYBCE-CQSZACIVSA-N 0 2 309.370 0.247 20 0 DCADLN CCc1cnccc1CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651656871 418401409 /nfs/dbraw/zinc/40/14/09/418401409.db2.gz SORDPKOAMBFHLS-UHFFFAOYSA-N 0 2 316.365 0.493 20 0 DCADLN O=S(=O)(NCc1n[nH]c([C@H]2CCCO2)n1)c1ccccc1O ZINC000657024521 418405681 /nfs/dbraw/zinc/40/56/81/418405681.db2.gz YGVIPHPSJDLFBR-SNVBAGLBSA-N 0 2 324.362 0.840 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)c1ncon1 ZINC000479529857 287525584 /nfs/dbraw/zinc/52/55/84/287525584.db2.gz JAAHBTZUBCHSSK-RXMQYKEDSA-N 0 2 302.250 0.310 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C\c2ccnn2C)cn1 ZINC000492097593 287563742 /nfs/dbraw/zinc/56/37/42/287563742.db2.gz XKAOLKHJYRFYTD-PLNGDYQASA-N 0 2 309.351 0.155 20 0 DCADLN CN(CC1(O)CCC1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000354280445 261201631 /nfs/dbraw/zinc/20/16/31/261201631.db2.gz DMTZWTFXNGMCSU-UHFFFAOYSA-N 0 2 304.306 0.423 20 0 DCADLN O=C(N[C@@H]1CC[S@](=O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354512181 261230456 /nfs/dbraw/zinc/23/04/56/261230456.db2.gz MEVRBFXREINROV-STFLBKPXSA-N 0 2 323.349 0.968 20 0 DCADLN NC(=O)c1ncccc1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000355792605 261367108 /nfs/dbraw/zinc/36/71/08/261367108.db2.gz OBHXKTOZVMGLNM-UHFFFAOYSA-N 0 2 324.300 0.924 20 0 DCADLN COC(=O)c1ccc(CCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000358364362 261662131 /nfs/dbraw/zinc/66/21/31/261662131.db2.gz YYARKSUOBZZVGR-UHFFFAOYSA-N 0 2 304.306 0.546 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(-n2ccnn2)c1 ZINC000362870223 262082906 /nfs/dbraw/zinc/08/29/06/262082906.db2.gz ZUDKJCYEOIKGRF-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN CN([C@@H]1CC1(C)C)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000362982627 262092476 /nfs/dbraw/zinc/09/24/76/262092476.db2.gz NWPSYPCNRACDQS-SECBINFHSA-N 0 2 324.362 0.855 20 0 DCADLN COCC[N@H+](C)CCNC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000363505472 262117580 /nfs/dbraw/zinc/11/75/80/262117580.db2.gz YXBIVTHRJUMIAY-CQSZACIVSA-N 0 2 323.441 0.946 20 0 DCADLN CN(C)S(=O)(=O)NCCNc1nc(C2CCCC2)ns1 ZINC000420625231 262379382 /nfs/dbraw/zinc/37/93/82/262379382.db2.gz KVADWRCDPJLSBI-UHFFFAOYSA-N 0 2 319.456 0.426 20 0 DCADLN Cc1ccc(F)cc1C(=O)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000359646406 271137277 /nfs/dbraw/zinc/13/72/77/271137277.db2.gz PCAHANBBTWYOIA-UHFFFAOYSA-N 0 2 306.297 0.890 20 0 DCADLN COC[C@@H](C)[C@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000360032624 271160136 /nfs/dbraw/zinc/16/01/36/271160136.db2.gz KUXCRLGGICUCGZ-RQJHMYQMSA-N 0 2 322.412 0.767 20 0 DCADLN Cc1c(C=CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)cnn1C ZINC000492317053 272123112 /nfs/dbraw/zinc/12/31/12/272123112.db2.gz CVVRPQXSTJCIDN-ARJAWSKDSA-N 0 2 316.365 0.972 20 0 DCADLN COC(=O)NCCC(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000492680444 272141160 /nfs/dbraw/zinc/14/11/60/272141160.db2.gz YKHKRWXICDFCKN-UHFFFAOYSA-N 0 2 306.278 0.715 20 0 DCADLN Cc1ccc(/C=C\C(=O)NS(=O)(=O)c2cnn(C)c2)cn1 ZINC000492739233 272146412 /nfs/dbraw/zinc/14/64/12/272146412.db2.gz RXBPCFSKRIKSHQ-WAYWQWQTSA-N 0 2 306.347 0.642 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/c2cccnc2)cnn1C ZINC000493075831 272167815 /nfs/dbraw/zinc/16/78/15/272167815.db2.gz AQCHRFLSZPVDTB-AATRIKPKSA-N 0 2 306.347 0.642 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)/C=C\c2ccncn2)cn1 ZINC000493321392 272181027 /nfs/dbraw/zinc/18/10/27/272181027.db2.gz NKAGUQWYMUJKSO-RQOWECAXSA-N 0 2 320.330 0.399 20 0 DCADLN COC(=O)NCCCC(=O)NS(=O)(=O)c1ccsc1 ZINC000493335302 272182129 /nfs/dbraw/zinc/18/21/29/272182129.db2.gz AWYKBMSWVXXAQX-UHFFFAOYSA-N 0 2 306.365 0.689 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C\c1ccn(C)c1 ZINC000493447462 272189484 /nfs/dbraw/zinc/18/94/84/272189484.db2.gz CIBNDDBZOXNMRU-PLNGDYQASA-N 0 2 308.363 0.585 20 0 DCADLN CS(=O)(=O)[N-]c1nnc(NC(=O)C=Cc2c[nH]c[nH+]2)s1 ZINC000493526429 272195003 /nfs/dbraw/zinc/19/50/03/272195003.db2.gz QWFBPCALKNHNPF-NSCUHMNNSA-N 0 2 314.352 0.285 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC(C)(C)C(N)=O)cn1 ZINC000495029678 272235857 /nfs/dbraw/zinc/23/58/57/272235857.db2.gz OXRGKUGRWJJGBD-UHFFFAOYSA-N 0 2 304.354 0.534 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000496062382 272289354 /nfs/dbraw/zinc/28/93/54/272289354.db2.gz DWRWQDDNVVRLAM-UONOGXRCSA-N 0 2 317.430 0.088 20 0 DCADLN CC(C)(C)OC(=O)NCC(F)(F)CC(=O)NOCC(N)=O ZINC000496157629 272297168 /nfs/dbraw/zinc/29/71/68/272297168.db2.gz CJMWTKPFYZWULL-UHFFFAOYSA-N 0 2 311.285 0.070 20 0 DCADLN CCCONC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000496263132 272303844 /nfs/dbraw/zinc/30/38/44/272303844.db2.gz JPVKOADKHQKRRO-UHFFFAOYSA-N 0 2 315.370 0.524 20 0 DCADLN O=C(NC[C@H]1CCCC1=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000527008703 272598296 /nfs/dbraw/zinc/59/82/96/272598296.db2.gz YENLURUBAPQOTJ-SSDOTTSWSA-N 0 2 302.290 0.535 20 0 DCADLN CC(C)NS(=O)(=O)NCCNc1cccc(C(=O)[O-])[nH+]1 ZINC000263449520 279620234 /nfs/dbraw/zinc/62/02/34/279620234.db2.gz ZXTFWJGOTVFHGM-UHFFFAOYSA-N 0 2 302.356 0.024 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(c3nccn(C4CC4)c3=O)C2)[nH]1 ZINC000550340983 288215228 /nfs/dbraw/zinc/21/52/28/288215228.db2.gz LZQDZHGYOGBCHY-VIFPVBQESA-N 0 2 302.338 0.786 20 0 DCADLN O=c1cc(CN2CCOC[C@H]2[C@H]2CCCO2)nc2cc[nH]n21 ZINC000552138064 288266143 /nfs/dbraw/zinc/26/61/43/288266143.db2.gz NHPSRIALTBKPJE-QWHCGFSZSA-N 0 2 304.350 0.402 20 0 DCADLN CC1(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCOCC1 ZINC000269793378 281591435 /nfs/dbraw/zinc/59/14/35/281591435.db2.gz LDHPTDZXALITLY-UHFFFAOYSA-N 0 2 304.306 0.735 20 0 DCADLN CS(=O)(=O)[C@@H]1CCC[C@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC000555344970 288349582 /nfs/dbraw/zinc/34/95/82/288349582.db2.gz WGXFGPCDVTXCIR-JGVFFNPUSA-N 0 2 323.358 0.824 20 0 DCADLN CCN1C[C@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC1=O ZINC000556428375 288376550 /nfs/dbraw/zinc/37/65/50/288376550.db2.gz ODLMEWHTYKQETE-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCN(c3cccc[nH+]3)CC2)cn1 ZINC000563115297 288632671 /nfs/dbraw/zinc/63/26/71/288632671.db2.gz ZCMAJRYUUXFHBY-UHFFFAOYSA-N 0 2 313.317 0.532 20 0 DCADLN CCc1cc(NS(=O)(=O)c2cncc(C(=O)OC)c2)n(C)n1 ZINC000565929471 288888426 /nfs/dbraw/zinc/88/84/26/288888426.db2.gz UGEYKDSQZXZSTJ-UHFFFAOYSA-N 0 2 324.362 0.965 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)CN2CCCC2=O)[nH]1 ZINC000329603196 293336149 /nfs/dbraw/zinc/33/61/49/293336149.db2.gz LFRXDQLQDMQWIN-LLVKDONJSA-N 0 2 321.381 0.627 20 0 DCADLN O=c1[nH]nc(CN2CCS(=O)(=O)C3(CCCCC3)C2)[nH]1 ZINC000329322758 304792691 /nfs/dbraw/zinc/79/26/91/304792691.db2.gz RTKPYKVJACDMBK-UHFFFAOYSA-N 0 2 300.384 0.444 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCOC[C@@H]1C1CCC1 ZINC000577218675 341898135 /nfs/dbraw/zinc/89/81/35/341898135.db2.gz GQZMAUOFNIRWPN-SECBINFHSA-N 0 2 302.318 0.884 20 0 DCADLN COC(=O)C[C@@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266138173 523266038 /nfs/dbraw/zinc/26/60/38/523266038.db2.gz AKGICVXESITGHO-SSDOTTSWSA-N 0 2 320.305 0.426 20 0 DCADLN COC(=O)[C@@]1(C)CCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000365997655 523830304 /nfs/dbraw/zinc/83/03/04/523830304.db2.gz BHBSNOJTFQJWOG-NSHDSACASA-N 0 2 300.340 0.014 20 0 DCADLN COC(=O)[C@H]1[C@H](O)CCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000189606730 523998319 /nfs/dbraw/zinc/99/83/19/523998319.db2.gz XNGGIZZGNIIHHA-MWLCHTKSSA-N 0 2 323.374 0.483 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2C[C@@H](O)[C@H](OC)C2)c1 ZINC000424867546 536917920 /nfs/dbraw/zinc/91/79/20/536917920.db2.gz GYPCCORGIAYKDZ-ZNLKAECVSA-N 0 2 313.375 0.021 20 0 DCADLN Cn1[n-]c(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)cc1=O ZINC000666149153 546431699 /nfs/dbraw/zinc/43/16/99/546431699.db2.gz PYTUTUMPMSECCI-UHFFFAOYSA-N 0 2 317.349 0.287 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)N[C@@H]1CCc2ccc(O)cc21 ZINC000668555211 546783560 /nfs/dbraw/zinc/78/35/60/546783560.db2.gz XNFUECNOPFIPRR-CQSZACIVSA-N 0 2 314.345 0.844 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cnn(CC(F)F)c1 ZINC000671166564 547171638 /nfs/dbraw/zinc/17/16/38/547171638.db2.gz UCFCQSBLCFVRTN-UHFFFAOYSA-N 0 2 321.309 0.138 20 0 DCADLN O=C1N[C@@H](Cc2c[nH+]c[nH]2)C(=O)N1[C@@H]1CC[N@H+](CC2CC2)C1 ZINC000672062834 547315586 /nfs/dbraw/zinc/31/55/86/547315586.db2.gz IMBWFJPPWXTLGA-OLZOCXBDSA-N 0 2 303.366 0.357 20 0 DCADLN C[C@@H](C[C@@H]1CCOC1)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000675352932 547677344 /nfs/dbraw/zinc/67/73/44/547677344.db2.gz NBGUFIIRZKLXNF-IUCAKERBSA-N 0 2 318.333 0.981 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2cc(C(N)=O)sc2C)cn1C ZINC000676077893 547731737 /nfs/dbraw/zinc/73/17/37/547731737.db2.gz ZKOPHHPTKKIWHE-UHFFFAOYSA-N 0 2 314.392 0.998 20 0 DCADLN C[C@H](C(=O)NCCc1ccc(F)cc1)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231147 547746989 /nfs/dbraw/zinc/74/69/89/547746989.db2.gz HXKKALYRTYPINZ-RISCZKNCSA-N 0 2 324.352 0.658 20 0 DCADLN C[C@H](C(=O)NCCc1ccc(F)cc1)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231147 547746995 /nfs/dbraw/zinc/74/69/95/547746995.db2.gz HXKKALYRTYPINZ-RISCZKNCSA-N 0 2 324.352 0.658 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CCCn2c(=O)[nH]c3ccccc32)CCO1 ZINC000676232789 547748320 /nfs/dbraw/zinc/74/83/20/547748320.db2.gz YTPNJVCZHXRQNG-ZDUSSCGKSA-N 0 2 305.334 0.917 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CCCn2c(=O)[nH]c3ccccc32)CCO1 ZINC000676232789 547748322 /nfs/dbraw/zinc/74/83/22/547748322.db2.gz YTPNJVCZHXRQNG-ZDUSSCGKSA-N 0 2 305.334 0.917 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc([C@@H]3CNC(=O)C3)c2)cn1 ZINC000676410699 547775899 /nfs/dbraw/zinc/77/58/99/547775899.db2.gz IQLZQSLSHNBMRL-NSHDSACASA-N 0 2 320.374 0.824 20 0 DCADLN O=c1[nH]nc(CNc2nc3ccccc3c(NCCO)n2)[nH]1 ZINC000676554834 547787353 /nfs/dbraw/zinc/78/73/53/547787353.db2.gz AAVHEEQDWYCEFZ-UHFFFAOYSA-N 0 2 301.310 0.470 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc(Cl)cc2)[nH]n1 ZINC000677644583 547894024 /nfs/dbraw/zinc/89/40/24/547894024.db2.gz ALYRBVJCUVFDIM-UHFFFAOYSA-N 0 2 300.727 0.963 20 0 DCADLN COCc1ccc(S(=O)(=O)Nc2cnn(CCO)c2)cc1 ZINC000678716610 547999330 /nfs/dbraw/zinc/99/93/30/547999330.db2.gz KVZLYBUPVKGKBN-UHFFFAOYSA-N 0 2 311.363 0.823 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc(C2CC2)cc1 ZINC000679511758 548063581 /nfs/dbraw/zinc/06/35/81/548063581.db2.gz BRFIQMVAQZZLPV-UHFFFAOYSA-N 0 2 301.306 0.643 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(c3ccccc3)C(=O)C2)c1O ZINC000680940417 548186959 /nfs/dbraw/zinc/18/69/59/548186959.db2.gz TWYAFPHZPRRSMZ-UHFFFAOYSA-N 0 2 300.318 0.913 20 0 DCADLN Cc1nc(CN2CCN(C(=O)c3ccc(O)c(F)c3)CC2)n[nH]1 ZINC000681047863 548196075 /nfs/dbraw/zinc/19/60/75/548196075.db2.gz SDHDQFKREWETGQ-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN CC(=O)N1CCc2cc(NS(=O)(=O)c3cnnn3C)ccc21 ZINC000682832899 548430068 /nfs/dbraw/zinc/43/00/68/548430068.db2.gz OHQWNTFWYLRLQO-UHFFFAOYSA-N 0 2 321.362 0.525 20 0 DCADLN CCC(C)(C)N(C)C(=O)N[C@@H](C[NH+]1CCOCC1)C(=O)[O-] ZINC000684895342 548659808 /nfs/dbraw/zinc/65/98/08/548659808.db2.gz VKBVACJHWFTJCO-NSHDSACASA-N 0 2 301.387 0.602 20 0 DCADLN C[C@H]1CCCCCN1c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000736266094 598915317 /nfs/dbraw/zinc/91/53/17/598915317.db2.gz FHTMIDPOCNWHCI-VIFPVBQESA-N 0 2 319.369 0.033 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000818423387 597009559 /nfs/dbraw/zinc/00/95/59/597009559.db2.gz VJEHPBHJLAYULI-UHFFFAOYSA-N 0 2 306.366 0.137 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000315483030 597056764 /nfs/dbraw/zinc/05/67/64/597056764.db2.gz ALHAUOSNDFGSHG-QWHCGFSZSA-N 0 2 313.398 0.603 20 0 DCADLN Cn1c2ccncc2n(C[N@@H+]2CCC[C@H](CC(=O)[O-])C2)c1=O ZINC000819057819 597227646 /nfs/dbraw/zinc/22/76/46/597227646.db2.gz FMVIOFPRZQKPSH-LLVKDONJSA-N 0 2 304.350 0.879 20 0 DCADLN Cn1c2ccncc2n(C[N@H+]2CCC[C@H](CC(=O)[O-])C2)c1=O ZINC000819057819 597227648 /nfs/dbraw/zinc/22/76/48/597227648.db2.gz FMVIOFPRZQKPSH-LLVKDONJSA-N 0 2 304.350 0.879 20 0 DCADLN Cc1ccn(CC(=O)Nc2ccccc2)c(=O)c1-c1nn[nH]n1 ZINC000822369087 607348302 /nfs/dbraw/zinc/34/83/02/607348302.db2.gz AGEQWFHRFZZEAF-UHFFFAOYSA-N 0 2 310.317 0.976 20 0 DCADLN Cc1ccn(Cc2nc(CC(C)C)no2)c(=O)c1-c1nn[nH]n1 ZINC000822374038 607348486 /nfs/dbraw/zinc/34/84/86/607348486.db2.gz IJTDXOZTEDADAN-UHFFFAOYSA-N 0 2 315.337 0.967 20 0 DCADLN CCc1nn(Cc2cc(C)no2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705810 598641968 /nfs/dbraw/zinc/64/19/68/598641968.db2.gz BCTUDINAQCOHHN-UHFFFAOYSA-N 0 2 315.337 0.893 20 0 DCADLN O=C([O-])NCCC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000739943011 599711323 /nfs/dbraw/zinc/71/13/23/599711323.db2.gz WZQPOTYNASXZMZ-UHFFFAOYSA-N 0 2 321.377 0.867 20 0 DCADLN COc1cccc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000314749658 599777073 /nfs/dbraw/zinc/77/70/73/599777073.db2.gz MJTNFOADWBTTKB-ZDUSSCGKSA-N 0 2 303.318 0.773 20 0 DCADLN COc1cccc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000314749658 599777078 /nfs/dbraw/zinc/77/70/78/599777078.db2.gz MJTNFOADWBTTKB-ZDUSSCGKSA-N 0 2 303.318 0.773 20 0 DCADLN C[C@@H](NC(=S)NCCCC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000736660872 599839028 /nfs/dbraw/zinc/83/90/28/599839028.db2.gz PHMLJNTWZMKIGC-MNOVXSKESA-N 0 2 303.428 0.425 20 0 DCADLN CC(C)C[C@H](NC(=O)CN1CCc2c([nH+]cn2C)C1)C(=O)[O-] ZINC000736412990 599925373 /nfs/dbraw/zinc/92/53/73/599925373.db2.gz QRMNFMOOGQDRDM-NSHDSACASA-N 0 2 308.382 0.394 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@H]2CCCC[C@H]21 ZINC000740056457 600139346 /nfs/dbraw/zinc/13/93/46/600139346.db2.gz DYBUVADTVHALSY-SYQHCUMBSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@H]2CCCC[C@H]21 ZINC000740056457 600139349 /nfs/dbraw/zinc/13/93/49/600139349.db2.gz DYBUVADTVHALSY-SYQHCUMBSA-N 0 2 310.394 0.687 20 0 DCADLN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000262622088 600221579 /nfs/dbraw/zinc/22/15/79/600221579.db2.gz FHHQVUVTIYBFPH-TUAOUCFPSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000262622088 600221580 /nfs/dbraw/zinc/22/15/80/600221580.db2.gz FHHQVUVTIYBFPH-TUAOUCFPSA-N 0 2 311.382 0.940 20 0 DCADLN CCC[C@@H](C)CS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1 ZINC000736966211 600650123 /nfs/dbraw/zinc/65/01/23/600650123.db2.gz XXGMMHVIUNUFBI-GFCCVEGCSA-N 0 2 306.428 0.845 20 0 DCADLN CCC[N@H+](CC(=O)[O-])Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000737014761 600739762 /nfs/dbraw/zinc/73/97/62/600739762.db2.gz XJTQIGHUOASMHN-UHFFFAOYSA-N 0 2 304.368 0.827 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000737014761 600739765 /nfs/dbraw/zinc/73/97/65/600739765.db2.gz XJTQIGHUOASMHN-UHFFFAOYSA-N 0 2 304.368 0.827 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1sccc1C(=O)[O-] ZINC000827597163 600870568 /nfs/dbraw/zinc/87/05/68/600870568.db2.gz MZRNEHJEZZYJKJ-QMMMGPOBSA-N 0 2 322.346 0.786 20 0 DCADLN CC[N@@H+]1CCO[C@@H](C(=O)N(C)c2nc(CC(=O)[O-])cs2)C1 ZINC000829780393 600954078 /nfs/dbraw/zinc/95/40/78/600954078.db2.gz GJGDXJUONYGZDH-SNVBAGLBSA-N 0 2 313.379 0.454 20 0 DCADLN CC[N@H+]1CCO[C@@H](C(=O)N(C)c2nc(CC(=O)[O-])cs2)C1 ZINC000829780393 600954080 /nfs/dbraw/zinc/95/40/80/600954080.db2.gz GJGDXJUONYGZDH-SNVBAGLBSA-N 0 2 313.379 0.454 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000736206300 600955872 /nfs/dbraw/zinc/95/58/72/600955872.db2.gz DEUBVRVAKZXUMH-STQMWFEESA-N 0 2 320.393 0.350 20 0 DCADLN CC(=O)c1[nH]c(CC(=O)N2CC[N@H+](C)[C@@H](C)C2)c(C(=O)[O-])c1C ZINC000736182062 601094845 /nfs/dbraw/zinc/09/48/45/601094845.db2.gz OQEXKJPQKKXOPU-VIFPVBQESA-N 0 2 321.377 0.929 20 0 DCADLN CC(=O)c1[nH]c(CC(=O)N2CC[N@@H+](C)[C@@H](C)C2)c(C(=O)[O-])c1C ZINC000736182062 601094847 /nfs/dbraw/zinc/09/48/47/601094847.db2.gz OQEXKJPQKKXOPU-VIFPVBQESA-N 0 2 321.377 0.929 20 0 DCADLN CC(C)CCOCCNC(=O)C[N@@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000826855983 601435292 /nfs/dbraw/zinc/43/52/92/601435292.db2.gz VIMYJBGNWREQLG-CQSZACIVSA-N 0 2 304.362 0.664 20 0 DCADLN CC(C)CCOCCNC(=O)C[N@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000826855983 601435295 /nfs/dbraw/zinc/43/52/95/601435295.db2.gz VIMYJBGNWREQLG-CQSZACIVSA-N 0 2 304.362 0.664 20 0 DCADLN CN(C)C(=O)[C@H](c1ccccc1)[N@@H+]1CCO[C@@H](CC(=O)[O-])C1 ZINC000830542982 601458923 /nfs/dbraw/zinc/45/89/23/601458923.db2.gz STMCZUZXAVNREJ-ZFWWWQNUSA-N 0 2 306.362 0.991 20 0 DCADLN CN(C)C(=O)[C@H](c1ccccc1)[N@H+]1CCO[C@@H](CC(=O)[O-])C1 ZINC000830542982 601458924 /nfs/dbraw/zinc/45/89/24/601458924.db2.gz STMCZUZXAVNREJ-ZFWWWQNUSA-N 0 2 306.362 0.991 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+](CC(=O)[O-])C2CC2)C1 ZINC000737861698 601465450 /nfs/dbraw/zinc/46/54/50/601465450.db2.gz AGWPUEINFQGKFQ-NSHDSACASA-N 0 2 312.366 0.337 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+](CC(=O)[O-])C2CC2)C1 ZINC000737861698 601465451 /nfs/dbraw/zinc/46/54/51/601465451.db2.gz AGWPUEINFQGKFQ-NSHDSACASA-N 0 2 312.366 0.337 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)N2CC[NH+](C3CCC3)CC2)CC1 ZINC000833202279 601515656 /nfs/dbraw/zinc/51/56/56/601515656.db2.gz XFXKHIGBHAFCMG-UHFFFAOYSA-N 0 2 316.423 0.741 20 0 DCADLN C/C(=C\CN1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1)C(=O)[O-] ZINC000825741752 601547504 /nfs/dbraw/zinc/54/75/04/601547504.db2.gz REBDJJMTZCTCME-BRRGFWCPSA-N 0 2 309.410 0.646 20 0 DCADLN COCc1cccc(CNC(=O)C[N@H+](CC(=O)[O-])C2CC2)c1 ZINC000831817748 601578995 /nfs/dbraw/zinc/57/89/95/601578995.db2.gz UVGFGVUVEFZWQW-UHFFFAOYSA-N 0 2 306.362 0.998 20 0 DCADLN COCc1cccc(CNC(=O)C[N@@H+](CC(=O)[O-])C2CC2)c1 ZINC000831817748 601578996 /nfs/dbraw/zinc/57/89/96/601578996.db2.gz UVGFGVUVEFZWQW-UHFFFAOYSA-N 0 2 306.362 0.998 20 0 DCADLN C[C@@H]1CN(C(=O)NCC(C)(C)[NH+]2CCOCC2)C[C@H]1C(=O)[O-] ZINC000828355578 601641036 /nfs/dbraw/zinc/64/10/36/601641036.db2.gz YRTVDZQAVCIGKA-VXGBXAGGSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H](CS(=O)(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000827541815 602320439 /nfs/dbraw/zinc/32/04/39/602320439.db2.gz PLCBIBKLWRQJAX-IJLUTSLNSA-N 0 2 315.395 0.817 20 0 DCADLN CN(CCCC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC000828278283 603038281 /nfs/dbraw/zinc/03/82/81/603038281.db2.gz LBPUCQPHWGQSAA-ZDUSSCGKSA-N 0 2 313.398 0.700 20 0 DCADLN CC(C)(CNC(=O)CN(CC1CC1)C(=O)[O-])[NH+]1CCOCC1 ZINC000823912231 603232596 /nfs/dbraw/zinc/23/25/96/603232596.db2.gz LCRCWBDGRCIQDN-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN COc1ccc(CC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000829342946 603517468 /nfs/dbraw/zinc/51/74/68/603517468.db2.gz UYMDPOAWGPDJOY-ZDUSSCGKSA-N 0 2 321.377 0.648 20 0 DCADLN COc1ccc(CC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000829342946 603517472 /nfs/dbraw/zinc/51/74/72/603517472.db2.gz UYMDPOAWGPDJOY-ZDUSSCGKSA-N 0 2 321.377 0.648 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000831271465 603559355 /nfs/dbraw/zinc/55/93/55/603559355.db2.gz CPPHLWBTTXLKJC-JQWIXIFHSA-N 0 2 308.338 0.229 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000831271465 603559359 /nfs/dbraw/zinc/55/93/59/603559359.db2.gz CPPHLWBTTXLKJC-JQWIXIFHSA-N 0 2 308.338 0.229 20 0 DCADLN O=C([O-])N1CC[C@@](F)(C(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000831895419 603813431 /nfs/dbraw/zinc/81/34/31/603813431.db2.gz NIDQECQSRKHWMM-HNNXBMFYSA-N 0 2 322.340 0.822 20 0 DCADLN CCCC[C@@H](CNC(=O)[O-])NC(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000826796187 603853854 /nfs/dbraw/zinc/85/38/54/603853854.db2.gz UPEXQPHBKYICEI-NWDGAFQWSA-N 0 2 316.402 0.443 20 0 DCADLN CCCC[C@@H](CNC(=O)[O-])NC(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000826796187 603853855 /nfs/dbraw/zinc/85/38/55/603853855.db2.gz UPEXQPHBKYICEI-NWDGAFQWSA-N 0 2 316.402 0.443 20 0 DCADLN C[C@@H](NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)c1cnn(C)c1 ZINC000825165796 604075468 /nfs/dbraw/zinc/07/54/68/604075468.db2.gz JEVYGGCCEMIFIZ-LLVKDONJSA-N 0 2 324.385 0.076 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@@H]1CNC(=O)[O-] ZINC000826093829 604112128 /nfs/dbraw/zinc/11/21/28/604112128.db2.gz MOPXWCGHHNGLMQ-VXGBXAGGSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@@H]1CNC(=O)[O-] ZINC000826093829 604112132 /nfs/dbraw/zinc/11/21/32/604112132.db2.gz MOPXWCGHHNGLMQ-VXGBXAGGSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H](CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])n1cccc1 ZINC000824590804 604405725 /nfs/dbraw/zinc/40/57/25/604405725.db2.gz MVYRHECCCVQWNG-CHWSQXEVSA-N 0 2 308.382 0.849 20 0 DCADLN C[C@H](CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])n1cccc1 ZINC000824590804 604405726 /nfs/dbraw/zinc/40/57/26/604405726.db2.gz MVYRHECCCVQWNG-CHWSQXEVSA-N 0 2 308.382 0.849 20 0 DCADLN CN(C)c1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000828513880 604409893 /nfs/dbraw/zinc/40/98/93/604409893.db2.gz RGSKCSLDLBEQBZ-LBPRGKRZSA-N 0 2 321.381 0.171 20 0 DCADLN CN(C)c1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000828513880 604409894 /nfs/dbraw/zinc/40/98/94/604409894.db2.gz RGSKCSLDLBEQBZ-LBPRGKRZSA-N 0 2 321.381 0.171 20 0 DCADLN CC(C)(C)c1cc(NC(=O)C[NH2+][C@@]2(C(=O)[O-])CCOC2)on1 ZINC000833449846 604484703 /nfs/dbraw/zinc/48/47/03/604484703.db2.gz CHCAZLPNQIJTID-AWEZNQCLSA-N 0 2 311.338 0.744 20 0 DCADLN O=C([O-])c1cc(C[NH+]2CCN(CC(=O)N3CCCC3)CC2)c[nH]1 ZINC000833780547 604521832 /nfs/dbraw/zinc/52/18/32/604521832.db2.gz ZJDWTQWMWULOTG-UHFFFAOYSA-N 0 2 320.393 0.453 20 0 DCADLN O=C([O-])c1cc(CN2CC[NH+](CC(=O)N3CCCC3)CC2)c[nH]1 ZINC000833780547 604521836 /nfs/dbraw/zinc/52/18/36/604521836.db2.gz ZJDWTQWMWULOTG-UHFFFAOYSA-N 0 2 320.393 0.453 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CCC[C@H]1CC(=O)[O-])[NH+]1CCOCC1 ZINC000827653342 604522362 /nfs/dbraw/zinc/52/23/62/604522362.db2.gz NRUHGUHTQRNULA-XQQFMLRXSA-N 0 2 313.398 0.744 20 0 DCADLN C[C@H](CS(=O)(=O)N1CC[C@H](c2[nH+]ccn2C)C1)C(=O)[O-] ZINC000833519090 604567751 /nfs/dbraw/zinc/56/77/51/604567751.db2.gz MGANOLVBZDMGFT-ZJUUUORDSA-N 0 2 301.368 0.260 20 0 DCADLN COc1ccc(C)cc1NC(=O)C[NH+]1CCC(O)(C(=O)[O-])CC1 ZINC000833730680 604725321 /nfs/dbraw/zinc/72/53/21/604725321.db2.gz MMZUYHKMLGAXBJ-UHFFFAOYSA-N 0 2 322.361 0.854 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)[C@H]1CCSC1 ZINC000828313281 604855610 /nfs/dbraw/zinc/85/56/10/604855610.db2.gz WXCSEKXNLHVGRH-NSHDSACASA-N 0 2 301.412 0.494 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+](CC(=O)[O-])Cc1ccccc1 ZINC000692415622 604967487 /nfs/dbraw/zinc/96/74/87/604967487.db2.gz ZGMSNFOAIWAVDT-NSHDSACASA-N 0 2 307.350 0.807 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+](CC(=O)[O-])Cc1ccccc1 ZINC000692415622 604967493 /nfs/dbraw/zinc/96/74/93/604967493.db2.gz ZGMSNFOAIWAVDT-NSHDSACASA-N 0 2 307.350 0.807 20 0 DCADLN COCCn1cnn(C[N@@H+]2CCC[C@H]2CC(=O)[O-])c1=S ZINC000831807768 604976163 /nfs/dbraw/zinc/97/61/63/604976163.db2.gz WIQDADDJIJGNSF-JTQLQIEISA-N 0 2 300.384 0.957 20 0 DCADLN COCCn1cnn(C[N@H+]2CCC[C@H]2CC(=O)[O-])c1=S ZINC000831807768 604976164 /nfs/dbraw/zinc/97/61/64/604976164.db2.gz WIQDADDJIJGNSF-JTQLQIEISA-N 0 2 300.384 0.957 20 0 DCADLN COCc1nc(C[NH+]2CCC([C@H](O)C(=O)[O-])CC2)cs1 ZINC000833725697 604985446 /nfs/dbraw/zinc/98/54/46/604985446.db2.gz DGLXBPJFPXSCSC-LBPRGKRZSA-N 0 2 300.380 0.947 20 0 DCADLN CN(C(=O)C[NH+]1CCC([C@H](O)C(=O)[O-])CC1)c1nccs1 ZINC000833684506 604986950 /nfs/dbraw/zinc/98/69/50/604986950.db2.gz GKSIPAWOIAOEAU-NSHDSACASA-N 0 2 313.379 0.263 20 0 DCADLN CCC[C@@](C)(O)C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000826730289 605286594 /nfs/dbraw/zinc/28/65/94/605286594.db2.gz YHUYYFHOOGXFHE-CQSZACIVSA-N 0 2 301.387 0.292 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C[C@@H]1CSCCN1C(=O)[O-] ZINC000825054923 605603830 /nfs/dbraw/zinc/60/38/30/605603830.db2.gz ICUFSKVUHKNXRJ-WDEREUQCSA-N 0 2 312.395 0.873 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C[C@H]1CSCCN1C(=O)[O-] ZINC000825054921 605604132 /nfs/dbraw/zinc/60/41/32/605604132.db2.gz ICUFSKVUHKNXRJ-QWRGUYRKSA-N 0 2 312.395 0.873 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000736421797 605702004 /nfs/dbraw/zinc/70/20/04/605702004.db2.gz NBASMICCPZISFL-XQQFMLRXSA-N 0 2 315.414 0.894 20 0 DCADLN CCCn1cc(NC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000826964372 605747846 /nfs/dbraw/zinc/74/78/46/605747846.db2.gz HXIGTASAIPDQAR-GFCCVEGCSA-N 0 2 324.385 0.709 20 0 DCADLN CCCn1cc(NC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000826964372 605747848 /nfs/dbraw/zinc/74/78/48/605747848.db2.gz HXIGTASAIPDQAR-GFCCVEGCSA-N 0 2 324.385 0.709 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000834212337 605760711 /nfs/dbraw/zinc/76/07/11/605760711.db2.gz TVJGRJRXDDUZFP-WOPDTQHZSA-N 0 2 306.366 0.998 20 0 DCADLN Cc1ccc(NC(=O)[C@H]2CN(C(=O)[O-])CCO2)c(N(C)C)[nH+]1 ZINC000833905276 605829154 /nfs/dbraw/zinc/82/91/54/605829154.db2.gz MPJCGMCBWUFSOH-LLVKDONJSA-N 0 2 308.338 0.773 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@@H+]3CC[C@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831019084 605922428 /nfs/dbraw/zinc/92/24/28/605922428.db2.gz WNPYKTCDWZLYDB-QWRGUYRKSA-N 0 2 307.354 0.257 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@H+]3CC[C@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831019084 605922431 /nfs/dbraw/zinc/92/24/31/605922431.db2.gz WNPYKTCDWZLYDB-QWRGUYRKSA-N 0 2 307.354 0.257 20 0 DCADLN O=C([O-])N[C@@H](CNc1cc(N2CCC[C@H]2CO)nc[nH+]1)C1CC1 ZINC000834150809 605923847 /nfs/dbraw/zinc/92/38/47/605923847.db2.gz PDGLMFBGVLHNNC-RYUDHWBXSA-N 0 2 321.381 0.896 20 0 DCADLN O=C([O-])N[C@@H](CNc1cc(N2CCC[C@H]2CO)[nH+]cn1)C1CC1 ZINC000834150809 605923850 /nfs/dbraw/zinc/92/38/50/605923850.db2.gz PDGLMFBGVLHNNC-RYUDHWBXSA-N 0 2 321.381 0.896 20 0 DCADLN C[C@H](CNC(=O)[O-])CNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000833809379 605967960 /nfs/dbraw/zinc/96/79/60/605967960.db2.gz UCYQVUIIHYPXNR-RYUDHWBXSA-N 0 2 321.381 0.863 20 0 DCADLN Cc1ocnc1CNC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000833938251 605971502 /nfs/dbraw/zinc/97/15/02/605971502.db2.gz IWLCZONVKPKKKZ-SNVBAGLBSA-N 0 2 311.342 0.076 20 0 DCADLN Cc1ocnc1CNC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000833938251 605971505 /nfs/dbraw/zinc/97/15/05/605971505.db2.gz IWLCZONVKPKKKZ-SNVBAGLBSA-N 0 2 311.342 0.076 20 0 DCADLN O=C([O-])N[C@H]1CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834185226 605988953 /nfs/dbraw/zinc/98/89/53/605988953.db2.gz MCEWKQBXFFSKSR-NEPJUHHUSA-N 0 2 319.365 0.712 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)N[C@@H]2CCCOCC2)CC1 ZINC000834041608 606016301 /nfs/dbraw/zinc/01/63/01/606016301.db2.gz LLJDOCOFWJALIJ-GFCCVEGCSA-N 0 2 314.386 0.150 20 0 DCADLN O=C([O-])N[C@H]1CC[N@H+](CN2C[C@@H](c3cccnc3)CC2=O)C1 ZINC000834190576 606023691 /nfs/dbraw/zinc/02/36/91/606023691.db2.gz UQMWOIMDUAGQAR-STQMWFEESA-N 0 2 304.350 0.697 20 0 DCADLN O=C([O-])N[C@H]1CC[N@@H+](CN2C[C@@H](c3cccnc3)CC2=O)C1 ZINC000834190576 606023693 /nfs/dbraw/zinc/02/36/93/606023693.db2.gz UQMWOIMDUAGQAR-STQMWFEESA-N 0 2 304.350 0.697 20 0 DCADLN O=C([O-])N[C@H]1CC[N@H+](CN2C[C@H](c3cccnc3)CC2=O)C1 ZINC000834190574 606023703 /nfs/dbraw/zinc/02/37/03/606023703.db2.gz UQMWOIMDUAGQAR-OLZOCXBDSA-N 0 2 304.350 0.697 20 0 DCADLN O=C([O-])N[C@H]1CC[N@@H+](CN2C[C@H](c3cccnc3)CC2=O)C1 ZINC000834190574 606023707 /nfs/dbraw/zinc/02/37/07/606023707.db2.gz UQMWOIMDUAGQAR-OLZOCXBDSA-N 0 2 304.350 0.697 20 0 DCADLN O=S(=O)(Nc1ccc(F)nc1)c1ccc(-c2nn[nH]n2)nc1 ZINC000823589444 606980851 /nfs/dbraw/zinc/98/08/51/606980851.db2.gz ZMUZNWPXUJNYBA-UHFFFAOYSA-N 0 2 321.297 0.597 20 0 DCADLN O=S(=O)(Nc1cccc(O)c1)c1ccc(-c2nn[nH]n2)nc1 ZINC000826493052 608095239 /nfs/dbraw/zinc/09/52/39/608095239.db2.gz QHLHHTGIGOIRSI-UHFFFAOYSA-N 0 2 318.318 0.768 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)n1C ZINC001027752444 660693309 /nfs/dbraw/zinc/69/33/09/660693309.db2.gz BDWCRJQKORUYFB-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)n1C ZINC001027752444 660693310 /nfs/dbraw/zinc/69/33/10/660693310.db2.gz BDWCRJQKORUYFB-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)on1 ZINC001027751592 660695895 /nfs/dbraw/zinc/69/58/95/660695895.db2.gz KZOBXZNLDQWQQN-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1ccncc1F ZINC001027802742 660754075 /nfs/dbraw/zinc/75/40/75/660754075.db2.gz SUNOVQKTAPVWDW-VIFPVBQESA-N 0 2 320.328 0.439 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001027973574 661006144 /nfs/dbraw/zinc/00/61/44/661006144.db2.gz UIJMOBONGOHSLL-LLVKDONJSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001027973574 661006146 /nfs/dbraw/zinc/00/61/46/661006146.db2.gz UIJMOBONGOHSLL-LLVKDONJSA-N 0 2 318.381 0.850 20 0 DCADLN CCc1nnc([C@@H](C)[N@@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)o1 ZINC001027991759 661023466 /nfs/dbraw/zinc/02/34/66/661023466.db2.gz JNKANXYILDNAKA-NXEZZACHSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@@H](C)[N@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)o1 ZINC001027991759 661023467 /nfs/dbraw/zinc/02/34/67/661023467.db2.gz JNKANXYILDNAKA-NXEZZACHSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@H](C)[N@@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)o1 ZINC001027991761 661023507 /nfs/dbraw/zinc/02/35/07/661023507.db2.gz JNKANXYILDNAKA-VHSXEESVSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@H](C)[N@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)o1 ZINC001027991761 661023509 /nfs/dbraw/zinc/02/35/09/661023509.db2.gz JNKANXYILDNAKA-VHSXEESVSA-N 0 2 319.369 0.706 20 0 DCADLN C[C@](O)(CC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC000980996720 661059953 /nfs/dbraw/zinc/05/99/53/661059953.db2.gz LASUFLQSVPMBCM-HNNXBMFYSA-N 0 2 323.397 0.096 20 0 DCADLN O=C([C@H]1C[C@@H]1C(F)F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981083101 661079531 /nfs/dbraw/zinc/07/95/31/661079531.db2.gz GZUGMQQROGQERX-IUCAKERBSA-N 0 2 315.324 0.446 20 0 DCADLN Cc1nc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)cs1 ZINC001028095327 661157660 /nfs/dbraw/zinc/15/76/60/661157660.db2.gz FZNWBQONNYNXLI-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN CCc1nocc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028120401 661209394 /nfs/dbraw/zinc/20/93/94/661209394.db2.gz OYAWPMJTEJAARY-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN C[C@]1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C=CCC1 ZINC000981774360 661214472 /nfs/dbraw/zinc/21/44/72/661214472.db2.gz YRWYMKVBPWUFTM-HNNXBMFYSA-N 0 2 305.382 0.901 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000982027767 661277419 /nfs/dbraw/zinc/27/74/19/661277419.db2.gz ZVUOIVKEVSEONY-VXGBXAGGSA-N 0 2 319.409 0.981 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000982027767 661277422 /nfs/dbraw/zinc/27/74/22/661277422.db2.gz ZVUOIVKEVSEONY-VXGBXAGGSA-N 0 2 319.409 0.981 20 0 DCADLN Cc1cccnc1C[N@H+]1CCC[C@@H]1CNC(=O)c1nnc[nH]1 ZINC001028211479 661279410 /nfs/dbraw/zinc/27/94/10/661279410.db2.gz RJMZAAPAHGQKKM-GFCCVEGCSA-N 0 2 300.366 0.903 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001038072992 665425990 /nfs/dbraw/zinc/42/59/90/665425990.db2.gz SVYYXTWCQCYSQN-NSHDSACASA-N 0 2 302.338 0.218 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970391765 657464513 /nfs/dbraw/zinc/46/45/13/657464513.db2.gz CLASFDAXMZFSTO-HOSYDEDBSA-N 0 2 307.398 0.883 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NC[C@@](C)(O)c1ccccc1 ZINC000866843522 657475407 /nfs/dbraw/zinc/47/54/07/657475407.db2.gz KVIYOTZDCZDTMP-CWTRNNRKSA-N 0 2 320.436 0.846 20 0 DCADLN C[C@H](NC(=O)[C@H]1CC[C@@H](C)O1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970455244 657535797 /nfs/dbraw/zinc/53/57/97/657535797.db2.gz QCWCWJNYBOXKLJ-WCABBAIRSA-N 0 2 309.370 0.014 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC000969254045 657631093 /nfs/dbraw/zinc/63/10/93/657631093.db2.gz OONLIPGJFGRBHW-VIFPVBQESA-N 0 2 305.338 0.662 20 0 DCADLN Cc1occc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969265668 657640380 /nfs/dbraw/zinc/64/03/80/657640380.db2.gz HMISKMUNMTZSEZ-QMMMGPOBSA-N 0 2 305.338 0.662 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC000969286353 657660431 /nfs/dbraw/zinc/66/04/31/657660431.db2.gz YIGQKPZAMKWUBP-VIFPVBQESA-N 0 2 319.365 0.970 20 0 DCADLN CC1(C)C(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C1(C)C ZINC001010471022 657683456 /nfs/dbraw/zinc/68/34/56/657683456.db2.gz MOLQCNKPYYGEAG-SECBINFHSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H]([NH2+][C@@H]1CCN(C(=O)c2[nH]nc3ccccc32)C1)C(N)=O ZINC000970617966 657701256 /nfs/dbraw/zinc/70/12/56/657701256.db2.gz ICAHQMCMSLOJLN-VHSXEESVSA-N 0 2 301.350 0.241 20 0 DCADLN Cc1cc(C)nc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031800770 665513350 /nfs/dbraw/zinc/51/33/50/665513350.db2.gz BUJSDIOFCRSLEO-UHFFFAOYSA-N 0 2 316.365 0.384 20 0 DCADLN Cc1nc([C@H](C)[N@@H+]2CCC[C@@H](CNC(=O)c3cnn[n-]3)C2)no1 ZINC001023460956 657893904 /nfs/dbraw/zinc/89/39/04/657893904.db2.gz KVYYMEGCVULXRT-ONGXEEELSA-N 0 2 319.369 0.699 20 0 DCADLN Cc1nc([C@H](C)[N@H+]2CCC[C@@H](CNC(=O)c3cnn[n-]3)C2)no1 ZINC001023460956 657893909 /nfs/dbraw/zinc/89/39/09/657893909.db2.gz KVYYMEGCVULXRT-ONGXEEELSA-N 0 2 319.369 0.699 20 0 DCADLN O=C(NCC1CN(Cc2c(F)cccc2F)C1)c1cnn[nH]1 ZINC001031804927 665527313 /nfs/dbraw/zinc/52/73/13/665527313.db2.gz CFNJTLKIHDKTLN-UHFFFAOYSA-N 0 2 307.304 0.945 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC000969544088 657971301 /nfs/dbraw/zinc/97/13/01/657971301.db2.gz UZAJNGOTRPCVSK-SECBINFHSA-N 0 2 305.338 0.662 20 0 DCADLN CCOC1CC(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC000969600757 658020911 /nfs/dbraw/zinc/02/09/11/658020911.db2.gz GKKQAJWBSUVVRM-GRZMOONWSA-N 0 2 323.397 0.262 20 0 DCADLN CCc1nnc(C[NH2+][C@H]2C[C@H](NC(=O)c3nnc[nH]3)C2)s1 ZINC001020774241 658456253 /nfs/dbraw/zinc/45/62/53/658456253.db2.gz XJKXFGLABYWHRS-ZKCHVHJHSA-N 0 2 307.383 0.269 20 0 DCADLN CCc1nnc(C[NH2+][C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)s1 ZINC001020774241 658456257 /nfs/dbraw/zinc/45/62/57/658456257.db2.gz XJKXFGLABYWHRS-ZKCHVHJHSA-N 0 2 307.383 0.269 20 0 DCADLN CCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)cs1 ZINC001020777239 658459366 /nfs/dbraw/zinc/45/93/66/658459366.db2.gz SIUHJMYSSPTFQT-KYZUINATSA-N 0 2 306.395 0.874 20 0 DCADLN CC(C)Cn1ncnc1C[NH2+][C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001020782330 658462233 /nfs/dbraw/zinc/46/22/33/658462233.db2.gz QWHJJEJKXXOTCE-XYPYZODXSA-N 0 2 318.385 0.103 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038140456 658480078 /nfs/dbraw/zinc/48/00/78/658480078.db2.gz HQHFSUFWGNEGEI-VIFPVBQESA-N 0 2 306.326 0.119 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cscn1 ZINC001024359957 658579667 /nfs/dbraw/zinc/57/96/67/658579667.db2.gz MQXZLRSVOWFLAF-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)c(C)[nH]1 ZINC001032651891 665591759 /nfs/dbraw/zinc/59/17/59/665591759.db2.gz JYFYNCVZTQLIJD-QWRGUYRKSA-N 0 2 316.365 0.554 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@@]3(C2)C[N@H+](CC(C)C)CCO3)c1[O-] ZINC000972342155 658594172 /nfs/dbraw/zinc/59/41/72/658594172.db2.gz KHUNQERCXMAMKU-INIZCTEOSA-N 0 2 322.409 0.997 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@@]3(C2)C[N@@H+](CC(C)C)CCO3)c1[O-] ZINC000972342155 658594174 /nfs/dbraw/zinc/59/41/74/658594174.db2.gz KHUNQERCXMAMKU-INIZCTEOSA-N 0 2 322.409 0.997 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCCO1 ZINC001024386862 658597521 /nfs/dbraw/zinc/59/75/21/658597521.db2.gz LZXLJMIFCMICBZ-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN CC[C@H](OC)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010612796 658625930 /nfs/dbraw/zinc/62/59/30/658625930.db2.gz NWVHXGZFTQCGGB-DTWKUNHWSA-N 0 2 314.279 0.983 20 0 DCADLN CC[C@H](OC)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010612796 658625934 /nfs/dbraw/zinc/62/59/34/658625934.db2.gz NWVHXGZFTQCGGB-DTWKUNHWSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccnc(F)c1 ZINC001006903519 658709609 /nfs/dbraw/zinc/70/96/09/658709609.db2.gz HNUIIEYDUMJXAI-JTQLQIEISA-N 0 2 320.328 0.439 20 0 DCADLN O=C(c1nccs1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032696583 665613898 /nfs/dbraw/zinc/61/38/98/665613898.db2.gz AQOBYLCSMAXHFD-YUMQZZPRSA-N 0 2 306.351 0.066 20 0 DCADLN C[C@@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C(F)(F)F ZINC000949698359 658837515 /nfs/dbraw/zinc/83/75/15/658837515.db2.gz UWQGAQHISZSZQV-ZETCQYMHSA-N 0 2 307.276 0.353 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1ccon1 ZINC000976225405 659242155 /nfs/dbraw/zinc/24/21/55/659242155.db2.gz WIPWDBFNWQOQDU-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)c1ccon1 ZINC000976225405 659242157 /nfs/dbraw/zinc/24/21/57/659242157.db2.gz WIPWDBFNWQOQDU-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C(=O)[O-])CCSC1)[NH+]1CCSCC1 ZINC000909358615 659353884 /nfs/dbraw/zinc/35/38/84/659353884.db2.gz SIRCLDJJDVSMAO-SKDRFNHKSA-N 0 2 304.437 0.500 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000974551517 659566188 /nfs/dbraw/zinc/56/61/88/659566188.db2.gz PAHCWPIIRKNJIH-SNVBAGLBSA-N 0 2 318.381 0.787 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000974551517 659566190 /nfs/dbraw/zinc/56/61/90/659566190.db2.gz PAHCWPIIRKNJIH-SNVBAGLBSA-N 0 2 318.381 0.787 20 0 DCADLN CC1(C)C[N@H+](CCO)C[C@H]1NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000974742126 659656364 /nfs/dbraw/zinc/65/63/64/659656364.db2.gz LUKMMOFDPFDZTA-QWHCGFSZSA-N 0 2 306.410 0.264 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC000977408320 659683446 /nfs/dbraw/zinc/68/34/46/659683446.db2.gz IUKIGJXRPJKEQG-BDAKNGLRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC000977408320 659683451 /nfs/dbraw/zinc/68/34/51/659683451.db2.gz IUKIGJXRPJKEQG-BDAKNGLRSA-N 0 2 322.262 0.983 20 0 DCADLN Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000940281068 665730913 /nfs/dbraw/zinc/73/09/13/665730913.db2.gz WZCSIQMWHSYSLC-RNFRBKRXSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000940281068 665730925 /nfs/dbraw/zinc/73/09/25/665730925.db2.gz WZCSIQMWHSYSLC-RNFRBKRXSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(Cc1ccco1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982323864 661358541 /nfs/dbraw/zinc/35/85/41/661358541.db2.gz HDLMIRVNBUVDCY-UHFFFAOYSA-N 0 2 305.338 0.380 20 0 DCADLN Cc1cccc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001006781324 661384499 /nfs/dbraw/zinc/38/44/99/661384499.db2.gz HVMOOMWGSYTELG-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN CCn1cccc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028319845 661457370 /nfs/dbraw/zinc/45/73/70/661457370.db2.gz MYQGCFQZRAQSGU-NSHDSACASA-N 0 2 318.381 0.726 20 0 DCADLN CCn1cccc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028319845 661457371 /nfs/dbraw/zinc/45/73/71/661457371.db2.gz MYQGCFQZRAQSGU-NSHDSACASA-N 0 2 318.381 0.726 20 0 DCADLN C[C@@H]1C[N@H+](CCN=c2nn[n-]n2Cc2ccccc2)[C@H](C)CO1 ZINC000894520684 661976460 /nfs/dbraw/zinc/97/64/60/661976460.db2.gz CVPLTZZINYFGMV-ZIAGYGMSSA-N 0 2 316.409 0.664 20 0 DCADLN C[C@@H]1C[N@@H+](CCN=c2nn[n-]n2Cc2ccccc2)[C@H](C)CO1 ZINC000894520684 661976461 /nfs/dbraw/zinc/97/64/61/661976461.db2.gz CVPLTZZINYFGMV-ZIAGYGMSSA-N 0 2 316.409 0.664 20 0 DCADLN C[C@H]1C[C@@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CO1 ZINC001029366177 662119226 /nfs/dbraw/zinc/11/92/26/662119226.db2.gz DBYUBLXKBNJGDX-WHOHXGKFSA-N 0 2 321.381 0.111 20 0 DCADLN CO[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001029457399 662220208 /nfs/dbraw/zinc/22/02/08/662220208.db2.gz KMOPNKIXQDUDPS-NTZNESFSSA-N 0 2 323.397 0.357 20 0 DCADLN CONC(=O)CNc1ccc(S(=O)(=O)C(C)(C)C)nc1 ZINC000896195642 662250803 /nfs/dbraw/zinc/25/08/03/662250803.db2.gz NHRDOWIIAHJWDJ-UHFFFAOYSA-N 0 2 301.368 0.743 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N(C)[C@@H]1CCC[N@H+](C)C1 ZINC000896633315 662301355 /nfs/dbraw/zinc/30/13/55/662301355.db2.gz SPQVQUNSWJMLBZ-HUUCEWRRSA-N 0 2 312.458 0.833 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029482532 662301620 /nfs/dbraw/zinc/30/16/20/662301620.db2.gz NQFKTWRJTQBACH-WISYIIOYSA-N 0 2 305.382 0.732 20 0 DCADLN CC(C)(C)c1nnc(CN2CC[C@@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001038455842 662355213 /nfs/dbraw/zinc/35/52/13/662355213.db2.gz IKXQKMNXEQZFRD-SECBINFHSA-N 0 2 318.385 0.225 20 0 DCADLN C[S@@](=O)c1ccc(C(=O)NNC(=O)c2ccccn2)cc1 ZINC000029505284 662472215 /nfs/dbraw/zinc/47/22/15/662472215.db2.gz ZIXJRJOZKVZTFA-NRFANRHFSA-N 0 2 303.343 0.894 20 0 DCADLN CCn1ncc(C[NH2+][C@H]2CCN(C(=O)c3cnc(C)[nH]3)[C@H]2C)n1 ZINC000986056436 662547032 /nfs/dbraw/zinc/54/70/32/662547032.db2.gz OXVZKIQRSLFZIV-GWCFXTLKSA-N 0 2 317.397 0.722 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([NH2+]Cc3ccon3)[C@H]2C)c1[O-] ZINC000986155715 662561879 /nfs/dbraw/zinc/56/18/79/662561879.db2.gz CKIZPSCVHACTOX-MWLCHTKSSA-N 0 2 305.338 0.805 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2CCN(C(=O)C[N@@H+](C)C3CCC3)[C@@H]2C)o1 ZINC000986352059 662585286 /nfs/dbraw/zinc/58/52/86/662585286.db2.gz FNXRKBJCNAIGAT-BXUZGUMPSA-N 0 2 321.425 0.941 20 0 DCADLN Cc1ncoc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000553651 665880757 /nfs/dbraw/zinc/88/07/57/665880757.db2.gz MKSUDKSNXYGTTO-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN Cc1ncoc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000553651 665880761 /nfs/dbraw/zinc/88/07/61/665880761.db2.gz MKSUDKSNXYGTTO-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN C[C@H]1[C@@H]([NH2+]Cc2cncn2C)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000987070017 662641081 /nfs/dbraw/zinc/64/10/81/662641081.db2.gz RNUHTZWNOWYNPA-FZMZJTMJSA-N 0 2 302.382 0.465 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=S)NC1CC[NH+](C)CC1 ZINC000729161838 662641204 /nfs/dbraw/zinc/64/12/04/662641204.db2.gz QDSGZEDJPAZQKE-GFCCVEGCSA-N 0 2 300.472 0.265 20 0 DCADLN CNC(=O)COC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000729204626 662647001 /nfs/dbraw/zinc/64/70/01/662647001.db2.gz BAYWVTOUQLKQDK-UHFFFAOYSA-N 0 2 307.331 0.125 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1=CCCC1 ZINC001000582586 665888157 /nfs/dbraw/zinc/88/81/57/665888157.db2.gz JUVUWQZAUJZYOZ-UHFFFAOYSA-N 0 2 303.366 0.869 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1=CCCC1 ZINC001000582586 665888158 /nfs/dbraw/zinc/88/81/58/665888158.db2.gz JUVUWQZAUJZYOZ-UHFFFAOYSA-N 0 2 303.366 0.869 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3nc[nH]n3)C[C@H]2C)ncn1 ZINC000947829366 662709731 /nfs/dbraw/zinc/70/97/31/662709731.db2.gz UMTCLLOOCSQTCQ-VXGBXAGGSA-N 0 2 315.381 0.686 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cocn1 ZINC000937750523 662815448 /nfs/dbraw/zinc/81/54/48/662815448.db2.gz USAIEEDSWYENND-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cocn1 ZINC000937750523 662815449 /nfs/dbraw/zinc/81/54/49/662815449.db2.gz USAIEEDSWYENND-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000937827707 662827475 /nfs/dbraw/zinc/82/74/75/662827475.db2.gz CLKRASZHMDPPAX-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC000937827707 662827477 /nfs/dbraw/zinc/82/74/77/662827477.db2.gz CLKRASZHMDPPAX-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccoc1C1CC1 ZINC001031926519 665923395 /nfs/dbraw/zinc/92/33/95/665923395.db2.gz SURYFXLUFBWZBE-UHFFFAOYSA-N 0 2 317.349 0.843 20 0 DCADLN Cn1cncc1[C@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000898896866 663113799 /nfs/dbraw/zinc/11/37/99/663113799.db2.gz XWULNNXNFXICMU-ZETCQYMHSA-N 0 2 322.287 0.027 20 0 DCADLN Cn1cncc1[C@@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000898896865 663113896 /nfs/dbraw/zinc/11/38/96/663113896.db2.gz XWULNNXNFXICMU-SSDOTTSWSA-N 0 2 322.287 0.027 20 0 DCADLN [NH3+]C1(C(=O)[N-]S(=O)(=O)CC(F)(F)F)Cc2ccccc2C1 ZINC000901031056 663305445 /nfs/dbraw/zinc/30/54/45/663305445.db2.gz UXHULMIKLIJDER-UHFFFAOYSA-N 0 2 322.308 0.491 20 0 DCADLN COCCn1c(=O)cc(O)nc1SCc1csnn1 ZINC000901656254 663360108 /nfs/dbraw/zinc/36/01/08/663360108.db2.gz WUNRGSCITWQMKJ-UHFFFAOYSA-N 0 2 300.365 0.739 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)C[C@H]2CCCCO2)nn1C ZINC000901679087 663362087 /nfs/dbraw/zinc/36/20/87/663362087.db2.gz MJFDSSNJNQWBQO-SECBINFHSA-N 0 2 317.367 0.518 20 0 DCADLN O=C([O-])COCCNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000902287533 663402469 /nfs/dbraw/zinc/40/24/69/663402469.db2.gz VSMIKUXQLAMHAH-MRVPVSSYSA-N 0 2 309.244 0.594 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC000902304628 663405488 /nfs/dbraw/zinc/40/54/88/663405488.db2.gz DIUYZQSSBLQFTM-ABAIWWIYSA-N 0 2 307.350 0.395 20 0 DCADLN Cn1c(=O)c2ccccc2c(=O)n1CCOC1CCOCC1 ZINC000902612176 663422142 /nfs/dbraw/zinc/42/21/42/663422142.db2.gz PJIVOZGQRFUFSR-UHFFFAOYSA-N 0 2 304.346 0.896 20 0 DCADLN CN(C)CC(N)=NOCC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000902612941 663422240 /nfs/dbraw/zinc/42/22/40/663422240.db2.gz PBNKCDBUYAMDEJ-UHFFFAOYSA-N 0 2 322.365 0.846 20 0 DCADLN CC(C)[C@@H]1CCN(CN2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)C1=O ZINC000902614884 663422246 /nfs/dbraw/zinc/42/22/46/663422246.db2.gz QSIWBCMTUCJPLC-AGIUHOORSA-N 0 2 317.455 0.305 20 0 DCADLN COc1ccccc1CCNC(=O)CON=C(N)CN(C)C ZINC000902613900 663422289 /nfs/dbraw/zinc/42/22/89/663422289.db2.gz YRSMTRIBISRPJP-UHFFFAOYSA-N 0 2 308.382 0.414 20 0 DCADLN COc1ccccc1CN(C)C(=O)CON=C(N)CN(C)C ZINC000902613377 663422328 /nfs/dbraw/zinc/42/23/28/663422328.db2.gz SQGOCNYJBCBSIG-UHFFFAOYSA-N 0 2 308.382 0.714 20 0 DCADLN CCOc1cccc(CNC(=O)CON=C(N)CN(C)C)c1 ZINC000902613586 663422352 /nfs/dbraw/zinc/42/23/52/663422352.db2.gz SXCVLRISBVGAIO-UHFFFAOYSA-N 0 2 308.382 0.762 20 0 DCADLN O=C([O-])COCC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000902713125 663429154 /nfs/dbraw/zinc/42/91/54/663429154.db2.gz UCZZGVCNUJNGAP-CYBMUJFWSA-N 0 2 303.318 0.842 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)C[C@@H](C)OC)cc1C ZINC000903663181 663473020 /nfs/dbraw/zinc/47/30/20/663473020.db2.gz MMKVPRHBRZQRPR-SECBINFHSA-N 0 2 302.352 0.953 20 0 DCADLN C[C@H]1CCCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031941390 665971334 /nfs/dbraw/zinc/97/13/34/665971334.db2.gz ODLWWJVETXTVKX-CMPLNLGQSA-N 0 2 307.398 0.885 20 0 DCADLN CC(C)[N@@H+]1CCO[C@H](C(=O)NCC2=CC[NH+](CCF)CC2)C1 ZINC001001074973 665989725 /nfs/dbraw/zinc/98/97/25/665989725.db2.gz BHBQLGFOJOUNCG-HNNXBMFYSA-N 0 2 313.417 0.813 20 0 DCADLN CO[C@@H]1CCN(C(=O)NCCCn2cc[nH+]c2)[C@@H](C(=O)[O-])C1 ZINC000908738509 663767543 /nfs/dbraw/zinc/76/75/43/663767543.db2.gz AOAAOWOTWAPSRX-VXGBXAGGSA-N 0 2 310.354 0.547 20 0 DCADLN C/C=C/C[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000908747443 663767962 /nfs/dbraw/zinc/76/79/62/663767962.db2.gz LXZUHRCQMSJAOG-ITKZLYELSA-N 0 2 313.398 0.816 20 0 DCADLN CO[C@H]1CN(C(=O)NCCc2cn(C)c[nH+]2)[C@@](C)(C(=O)[O-])C1 ZINC000909025598 663779759 /nfs/dbraw/zinc/77/97/59/663779759.db2.gz UNBCBSOPNDVSFQ-BXUZGUMPSA-N 0 2 310.354 0.236 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000909216220 663785802 /nfs/dbraw/zinc/78/58/02/663785802.db2.gz DXLYQMWCLHJQGW-AWEZNQCLSA-N 0 2 315.329 0.727 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCc1nc(C(=O)[O-])cs1 ZINC000909260099 663789682 /nfs/dbraw/zinc/78/96/82/663789682.db2.gz REVDYXATGIMIHJ-HTQZYQBOSA-N 0 2 306.347 0.995 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000909710068 663795948 /nfs/dbraw/zinc/79/59/48/663795948.db2.gz DGIWKZIJMPRMHE-BLLLJJGKSA-N 0 2 321.377 0.785 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC000909711278 663796026 /nfs/dbraw/zinc/79/60/26/663796026.db2.gz LRIALVZXTJGIJM-MLGOLLRUSA-N 0 2 321.377 0.785 20 0 DCADLN Cc1cccn2cc(CC(=O)N3C[C@@H](C)O[C@@H](C(=O)[O-])C3)[nH+]c12 ZINC000909894862 663818160 /nfs/dbraw/zinc/81/81/60/663818160.db2.gz GRJRPPYPDHWKIB-DGCLKSJQSA-N 0 2 317.345 0.886 20 0 DCADLN CC[C@@H](C(=O)N1CC2CC1(C(=O)[O-])C2)[N@@H+]1CCO[C@@H](CC)C1 ZINC000909948764 663823679 /nfs/dbraw/zinc/82/36/79/663823679.db2.gz MBCQAQHVMSIPNL-BTJONVMOSA-N 0 2 310.394 0.951 20 0 DCADLN CC[C@@H](C(=O)N1CC2CC1(C(=O)[O-])C2)[N@H+]1CCO[C@@H](CC)C1 ZINC000909948764 663823680 /nfs/dbraw/zinc/82/36/80/663823680.db2.gz MBCQAQHVMSIPNL-BTJONVMOSA-N 0 2 310.394 0.951 20 0 DCADLN CCn1nncc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991216186 663825849 /nfs/dbraw/zinc/82/58/49/663825849.db2.gz ZMGXMMASFYOMMU-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1nncc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000991216186 663825853 /nfs/dbraw/zinc/82/58/53/663825853.db2.gz ZMGXMMASFYOMMU-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@H]32)C1 ZINC000909954555 663826124 /nfs/dbraw/zinc/82/61/24/663826124.db2.gz DXRJKAPCABTOMZ-FQUUOJAGSA-N 0 2 310.394 0.809 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@H]32)C1 ZINC000909954555 663826126 /nfs/dbraw/zinc/82/61/26/663826126.db2.gz DXRJKAPCABTOMZ-FQUUOJAGSA-N 0 2 310.394 0.809 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCSC[C@@H](C(=O)[O-])C1 ZINC000910146054 663850122 /nfs/dbraw/zinc/85/01/22/663850122.db2.gz CFFRQMAQYNUBOE-HBNTYKKESA-N 0 2 309.391 0.800 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000910239838 663858951 /nfs/dbraw/zinc/85/89/51/663858951.db2.gz FIYBIQQFKLKHCS-YRGRVCCFSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@@H](CC1CCOCC1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000910454051 663885997 /nfs/dbraw/zinc/88/59/97/663885997.db2.gz QCNFRMBIXFPWBA-CHWSQXEVSA-N 0 2 321.377 0.832 20 0 DCADLN CC[N@H+]1CC[C@@H]1CNC(=O)C(=O)N1C[C@@H](C)[NH+](CC)C[C@@H]1C ZINC000910652021 663916953 /nfs/dbraw/zinc/91/69/53/663916953.db2.gz HOFLQQYIDMIORN-HZSPNIEDSA-N 0 2 310.442 0.138 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@@H]2CC[C@H](C(=O)[O-])O2)C[C@@H]1n1cc[nH+]c1 ZINC000910687162 663923605 /nfs/dbraw/zinc/92/36/05/663923605.db2.gz LINFEFXUYLCPMF-MROQNXINSA-N 0 2 307.350 0.925 20 0 DCADLN COC1(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CC1 ZINC000911167195 663996963 /nfs/dbraw/zinc/99/69/63/663996963.db2.gz BYUQILFIOQXGRY-UHFFFAOYSA-N 0 2 305.334 0.607 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)c3ccnnc3)CC2)[nH+]c1 ZINC000911171070 664000888 /nfs/dbraw/zinc/00/08/88/664000888.db2.gz BFKIQHMUJJMSFJ-UHFFFAOYSA-N 0 2 313.317 0.532 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)C3CC(=O)C3)CC2)[nH+]c1 ZINC000911171452 664001225 /nfs/dbraw/zinc/00/12/25/664001225.db2.gz GYJUKIWAPBONST-UHFFFAOYSA-N 0 2 303.318 0.408 20 0 DCADLN CC[C@H](C(=O)N(C)Cc1nc(=O)o[n-]1)[N@@H+]1CCO[C@H](CC)C1 ZINC000911452260 664059564 /nfs/dbraw/zinc/05/95/64/664059564.db2.gz UHNVEHUIXYFUIE-GHMZBOCLSA-N 0 2 312.370 0.211 20 0 DCADLN CC[C@H](C(=O)N(C)Cc1nc(=O)o[n-]1)[N@H+]1CCO[C@H](CC)C1 ZINC000911452260 664059568 /nfs/dbraw/zinc/05/95/68/664059568.db2.gz UHNVEHUIXYFUIE-GHMZBOCLSA-N 0 2 312.370 0.211 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(C(=O)[C@@H]2CC[C@H](C(=O)[O-])O2)C1 ZINC000911588811 664082275 /nfs/dbraw/zinc/08/22/75/664082275.db2.gz PVFJGEXZNKBHMV-SDDRHHMPSA-N 0 2 307.350 0.758 20 0 DCADLN O=C(CN1CCOCC1)Nc1nccc(Br)c1O ZINC000913026193 664255708 /nfs/dbraw/zinc/25/57/08/664255708.db2.gz LAMYNYAVJATAKE-UHFFFAOYSA-N 0 2 316.155 0.820 20 0 DCADLN CC(C)[N@@H+]1CCC[C@H]1C(=O)NC1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000991480191 664361562 /nfs/dbraw/zinc/36/15/62/664361562.db2.gz RWRWILVJJOCJHM-AWEZNQCLSA-N 0 2 319.409 0.152 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N3CC(O)C3)cc2[nH]c1=S ZINC000156409671 664367864 /nfs/dbraw/zinc/36/78/64/664367864.db2.gz MMWHAZMTKTWVPC-UHFFFAOYSA-N 0 2 305.359 0.522 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC[N@@H+]1CCn1cncn1 ZINC000947862663 664397160 /nfs/dbraw/zinc/39/71/60/664397160.db2.gz VCOMGWOVZQZYPT-STQMWFEESA-N 0 2 317.397 0.213 20 0 DCADLN COC(=O)c1n[nH]c2ccc(NC(=O)[C@@H]3C[C@H]3[N+](=O)[O-])cc21 ZINC000056640102 664407988 /nfs/dbraw/zinc/40/79/88/664407988.db2.gz AQUSAEFHXQEFDH-PSASIEDQSA-N 0 2 304.262 0.953 20 0 DCADLN CC(C)(C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccs1 ZINC001030784877 664666728 /nfs/dbraw/zinc/66/67/28/664666728.db2.gz DSXVQEKVSLNDIO-UHFFFAOYSA-N 0 2 321.406 0.850 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cccc(Br)c1O ZINC000730272411 664673466 /nfs/dbraw/zinc/67/34/66/664673466.db2.gz MCUKNIZMNZBUJP-UHFFFAOYSA-N 0 2 314.095 0.351 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2nccnc2c1 ZINC000730530303 664786695 /nfs/dbraw/zinc/78/66/95/664786695.db2.gz XHIJJFHBDLCFDJ-UHFFFAOYSA-N 0 2 321.300 0.653 20 0 DCADLN CN(C)c1ncccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730536622 664790656 /nfs/dbraw/zinc/79/06/56/664790656.db2.gz NQZCLTVLDHXNOG-UHFFFAOYSA-N 0 2 313.321 0.171 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)on1 ZINC000993055248 664797468 /nfs/dbraw/zinc/79/74/68/664797468.db2.gz ZVTBUMBHIZKUBG-ZJUUUORDSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Oc1cc(F)cc(F)c1 ZINC000730692601 664800601 /nfs/dbraw/zinc/80/06/01/664800601.db2.gz MMARJHZVGXWLQN-UHFFFAOYSA-N 0 2 306.228 0.955 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccn(C)c2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993199054 664884021 /nfs/dbraw/zinc/88/40/21/664884021.db2.gz MOGZRXHMTVEXIF-PWSUYJOCSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccn(C)c2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993199054 664884024 /nfs/dbraw/zinc/88/40/24/664884024.db2.gz MOGZRXHMTVEXIF-PWSUYJOCSA-N 0 2 318.381 0.632 20 0 DCADLN CC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](C)O1 ZINC000732145633 664905797 /nfs/dbraw/zinc/90/57/97/664905797.db2.gz CFXXVKMKGGRTHQ-VHSXEESVSA-N 0 2 305.338 0.097 20 0 DCADLN CCc1oc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001031245300 664936807 /nfs/dbraw/zinc/93/68/07/664936807.db2.gz QWBPTYAZXRUNNI-UHFFFAOYSA-N 0 2 305.338 0.588 20 0 DCADLN C[C@@H](CO)N(Cc1ccccc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000921470837 665307551 /nfs/dbraw/zinc/30/75/51/665307551.db2.gz NZIFQELGJGJESY-CMPLNLGQSA-N 0 2 321.402 0.952 20 0 DCADLN O=C(Cc1cnoc1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000998069777 665394717 /nfs/dbraw/zinc/39/47/17/665394717.db2.gz COLSPDMKDXCMDL-SECBINFHSA-N 0 2 309.219 0.445 20 0 DCADLN O=C(Cc1cnoc1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000998069777 665394719 /nfs/dbraw/zinc/39/47/19/665394719.db2.gz COLSPDMKDXCMDL-SECBINFHSA-N 0 2 309.219 0.445 20 0 DCADLN O=C(Cc1cnoc1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000998069778 665394854 /nfs/dbraw/zinc/39/48/54/665394854.db2.gz COLSPDMKDXCMDL-VIFPVBQESA-N 0 2 309.219 0.445 20 0 DCADLN O=C(Cc1cnoc1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000998069778 665394856 /nfs/dbraw/zinc/39/48/56/665394856.db2.gz COLSPDMKDXCMDL-VIFPVBQESA-N 0 2 309.219 0.445 20 0 DCADLN C[C@@H]1C[N@H+](Cc2nncs2)CC[C@@H]1NC(=O)c1cnn[n-]1 ZINC000942215880 666132489 /nfs/dbraw/zinc/13/24/89/666132489.db2.gz HVXCOBCMXXQJPX-BDAKNGLRSA-N 0 2 307.383 0.297 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2nncs2)CC[C@@H]1NC(=O)c1cnn[n-]1 ZINC000942215880 666132491 /nfs/dbraw/zinc/13/24/91/666132491.db2.gz HVXCOBCMXXQJPX-BDAKNGLRSA-N 0 2 307.383 0.297 20 0 DCADLN Cc1ccc(CC(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)o1 ZINC001002631487 666198552 /nfs/dbraw/zinc/19/85/52/666198552.db2.gz IIQZLXPWHXLCOF-UHFFFAOYSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccn(C)c1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002680262 666204683 /nfs/dbraw/zinc/20/46/83/666204683.db2.gz ODYWLTBDVQMWGS-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN O=C(NCC1C[NH+](Cc2noc(C3CCC3)n2)C1)c1nnc[n-]1 ZINC001032059484 666239575 /nfs/dbraw/zinc/23/95/75/666239575.db2.gz FLKXQTFRCDLSME-UHFFFAOYSA-N 0 2 317.353 0.317 20 0 DCADLN CN(C(=O)c1ccsc1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032893164 666376568 /nfs/dbraw/zinc/37/65/68/666376568.db2.gz XYJUNKYXSDBYBN-SNVBAGLBSA-N 0 2 307.379 0.918 20 0 DCADLN Cc1ncc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001032946925 666403918 /nfs/dbraw/zinc/40/39/18/666403918.db2.gz CMFIYUQAYAFMJI-VIFPVBQESA-N 0 2 322.394 0.622 20 0 DCADLN CCn1cc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001032957534 666405264 /nfs/dbraw/zinc/40/52/64/666405264.db2.gz HDZBRXWBOJRIQZ-NSHDSACASA-N 0 2 319.369 0.073 20 0 DCADLN Cc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1 ZINC001032201613 666423956 /nfs/dbraw/zinc/42/39/56/666423956.db2.gz BTMMEUOFCPAGJI-UHFFFAOYSA-N 0 2 316.365 0.384 20 0 DCADLN CCc1ncoc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033402579 666707871 /nfs/dbraw/zinc/70/78/71/666707871.db2.gz CWNRZOVPAQEHTE-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033491216 666730470 /nfs/dbraw/zinc/73/04/70/666730470.db2.gz KDWMIVDKQXAKJE-RWMBFGLXSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033491216 666730473 /nfs/dbraw/zinc/73/04/73/666730473.db2.gz KDWMIVDKQXAKJE-RWMBFGLXSA-N 0 2 319.409 0.979 20 0 DCADLN Cc1conc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033568713 666762748 /nfs/dbraw/zinc/76/27/48/666762748.db2.gz ZPKPJQBKJBIRHS-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@@H](C)O1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033967634 666881111 /nfs/dbraw/zinc/88/11/11/666881111.db2.gz LNUZNTHAKVVFIG-GRYCIOLGSA-N 0 2 323.397 0.501 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@@H](C)O1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033967634 666881115 /nfs/dbraw/zinc/88/11/15/666881115.db2.gz LNUZNTHAKVVFIG-GRYCIOLGSA-N 0 2 323.397 0.501 20 0 DCADLN CC(C)(NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1nccs1 ZINC000734803751 666913484 /nfs/dbraw/zinc/91/34/84/666913484.db2.gz HSAXQQDNFOBQIC-UHFFFAOYSA-N 0 2 318.362 0.579 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cnns1 ZINC001034121534 666928373 /nfs/dbraw/zinc/92/83/73/666928373.db2.gz QYXYGZXLAUXAFK-MRVPVSSYSA-N 0 2 323.382 0.146 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cncnc1 ZINC001034176783 666941436 /nfs/dbraw/zinc/94/14/36/666941436.db2.gz MVUBLIKNCCEMQL-LLVKDONJSA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cncnc1 ZINC001034176783 666941439 /nfs/dbraw/zinc/94/14/39/666941439.db2.gz MVUBLIKNCCEMQL-LLVKDONJSA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCCO1 ZINC001034170552 666942892 /nfs/dbraw/zinc/94/28/92/666942892.db2.gz VUIKLHVFJNTKES-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCCO1 ZINC001034170552 666942895 /nfs/dbraw/zinc/94/28/95/666942895.db2.gz VUIKLHVFJNTKES-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(Cc1cnoc1)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034359461 667002248 /nfs/dbraw/zinc/00/22/48/667002248.db2.gz BHODOFVKOMSIJH-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN O=C(Cc1cnoc1)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034359461 667002252 /nfs/dbraw/zinc/00/22/52/667002252.db2.gz BHODOFVKOMSIJH-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN Cc1ccc(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)o1 ZINC001032362358 667045777 /nfs/dbraw/zinc/04/57/77/667045777.db2.gz XHGRRWVKBALMLO-QWRGUYRKSA-N 0 2 317.349 0.440 20 0 DCADLN Cc1nc(CC(=O)N[C@H]2CC[C@H]([NH2+]Cc3cnon3)CC2)n[nH]1 ZINC001034646035 667090419 /nfs/dbraw/zinc/09/04/19/667090419.db2.gz BHHSURFHLHKHBQ-XYPYZODXSA-N 0 2 319.369 0.256 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CCCC1 ZINC001035264025 667278346 /nfs/dbraw/zinc/27/83/46/667278346.db2.gz XSXSMDMXUGSSGL-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000888345454 667306782 /nfs/dbraw/zinc/30/67/82/667306782.db2.gz XXWJVCPQGNSMAN-UHFFFAOYSA-N 0 2 321.410 0.526 20 0 DCADLN CN(C)C(=O)CNS(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866414415 667374965 /nfs/dbraw/zinc/37/49/65/667374965.db2.gz FVDXMXKBZIBFTK-LJQANCHMSA-N 0 2 319.408 0.066 20 0 DCADLN C[C@H](NC(=O)c1ncc[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006051948 667510724 /nfs/dbraw/zinc/51/07/24/667510724.db2.gz ZKAWQARTJPUUDM-POYBYMJQSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)c1ncc[nH]1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001006051948 667510728 /nfs/dbraw/zinc/51/07/28/667510728.db2.gz ZKAWQARTJPUUDM-POYBYMJQSA-N 0 2 322.262 0.887 20 0 DCADLN CC1(C)[C@@H]([NH3+])c2ccccc2N1C(=O)CN1CC[NH2+]CC1=O ZINC000867988936 667524321 /nfs/dbraw/zinc/52/43/21/667524321.db2.gz XRIBUPJJDDWNMN-HNNXBMFYSA-N 0 2 302.378 0.243 20 0 DCADLN CC1(C)[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H]2CCO[C@H]21 ZINC000741201977 667761025 /nfs/dbraw/zinc/76/10/25/667761025.db2.gz XMIBGBNFSGTSDR-XXILOJSOSA-N 0 2 317.349 0.001 20 0 DCADLN CCc1nn(C)cc1S(=O)(=O)Nc1ncc2c(n1)CCOC2 ZINC000886420174 667765391 /nfs/dbraw/zinc/76/53/91/667765391.db2.gz YLEJYXZNOQYBPW-UHFFFAOYSA-N 0 2 323.378 0.646 20 0 DCADLN CNC(=O)Cc1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000742520036 667793026 /nfs/dbraw/zinc/79/30/26/667793026.db2.gz BMAIQZKAZYMSMD-SNVBAGLBSA-N 0 2 320.374 0.470 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(CNC(N)=O)cc2)S1 ZINC000742589915 667794334 /nfs/dbraw/zinc/79/43/34/667794334.db2.gz MZEPKNBRHUBUGY-VIFPVBQESA-N 0 2 321.362 0.350 20 0 DCADLN COC(=O)[C@@H](C)CN(C(=O)C[C@H]1SC(=N)NC1=O)C1CC1 ZINC000742619955 667795345 /nfs/dbraw/zinc/79/53/45/667795345.db2.gz QMPGVCHVEVSZGH-IONNQARKSA-N 0 2 313.379 0.343 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)Nc1ccccc1 ZINC000742661938 667796368 /nfs/dbraw/zinc/79/63/68/667796368.db2.gz AKFLJGIBEVOSRG-SCZZXKLOSA-N 0 2 320.374 0.686 20 0 DCADLN Cc1ncc2c(n1)CCC[C@H]2NC(=O)C[C@H]1SC(=N)NC1=O ZINC000742681185 667796821 /nfs/dbraw/zinc/79/68/21/667796821.db2.gz BRCPZKXWHGKSSV-GHMZBOCLSA-N 0 2 319.390 0.835 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCC(=O)Nc2ccccc2)S1 ZINC000742674638 667796990 /nfs/dbraw/zinc/79/69/90/667796990.db2.gz XPJDDQLGIFCAHJ-SNVBAGLBSA-N 0 2 320.374 0.688 20 0 DCADLN CC(C)OC(=O)[C@@H](C)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742803406 667799228 /nfs/dbraw/zinc/79/92/28/667799228.db2.gz SLUYUQSRCUHZCG-JGVFFNPUSA-N 0 2 301.368 0.247 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(OCC3CC3)CC2)S1 ZINC000743784554 667816328 /nfs/dbraw/zinc/81/63/28/667816328.db2.gz LSDUWODSOGIRJO-LLVKDONJSA-N 0 2 311.407 0.960 20 0 DCADLN Cn1ncc2c1CCC[C@H]2NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000745427162 667847713 /nfs/dbraw/zinc/84/77/13/667847713.db2.gz DYFUPXPHLMVQCB-SCZZXKLOSA-N 0 2 307.379 0.470 20 0 DCADLN Cc1c[nH]c(=O)n1-c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000748419712 667927516 /nfs/dbraw/zinc/92/75/16/667927516.db2.gz RVWWJEZOEOOMRI-UHFFFAOYSA-N 0 2 314.305 0.640 20 0 DCADLN CN(C(=O)C[C@H]1SC(=N)NC1=O)[C@H]1CCCc2c1cnn2C ZINC000749071891 667945859 /nfs/dbraw/zinc/94/58/59/667945859.db2.gz HXNYRUWXBAXFAT-GXSJLCMTSA-N 0 2 321.406 0.812 20 0 DCADLN C[C@@H](Cc1ccncc1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000749483976 667955989 /nfs/dbraw/zinc/95/59/89/667955989.db2.gz RSWJAJHLTLHMKZ-JTQLQIEISA-N 0 2 312.333 0.214 20 0 DCADLN Cc1cnc([C@@H](C)NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000750086166 667971492 /nfs/dbraw/zinc/97/14/92/667971492.db2.gz FVJUIECERGXLRP-MRVPVSSYSA-N 0 2 318.362 0.714 20 0 DCADLN CCOC(=O)[C@H](CC1CC1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000752724356 668021157 /nfs/dbraw/zinc/02/11/57/668021157.db2.gz KMCKIYZSXGSARP-IUCAKERBSA-N 0 2 313.379 0.391 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC(=O)OC2CCCCC2)S1 ZINC000752715529 668021236 /nfs/dbraw/zinc/02/12/36/668021236.db2.gz VYWSLTXNIPCZRI-VIFPVBQESA-N 0 2 313.379 0.535 20 0 DCADLN CCCCC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000752761634 668021728 /nfs/dbraw/zinc/02/17/28/668021728.db2.gz KBLMYQBSCHYEIH-DTWKUNHWSA-N 0 2 315.395 0.781 20 0 DCADLN Cc1cnccc1CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000755151535 668056891 /nfs/dbraw/zinc/05/68/91/668056891.db2.gz JDLSWLNWBQPTMH-UHFFFAOYSA-N 0 2 312.333 0.134 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@H]1CC2(CCC2)CO1 ZINC000873357204 668075875 /nfs/dbraw/zinc/07/58/75/668075875.db2.gz VLIJNOGGLXHLES-SNVBAGLBSA-N 0 2 318.333 0.574 20 0 DCADLN CCCC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000760983123 668297148 /nfs/dbraw/zinc/29/71/48/668297148.db2.gz UPMXAILFCNCAMZ-JGVFFNPUSA-N 0 2 301.368 0.391 20 0 DCADLN CCCC[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000760983125 668297260 /nfs/dbraw/zinc/29/72/60/668297260.db2.gz UPMXAILFCNCAMZ-SFYZADRCSA-N 0 2 301.368 0.391 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](O)COc2ccccc2)S1 ZINC000762357565 668358051 /nfs/dbraw/zinc/35/80/51/668358051.db2.gz ZFDBENIXLIVZTM-MWLCHTKSSA-N 0 2 323.374 0.099 20 0 DCADLN CC(C)c1nnc([C@@H](C)NC(=O)C[C@@H]2SC(=N)NC2=O)[nH]1 ZINC000763191611 668400056 /nfs/dbraw/zinc/40/00/56/668400056.db2.gz CYEVGJTVVAJYLJ-RQJHMYQMSA-N 0 2 310.383 0.662 20 0 DCADLN CS(=O)(=O)N1CCC(CNC(=O)C(F)C(F)(F)F)CC1 ZINC000764628833 668455493 /nfs/dbraw/zinc/45/54/93/668455493.db2.gz YGGDSFTXPIXDCE-QMMMGPOBSA-N 0 2 320.308 0.675 20 0 DCADLN CS(=O)(=O)N1CCC(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC000764628833 668455497 /nfs/dbraw/zinc/45/54/97/668455497.db2.gz YGGDSFTXPIXDCE-QMMMGPOBSA-N 0 2 320.308 0.675 20 0 DCADLN C[C@@H](CC(F)(F)F)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000766924982 668551682 /nfs/dbraw/zinc/55/16/82/668551682.db2.gz XQHJEEMGORWGSI-LURJTMIESA-N 0 2 303.244 0.528 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2CN(c3ccccc3)C2=O)S1 ZINC000768413136 668608185 /nfs/dbraw/zinc/60/81/85/668608185.db2.gz LCEALTZUZBLJKH-UWVGGRQHSA-N 0 2 318.358 0.074 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2OCC[C@H]3O)S1 ZINC000768469848 668611299 /nfs/dbraw/zinc/61/12/99/668611299.db2.gz WZSASKWIVVGLRA-ZJUUUORDSA-N 0 2 321.358 0.997 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn3ccccc23)C1=O ZINC000769855756 668666828 /nfs/dbraw/zinc/66/68/28/668666828.db2.gz SAPIRKPAGMKAKT-CQSZACIVSA-N 0 2 301.306 0.700 20 0 DCADLN CC(=O)Nc1ncccc1NC(=O)CC1SC(=N)NC1=O ZINC000771474568 668740748 /nfs/dbraw/zinc/74/07/48/668740748.db2.gz QAFCLKJDXUJXKQ-QMMMGPOBSA-N 0 2 307.335 0.535 20 0 DCADLN COC(=O)C[C@@H]1CCCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000773274281 668798604 /nfs/dbraw/zinc/79/86/04/668798604.db2.gz AGVGRKPURQMKOM-IUCAKERBSA-N 0 2 313.379 0.487 20 0 DCADLN CCOC(=O)c1c(C)[nH]c(C(=O)OCc2n[nH]c(=O)[nH]2)c1C ZINC000774876852 668849192 /nfs/dbraw/zinc/84/91/92/668849192.db2.gz VWZMAMQINZOKHF-UHFFFAOYSA-N 0 2 308.294 0.989 20 0 DCADLN C[C@H]1Oc2ccccc2N(CC(=O)OCc2n[nH]c(=O)[nH]2)C1=O ZINC000774914248 668850945 /nfs/dbraw/zinc/85/09/45/668850945.db2.gz YSPMFDYVUVACMN-MRVPVSSYSA-N 0 2 318.289 0.368 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(N2CCNC2=O)cc1 ZINC000774912293 668850978 /nfs/dbraw/zinc/85/09/78/668850978.db2.gz MCMQBXYQQAJTQO-UHFFFAOYSA-N 0 2 303.278 0.397 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CCN(c2ccc(F)cc2)C1=O ZINC000774934654 668851837 /nfs/dbraw/zinc/85/18/37/668851837.db2.gz UHHFBXJYWKLGQU-JTQLQIEISA-N 0 2 320.280 0.746 20 0 DCADLN COc1cc(C=CC(=O)OCc2n[nH]c(=O)[nH]2)cc(OC)c1O ZINC000774959007 668852984 /nfs/dbraw/zinc/85/29/84/668852984.db2.gz JFKYTRHWEZFLAJ-ONEGZZNKSA-N 0 2 321.289 0.990 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ccc(Cl)cn1 ZINC000775830895 668868430 /nfs/dbraw/zinc/86/84/30/668868430.db2.gz OBLXTVTUPIDWJO-UHFFFAOYSA-N 0 2 318.724 0.436 20 0 DCADLN C[C@@H](CCO)C1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCC1 ZINC000775889184 668868894 /nfs/dbraw/zinc/86/88/94/668868894.db2.gz GQMZRIHIVYPSLH-VHSXEESVSA-N 0 2 313.423 0.848 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnc3c(c2)COCC3)S1 ZINC000777516502 668888361 /nfs/dbraw/zinc/88/83/61/668888361.db2.gz LIAWBANYXXWETD-SNVBAGLBSA-N 0 2 306.347 0.649 20 0 DCADLN CCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000778640119 668902135 /nfs/dbraw/zinc/90/21/35/668902135.db2.gz OZWXDPAURVKIEI-TUAOUCFPSA-N 0 2 306.428 0.586 20 0 DCADLN CCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000778640119 668902136 /nfs/dbraw/zinc/90/21/36/668902136.db2.gz OZWXDPAURVKIEI-TUAOUCFPSA-N 0 2 306.428 0.586 20 0 DCADLN O=C(C[C@@H]1Cc2ccccc2O1)NCCCc1n[nH]c(=O)[nH]1 ZINC000879033923 668971972 /nfs/dbraw/zinc/97/19/72/668971972.db2.gz VJFRYSMZTPAMJM-NSHDSACASA-N 0 2 302.334 0.953 20 0 DCADLN CC(C)C[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780510098 668990870 /nfs/dbraw/zinc/99/08/70/668990870.db2.gz FKZZXQLKTBYBCM-LBPRGKRZSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)C[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780510098 668990873 /nfs/dbraw/zinc/99/08/73/668990873.db2.gz FKZZXQLKTBYBCM-LBPRGKRZSA-N 0 2 315.414 0.896 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000780694428 669006420 /nfs/dbraw/zinc/00/64/20/669006420.db2.gz BPJHIRKLKDALPW-NEPJUHHUSA-N 0 2 320.349 0.155 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000780697561 669006684 /nfs/dbraw/zinc/00/66/84/669006684.db2.gz VVBXDIXPDFUZNH-LBPRGKRZSA-N 0 2 322.365 0.403 20 0 DCADLN CN(C)c1cccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000782079945 669078819 /nfs/dbraw/zinc/07/88/19/669078819.db2.gz AAMSDALFNRAITJ-UHFFFAOYSA-N 0 2 313.321 0.171 20 0 DCADLN O=C(NN1CN=NC1=O)c1cnnn1-c1ccccc1Cl ZINC000782128135 669081694 /nfs/dbraw/zinc/08/16/94/669081694.db2.gz VTHOFMZMVYQIAO-UHFFFAOYSA-N 0 2 305.685 0.602 20 0 DCADLN COc1c(NC(=O)CC2SC(=N)NC2=O)cccc1C(N)=O ZINC000782843013 669125229 /nfs/dbraw/zinc/12/52/29/669125229.db2.gz YJIXJIDKVFOGSO-MRVPVSSYSA-N 0 2 322.346 0.289 20 0 DCADLN CCOC(=O)[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C(F)(F)F ZINC000880157559 669167906 /nfs/dbraw/zinc/16/79/06/669167906.db2.gz LYZIVRGIMURBGP-RNFRBKRXSA-N 0 2 308.260 0.684 20 0 DCADLN COc1cnccc1[C@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000783868684 669179255 /nfs/dbraw/zinc/17/92/55/669179255.db2.gz XWONKGFHAKYSAH-OIBJUYFYSA-N 0 2 308.363 0.824 20 0 DCADLN O=C([N-]O[C@H]1CCCCO1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000785385217 669252390 /nfs/dbraw/zinc/25/23/90/669252390.db2.gz IXIHKSCUHLVNBV-LBPRGKRZSA-N 0 2 304.306 0.847 20 0 DCADLN O=C(NCc1cn(CCO[C@H]2CC2(F)F)nn1)C(F)(F)F ZINC000881385911 669332907 /nfs/dbraw/zinc/33/29/07/669332907.db2.gz AVZDREMYWIBQCK-ZETCQYMHSA-N 0 2 314.214 0.881 20 0 DCADLN Cc1c(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)n[nH]c1C1CC1 ZINC000789734340 669506228 /nfs/dbraw/zinc/50/62/28/669506228.db2.gz IZXBUADVSOIMLF-QMMMGPOBSA-N 0 2 300.384 0.814 20 0 DCADLN COC(=O)Cc1cccc(NC(=O)CC2SC(=N)NC2=O)n1 ZINC000791046288 669589724 /nfs/dbraw/zinc/58/97/24/669589724.db2.gz TWBNHQJUBORJFR-MRVPVSSYSA-N 0 2 322.346 0.292 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H](C)C(=O)NCc1ccccc1 ZINC000883413807 669681567 /nfs/dbraw/zinc/68/15/67/669681567.db2.gz CJDPIBWTKHXRJL-GFCCVEGCSA-N 0 2 322.361 0.641 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)NCc1ccccc1 ZINC000883413807 669681568 /nfs/dbraw/zinc/68/15/68/669681568.db2.gz CJDPIBWTKHXRJL-GFCCVEGCSA-N 0 2 322.361 0.641 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@H]1CCC[C@H](O)C1 ZINC000795411442 669887271 /nfs/dbraw/zinc/88/72/71/669887271.db2.gz DTMJKDKIDKBXFH-RYUDHWBXSA-N 0 2 319.361 0.968 20 0 DCADLN CC(C)CN(C)C(=O)N[C@@H](CCC(=O)[O-])C[NH+]1CCOCC1 ZINC000797428778 669968040 /nfs/dbraw/zinc/96/80/40/669968040.db2.gz GNTMWOJIVGMVHR-ZDUSSCGKSA-N 0 2 315.414 0.849 20 0 DCADLN O=C(NCCS(=O)(=O)C1CC1)c1c(O)cc(F)cc1F ZINC000839859451 670019842 /nfs/dbraw/zinc/01/98/42/670019842.db2.gz TWRGFDCKDQAFDR-UHFFFAOYSA-N 0 2 305.302 0.977 20 0 DCADLN C[C@@H]1COCC[C@H]1c1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000799675846 670068148 /nfs/dbraw/zinc/06/81/48/670068148.db2.gz UOSJENRMGUCVMU-RKDXNWHRSA-N 0 2 316.321 0.643 20 0 DCADLN C[C@@H]1COCC[C@@H]1c1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000799675843 670068528 /nfs/dbraw/zinc/06/85/28/670068528.db2.gz UOSJENRMGUCVMU-BDAKNGLRSA-N 0 2 316.321 0.643 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@@](F)(CO)C1 ZINC000802330355 670174593 /nfs/dbraw/zinc/17/45/93/670174593.db2.gz WBRZIZKPYJOYQJ-JTQLQIEISA-N 0 2 300.252 0.376 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnccn1 ZINC000948786655 670181122 /nfs/dbraw/zinc/18/11/22/670181122.db2.gz HBHOEUJGSVZBAZ-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cnccn1 ZINC000948786655 670181123 /nfs/dbraw/zinc/18/11/23/670181123.db2.gz HBHOEUJGSVZBAZ-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cocn1 ZINC000949123038 670264420 /nfs/dbraw/zinc/26/44/20/670264420.db2.gz JYTYYLXACLWDOQ-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cocn1 ZINC000949123038 670264422 /nfs/dbraw/zinc/26/44/22/670264422.db2.gz JYTYYLXACLWDOQ-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN Cn1cnc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)c1 ZINC000949408711 670335870 /nfs/dbraw/zinc/33/58/70/670335870.db2.gz ZTQACZRDLBSZDX-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)NC[C@@H]2CCN2C(=O)[C@H](F)C(F)(F)F)c1 ZINC000949408711 670335873 /nfs/dbraw/zinc/33/58/73/670335873.db2.gz ZTQACZRDLBSZDX-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnon1 ZINC000951765358 670362077 /nfs/dbraw/zinc/36/20/77/670362077.db2.gz FYSIQSUHPMQISW-IYSWYEEDSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cnon1 ZINC000951765358 670362079 /nfs/dbraw/zinc/36/20/79/670362079.db2.gz FYSIQSUHPMQISW-IYSWYEEDSA-N 0 2 310.207 0.301 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(C2(O)COC2)cc1 ZINC000808121729 670390900 /nfs/dbraw/zinc/39/09/00/670390900.db2.gz URQYVCUJHCZOLK-UHFFFAOYSA-N 0 2 309.347 0.439 20 0 DCADLN CCN(C(=O)c1cnc(C)s1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949766839 670426701 /nfs/dbraw/zinc/42/67/01/670426701.db2.gz NVVCZOSLJWNAEA-UHFFFAOYSA-N 0 2 322.394 0.622 20 0 DCADLN CCN(C(=O)c1nccn1CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949974975 670474089 /nfs/dbraw/zinc/47/40/89/670474089.db2.gz WHAOCWNPVMYNNB-UHFFFAOYSA-N 0 2 319.369 0.073 20 0 DCADLN O=C(NCCCN1CCCS1(=O)=O)C(F)C(F)(F)F ZINC000810312295 670479312 /nfs/dbraw/zinc/47/93/12/670479312.db2.gz MHJLYTJXSQHULO-ZETCQYMHSA-N 0 2 306.281 0.429 20 0 DCADLN O=C(NCCCN1CCCS1(=O)=O)[C@H](F)C(F)(F)F ZINC000810312295 670479316 /nfs/dbraw/zinc/47/93/16/670479316.db2.gz MHJLYTJXSQHULO-ZETCQYMHSA-N 0 2 306.281 0.429 20 0 DCADLN COc1ccc(CNC(=O)C(F)(F)F)cc1S(N)(=O)=O ZINC000811543523 670533930 /nfs/dbraw/zinc/53/39/30/670533930.db2.gz YXYMUEKKHNFGAH-UHFFFAOYSA-N 0 2 312.269 0.521 20 0 DCADLN CCn1cc(-c2n[nH]cc2C(=O)Nn2cnn(CC)c2=O)cn1 ZINC000854629324 670601491 /nfs/dbraw/zinc/60/14/91/670601491.db2.gz UBTXIWGBLKQKLM-UHFFFAOYSA-N 0 2 316.325 0.055 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)Nn2cnn(CC)c2=O)cn1 ZINC000854629324 670601494 /nfs/dbraw/zinc/60/14/94/670601494.db2.gz UBTXIWGBLKQKLM-UHFFFAOYSA-N 0 2 316.325 0.055 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)Cc1cnn(C)c1)n1cc[nH+]c1 ZINC000847848350 670606380 /nfs/dbraw/zinc/60/63/80/670606380.db2.gz MVRCEASZAXEUPW-SNVBAGLBSA-N 0 2 311.367 0.214 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@@H](c3ccncc3)C2)S1 ZINC000848102116 670634601 /nfs/dbraw/zinc/63/46/01/670634601.db2.gz VEGSWSTZHPLNOS-MNOVXSKESA-N 0 2 304.375 0.954 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](C(=O)N3CCCC3)C2)S1 ZINC000813659141 670642901 /nfs/dbraw/zinc/64/29/01/670642901.db2.gz DBQGURMZAPBVDM-UWVGGRQHSA-N 0 2 324.406 0.014 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCN1S(C)(=O)=O ZINC000855822567 670758034 /nfs/dbraw/zinc/75/80/34/670758034.db2.gz FGNLFIGBGAKKKQ-RQJHMYQMSA-N 0 2 306.281 0.379 20 0 DCADLN C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCN1S(C)(=O)=O ZINC000855822567 670758037 /nfs/dbraw/zinc/75/80/37/670758037.db2.gz FGNLFIGBGAKKKQ-RQJHMYQMSA-N 0 2 306.281 0.379 20 0 DCADLN Cc1cncc(CCn2cc(CNC(=O)C(F)(F)F)nn2)n1 ZINC000849155652 670761795 /nfs/dbraw/zinc/76/17/95/670761795.db2.gz JRFJCRORANRQCE-UHFFFAOYSA-N 0 2 314.271 0.798 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCSCC4)nc[nH+]3)C[C@@H]21 ZINC000849349445 670779926 /nfs/dbraw/zinc/77/99/26/670779926.db2.gz ZCSAUIRTUSVQHM-IWIIMEHWSA-N 0 2 306.391 0.797 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCSCC4)[nH+]cn3)C[C@@H]21 ZINC000849349445 670779928 /nfs/dbraw/zinc/77/99/28/670779928.db2.gz ZCSAUIRTUSVQHM-IWIIMEHWSA-N 0 2 306.391 0.797 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@@H]1C ZINC000856083901 670784313 /nfs/dbraw/zinc/78/43/13/670784313.db2.gz OYFWVASRNLJGJY-YIZRAAEISA-N 0 2 313.379 0.200 20 0 DCADLN C[C@H](c1ccccc1)[C@H](O)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000856289132 670801507 /nfs/dbraw/zinc/80/15/07/670801507.db2.gz LGWZHABOXJXLLA-JLLWLGSASA-N 0 2 321.402 0.824 20 0 DCADLN CCOCCn1cc(C(=O)NN2C(=O)N[C@](C)(CC)C2=O)cn1 ZINC000856736096 670846508 /nfs/dbraw/zinc/84/65/08/670846508.db2.gz CMDSMQOTSMLERN-CQSZACIVSA-N 0 2 323.353 0.285 20 0 DCADLN C[C@H](CCO)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860118767 671179987 /nfs/dbraw/zinc/17/99/87/671179987.db2.gz OKHUVNAMXQOTIK-SSDOTTSWSA-N 0 2 308.256 0.959 20 0 DCADLN CC1(O)CN(C(=O)c2ccc(CNC(=O)C(F)(F)F)o2)C1 ZINC000860281749 671194201 /nfs/dbraw/zinc/19/42/01/671194201.db2.gz DTZMTGJPJTXDAF-UHFFFAOYSA-N 0 2 306.240 0.665 20 0 DCADLN CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)c2nnc[nH]2)o1 ZINC000853219846 671221349 /nfs/dbraw/zinc/22/13/49/671221349.db2.gz HTZHPBGNNKKHPK-LURJTMIESA-N 0 2 300.296 0.224 20 0 DCADLN CN1C[C@@H](NC(=O)C[C@@H]2SC(=N)NC2=O)Cc2ccccc21 ZINC000824938682 671444703 /nfs/dbraw/zinc/44/47/03/671444703.db2.gz UTTCZTRXWWHGNI-JQWIXIFHSA-N 0 2 318.402 0.720 20 0 DCADLN C[NH+]1Cc2ccccc2[C@H]1CNC(=O)[C@@H]1C[N@@H+]2CCN1CCC2 ZINC000826710913 671497728 /nfs/dbraw/zinc/49/77/28/671497728.db2.gz VUQFEBNXPIJPGR-SJORKVTESA-N 0 2 314.433 0.679 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCN2CCc3ccccc32)S1 ZINC000831615539 671676595 /nfs/dbraw/zinc/67/65/95/671676595.db2.gz WRQUJMMXKWINKM-LBPRGKRZSA-N 0 2 318.402 0.722 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(F)cc1 ZINC000832762402 671740233 /nfs/dbraw/zinc/74/02/33/671740233.db2.gz QPSQLDDXNOKXJA-ZDUSSCGKSA-N 0 2 309.341 0.584 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(F)cc1 ZINC000832762402 671740234 /nfs/dbraw/zinc/74/02/34/671740234.db2.gz QPSQLDDXNOKXJA-ZDUSSCGKSA-N 0 2 309.341 0.584 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1c[nH+]ccc1OC ZINC000867301635 672022090 /nfs/dbraw/zinc/02/20/90/672022090.db2.gz CVKQGIZQBRFLOQ-UHFFFAOYSA-N 0 2 321.424 0.932 20 0 DCADLN CCO[C@@H]1C[C@@H](NC(=O)C[C@@H]2SC(=N)NC2=O)[C@@H]1SC ZINC000844065114 672144125 /nfs/dbraw/zinc/14/41/25/672144125.db2.gz DYCDZZDVZYQLNV-ODXREFDESA-N 0 2 317.436 0.568 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NCc1nn(C)cc1Cl ZINC000867348591 672153080 /nfs/dbraw/zinc/15/30/80/672153080.db2.gz HVSQRQXHMPFZQY-KRWDZBQOSA-N 0 2 314.820 0.526 20 0 DCADLN Cn1cc(Cl)c(CNS(=O)(=O)N=S(C)(C)=O)n1 ZINC000867349810 672161926 /nfs/dbraw/zinc/16/19/26/672161926.db2.gz VEOMDPJUMCAYNT-UHFFFAOYSA-N 0 2 300.793 0.136 20 0 DCADLN O=C([O-])c1n[nH+]c2ccccc2c1N1CC[C@@H](CO)[C@H](O)C1 ZINC000844211315 672163877 /nfs/dbraw/zinc/16/38/77/672163877.db2.gz YQZJEDLWLPQXOE-JOYOIKCWSA-N 0 2 303.318 0.508 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000844219458 672165010 /nfs/dbraw/zinc/16/50/10/672165010.db2.gz TYUKCAHRYNZCNG-BLLLJJGKSA-N 0 2 320.393 0.986 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000844219458 672165011 /nfs/dbraw/zinc/16/50/11/672165011.db2.gz TYUKCAHRYNZCNG-BLLLJJGKSA-N 0 2 320.393 0.986 20 0 DCADLN CC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H](CC)CO1 ZINC000844786817 672202828 /nfs/dbraw/zinc/20/28/28/672202828.db2.gz DYAMXICCXVIAJW-WDEREUQCSA-N 0 2 319.365 0.487 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)Cc1ccccn1)n1cc[nH+]c1 ZINC000845547986 672259806 /nfs/dbraw/zinc/25/98/06/672259806.db2.gz DQNWXCOPYXILQG-LLVKDONJSA-N 0 2 308.363 0.875 20 0 DCADLN Cn1cncc1C[NH+]1CCCC[C@H]1CNC(=O)[C@H]1CCC[N@@H+]1C ZINC001024366143 693806544 /nfs/dbraw/zinc/80/65/44/693806544.db2.gz OLJLFKOVNCDCEE-GOEBONIOSA-N 0 2 319.453 0.985 20 0 DCADLN O=C(CCc1ccccc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957435193 687061212 /nfs/dbraw/zinc/06/12/12/687061212.db2.gz WEQJGLBVMTVNFC-UHFFFAOYSA-N 0 2 315.377 0.787 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC000957474757 687068382 /nfs/dbraw/zinc/06/83/82/687068382.db2.gz YWJOFCLZMCWMCB-UHFFFAOYSA-N 0 2 317.349 0.034 20 0 DCADLN C[C@H]1CCCCN1C(=O)CN1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001038433969 694078778 /nfs/dbraw/zinc/07/87/78/694078778.db2.gz HGFCZKMPLITUPU-RYUDHWBXSA-N 0 2 320.397 0.010 20 0 DCADLN C[C@H]1CCCCN1C(=O)C[N@@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001038433969 694078786 /nfs/dbraw/zinc/07/87/86/694078786.db2.gz HGFCZKMPLITUPU-RYUDHWBXSA-N 0 2 320.397 0.010 20 0 DCADLN C[C@H]1CCCCN1C(=O)C[N@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001038433969 694078791 /nfs/dbraw/zinc/07/87/91/694078791.db2.gz HGFCZKMPLITUPU-RYUDHWBXSA-N 0 2 320.397 0.010 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038528313 694107191 /nfs/dbraw/zinc/10/71/91/694107191.db2.gz LOJWAJLJPOUULF-AXFHLTTASA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC(F)CC1 ZINC001038658278 694151669 /nfs/dbraw/zinc/15/16/69/694151669.db2.gz SHYXRXFTEZAOND-ILDUYXDCSA-N 0 2 311.361 0.729 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]n1 ZINC001038717835 694173814 /nfs/dbraw/zinc/17/38/14/694173814.db2.gz PUNWCEVKXFCIFU-SECBINFHSA-N 0 2 319.369 0.361 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)n1C ZINC001039011939 694270830 /nfs/dbraw/zinc/27/08/30/694270830.db2.gz IMVKWQZFNBKNDJ-LLVKDONJSA-N 0 2 318.381 0.470 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@@H]2C)on1 ZINC000964996387 689334002 /nfs/dbraw/zinc/33/40/02/689334002.db2.gz HBKNDDYLZFLQCF-WCBMZHEXSA-N 0 2 320.353 0.447 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cnsn1 ZINC000965395522 689432598 /nfs/dbraw/zinc/43/25/98/689432598.db2.gz PVSAJQFYXZRHAM-IONNQARKSA-N 0 2 323.382 0.002 20 0 DCADLN CC(=O)N1CC(N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC000967217274 689961205 /nfs/dbraw/zinc/96/12/05/689961205.db2.gz FXPLXVLTJMCMBC-SNVBAGLBSA-N 0 2 311.279 0.262 20 0 DCADLN CC(=O)N1CC(N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)C1 ZINC000967217274 689961209 /nfs/dbraw/zinc/96/12/09/689961209.db2.gz FXPLXVLTJMCMBC-SNVBAGLBSA-N 0 2 311.279 0.262 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000967967442 690137623 /nfs/dbraw/zinc/13/76/23/690137623.db2.gz MUMQUAMRQCQUOJ-KIJLLGNVSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000967967442 690137628 /nfs/dbraw/zinc/13/76/28/690137628.db2.gz MUMQUAMRQCQUOJ-KIJLLGNVSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1n[nH]cc1F ZINC000968258478 690213931 /nfs/dbraw/zinc/21/39/31/690213931.db2.gz ZKLDMERCJCIECH-CBAPKCEASA-N 0 2 323.332 0.013 20 0 DCADLN C[C@H]1CC[N@@H+](CCn2cccn2)C[C@@H]1NC(=O)c1nc[nH]n1 ZINC000968430698 690264405 /nfs/dbraw/zinc/26/44/05/690264405.db2.gz DXZLVHHZABDWLQ-RYUDHWBXSA-N 0 2 303.370 0.142 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000968711175 690375356 /nfs/dbraw/zinc/37/53/56/690375356.db2.gz WNEGGJYHNAHZOW-PSASIEDQSA-N 0 2 319.369 0.182 20 0 DCADLN CN(C(=O)c1n[nH]cc1F)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005953354 690547260 /nfs/dbraw/zinc/54/72/60/690547260.db2.gz OUUZVFNOXNKAPV-UHFFFAOYSA-N 0 2 323.332 0.109 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cscn1 ZINC001006920253 690604967 /nfs/dbraw/zinc/60/49/67/690604967.db2.gz XMRWDGZUHPBRGY-MRVPVSSYSA-N 0 2 308.367 0.361 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039572645 694385969 /nfs/dbraw/zinc/38/59/69/694385969.db2.gz RTBJNHNOVUYIFQ-NTZNESFSSA-N 0 2 323.397 0.093 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC1(F)F ZINC001007589857 690711304 /nfs/dbraw/zinc/71/13/04/690711304.db2.gz JFHAJHUNJHHTHR-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)[nH]1 ZINC001008691547 690911301 /nfs/dbraw/zinc/91/13/01/690911301.db2.gz YIPWHRBWGPSIEL-LLVKDONJSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009500851 691050556 /nfs/dbraw/zinc/05/05/56/691050556.db2.gz GLFJUQILVIRDSG-JTQLQIEISA-N 0 2 304.354 0.541 20 0 DCADLN CCCC(=O)N1CC[C@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040069522 694475781 /nfs/dbraw/zinc/47/57/81/694475781.db2.gz LQKHOEUJEJWWRJ-ONGXEEELSA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)N1CC[C@](O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001040069522 694475787 /nfs/dbraw/zinc/47/57/87/694475787.db2.gz LQKHOEUJEJWWRJ-ONGXEEELSA-N 0 2 314.279 0.767 20 0 DCADLN Cc1csc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001014386374 692004560 /nfs/dbraw/zinc/00/45/60/692004560.db2.gz XSOBGPCAIPQNQS-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN CCCn1nccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014579358 692048076 /nfs/dbraw/zinc/04/80/76/692048076.db2.gz SAMDIPYRNPNKSK-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN Cc1noc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001014862326 692116229 /nfs/dbraw/zinc/11/62/29/692116229.db2.gz HIKNFAHQMFKTCI-VIFPVBQESA-N 0 2 306.326 0.119 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H](NC(=O)c3cn[nH]n3)[C@H]2C)n[nH]1 ZINC001015053764 692171413 /nfs/dbraw/zinc/17/14/13/692171413.db2.gz QHSVJZWQQAKOCM-ZJUUUORDSA-N 0 2 317.353 0.259 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)nc1C ZINC001015294232 692254690 /nfs/dbraw/zinc/25/46/90/692254690.db2.gz MCEHOOZZWJIHAD-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN Cc1ccc(F)cc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015440125 692298557 /nfs/dbraw/zinc/29/85/57/692298557.db2.gz GNXKQLVYNBSVEC-LLVKDONJSA-N 0 2 319.340 0.962 20 0 DCADLN CCOC1CC(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001015596069 692355970 /nfs/dbraw/zinc/35/59/70/692355970.db2.gz QILZZBCWEWFBIR-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1nocc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015666875 692388340 /nfs/dbraw/zinc/38/83/40/692388340.db2.gz BNDOHCPHFREZHD-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN CC(C)c1oncc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015933090 692511898 /nfs/dbraw/zinc/51/18/98/692511898.db2.gz BEOFXSFTEUYRNY-SECBINFHSA-N 0 2 320.353 0.626 20 0 DCADLN Cc1cccc(F)c1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016408576 692676977 /nfs/dbraw/zinc/67/69/77/692676977.db2.gz LPNKCZZQZXBQFH-SNVBAGLBSA-N 0 2 319.340 0.962 20 0 DCADLN O=C(CC1CC1)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074137995 694825577 /nfs/dbraw/zinc/82/55/77/694825577.db2.gz ZYSNKWFJGYXKBL-RYUDHWBXSA-N 0 2 321.381 0.112 20 0 DCADLN CC(C)CC(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074147813 694827519 /nfs/dbraw/zinc/82/75/19/694827519.db2.gz KZXIPLXISUUYIV-NEPJUHHUSA-N 0 2 323.397 0.358 20 0 DCADLN C/C=C(\C)C(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074205165 694839842 /nfs/dbraw/zinc/83/98/42/694839842.db2.gz NVAKGJXZQXXTNV-HQCZBSGJSA-N 0 2 321.381 0.278 20 0 DCADLN C[C@@H](CCNC(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001075739359 694972511 /nfs/dbraw/zinc/97/25/11/694972511.db2.gz VJPPFHQCMFIMSM-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)c1cn[nH]c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001075739359 694972512 /nfs/dbraw/zinc/97/25/12/694972512.db2.gz VJPPFHQCMFIMSM-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN O=C(Nc1ccc[nH]c1=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001626097811 1158716435 /nfs/dbraw/zinc/71/64/35/1158716435.db2.gz PNKDWRCAHXKRFD-UHFFFAOYSA-N 0 2 313.273 0.619 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001397584936 1159223163 /nfs/dbraw/zinc/22/31/63/1159223163.db2.gz XPKNJZHTVBWFQK-GHMZBOCLSA-N 0 2 319.365 0.940 20 0 DCADLN CN(CCOCCNC(=O)C(F)C(F)(F)F)C(=O)C1CC1 ZINC001572179091 1163053472 /nfs/dbraw/zinc/05/34/72/1163053472.db2.gz KAIJYBGLLOJBOV-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCOCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1CC1 ZINC001572179091 1163053478 /nfs/dbraw/zinc/05/34/78/1163053478.db2.gz KAIJYBGLLOJBOV-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN Cc1noc(C(=O)N[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)c1C ZINC001448108176 1159719370 /nfs/dbraw/zinc/71/93/70/1159719370.db2.gz CMGSUUNYNJSHDK-MRVPVSSYSA-N 0 2 319.365 0.800 20 0 DCADLN CCCCCC(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001566647616 1160109481 /nfs/dbraw/zinc/10/94/81/1160109481.db2.gz GVSZCNNLDTYBAG-UHFFFAOYSA-N 0 2 324.429 0.324 20 0 DCADLN CC(=O)NCC[N@H+](CCC(=O)[O-])[C@H](C)C(=O)OC(C)(C)C ZINC001573375884 1163418709 /nfs/dbraw/zinc/41/87/09/1163418709.db2.gz SABVQJOVKMJVRX-SNVBAGLBSA-N 0 2 302.371 0.629 20 0 DCADLN CC(=O)NCC[N@@H+](CCC(=O)[O-])[C@H](C)C(=O)OC(C)(C)C ZINC001573375884 1163418722 /nfs/dbraw/zinc/41/87/22/1163418722.db2.gz SABVQJOVKMJVRX-SNVBAGLBSA-N 0 2 302.371 0.629 20 0 DCADLN CC[N@@H+]1CC[C@@H]1C(=O)N[C@@H](CC(C)C)c1nc(C(=O)[O-])n[nH]1 ZINC001573777677 1163549605 /nfs/dbraw/zinc/54/96/05/1163549605.db2.gz GMUYWMRVDPKGOO-VHSXEESVSA-N 0 2 309.370 0.801 20 0 DCADLN CC[N@H+]1CC[C@@H]1C(=O)N[C@@H](CC(C)C)c1nc(C(=O)[O-])n[nH]1 ZINC001573777677 1163549608 /nfs/dbraw/zinc/54/96/08/1163549608.db2.gz GMUYWMRVDPKGOO-VHSXEESVSA-N 0 2 309.370 0.801 20 0 DCADLN CC[N@@H+]1CC[C@H]1C(=O)N[C@@H](CC(C)C)c1nc(C(=O)[O-])n[nH]1 ZINC001573777676 1163550400 /nfs/dbraw/zinc/55/04/00/1163550400.db2.gz GMUYWMRVDPKGOO-UWVGGRQHSA-N 0 2 309.370 0.801 20 0 DCADLN CC[N@H+]1CC[C@H]1C(=O)N[C@@H](CC(C)C)c1nc(C(=O)[O-])n[nH]1 ZINC001573777676 1163550405 /nfs/dbraw/zinc/55/04/05/1163550405.db2.gz GMUYWMRVDPKGOO-UWVGGRQHSA-N 0 2 309.370 0.801 20 0 DCADLN COC(=O)c1ccc(C[NH2+]Cc2nc(C(=O)[O-])n[nH]2)cc1C ZINC001573940462 1163593737 /nfs/dbraw/zinc/59/37/37/1163593737.db2.gz AQPMITXDCSNQBP-UHFFFAOYSA-N 0 2 304.306 0.888 20 0 DCADLN Cc1cc(NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)n(CC(=O)[O-])n1 ZINC001574141384 1163647165 /nfs/dbraw/zinc/64/71/65/1163647165.db2.gz MFXPBGPFDZCKTD-NXEZZACHSA-N 0 2 303.322 0.752 20 0 DCADLN O=C([O-])C[C@H](O)C(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC001574360767 1163704056 /nfs/dbraw/zinc/70/40/56/1163704056.db2.gz CDXVHQRCSGRWDD-ZDUSSCGKSA-N 0 2 303.318 0.383 20 0 DCADLN Cc1cc(C(=O)N[C@H](CO)c2c[nH+]cn2C)ccc1C(=O)[O-] ZINC001574678670 1163740406 /nfs/dbraw/zinc/74/04/06/1163740406.db2.gz LYRYXPLWHHCAFY-GFCCVEGCSA-N 0 2 303.318 0.890 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nc(C)n1 ZINC001575311501 1163970037 /nfs/dbraw/zinc/97/00/37/1163970037.db2.gz LEPLBAXWGBMSTQ-SECBINFHSA-N 0 2 322.262 0.840 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)nc(C)n1 ZINC001575311501 1163970042 /nfs/dbraw/zinc/97/00/42/1163970042.db2.gz LEPLBAXWGBMSTQ-SECBINFHSA-N 0 2 322.262 0.840 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)nc1)C1=NN(C)CC1=O ZINC001575326707 1163976115 /nfs/dbraw/zinc/97/61/15/1163976115.db2.gz MSIXVLVHYPGVNW-SSDOTTSWSA-N 0 2 314.309 0.192 20 0 DCADLN O=C(COCC1CCCC1)NC[C@H]1C[C@H]([NH2+]Cc2c[nH]nn2)C1 ZINC001577952458 1164859098 /nfs/dbraw/zinc/85/90/98/1164859098.db2.gz RUOPBZUCACKYGL-HDJSIYSDSA-N 0 2 321.425 0.996 20 0 DCADLN CO[C@H]1CCCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001579616310 1165360190 /nfs/dbraw/zinc/36/01/90/1165360190.db2.gz FDMLTGRFKUSIES-VIFPVBQESA-N 0 2 318.337 0.527 20 0 DCADLN COCC[C@H](C)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001579775671 1165392907 /nfs/dbraw/zinc/39/29/07/1165392907.db2.gz FOCVMJBNXUBNIY-QMMMGPOBSA-N 0 2 320.353 0.678 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC001580601197 1165651635 /nfs/dbraw/zinc/65/16/35/1165651635.db2.gz JQFWZEKEXHBBJS-QXFUBDJGSA-N 0 2 318.337 0.571 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1Cc2ccncc2C1 ZINC001580600947 1165651662 /nfs/dbraw/zinc/65/16/62/1165651662.db2.gz SDXREGZDPYKAPI-UHFFFAOYSA-N 0 2 323.316 0.827 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CCC[C@@H]1O ZINC001580605673 1165654083 /nfs/dbraw/zinc/65/40/83/1165654083.db2.gz CLTSEWGAOOCMCD-SCZZXKLOSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCc1ccn(C)c1 ZINC001580605743 1165654989 /nfs/dbraw/zinc/65/49/89/1165654989.db2.gz HEHGOJNIIMKWSS-UHFFFAOYSA-N 0 2 313.321 0.544 20 0 DCADLN O=C(NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)[C@@H]1C[NH2+]Cc2ccccc21 ZINC001580686700 1165687099 /nfs/dbraw/zinc/68/70/99/1165687099.db2.gz LXMBBEAQXRABFA-HLLBOEOZSA-N 0 2 315.417 0.853 20 0 DCADLN Cc1cnc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)cn1 ZINC001581320534 1165813334 /nfs/dbraw/zinc/81/33/34/1165813334.db2.gz KDUGYMXIVLULSV-UHFFFAOYSA-N 0 2 309.333 0.664 20 0 DCADLN O=C(NCc1cncc(-c2nn[nH]n2)c1)c1[nH]nc2c1CCC2 ZINC001582558252 1166043642 /nfs/dbraw/zinc/04/36/42/1166043642.db2.gz OGQUPQPILHUURC-UHFFFAOYSA-N 0 2 310.321 0.404 20 0 DCADLN C[C@@](CNC(=O)C=C1CCC1)(NC(=O)[C@@H]1CNC(=O)N1)C1CC1 ZINC001582655887 1166062782 /nfs/dbraw/zinc/06/27/82/1166062782.db2.gz JYCZUSMNEJSFGG-LRDDRELGSA-N 0 2 320.393 0.179 20 0 DCADLN O=C([C@@H]1C[C@H]1c1cccc(-c2nn[nH]n2)c1)N1CCC[C@H]1CO ZINC001582659927 1166062839 /nfs/dbraw/zinc/06/28/39/1166062839.db2.gz LAUWPGDOYPVHMI-MELADBBJSA-N 0 2 313.361 0.954 20 0 DCADLN CNC(=O)CC(=O)NC[C@](C)(NC(=O)[C@@H](C)C(C)C)C1CC1 ZINC001582663463 1166062958 /nfs/dbraw/zinc/06/29/58/1166062958.db2.gz MDNRGPGDAJVQAN-ZBEGNZNMSA-N 0 2 311.426 0.816 20 0 DCADLN C/C=C(\C)C(=O)NC[C@](C)(NC(=O)[C@H]1CCC(=O)N1C)C1CC1 ZINC001582655537 1166063052 /nfs/dbraw/zinc/06/30/52/1166063052.db2.gz DJSPDPROPBAWPR-YUTNOWHCSA-N 0 2 321.421 0.975 20 0 DCADLN COc1ccc2onc(CC(=O)NCc3n[nH]c(=O)[nH]3)c2c1 ZINC001587727672 1166511879 /nfs/dbraw/zinc/51/18/79/1166511879.db2.gz VQRIFQJRBMMVTF-UHFFFAOYSA-N 0 2 303.278 0.519 20 0 DCADLN CC(C)CO[C@H]1CCN(Cn2cccc(-c3nn[nH]n3)c2=O)C1 ZINC001589640174 1166671112 /nfs/dbraw/zinc/67/11/12/1166671112.db2.gz CMYIHXDRDCIOCH-LBPRGKRZSA-N 0 2 318.381 0.733 20 0 DCADLN C[C@@H]1CCC[C@H](Cn2cnc3ncc(-c4nn[nH]n4)c(=O)n32)C1 ZINC001590240153 1166824484 /nfs/dbraw/zinc/82/44/84/1166824484.db2.gz CWSWUOVWMCMFCK-ZJUUUORDSA-N 0 2 314.353 0.897 20 0 DCADLN C[C@H]1CCC[C@@H](Nc2c(-c3nn[nH]n3)c(=O)n(C)c(=O)n2C)C1 ZINC001590256395 1166830209 /nfs/dbraw/zinc/83/02/09/1166830209.db2.gz ZTXDMKWJICDDQX-DTWKUNHWSA-N 0 2 319.369 0.255 20 0 DCADLN CC[C@H](C)[C@@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590398997 1166897651 /nfs/dbraw/zinc/89/76/51/1166897651.db2.gz GYQGAVOGVSTCEF-JGVFFNPUSA-N 0 2 307.358 0.111 20 0 DCADLN CCCC1CCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CC1 ZINC001590573172 1166946457 /nfs/dbraw/zinc/94/64/57/1166946457.db2.gz ZJSKFXZAGVAIDU-UHFFFAOYSA-N 0 2 319.369 0.270 20 0 DCADLN CCc1nc(CNc2cc(-c3nn[nH]n3)nc(C3CC3)n2)n[nH]1 ZINC001590914017 1167049663 /nfs/dbraw/zinc/04/96/63/1167049663.db2.gz WTTXOAKLEMUTFX-UHFFFAOYSA-N 0 2 312.341 0.827 20 0 DCADLN CCc1nn(Cc2ncccn2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929820 1167053226 /nfs/dbraw/zinc/05/32/26/1167053226.db2.gz XUNJXJWMZVAKIT-UHFFFAOYSA-N 0 2 312.337 0.387 20 0 DCADLN CCc1nn(Cc2cnc(C)o2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929758 1167053558 /nfs/dbraw/zinc/05/35/58/1167053558.db2.gz RWLCAFWACJZPKQ-UHFFFAOYSA-N 0 2 315.337 0.893 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CCOC[C@H]2CCCO2)c1 ZINC001591836590 1167390863 /nfs/dbraw/zinc/39/08/63/1167390863.db2.gz CJZKJOOUJRMAAR-LLVKDONJSA-N 0 2 305.338 0.532 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2nc(C(C)C)no2)c1 ZINC001591836940 1167390979 /nfs/dbraw/zinc/39/09/79/1167390979.db2.gz OVMTVYIUNCMMEC-UHFFFAOYSA-N 0 2 301.310 0.892 20 0 DCADLN Cc1cc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)sn1 ZINC001591976949 1167428118 /nfs/dbraw/zinc/42/81/18/1167428118.db2.gz FJFWONTXEHOWEO-UHFFFAOYSA-N 0 2 303.351 0.853 20 0 DCADLN Cc1ccn(Cc2ccc(Cl)nn2)c(=O)c1-c1nn[nH]n1 ZINC001592265536 1167480445 /nfs/dbraw/zinc/48/04/45/1167480445.db2.gz FCPXYQNECMFKQI-UHFFFAOYSA-N 0 2 303.713 0.829 20 0 DCADLN Cc1nn(CC(=O)c2cccn2C)c(=O)c(-c2nn[nH]n2)c1C ZINC001592438645 1167522675 /nfs/dbraw/zinc/52/26/75/1167522675.db2.gz IVXDMJDDLJYYOI-UHFFFAOYSA-N 0 2 313.321 0.262 20 0 DCADLN Cc1nn(CCOCC(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437318 1167523187 /nfs/dbraw/zinc/52/31/87/1167523187.db2.gz SBXIOFITJWRBQB-UHFFFAOYSA-N 0 2 300.269 0.322 20 0 DCADLN Cc1nn(C[C@@H]2C[C@H]3CCC[C@H]3O2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436680 1167523338 /nfs/dbraw/zinc/52/33/38/1167523338.db2.gz ZFCYMNNMLQFGSP-GRYCIOLGSA-N 0 2 316.365 0.998 20 0 DCADLN Cc1nc([C@H](C)NC(=O)c2csc(-c3nn[nH]n3)c2)n[nH]1 ZINC001592440972 1167525250 /nfs/dbraw/zinc/52/52/50/1167525250.db2.gz JREPXYOKLYDRPF-YFKPBYRVSA-N 0 2 304.339 0.846 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)NC1(CO)CCOCC1 ZINC001592499680 1167539678 /nfs/dbraw/zinc/53/96/78/1167539678.db2.gz QJVKPQWQBPDZCB-UHFFFAOYSA-N 0 2 307.310 0.039 20 0 DCADLN O=c1[nH]nc2n1CCN(Cc1csc(-c3nn[nH]n3)c1)C2 ZINC001593489934 1167870835 /nfs/dbraw/zinc/87/08/35/1167870835.db2.gz OWUNZAVJJBJZKW-UHFFFAOYSA-N 0 2 304.339 0.241 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCO[C@@H](CCF)C1 ZINC001593492943 1167875388 /nfs/dbraw/zinc/87/53/88/1167875388.db2.gz REQLBZHJJGRFAL-JTQLQIEISA-N 0 2 308.317 0.046 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1csc(C2CC2)n1 ZINC001593495560 1167880025 /nfs/dbraw/zinc/88/00/25/1167880025.db2.gz ITICMQZECMRWHK-UHFFFAOYSA-N 0 2 301.335 0.806 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N[C@H]2CC=C(C(=O)[O-])C2)c[nH+]1 ZINC001600160654 1168129603 /nfs/dbraw/zinc/12/96/03/1168129603.db2.gz ZMKOSLUGEIJDOB-ZDUSSCGKSA-N 0 2 317.345 0.604 20 0 DCADLN Cc1cc(C[N@@H+]2CCCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)ncn1 ZINC001600194864 1168136482 /nfs/dbraw/zinc/13/64/82/1168136482.db2.gz BSWZREQKIDDZIR-ZIAGYGMSSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1cc(C[N@H+]2CCCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)ncn1 ZINC001600194864 1168136484 /nfs/dbraw/zinc/13/64/84/1168136484.db2.gz BSWZREQKIDDZIR-ZIAGYGMSSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCC[N@H+](CC(N)=O)C2)cc1C(=O)[O-] ZINC001600336533 1168168685 /nfs/dbraw/zinc/16/86/85/1168168685.db2.gz KYRCVYBZIXXKBH-LBPRGKRZSA-N 0 2 319.361 0.373 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](CC(N)=O)C2)cc1C(=O)[O-] ZINC001600336533 1168168688 /nfs/dbraw/zinc/16/86/88/1168168688.db2.gz KYRCVYBZIXXKBH-LBPRGKRZSA-N 0 2 319.361 0.373 20 0 DCADLN Cc1ccc(NCCS(=O)(=O)N2CCCC2)[nH+]c1C(=O)[O-] ZINC001600460578 1168187858 /nfs/dbraw/zinc/18/78/58/1168187858.db2.gz ZRRFOXVHBHXKGM-UHFFFAOYSA-N 0 2 313.379 0.926 20 0 DCADLN Cc1cccn2cc(CC(=O)N[C@H]3CCO[C@H]3C(=O)[O-])[nH+]c12 ZINC001600589490 1168204135 /nfs/dbraw/zinc/20/41/35/1168204135.db2.gz UQFMYWNPXSVAPD-WCQYABFASA-N 0 2 303.318 0.544 20 0 DCADLN Cc1ccn(C[C@H](C)C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001600596993 1168207099 /nfs/dbraw/zinc/20/70/99/1168207099.db2.gz AANBPVNRARGWNC-JQWIXIFHSA-N 0 2 305.338 0.294 20 0 DCADLN Cc1cn2ccc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])cc2n1 ZINC001600613838 1168213960 /nfs/dbraw/zinc/21/39/60/1168213960.db2.gz XFZGXMYZOWRAIU-LBPRGKRZSA-N 0 2 313.317 0.722 20 0 DCADLN Cc1cnc2c(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cnn2c1 ZINC001600624862 1168218165 /nfs/dbraw/zinc/21/81/65/1168218165.db2.gz JDYYSYPWZRRSFA-LLVKDONJSA-N 0 2 314.305 0.187 20 0 DCADLN Cc1cnc2c(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cnn2c1 ZINC001600624862 1168218171 /nfs/dbraw/zinc/21/81/71/1168218171.db2.gz JDYYSYPWZRRSFA-LLVKDONJSA-N 0 2 314.305 0.187 20 0 DCADLN Cc1csc(NC(=O)C(=O)NCc2c[nH+]cn2C)c1C(=O)[O-] ZINC001600661536 1168234025 /nfs/dbraw/zinc/23/40/25/1168234025.db2.gz GEIKDRQSVTZAMF-UHFFFAOYSA-N 0 2 322.346 0.743 20 0 DCADLN Cc1nc2c(nccc2C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])[nH]1 ZINC001600720516 1168262865 /nfs/dbraw/zinc/26/28/65/1168262865.db2.gz HGEMONZKNKINLK-JTQLQIEISA-N 0 2 314.305 0.415 20 0 DCADLN Cc1nc2c(nccc2C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])[nH]1 ZINC001600720516 1168262870 /nfs/dbraw/zinc/26/28/70/1168262870.db2.gz HGEMONZKNKINLK-JTQLQIEISA-N 0 2 314.305 0.415 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@H+](Cc3cn(CC(=O)[O-])nn3)C2)n1 ZINC001600813958 1168341150 /nfs/dbraw/zinc/34/11/50/1168341150.db2.gz RUYNIJLMSORMLD-NSHDSACASA-N 0 2 320.353 0.509 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@@H+](Cc3cn(CC(=O)[O-])nn3)C2)n1 ZINC001600813958 1168341157 /nfs/dbraw/zinc/34/11/57/1168341157.db2.gz RUYNIJLMSORMLD-NSHDSACASA-N 0 2 320.353 0.509 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)CC1(CC(=O)[O-])CCCC1 ZINC001600992922 1168550174 /nfs/dbraw/zinc/55/01/74/1168550174.db2.gz GJFPBYNHRJVYMR-NSHDSACASA-N 0 2 309.366 0.995 20 0 DCADLN Cn1nccc1[C@@H]1C[N@H+](C[C@H]2[C@@H](C(=O)[O-])C2(F)F)CCO1 ZINC001601038233 1168574385 /nfs/dbraw/zinc/57/43/85/1168574385.db2.gz QHWJJWAOFBYTLJ-LSJOCFKGSA-N 0 2 301.293 0.759 20 0 DCADLN Cn1nccc1[C@@H]1C[N@@H+](C[C@H]2[C@@H](C(=O)[O-])C2(F)F)CCO1 ZINC001601038233 1168574389 /nfs/dbraw/zinc/57/43/89/1168574389.db2.gz QHWJJWAOFBYTLJ-LSJOCFKGSA-N 0 2 301.293 0.759 20 0 DCADLN Nc1[nH+]cccc1CNC(=O)N[C@H]1C[C@H]2C[C@H](C(=O)[O-])C[C@H]21 ZINC001601222079 1168665448 /nfs/dbraw/zinc/66/54/48/1168665448.db2.gz XTFLIMBGHXLSEU-KXNHARMFSA-N 0 2 304.350 0.962 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Cc1ccncc1 ZINC001601294876 1168693330 /nfs/dbraw/zinc/69/33/30/1168693330.db2.gz NIICASARPDDCQS-GFCCVEGCSA-N 0 2 302.334 0.797 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)COCc1ccncc1 ZINC001601339317 1168712713 /nfs/dbraw/zinc/71/27/13/1168712713.db2.gz JNAUOYSNRKQOFO-GFCCVEGCSA-N 0 2 304.306 0.133 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)COCc1ccncc1 ZINC001601339317 1168712730 /nfs/dbraw/zinc/71/27/30/1168712730.db2.gz JNAUOYSNRKQOFO-GFCCVEGCSA-N 0 2 304.306 0.133 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc2c[nH]nc2c1 ZINC001601362958 1168720427 /nfs/dbraw/zinc/72/04/27/1168720427.db2.gz JUWVAKSKWRCKQQ-CYBMUJFWSA-N 0 2 313.317 0.572 20 0 DCADLN O=C([O-])[C@@H](F)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001601520315 1168772511 /nfs/dbraw/zinc/77/25/11/1168772511.db2.gz QACVDXJCKYEMPG-STQMWFEESA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](F)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001601520315 1168772515 /nfs/dbraw/zinc/77/25/15/1168772515.db2.gz QACVDXJCKYEMPG-STQMWFEESA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2[nH]c(=O)oc21 ZINC001601564715 1168782321 /nfs/dbraw/zinc/78/23/21/1168782321.db2.gz GVMICZYAWYDGTJ-JTQLQIEISA-N 0 2 316.273 0.682 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2[nH]c(=O)oc21 ZINC001601564715 1168782333 /nfs/dbraw/zinc/78/23/33/1168782333.db2.gz GVMICZYAWYDGTJ-JTQLQIEISA-N 0 2 316.273 0.682 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](Cc2cnn(-c3ccccc3)n2)CC1 ZINC001601683858 1168815609 /nfs/dbraw/zinc/81/56/09/1168815609.db2.gz DYUHSNOYNBFUGY-OAHLLOKOSA-N 0 2 316.361 0.925 20 0 DCADLN O=C([O-])[C@@H](c1cccc(Cl)c1)[N@@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001601693444 1168818435 /nfs/dbraw/zinc/81/84/35/1168818435.db2.gz IVLRGMUUHLSTJZ-GHMZBOCLSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@@H](c1cccc(Cl)c1)[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001601693444 1168818449 /nfs/dbraw/zinc/81/84/49/1168818449.db2.gz IVLRGMUUHLSTJZ-GHMZBOCLSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])C1(C[N@@H+]2CCOC3(CCS(=O)(=O)CC3)C2)CC1 ZINC001601709282 1168822740 /nfs/dbraw/zinc/82/27/40/1168822740.db2.gz ODUQQYCCSBAWDD-UHFFFAOYSA-N 0 2 303.380 0.131 20 0 DCADLN O=C([O-])C1(C[N@H+]2CCOC3(CCS(=O)(=O)CC3)C2)CC1 ZINC001601709282 1168822749 /nfs/dbraw/zinc/82/27/49/1168822749.db2.gz ODUQQYCCSBAWDD-UHFFFAOYSA-N 0 2 303.380 0.131 20 0 DCADLN O=C([O-])C1(O)C[NH+](C[C@H](O)COc2ccc3ccccc3c2)C1 ZINC001601722513 1168831137 /nfs/dbraw/zinc/83/11/37/1168831137.db2.gz DFNNRUDTYWRYGS-AWEZNQCLSA-N 0 2 317.341 0.711 20 0 DCADLN O=C([O-])C1=CC[C@@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)C1 ZINC001601734415 1168838647 /nfs/dbraw/zinc/83/86/47/1168838647.db2.gz KRLXCCIBLPWQSE-KGLIPLIRSA-N 0 2 323.393 0.666 20 0 DCADLN O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2CN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001601756250 1168853849 /nfs/dbraw/zinc/85/38/49/1168853849.db2.gz DCAYBBCLHYKGSQ-WOPDTQHZSA-N 0 2 313.379 0.398 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1Cc1cc(=O)[nH]c(-c2ccccc2)n1 ZINC001601772555 1168872887 /nfs/dbraw/zinc/87/28/87/1168872887.db2.gz LLIJPDQBTXBQJO-OLZOCXBDSA-N 0 2 315.329 0.869 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1Cc1cc(=O)[nH]c(-c2ccccc2)n1 ZINC001601772555 1168872896 /nfs/dbraw/zinc/87/28/96/1168872896.db2.gz LLIJPDQBTXBQJO-OLZOCXBDSA-N 0 2 315.329 0.869 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC1C[NH+](CCCOc2ccccc2)C1 ZINC001601783198 1168892854 /nfs/dbraw/zinc/89/28/54/1168892854.db2.gz WHUYMNWBVZMTES-HUUCEWRRSA-N 0 2 318.373 0.977 20 0 DCADLN O=C([O-])CC1(NC(=O)C(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC001601924551 1168935763 /nfs/dbraw/zinc/93/57/63/1168935763.db2.gz WECXPBYIHGBAFO-UHFFFAOYSA-N 0 2 302.290 0.396 20 0 DCADLN O=C([O-])CN(CCc1ccccc1)C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC001602056144 1168979840 /nfs/dbraw/zinc/97/98/40/1168979840.db2.gz IPRDANMWHUWYFV-CQSZACIVSA-N 0 2 303.318 0.599 20 0 DCADLN O=C([O-])Cc1cccc(NC(=O)N2CCn3c[nH+]cc3C2)n1 ZINC001602138191 1169001673 /nfs/dbraw/zinc/00/16/73/1169001673.db2.gz GRAPXXUFKLFFOI-UHFFFAOYSA-N 0 2 301.306 0.953 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)c1 ZINC001602149909 1169002852 /nfs/dbraw/zinc/00/28/52/1169002852.db2.gz CYYZTPNILSIUIL-RYUDHWBXSA-N 0 2 310.375 0.580 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2C[C@H](O)C[C@H]2c2ccccc2)nn1 ZINC001602161207 1169008085 /nfs/dbraw/zinc/00/80/85/1169008085.db2.gz UCKRUXVLIOMETB-KGLIPLIRSA-N 0 2 302.334 0.671 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2C[C@H](O)C[C@H]2c2ccccc2)nn1 ZINC001602161207 1169008090 /nfs/dbraw/zinc/00/80/90/1169008090.db2.gz UCKRUXVLIOMETB-KGLIPLIRSA-N 0 2 302.334 0.671 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CCOC3(CCOCC3)CC2)nn1 ZINC001602162777 1169010358 /nfs/dbraw/zinc/01/03/58/1169010358.db2.gz IRVAILGOZBEWMK-UHFFFAOYSA-N 0 2 310.354 0.134 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CCOC3(CCOCC3)CC2)nn1 ZINC001602162777 1169010367 /nfs/dbraw/zinc/01/03/67/1169010367.db2.gz IRVAILGOZBEWMK-UHFFFAOYSA-N 0 2 310.354 0.134 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)[C@H](O)c2c[nH+]c[nH]2)C[C@H]1c1ccccc1 ZINC001602181285 1169019519 /nfs/dbraw/zinc/01/95/19/1169019519.db2.gz YCFOGQLLKTYHCN-OUCADQQQSA-N 0 2 315.329 0.770 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001602201868 1169026555 /nfs/dbraw/zinc/02/65/55/1169026555.db2.gz PJVXOIVBDRJLRD-DGCLKSJQSA-N 0 2 322.365 0.788 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001602201868 1169026564 /nfs/dbraw/zinc/02/65/64/1169026564.db2.gz PJVXOIVBDRJLRD-DGCLKSJQSA-N 0 2 322.365 0.788 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@]12CCO[C@H]1CCCC2 ZINC001602208467 1169031527 /nfs/dbraw/zinc/03/15/27/1169031527.db2.gz FDNVLFAOCRZJDH-SLEUVZQESA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@]12CCO[C@H]1CCCC2 ZINC001602208467 1169031538 /nfs/dbraw/zinc/03/15/38/1169031538.db2.gz FDNVLFAOCRZJDH-SLEUVZQESA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])c1cc(C[NH2+]Cc2cccc(N3CCOCC3)n2)on1 ZINC001602261627 1169044920 /nfs/dbraw/zinc/04/49/20/1169044920.db2.gz WWPTZYNYBFDIAC-UHFFFAOYSA-N 0 2 318.333 0.894 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@@H+]2CCC[C@H]([C@@H]3CCOC3)C2)n1 ZINC001602313404 1169055822 /nfs/dbraw/zinc/05/58/22/1169055822.db2.gz FWPIFCTUMNHUNT-NWDGAFQWSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@H+]2CCC[C@H]([C@@H]3CCOC3)C2)n1 ZINC001602313404 1169055833 /nfs/dbraw/zinc/05/58/33/1169055833.db2.gz FWPIFCTUMNHUNT-NWDGAFQWSA-N 0 2 307.350 0.648 20 0 DCADLN CC(=O)CSCC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001603001948 1169228833 /nfs/dbraw/zinc/22/88/33/1169228833.db2.gz OTQQBGVLFWDDCR-JTQLQIEISA-N 0 2 302.396 0.316 20 0 DCADLN CC(=O)CSCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC001603001948 1169228841 /nfs/dbraw/zinc/22/88/41/1169228841.db2.gz OTQQBGVLFWDDCR-JTQLQIEISA-N 0 2 302.396 0.316 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+](C)Cc1cccc(=O)[nH]1 ZINC001603139272 1169252659 /nfs/dbraw/zinc/25/26/59/1169252659.db2.gz BVARRQRERIDZED-NSHDSACASA-N 0 2 321.377 0.931 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC001603139272 1169252667 /nfs/dbraw/zinc/25/26/67/1169252667.db2.gz BVARRQRERIDZED-NSHDSACASA-N 0 2 321.377 0.931 20 0 DCADLN C[C@H](C(=O)NCCc1ccccc1)[N@@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001603165915 1169264247 /nfs/dbraw/zinc/26/42/47/1169264247.db2.gz HPNMFZSXEHHUST-NFAWXSAZSA-N 0 2 320.389 0.908 20 0 DCADLN C[C@H](C(=O)NCCc1ccccc1)[N@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001603165915 1169264252 /nfs/dbraw/zinc/26/42/52/1169264252.db2.gz HPNMFZSXEHHUST-NFAWXSAZSA-N 0 2 320.389 0.908 20 0 DCADLN CC(C)(CS(=O)(=O)NCC(C)(C)n1cc[nH+]c1)C(=O)[O-] ZINC001603660776 1169346542 /nfs/dbraw/zinc/34/65/42/1169346542.db2.gz QGJDOEMJFSBRAV-UHFFFAOYSA-N 0 2 303.384 0.648 20 0 DCADLN CC[C@@H]1COCCN1C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604116624 1169496984 /nfs/dbraw/zinc/49/69/84/1169496984.db2.gz SCZITWIUUZHQKI-SECBINFHSA-N 0 2 318.337 0.527 20 0 DCADLN C[C@H](NC(=O)Cc1[nH]cc[nH+]1)[C@H](C)Nc1ncc(C(=O)[O-])cn1 ZINC001604277746 1169544635 /nfs/dbraw/zinc/54/46/35/1169544635.db2.gz RQGUDWDNANSFTI-IUCAKERBSA-N 0 2 318.337 0.446 20 0 DCADLN CCc1nn(C[C@H]2CCO[C@H]2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604580012 1169622420 /nfs/dbraw/zinc/62/24/20/1169622420.db2.gz UAZIJSIZRMDGAP-VHSXEESVSA-N 0 2 318.381 0.973 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCC(C)(C)O2)c1=O ZINC001604600802 1169626217 /nfs/dbraw/zinc/62/62/17/1169626217.db2.gz GVMCQTRIBMIXSQ-VIFPVBQESA-N 0 2 320.353 0.168 20 0 DCADLN CC1CCC(NC(=O)C[N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)CC1 ZINC001604704821 1169659717 /nfs/dbraw/zinc/65/97/17/1169659717.db2.gz WVAPOLHEIUFDKO-PPUFBPAQSA-N 0 2 323.393 0.987 20 0 DCADLN CC1CCC(NC(=O)C[N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)CC1 ZINC001604704821 1169659723 /nfs/dbraw/zinc/65/97/23/1169659723.db2.gz WVAPOLHEIUFDKO-PPUFBPAQSA-N 0 2 323.393 0.987 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)Nc2cc(C(=O)[O-])n(C)c2)CCO1 ZINC001604795303 1169685486 /nfs/dbraw/zinc/68/54/86/1169685486.db2.gz AMJFGRBXSVDYRU-JTQLQIEISA-N 0 2 310.354 0.566 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)Nc2cc(C(=O)[O-])n(C)c2)CCO1 ZINC001604795303 1169685487 /nfs/dbraw/zinc/68/54/87/1169685487.db2.gz AMJFGRBXSVDYRU-JTQLQIEISA-N 0 2 310.354 0.566 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CCO[C@@H]2C)c1 ZINC001604964654 1169764621 /nfs/dbraw/zinc/76/46/21/1169764621.db2.gz YRNTVSVVBWCTDG-RKDXNWHRSA-N 0 2 319.321 0.240 20 0 DCADLN CO[C@H](C)c1noc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)n1 ZINC001605006992 1169776328 /nfs/dbraw/zinc/77/63/28/1169776328.db2.gz PBIJUHIAAAKDGT-MRVPVSSYSA-N 0 2 317.309 0.476 20 0 DCADLN CCCc1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc(=O)[nH]1 ZINC001605370519 1169905942 /nfs/dbraw/zinc/90/59/42/1169905942.db2.gz YFOAWKUHZJQHGA-GFCCVEGCSA-N 0 2 318.333 0.819 20 0 DCADLN CCCc1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc(=O)[nH]1 ZINC001605370520 1169907002 /nfs/dbraw/zinc/90/70/02/1169907002.db2.gz YFOAWKUHZJQHGA-LBPRGKRZSA-N 0 2 318.333 0.819 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C[C@@H](C)O)C1CC1 ZINC001605505029 1169942142 /nfs/dbraw/zinc/94/21/42/1169942142.db2.gz SVHWJAARPVEMAZ-MRVPVSSYSA-N 0 2 318.337 0.261 20 0 DCADLN CCn1cc(CC[NH+]2CC(N(C)C(=O)[C@H]3C[C@H]3C(=O)[O-])C2)cn1 ZINC001605920353 1170026282 /nfs/dbraw/zinc/02/62/82/1170026282.db2.gz KZSBTEDXDKJLSJ-UONOGXRCSA-N 0 2 320.393 0.309 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)[C@@H](COC1CCC1)C(=O)[O-] ZINC001605977094 1170040426 /nfs/dbraw/zinc/04/04/26/1170040426.db2.gz MGUKIDNZOHHEIZ-WZRBSPASSA-N 0 2 307.350 0.994 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)[C@@H](COC1CCC1)C(=O)[O-] ZINC001605977094 1170040433 /nfs/dbraw/zinc/04/04/33/1170040433.db2.gz MGUKIDNZOHHEIZ-WZRBSPASSA-N 0 2 307.350 0.994 20 0 DCADLN Cc1nn(C[C@@H]2CCC[C@@H](O)C2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114517 1170079638 /nfs/dbraw/zinc/07/96/38/1170079638.db2.gz TWEJBHVOEMAJOY-GHMZBOCLSA-N 0 2 304.354 0.591 20 0 DCADLN C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1cc(F)ccc1C(=O)[O-] ZINC001606219193 1170120405 /nfs/dbraw/zinc/12/04/05/1170120405.db2.gz SPWVXINFTOSMII-VIFPVBQESA-N 0 2 302.327 0.506 20 0 DCADLN C[N@H+]1CC[C@H]1CNS(=O)(=O)c1cc(F)ccc1C(=O)[O-] ZINC001606219193 1170120407 /nfs/dbraw/zinc/12/04/07/1170120407.db2.gz SPWVXINFTOSMII-VIFPVBQESA-N 0 2 302.327 0.506 20 0 DCADLN C[N@@H+]1CCCC[C@H]1C(=O)O[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001606224981 1170123511 /nfs/dbraw/zinc/12/35/11/1170123511.db2.gz RPTZXROSKRNVTA-NWDGAFQWSA-N 0 2 312.366 0.480 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)O[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001606224981 1170123512 /nfs/dbraw/zinc/12/35/12/1170123512.db2.gz RPTZXROSKRNVTA-NWDGAFQWSA-N 0 2 312.366 0.480 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001606369838 1170172015 /nfs/dbraw/zinc/17/20/15/1170172015.db2.gz HYRDJAYNTAHJFL-GXSJLCMTSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001606369838 1170172019 /nfs/dbraw/zinc/17/20/19/1170172019.db2.gz HYRDJAYNTAHJFL-GXSJLCMTSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001606505899 1170223217 /nfs/dbraw/zinc/22/32/17/1170223217.db2.gz MQJJCNJNVFVPQG-CKYFFXLPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001606505899 1170223229 /nfs/dbraw/zinc/22/32/29/1170223229.db2.gz MQJJCNJNVFVPQG-CKYFFXLPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)c1cc(C(=O)C[N@@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)c(C)[nH]1 ZINC001606534945 1170229649 /nfs/dbraw/zinc/22/96/49/1170229649.db2.gz LXNYABMIIOZHNA-VHSXEESVSA-N 0 2 324.333 0.060 20 0 DCADLN COC(=O)c1cc(C(=O)C[N@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)c(C)[nH]1 ZINC001606534945 1170229651 /nfs/dbraw/zinc/22/96/51/1170229651.db2.gz LXNYABMIIOZHNA-VHSXEESVSA-N 0 2 324.333 0.060 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001606574770 1170236073 /nfs/dbraw/zinc/23/60/73/1170236073.db2.gz QDKVKCNHZZFRQG-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001606574770 1170236076 /nfs/dbraw/zinc/23/60/76/1170236076.db2.gz QDKVKCNHZZFRQG-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1cccc([C@H]([NH2+][C@H]2CCCN(C)C2=O)C(=O)[O-])c1 ZINC001606611453 1170242162 /nfs/dbraw/zinc/24/21/62/1170242162.db2.gz OYGUCKGZCGRPOC-STQMWFEESA-N 0 2 320.345 0.809 20 0 DCADLN O=C(NCCCc1nnc[nH]1)c1ccc(-c2nn[nH]n2)c(O)c1 ZINC001606775739 1170286564 /nfs/dbraw/zinc/28/65/64/1170286564.db2.gz DYONGPRZXUSQCD-UHFFFAOYSA-N 0 2 314.309 0.053 20 0 DCADLN O=C(NCCCc1nc[nH]n1)c1ccc(-c2nn[nH]n2)c(O)c1 ZINC001606775739 1170286570 /nfs/dbraw/zinc/28/65/70/1170286570.db2.gz DYONGPRZXUSQCD-UHFFFAOYSA-N 0 2 314.309 0.053 20 0 DCADLN O=C(Nc1n[nH]cc1-c1nn[nH]n1)c1ccc(-c2nnc[nH]2)cc1 ZINC001606893401 1170323391 /nfs/dbraw/zinc/32/33/91/1170323391.db2.gz QELAUBKSCGJHJV-UHFFFAOYSA-N 0 2 322.292 0.627 20 0 DCADLN O=C1CCCc2c1cn(Cc1ccno1)c(=O)c2-c1nn[nH]n1 ZINC001607042971 1170364532 /nfs/dbraw/zinc/36/45/32/1170364532.db2.gz DOARHLVOFFFRBK-UHFFFAOYSA-N 0 2 312.289 0.584 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@H+](CCS(N)(=O)=O)CC2 ZINC001607214688 1170415077 /nfs/dbraw/zinc/41/50/77/1170415077.db2.gz FWILNQOVUHBNNM-UHFFFAOYSA-N 0 2 314.363 0.040 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@@H+](CCS(N)(=O)=O)CC2 ZINC001607214688 1170415079 /nfs/dbraw/zinc/41/50/79/1170415079.db2.gz FWILNQOVUHBNNM-UHFFFAOYSA-N 0 2 314.363 0.040 20 0 DCADLN COc1ccc([C@H](NC(=O)C[NH+]2CC(C)(C)C2)C(=O)[O-])cc1O ZINC001607227394 1170416759 /nfs/dbraw/zinc/41/67/59/1170416759.db2.gz XJPIXCASUAKACN-AWEZNQCLSA-N 0 2 322.361 0.985 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1Cc1nnnn1CC1CCOCC1 ZINC001607445731 1170456210 /nfs/dbraw/zinc/45/62/10/1170456210.db2.gz DTTMIHGDOHKQKF-DGCLKSJQSA-N 0 2 323.397 0.785 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1Cc1nnnn1CC1CCOCC1 ZINC001607445731 1170456211 /nfs/dbraw/zinc/45/62/11/1170456211.db2.gz DTTMIHGDOHKQKF-DGCLKSJQSA-N 0 2 323.397 0.785 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@H](C)[C@@H]1CN(C)CC[N@@H+]1C ZINC001607491793 1170467273 /nfs/dbraw/zinc/46/72/73/1170467273.db2.gz XPRXGIGWXRJVMD-PWSUYJOCSA-N 0 2 322.409 0.694 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@H](C)[C@@H]1CN(C)CC[N@H+]1C ZINC001607491793 1170467278 /nfs/dbraw/zinc/46/72/78/1170467278.db2.gz XPRXGIGWXRJVMD-PWSUYJOCSA-N 0 2 322.409 0.694 20 0 DCADLN Cc1cccc(C)c1-n1nnnc1C[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001607844224 1170511890 /nfs/dbraw/zinc/51/18/90/1170511890.db2.gz PQJYUAUMWRHBBY-OAHLLOKOSA-N 0 2 317.349 0.301 20 0 DCADLN Cc1cccc(C)c1-n1nnnc1C[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001607844224 1170511894 /nfs/dbraw/zinc/51/18/94/1170511894.db2.gz PQJYUAUMWRHBBY-OAHLLOKOSA-N 0 2 317.349 0.301 20 0 DCADLN Cc1nc(C(=O)[O-])cn1CC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC001607946720 1170531761 /nfs/dbraw/zinc/53/17/61/1170531761.db2.gz ZIKLNSQZNSSTLS-UHFFFAOYSA-N 0 2 324.381 0.117 20 0 DCADLN Cc1ncc(C[NH+]2CC(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)cn1 ZINC001607978839 1170537959 /nfs/dbraw/zinc/53/79/59/1170537959.db2.gz TZNOWGOMPKNHKD-STQMWFEESA-N 0 2 304.350 0.054 20 0 DCADLN Cc1nc(N(C)C2CCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC001607986772 1170539859 /nfs/dbraw/zinc/53/98/59/1170539859.db2.gz LXSALOWVOJMIKT-QWHCGFSZSA-N 0 2 318.377 0.933 20 0 DCADLN Cc1oc(C[N@@H+]2CCC[C@@H](NS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001608048432 1170557761 /nfs/dbraw/zinc/55/77/61/1170557761.db2.gz ITQQKPIBCYXMPC-SNVBAGLBSA-N 0 2 316.379 0.800 20 0 DCADLN Cc1oc(C[N@H+]2CCC[C@@H](NS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001608048432 1170557768 /nfs/dbraw/zinc/55/77/68/1170557768.db2.gz ITQQKPIBCYXMPC-SNVBAGLBSA-N 0 2 316.379 0.800 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@H](C[C@H]1CCCOC1)C(=O)[O-] ZINC001608132365 1170586072 /nfs/dbraw/zinc/58/60/72/1170586072.db2.gz DQEBPNFZWMCICT-VXGBXAGGSA-N 0 2 309.366 0.739 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)Nc1nc2n(c1C(=O)[O-])CCC2 ZINC001608132187 1170586445 /nfs/dbraw/zinc/58/64/45/1170586445.db2.gz AAXWYFWHRLPLOG-UHFFFAOYSA-N 0 2 303.322 0.832 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](C(=O)[O-])c1cccnc1 ZINC001608136977 1170589544 /nfs/dbraw/zinc/58/95/44/1170589544.db2.gz LQRHFMRCGACBPG-WZRBSPASSA-N 0 2 300.318 0.861 20 0 DCADLN Cn1c[nH+]cc1CCOC(=O)CCCn1ccc(C(=O)[O-])n1 ZINC001608136703 1170590195 /nfs/dbraw/zinc/59/01/95/1170590195.db2.gz GRFIXDQFUPNDCB-UHFFFAOYSA-N 0 2 306.322 0.881 20 0 DCADLN Cn1nc(C2CC2)cc1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001608145365 1170594719 /nfs/dbraw/zinc/59/47/19/1170594719.db2.gz DZMWHGCSCFCUKT-LLVKDONJSA-N 0 2 303.322 0.377 20 0 DCADLN O=C(CC[C@@H]1C[C@H](C(=O)[O-])CO1)N[C@H]1CCn2cc[nH+]c2C1 ZINC001608313493 1170648477 /nfs/dbraw/zinc/64/84/77/1170648477.db2.gz RQGKYUVBEVGBTM-SDDRHHMPSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1cnn2ccccc12 ZINC001608369605 1170660923 /nfs/dbraw/zinc/66/09/23/1170660923.db2.gz CEZSNTPZKXFOOV-GFCCVEGCSA-N 0 2 313.317 0.343 20 0 DCADLN O=C([O-])C1(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)CCOCC1 ZINC001608429964 1170677215 /nfs/dbraw/zinc/67/72/15/1170677215.db2.gz JZOJIUJMLOIHHS-LLVKDONJSA-N 0 2 307.350 0.443 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc2c(cc1O)OCO2 ZINC001608486775 1170689170 /nfs/dbraw/zinc/68/91/70/1170689170.db2.gz GXWZCAZRMIDLSH-SECBINFHSA-N 0 2 319.273 0.270 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc2c(cc1O)OCO2 ZINC001608486775 1170689173 /nfs/dbraw/zinc/68/91/73/1170689173.db2.gz GXWZCAZRMIDLSH-SECBINFHSA-N 0 2 319.273 0.270 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1csc(NC2CC2)n1 ZINC001608486671 1170689409 /nfs/dbraw/zinc/68/94/09/1170689409.db2.gz GAYGZEZZICVIOS-SECBINFHSA-N 0 2 321.362 0.866 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1csc(NC2CC2)n1 ZINC001608486671 1170689412 /nfs/dbraw/zinc/68/94/12/1170689412.db2.gz GAYGZEZZICVIOS-SECBINFHSA-N 0 2 321.362 0.866 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001608543229 1170698757 /nfs/dbraw/zinc/69/87/57/1170698757.db2.gz JNDKFRNKZXZMBC-YPMHNXCESA-N 0 2 319.292 0.595 20 0 DCADLN O=C([O-])C1=NO[C@]2(CC[N@H+](CC(=O)N3CCCCCC3)C2)C1 ZINC001608590052 1170709415 /nfs/dbraw/zinc/70/94/15/1170709415.db2.gz VZXHDHGAJZGFQX-OAHLLOKOSA-N 0 2 309.366 0.694 20 0 DCADLN O=C([O-])C1=NO[C@]2(CC[N@@H+](CC(=O)N3CCCCCC3)C2)C1 ZINC001608590052 1170709418 /nfs/dbraw/zinc/70/94/18/1170709418.db2.gz VZXHDHGAJZGFQX-OAHLLOKOSA-N 0 2 309.366 0.694 20 0 DCADLN O=C([O-])[C@@H]1CCN2C(=O)N(CCCn3cc[nH+]c3)C(=O)[C@@H]2C1 ZINC001608640728 1170728278 /nfs/dbraw/zinc/72/82/78/1170728278.db2.gz YWDOFYMURXPDLC-MNOVXSKESA-N 0 2 306.322 0.401 20 0 DCADLN O=C([O-])[C@@H]1CCN2C(=O)N([C@@H]3CCn4cc[nH+]c4C3)C(=O)[C@@H]2C1 ZINC001608640364 1170728654 /nfs/dbraw/zinc/72/86/54/1170728654.db2.gz RWSVVNLSRGEROO-MXWKQRLJSA-N 0 2 318.333 0.325 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([NH+]2CCC(c3nc[nH]n3)CC2)C1=O ZINC001608723738 1170744417 /nfs/dbraw/zinc/74/44/17/1170744417.db2.gz XXYSJJJRGJCALE-LLVKDONJSA-N 0 2 307.354 0.060 20 0 DCADLN O=C([O-])c1c(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)nc2n1CCC2 ZINC001608830037 1170763252 /nfs/dbraw/zinc/76/32/52/1170763252.db2.gz YACLLMMGGNWPFM-SECBINFHSA-N 0 2 315.333 0.925 20 0 DCADLN CC(C)(C)c1nc(CNc2ccc(-c3nn[nH]n3)nn2)n[nH]1 ZINC001609081931 1170801860 /nfs/dbraw/zinc/80/18/60/1170801860.db2.gz VZBHLSGTYMIVGJ-UHFFFAOYSA-N 0 2 300.330 0.684 20 0 DCADLN CO[C@@H]1CC[N@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C[C@@H]1C ZINC001609314888 1170929135 /nfs/dbraw/zinc/92/91/35/1170929135.db2.gz WKYGHKOXFRHJJP-NWDGAFQWSA-N 0 2 318.381 0.651 20 0 DCADLN CO[C@@H]1CC[N@@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C[C@@H]1C ZINC001609314888 1170929136 /nfs/dbraw/zinc/92/91/36/1170929136.db2.gz WKYGHKOXFRHJJP-NWDGAFQWSA-N 0 2 318.381 0.651 20 0 DCADLN Cc1ccn(CC(=O)N2CCC[C@H](C)C2)c(=O)c1-c1nn[nH]n1 ZINC001609437785 1170977538 /nfs/dbraw/zinc/97/75/38/1170977538.db2.gz ZOVHHLHHFFTGNQ-JTQLQIEISA-N 0 2 316.365 0.595 20 0 DCADLN C[C@@H](c1nc(N)nc(Cl)n1)[N@@H+]1CCSC[C@@H](C(=O)[O-])C1 ZINC001609809384 1171090264 /nfs/dbraw/zinc/09/02/64/1171090264.db2.gz CVVXZIZOTHBZOQ-BQBZGAKWSA-N 0 2 317.802 0.918 20 0 DCADLN C[C@@H](c1nc(N)nc(Cl)n1)[N@H+]1CCSC[C@@H](C(=O)[O-])C1 ZINC001609809384 1171090273 /nfs/dbraw/zinc/09/02/73/1171090273.db2.gz CVVXZIZOTHBZOQ-BQBZGAKWSA-N 0 2 317.802 0.918 20 0 DCADLN CCCC[C@H](C(=O)[O-])[N@@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001609964281 1171128469 /nfs/dbraw/zinc/12/84/69/1171128469.db2.gz YFBIFOPLUXKLHY-YPMHNXCESA-N 0 2 305.396 0.519 20 0 DCADLN CCCC[C@H](C(=O)[O-])[N@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001609964281 1171128473 /nfs/dbraw/zinc/12/84/73/1171128473.db2.gz YFBIFOPLUXKLHY-YPMHNXCESA-N 0 2 305.396 0.519 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC001609993095 1171132152 /nfs/dbraw/zinc/13/21/52/1171132152.db2.gz XNWSHCJQTRKZRD-UHFFFAOYSA-N 0 2 319.361 0.924 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC001609993095 1171132153 /nfs/dbraw/zinc/13/21/53/1171132153.db2.gz XNWSHCJQTRKZRD-UHFFFAOYSA-N 0 2 319.361 0.924 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)Cc1cccc(C(=O)[O-])c1 ZINC001610014425 1171139501 /nfs/dbraw/zinc/13/95/01/1171139501.db2.gz NGGGFNWEXWTMJQ-ZDUSSCGKSA-N 0 2 320.345 0.291 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCn1ccccc1=O ZINC001610170777 1171185089 /nfs/dbraw/zinc/18/50/89/1171185089.db2.gz XTPCTGZQKKGFDI-VXGBXAGGSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCn1ccccc1=O ZINC001610170777 1171185091 /nfs/dbraw/zinc/18/50/91/1171185091.db2.gz XTPCTGZQKKGFDI-VXGBXAGGSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)Cc1occc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610187905 1171191447 /nfs/dbraw/zinc/19/14/47/1171191447.db2.gz UVOLECNQYIBPKB-JTQLQIEISA-N 0 2 321.289 0.144 20 0 DCADLN COC(=O)Cc1occc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610187905 1171191449 /nfs/dbraw/zinc/19/14/49/1171191449.db2.gz UVOLECNQYIBPKB-JTQLQIEISA-N 0 2 321.289 0.144 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccnc(C(=O)[O-])c1 ZINC001610190654 1171193015 /nfs/dbraw/zinc/19/30/15/1171193015.db2.gz PCUGUMHRKLTBHO-NSHDSACASA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccnc(C(=O)[O-])c1 ZINC001610190654 1171193025 /nfs/dbraw/zinc/19/30/25/1171193025.db2.gz PCUGUMHRKLTBHO-NSHDSACASA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1occc1C[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001610220815 1171200509 /nfs/dbraw/zinc/20/05/09/1171200509.db2.gz PUDBJBDYQKBQDI-JTQLQIEISA-N 0 2 320.305 0.803 20 0 DCADLN COC(=O)c1occc1C[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001610220815 1171200518 /nfs/dbraw/zinc/20/05/18/1171200518.db2.gz PUDBJBDYQKBQDI-JTQLQIEISA-N 0 2 320.305 0.803 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+](CCO)[C@H]2CCO[C@@H]2C)c(C)c1C(=O)[O-] ZINC001610396674 1171229414 /nfs/dbraw/zinc/22/94/14/1171229414.db2.gz CFKBQLCUJIDZOK-NEPJUHHUSA-N 0 2 324.377 0.984 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+](CCO)[C@H]2CCO[C@@H]2C)c(C)c1C(=O)[O-] ZINC001610396674 1171229418 /nfs/dbraw/zinc/22/94/18/1171229418.db2.gz CFKBQLCUJIDZOK-NEPJUHHUSA-N 0 2 324.377 0.984 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CSCC(F)(F)F ZINC001610671893 1171288421 /nfs/dbraw/zinc/28/84/21/1171288421.db2.gz RGTCIOXKPIAVML-ZETCQYMHSA-N 0 2 311.285 0.817 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CSCC(F)(F)F ZINC001610671893 1171288425 /nfs/dbraw/zinc/28/84/25/1171288425.db2.gz RGTCIOXKPIAVML-ZETCQYMHSA-N 0 2 311.285 0.817 20 0 DCADLN COCCn1cc(NS(=O)(=O)c2cnc(Cl)nc2)cn1 ZINC000038108474 1171450541 /nfs/dbraw/zinc/45/05/41/1171450541.db2.gz QMVITTKXNQQNMP-UHFFFAOYSA-N 0 2 317.758 0.774 20 0 DCADLN O=C(NOC1CCOCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001625711597 1171477772 /nfs/dbraw/zinc/47/77/72/1171477772.db2.gz AQXLZJLDQSHUAR-UHFFFAOYSA-N 0 2 320.305 0.107 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1ncnc2[nH]ccc21 ZINC001633539625 1171646699 /nfs/dbraw/zinc/64/66/99/1171646699.db2.gz OILZWALBUNFUPQ-UHFFFAOYSA-N 0 2 307.269 0.851 20 0 DCADLN O=C(c1cnc(Cl)cn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001638685008 1171803770 /nfs/dbraw/zinc/80/37/70/1171803770.db2.gz LEQIIZIBSHTXRJ-ZETCQYMHSA-N 0 2 308.729 0.974 20 0 DCADLN CCCOc1ccnc(CC(=O)NCCc2n[nH]c(=S)o2)n1 ZINC001639104978 1171820927 /nfs/dbraw/zinc/82/09/27/1171820927.db2.gz ZLRBMIMECPHULK-UHFFFAOYSA-N 0 2 323.378 0.839 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccnc(-n2cccn2)c1 ZINC001639104628 1171821372 /nfs/dbraw/zinc/82/13/72/1171821372.db2.gz UDRNFIGHGBXEAC-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN Cc1nc(-c2ccccc2)[nH]c1C(=O)NCc1nnc(CO)[nH]1 ZINC001641007597 1171902902 /nfs/dbraw/zinc/90/29/02/1171902902.db2.gz RQKXTZXBCGKVJH-UHFFFAOYSA-N 0 2 312.333 0.926 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccnc(-n2ccnc2)c1 ZINC001642456603 1171947369 /nfs/dbraw/zinc/94/73/69/1171947369.db2.gz OFOFDWCKOAWKBS-UHFFFAOYSA-N 0 2 312.289 0.399 20 0 DCADLN CC[C@](COC)(NCC(=O)NOCc1ccccc1)C(=O)OC ZINC001643329589 1171971817 /nfs/dbraw/zinc/97/18/17/1171971817.db2.gz QQZAPZLRTCQKEC-MRXNPFEDSA-N 0 2 324.377 0.792 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cccc(C(N)=O)c2C)cnn1C ZINC001645798073 1172146151 /nfs/dbraw/zinc/14/61/51/1172146151.db2.gz MRCCDJNITDXIKH-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN COCCCC(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001647050066 1172649290 /nfs/dbraw/zinc/64/92/90/1172649290.db2.gz CWNDFFSDXUVLTO-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN CC(C)c1nnc(CNC(=O)C[C@H]2SC(=N)NC2=O)n1C ZINC001647142625 1172699469 /nfs/dbraw/zinc/69/94/69/1172699469.db2.gz ZMPXYFVRRCWYTN-SSDOTTSWSA-N 0 2 310.383 0.111 20 0 DCADLN Cn1cccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1=O ZINC001647207456 1172742428 /nfs/dbraw/zinc/74/24/28/1172742428.db2.gz ACJYIIZBJYUWRJ-VIFPVBQESA-N 0 2 303.322 0.229 20 0 DCADLN COC[C@@H](COC(=O)Cc1c(C)nc2cc[nH]n2c1=O)OC ZINC001647266249 1172775208 /nfs/dbraw/zinc/77/52/08/1172775208.db2.gz KSIKGLCJPYOVRE-JTQLQIEISA-N 0 2 309.322 0.078 20 0 DCADLN Cc1nc(C)c(C[NH+]2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)o1 ZINC001647408664 1172868554 /nfs/dbraw/zinc/86/85/54/1172868554.db2.gz HOJQBSZCAAJNCZ-HNNXBMFYSA-N 0 2 309.366 0.653 20 0 DCADLN CC(C)Cn1cc(C(=O)NN2CC(=O)NC2=O)c(C(F)F)n1 ZINC001648445374 1173074476 /nfs/dbraw/zinc/07/44/76/1173074476.db2.gz PKFXUANYWCNBKP-UHFFFAOYSA-N 0 2 315.280 0.673 20 0 DCADLN COc1cc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)ccc1O ZINC001648597312 1173078159 /nfs/dbraw/zinc/07/81/59/1173078159.db2.gz HHYNPVBFPUBRGV-UHFFFAOYSA-N 0 2 306.322 0.516 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001649853479 1173261403 /nfs/dbraw/zinc/26/14/03/1173261403.db2.gz KMSPVPNJZFEFDI-CBAPKCEASA-N 0 2 319.276 0.303 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001649853479 1173261405 /nfs/dbraw/zinc/26/14/05/1173261405.db2.gz KMSPVPNJZFEFDI-CBAPKCEASA-N 0 2 319.276 0.303 20 0 DCADLN COCc1nnc(CNC(=O)NC[C@H](C)c2ccccn2)[nH]1 ZINC001649892153 1173286793 /nfs/dbraw/zinc/28/67/93/1173286793.db2.gz PRNAQUSNWRCHJM-JTQLQIEISA-N 0 2 304.354 0.949 20 0 DCADLN C[C@](CCF)(NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC001650021960 1173383522 /nfs/dbraw/zinc/38/35/22/1173383522.db2.gz FNBWZTBEHQKPKG-CQSZACIVSA-N 0 2 319.377 0.599 20 0 DCADLN C[C@](CCF)(NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC001650021960 1173383519 /nfs/dbraw/zinc/38/35/19/1173383519.db2.gz FNBWZTBEHQKPKG-CQSZACIVSA-N 0 2 319.377 0.599 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1nc2cnccc2s1 ZINC001654365921 1173804206 /nfs/dbraw/zinc/80/42/06/1173804206.db2.gz HLQYCALWZKCGTC-UHFFFAOYSA-N 0 2 304.335 0.878 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccc[nH]1 ZINC001669799800 1174951833 /nfs/dbraw/zinc/95/18/33/1174951833.db2.gz BJLOKLMDDXWXFI-OMMCCPJFSA-N 0 2 304.354 0.488 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccc[nH]1 ZINC001669799800 1174951838 /nfs/dbraw/zinc/95/18/38/1174951838.db2.gz BJLOKLMDDXWXFI-OMMCCPJFSA-N 0 2 304.354 0.488 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCCO1)C(F)C(F)(F)F ZINC001686876171 1176239390 /nfs/dbraw/zinc/23/93/90/1176239390.db2.gz ALRYIEHJCMJFIQ-HTQZYQBOSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCCO1)[C@@H](F)C(F)(F)F ZINC001686876171 1176239395 /nfs/dbraw/zinc/23/93/95/1176239395.db2.gz ALRYIEHJCMJFIQ-HTQZYQBOSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(CC1CCOCC1)NCCNC(=O)C(F)C(F)(F)F ZINC001703136726 1179330394 /nfs/dbraw/zinc/33/03/94/1179330394.db2.gz QVDHJEAIXUSIDP-SNVBAGLBSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(CC1CCOCC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703136726 1179330403 /nfs/dbraw/zinc/33/04/03/1179330403.db2.gz QVDHJEAIXUSIDP-SNVBAGLBSA-N 0 2 314.279 0.936 20 0 DCADLN CCC1(C(=O)NCCNC(=O)Cc2[nH]c[nH+]c2C)CCOCC1 ZINC001703278572 1179438963 /nfs/dbraw/zinc/43/89/63/1179438963.db2.gz VARKOIADFHXEAR-UHFFFAOYSA-N 0 2 322.409 0.700 20 0 DCADLN CO[C@H](C)C(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC001703592350 1179606926 /nfs/dbraw/zinc/60/69/26/1179606926.db2.gz DTKMCEJEIANXCV-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@H](C)C(=O)N(C)CCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703592350 1179606927 /nfs/dbraw/zinc/60/69/27/1179606927.db2.gz DTKMCEJEIANXCV-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@H](C)C(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC001703592352 1179608526 /nfs/dbraw/zinc/60/85/26/1179608526.db2.gz DTKMCEJEIANXCV-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@H](C)C(=O)N(C)CCCNC(=O)[C@H](F)C(F)(F)F ZINC001703592352 1179608528 /nfs/dbraw/zinc/60/85/28/1179608528.db2.gz DTKMCEJEIANXCV-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN C[N@H+](CCNC(=O)c1cnc2cc[nH]c2c1)Cc1n[nH]c(=O)[n-]1 ZINC001713457364 1180837256 /nfs/dbraw/zinc/83/72/56/1180837256.db2.gz XZAYGFRYVBDITK-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnc2cc[nH]c2c1)Cc1n[nH]c(=O)[n-]1 ZINC001713457364 1180837267 /nfs/dbraw/zinc/83/72/67/1180837267.db2.gz XZAYGFRYVBDITK-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN CCOCCC(=O)N(CC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001715035182 1181662808 /nfs/dbraw/zinc/66/28/08/1181662808.db2.gz AJYLRIUZZHUGSR-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCOCCC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001715035182 1181662812 /nfs/dbraw/zinc/66/28/12/1181662812.db2.gz AJYLRIUZZHUGSR-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCOCC(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001721784445 1184036260 /nfs/dbraw/zinc/03/62/60/1184036260.db2.gz LDWGKPSEWJQSQF-CDAZIORVSA-N 0 2 300.252 0.712 20 0 DCADLN CCOCC(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001721784445 1184036267 /nfs/dbraw/zinc/03/62/67/1184036267.db2.gz LDWGKPSEWJQSQF-CDAZIORVSA-N 0 2 300.252 0.712 20 0 DCADLN Cc1c[nH]nc1C(=O)NCCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001737131145 1187257182 /nfs/dbraw/zinc/25/71/82/1187257182.db2.gz SWPZTHWIIXTIGD-UHFFFAOYSA-N 0 2 304.354 0.262 20 0 DCADLN O=C(NCCNC(=O)C1CCOCC1)C(F)C(F)(F)F ZINC001740458989 1187905874 /nfs/dbraw/zinc/90/58/74/1187905874.db2.gz VBYWHYWECAODCN-QMMMGPOBSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCNC(=O)C1CCOCC1)[C@H](F)C(F)(F)F ZINC001740458989 1187905875 /nfs/dbraw/zinc/90/58/75/1187905875.db2.gz VBYWHYWECAODCN-QMMMGPOBSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cnsn1 ZINC001740490856 1187926742 /nfs/dbraw/zinc/92/67/42/1187926742.db2.gz ZMQGUDPSYQTCCF-YFKPBYRVSA-N 0 2 300.237 0.285 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cnsn1 ZINC001740490856 1187926743 /nfs/dbraw/zinc/92/67/43/1187926743.db2.gz ZMQGUDPSYQTCCF-YFKPBYRVSA-N 0 2 300.237 0.285 20 0 DCADLN C[C@@H]1CCC[N@@H+]1CC(=O)NCCNC(=O)CCc1c[nH+]c[nH]1 ZINC001742534756 1188001851 /nfs/dbraw/zinc/00/18/51/1188001851.db2.gz OSWPNVVBOLVPKK-GFCCVEGCSA-N 0 2 307.398 0.059 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)N(C)CC[NH2+]Cc2cnc(C)o2)C1 ZINC001753272492 1188555341 /nfs/dbraw/zinc/55/53/41/1188555341.db2.gz ONUIIUOSKHPFCG-AWEZNQCLSA-N 0 2 310.398 0.252 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ccc(F)cn1 ZINC001757968513 1189646169 /nfs/dbraw/zinc/64/61/69/1189646169.db2.gz ZAUPUEIAUUORFO-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1ccc(F)cn1 ZINC001757968513 1189646173 /nfs/dbraw/zinc/64/61/73/1189646173.db2.gz ZAUPUEIAUUORFO-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN CC(=O)N[C@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758118284 1189732947 /nfs/dbraw/zinc/73/29/47/1189732947.db2.gz FUTKOCVNQXSQQM-HTRCEHHLSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)N[C@H](C)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758118284 1189732948 /nfs/dbraw/zinc/73/29/48/1189732948.db2.gz FUTKOCVNQXSQQM-HTRCEHHLSA-N 0 2 315.267 0.034 20 0 DCADLN CNC(=O)Cc1ccccc1NS(=O)(=O)c1ccnn1C ZINC001771597482 1190434024 /nfs/dbraw/zinc/43/40/24/1190434024.db2.gz YTYMNFBDIWUIFN-UHFFFAOYSA-N 0 2 308.363 0.509 20 0 DCADLN CO[C@H]1C[C@@H](CNC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC001771828603 1190517428 /nfs/dbraw/zinc/51/74/28/1190517428.db2.gz WNCAZPGXWXAWQN-PHIMTYICSA-N 0 2 304.350 0.415 20 0 DCADLN CC(C)(O)[C@H]([NH3+])C(=O)N1CCC[N@H+](Cc2ccc(F)cc2)CC1 ZINC001772220302 1190633289 /nfs/dbraw/zinc/63/32/89/1190633289.db2.gz WGLWOUPNEFMNEK-OAHLLOKOSA-N 0 2 323.412 0.958 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nnc(C(C)(C)C)[nH]2)c1[O-] ZINC001125734769 747543465 /nfs/dbraw/zinc/54/34/65/747543465.db2.gz ZSRWAAUYALESHS-UHFFFAOYSA-N 0 2 321.385 0.359 20 0 DCADLN C[C@@H]1CC[C@@H](CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043562552 752289059 /nfs/dbraw/zinc/28/90/59/752289059.db2.gz IFWZQSDOJKAYNN-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)c1[nH]ccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043590806 752302497 /nfs/dbraw/zinc/30/24/97/752302497.db2.gz NUUWLDBLQDSKDM-UHFFFAOYSA-N 0 2 318.381 0.918 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2coc(C)n2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087550190 748965387 /nfs/dbraw/zinc/96/53/87/748965387.db2.gz NLCZJPFIRJSSOI-GXSJLCMTSA-N 0 2 320.353 0.590 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2coc(C)n2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087550190 748965391 /nfs/dbraw/zinc/96/53/91/748965391.db2.gz NLCZJPFIRJSSOI-GXSJLCMTSA-N 0 2 320.353 0.590 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)Cc2nnc[nH]2)CC[N@@H+]1Cc1cc(C)no1 ZINC001087789063 749050250 /nfs/dbraw/zinc/05/02/50/749050250.db2.gz WXLZGZCVWZVVRQ-QWHCGFSZSA-N 0 2 318.381 0.813 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)no1 ZINC001088458752 749466341 /nfs/dbraw/zinc/46/63/41/749466341.db2.gz NIUJICMCDAQPEH-BDAKNGLRSA-N 0 2 306.326 0.200 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)n1cccn1 ZINC001088477050 749483474 /nfs/dbraw/zinc/48/34/74/749483474.db2.gz MKYFWSKKWUCQCK-VWYCJHECSA-N 0 2 319.369 0.047 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)n1cccn1 ZINC001088477050 749483478 /nfs/dbraw/zinc/48/34/78/749483478.db2.gz MKYFWSKKWUCQCK-VWYCJHECSA-N 0 2 319.369 0.047 20 0 DCADLN CNC(=O)C[N@H+]1CC[C@H](NC(=O)C(C)(C)[NH+]2CCCC2)[C@H]1C ZINC001088791710 750024310 /nfs/dbraw/zinc/02/43/10/750024310.db2.gz XHKFNUMQPFQHFN-OLZOCXBDSA-N 0 2 310.442 0.186 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CC3(CC3)C2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088848311 750640778 /nfs/dbraw/zinc/64/07/78/750640778.db2.gz QXWNJQCVUFSHGT-KOLCDFICSA-N 0 2 305.382 0.780 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CC3(CC3)C2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088848311 750640782 /nfs/dbraw/zinc/64/07/82/750640782.db2.gz QXWNJQCVUFSHGT-KOLCDFICSA-N 0 2 305.382 0.780 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@H]2C2CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088911494 750722771 /nfs/dbraw/zinc/72/27/71/750722771.db2.gz ULINEBBOZZAROS-KLHWPWHYSA-N 0 2 305.382 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@H]2C2CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088911494 750722777 /nfs/dbraw/zinc/72/27/77/750722777.db2.gz ULINEBBOZZAROS-KLHWPWHYSA-N 0 2 305.382 0.635 20 0 DCADLN COc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)o1 ZINC001088938635 750738189 /nfs/dbraw/zinc/73/81/89/750738189.db2.gz YQCSYDNRZDISKU-BDAKNGLRSA-N 0 2 321.337 0.505 20 0 DCADLN C[C@@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001043843056 752415610 /nfs/dbraw/zinc/41/56/10/752415610.db2.gz IREMFIOOFLUOTR-SECBINFHSA-N 0 2 305.338 0.550 20 0 DCADLN CN(C(=O)[C@H]1CC12CCCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044140259 752591471 /nfs/dbraw/zinc/59/14/71/752591471.db2.gz SCMYWAROUYUMAO-LLVKDONJSA-N 0 2 305.382 0.733 20 0 DCADLN CCC(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC2(CCOCC2)C1 ZINC001089913825 752624274 /nfs/dbraw/zinc/62/42/74/752624274.db2.gz HLZTUNMEVMTPRT-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CCC(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC2(CCOCC2)C1 ZINC001089913825 752624277 /nfs/dbraw/zinc/62/42/77/752624277.db2.gz HLZTUNMEVMTPRT-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001044200843 752624624 /nfs/dbraw/zinc/62/46/24/752624624.db2.gz OGAZUEIWZDDMJX-JTQLQIEISA-N 0 2 316.365 0.352 20 0 DCADLN CN(C(=O)c1ccc(Cl)cn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044210971 752632110 /nfs/dbraw/zinc/63/21/10/752632110.db2.gz ZTGVTHLSSZOZCF-UHFFFAOYSA-N 0 2 322.756 0.515 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2n[nH]cc2F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071800258 762145846 /nfs/dbraw/zinc/14/58/46/762145846.db2.gz TWPBZCPGBIZQKO-YUMQZZPRSA-N 0 2 323.332 0.155 20 0 DCADLN Cc1ccc(CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001044334736 752695362 /nfs/dbraw/zinc/69/53/62/752695362.db2.gz SUOCBLNOELBBCG-UHFFFAOYSA-N 0 2 321.406 0.766 20 0 DCADLN Cc1n[nH]c(C(=O)NC2(C)CC[NH+](Cc3cnon3)CC2)c1[O-] ZINC001045408099 753181579 /nfs/dbraw/zinc/18/15/79/753181579.db2.gz GRUZYIKXIYCMJY-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccncn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071905138 762214205 /nfs/dbraw/zinc/21/42/05/762214205.db2.gz VCIZYOJUFZQHMR-ZJUUUORDSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1ccoc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046136168 753502608 /nfs/dbraw/zinc/50/26/08/753502608.db2.gz OUQGPFPMXSUMLC-CQSZACIVSA-N 0 2 305.338 0.806 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)Cc2ccco2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071916869 762226749 /nfs/dbraw/zinc/22/67/49/762226749.db2.gz RRVLIJAPHIPXDA-QWRGUYRKSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)Cc2ccco2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071916869 762226753 /nfs/dbraw/zinc/22/67/53/762226753.db2.gz RRVLIJAPHIPXDA-QWRGUYRKSA-N 0 2 319.365 0.815 20 0 DCADLN O=C(CC1CCCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001090029989 753741623 /nfs/dbraw/zinc/74/16/23/753741623.db2.gz HUANDPHMOUKZRG-RYUDHWBXSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046639248 753845300 /nfs/dbraw/zinc/84/53/00/753845300.db2.gz LEDDNBGBDBIKAZ-YYHMBLRTSA-N 0 2 321.381 0.158 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046639248 753845313 /nfs/dbraw/zinc/84/53/13/753845313.db2.gz LEDDNBGBDBIKAZ-YYHMBLRTSA-N 0 2 321.381 0.158 20 0 DCADLN Cc1nn(C)cc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nnc[nH]1)C2 ZINC001096199034 754341178 /nfs/dbraw/zinc/34/11/78/754341178.db2.gz DFAPKTILMNHMAB-AGIUHOORSA-N 0 2 315.381 0.382 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C(F)F)CCO1)C(F)C(F)(F)F ZINC001064727251 755476811 /nfs/dbraw/zinc/47/68/11/755476811.db2.gz ABUVPAYIDJNBIZ-NTSWFWBYSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C(F)F)CCO1)[C@@H](F)C(F)(F)F ZINC001064727251 755476814 /nfs/dbraw/zinc/47/68/14/755476814.db2.gz ABUVPAYIDJNBIZ-NTSWFWBYSA-N 0 2 322.205 0.496 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001079900897 756032665 /nfs/dbraw/zinc/03/26/65/756032665.db2.gz AYMPQROHTGJABR-MRVPVSSYSA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001079900897 756032671 /nfs/dbraw/zinc/03/26/71/756032671.db2.gz AYMPQROHTGJABR-MRVPVSSYSA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001079900940 756033524 /nfs/dbraw/zinc/03/35/24/756033524.db2.gz CEYIHZHMRDJBFI-SSDOTTSWSA-N 0 2 323.250 0.378 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001079900940 756033528 /nfs/dbraw/zinc/03/35/28/756033528.db2.gz CEYIHZHMRDJBFI-SSDOTTSWSA-N 0 2 323.250 0.378 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CC1CCC1 ZINC001081485359 756656293 /nfs/dbraw/zinc/65/62/93/756656293.db2.gz RMHGAJNEBIVJHO-GHMZBOCLSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1ocnc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084221421 757743733 /nfs/dbraw/zinc/74/37/33/757743733.db2.gz VJUBSTKFLYPBMC-NXEZZACHSA-N 0 2 318.337 0.153 20 0 DCADLN C[C@@H](C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1CC1 ZINC001084258984 757763805 /nfs/dbraw/zinc/76/38/05/757763805.db2.gz CIMYOQIAXKAYRS-YUSALJHKSA-N 0 2 305.382 0.589 20 0 DCADLN O=C([C@@H]1C[C@H]1C1CC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084713486 757983492 /nfs/dbraw/zinc/98/34/92/757983492.db2.gz FKAMYIHYVUWRRQ-YVECIDJPSA-N 0 2 317.393 0.589 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC=CCC1 ZINC001050811199 758103007 /nfs/dbraw/zinc/10/30/07/758103007.db2.gz ACEKNZLWRULPOY-RYUDHWBXSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1)C(F)F ZINC001065236251 758300116 /nfs/dbraw/zinc/30/01/16/758300116.db2.gz BJLRGZSQZLDJOP-WDSKDSINSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1)C(F)F ZINC001065236251 758300125 /nfs/dbraw/zinc/30/01/25/758300125.db2.gz BJLRGZSQZLDJOP-WDSKDSINSA-N 0 2 322.205 0.496 20 0 DCADLN Cc1occc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085476316 758926231 /nfs/dbraw/zinc/92/62/31/758926231.db2.gz WYCNKOIXXOURGP-SNVBAGLBSA-N 0 2 305.338 0.758 20 0 DCADLN Cc1nnsc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085479438 758930418 /nfs/dbraw/zinc/93/04/18/758930418.db2.gz SXLNXAIKGMKSHY-QMMMGPOBSA-N 0 2 323.382 0.017 20 0 DCADLN O=C(NCCN(CCO)C(=O)C(F)C(F)(F)F)C(F)F ZINC001057830281 759573369 /nfs/dbraw/zinc/57/33/69/759573369.db2.gz CEGADDUHPRHUBD-RXMQYKEDSA-N 0 2 310.194 0.089 20 0 DCADLN O=C(NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F)C(F)F ZINC001057830281 759573372 /nfs/dbraw/zinc/57/33/72/759573372.db2.gz CEGADDUHPRHUBD-RXMQYKEDSA-N 0 2 310.194 0.089 20 0 DCADLN CC(C)(C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057832587 759574524 /nfs/dbraw/zinc/57/45/24/759574524.db2.gz SJRYNCVIJUBQMY-MRVPVSSYSA-N 0 2 316.295 0.870 20 0 DCADLN CC(C)(C)C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057832587 759574528 /nfs/dbraw/zinc/57/45/28/759574528.db2.gz SJRYNCVIJUBQMY-MRVPVSSYSA-N 0 2 316.295 0.870 20 0 DCADLN C[C@@]1(CNC(=O)[C@H]2CC23CC3)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001108240388 759662631 /nfs/dbraw/zinc/66/26/31/759662631.db2.gz GUWXRQTZFGQPNS-QMTHXVAHSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(CCCF)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058397063 759958194 /nfs/dbraw/zinc/95/81/94/759958194.db2.gz AVCSPXKWPQHPPK-VIFPVBQESA-N 0 2 320.258 0.574 20 0 DCADLN O=C(CCCF)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001058397063 759958202 /nfs/dbraw/zinc/95/82/02/759958202.db2.gz AVCSPXKWPQHPPK-VIFPVBQESA-N 0 2 320.258 0.574 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCN=S(C)(C)=O)cn1 ZINC001156236746 760519813 /nfs/dbraw/zinc/51/98/13/760519813.db2.gz AHMSGJUANMKGET-UHFFFAOYSA-N 0 2 310.383 0.268 20 0 DCADLN CCC[NH+](C)CC(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001148647162 768023651 /nfs/dbraw/zinc/02/36/51/768023651.db2.gz PFJVMDUBCVBLCU-UHFFFAOYSA-N 0 2 321.425 0.754 20 0 DCADLN CCC[NH+](C)CC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001148647116 768023845 /nfs/dbraw/zinc/02/38/45/768023845.db2.gz MZVYKKYOCJPNEU-UHFFFAOYSA-N 0 2 319.453 0.796 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001109676899 761502585 /nfs/dbraw/zinc/50/25/85/761502585.db2.gz AJRIDFLLRWIAGV-MPPDQPJWSA-N 0 2 323.397 0.403 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112658460 761764576 /nfs/dbraw/zinc/76/45/76/761764576.db2.gz GHOWWRHMPAKPGO-NSHDSACASA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccncc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071314978 761773488 /nfs/dbraw/zinc/77/34/88/761773488.db2.gz PLNDLWOTCANZTQ-ZYHUDNBSSA-N 0 2 316.365 0.688 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001071366956 761798184 /nfs/dbraw/zinc/79/81/84/761798184.db2.gz WFJKGZLVYTZRGV-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@H+](Cc1n[nH]c(=O)[n-]1)C2)c1cnco1 ZINC001098964556 762766795 /nfs/dbraw/zinc/76/67/95/762766795.db2.gz HSQDFJCXMJZALM-XPTSAGLGSA-N 0 2 318.337 0.283 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@@H+](Cc1n[nH]c(=O)[n-]1)C2)c1cnco1 ZINC001098964556 762766798 /nfs/dbraw/zinc/76/67/98/762766798.db2.gz HSQDFJCXMJZALM-XPTSAGLGSA-N 0 2 318.337 0.283 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnccn1)Nc1ncnc2nc[nH]c21 ZINC001115614824 765768697 /nfs/dbraw/zinc/76/86/97/765768697.db2.gz MUECOQOPFYFJOR-VIFPVBQESA-N 0 2 312.337 0.716 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnccn1)Nc1ncnc2[nH]cnc21 ZINC001115614824 765768699 /nfs/dbraw/zinc/76/86/99/765768699.db2.gz MUECOQOPFYFJOR-VIFPVBQESA-N 0 2 312.337 0.716 20 0 DCADLN Nc1cnnc(NNS(=O)(=O)C[C@@H]2CCCO2)c1Cl ZINC001116292781 765858047 /nfs/dbraw/zinc/85/80/47/765858047.db2.gz DTLCSDPSJRPTFI-LURJTMIESA-N 0 2 307.763 0.138 20 0 DCADLN O=C(Cn1cc[nH+]c1)N[C@H]1CC[N@H+](CCOC2CCC2)C[C@@H]1O ZINC001090847539 766663944 /nfs/dbraw/zinc/66/39/44/766663944.db2.gz XRZVORBFXMJIID-GJZGRUSLSA-N 0 2 322.409 0.004 20 0 DCADLN O=C(NCC[NH2+]Cc1cnsn1)c1ccc2oc(=O)nc-2[n-]1 ZINC001147706496 767829482 /nfs/dbraw/zinc/82/94/82/767829482.db2.gz YFJVKBDMQGZLMW-UHFFFAOYSA-N 0 2 320.334 0.300 20 0 DCADLN C[C@@H](C(=O)NCCc1n[nH]c(=S)o1)C(=O)OC(C)(C)C ZINC001138525197 768423064 /nfs/dbraw/zinc/42/30/64/768423064.db2.gz MVVJLLKWVCGJAO-ZETCQYMHSA-N 0 2 301.368 0.995 20 0 DCADLN COc1cccc(C[N@@H+]2CCCN(C)C(=O)C2)c1OCC(=O)[O-] ZINC001139268466 768464029 /nfs/dbraw/zinc/46/40/29/768464029.db2.gz ZDOQTXGTPATFCT-UHFFFAOYSA-N 0 2 322.361 0.823 20 0 DCADLN COc1cccc(C[N@H+]2CCCN(C)C(=O)C2)c1OCC(=O)[O-] ZINC001139268466 768464034 /nfs/dbraw/zinc/46/40/34/768464034.db2.gz ZDOQTXGTPATFCT-UHFFFAOYSA-N 0 2 322.361 0.823 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2[nH]c(C)c(C(=O)[O-])c2C)CCN1C ZINC001140496373 768534006 /nfs/dbraw/zinc/53/40/06/768534006.db2.gz CKIIUWRDVTZQJJ-LBPRGKRZSA-N 0 2 309.366 0.619 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2[nH]c(C)c(C(=O)[O-])c2C)CCN1C ZINC001140496373 768534007 /nfs/dbraw/zinc/53/40/07/768534007.db2.gz CKIIUWRDVTZQJJ-LBPRGKRZSA-N 0 2 309.366 0.619 20 0 DCADLN CCO[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001234335518 769628907 /nfs/dbraw/zinc/62/89/07/769628907.db2.gz VIZNDGDVTQJOMX-WCQYABFASA-N 0 2 323.397 0.358 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCN(CCF)CC1 ZINC001153855731 769965918 /nfs/dbraw/zinc/96/59/18/769965918.db2.gz KGUHPNYEPUJHKC-UHFFFAOYSA-N 0 2 304.325 0.650 20 0 DCADLN CC1(C)C(=O)NCCN1C(=O)c1c[nH]c2cccnc2c1=O ZINC001153856863 769966206 /nfs/dbraw/zinc/96/62/06/769966206.db2.gz YZAWCNURDASMEH-UHFFFAOYSA-N 0 2 300.318 0.274 20 0 DCADLN COC(=O)c1c2n[nH]cc2ccc1NC(=O)Cc1nn[nH]n1 ZINC001176844692 770214394 /nfs/dbraw/zinc/21/43/94/770214394.db2.gz GFCOWVVHIYUCQV-UHFFFAOYSA-N 0 2 301.266 0.044 20 0 DCADLN COC(=O)c1c2[nH]ncc2ccc1NC(=O)Cc1nn[nH]n1 ZINC001176844692 770214396 /nfs/dbraw/zinc/21/43/96/770214396.db2.gz GFCOWVVHIYUCQV-UHFFFAOYSA-N 0 2 301.266 0.044 20 0 DCADLN Cn1ccc(C(=O)NCC2([NH+]3CCCC3)COC2)c1CC(=O)[O-] ZINC001177370359 770418894 /nfs/dbraw/zinc/41/88/94/770418894.db2.gz NNQOMBVVFFSYQB-UHFFFAOYSA-N 0 2 321.377 0.247 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1c[nH]c2cc(=O)ccc-2c1 ZINC001155169101 770420553 /nfs/dbraw/zinc/42/05/53/770420553.db2.gz OJVILWWRQDHSJM-LBPRGKRZSA-N 0 2 303.299 0.979 20 0 DCADLN O=C(Cn1nn[n-]c1=S)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001177735486 770539175 /nfs/dbraw/zinc/53/91/75/770539175.db2.gz ITDXLMLQSSJJJH-UHFFFAOYSA-N 0 2 301.335 0.786 20 0 DCADLN CCCc1nc(C[NH2+]CCCNC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001156620194 770874806 /nfs/dbraw/zinc/87/48/06/770874806.db2.gz LOOGXNLXVVBDPA-UHFFFAOYSA-N 0 2 322.369 0.669 20 0 DCADLN O=C(COc1ccc(C[C@H]2SC(=O)NC2=O)cc1)NCCO ZINC001182543492 771508721 /nfs/dbraw/zinc/50/87/21/771508721.db2.gz WHKVZGYTKHAXLT-LLVKDONJSA-N 0 2 324.358 0.068 20 0 DCADLN CC(=O)N[C@H](CCc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001182795280 771549803 /nfs/dbraw/zinc/54/98/03/771549803.db2.gz ZLHVLBXJOBMVGD-GFCCVEGCSA-N 0 2 317.349 0.264 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H](O)Cc1c[nH]c2ccccc12 ZINC001184299528 771768882 /nfs/dbraw/zinc/76/88/82/771768882.db2.gz GHXFVZANXLEWMF-ZWNOBZJWSA-N 0 2 319.342 0.497 20 0 DCADLN CCOC(=O)C1(Nc2ncc3c(n2)C[NH2+]C3)CC[NH+](C)CC1 ZINC001158572786 771791505 /nfs/dbraw/zinc/79/15/05/771791505.db2.gz ZVSKHGUDIFHXJG-UHFFFAOYSA-N 0 2 305.382 0.519 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H]1CCOc2ccccc2O1 ZINC001184716209 771816742 /nfs/dbraw/zinc/81/67/42/771816742.db2.gz CFPOZOYCJCNECB-JOYOIKCWSA-N 0 2 308.315 0.642 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2ncn(C)c3ncnc2-3)n1 ZINC001185161359 771871092 /nfs/dbraw/zinc/87/10/92/771871092.db2.gz NJFCHPJRPZDRMV-UHFFFAOYSA-N 0 2 317.334 0.785 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2cc(O)cc(C(N)=O)c2)n1 ZINC001185187088 771875981 /nfs/dbraw/zinc/87/59/81/771875981.db2.gz WKDUFFRIJHGMCC-UHFFFAOYSA-N 0 2 320.330 0.961 20 0 DCADLN Cn1ncc(NS(=O)(=O)c2ccc(Cl)nc2)c1C(N)=O ZINC001185649225 771939307 /nfs/dbraw/zinc/93/93/07/771939307.db2.gz RKAJVQMPAGRCOB-UHFFFAOYSA-N 0 2 315.742 0.368 20 0 DCADLN CCOCCS(=O)(=O)Nc1cncc(OC)c1C(=O)OC ZINC001187256571 772164073 /nfs/dbraw/zinc/16/40/73/772164073.db2.gz JSSASPFCBXFAQE-UHFFFAOYSA-N 0 2 318.351 0.655 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(NCc2nnn3c2C[NH2+]CCC3)cc1 ZINC001162980096 772438947 /nfs/dbraw/zinc/43/89/47/772438947.db2.gz UYZVSLJSBSRPNK-UHFFFAOYSA-N 0 2 323.293 0.187 20 0 DCADLN O=[P@]([O-])(O)c1ccc(NCc2nnn3c2C[NH2+]CCC3)cc1 ZINC001162980096 772438948 /nfs/dbraw/zinc/43/89/48/772438948.db2.gz UYZVSLJSBSRPNK-UHFFFAOYSA-N 0 2 323.293 0.187 20 0 DCADLN Nc1nc(=O)c2sc(=O)[nH]c2n1-c1cncc2nc[nH]c21 ZINC001163047550 772450609 /nfs/dbraw/zinc/45/06/09/772450609.db2.gz BRPSPHRVKVRONA-UHFFFAOYSA-N 0 2 301.291 0.644 20 0 DCADLN COc1nc(S(=O)(=O)[N-]c2cc[nH+]c(CO)c2)ccc1F ZINC001190066144 772575785 /nfs/dbraw/zinc/57/57/85/772575785.db2.gz JGCDHULUGSQJSR-UHFFFAOYSA-N 0 2 313.310 0.917 20 0 DCADLN COc1nc(S(=O)(=O)Nc2ccnc(CO)c2)ccc1F ZINC001190066144 772575787 /nfs/dbraw/zinc/57/57/87/772575787.db2.gz JGCDHULUGSQJSR-UHFFFAOYSA-N 0 2 313.310 0.917 20 0 DCADLN COC(=O)[C@@H]1C[C@H](Nc2cccc(S(=O)(=O)[O-])c2)C[NH2+]1 ZINC001164308152 772643732 /nfs/dbraw/zinc/64/37/32/772643732.db2.gz APHQPUPIVQNKKR-ONGXEEELSA-N 0 2 300.336 0.249 20 0 DCADLN COc1ccc2nc(NS(=O)(=O)c3ncc[nH]3)nnc2c1 ZINC001190686211 772665033 /nfs/dbraw/zinc/66/50/33/772665033.db2.gz BMFHJPQKTCRLHU-UHFFFAOYSA-N 0 2 306.307 0.557 20 0 DCADLN CCOC(=O)[C@H](NS(=O)(=O)c1ccncc1)c1cnccn1 ZINC001190917123 772698540 /nfs/dbraw/zinc/69/85/40/772698540.db2.gz MXOHMPRPQKQKKS-GFCCVEGCSA-N 0 2 322.346 0.454 20 0 DCADLN COCCOc1cnc(NS(=O)(=O)C[C@@H]2CCCO2)cn1 ZINC001193205464 773023978 /nfs/dbraw/zinc/02/39/78/773023978.db2.gz IUHIOADAZLTMQC-JTQLQIEISA-N 0 2 317.367 0.423 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(N2CCOCC2)cc1F ZINC001194507948 773201190 /nfs/dbraw/zinc/20/11/90/773201190.db2.gz AZSSTYFBXYEGNA-UHFFFAOYSA-N 0 2 321.312 0.416 20 0 DCADLN COc1ncc(NS(=O)(=O)c2cccnc2OC)c(C)n1 ZINC001194679716 773230940 /nfs/dbraw/zinc/23/09/40/773230940.db2.gz SQPAMGVXPYLCCX-UHFFFAOYSA-N 0 2 310.335 0.998 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ncc(Br)s1 ZINC001195071179 773318592 /nfs/dbraw/zinc/31/85/92/773318592.db2.gz NBAKUVBMHBGSFY-ZCFIWIBFSA-N 0 2 322.165 0.945 20 0 DCADLN COCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001207991065 773362866 /nfs/dbraw/zinc/36/28/66/773362866.db2.gz DUMQCVZMVXVPGZ-NXEZZACHSA-N 0 2 311.386 0.119 20 0 DCADLN COCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001207991065 773362876 /nfs/dbraw/zinc/36/28/76/773362876.db2.gz DUMQCVZMVXVPGZ-NXEZZACHSA-N 0 2 311.386 0.119 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(F)(F)F ZINC001208344745 773439511 /nfs/dbraw/zinc/43/95/11/773439511.db2.gz GJAGSEKYVSFBOP-BWZBUEFSSA-N 0 2 321.303 0.645 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(F)(F)F ZINC001208344745 773439518 /nfs/dbraw/zinc/43/95/18/773439518.db2.gz GJAGSEKYVSFBOP-BWZBUEFSSA-N 0 2 321.303 0.645 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C)C(F)(F)F ZINC001208344745 773439523 /nfs/dbraw/zinc/43/95/23/773439523.db2.gz GJAGSEKYVSFBOP-BWZBUEFSSA-N 0 2 321.303 0.645 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN(C(=O)C[NH+](C)CC(C)(C)C)CC1 ZINC001197180365 773701241 /nfs/dbraw/zinc/70/12/41/773701241.db2.gz IXBOMAMIQWOPJP-UHFFFAOYSA-N 0 2 312.458 0.245 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccn(C2CCC2)c(=O)c1 ZINC001198760841 773976436 /nfs/dbraw/zinc/97/64/36/773976436.db2.gz YEWRBLCMNYLYHA-LBPRGKRZSA-N 0 2 307.331 0.612 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnc(=O)n(C2CCC2)c1 ZINC001198977446 774011208 /nfs/dbraw/zinc/01/12/08/774011208.db2.gz CWJMRJMMNAOJGI-JTQLQIEISA-N 0 2 308.319 0.007 20 0 DCADLN Nc1ccccc1S(=O)(=O)Nc1cnn(CC2OCCO2)c1 ZINC001201264486 774479807 /nfs/dbraw/zinc/47/98/07/774479807.db2.gz DHUFKTGTAASIFM-UHFFFAOYSA-N 0 2 324.362 0.639 20 0 DCADLN COC(=O)c1ccc(N)cc1S(=O)(=O)Nc1ccc(N)nc1 ZINC001201295840 774483946 /nfs/dbraw/zinc/48/39/46/774483946.db2.gz TXHWQHOEYJJKKR-UHFFFAOYSA-N 0 2 322.346 0.833 20 0 DCADLN COCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110812467 774787831 /nfs/dbraw/zinc/78/78/31/774787831.db2.gz YGIWHDSUTCZOPA-MXWKQRLJSA-N 0 2 323.397 0.404 20 0 DCADLN CC(C)[NH+](C)CC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001221089517 774992592 /nfs/dbraw/zinc/99/25/92/774992592.db2.gz JHMWFAJFUZELSZ-CFVMTHIKSA-N 0 2 321.425 0.039 20 0 DCADLN N=C(NC(=O)[C@@H](N)C(F)(F)F)c1cnc2ccc(F)cn21 ZINC001221323029 775068273 /nfs/dbraw/zinc/06/82/73/775068273.db2.gz RRMWODFWWHWNJA-MRVPVSSYSA-N 0 2 303.219 0.805 20 0 DCADLN O=C(NCCOC1CCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001222591429 775392996 /nfs/dbraw/zinc/39/29/96/775392996.db2.gz XWVSSZOPCZQFSY-SNVBAGLBSA-N 0 2 309.370 0.968 20 0 DCADLN CC[C@@H](C(=O)NCC1CC[NH+](Cc2cnon2)CC1)[NH+](C)C ZINC001224582872 775585445 /nfs/dbraw/zinc/58/54/45/775585445.db2.gz FBAQYCWTGVVFCP-AWEZNQCLSA-N 0 2 309.414 0.738 20 0 DCADLN CC1(C)C[C@H](CO[C@@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])O1 ZINC001224639884 775592233 /nfs/dbraw/zinc/59/22/33/775592233.db2.gz KMPYFHQVAIWBMM-NEPJUHHUSA-N 0 2 323.411 0.159 20 0 DCADLN COC(=O)CC(CC(=O)OC)Oc1nc(Cl)cc(=O)[nH]1 ZINC001225562485 775693562 /nfs/dbraw/zinc/69/35/62/775693562.db2.gz IKBMWCIKLJARCW-UHFFFAOYSA-N 0 2 304.686 0.709 20 0 DCADLN C[N@@H+]1CCO[C@@H](COc2ccc(O)cc2S(=O)(=O)[O-])C1 ZINC001226413200 775814458 /nfs/dbraw/zinc/81/44/58/775814458.db2.gz OWCLWCAAXFFHTE-SNVBAGLBSA-N 0 2 303.336 0.348 20 0 DCADLN C[N@H+]1CCO[C@@H](COc2ccc(O)cc2S(=O)(=O)[O-])C1 ZINC001226413200 775814468 /nfs/dbraw/zinc/81/44/68/775814468.db2.gz OWCLWCAAXFFHTE-SNVBAGLBSA-N 0 2 303.336 0.348 20 0 DCADLN O=c1c([O-])c(O[C@H]2COCC[N@H+](Cc3ccccc3)C2)c1=O ZINC001226606426 775844353 /nfs/dbraw/zinc/84/43/53/775844353.db2.gz MDBYKICSFPZGAM-GFCCVEGCSA-N 0 2 303.314 0.268 20 0 DCADLN O=c1c([O-])c(O[C@H]2COCC[N@@H+](Cc3ccccc3)C2)c1=O ZINC001226606426 775844361 /nfs/dbraw/zinc/84/43/61/775844361.db2.gz MDBYKICSFPZGAM-GFCCVEGCSA-N 0 2 303.314 0.268 20 0 DCADLN C[N@@H+]1CCO[C@H](COc2ccc(-n3nn[n-]c3=S)cc2)C1 ZINC001228438867 776082994 /nfs/dbraw/zinc/08/29/94/776082994.db2.gz RCOGIEIVLCPQFD-LBPRGKRZSA-N 0 2 307.379 0.660 20 0 DCADLN C[N@H+]1CCO[C@H](COc2ccc(-n3nn[n-]c3=S)cc2)C1 ZINC001228438867 776082999 /nfs/dbraw/zinc/08/29/99/776082999.db2.gz RCOGIEIVLCPQFD-LBPRGKRZSA-N 0 2 307.379 0.660 20 0 DCADLN CN1CC(Oc2nc(I)cc(=O)[nH]2)C1 ZINC001228949976 776140226 /nfs/dbraw/zinc/14/02/26/776140226.db2.gz LVNJIWCVXATSHO-UHFFFAOYSA-N 0 2 307.091 0.480 20 0 DCADLN CCOC(=O)C[C@@H](Oc1nc(C(=O)OC)c[nH]1)C(=O)OCC ZINC001230279184 776274443 /nfs/dbraw/zinc/27/44/43/776274443.db2.gz CVWNCEGGMGOWPN-SECBINFHSA-N 0 2 314.294 0.460 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)C1CCC1 ZINC001041113758 777067181 /nfs/dbraw/zinc/06/71/81/777067181.db2.gz SEZPXUFHSFWUPV-QMMMGPOBSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1)C1CCC1 ZINC001041113758 777067188 /nfs/dbraw/zinc/06/71/88/777067188.db2.gz SEZPXUFHSFWUPV-QMMMGPOBSA-N 0 2 312.263 0.376 20 0 DCADLN CC(F)(F)C(=O)N1CC[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001041887522 777568228 /nfs/dbraw/zinc/56/82/28/777568228.db2.gz MBHFQWSGHYPPMW-BDAKNGLRSA-N 0 2 315.324 0.588 20 0 DCADLN O=C(c1ccco1)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041902673 777576091 /nfs/dbraw/zinc/57/60/91/777576091.db2.gz FQRPAJDCJKPOHP-QWRGUYRKSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccco1)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041902673 777576096 /nfs/dbraw/zinc/57/60/96/777576096.db2.gz FQRPAJDCJKPOHP-QWRGUYRKSA-N 0 2 317.349 0.840 20 0 DCADLN Cc1cc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)C[C@@H]2C)oc1C(=O)[O-] ZINC001600293178 1168156428 /nfs/dbraw/zinc/15/64/28/1168156428.db2.gz HPTRCLMEDDAVPE-ZJUUUORDSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1cc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)C[C@@H]2C)oc1C(=O)[O-] ZINC001600293178 1168156435 /nfs/dbraw/zinc/15/64/35/1168156435.db2.gz HPTRCLMEDDAVPE-ZJUUUORDSA-N 0 2 316.379 0.999 20 0 DCADLN C[C@H](CO[C@H]1CCOC1)NCc1nc(-c2nn[nH]n2)cs1 ZINC001603569158 1169325253 /nfs/dbraw/zinc/32/52/53/1169325253.db2.gz LFFWAEWYIPTKHV-BDAKNGLRSA-N 0 2 310.383 0.607 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2occc2[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001267197063 939382027 /nfs/dbraw/zinc/38/20/27/939382027.db2.gz GJEHVSWXGQCXSH-UHFFFAOYSA-N 0 2 304.310 0.446 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2occc2[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001267197063 939382032 /nfs/dbraw/zinc/38/20/32/939382032.db2.gz GJEHVSWXGQCXSH-UHFFFAOYSA-N 0 2 304.310 0.446 20 0 DCADLN Cc1ncn(C)c1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001408590183 939396388 /nfs/dbraw/zinc/39/63/88/939396388.db2.gz LQBPFUOAJXKUCW-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1ncn(C)c1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001408590183 939396390 /nfs/dbraw/zinc/39/63/90/939396390.db2.gz LQBPFUOAJXKUCW-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN CCN(C(=O)C[N@H+](C)CCNC(=O)C[NH+]1CCCC1)C1CC1 ZINC001480947297 939467312 /nfs/dbraw/zinc/46/73/12/939467312.db2.gz PHDVMIUSNKYSIO-UHFFFAOYSA-N 0 2 310.442 0.141 20 0 DCADLN CC1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC=CC1 ZINC001481055634 939543224 /nfs/dbraw/zinc/54/32/24/939543224.db2.gz JYHAKVOSDSGVBS-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN CC1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC=CC1 ZINC001481055634 939543226 /nfs/dbraw/zinc/54/32/26/939543226.db2.gz JYHAKVOSDSGVBS-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN COCCC[C@@H](C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267695834 939629555 /nfs/dbraw/zinc/62/95/55/939629555.db2.gz JSSASPSHADVXMC-SNVBAGLBSA-N 0 2 311.386 0.121 20 0 DCADLN CC[C@H](CNC(=O)c1[nH]nc(C)c1C)[NH2+]CCS(C)(=O)=O ZINC001481797120 940140731 /nfs/dbraw/zinc/14/07/31/940140731.db2.gz JECVTHJJJZIZGB-LLVKDONJSA-N 0 2 316.427 0.169 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001482184313 940312286 /nfs/dbraw/zinc/31/22/86/940312286.db2.gz XQFRTVTUIQSFDO-FGUAACIASA-N 0 2 309.370 0.184 20 0 DCADLN CCNC(=O)CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001284256623 940339397 /nfs/dbraw/zinc/33/93/97/940339397.db2.gz OVANWOADAMBZCC-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN CCNC(=O)CC(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001284256623 940339401 /nfs/dbraw/zinc/33/94/01/940339401.db2.gz OVANWOADAMBZCC-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN Cc1cc(C[NH2+]C2(CNC(=O)c3nc[nH]n3)CCCC2)nn1C ZINC001482235224 940747932 /nfs/dbraw/zinc/74/79/32/940747932.db2.gz XHQMPAATVISPRO-UHFFFAOYSA-N 0 2 317.397 0.679 20 0 DCADLN Cc1nnc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)o1 ZINC001423093921 941300137 /nfs/dbraw/zinc/30/01/37/941300137.db2.gz MLJKGSKAPZVTMG-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nnc(CN2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)o1 ZINC001423093921 941300142 /nfs/dbraw/zinc/30/01/42/941300142.db2.gz MLJKGSKAPZVTMG-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1ccc2nc(C[N@@H+]3CCOC[C@@H]3CC(=O)[O-])cc(=O)n2c1 ZINC000321799977 970808298 /nfs/dbraw/zinc/80/82/98/970808298.db2.gz WRFFGRFLMMWGCX-ZDUSSCGKSA-N 0 2 317.345 0.678 20 0 DCADLN Cc1ccc2nc(C[N@H+]3CCOC[C@@H]3CC(=O)[O-])cc(=O)n2c1 ZINC000321799977 970808306 /nfs/dbraw/zinc/80/83/06/970808306.db2.gz WRFFGRFLMMWGCX-ZDUSSCGKSA-N 0 2 317.345 0.678 20 0 DCADLN Cc1nc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001483399013 942330737 /nfs/dbraw/zinc/33/07/37/942330737.db2.gz FJZRPLIJEUZXAR-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1nc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001483399013 942330742 /nfs/dbraw/zinc/33/07/42/942330742.db2.gz FJZRPLIJEUZXAR-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN CC(C)(F)C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001483624271 942574167 /nfs/dbraw/zinc/57/41/67/942574167.db2.gz KTPYUBYFXDGHQK-NSHDSACASA-N 0 2 321.356 0.461 20 0 DCADLN COCC1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCCC1 ZINC001483656925 942638132 /nfs/dbraw/zinc/63/81/32/942638132.db2.gz YDTNHMKLKHPSBW-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CCCC(C)(C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365670455 942858159 /nfs/dbraw/zinc/85/81/59/942858159.db2.gz JSDHHAVVBQJUHR-UHFFFAOYSA-N 0 2 317.415 0.727 20 0 DCADLN COC[C@@H](O)CCNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001413326894 942891949 /nfs/dbraw/zinc/89/19/49/942891949.db2.gz PVJSOTBKROWNLO-QMMMGPOBSA-N 0 2 324.786 0.881 20 0 DCADLN COC(C)(C)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001413428996 942973864 /nfs/dbraw/zinc/97/38/64/942973864.db2.gz TTWURYTZDLOVDI-UHFFFAOYSA-N 0 2 320.349 0.811 20 0 DCADLN C[C@H]1CCCCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413457078 942991136 /nfs/dbraw/zinc/99/11/36/942991136.db2.gz GKMUUJPGLGSNMJ-VIFPVBQESA-N 0 2 315.399 0.433 20 0 DCADLN COC(=O)[C@@H](F)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC001413553111 943071059 /nfs/dbraw/zinc/07/10/59/943071059.db2.gz SXUKEWOVTXGCTP-JTQLQIEISA-N 0 2 307.281 0.819 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)n1 ZINC001098008351 943110817 /nfs/dbraw/zinc/11/08/17/943110817.db2.gz SIUNPEUBBITNOX-BBBLOLIVSA-N 0 2 317.353 0.077 20 0 DCADLN C[C@]1(NC(=O)CCn2cccc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001484062635 943129195 /nfs/dbraw/zinc/12/91/95/943129195.db2.gz FJICNNGIDDZKPW-HNNXBMFYSA-N 0 2 318.381 0.483 20 0 DCADLN C[C@]1(NC(=O)CCn2cccc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001484062635 943129201 /nfs/dbraw/zinc/12/92/01/943129201.db2.gz FJICNNGIDDZKPW-HNNXBMFYSA-N 0 2 318.381 0.483 20 0 DCADLN C[C@@H](NC(=O)c1ncccc1S(C)(=O)=O)c1nn(C)cc1O ZINC001413766125 943673104 /nfs/dbraw/zinc/67/31/04/943673104.db2.gz RMTSMINPUPUCQN-MRVPVSSYSA-N 0 2 324.362 0.415 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)[C@H](C)[NH2+]Cc2cnsn2)c1[O-] ZINC001485140699 943726815 /nfs/dbraw/zinc/72/68/15/943726815.db2.gz UHZGPWMAACMSDU-NKWVEPMBSA-N 0 2 310.383 0.572 20 0 DCADLN CCc1nn(C)cc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485314618 943820061 /nfs/dbraw/zinc/82/00/61/943820061.db2.gz XYOWAKHJKOBSDV-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN CCc1nn(C)cc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485314618 943820065 /nfs/dbraw/zinc/82/00/65/943820065.db2.gz XYOWAKHJKOBSDV-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN COC[C@@H](C)CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491352951 943935082 /nfs/dbraw/zinc/93/50/82/943935082.db2.gz JTPXEOLWHUYOTR-CBAPKCEASA-N 0 2 302.268 0.792 20 0 DCADLN COC[C@@H](C)CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491352951 943935085 /nfs/dbraw/zinc/93/50/85/943935085.db2.gz JTPXEOLWHUYOTR-CBAPKCEASA-N 0 2 302.268 0.792 20 0 DCADLN C[C@H](C[S@@](C)=O)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001413779485 944086106 /nfs/dbraw/zinc/08/61/06/944086106.db2.gz OOHVYXZAZMWITP-VRNWYBPDSA-N 0 2 315.399 0.166 20 0 DCADLN C[N@H+](CCNC(=O)c1ncc(Cl)s1)Cc1n[nH]c(=O)[n-]1 ZINC001442487396 944113499 /nfs/dbraw/zinc/11/34/99/944113499.db2.gz SLMRAZXZLIOIKR-UHFFFAOYSA-N 0 2 316.774 0.482 20 0 DCADLN C[N@@H+](CCNC(=O)c1ncc(Cl)s1)Cc1n[nH]c(=O)[n-]1 ZINC001442487396 944113506 /nfs/dbraw/zinc/11/35/06/944113506.db2.gz SLMRAZXZLIOIKR-UHFFFAOYSA-N 0 2 316.774 0.482 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001281257984 944313784 /nfs/dbraw/zinc/31/37/84/944313784.db2.gz DECQLCXOKNVKRH-LSRCEVBISA-N 0 2 314.279 0.789 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001281257984 944313791 /nfs/dbraw/zinc/31/37/91/944313791.db2.gz DECQLCXOKNVKRH-LSRCEVBISA-N 0 2 314.279 0.789 20 0 DCADLN NC(=O)c1cn2c(n1)CN(C(=O)c1c(F)ccc(F)c1O)CC2 ZINC001276833158 944320517 /nfs/dbraw/zinc/32/05/17/944320517.db2.gz JMJAVCZGGBJVOQ-UHFFFAOYSA-N 0 2 322.271 0.622 20 0 DCADLN NC(=O)c1noc2c1CN(C(=O)c1cc(F)c(O)cc1F)CC2 ZINC001276858347 944331345 /nfs/dbraw/zinc/33/13/45/944331345.db2.gz HAZNBDPRURCVJZ-UHFFFAOYSA-N 0 2 323.255 0.956 20 0 DCADLN NC(=O)[C@@H]1CCC2(CN(C(=O)c3ccc(O)c(F)c3F)C2)O1 ZINC001276858144 944332799 /nfs/dbraw/zinc/33/27/99/944332799.db2.gz BYTADBOTJJMEJK-VIFPVBQESA-N 0 2 312.272 0.529 20 0 DCADLN COCCC(=O)N[C@@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001487137020 945539218 /nfs/dbraw/zinc/53/92/18/945539218.db2.gz DDZYGTUCXYBNNE-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N[C@@H](C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001487137020 945539233 /nfs/dbraw/zinc/53/92/33/945539233.db2.gz DDZYGTUCXYBNNE-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C(=O)C(C)(C)C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001278505318 945598525 /nfs/dbraw/zinc/59/85/25/945598525.db2.gz IYEKSHPUSNAOTA-NXEZZACHSA-N 0 2 323.397 0.595 20 0 DCADLN CC(=O)N[C@H]1CC[C@H](NS(=O)(=O)CC(F)(F)F)CC1 ZINC001253255818 946102090 /nfs/dbraw/zinc/10/20/90/946102090.db2.gz WJDCHWQQIIJHKO-KYZUINATSA-N 0 2 302.318 0.915 20 0 DCADLN CCS(=O)(=O)Nc1ncc(C(=O)OC)cc1C(=O)OC ZINC001253290411 946105149 /nfs/dbraw/zinc/10/51/49/946105149.db2.gz OVYPFIVFQHMLDH-UHFFFAOYSA-N 0 2 302.308 0.416 20 0 DCADLN CC(C)OCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001410341860 946218387 /nfs/dbraw/zinc/21/83/87/946218387.db2.gz QZWMBVCTDLLAIC-CBAPKCEASA-N 0 2 302.268 0.933 20 0 DCADLN CC(C)OCC(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001410341860 946218402 /nfs/dbraw/zinc/21/84/02/946218402.db2.gz QZWMBVCTDLLAIC-CBAPKCEASA-N 0 2 302.268 0.933 20 0 DCADLN Cn1ncc(NS(=O)(=O)c2ccccc2Cl)c1C(N)=O ZINC001256246921 946601575 /nfs/dbraw/zinc/60/15/75/946601575.db2.gz QSRBMTSVXDVDAI-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC001259024455 946897869 /nfs/dbraw/zinc/89/78/69/946897869.db2.gz RHZFIKWAFIUKMJ-UHFFFAOYSA-N 0 2 300.336 0.303 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2c(F)cccc2F)ccn1 ZINC001259063981 946907408 /nfs/dbraw/zinc/90/74/08/946907408.db2.gz CHHGCPYDOQBFPR-UHFFFAOYSA-N 0 2 313.285 0.681 20 0 DCADLN COc1cccc(S(=O)(=O)Nc2cc(C)nn2CCO)c1 ZINC001259183461 946924087 /nfs/dbraw/zinc/92/40/87/946924087.db2.gz KVQCXMZJMDRXAI-UHFFFAOYSA-N 0 2 311.363 0.993 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3c2CN(C)C3=O)cn1 ZINC001259827943 946992767 /nfs/dbraw/zinc/99/27/67/946992767.db2.gz YXHOFDMCHVVWCS-UHFFFAOYSA-N 0 2 306.347 0.807 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccnc2OC2COC2)cn1 ZINC001259832566 946994906 /nfs/dbraw/zinc/99/49/06/946994906.db2.gz KXUSMBYNYSBDJJ-UHFFFAOYSA-N 0 2 324.362 0.876 20 0 DCADLN Cn1ncc(NS(=O)(=O)CCC(F)(F)F)c1C(N)=O ZINC001259865874 947004956 /nfs/dbraw/zinc/00/49/56/947004956.db2.gz ITRZLAQNNGXQAG-UHFFFAOYSA-N 0 2 300.262 0.213 20 0 DCADLN COCCS(=O)(=O)Nc1cc(C(=O)OC)ccc1OC ZINC001259970737 947045441 /nfs/dbraw/zinc/04/54/41/947045441.db2.gz CSHTWFQADRZKFW-UHFFFAOYSA-N 0 2 303.336 0.870 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC001260187048 947082401 /nfs/dbraw/zinc/08/24/01/947082401.db2.gz ACTBQKRHQIZHBB-TXEJJXNPSA-N 0 2 310.375 0.852 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC001260187048 947082412 /nfs/dbraw/zinc/08/24/12/947082412.db2.gz ACTBQKRHQIZHBB-TXEJJXNPSA-N 0 2 310.375 0.852 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CCn3c[nH+]cc3C2)ccc1O ZINC001260397509 947097514 /nfs/dbraw/zinc/09/75/14/947097514.db2.gz VRKPTRYDFZJWNN-UHFFFAOYSA-N 0 2 323.330 0.491 20 0 DCADLN NC(=O)c1cnc(NS(=O)(=O)Cc2ccccc2F)cn1 ZINC001260622913 947130344 /nfs/dbraw/zinc/13/03/44/947130344.db2.gz YQHUWWUKPRLCIR-UHFFFAOYSA-N 0 2 310.310 0.657 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1cc(O)cc(C(N)=O)c1 ZINC001261030900 947167644 /nfs/dbraw/zinc/16/76/44/947167644.db2.gz OQQZFEMDCQWZJD-UHFFFAOYSA-N 0 2 310.335 0.632 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(CCn2cccn2)CC1 ZINC001415128880 947443988 /nfs/dbraw/zinc/44/39/88/947443988.db2.gz PGGWCNHMRBEKCC-JTQLQIEISA-N 0 2 308.279 0.928 20 0 DCADLN C[C@@H]1CN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C[C@H]1C ZINC001413950223 947547759 /nfs/dbraw/zinc/54/77/59/947547759.db2.gz UXPYSNRKQWMZFT-RKDXNWHRSA-N 0 2 315.399 0.147 20 0 DCADLN CC(=O)CCN(C)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001573384833 947908555 /nfs/dbraw/zinc/90/85/55/947908555.db2.gz YCDWZULEIJLLCS-UHFFFAOYSA-N 0 2 304.310 0.327 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)c1ccncc1)c1nn(C)cc1O ZINC001364393654 949178929 /nfs/dbraw/zinc/17/89/29/949178929.db2.gz AWHGHZUMBPXUMH-SECBINFHSA-N 0 2 303.322 0.128 20 0 DCADLN CC(=O)c1cc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)ccc1F ZINC001329083069 949343037 /nfs/dbraw/zinc/34/30/37/949343037.db2.gz UJQBNCAXTPNLHE-UHFFFAOYSA-N 0 2 321.268 0.107 20 0 DCADLN O=C([O-])c1ccc(N2CCC3(CC2)C[C@@H](O)CNC3=O)[nH+]c1 ZINC001595236314 949409501 /nfs/dbraw/zinc/40/95/01/949409501.db2.gz SKTSCWYUCYFWMW-LLVKDONJSA-N 0 2 305.334 0.247 20 0 DCADLN CC[C@H]1C[N@H+](Cc2cc(C(=O)[O-])nn2C)C[C@]2(CCOC2)O1 ZINC001589626920 949494409 /nfs/dbraw/zinc/49/44/09/949494409.db2.gz SVPPNBITMRWJQG-WFASDCNBSA-N 0 2 309.366 0.888 20 0 DCADLN CC[C@H]1C[N@@H+](Cc2cc(C(=O)[O-])nn2C)C[C@]2(CCOC2)O1 ZINC001589626920 949494418 /nfs/dbraw/zinc/49/44/18/949494418.db2.gz SVPPNBITMRWJQG-WFASDCNBSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)Nc1ccc(C(N)=O)cc1-n1cc[nH+]c1 ZINC001602806796 971592786 /nfs/dbraw/zinc/59/27/86/971592786.db2.gz WJZMTPDDDSXINW-QMMMGPOBSA-N 0 2 302.290 0.630 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCC1)C(F)(F)c1nccs1 ZINC001364662413 949721059 /nfs/dbraw/zinc/72/10/59/949721059.db2.gz JMSRHFLFEIVIIO-UHFFFAOYSA-N 0 2 300.294 0.944 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@]1(C)CCNC1=O ZINC001329759759 949846432 /nfs/dbraw/zinc/84/64/32/949846432.db2.gz PZZSBBFUIDVMIC-AWEZNQCLSA-N 0 2 320.374 0.882 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@]1(C)CCNC1=O ZINC001329759762 949847188 /nfs/dbraw/zinc/84/71/88/949847188.db2.gz PZZSBBFUIDVMIC-CQSZACIVSA-N 0 2 320.374 0.882 20 0 DCADLN COC[C@](C)(O)CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC001364805753 949973428 /nfs/dbraw/zinc/97/34/28/949973428.db2.gz LMIHRFOSDZHKFK-MGNBDDOMSA-N 0 2 316.445 0.115 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H](c1ccccn1)C1CC1 ZINC001462942699 1013097682 /nfs/dbraw/zinc/09/76/82/1013097682.db2.gz VRKXMHWBKMORPK-AWEZNQCLSA-N 0 2 324.344 0.734 20 0 DCADLN CS[C@@H](C)CCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364997965 950326039 /nfs/dbraw/zinc/32/60/39/950326039.db2.gz PQWZGOOURGBVIE-QMMMGPOBSA-N 0 2 320.440 0.497 20 0 DCADLN Cc1cnc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)cc1C ZINC001364996798 950319294 /nfs/dbraw/zinc/31/92/94/950319294.db2.gz DETFRAWWZKFFFT-UHFFFAOYSA-N 0 2 323.378 0.291 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)Cc1ncnn1C ZINC001490198097 950420426 /nfs/dbraw/zinc/42/04/26/950420426.db2.gz CUTNDGUEDOCRPN-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)Cc1ncnn1C ZINC001490198097 950420431 /nfs/dbraw/zinc/42/04/31/950420431.db2.gz CUTNDGUEDOCRPN-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000318686619 950932974 /nfs/dbraw/zinc/93/29/74/950932974.db2.gz BPJNYTPQFLLABD-YNEHKIRRSA-N 0 2 313.398 0.459 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)C1 ZINC000382520620 951225029 /nfs/dbraw/zinc/22/50/29/951225029.db2.gz QRGVDIBIKLBPDN-BFHYXJOUSA-N 0 2 310.394 0.811 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)NCc1cccc(C(=O)[O-])c1 ZINC001333122697 951926248 /nfs/dbraw/zinc/92/62/48/951926248.db2.gz SDAHTMQPXJGDJS-GORDUTHDSA-N 0 2 304.346 0.889 20 0 DCADLN CC(=O)c1ccc(O)c(C(=O)N2C[C@H]3CN(C)C[C@@H](C2)O3)c1 ZINC001275525496 952229445 /nfs/dbraw/zinc/22/94/45/952229445.db2.gz NRPIDYWSPNKXGA-BETUJISGSA-N 0 2 304.346 0.750 20 0 DCADLN C/C(=C\C(=O)NCc1ccc(C(=O)[O-])cn1)C[NH+]1CCOCC1 ZINC001335042924 952445646 /nfs/dbraw/zinc/44/56/46/952445646.db2.gz AJJPESWDZIYTAX-XYOKQWHBSA-N 0 2 319.361 0.675 20 0 DCADLN O=C(NC[C@H]1CCCS1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001411909379 952533717 /nfs/dbraw/zinc/53/37/17/952533717.db2.gz AMGRLHZMSOMVBN-LLVKDONJSA-N 0 2 320.374 0.892 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@]2(C)CCC(=O)N2)cn1 ZINC001412207466 952693023 /nfs/dbraw/zinc/69/30/23/952693023.db2.gz UEORAMOJBMYCAW-AWEZNQCLSA-N 0 2 302.338 0.209 20 0 DCADLN O=C(CCc1nnc(C(F)F)o1)NCc1nc(O)cc(=O)[nH]1 ZINC001412378190 952770020 /nfs/dbraw/zinc/77/00/20/952770020.db2.gz VXJJRYCQIHCKQL-UHFFFAOYSA-N 0 2 315.236 0.457 20 0 DCADLN Cn1cc(C(=O)Nn2ccc(=O)[nH]c2=O)c(C2CCCC2)n1 ZINC001412404432 952780583 /nfs/dbraw/zinc/78/05/83/952780583.db2.gz AMDYCBRTSHOVNP-UHFFFAOYSA-N 0 2 303.322 0.724 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)N2CCO[C@H](c3nn[nH]n3)C2)C1 ZINC001412530113 952871000 /nfs/dbraw/zinc/87/10/00/952871000.db2.gz HOPCQEVSOOJIOM-IEBDPFPHSA-N 0 2 317.353 0.189 20 0 DCADLN CNC(=O)CCCC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001412687790 952996045 /nfs/dbraw/zinc/99/60/45/952996045.db2.gz DRSOIQLQNAYWJF-UHFFFAOYSA-N 0 2 310.354 0.113 20 0 DCADLN O=C(N[C@H]1CC[C@H](O)CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412689983 952998904 /nfs/dbraw/zinc/99/89/04/952998904.db2.gz SFDMYEHHTKRAHN-UMSPYCQHSA-N 0 2 318.333 0.300 20 0 DCADLN O=C(CCOC1CCOCC1)NCCc1n[nH]c(=S)o1 ZINC001338358080 953003231 /nfs/dbraw/zinc/00/32/31/953003231.db2.gz FEKJUGHRLJSKKL-UHFFFAOYSA-N 0 2 301.368 0.603 20 0 DCADLN C[C@H](NC(=O)CNC(=O)C1CCCCC1)c1nn(C)cc1O ZINC001412751035 953054624 /nfs/dbraw/zinc/05/46/24/953054624.db2.gz BJGJUQNVBDOUMO-JTQLQIEISA-N 0 2 308.382 0.999 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H]2[C@@H](C1)[C@H]2C(=O)[O-])C[NH+]1CCOCC1 ZINC001339570212 953103128 /nfs/dbraw/zinc/10/31/28/953103128.db2.gz ZETFDCWUCBMICZ-OVMLCSNVSA-N 0 2 308.378 0.444 20 0 DCADLN C[C@H](CCCCNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001340718225 953190636 /nfs/dbraw/zinc/19/06/36/953190636.db2.gz CKQYKYBZXZSYGE-RNFRBKRXSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CCCCNC(=O)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001340718225 953190643 /nfs/dbraw/zinc/19/06/43/953190643.db2.gz CKQYKYBZXZSYGE-RNFRBKRXSA-N 0 2 315.267 0.163 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H]([C@@H](F)C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001344531494 953492589 /nfs/dbraw/zinc/49/25/89/953492589.db2.gz FCDNQXMQEHXRSR-CTFRTPTRSA-N 0 2 314.357 0.536 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001589290786 953599475 /nfs/dbraw/zinc/59/94/75/953599475.db2.gz ZDTJEJIEGKLLLC-YIYPIFLZSA-N 0 2 310.394 0.809 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])C[N@@H+]1Cn1c2cnccc2n(C)c1=O ZINC001589307747 953756639 /nfs/dbraw/zinc/75/66/39/953756639.db2.gz CMSAXVRJPJWBGU-MNOVXSKESA-N 0 2 304.350 0.878 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cn1c2cnccc2n(C)c1=O ZINC001589307747 953756653 /nfs/dbraw/zinc/75/66/53/953756653.db2.gz CMSAXVRJPJWBGU-MNOVXSKESA-N 0 2 304.350 0.878 20 0 DCADLN CCn1c[nH+]cc1COC(=O)[C@@H]1CCCN(CC(=O)[O-])C1=O ZINC001593669321 953866312 /nfs/dbraw/zinc/86/63/12/953866312.db2.gz GFIFZASUIVUBII-LLVKDONJSA-N 0 2 309.322 0.269 20 0 DCADLN CCC[N@H+](C)CC(=O)NCCNC(=O)c1cccc2nc[nH]c21 ZINC001283134435 954046553 /nfs/dbraw/zinc/04/65/53/954046553.db2.gz YYPGMSZNNSUAFU-UHFFFAOYSA-N 0 2 317.393 0.751 20 0 DCADLN CN(C)c1cc(COC(=O)c2cn(CC(=O)[O-])nn2)cc[nH+]1 ZINC001593710798 954185547 /nfs/dbraw/zinc/18/55/47/954185547.db2.gz LQLLVNWAERSYMU-UHFFFAOYSA-N 0 2 305.294 0.181 20 0 DCADLN C[N@H+](CC(F)F)C1CCN(C(=O)[C@@]2(C(=O)[O-])CCCO2)CC1 ZINC001593727999 954296850 /nfs/dbraw/zinc/29/68/50/954296850.db2.gz XGWZNGLTNHNMET-CQSZACIVSA-N 0 2 320.336 0.808 20 0 DCADLN C[N@@H+](CC(F)F)C1CCN(C(=O)[C@@]2(C(=O)[O-])CCCO2)CC1 ZINC001593727999 954296861 /nfs/dbraw/zinc/29/68/61/954296861.db2.gz XGWZNGLTNHNMET-CQSZACIVSA-N 0 2 320.336 0.808 20 0 DCADLN Cc1cccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001366588073 954469702 /nfs/dbraw/zinc/46/97/02/954469702.db2.gz IDELXKNFMUODLI-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN Cc1cccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001366588073 954469708 /nfs/dbraw/zinc/46/97/08/954469708.db2.gz IDELXKNFMUODLI-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001589408525 954489831 /nfs/dbraw/zinc/48/98/31/954489831.db2.gz HNVQSAAAQJKWAJ-AGIUHOORSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)[C@@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001589408525 954489838 /nfs/dbraw/zinc/48/98/38/954489838.db2.gz HNVQSAAAQJKWAJ-AGIUHOORSA-N 0 2 314.382 0.093 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001366602272 954493056 /nfs/dbraw/zinc/49/30/56/954493056.db2.gz OSHWJZCSTVAODP-UHFFFAOYSA-N 0 2 307.329 0.901 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001366602272 954493064 /nfs/dbraw/zinc/49/30/64/954493064.db2.gz OSHWJZCSTVAODP-UHFFFAOYSA-N 0 2 307.329 0.901 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCC[NH+]1C)Cc1cc2n(n1)CCC2 ZINC001366603443 954493778 /nfs/dbraw/zinc/49/37/78/954493778.db2.gz QOTSKILRIPBJHZ-INIZCTEOSA-N 0 2 319.453 0.862 20 0 DCADLN CC[NH+](CCNC(=O)C[N@H+](C)C1CCC1)Cc1cnnn1C ZINC001366617002 954524729 /nfs/dbraw/zinc/52/47/29/954524729.db2.gz RXBLSUBFAPUFPK-UHFFFAOYSA-N 0 2 308.430 0.238 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1cn(C)nn1 ZINC001366617346 954525479 /nfs/dbraw/zinc/52/54/79/954525479.db2.gz ZXLHLVLQFIFFRC-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1cn(C)nn1 ZINC001366617346 954525482 /nfs/dbraw/zinc/52/54/82/954525482.db2.gz ZXLHLVLQFIFFRC-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C(C)C)no1)Cc1n[nH]c(=O)[n-]1 ZINC001366633510 954554609 /nfs/dbraw/zinc/55/46/09/954554609.db2.gz ZWXJTAKVCMHBAD-UHFFFAOYSA-N 0 2 322.369 0.874 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C(C)C)no1)Cc1n[nH]c(=O)[n-]1 ZINC001366633510 954554615 /nfs/dbraw/zinc/55/46/15/954554615.db2.gz ZWXJTAKVCMHBAD-UHFFFAOYSA-N 0 2 322.369 0.874 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)Nc1nc2c(s1)C[N@H+](C)CC2 ZINC001593786990 954713195 /nfs/dbraw/zinc/71/31/95/954713195.db2.gz UEHZALXZTCTUIB-UHFFFAOYSA-N 0 2 321.362 0.815 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)Nc1nc2c(s1)C[N@@H+](C)CC2 ZINC001593786990 954713204 /nfs/dbraw/zinc/71/32/04/954713204.db2.gz UEHZALXZTCTUIB-UHFFFAOYSA-N 0 2 321.362 0.815 20 0 DCADLN CS[C@@H](C)CC(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366731094 954731159 /nfs/dbraw/zinc/73/11/59/954731159.db2.gz AGGLSRLSXDQOLG-VIFPVBQESA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@@H](C)CC(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366731094 954731165 /nfs/dbraw/zinc/73/11/65/954731165.db2.gz AGGLSRLSXDQOLG-VIFPVBQESA-N 0 2 313.427 0.733 20 0 DCADLN COC(=O)[C@H](C)Oc1ccccc1C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC001593805515 954899188 /nfs/dbraw/zinc/89/91/88/954899188.db2.gz NANWLNCNHDRZTD-SMDDNHRTSA-N 0 2 323.345 0.912 20 0 DCADLN COC(=O)[C@H](C)Oc1ccccc1C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC001593805515 954899202 /nfs/dbraw/zinc/89/92/02/954899202.db2.gz NANWLNCNHDRZTD-SMDDNHRTSA-N 0 2 323.345 0.912 20 0 DCADLN C[C@]1(C(F)(F)F)CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC000708923950 955006163 /nfs/dbraw/zinc/00/61/63/955006163.db2.gz FTHJFEZCVMJFKV-VIFPVBQESA-N 0 2 303.302 0.760 20 0 DCADLN C[C@]1(C(F)(F)F)CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC000708923950 955006173 /nfs/dbraw/zinc/00/61/73/955006173.db2.gz FTHJFEZCVMJFKV-VIFPVBQESA-N 0 2 303.302 0.760 20 0 DCADLN COC1(CC(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001366893991 955009614 /nfs/dbraw/zinc/00/96/14/955009614.db2.gz QSGHGOCXRCVUIO-LLVKDONJSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)F)CC1 ZINC001366961337 955124333 /nfs/dbraw/zinc/12/43/33/955124333.db2.gz HCXVDIRIKWOLIL-UHFFFAOYSA-N 0 2 301.297 0.104 20 0 DCADLN CCC[C@@H](OCC)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001367033271 955236046 /nfs/dbraw/zinc/23/60/46/955236046.db2.gz FCDASOPCPDHCCS-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN COC(=O)CCN(CC(=O)[O-])C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001593840207 955353565 /nfs/dbraw/zinc/35/35/65/955353565.db2.gz QPKNGIXZSAKWFU-UHFFFAOYSA-N 0 2 319.317 0.733 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)c1cccc(OCC(=O)[O-])c1 ZINC001589038769 955572270 /nfs/dbraw/zinc/57/22/70/955572270.db2.gz HQHJXDHREIFGGN-GFCCVEGCSA-N 0 2 322.361 0.601 20 0 DCADLN COC(=O)c1c(C[N@@H+]2CC[C@@H](C(=O)[O-])C2)snc1OC ZINC001593867236 955592758 /nfs/dbraw/zinc/59/27/58/955592758.db2.gz PYTOCLFSFHMNFT-SSDOTTSWSA-N 0 2 300.336 0.845 20 0 DCADLN COC(=O)c1c(C[N@H+]2CC[C@@H](C(=O)[O-])C2)snc1OC ZINC001593867236 955592768 /nfs/dbraw/zinc/59/27/68/955592768.db2.gz PYTOCLFSFHMNFT-SSDOTTSWSA-N 0 2 300.336 0.845 20 0 DCADLN CC(C)[N@@H+]1CCO[C@H](c2nnc(N3CC[C@H](C(=O)[O-])C3)n2C)C1 ZINC001603068595 972135844 /nfs/dbraw/zinc/13/58/44/972135844.db2.gz QMUWNGHOCBCWRQ-RYUDHWBXSA-N 0 2 323.397 0.508 20 0 DCADLN CC(C)[N@H+]1CCO[C@H](c2nnc(N3CC[C@H](C(=O)[O-])C3)n2C)C1 ZINC001603068595 972135848 /nfs/dbraw/zinc/13/58/48/972135848.db2.gz QMUWNGHOCBCWRQ-RYUDHWBXSA-N 0 2 323.397 0.508 20 0 DCADLN C[C@H](CNC(=O)Cc1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001416818744 956086208 /nfs/dbraw/zinc/08/62/08/956086208.db2.gz AAKMIAHHXHGTCD-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)Cc1cnn(C)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001416818744 956086219 /nfs/dbraw/zinc/08/62/19/956086219.db2.gz AAKMIAHHXHGTCD-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN Cc1cnn(C)c1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001416819484 956148211 /nfs/dbraw/zinc/14/82/11/956148211.db2.gz RDRYRSVTMXDDRT-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cnn(C)c1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001416819484 956148224 /nfs/dbraw/zinc/14/82/24/956148224.db2.gz RDRYRSVTMXDDRT-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+]1CC(=O)NCCCc1ccccc1 ZINC000388544197 972176332 /nfs/dbraw/zinc/17/63/32/972176332.db2.gz FUAKMEDRHPZXIJ-KBPBESRZSA-N 0 2 306.362 0.255 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+]1CC(=O)NCCCc1ccccc1 ZINC000388544197 972176337 /nfs/dbraw/zinc/17/63/37/972176337.db2.gz FUAKMEDRHPZXIJ-KBPBESRZSA-N 0 2 306.362 0.255 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)N[C@H](C(=O)[O-])c1ccccc1 ZINC001594667293 956588055 /nfs/dbraw/zinc/58/80/55/956588055.db2.gz FMNDSYQEJAQMAH-QZDDGCDVSA-N 0 2 304.346 0.817 20 0 DCADLN COCC(=O)N1CC=C(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001288404664 956640545 /nfs/dbraw/zinc/64/05/45/956640545.db2.gz LAJHWHGZXSESRJ-JTQLQIEISA-N 0 2 312.263 0.808 20 0 DCADLN COCC(=O)N1CC=C(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001288404664 956640552 /nfs/dbraw/zinc/64/05/52/956640552.db2.gz LAJHWHGZXSESRJ-JTQLQIEISA-N 0 2 312.263 0.808 20 0 DCADLN CC(C)=CC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001289558618 956759597 /nfs/dbraw/zinc/75/95/97/956759597.db2.gz COACEDGBMHLRJP-WEDXCCLWSA-N 0 2 312.263 0.541 20 0 DCADLN CC(C)=CC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC001289558618 956759600 /nfs/dbraw/zinc/75/96/00/956759600.db2.gz COACEDGBMHLRJP-WEDXCCLWSA-N 0 2 312.263 0.541 20 0 DCADLN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000022157601 957154383 /nfs/dbraw/zinc/15/43/83/957154383.db2.gz SDRQBJNEXMZSDC-VIFPVBQESA-N 0 2 307.266 0.082 20 0 DCADLN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000022157601 957154392 /nfs/dbraw/zinc/15/43/92/957154392.db2.gz SDRQBJNEXMZSDC-VIFPVBQESA-N 0 2 307.266 0.082 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCOC1)C(F)C(F)(F)F ZINC001293188803 957303527 /nfs/dbraw/zinc/30/35/27/957303527.db2.gz KWCWYYDWWPFSMV-JGVFFNPUSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCOC1)[C@@H](F)C(F)(F)F ZINC001293188803 957303534 /nfs/dbraw/zinc/30/35/34/957303534.db2.gz KWCWYYDWWPFSMV-JGVFFNPUSA-N 0 2 300.252 0.546 20 0 DCADLN COCCC(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC001294126329 957482963 /nfs/dbraw/zinc/48/29/63/957482963.db2.gz CDXPHYDRRPLOAF-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN COCCC(=O)N(C)CCCNC(=O)[C@H](F)C(F)(F)F ZINC001294126329 957482968 /nfs/dbraw/zinc/48/29/68/957482968.db2.gz CDXPHYDRRPLOAF-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1csc(-c2ccccn2)n1 ZINC000149611012 957819000 /nfs/dbraw/zinc/81/90/00/957819000.db2.gz PJBXLKHDHSUVOZ-UHFFFAOYSA-N 0 2 303.303 0.402 20 0 DCADLN C[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)CC(F)(F)F ZINC001361412667 957944730 /nfs/dbraw/zinc/94/47/30/957944730.db2.gz WMYSPBNDWCHRDD-SSDOTTSWSA-N 0 2 317.271 0.776 20 0 DCADLN Cc1cc([NH+](C)C)ccc1NCCS(=O)(=O)CC(=O)[O-] ZINC001594311474 958029583 /nfs/dbraw/zinc/02/95/83/958029583.db2.gz JYHQXMIUSKAJGV-UHFFFAOYSA-N 0 2 300.380 0.972 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2c(c1)NC(=O)C2 ZINC001361508890 958035659 /nfs/dbraw/zinc/03/56/59/958035659.db2.gz SIRRUJKVQZNMGD-UHFFFAOYSA-N 0 2 324.300 0.205 20 0 DCADLN CCn1cc(Cl)c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC001361523603 958051356 /nfs/dbraw/zinc/05/13/56/958051356.db2.gz HVEAKYLASQMILJ-UHFFFAOYSA-N 0 2 321.728 0.580 20 0 DCADLN CC(C)OC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC001361645400 958168342 /nfs/dbraw/zinc/16/83/42/958168342.db2.gz SZRBSMUJOMMUBR-UHFFFAOYSA-N 0 2 319.365 0.535 20 0 DCADLN CCC(O)(CC)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001361862281 958419947 /nfs/dbraw/zinc/41/99/47/958419947.db2.gz WCCAJECEMVIPIG-UHFFFAOYSA-N 0 2 320.349 0.547 20 0 DCADLN CC1(C)CO[C@@H](CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001361894558 958460723 /nfs/dbraw/zinc/46/07/23/958460723.db2.gz JMZJBBDFKOUXRL-JTQLQIEISA-N 0 2 319.365 0.393 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1nccnc1C1CC1 ZINC001361928866 958502585 /nfs/dbraw/zinc/50/25/85/958502585.db2.gz ZUQGPFGROIDBLO-UHFFFAOYSA-N 0 2 311.305 0.377 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccoc1 ZINC001367794782 958597457 /nfs/dbraw/zinc/59/74/57/958597457.db2.gz LJRISTQTGTXCGB-JTQLQIEISA-N 0 2 307.354 0.673 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccoc1 ZINC001367794782 958597469 /nfs/dbraw/zinc/59/74/69/958597469.db2.gz LJRISTQTGTXCGB-JTQLQIEISA-N 0 2 307.354 0.673 20 0 DCADLN C/C(=C/C(=O)Nc1ccc(C(=O)[O-])cn1)C[NH+]1CCOCC1 ZINC001588482553 958600560 /nfs/dbraw/zinc/60/05/60/958600560.db2.gz VYTDTFIWWKISOM-FLIBITNWSA-N 0 2 305.334 0.997 20 0 DCADLN COc1cccc([C@@H]2CN(C(=O)[C@](C)(OC)C(=O)[O-])CC[NH2+]2)c1 ZINC001594125411 958634445 /nfs/dbraw/zinc/63/44/45/958634445.db2.gz UGFALLPVXOGEEE-BBRMVZONSA-N 0 2 322.361 0.658 20 0 DCADLN CC[C@H](CCO)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362122513 958772152 /nfs/dbraw/zinc/77/21/52/958772152.db2.gz DCKZHYNFLMDTHG-SNVBAGLBSA-N 0 2 320.349 0.405 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001362219741 958949550 /nfs/dbraw/zinc/94/95/50/958949550.db2.gz IKPICHLIZMMQLC-MWLCHTKSSA-N 0 2 318.333 0.564 20 0 DCADLN C[C@@H](C(=O)NC1(C(=O)[O-])CCOCC1)[NH+]1CCSCC1 ZINC001588552630 959035742 /nfs/dbraw/zinc/03/57/42/959035742.db2.gz XLSHALGGLFQQDK-JTQLQIEISA-N 0 2 302.396 0.174 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@@]1(O)CCOC1 ZINC001362389540 959262491 /nfs/dbraw/zinc/26/24/91/959262491.db2.gz BPNIBSMIJDFPDM-OAHLLOKOSA-N 0 2 318.333 0.151 20 0 DCADLN Cc1c(Br)cnn1CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362426991 959337169 /nfs/dbraw/zinc/33/71/69/959337169.db2.gz QZPNLOHUSFKZGZ-UHFFFAOYSA-N 0 2 315.131 0.094 20 0 DCADLN Cn1ncnc1CN1CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001368151280 959339804 /nfs/dbraw/zinc/33/98/04/959339804.db2.gz IURIKABIPSPPAU-MWLCHTKSSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CC[C@@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001368151280 959339820 /nfs/dbraw/zinc/33/98/20/959339820.db2.gz IURIKABIPSPPAU-MWLCHTKSSA-N 0 2 323.294 0.796 20 0 DCADLN NC(=O)CCC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001362522794 959516685 /nfs/dbraw/zinc/51/66/85/959516685.db2.gz YEIQPOIXFXUNSA-UHFFFAOYSA-N 0 2 303.322 0.265 20 0 DCADLN C[Si](C)(C)c1ccc(C[NH+]2CCN(C(=O)C(=O)[O-])CC2)cc1 ZINC001594247220 959587798 /nfs/dbraw/zinc/58/77/98/959587798.db2.gz MJWIRKJTPIVHLS-UHFFFAOYSA-N 0 2 320.465 0.961 20 0 DCADLN C[C@@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@@H]1O ZINC001362576551 959633682 /nfs/dbraw/zinc/63/36/82/959633682.db2.gz LJISHLZBGCCJQU-SKDRFNHKSA-N 0 2 318.333 0.109 20 0 DCADLN CCOCC(=O)NCCCN(C)C(=O)C(F)C(F)(F)F ZINC001492269881 959782312 /nfs/dbraw/zinc/78/23/12/959782312.db2.gz VKFZJMKULUILDO-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCOCC(=O)NCCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001492269881 959782322 /nfs/dbraw/zinc/78/23/22/959782322.db2.gz VKFZJMKULUILDO-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN C[C@H](CC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001368451131 959879632 /nfs/dbraw/zinc/87/96/32/959879632.db2.gz NWDDHHPRJBPZRP-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1ccc(S(=O)(=O)NCC[NH+]2CC=CC2)cc1C(=O)[O-] ZINC000392288873 972463616 /nfs/dbraw/zinc/46/36/16/972463616.db2.gz PCVCWXVTXFORAG-UHFFFAOYSA-N 0 2 310.375 0.843 20 0 DCADLN COc1cccc(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001362728659 959900113 /nfs/dbraw/zinc/90/01/13/959900113.db2.gz ZJCSIKOXUZVSHP-JTQLQIEISA-N 0 2 317.349 0.863 20 0 DCADLN Cn1cnnc1[C@@H]1CCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC001362806164 960016138 /nfs/dbraw/zinc/01/61/38/960016138.db2.gz CPEDEWLOIHFGJY-YUMQZZPRSA-N 0 2 308.367 0.035 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCCOC1 ZINC001377413298 960054734 /nfs/dbraw/zinc/05/47/34/960054734.db2.gz SJYHXLGEGMAZCM-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCCOC1 ZINC001377413298 960054739 /nfs/dbraw/zinc/05/47/39/960054739.db2.gz SJYHXLGEGMAZCM-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]([C@@H](CO)C2CC2)C2CC2)S1 ZINC001362894972 960143753 /nfs/dbraw/zinc/14/37/53/960143753.db2.gz SEMLPIGATSNNAU-JBLDHEPKSA-N 0 2 311.407 0.456 20 0 DCADLN O=S(=O)(N[C@@H](c1nnc[nH]1)c1ccccc1)c1ncc[nH]1 ZINC001309410937 960195117 /nfs/dbraw/zinc/19/51/17/960195117.db2.gz BULAXXSLOPQXSO-SNVBAGLBSA-N 0 2 304.335 0.596 20 0 DCADLN CN(C)c1noc(CNS(=O)(=O)c2ccc(F)nc2F)n1 ZINC001309417246 960198759 /nfs/dbraw/zinc/19/87/59/960198759.db2.gz DPZAAWUWPIRRLM-UHFFFAOYSA-N 0 2 319.293 0.287 20 0 DCADLN O=C(NC[C@@H]1CCCO1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362950146 960214662 /nfs/dbraw/zinc/21/46/62/960214662.db2.gz USFOQSSWDWMTRS-NSHDSACASA-N 0 2 304.306 0.175 20 0 DCADLN CC(C)c1nc(C(=O)N2CCSC[C@@H]2c2nn[nH]n2)c[nH]1 ZINC001362974275 960246215 /nfs/dbraw/zinc/24/62/15/960246215.db2.gz TYJAENZXEBIKMY-SECBINFHSA-N 0 2 307.383 0.977 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)Cc2ccsc2)[nH]1 ZINC001362984289 960257962 /nfs/dbraw/zinc/25/79/62/960257962.db2.gz FUOKLWXNWFYNKU-UHFFFAOYSA-N 0 2 314.392 0.270 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCO1 ZINC001377549594 960265020 /nfs/dbraw/zinc/26/50/20/960265020.db2.gz XERUYPVNHHJTAO-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCO1 ZINC001377549594 960265025 /nfs/dbraw/zinc/26/50/25/960265025.db2.gz XERUYPVNHHJTAO-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN CC1(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC=CC1 ZINC001313345512 960298615 /nfs/dbraw/zinc/29/86/15/960298615.db2.gz DIWRIIVRKWAJIF-UHFFFAOYSA-N 0 2 313.383 0.257 20 0 DCADLN CCn1cc(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC001363057571 960353894 /nfs/dbraw/zinc/35/38/94/960353894.db2.gz VXSCAVPTMCWXNT-SECBINFHSA-N 0 2 305.342 0.070 20 0 DCADLN CCCN(C(=O)CCOC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001377645418 960387194 /nfs/dbraw/zinc/38/71/94/960387194.db2.gz SXCZBMKRWYGOBO-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCCN(C(=O)CCOC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001377645418 960387200 /nfs/dbraw/zinc/38/72/00/960387200.db2.gz SXCZBMKRWYGOBO-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN O=C(NC[C@@H]1CC[C@H](O)C1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363133957 960449688 /nfs/dbraw/zinc/44/96/88/960449688.db2.gz CUSNHTLLLALAOK-SKDRFNHKSA-N 0 2 318.333 0.157 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)[C@@H]1CCO[C@H]1c1ccncc1 ZINC001363289524 960798461 /nfs/dbraw/zinc/79/84/61/960798461.db2.gz YNZISOIGVLIVST-MFKMUULPSA-N 0 2 303.322 0.086 20 0 DCADLN COc1ccnc(OC)c1NC(=O)CC1SC(=N)NC1=O ZINC001363347185 960953036 /nfs/dbraw/zinc/95/30/36/960953036.db2.gz YDDZFRINHVSAKH-SSDOTTSWSA-N 0 2 310.335 0.594 20 0 DCADLN CCC[C@@]1(C(=O)OCC)CCC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001573835502 961043418 /nfs/dbraw/zinc/04/34/18/961043418.db2.gz CVSMNBRUVSUPBZ-AWEZNQCLSA-N 0 2 300.355 0.385 20 0 DCADLN CCC[C@@]1(C(=O)OCC)CCC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001573835502 961043440 /nfs/dbraw/zinc/04/34/40/961043440.db2.gz CVSMNBRUVSUPBZ-AWEZNQCLSA-N 0 2 300.355 0.385 20 0 DCADLN Cc1nnc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)o1 ZINC001377968311 961086611 /nfs/dbraw/zinc/08/66/11/961086611.db2.gz HLIVXVKFVZDEMP-VIFPVBQESA-N 0 2 310.251 0.826 20 0 DCADLN Cc1nnc(CN2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)o1 ZINC001377968311 961086634 /nfs/dbraw/zinc/08/66/34/961086634.db2.gz HLIVXVKFVZDEMP-VIFPVBQESA-N 0 2 310.251 0.826 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2C[C@@H](O)C[C@@H]2c2ccccc2)S1 ZINC001363443042 961162179 /nfs/dbraw/zinc/16/21/79/961162179.db2.gz HFSZBDNCKWYYPT-TUAOUCFPSA-N 0 2 319.386 0.877 20 0 DCADLN COc1cc(C(N)=O)ccc1NC(=O)CC1SC(=N)NC1=O ZINC001363442347 961163002 /nfs/dbraw/zinc/16/30/02/961163002.db2.gz UKMKHWGDTUUSDJ-SECBINFHSA-N 0 2 322.346 0.289 20 0 DCADLN CC(=O)Nc1ccc(F)c(C(=O)NCC2=NC(=O)CC(=O)N2)c1 ZINC001363485731 961256042 /nfs/dbraw/zinc/25/60/42/961256042.db2.gz NAWOAQTWGRMAFU-UHFFFAOYSA-N 0 2 320.280 0.915 20 0 DCADLN CCCC1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001378689148 961286733 /nfs/dbraw/zinc/28/67/33/961286733.db2.gz QGFNAZCENZMJAM-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CN(C[C@H]1C[C@@H](O)C1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363511939 961316409 /nfs/dbraw/zinc/31/64/09/961316409.db2.gz KBBXIYJPUTWPFT-WVSHTKLVSA-N 0 2 318.333 0.109 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@@H+]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001571111954 961343046 /nfs/dbraw/zinc/34/30/46/961343046.db2.gz TWHSEWYFXAVPFJ-HZSPNIEDSA-N 0 2 311.334 0.257 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001571111954 961343065 /nfs/dbraw/zinc/34/30/65/961343065.db2.gz TWHSEWYFXAVPFJ-HZSPNIEDSA-N 0 2 311.334 0.257 20 0 DCADLN CCc1nnsc1C(=O)N1CC[N@H+](CCC(=O)[O-])[C@H](C)C1 ZINC001571116232 961393839 /nfs/dbraw/zinc/39/38/39/961393839.db2.gz ZCKONXFAUAYKNV-SECBINFHSA-N 0 2 312.395 0.722 20 0 DCADLN CCc1nnsc1C(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC001571116232 961393861 /nfs/dbraw/zinc/39/38/61/961393861.db2.gz ZCKONXFAUAYKNV-SECBINFHSA-N 0 2 312.395 0.722 20 0 DCADLN COc1nsc(C[N@@H+]2CC[C@@H](C)C[C@H]2C(N)=O)c1C(=O)[O-] ZINC001571116463 961396456 /nfs/dbraw/zinc/39/64/56/961396456.db2.gz DQGPSYCKJPQWAK-SFYZADRCSA-N 0 2 313.379 0.936 20 0 DCADLN COc1nsc(C[N@H+]2CC[C@@H](C)C[C@H]2C(N)=O)c1C(=O)[O-] ZINC001571116463 961396477 /nfs/dbraw/zinc/39/64/77/961396477.db2.gz DQGPSYCKJPQWAK-SFYZADRCSA-N 0 2 313.379 0.936 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378101764 961430149 /nfs/dbraw/zinc/43/01/49/961430149.db2.gz OUIZWAIWGAZLQH-MRVPVSSYSA-N 0 2 321.385 0.111 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378101764 961430155 /nfs/dbraw/zinc/43/01/55/961430155.db2.gz OUIZWAIWGAZLQH-MRVPVSSYSA-N 0 2 321.385 0.111 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001363557656 961439011 /nfs/dbraw/zinc/43/90/11/961439011.db2.gz HPZGEYXIRCOYDT-NWDGAFQWSA-N 0 2 304.350 0.927 20 0 DCADLN C[C@H](O)C[NH+]1CCN(C(=O)c2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC001332180719 961515940 /nfs/dbraw/zinc/51/59/40/961515940.db2.gz WVXOQTYMRLXYEB-TZNOJPMFSA-N 0 2 308.334 0.516 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc2n(n1)CCCC2=O ZINC001571133704 961598673 /nfs/dbraw/zinc/59/86/73/961598673.db2.gz VPMUZFJJFOYCLB-SNVBAGLBSA-N 0 2 317.305 0.008 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc2n(n1)CCCC2=O ZINC001571133704 961598690 /nfs/dbraw/zinc/59/86/90/961598690.db2.gz VPMUZFJJFOYCLB-SNVBAGLBSA-N 0 2 317.305 0.008 20 0 DCADLN Cc1nc[nH]c1CC(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001363652214 961631811 /nfs/dbraw/zinc/63/18/11/961631811.db2.gz JJVSWISMHLHOHY-UHFFFAOYSA-N 0 2 305.338 0.471 20 0 DCADLN CCN(C(=O)Cc1ccon1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378201698 961655259 /nfs/dbraw/zinc/65/52/59/961655259.db2.gz STEXUWALPSOQJC-NSHDSACASA-N 0 2 320.353 0.164 20 0 DCADLN CCN(C(=O)Cc1ccon1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378201698 961655269 /nfs/dbraw/zinc/65/52/69/961655269.db2.gz STEXUWALPSOQJC-NSHDSACASA-N 0 2 320.353 0.164 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC(C(=O)[O-])C1 ZINC001571156354 961876933 /nfs/dbraw/zinc/87/69/33/961876933.db2.gz SWBIPPGIJXKQMZ-GFCCVEGCSA-N 0 2 322.365 0.026 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC(C(=O)[O-])C1 ZINC001571156354 961876955 /nfs/dbraw/zinc/87/69/55/961876955.db2.gz SWBIPPGIJXKQMZ-GFCCVEGCSA-N 0 2 322.365 0.026 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ocnc1C1CC1 ZINC001379733853 961889124 /nfs/dbraw/zinc/88/91/24/961889124.db2.gz AEVRPZDCKOSXDD-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ocnc1C1CC1 ZINC001379733853 961889147 /nfs/dbraw/zinc/88/91/47/961889147.db2.gz AEVRPZDCKOSXDD-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](NC(=O)c1ccc(OCC(N)=O)cc1)c1nn(C)cc1O ZINC001363833973 961985414 /nfs/dbraw/zinc/98/54/14/961985414.db2.gz RMRYVCGFPZDALU-SECBINFHSA-N 0 2 318.333 0.481 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1cc(=O)c(O)co1 ZINC001363835649 961991685 /nfs/dbraw/zinc/99/16/85/961991685.db2.gz FNXQHJHDYDGAIG-UHFFFAOYSA-N 0 2 322.239 0.419 20 0 DCADLN O=C(NCCn1ccc(=O)[nH]c1=O)c1cccc(Cl)c1O ZINC001364024964 962315187 /nfs/dbraw/zinc/31/51/87/962315187.db2.gz KMWDUKVRCCLCNC-UHFFFAOYSA-N 0 2 309.709 0.738 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)NC[C@H](O)C[NH2+]C/C(Cl)=C\Cl ZINC001379231357 962418940 /nfs/dbraw/zinc/41/89/40/962418940.db2.gz QVZNKGLJTZSKPC-QEFZOKHDSA-N 0 2 324.252 0.856 20 0 DCADLN Cc1noc([C@H](C)NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001364199066 962656298 /nfs/dbraw/zinc/65/62/98/962656298.db2.gz BHBPHUKALBIFOT-CBAPKCEASA-N 0 2 321.341 0.852 20 0 DCADLN COC(=O)C[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(C)(C)C ZINC001364295018 962840221 /nfs/dbraw/zinc/84/02/21/962840221.db2.gz PVOTWUKISFRYES-HTQZYQBOSA-N 0 2 315.395 0.637 20 0 DCADLN CC(=O)N[C@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C(C)(C)C ZINC001573435913 964060646 /nfs/dbraw/zinc/06/06/46/964060646.db2.gz DMGWNJUTJZCNGI-WDEREUQCSA-N 0 2 310.354 0.072 20 0 DCADLN CC(=O)N[C@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C(C)(C)C ZINC001573435913 964060656 /nfs/dbraw/zinc/06/06/56/964060656.db2.gz DMGWNJUTJZCNGI-WDEREUQCSA-N 0 2 310.354 0.072 20 0 DCADLN COc1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccc1F ZINC000316855887 964064696 /nfs/dbraw/zinc/06/46/96/964064696.db2.gz OLIYBDLQWIKUAG-NSHDSACASA-N 0 2 307.281 0.983 20 0 DCADLN COc1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccc1F ZINC000316855887 964064710 /nfs/dbraw/zinc/06/47/10/964064710.db2.gz OLIYBDLQWIKUAG-NSHDSACASA-N 0 2 307.281 0.983 20 0 DCADLN CC(C)NC(=O)CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001373626421 964383357 /nfs/dbraw/zinc/38/33/57/964383357.db2.gz DRWQWGBBMLOJCB-SCZZXKLOSA-N 0 2 313.295 0.602 20 0 DCADLN CC(C)[C@H](C(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CC2)C1)[NH+](C)C ZINC001373648844 964421906 /nfs/dbraw/zinc/42/19/06/964421906.db2.gz QVDLEVCKHJQGQJ-UKRRQHHQSA-N 0 2 310.442 0.042 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)CNC(=O)C(F)C(F)(F)F ZINC001375602478 964595478 /nfs/dbraw/zinc/59/54/78/964595478.db2.gz UXIJYGPCBBZWOL-CBAPKCEASA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)CNC(=O)[C@H](F)C(F)(F)F ZINC001375602478 964595490 /nfs/dbraw/zinc/59/54/90/964595490.db2.gz UXIJYGPCBBZWOL-CBAPKCEASA-N 0 2 324.278 0.803 20 0 DCADLN COCC(=O)NC[C@H](NC(=O)C(F)C(F)(F)F)C(C)C ZINC001375935071 964988303 /nfs/dbraw/zinc/98/83/03/964988303.db2.gz SSRFXQSJCLNXHD-CBAPKCEASA-N 0 2 302.268 0.790 20 0 DCADLN COCC(=O)NC[C@H](NC(=O)[C@H](F)C(F)(F)F)C(C)C ZINC001375935071 964988309 /nfs/dbraw/zinc/98/83/09/964988309.db2.gz SSRFXQSJCLNXHD-CBAPKCEASA-N 0 2 302.268 0.790 20 0 DCADLN O=C(NCC1CC(NC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001369631474 965231219 /nfs/dbraw/zinc/23/12/19/965231219.db2.gz PIFZVABTHKFDIG-KAVNDROISA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NCC1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001369631474 965231231 /nfs/dbraw/zinc/23/12/31/965231231.db2.gz PIFZVABTHKFDIG-KAVNDROISA-N 0 2 322.262 0.935 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCn1cccc1 ZINC001374546178 965510233 /nfs/dbraw/zinc/51/02/33/965510233.db2.gz FXFLMPOIOMEZNB-UHFFFAOYSA-N 0 2 306.370 0.292 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCn1cccc1 ZINC001374546178 965510240 /nfs/dbraw/zinc/51/02/40/965510240.db2.gz FXFLMPOIOMEZNB-UHFFFAOYSA-N 0 2 306.370 0.292 20 0 DCADLN O=C(CC[C@@H]1CCOC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369966234 965622560 /nfs/dbraw/zinc/62/25/60/965622560.db2.gz GDYRBVSXMSUKQN-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN CC[C@H](C(=O)N[C@H]1CC[N@H+](Cc2cnnn2CC)C1)[NH+](C)C ZINC001369977576 965641656 /nfs/dbraw/zinc/64/16/56/965641656.db2.gz CMUJUCVWFZBNRL-GXTWGEPZSA-N 0 2 308.430 0.329 20 0 DCADLN CO[C@@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)C(C)C ZINC001374665868 965725090 /nfs/dbraw/zinc/72/50/90/965725090.db2.gz OPCQRVXRGVJAFP-RKDXNWHRSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@@H](C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)C(C)C ZINC001374665868 965725123 /nfs/dbraw/zinc/72/51/23/965725123.db2.gz OPCQRVXRGVJAFP-RKDXNWHRSA-N 0 2 314.279 0.885 20 0 DCADLN CCc1noc([C@H](C)[NH2+]CC[C@H](C)NC(=O)c2nnc[nH]2)n1 ZINC001374709600 965790920 /nfs/dbraw/zinc/79/09/20/965790920.db2.gz XBYFDPXKUFGVRI-IUCAKERBSA-N 0 2 307.358 0.609 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)oc1C ZINC001375027067 966254272 /nfs/dbraw/zinc/25/42/72/966254272.db2.gz XHHOZRXXVVKDTH-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)oc1C ZINC001375027067 966254279 /nfs/dbraw/zinc/25/42/79/966254279.db2.gz XHHOZRXXVVKDTH-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001370712699 966407583 /nfs/dbraw/zinc/40/75/83/966407583.db2.gz OKWVLRQJZIGBBJ-IUCAKERBSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CCCC1)[C@H](F)C(F)(F)F ZINC001370712699 966407586 /nfs/dbraw/zinc/40/75/86/966407586.db2.gz OKWVLRQJZIGBBJ-IUCAKERBSA-N 0 2 314.279 0.670 20 0 DCADLN CCc1[nH]ccc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381511409 966480947 /nfs/dbraw/zinc/48/09/47/966480947.db2.gz DLGWAPVYWWBUTQ-VIFPVBQESA-N 0 2 306.370 0.651 20 0 DCADLN CCc1[nH]ccc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381511409 966480951 /nfs/dbraw/zinc/48/09/51/966480951.db2.gz DLGWAPVYWWBUTQ-VIFPVBQESA-N 0 2 306.370 0.651 20 0 DCADLN CSC[C@H](C)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381773409 966673256 /nfs/dbraw/zinc/67/32/56/966673256.db2.gz QQEIAUQSZPNUDL-IUCAKERBSA-N 0 2 313.427 0.446 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CCC1)C(F)C(F)(F)F ZINC001382268895 967143372 /nfs/dbraw/zinc/14/33/72/967143372.db2.gz CZXOZMWAOPEKOW-HTQZYQBOSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CCC1)[C@@H](F)C(F)(F)F ZINC001382268895 967143374 /nfs/dbraw/zinc/14/33/74/967143374.db2.gz CZXOZMWAOPEKOW-HTQZYQBOSA-N 0 2 300.252 0.280 20 0 DCADLN CCOCC(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372272928 967822089 /nfs/dbraw/zinc/82/20/89/967822089.db2.gz YTEFOQAMYCWYHU-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001372272928 967822102 /nfs/dbraw/zinc/82/21/02/967822102.db2.gz YTEFOQAMYCWYHU-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnon1 ZINC001382985395 967827080 /nfs/dbraw/zinc/82/70/80/967827080.db2.gz MASPVLIIAWFKLJ-FSPLSTOPSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cnon1 ZINC001382985395 967827090 /nfs/dbraw/zinc/82/70/90/967827090.db2.gz MASPVLIIAWFKLJ-FSPLSTOPSA-N 0 2 312.223 0.547 20 0 DCADLN O=C([O-])CCC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000386894814 968141978 /nfs/dbraw/zinc/14/19/78/968141978.db2.gz GEDGQZAMWGOZNW-UHFFFAOYSA-N 0 2 306.362 1.000 20 0 DCADLN CCCN(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)C ZINC001372759491 968334324 /nfs/dbraw/zinc/33/43/24/968334324.db2.gz ORMQXFXQPLCJCE-UHFFFAOYSA-N 0 2 317.415 0.679 20 0 DCADLN Cc1cn(C)nc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001372862283 968474217 /nfs/dbraw/zinc/47/42/17/968474217.db2.gz DPRCIGRVANYHMF-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cn(C)nc1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001372862283 968474222 /nfs/dbraw/zinc/47/42/22/968474222.db2.gz DPRCIGRVANYHMF-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]c1 ZINC001372906712 968518608 /nfs/dbraw/zinc/51/86/08/968518608.db2.gz NZFFHQDXAKQFHX-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]c1 ZINC001372906712 968518619 /nfs/dbraw/zinc/51/86/19/968518619.db2.gz NZFFHQDXAKQFHX-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnc[nH]c1=O ZINC001372911366 968522314 /nfs/dbraw/zinc/52/23/14/968522314.db2.gz VJADKFZLPHDFPZ-CAHLUQPWSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnc[nH]c1=O ZINC001372911366 968522322 /nfs/dbraw/zinc/52/23/22/968522322.db2.gz VJADKFZLPHDFPZ-CAHLUQPWSA-N 0 2 324.234 0.317 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnc[nH]c1=O ZINC001373490018 969149663 /nfs/dbraw/zinc/14/96/63/969149663.db2.gz UCYXTEUJSQLKGJ-ZETCQYMHSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnc[nH]c1=O ZINC001373490018 969149669 /nfs/dbraw/zinc/14/96/69/969149669.db2.gz UCYXTEUJSQLKGJ-ZETCQYMHSA-N 0 2 324.234 0.271 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)CNC(=O)C(F)C(F)(F)F ZINC001431029488 1013875381 /nfs/dbraw/zinc/87/53/81/1013875381.db2.gz CLEPLGJJXPQVBQ-APPZFPTMSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001431029488 1013875384 /nfs/dbraw/zinc/87/53/84/1013875384.db2.gz CLEPLGJJXPQVBQ-APPZFPTMSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)c1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001448323040 1013870164 /nfs/dbraw/zinc/87/01/64/1013870164.db2.gz UHPIBUWYLXEEMO-NXEZZACHSA-N 0 2 319.365 0.844 20 0 DCADLN NC(=O)[C@H]1C[C@H](NS(=O)(=O)c2onc(C3CC3)c2Cl)C1 ZINC001475501981 1017118151 /nfs/dbraw/zinc/11/81/51/1017118151.db2.gz GPXNEOGHADKEFP-LJGSYFOKSA-N 0 2 319.770 0.748 20 0 DCADLN C[C@@H]1C[C@@H](N2CCCC2)C[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001610068694 970656843 /nfs/dbraw/zinc/65/68/43/970656843.db2.gz XKDNLOYDBLATPH-VXGBXAGGSA-N 0 2 304.412 0.044 20 0 DCADLN C[C@@H]1C[C@@H](N2CCCC2)C[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001610068694 970656848 /nfs/dbraw/zinc/65/68/48/970656848.db2.gz XKDNLOYDBLATPH-VXGBXAGGSA-N 0 2 304.412 0.044 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000320931414 970729005 /nfs/dbraw/zinc/72/90/05/970729005.db2.gz WLJYAULNHBZKCP-LLVKDONJSA-N 0 2 322.252 0.883 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000320931414 970729012 /nfs/dbraw/zinc/72/90/12/970729012.db2.gz WLJYAULNHBZKCP-LLVKDONJSA-N 0 2 322.252 0.883 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)[C@@H]1CCCN(CC(=O)[O-])C1=O ZINC001604174921 972591648 /nfs/dbraw/zinc/59/16/48/972591648.db2.gz LQDDCPWNNNWTRE-LBPRGKRZSA-N 0 2 322.365 0.055 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@H+](CC(=O)[O-])C1CCC1)C(C)C ZINC001604347955 973038193 /nfs/dbraw/zinc/03/81/93/973038193.db2.gz CKGQUHWEXQUVFF-ZDUSSCGKSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@@H+](CC(=O)[O-])C1CCC1)C(C)C ZINC001604347955 973038202 /nfs/dbraw/zinc/03/82/02/973038202.db2.gz CKGQUHWEXQUVFF-ZDUSSCGKSA-N 0 2 300.355 0.239 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CC[C@H](C)[C@H](C(=O)[O-])C2)CCO1 ZINC001603462102 973312499 /nfs/dbraw/zinc/31/24/99/973312499.db2.gz YBCFEOQGTKLWQO-YNEHKIRRSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CC[C@H](C)[C@H](C(=O)[O-])C2)CCO1 ZINC001603462102 973312509 /nfs/dbraw/zinc/31/25/09/973312509.db2.gz YBCFEOQGTKLWQO-YNEHKIRRSA-N 0 2 313.398 0.459 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)C3(C(=O)[O-])CCCCC3)CC[NH2+]2)cn1 ZINC001605490044 973638386 /nfs/dbraw/zinc/63/83/86/973638386.db2.gz XEQTZGPPQAAHEC-ZDUSSCGKSA-N 0 2 320.393 0.928 20 0 DCADLN CN(CCNC(=O)[C@H]1CCCO1)C(=O)C(F)C(F)(F)F ZINC001527898062 1014130529 /nfs/dbraw/zinc/13/05/29/1014130529.db2.gz HATGQLRXBNNZAY-SFYZADRCSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)[C@H]1CCCO1)C(=O)[C@H](F)C(F)(F)F ZINC001527898062 1014130536 /nfs/dbraw/zinc/13/05/36/1014130536.db2.gz HATGQLRXBNNZAY-SFYZADRCSA-N 0 2 300.252 0.640 20 0 DCADLN Cn1ncc(C(=O)[O-])c1CNc1ccc(N2CCOCC2)c[nH+]1 ZINC001605556201 973786031 /nfs/dbraw/zinc/78/60/31/973786031.db2.gz ZHZKRLOOEFOZIY-UHFFFAOYSA-N 0 2 317.349 0.962 20 0 DCADLN CN(CCNC(=O)Cc1cnn(C)c1)C(=O)C(F)C(F)(F)F ZINC001527976364 1014155859 /nfs/dbraw/zinc/15/58/59/1014155859.db2.gz ZLVSUWYZNUWBBL-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)Cc1cnn(C)c1)C(=O)[C@H](F)C(F)(F)F ZINC001527976364 1014155867 /nfs/dbraw/zinc/15/58/67/1014155867.db2.gz ZLVSUWYZNUWBBL-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)C[NH+]2[C@H](C)CC[C@H]2C)c1[O-] ZINC001522589433 1014221967 /nfs/dbraw/zinc/22/19/67/1014221967.db2.gz HBFGGBJKQHRIOY-NXEZZACHSA-N 0 2 323.397 0.143 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nc[nH]n2)C[C@H]1O)c1c(O)cccc1F ZINC001549521143 1014290018 /nfs/dbraw/zinc/29/00/18/1014290018.db2.gz ZINYMFZFLIIXKN-HOTUBEGUSA-N 0 2 320.324 0.934 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCC=C(c2cnn(C)c2)C1)C(=O)[O-] ZINC001591866019 976165789 /nfs/dbraw/zinc/16/57/89/976165789.db2.gz GHCBSUYCEHHROM-HNNXBMFYSA-N 0 2 320.393 0.735 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCC=C(c2cnn(C)c2)C1)C(=O)[O-] ZINC001591866019 976165792 /nfs/dbraw/zinc/16/57/92/976165792.db2.gz GHCBSUYCEHHROM-HNNXBMFYSA-N 0 2 320.393 0.735 20 0 DCADLN Cn1cc(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)n(C)c1=O ZINC001449237999 1014335981 /nfs/dbraw/zinc/33/59/81/1014335981.db2.gz BVBZROXCWPAQAT-UHFFFAOYSA-N 0 2 314.305 0.467 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@H+](Cc2c(Br)cnn2C)C1 ZINC000703758741 977190338 /nfs/dbraw/zinc/19/03/38/977190338.db2.gz MKKNJHCMNUSHFU-LLVKDONJSA-N 0 2 318.171 0.858 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@@H+](Cc2c(Br)cnn2C)C1 ZINC000703758741 977190344 /nfs/dbraw/zinc/19/03/44/977190344.db2.gz MKKNJHCMNUSHFU-LLVKDONJSA-N 0 2 318.171 0.858 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC000712838270 977609120 /nfs/dbraw/zinc/60/91/20/977609120.db2.gz XOLWEKRBJYLZKS-MXWKQRLJSA-N 0 2 301.368 0.444 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H](C)OC ZINC001528892829 1014449285 /nfs/dbraw/zinc/44/92/85/1014449285.db2.gz UVJAGXRADKSVBL-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@H](C)OC ZINC001528892829 1014449295 /nfs/dbraw/zinc/44/92/95/1014449295.db2.gz UVJAGXRADKSVBL-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1cnon1)C(F)C(F)(F)F ZINC001529312479 1014535919 /nfs/dbraw/zinc/53/59/19/1014535919.db2.gz RMWXRUXWWWPBLZ-POYBYMJQSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1cnon1)[C@@H](F)C(F)(F)F ZINC001529312479 1014535926 /nfs/dbraw/zinc/53/59/26/1014535926.db2.gz RMWXRUXWWWPBLZ-POYBYMJQSA-N 0 2 324.234 0.691 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001529446654 1014552216 /nfs/dbraw/zinc/55/22/16/1014552216.db2.gz VBZSZNMCQNYFBD-KBPBESRZSA-N 0 2 319.409 0.154 20 0 DCADLN CCC(CC)[C@H](C(=O)NC[C@H](F)C(=O)[O-])[NH+]1CCOCC1 ZINC001595105402 979422601 /nfs/dbraw/zinc/42/26/01/979422601.db2.gz MFZJIOIHYTYFPQ-NWDGAFQWSA-N 0 2 304.362 0.662 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)[C@H]1C[C@@H](C)[C@H](C(=O)[O-])O1 ZINC001595500533 981273473 /nfs/dbraw/zinc/27/34/73/981273473.db2.gz OPNBHCBTSSFNJB-YVECIDJPSA-N 0 2 314.382 0.092 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)[C@H]1C[C@@H](C)[C@H](C(=O)[O-])O1 ZINC001595500533 981273477 /nfs/dbraw/zinc/27/34/77/981273477.db2.gz OPNBHCBTSSFNJB-YVECIDJPSA-N 0 2 314.382 0.092 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CN1C[C@](CO)(c2ccccn2)CC1=O ZINC001595855269 982784503 /nfs/dbraw/zinc/78/45/03/982784503.db2.gz NEUOWZYEVMNUOJ-MRXNPFEDSA-N 0 2 321.377 0.298 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CN1C[C@](CO)(c2ccccn2)CC1=O ZINC001595855269 982784508 /nfs/dbraw/zinc/78/45/08/982784508.db2.gz NEUOWZYEVMNUOJ-MRXNPFEDSA-N 0 2 321.377 0.298 20 0 DCADLN C/C(=C\C(=O)N1CC[C@@H](C(=O)[O-])[C@@H](C)C1)C[NH+]1CCOCC1 ZINC001588937926 984620147 /nfs/dbraw/zinc/62/01/47/984620147.db2.gz YSQGKHLMKODLAV-UYEGUDHTSA-N 0 2 310.394 0.834 20 0 DCADLN C/C(=C\C[NH+]1CCN(C(=O)C(=O)OC(C)(C)C)CC1)C(=O)[O-] ZINC001588961457 984739722 /nfs/dbraw/zinc/73/97/22/984739722.db2.gz YVQAOTOXGFQVLZ-VZUCSPMQSA-N 0 2 312.366 0.503 20 0 DCADLN CCOC(=O)c1cc2n(n1)C[C@@H](C)[N@H+](C[C@](C)(O)C(=O)[O-])C2 ZINC001596713481 984935084 /nfs/dbraw/zinc/93/50/84/984935084.db2.gz BQCPDYMSXXGUAQ-OTYXRUKQSA-N 0 2 311.338 0.100 20 0 DCADLN CCOC(=O)c1cc2n(n1)C[C@@H](C)[N@@H+](C[C@](C)(O)C(=O)[O-])C2 ZINC001596713481 984935093 /nfs/dbraw/zinc/93/50/93/984935093.db2.gz BQCPDYMSXXGUAQ-OTYXRUKQSA-N 0 2 311.338 0.100 20 0 DCADLN CCn1ccnc1C(=O)N(C)C[C@H]1OCC[C@H]1c1n[nH]c(C)n1 ZINC001551459738 1015094557 /nfs/dbraw/zinc/09/45/57/1015094557.db2.gz LBKFKHRZEQNBRF-VXGBXAGGSA-N 0 2 318.381 0.974 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2cncnc2C)n[nH]1 ZINC001551461194 1015095408 /nfs/dbraw/zinc/09/54/08/1015095408.db2.gz QXOZXQBMXICHJC-WCQYABFASA-N 0 2 316.365 0.856 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2ccnnc2C)n[nH]1 ZINC001551459996 1015096575 /nfs/dbraw/zinc/09/65/75/1015096575.db2.gz NEYYXFQTFORWMC-STQMWFEESA-N 0 2 316.365 0.856 20 0 DCADLN CC(=O)N[C@@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C1CCCC1 ZINC001589100254 985311167 /nfs/dbraw/zinc/31/11/67/985311167.db2.gz IASSAZNILCYHNF-CHWSQXEVSA-N 0 2 322.365 0.217 20 0 DCADLN CC(=O)N[C@@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C1CCCC1 ZINC001589100254 985311172 /nfs/dbraw/zinc/31/11/72/985311172.db2.gz IASSAZNILCYHNF-CHWSQXEVSA-N 0 2 322.365 0.217 20 0 DCADLN CC(=O)NC1CC[NH+](CC(=O)Nc2ccccc2C(=O)[O-])CC1 ZINC001589121050 985391065 /nfs/dbraw/zinc/39/10/65/985391065.db2.gz IISMAZCCENZZMO-UHFFFAOYSA-N 0 2 319.361 0.924 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cocn1 ZINC001525368781 1015132915 /nfs/dbraw/zinc/13/29/15/1015132915.db2.gz WIPACSOVSRTCST-BBVRLYRLSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cocn1 ZINC001525368781 1015132919 /nfs/dbraw/zinc/13/29/19/1015132919.db2.gz WIPACSOVSRTCST-BBVRLYRLSA-N 0 2 309.219 0.952 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+](C[C@H](C)O)[C@@H](C)CO)c(C)c1C(=O)[O-] ZINC001599944585 985503010 /nfs/dbraw/zinc/50/30/10/985503010.db2.gz OJFWDSNOUQHDNE-IUCAKERBSA-N 0 2 312.366 0.576 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+](C[C@H](C)O)[C@@H](C)CO)c(C)c1C(=O)[O-] ZINC001599944585 985503012 /nfs/dbraw/zinc/50/30/12/985503012.db2.gz OJFWDSNOUQHDNE-IUCAKERBSA-N 0 2 312.366 0.576 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H](C(=O)[O-])[C@@H](C)O)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC001594503460 985698950 /nfs/dbraw/zinc/69/89/50/985698950.db2.gz YUOUZHZUYQHZIU-KVSVUVNWSA-N 0 2 316.398 0.070 20 0 DCADLN CCOC[C@@H](C(=O)[O-])N(C)C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001596872631 985706826 /nfs/dbraw/zinc/70/68/26/985706826.db2.gz NHCMBJJIHPTZNW-LBPRGKRZSA-N 0 2 309.366 0.710 20 0 DCADLN Cc1[nH]c(CN2CC[NH+](CC[S@@](C)=O)CC2)cc1C(=O)[O-] ZINC001599963524 985845978 /nfs/dbraw/zinc/84/59/78/985845978.db2.gz RGQHPPSILKCGJG-OAQYLSRUSA-N 0 2 313.423 0.517 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2CO[C@H](C)C2)n[nH]1 ZINC001551669093 1015171688 /nfs/dbraw/zinc/17/16/88/1015171688.db2.gz IQTJIWGCWIFSKJ-GWNIPJSYSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2snnc2C)n[nH]1 ZINC001551672040 1015173394 /nfs/dbraw/zinc/17/33/94/1015173394.db2.gz YLXPCGHEAXBIIJ-NXEZZACHSA-N 0 2 322.394 0.918 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)C2(O)CCCC2)n[nH]1 ZINC001551678242 1015175731 /nfs/dbraw/zinc/17/57/31/1015175731.db2.gz XAEVDTPWQHHHPD-VXGBXAGGSA-N 0 2 308.382 0.749 20 0 DCADLN COC[C@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001385057389 986052982 /nfs/dbraw/zinc/05/29/82/986052982.db2.gz CRUBLSKVJYXBLS-DTWKUNHWSA-N 0 2 314.279 0.840 20 0 DCADLN COC[C@H](C)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001385057389 986052996 /nfs/dbraw/zinc/05/29/96/986052996.db2.gz CRUBLSKVJYXBLS-DTWKUNHWSA-N 0 2 314.279 0.840 20 0 DCADLN CC(=O)c1ncc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])s1 ZINC001589323015 986140313 /nfs/dbraw/zinc/14/03/13/986140313.db2.gz QFULJJHEMIJEPF-QMMMGPOBSA-N 0 2 308.319 0.425 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CCCN(c2ncccc2F)CC1 ZINC001589399774 986563098 /nfs/dbraw/zinc/56/30/98/986563098.db2.gz GCARHJIIBMYQQH-LLVKDONJSA-N 0 2 324.356 0.322 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CCCN(c2ncccc2F)CC1 ZINC001589399774 986563107 /nfs/dbraw/zinc/56/31/07/986563107.db2.gz GCARHJIIBMYQQH-LLVKDONJSA-N 0 2 324.356 0.322 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC001594607306 986578220 /nfs/dbraw/zinc/57/82/20/986578220.db2.gz HHUFHXFUZVGSJP-IUODEOHRSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC001594607306 986578229 /nfs/dbraw/zinc/57/82/29/986578229.db2.gz HHUFHXFUZVGSJP-IUODEOHRSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H](CNC(=O)c1nccnc1N)NC(=O)C(F)C(F)(F)F ZINC001386209131 986958314 /nfs/dbraw/zinc/95/83/14/986958314.db2.gz SNWGQVZKFBYQSW-IYSWYEEDSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@H](CNC(=O)c1nccnc1N)NC(=O)[C@@H](F)C(F)(F)F ZINC001386209131 986958317 /nfs/dbraw/zinc/95/83/17/986958317.db2.gz SNWGQVZKFBYQSW-IYSWYEEDSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)NC(=O)C(F)C(F)(F)F ZINC001386439326 987149611 /nfs/dbraw/zinc/14/96/11/987149611.db2.gz FWUQAVAYVHGSBL-ABXGFROZSA-N 0 2 312.263 0.400 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)NC(=O)[C@H](F)C(F)(F)F ZINC001386439326 987149616 /nfs/dbraw/zinc/14/96/16/987149616.db2.gz FWUQAVAYVHGSBL-ABXGFROZSA-N 0 2 312.263 0.400 20 0 DCADLN CC[C@@H]1C(=O)NCCC[N@H+]1CC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC001597293389 987389579 /nfs/dbraw/zinc/38/95/79/987389579.db2.gz HKMPYSWCUVXOOE-NWDGAFQWSA-N 0 2 313.398 0.202 20 0 DCADLN CC[C@@H]1C(=O)NCCC[N@@H+]1CC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC001597293389 987389586 /nfs/dbraw/zinc/38/95/86/987389586.db2.gz HKMPYSWCUVXOOE-NWDGAFQWSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@H](CNC(=O)c1cnc[nH]c1=O)NC(=O)C(F)C(F)(F)F ZINC001386890807 987483091 /nfs/dbraw/zinc/48/30/91/987483091.db2.gz YVMPMDQSMJQFGC-VDTYLAMSSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)c1cnc[nH]c1=O)NC(=O)[C@H](F)C(F)(F)F ZINC001386890807 987483096 /nfs/dbraw/zinc/48/30/96/987483096.db2.gz YVMPMDQSMJQFGC-VDTYLAMSSA-N 0 2 324.234 0.317 20 0 DCADLN Cc1cnn(C)c1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001386934698 987513461 /nfs/dbraw/zinc/51/34/61/987513461.db2.gz LRESWMOBFJTYEG-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cnn(C)c1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001386934698 987513466 /nfs/dbraw/zinc/51/34/66/987513466.db2.gz LRESWMOBFJTYEG-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1nocc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387748711 988113395 /nfs/dbraw/zinc/11/33/95/988113395.db2.gz MDFQTVFBAPSRGF-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nocc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387748711 988113401 /nfs/dbraw/zinc/11/34/01/988113401.db2.gz MDFQTVFBAPSRGF-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN O=C(NC1CN(C(=O)c2ccnnc2)C1)C(F)C(F)(F)F ZINC001387752412 988118317 /nfs/dbraw/zinc/11/83/17/988118317.db2.gz ZMSCKWDGEQZPBT-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2ccnnc2)C1)[C@H](F)C(F)(F)F ZINC001387752412 988118320 /nfs/dbraw/zinc/11/83/20/988118320.db2.gz ZMSCKWDGEQZPBT-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN CC[C@H](CNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001450833357 1015385364 /nfs/dbraw/zinc/38/53/64/1015385364.db2.gz IRFWXDOMWQLEEM-RKDXNWHRSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)c1cnn(C)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001450833357 1015385378 /nfs/dbraw/zinc/38/53/78/1015385378.db2.gz IRFWXDOMWQLEEM-RKDXNWHRSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1cnnn1C ZINC001388785158 988591535 /nfs/dbraw/zinc/59/15/35/988591535.db2.gz BDFHNLKZOMEJOC-FKTZTGRPSA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001389327068 989123268 /nfs/dbraw/zinc/12/32/68/989123268.db2.gz GCNJAUFMCVRJOC-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001389327068 989123271 /nfs/dbraw/zinc/12/32/71/989123271.db2.gz GCNJAUFMCVRJOC-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN CCn1ncc(Cl)c1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001597957736 989973394 /nfs/dbraw/zinc/97/33/94/989973394.db2.gz FJLNWEPHGFMGNT-SECBINFHSA-N 0 2 311.729 0.636 20 0 DCADLN Cc1nc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001390859949 990443145 /nfs/dbraw/zinc/44/31/45/990443145.db2.gz GGXPGAQZZKSCQH-UHFFFAOYSA-N 0 2 310.383 0.445 20 0 DCADLN Cc1nc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001390859949 990443150 /nfs/dbraw/zinc/44/31/50/990443150.db2.gz GGXPGAQZZKSCQH-UHFFFAOYSA-N 0 2 310.383 0.445 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001390910379 990471507 /nfs/dbraw/zinc/47/15/07/990471507.db2.gz BDFXQGZLENWIHG-PWSUYJOCSA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001390910379 990471514 /nfs/dbraw/zinc/47/15/14/990471514.db2.gz BDFXQGZLENWIHG-PWSUYJOCSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1coc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001391172381 990639538 /nfs/dbraw/zinc/63/95/38/990639538.db2.gz JYKWZHCDNDDIBJ-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1coc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001391172381 990639542 /nfs/dbraw/zinc/63/95/42/990639542.db2.gz JYKWZHCDNDDIBJ-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CCC[C@@H](C)O)c1[O-] ZINC001391248981 990697121 /nfs/dbraw/zinc/69/71/21/990697121.db2.gz UKAFLDQYLMXEAR-RTXFEEFZSA-N 0 2 322.409 0.874 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CCC[C@@H](C)O)c1[O-] ZINC001391248981 990697125 /nfs/dbraw/zinc/69/71/25/990697125.db2.gz UKAFLDQYLMXEAR-RTXFEEFZSA-N 0 2 322.409 0.874 20 0 DCADLN CCCN(C(=O)[C@H]1CCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391259556 990704705 /nfs/dbraw/zinc/70/47/05/990704705.db2.gz IFZBERWXPINOMQ-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CCCN(C(=O)[C@H]1CCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391259556 990704714 /nfs/dbraw/zinc/70/47/14/990704714.db2.gz IFZBERWXPINOMQ-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001391306657 990733948 /nfs/dbraw/zinc/73/39/48/990733948.db2.gz RMXODQNNKFHGFL-WDEREUQCSA-N 0 2 323.397 0.000 20 0 DCADLN CNC(=O)[C@H](C)[N@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001391306657 990733952 /nfs/dbraw/zinc/73/39/52/990733952.db2.gz RMXODQNNKFHGFL-WDEREUQCSA-N 0 2 323.397 0.000 20 0 DCADLN O=C(CCc1cscn1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391517762 990910297 /nfs/dbraw/zinc/91/02/97/990910297.db2.gz LYTIRVRMRQPRJG-UHFFFAOYSA-N 0 2 322.394 0.148 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1nnn(C)n1 ZINC001392278956 991689581 /nfs/dbraw/zinc/68/95/81/991689581.db2.gz JWEQAMRQMZCXOY-QMMMGPOBSA-N 0 2 312.271 0.001 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)Cc1nnn(C)n1 ZINC001392278956 991689583 /nfs/dbraw/zinc/68/95/83/991689583.db2.gz JWEQAMRQMZCXOY-QMMMGPOBSA-N 0 2 312.271 0.001 20 0 DCADLN CC(C)C[C@H](C(=O)N1C[C@H](O)C[C@H](C(=O)[O-])C1)n1cc[nH+]c1 ZINC001591118715 991786148 /nfs/dbraw/zinc/78/61/48/991786148.db2.gz YFYMCMXCPDPMTA-YNEHKIRRSA-N 0 2 309.366 0.764 20 0 DCADLN Cc1nc(CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cs1 ZINC001392868480 992477994 /nfs/dbraw/zinc/47/79/94/992477994.db2.gz NPJGYBFJNIESEX-JTQLQIEISA-N 0 2 322.394 0.208 20 0 DCADLN C[N@H+](CC(=O)NCC1([NH2+]Cc2ncccn2)CC1)C1CCC1 ZINC001392915198 992537430 /nfs/dbraw/zinc/53/74/30/992537430.db2.gz ZXRAPZWJFISLDH-UHFFFAOYSA-N 0 2 303.410 0.699 20 0 DCADLN C[C@@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001393021743 992631704 /nfs/dbraw/zinc/63/17/04/992631704.db2.gz OXGMOPARSGBHON-JTQLQIEISA-N 0 2 319.365 0.940 20 0 DCADLN COC[C@H](C)CC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393124939 992703524 /nfs/dbraw/zinc/70/35/24/992703524.db2.gz TXJWDBNNFSNHSQ-QMTHXVAHSA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@H](C)CC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393124939 992703530 /nfs/dbraw/zinc/70/35/30/992703530.db2.gz TXJWDBNNFSNHSQ-QMTHXVAHSA-N 0 2 311.386 0.264 20 0 DCADLN CC(C)N1CC[NH+](CCC(=O)NC2(CC(=O)[O-])CCC2)CC1 ZINC001591630005 992930996 /nfs/dbraw/zinc/93/09/96/992930996.db2.gz MXRNEHCTZMVJNY-UHFFFAOYSA-N 0 2 311.426 0.916 20 0 DCADLN Cn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@@](C)(C(=O)[O-])C1 ZINC001598570062 993185750 /nfs/dbraw/zinc/18/57/50/993185750.db2.gz PUIVPVMVJVFUEG-UEKVPHQBSA-N 0 2 323.397 0.244 20 0 DCADLN Cn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@@](C)(C(=O)[O-])C1 ZINC001598570062 993185753 /nfs/dbraw/zinc/18/57/53/993185753.db2.gz PUIVPVMVJVFUEG-UEKVPHQBSA-N 0 2 323.397 0.244 20 0 DCADLN Cn1c(N2CC[C@@](C)(C(=O)[O-])C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001598570252 993186979 /nfs/dbraw/zinc/18/69/79/993186979.db2.gz RFIDBEKPOMDTEI-VCTAVGKDSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CC[C@@](C)(C(=O)[O-])C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001598570252 993186986 /nfs/dbraw/zinc/18/69/86/993186986.db2.gz RFIDBEKPOMDTEI-VCTAVGKDSA-N 0 2 323.397 0.028 20 0 DCADLN C[N@@H+]1CC[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])c(Cl)o2)C1 ZINC001598588396 993416813 /nfs/dbraw/zinc/41/68/13/993416813.db2.gz WWDONGWFIWZIMK-ZCFIWIBFSA-N 0 2 308.743 0.614 20 0 DCADLN C[N@H+]1CC[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])c(Cl)o2)C1 ZINC001598588396 993416819 /nfs/dbraw/zinc/41/68/19/993416819.db2.gz WWDONGWFIWZIMK-ZCFIWIBFSA-N 0 2 308.743 0.614 20 0 DCADLN Cc1ccoc1CC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394247785 993567445 /nfs/dbraw/zinc/56/74/45/993567445.db2.gz KFXWQGATJHZMHA-SNVBAGLBSA-N 0 2 319.365 0.591 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)c2csnc2C(=O)[O-])C(C)(C)C1 ZINC001598619858 993983015 /nfs/dbraw/zinc/98/30/15/993983015.db2.gz NWINIQDKFRPWKK-UHFFFAOYSA-N 0 2 319.408 0.556 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)c2csnc2C(=O)[O-])C(C)(C)C1 ZINC001598619858 993983023 /nfs/dbraw/zinc/98/30/23/993983023.db2.gz NWINIQDKFRPWKK-UHFFFAOYSA-N 0 2 319.408 0.556 20 0 DCADLN C[C@@H]1OCC[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400051785 994106200 /nfs/dbraw/zinc/10/62/00/994106200.db2.gz JXYSWHSXQQDATB-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1OCC[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400051785 994106203 /nfs/dbraw/zinc/10/62/03/994106203.db2.gz JXYSWHSXQQDATB-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1OCC[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400051770 994106922 /nfs/dbraw/zinc/10/69/22/994106922.db2.gz JXYSWHSXQQDATB-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1OCC[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400051770 994106929 /nfs/dbraw/zinc/10/69/29/994106929.db2.gz JXYSWHSXQQDATB-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]([NH2+][C@@H](C)c1cn(-c2ccccc2)nn1)C(=O)NCC(=O)[O-] ZINC001593203930 994193084 /nfs/dbraw/zinc/19/30/84/994193084.db2.gz JSLJERBLXPURRZ-WDEREUQCSA-N 0 2 317.349 0.507 20 0 DCADLN C[C@@H]([NH2+][C@@H]1CCN(CCC(=O)[O-])C1=O)c1nnc2ccccn21 ZINC001593217645 994274047 /nfs/dbraw/zinc/27/40/47/994274047.db2.gz BBTQXUPEYJPAIW-GHMZBOCLSA-N 0 2 317.349 0.456 20 0 DCADLN C[C@@H](CNC(=O)CC(C)(C)O)NC(=O)C(F)C(F)(F)F ZINC001400215883 994292465 /nfs/dbraw/zinc/29/24/65/994292465.db2.gz XEYGKRDWRHPCHQ-XPUUQOCRSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@@H](CNC(=O)CC(C)(C)O)NC(=O)[C@H](F)C(F)(F)F ZINC001400215883 994292478 /nfs/dbraw/zinc/29/24/78/994292478.db2.gz XEYGKRDWRHPCHQ-XPUUQOCRSA-N 0 2 302.268 0.669 20 0 DCADLN CC(C)CC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395684795 994877275 /nfs/dbraw/zinc/87/72/75/994877275.db2.gz FYPKEDUGUDJGBV-IONNQARKSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001395684795 994877290 /nfs/dbraw/zinc/87/72/90/994877290.db2.gz FYPKEDUGUDJGBV-IONNQARKSA-N 0 2 302.268 0.526 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2c(F)c(F)cc(F)c2F)n1 ZINC001464430143 1015908737 /nfs/dbraw/zinc/90/87/37/1015908737.db2.gz RVQCLEKAEQSNNF-UHFFFAOYSA-N 0 2 311.220 0.567 20 0 DCADLN CN(C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001396062836 995186325 /nfs/dbraw/zinc/18/63/25/995186325.db2.gz GONIGRNLALFRPB-NTSWFWBYSA-N 0 2 324.221 0.430 20 0 DCADLN CN(C[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)C(F)F ZINC001396062836 995186330 /nfs/dbraw/zinc/18/63/30/995186330.db2.gz GONIGRNLALFRPB-NTSWFWBYSA-N 0 2 324.221 0.430 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc(C(=O)[O-])oc1C ZINC001598702883 995246440 /nfs/dbraw/zinc/24/64/40/995246440.db2.gz QKXFTEGERHPBTK-SNVBAGLBSA-N 0 2 321.289 0.454 20 0 DCADLN COC(=O)[C@@H]([NH2+]C[C@H]1CC(C(=O)[O-])=C(C)O1)C1CCOCC1 ZINC001598709360 995328458 /nfs/dbraw/zinc/32/84/58/995328458.db2.gz VBZBHFLZFIIZHK-YPMHNXCESA-N 0 2 313.350 0.692 20 0 DCADLN CC[NH+](CC)CC(=O)N(C)C[C@@H]1CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001396478543 995422167 /nfs/dbraw/zinc/42/21/67/995422167.db2.gz JTRMNYBVHSTUGO-AWEZNQCLSA-N 0 2 321.425 0.353 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001598746742 995602354 /nfs/dbraw/zinc/60/23/54/995602354.db2.gz SSMFSCADOBAIKF-KOLCDFICSA-N 0 2 309.322 0.125 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CCC1 ZINC001397247120 995884575 /nfs/dbraw/zinc/88/45/75/995884575.db2.gz XCLUNSYZCMMTDK-SUZMYJTESA-N 0 2 323.397 0.404 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CCC1 ZINC001397247120 995884577 /nfs/dbraw/zinc/88/45/77/995884577.db2.gz XCLUNSYZCMMTDK-SUZMYJTESA-N 0 2 323.397 0.404 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CCC1 ZINC001397247116 995884621 /nfs/dbraw/zinc/88/46/21/995884621.db2.gz XCLUNSYZCMMTDK-CDMKHQONSA-N 0 2 323.397 0.404 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CCC1 ZINC001397247116 995884622 /nfs/dbraw/zinc/88/46/22/995884622.db2.gz XCLUNSYZCMMTDK-CDMKHQONSA-N 0 2 323.397 0.404 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397247725 995885314 /nfs/dbraw/zinc/88/53/14/995885314.db2.gz WDOUPWUHFJCXLC-XKSSXDPKSA-N 0 2 322.262 0.547 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397247725 995885315 /nfs/dbraw/zinc/88/53/15/995885315.db2.gz WDOUPWUHFJCXLC-XKSSXDPKSA-N 0 2 322.262 0.547 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001510859731 1016018441 /nfs/dbraw/zinc/01/84/41/1016018441.db2.gz BHGAYLDQYCOHAD-IUYFFOMESA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001510859731 1016018450 /nfs/dbraw/zinc/01/84/50/1016018450.db2.gz BHGAYLDQYCOHAD-IUYFFOMESA-N 0 2 314.279 0.836 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001398341713 996479263 /nfs/dbraw/zinc/47/92/63/996479263.db2.gz LNPRLAYAMPHMNS-WCQYABFASA-N 0 2 323.397 0.406 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)C1 ZINC001593783819 996498505 /nfs/dbraw/zinc/49/85/05/996498505.db2.gz XBDBQMBVDFAMKS-HNNXBMFYSA-N 0 2 322.365 0.103 20 0 DCADLN CC1(C)C(=O)N(C[N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1(C)C ZINC001593823074 996564179 /nfs/dbraw/zinc/56/41/79/996564179.db2.gz VMLVQNPRGWBGEI-OAHLLOKOSA-N 0 2 309.366 0.896 20 0 DCADLN CC1(C)C(=O)N(C[N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1(C)C ZINC001593823074 996564184 /nfs/dbraw/zinc/56/41/84/996564184.db2.gz VMLVQNPRGWBGEI-OAHLLOKOSA-N 0 2 309.366 0.896 20 0 DCADLN COC(=O)CCNC(=O)C[N@@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC001598854685 996628073 /nfs/dbraw/zinc/62/80/73/996628073.db2.gz NTCWNJMFINWJDR-UHFFFAOYSA-N 0 2 320.345 0.422 20 0 DCADLN COC(=O)CCNC(=O)C[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC001598854685 996628077 /nfs/dbraw/zinc/62/80/77/996628077.db2.gz NTCWNJMFINWJDR-UHFFFAOYSA-N 0 2 320.345 0.422 20 0 DCADLN COC(=O)Cc1cc[nH+]c(N2CC[C@]3(CC(C(=O)[O-])=NO3)C2)c1 ZINC001598873116 996747160 /nfs/dbraw/zinc/74/71/60/996747160.db2.gz JLTHMKLNSOZASM-HNNXBMFYSA-N 0 2 319.317 0.607 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cncnc1 ZINC001404395362 997068749 /nfs/dbraw/zinc/06/87/49/997068749.db2.gz WANLCESKVKHLIF-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cncnc1 ZINC001404395362 997068764 /nfs/dbraw/zinc/06/87/64/997068764.db2.gz WANLCESKVKHLIF-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@@H+]1Cc1cccc(C(=O)OC)n1 ZINC001599193113 997161713 /nfs/dbraw/zinc/16/17/13/997161713.db2.gz WLBBAYGAIMFTFL-HNNXBMFYSA-N 0 2 308.334 0.934 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@H+]1Cc1cccc(C(=O)OC)n1 ZINC001599193113 997161720 /nfs/dbraw/zinc/16/17/20/997161720.db2.gz WLBBAYGAIMFTFL-HNNXBMFYSA-N 0 2 308.334 0.934 20 0 DCADLN COC[C@@H](C)[N@H+](C)[C@@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001399294132 997170661 /nfs/dbraw/zinc/17/06/61/997170661.db2.gz ISQRGXOGHOPQFD-ZYHUDNBSSA-N 0 2 310.398 0.995 20 0 DCADLN COC[C@@H](C)[N@@H+](C)[C@@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001399294132 997170663 /nfs/dbraw/zinc/17/06/63/997170663.db2.gz ISQRGXOGHOPQFD-ZYHUDNBSSA-N 0 2 310.398 0.995 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C(=O)[O-])o1 ZINC001598903824 997225192 /nfs/dbraw/zinc/22/51/92/997225192.db2.gz PUBJENONRCEDEW-QMMMGPOBSA-N 0 2 307.262 0.215 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C(=O)[O-])o1 ZINC001598903824 997225202 /nfs/dbraw/zinc/22/52/02/997225202.db2.gz PUBJENONRCEDEW-QMMMGPOBSA-N 0 2 307.262 0.215 20 0 DCADLN Cc1nn(C)cc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404762377 997443036 /nfs/dbraw/zinc/44/30/36/997443036.db2.gz BXKYOFAWOQMXRJ-SNVBAGLBSA-N 0 2 308.279 0.929 20 0 DCADLN Cc1nn(C)cc1CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404762377 997443039 /nfs/dbraw/zinc/44/30/39/997443039.db2.gz BXKYOFAWOQMXRJ-SNVBAGLBSA-N 0 2 308.279 0.929 20 0 DCADLN O=C(CC1CC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405285297 998059923 /nfs/dbraw/zinc/05/99/23/998059923.db2.gz GESRYFUDAXIDSX-CBAPKCEASA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001405285297 998059935 /nfs/dbraw/zinc/05/99/35/998059935.db2.gz GESRYFUDAXIDSX-CBAPKCEASA-N 0 2 300.252 0.280 20 0 DCADLN C[C@@H]1C(=O)N(C)CC[N@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594125011 998298592 /nfs/dbraw/zinc/29/85/92/998298592.db2.gz GKBIOKXPGUFYNY-DGCLKSJQSA-N 0 2 322.361 0.287 20 0 DCADLN C[C@@H]1C(=O)N(C)CC[N@@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594125011 998298605 /nfs/dbraw/zinc/29/86/05/998298605.db2.gz GKBIOKXPGUFYNY-DGCLKSJQSA-N 0 2 322.361 0.287 20 0 DCADLN C[C@H]1OC(=O)N[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001467900036 1016207512 /nfs/dbraw/zinc/20/75/12/1016207512.db2.gz WQTFGYNDXGJAFD-HZGVNTEJSA-N 0 2 303.278 0.613 20 0 DCADLN COCCn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CC[C@@H](C(=O)[O-])C1 ZINC001599269454 998524341 /nfs/dbraw/zinc/52/43/41/998524341.db2.gz SOFFPMIBICLDDC-NEPJUHHUSA-N 0 2 323.397 0.602 20 0 DCADLN COCCn1c([C@@H]2CCC[N@H+]2C)nnc1N1CC[C@@H](C(=O)[O-])C1 ZINC001599269454 998524353 /nfs/dbraw/zinc/52/43/53/998524353.db2.gz SOFFPMIBICLDDC-NEPJUHHUSA-N 0 2 323.397 0.602 20 0 DCADLN Cn1nncc1C[NH2+]C1(CNC(=O)Cc2c[nH]c[nH+]2)CCCC1 ZINC001405773233 998548923 /nfs/dbraw/zinc/54/89/23/998548923.db2.gz IBVGNCAIJHUBKX-UHFFFAOYSA-N 0 2 317.397 0.300 20 0 DCADLN COC(=O)c1oc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1C ZINC001599017199 998948891 /nfs/dbraw/zinc/94/88/91/998948891.db2.gz FOWOODYNFPOHLW-SECBINFHSA-N 0 2 321.289 0.454 20 0 DCADLN C[C@@H]1C[C@H](O)C[N@@H+]1Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001594266068 999032094 /nfs/dbraw/zinc/03/20/94/999032094.db2.gz LSXCKYLFDSABHD-SCZZXKLOSA-N 0 2 303.318 0.989 20 0 DCADLN C[C@@H]1C[C@H](O)C[N@H+]1Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001594266068 999032099 /nfs/dbraw/zinc/03/20/99/999032099.db2.gz LSXCKYLFDSABHD-SCZZXKLOSA-N 0 2 303.318 0.989 20 0 DCADLN CCN1CCO[C@@H](C(=O)NCC[N@H+](C)Cc2cccnc2C)C1 ZINC001418523594 1000313096 /nfs/dbraw/zinc/31/30/96/1000313096.db2.gz GCQIGAYIWVFECO-MRXNPFEDSA-N 0 2 320.437 0.659 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C)nc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001418546663 1000334450 /nfs/dbraw/zinc/33/44/50/1000334450.db2.gz ZKABNKCDHBSVJT-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C)nc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001418546663 1000334452 /nfs/dbraw/zinc/33/44/52/1000334452.db2.gz ZKABNKCDHBSVJT-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnn(CC2CCCC2)c1 ZINC001418714510 1000470472 /nfs/dbraw/zinc/47/04/72/1000470472.db2.gz SPLCEUVOVAZFIO-UHFFFAOYSA-N 0 2 303.322 0.720 20 0 DCADLN O=C(CC[C@@H]1CCCO1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418748245 1000490352 /nfs/dbraw/zinc/49/03/52/1000490352.db2.gz MXGHBSONIAXIPS-LBPRGKRZSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(CC[C@@H]1CCCO1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418748245 1000490356 /nfs/dbraw/zinc/49/03/56/1000490356.db2.gz MXGHBSONIAXIPS-LBPRGKRZSA-N 0 2 323.397 0.550 20 0 DCADLN Cn1nncc1CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001419094810 1000764979 /nfs/dbraw/zinc/76/49/79/1000764979.db2.gz AHBNZFAJFPVWLP-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1nncc1CN1CCC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001419094810 1000764987 /nfs/dbraw/zinc/76/49/87/1000764987.db2.gz AHBNZFAJFPVWLP-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN NC(=O)CC1(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001470579290 1016460079 /nfs/dbraw/zinc/46/00/79/1016460079.db2.gz PMAVWZPWPYNFTL-SECBINFHSA-N 0 2 322.369 0.055 20 0 DCADLN O=C(COc1ccc(F)cc1F)NCc1nc(O)cc(=O)[nH]1 ZINC001470583073 1016462630 /nfs/dbraw/zinc/46/26/30/1016462630.db2.gz NKXRFWXSTOIYKF-UHFFFAOYSA-N 0 2 311.244 0.861 20 0 DCADLN COC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@@H]1CCCOC1 ZINC001419726525 1001254330 /nfs/dbraw/zinc/25/43/30/1001254330.db2.gz HKOCBKXVCZBRDH-KXUCPTDWSA-N 0 2 315.395 0.101 20 0 DCADLN O=C(N[C@@H](CO)[C@H](O)c1cccnc1)c1cc(F)c(O)c(F)c1 ZINC001471071134 1016521788 /nfs/dbraw/zinc/52/17/88/1016521788.db2.gz VSKISTTVEGJURC-QWHCGFSZSA-N 0 2 324.283 0.890 20 0 DCADLN CO[C@H](C)C[N@H+](C)[C@@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001420029231 1001771951 /nfs/dbraw/zinc/77/19/51/1001771951.db2.gz FYEVOMQPDNXLOC-ZYHUDNBSSA-N 0 2 310.398 0.995 20 0 DCADLN CO[C@H](C)C[N@@H+](C)[C@@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001420029231 1001771957 /nfs/dbraw/zinc/77/19/57/1001771957.db2.gz FYEVOMQPDNXLOC-ZYHUDNBSSA-N 0 2 310.398 0.995 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@@H+](C)C[C@@H](O)CC(F)(F)F)c1[O-] ZINC001403549120 1002121067 /nfs/dbraw/zinc/12/10/67/1002121067.db2.gz XQYZWCDLVTXVAI-QMMMGPOBSA-N 0 2 324.303 0.399 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@H+](C)C[C@@H](O)CC(F)(F)F)c1[O-] ZINC001403549120 1002121071 /nfs/dbraw/zinc/12/10/71/1002121071.db2.gz XQYZWCDLVTXVAI-QMMMGPOBSA-N 0 2 324.303 0.399 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001424969718 1002195632 /nfs/dbraw/zinc/19/56/32/1002195632.db2.gz CLWJXOOZABPYOF-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001424969718 1002195634 /nfs/dbraw/zinc/19/56/34/1002195634.db2.gz CLWJXOOZABPYOF-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN C[C@H](NC(=O)C[N@H+](C)CCNC(=O)C[NH+]1CCCC1)C1CC1 ZINC001420343705 1002256192 /nfs/dbraw/zinc/25/61/92/1002256192.db2.gz HUNRNYHKDQYKKO-ZDUSSCGKSA-N 0 2 310.442 0.045 20 0 DCADLN CC[N@H+](CCNC(=O)CC[C@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001420370582 1002294600 /nfs/dbraw/zinc/29/46/00/1002294600.db2.gz IOKLOBJRSMNFEL-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CC[N@@H+](CCNC(=O)CC[C@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001420370582 1002294606 /nfs/dbraw/zinc/29/46/06/1002294606.db2.gz IOKLOBJRSMNFEL-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CO[C@@H]1CCn2cc(C(=O)N[C@H](C)c3nn(C)cc3O)nc2C1 ZINC001420441641 1002420640 /nfs/dbraw/zinc/42/06/40/1002420640.db2.gz RZGPCWABTGKLID-NXEZZACHSA-N 0 2 319.365 0.774 20 0 DCADLN C[C@@H](NC(=O)c1cc2n(n1)CCC[C@@H]2O)c1nn(C)cc1O ZINC001420441623 1002421458 /nfs/dbraw/zinc/42/14/58/1002421458.db2.gz RXSKLYZFGMQIDA-KCJUWKMLSA-N 0 2 305.338 0.640 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)C1CN(Cc2nncn2C)C1 ZINC001425260677 1002489251 /nfs/dbraw/zinc/48/92/51/1002489251.db2.gz BIWVGCJTOWAKGQ-JTQLQIEISA-N 0 2 317.397 0.026 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001403889186 1002689007 /nfs/dbraw/zinc/68/90/07/1002689007.db2.gz AMDFHFWXDNQYDU-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001403889186 1002689013 /nfs/dbraw/zinc/68/90/13/1002689013.db2.gz AMDFHFWXDNQYDU-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H](C)C(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001403893633 1002695748 /nfs/dbraw/zinc/69/57/48/1002695748.db2.gz GWWMAZIZGYMESA-SSDOTTSWSA-N 0 2 309.292 0.647 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H](C)C(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001403893633 1002695751 /nfs/dbraw/zinc/69/57/51/1002695751.db2.gz GWWMAZIZGYMESA-SSDOTTSWSA-N 0 2 309.292 0.647 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)s1 ZINC001420669725 1002782306 /nfs/dbraw/zinc/78/23/06/1002782306.db2.gz JCNMCCKALJEUFE-SSDOTTSWSA-N 0 2 313.358 0.961 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)s1 ZINC001420669725 1002782318 /nfs/dbraw/zinc/78/23/18/1002782318.db2.gz JCNMCCKALJEUFE-SSDOTTSWSA-N 0 2 313.358 0.961 20 0 DCADLN CCCN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(CC(N)=O)C1 ZINC001420804456 1002965243 /nfs/dbraw/zinc/96/52/43/1002965243.db2.gz MLUPHVDIZCKVGH-WPRPVWTQSA-N 0 2 313.295 0.685 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001420807272 1002968403 /nfs/dbraw/zinc/96/84/03/1002968403.db2.gz CDERKXFPHWQAKE-QWRGUYRKSA-N 0 2 304.354 0.264 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)C[N@H+](C)[C@@H]2CCC[C@H]2O)co1 ZINC001472099955 1016731665 /nfs/dbraw/zinc/73/16/65/1016731665.db2.gz LAPJXLGUPPTCSC-CHWSQXEVSA-N 0 2 310.398 0.198 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)[C@@H]1COc2ccc(O)cc2O1 ZINC001420976537 1003194618 /nfs/dbraw/zinc/19/46/18/1003194618.db2.gz QJHODDDLYYJWHF-JTQLQIEISA-N 0 2 307.262 0.279 20 0 DCADLN CCc1nnc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)o1 ZINC001421030717 1003249301 /nfs/dbraw/zinc/24/93/01/1003249301.db2.gz NIHFNAFRKQFKJS-VIFPVBQESA-N 0 2 310.251 0.833 20 0 DCADLN CCc1nnc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)o1 ZINC001421030717 1003249313 /nfs/dbraw/zinc/24/93/13/1003249313.db2.gz NIHFNAFRKQFKJS-VIFPVBQESA-N 0 2 310.251 0.833 20 0 DCADLN C[C@@H](NC(=O)CC1(CS(C)(=O)=O)CC1)c1nn(C)cc1O ZINC001472235514 1016763319 /nfs/dbraw/zinc/76/33/19/1016763319.db2.gz CQQFJVKVLZNTJD-SECBINFHSA-N 0 2 315.395 0.518 20 0 DCADLN O=C(C[C@@H]1C[C@H]1C1CC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421144397 1003376887 /nfs/dbraw/zinc/37/68/87/1003376887.db2.gz LDHVOZDXUZVGPR-RYUDHWBXSA-N 0 2 305.382 0.495 20 0 DCADLN COCCC(=O)N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001428395704 1003906710 /nfs/dbraw/zinc/90/67/10/1003906710.db2.gz ATJDQHSUQWDUOL-GOZTYBTRSA-N 0 2 312.263 0.496 20 0 DCADLN COCCC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21 ZINC001428395704 1003906719 /nfs/dbraw/zinc/90/67/19/1003906719.db2.gz ATJDQHSUQWDUOL-GOZTYBTRSA-N 0 2 312.263 0.496 20 0 DCADLN Cn1cnc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001428382155 1003900251 /nfs/dbraw/zinc/90/02/51/1003900251.db2.gz FXNFYPAHKPLORT-SECBINFHSA-N 0 2 305.342 0.333 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)N(C)C(=O)C(F)C(F)(F)F ZINC001426709002 1003976024 /nfs/dbraw/zinc/97/60/24/1003976024.db2.gz SGDQKRLEDFANBG-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001426709002 1003976040 /nfs/dbraw/zinc/97/60/40/1003976040.db2.gz SGDQKRLEDFANBG-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN CCN(C)C(=O)[C@@H](C)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427427323 1004378339 /nfs/dbraw/zinc/37/83/39/1004378339.db2.gz VSDHEVCBAZNTJW-ZJUUUORDSA-N 0 2 324.385 0.266 20 0 DCADLN CC[C@H](SC)C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407188783 1004456596 /nfs/dbraw/zinc/45/65/96/1004456596.db2.gz YPWRWJOAPSMLDI-TVQRCGJNSA-N 0 2 313.427 0.733 20 0 DCADLN CO[C@H](C)C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001429505266 1004636266 /nfs/dbraw/zinc/63/62/66/1004636266.db2.gz AENINBYRDDZJSI-PRJMDXOYSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001429505266 1004636270 /nfs/dbraw/zinc/63/62/70/1004636270.db2.gz AENINBYRDDZJSI-PRJMDXOYSA-N 0 2 300.252 0.639 20 0 DCADLN CCOCC(=O)N(CC)CCNC(=O)C(F)C(F)(F)F ZINC001429598371 1004688445 /nfs/dbraw/zinc/68/84/45/1004688445.db2.gz TXZNOSHOEPDSSG-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCOCC(=O)N(CC)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001429598371 1004688450 /nfs/dbraw/zinc/68/84/50/1004688450.db2.gz TXZNOSHOEPDSSG-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001414195977 1005254072 /nfs/dbraw/zinc/25/40/72/1005254072.db2.gz ZRFUMQRKMRNXKJ-BBVRLYRLSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC001414195977 1005254075 /nfs/dbraw/zinc/25/40/75/1005254075.db2.gz ZRFUMQRKMRNXKJ-BBVRLYRLSA-N 0 2 324.234 0.595 20 0 DCADLN C[C@H](CNC(=O)c1cccc(=O)[nH]1)NC(=O)C(F)C(F)(F)F ZINC001415137221 1005467065 /nfs/dbraw/zinc/46/70/65/1005467065.db2.gz RWZAYLKEZKDFJU-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)c1cccc(=O)[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001415137221 1005467066 /nfs/dbraw/zinc/46/70/66/1005467066.db2.gz RWZAYLKEZKDFJU-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN Cc1nonc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001415200869 1005480306 /nfs/dbraw/zinc/48/03/06/1005480306.db2.gz HZAVHQSVOFPUTN-FFWSUHOLSA-N 0 2 312.223 0.513 20 0 DCADLN Cc1nonc1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001415200869 1005480307 /nfs/dbraw/zinc/48/03/07/1005480307.db2.gz HZAVHQSVOFPUTN-FFWSUHOLSA-N 0 2 312.223 0.513 20 0 DCADLN O=C(NC1CN(C(=O)c2cnc[nH]c2=O)C1)C(F)C(F)(F)F ZINC001416028490 1005651971 /nfs/dbraw/zinc/65/19/71/1005651971.db2.gz GYIHNHQQXFURNA-ZETCQYMHSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)c2cnc[nH]c2=O)C1)[C@H](F)C(F)(F)F ZINC001416028490 1005651972 /nfs/dbraw/zinc/65/19/72/1005651972.db2.gz GYIHNHQQXFURNA-ZETCQYMHSA-N 0 2 322.218 0.023 20 0 DCADLN CC[C@H](CNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001416179686 1005667413 /nfs/dbraw/zinc/66/74/13/1005667413.db2.gz KUQPEZHRUDGMRP-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN CC[C@H](CNC(=O)Cn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001416179686 1005667414 /nfs/dbraw/zinc/66/74/14/1005667414.db2.gz KUQPEZHRUDGMRP-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cncn1C ZINC001416668816 1005721899 /nfs/dbraw/zinc/72/18/99/1005721899.db2.gz RPXTZJXQSRBYBN-VIFPVBQESA-N 0 2 324.278 0.851 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1cncn1C ZINC001416668816 1005721901 /nfs/dbraw/zinc/72/19/01/1005721901.db2.gz RPXTZJXQSRBYBN-VIFPVBQESA-N 0 2 324.278 0.851 20 0 DCADLN Cc1ccnc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001416806853 1005739296 /nfs/dbraw/zinc/73/92/96/1005739296.db2.gz XSMLSBUYDKEVMS-HTQZYQBOSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccnc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001416806853 1005739297 /nfs/dbraw/zinc/73/92/97/1005739297.db2.gz XSMLSBUYDKEVMS-HTQZYQBOSA-N 0 2 322.262 0.920 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1)C(F)C(F)(F)F ZINC001417147328 1005793197 /nfs/dbraw/zinc/79/31/97/1005793197.db2.gz SBJBIYFDAFENST-RYPBNFRJSA-N 0 2 324.274 0.544 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1)[C@@H](F)C(F)(F)F ZINC001417147328 1005793199 /nfs/dbraw/zinc/79/31/99/1005793199.db2.gz SBJBIYFDAFENST-RYPBNFRJSA-N 0 2 324.274 0.544 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C[C@H]1CCNC1=O ZINC001417723839 1005876612 /nfs/dbraw/zinc/87/66/12/1005876612.db2.gz BYBWCJHYFGIBML-SNVBAGLBSA-N 0 2 315.333 0.950 20 0 DCADLN C[C@H](CC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001417760196 1005885564 /nfs/dbraw/zinc/88/55/64/1005885564.db2.gz LNFGZIGLHGTZIQ-PWSUYJOCSA-N 0 2 307.398 0.979 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCC1(F)F)Cc1n[nH]c(=O)[nH]1 ZINC001438889605 1006198459 /nfs/dbraw/zinc/19/84/59/1006198459.db2.gz KBDMTFHADAGAEF-QMMMGPOBSA-N 0 2 303.313 0.446 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC1(F)F ZINC001438889605 1006198470 /nfs/dbraw/zinc/19/84/70/1006198470.db2.gz KBDMTFHADAGAEF-QMMMGPOBSA-N 0 2 303.313 0.446 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC1(F)F ZINC001438889605 1006198480 /nfs/dbraw/zinc/19/84/80/1006198480.db2.gz KBDMTFHADAGAEF-QMMMGPOBSA-N 0 2 303.313 0.446 20 0 DCADLN CN(Cc1nc(=O)o[n-]1)C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC001452387445 1006788739 /nfs/dbraw/zinc/78/87/39/1006788739.db2.gz MXSNYIBJAZONPG-UHFFFAOYSA-N 0 2 315.289 0.526 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001439386552 1006793369 /nfs/dbraw/zinc/79/33/69/1006793369.db2.gz PUWREWFFSDINIF-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001439386552 1006793381 /nfs/dbraw/zinc/79/33/81/1006793381.db2.gz PUWREWFFSDINIF-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN CCc1c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001437518441 1007088566 /nfs/dbraw/zinc/08/85/66/1007088566.db2.gz WEAJDOUFLDLVII-UHFFFAOYSA-N 0 2 306.370 0.273 20 0 DCADLN CCc1c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001437518441 1007088581 /nfs/dbraw/zinc/08/85/81/1007088581.db2.gz WEAJDOUFLDLVII-UHFFFAOYSA-N 0 2 306.370 0.273 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cn[nH]n1)C(=O)C(F)C(F)(F)F ZINC001452858692 1007119683 /nfs/dbraw/zinc/11/96/83/1007119683.db2.gz HSSRQCWYVOQQTQ-SVRRBLITSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cn[nH]n1)C(=O)[C@H](F)C(F)(F)F ZINC001452858692 1007119692 /nfs/dbraw/zinc/11/96/92/1007119692.db2.gz HSSRQCWYVOQQTQ-SVRRBLITSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C[NH+]1CCCC1)C(=O)Cn1cc[nH+]c1 ZINC001452860900 1007120396 /nfs/dbraw/zinc/12/03/96/1007120396.db2.gz JUATXGFUNUIZPJ-CQSZACIVSA-N 0 2 319.409 0.038 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN([C@@H]2CCCNC2=O)C1 ZINC001439725327 1007156372 /nfs/dbraw/zinc/15/63/72/1007156372.db2.gz JGXKWZBFKPTWOQ-RKDXNWHRSA-N 0 2 311.279 0.308 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN([C@@H]2CCCNC2=O)C1 ZINC001439725327 1007156378 /nfs/dbraw/zinc/15/63/78/1007156378.db2.gz JGXKWZBFKPTWOQ-RKDXNWHRSA-N 0 2 311.279 0.308 20 0 DCADLN CC[N@H+](CCNC(=O)c1cccnc1C)Cc1n[nH]c(=O)[n-]1 ZINC001437621077 1007183412 /nfs/dbraw/zinc/18/34/12/1007183412.db2.gz PFIDLBHRYCLINT-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cccnc1C)Cc1n[nH]c(=O)[n-]1 ZINC001437621077 1007183416 /nfs/dbraw/zinc/18/34/16/1007183416.db2.gz PFIDLBHRYCLINT-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)ncn1 ZINC001437783337 1007402276 /nfs/dbraw/zinc/40/22/76/1007402276.db2.gz HYVDOFOLVCJBRT-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)ncn1 ZINC001437783337 1007402282 /nfs/dbraw/zinc/40/22/82/1007402282.db2.gz HYVDOFOLVCJBRT-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(CC[C@@H]1CCCCO1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438139345 1007663037 /nfs/dbraw/zinc/66/30/37/1007663037.db2.gz IQXYOOSVCNFJFW-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN CC[C@@H](C[N@@H+]1CCO[C@H](CNC(=O)C[NH+]2CCCC2)C1)OC ZINC001438587286 1008014191 /nfs/dbraw/zinc/01/41/91/1008014191.db2.gz MDWUYBXDORJBJW-LSDHHAIUSA-N 0 2 313.442 0.324 20 0 DCADLN O=C(COc1ccsc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441122211 1008229350 /nfs/dbraw/zinc/22/93/50/1008229350.db2.gz SFSBENNASHTHSC-SECBINFHSA-N 0 2 323.378 0.341 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cc(-c3cccnc3)on2)C(=O)N1C ZINC001453749112 1008698478 /nfs/dbraw/zinc/69/84/78/1008698478.db2.gz MBRTZCVETZMDBO-MRVPVSSYSA-N 0 2 315.289 0.664 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cc(F)cc3n[nH]nc32)C(=O)N1C ZINC001453752184 1008700462 /nfs/dbraw/zinc/70/04/62/1008700462.db2.gz VCXMCSGZFWNNTA-YFKPBYRVSA-N 0 2 306.257 0.024 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001454028463 1008863783 /nfs/dbraw/zinc/86/37/83/1008863783.db2.gz RYTKLHUEKQXFEF-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001454028463 1008863794 /nfs/dbraw/zinc/86/37/94/1008863794.db2.gz RYTKLHUEKQXFEF-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)NC(=O)C1=CCOCC1 ZINC001433534480 1008911723 /nfs/dbraw/zinc/91/17/23/1008911723.db2.gz HQLNUEOGPSQMQK-JTQLQIEISA-N 0 2 306.366 0.228 20 0 DCADLN Cn1nc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1C(F)(F)F ZINC001454191650 1008987923 /nfs/dbraw/zinc/98/79/23/1008987923.db2.gz PINBUTAPUDVYAG-UHFFFAOYSA-N 0 2 317.227 0.570 20 0 DCADLN Cn1nc(C(=O)NCC2=NC(=O)CC(=O)N2)cc1C(F)(F)F ZINC001454191650 1008987937 /nfs/dbraw/zinc/98/79/37/1008987937.db2.gz PINBUTAPUDVYAG-UHFFFAOYSA-N 0 2 317.227 0.570 20 0 DCADLN COCC(C)(C)C(=O)N1CC[C@@H](C[NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001442160308 1009035547 /nfs/dbraw/zinc/03/55/47/1009035547.db2.gz OZXMMOQCNGWINQ-JTQLQIEISA-N 0 2 311.386 0.121 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001454328328 1009076665 /nfs/dbraw/zinc/07/66/65/1009076665.db2.gz RCJNORZPHPIWHS-WYDQCIBASA-N 0 2 309.223 0.082 20 0 DCADLN Cn1nccc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001433845487 1009250705 /nfs/dbraw/zinc/25/07/05/1009250705.db2.gz AYOWSFKZAZGCQD-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1nccc1C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001433845487 1009250712 /nfs/dbraw/zinc/25/07/12/1009250712.db2.gz AYOWSFKZAZGCQD-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)N(C)C[C@@H](C)c2nn[nH]n2)cc1=O ZINC001433891038 1009311587 /nfs/dbraw/zinc/31/15/87/1009311587.db2.gz NHGRKKMPUORJJH-SNVBAGLBSA-N 0 2 321.385 0.150 20 0 DCADLN CO[C@H]1COCC[C@@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001434022621 1009466790 /nfs/dbraw/zinc/46/67/90/1009466790.db2.gz XDUKEAWIGVMJRS-MFKMUULPSA-N 0 2 319.365 0.592 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001442567479 1009481733 /nfs/dbraw/zinc/48/17/33/1009481733.db2.gz USMLYWYKDYYMOQ-NSHDSACASA-N 0 2 303.366 0.862 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001442567479 1009481743 /nfs/dbraw/zinc/48/17/43/1009481743.db2.gz USMLYWYKDYYMOQ-NSHDSACASA-N 0 2 303.366 0.862 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001434066775 1009515377 /nfs/dbraw/zinc/51/53/77/1009515377.db2.gz JRXHQHIKJHCLTP-CBAPKCEASA-N 0 2 314.279 0.981 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001434066775 1009515358 /nfs/dbraw/zinc/51/53/58/1009515358.db2.gz JRXHQHIKJHCLTP-CBAPKCEASA-N 0 2 314.279 0.981 20 0 DCADLN Cc1ncc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)[nH]1 ZINC001455183288 1009561252 /nfs/dbraw/zinc/56/12/52/1009561252.db2.gz KRDBGNLHJJZFGD-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1ncc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)[nH]1 ZINC001455183288 1009561266 /nfs/dbraw/zinc/56/12/66/1009561266.db2.gz KRDBGNLHJJZFGD-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001442783993 1009732216 /nfs/dbraw/zinc/73/22/16/1009732216.db2.gz FZHQDIZKPXEMOJ-VXGBXAGGSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001442783993 1009732225 /nfs/dbraw/zinc/73/22/25/1009732225.db2.gz FZHQDIZKPXEMOJ-VXGBXAGGSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCc2nnn(C)c2C1)c1nn(C)cc1O ZINC001434405621 1009911712 /nfs/dbraw/zinc/91/17/12/1009911712.db2.gz BAOKLAGEQBLACE-DTWKUNHWSA-N 0 2 304.354 0.237 20 0 DCADLN Cc1ncoc1C[NH2+][C@@H](C)[C@H](C)NC(=O)CCc1cnn[nH]1 ZINC001422377250 1009979566 /nfs/dbraw/zinc/97/95/66/1009979566.db2.gz MVQLHPZDYGVULH-UWVGGRQHSA-N 0 2 306.370 0.717 20 0 DCADLN CC(=O)Nc1ccc(S(=O)(=O)Nc2nnn(C)n2)c(F)c1 ZINC001434614307 1010135366 /nfs/dbraw/zinc/13/53/66/1010135366.db2.gz YPZAHQOLDPGKOO-UHFFFAOYSA-N 0 2 314.302 0.108 20 0 DCADLN Cc1cc(OCC(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)no1 ZINC001456241607 1010142384 /nfs/dbraw/zinc/14/23/84/1010142384.db2.gz RCWLAESXEBKVBS-UHFFFAOYSA-N 0 2 322.321 0.573 20 0 DCADLN CSCC[C@@H](O)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001456252013 1010147756 /nfs/dbraw/zinc/14/77/56/1010147756.db2.gz PQHNNCLDGMRRCM-SECBINFHSA-N 0 2 315.395 0.311 20 0 DCADLN C[C@@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)n1cncn1 ZINC001456284885 1010168929 /nfs/dbraw/zinc/16/89/29/1010168929.db2.gz LXCFHHHTKCHGEK-POYBYMJQSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@@H](C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)n1cncn1 ZINC001456284885 1010168933 /nfs/dbraw/zinc/16/89/33/1010168933.db2.gz LXCFHHHTKCHGEK-POYBYMJQSA-N 0 2 323.250 0.067 20 0 DCADLN CC(C)CCCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456311646 1010186996 /nfs/dbraw/zinc/18/69/96/1010186996.db2.gz QOEAGYHAFMCICT-UHFFFAOYSA-N 0 2 302.400 0.792 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)Nc2ccsc2)[nH]1 ZINC001434705234 1010187164 /nfs/dbraw/zinc/18/71/64/1010187164.db2.gz OWFQJPJGNDRUOC-UHFFFAOYSA-N 0 2 315.380 0.733 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nncn1C ZINC001422507786 1010207121 /nfs/dbraw/zinc/20/71/21/1010207121.db2.gz LETHIAAFXUSBSW-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1nncn1C ZINC001422507786 1010207127 /nfs/dbraw/zinc/20/71/27/1010207127.db2.gz LETHIAAFXUSBSW-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN Cc1nc(CC(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001422913853 1010732627 /nfs/dbraw/zinc/73/26/27/1010732627.db2.gz IROLLYSCWCIGSJ-MRVPVSSYSA-N 0 2 324.410 0.454 20 0 DCADLN Cc1nc(CC(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001422913853 1010732636 /nfs/dbraw/zinc/73/26/36/1010732636.db2.gz IROLLYSCWCIGSJ-MRVPVSSYSA-N 0 2 324.410 0.454 20 0 DCADLN CC1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC(F)(F)C1 ZINC001423174741 1010943966 /nfs/dbraw/zinc/94/39/66/1010943966.db2.gz OEZSXNPUWJFFCO-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN CCC[C@@H](C(=O)NCC1(NCc2nc(=O)n(C)[nH]2)CC1)C(C)C ZINC001423283013 1011045281 /nfs/dbraw/zinc/04/52/81/1011045281.db2.gz HTEUOYMFEGJNSE-GFCCVEGCSA-N 0 2 323.441 0.919 20 0 DCADLN CN(C(=O)COc1ccsc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001423433640 1011147067 /nfs/dbraw/zinc/14/70/67/1011147067.db2.gz GNZIKHMYAVNNDF-UHFFFAOYSA-N 0 2 323.378 0.293 20 0 DCADLN CN(C)C(=O)Cn1cnc(NC(=O)c2ocnc2C(F)F)n1 ZINC001456352338 1011214885 /nfs/dbraw/zinc/21/48/85/1011214885.db2.gz CRYGBFDADDNLOB-UHFFFAOYSA-N 0 2 314.252 0.544 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cccnc1 ZINC001444967608 1011261883 /nfs/dbraw/zinc/26/18/83/1011261883.db2.gz AKHSLGUQJVBBEH-UHFFFAOYSA-N 0 2 304.354 0.038 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cccnc1 ZINC001444967608 1011261891 /nfs/dbraw/zinc/26/18/91/1011261891.db2.gz AKHSLGUQJVBBEH-UHFFFAOYSA-N 0 2 304.354 0.038 20 0 DCADLN C[C@@H](NC(=O)C1CC2(C1)CS(=O)(=O)C2)c1nn(C)cc1O ZINC001456790172 1011462591 /nfs/dbraw/zinc/46/25/91/1011462591.db2.gz RTWSAYOVKJFLJU-MRVPVSSYSA-N 0 2 313.379 0.128 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cocn1)C(F)C(F)(F)F ZINC001534851590 1011700841 /nfs/dbraw/zinc/70/08/41/1011700841.db2.gz YJNOHOVXGWBUEN-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cocn1)[C@@H](F)C(F)(F)F ZINC001534851590 1011700854 /nfs/dbraw/zinc/70/08/54/1011700854.db2.gz YJNOHOVXGWBUEN-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001432044340 1011904996 /nfs/dbraw/zinc/90/49/96/1011904996.db2.gz WHGGNFIFIXNEKB-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001432044340 1011905006 /nfs/dbraw/zinc/90/50/06/1011905006.db2.gz WHGGNFIFIXNEKB-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)N(C)C(=O)C(F)C(F)(F)F ZINC001424074201 1011953389 /nfs/dbraw/zinc/95/33/89/1011953389.db2.gz SZGPOPSPQWBYPJ-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001424074201 1011953402 /nfs/dbraw/zinc/95/34/02/1011953402.db2.gz SZGPOPSPQWBYPJ-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C[C@@H]1C1CC1 ZINC001553471577 1012007572 /nfs/dbraw/zinc/00/75/72/1012007572.db2.gz KEPOYMWKZXDOBR-JHJVBQTASA-N 0 2 318.377 0.950 20 0 DCADLN O=C(NC[C@@]1(CO)COC[C@@H]2CCC[N@@H+]21)c1ccc(F)cc1[O-] ZINC001458047089 1012059666 /nfs/dbraw/zinc/05/96/66/1012059666.db2.gz YBSWUGPJUOXEPM-BLLLJJGKSA-N 0 2 324.352 0.487 20 0 DCADLN O=C(NC[C@@]1(CO)COC[C@@H]2CCC[N@H+]21)c1ccc(F)cc1[O-] ZINC001458047089 1012059674 /nfs/dbraw/zinc/05/96/74/1012059674.db2.gz YBSWUGPJUOXEPM-BLLLJJGKSA-N 0 2 324.352 0.487 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001432259688 1012216065 /nfs/dbraw/zinc/21/60/65/1012216065.db2.gz DYFBQIQIQKCSSA-WCQYABFASA-N 0 2 320.393 0.451 20 0 DCADLN Cc1ccoc1CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445818407 1012298831 /nfs/dbraw/zinc/29/88/31/1012298831.db2.gz WCBVTGJKNFSTPN-JTQLQIEISA-N 0 2 307.354 0.591 20 0 DCADLN Cc1ccoc1CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445818407 1012298848 /nfs/dbraw/zinc/29/88/48/1012298848.db2.gz WCBVTGJKNFSTPN-JTQLQIEISA-N 0 2 307.354 0.591 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccncn1)C(F)C(F)(F)F ZINC001458725191 1012326230 /nfs/dbraw/zinc/32/62/30/1012326230.db2.gz KUZGANPPESSVNX-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccncn1)[C@H](F)C(F)(F)F ZINC001458725191 1012326247 /nfs/dbraw/zinc/32/62/47/1012326247.db2.gz KUZGANPPESSVNX-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001458733424 1012329595 /nfs/dbraw/zinc/32/95/95/1012329595.db2.gz WICFTECVXDNJGE-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001458733424 1012329609 /nfs/dbraw/zinc/32/96/09/1012329609.db2.gz WICFTECVXDNJGE-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN COc1c(F)nccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001539550480 1012441575 /nfs/dbraw/zinc/44/15/75/1012441575.db2.gz QAMSMOHUAZONFQ-UHFFFAOYSA-N 0 2 318.268 0.253 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N[C@H]2CC=CCC2)[nH]1 ZINC001564103242 1012519863 /nfs/dbraw/zinc/51/98/63/1012519863.db2.gz OEBUWTRHMBSEIR-VIFPVBQESA-N 0 2 313.383 0.257 20 0 DCADLN Cc1cc(C[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)no1 ZINC001446157913 1012644951 /nfs/dbraw/zinc/64/49/51/1012644951.db2.gz NPRXGUUQUSRRCU-UHFFFAOYSA-N 0 2 305.338 0.772 20 0 DCADLN COc1cncc(C[NH2+]C2(CNC(=O)C[NH+]3CCCC3)CC2)n1 ZINC001446274333 1012717893 /nfs/dbraw/zinc/71/78/93/1012717893.db2.gz IWGBNCBGISTZRZ-UHFFFAOYSA-N 0 2 319.409 0.319 20 0 DCADLN CO[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)CC(C)C ZINC001460987138 1012999654 /nfs/dbraw/zinc/99/96/54/1012999654.db2.gz GTHVHCMTAFCPGO-SNVBAGLBSA-N 0 2 307.354 0.249 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)OCC1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506595599 1017388892 /nfs/dbraw/zinc/38/88/92/1017388892.db2.gz UFHCZAWIHIXSEV-ZJUUUORDSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001506778621 1017539825 /nfs/dbraw/zinc/53/98/25/1017539825.db2.gz UENXAQYDOVSZAG-KCJUWKMLSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)C1)C1CC1 ZINC001506778621 1017539835 /nfs/dbraw/zinc/53/98/35/1017539835.db2.gz UENXAQYDOVSZAG-KCJUWKMLSA-N 0 2 312.263 0.376 20 0 DCADLN CCCOCC(=O)N1CCC[C@H]1CNC(=O)Cc1[nH]c[nH+]c1C ZINC001497127565 1017901039 /nfs/dbraw/zinc/90/10/39/1017901039.db2.gz UQWRENFPNFMNAG-ZDUSSCGKSA-N 0 2 322.409 0.794 20 0 DCADLN CCOCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494800876 1018043038 /nfs/dbraw/zinc/04/30/38/1018043038.db2.gz GHKWVPIUSQUBMR-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCOCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494800876 1018043054 /nfs/dbraw/zinc/04/30/54/1018043054.db2.gz GHKWVPIUSQUBMR-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCO[C@@H](C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001494829014 1018084125 /nfs/dbraw/zinc/08/41/25/1018084125.db2.gz VCCBUXGRUYYVON-WCQYABFASA-N 0 2 323.397 0.358 20 0 DCADLN CCOCC[N@@H+]1CC[C@H](N(CC)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001494895419 1018138231 /nfs/dbraw/zinc/13/82/31/1018138231.db2.gz WANTWZUFYZHQSP-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN CCOCC[N@H+]1CC[C@H](N(CC)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001494895419 1018138235 /nfs/dbraw/zinc/13/82/35/1018138235.db2.gz WANTWZUFYZHQSP-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN COC[C@@H](C)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001500839435 1018684320 /nfs/dbraw/zinc/68/43/20/1018684320.db2.gz DLYSZKROMIOARZ-HTQZYQBOSA-N 0 2 302.268 0.085 20 0 DCADLN Cc1cnc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001498224538 1018775292 /nfs/dbraw/zinc/77/52/92/1018775292.db2.gz XQZVMUGKNLEQQY-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cnc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001498224538 1018775307 /nfs/dbraw/zinc/77/53/07/1018775307.db2.gz XQZVMUGKNLEQQY-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN O=C(NC1CN(C(=O)[C@]23C[C@H]2COC3)C1)C(F)C(F)(F)F ZINC001498224566 1018775359 /nfs/dbraw/zinc/77/53/59/1018775359.db2.gz YMOHAIZDJVGRIH-RASWFIQWSA-N 0 2 310.247 0.250 20 0 DCADLN O=C(NC1CN(C(=O)[C@]23C[C@H]2COC3)C1)[C@H](F)C(F)(F)F ZINC001498224566 1018775373 /nfs/dbraw/zinc/77/53/73/1018775373.db2.gz YMOHAIZDJVGRIH-RASWFIQWSA-N 0 2 310.247 0.250 20 0 DCADLN CCOCC[N@H+](C)[C@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001480721965 1018809137 /nfs/dbraw/zinc/80/91/37/1018809137.db2.gz IYMVZUSXPTZOTI-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN CCOCC[N@@H+](C)[C@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001480721965 1018809157 /nfs/dbraw/zinc/80/91/57/1018809157.db2.gz IYMVZUSXPTZOTI-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCOCC1 ZINC001498267297 1018871742 /nfs/dbraw/zinc/87/17/42/1018871742.db2.gz WWLIKPDUDXHVSY-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCOCC1 ZINC001498267297 1018871761 /nfs/dbraw/zinc/87/17/61/1018871761.db2.gz WWLIKPDUDXHVSY-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CCc1nc[nH]c1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001493050525 1019046545 /nfs/dbraw/zinc/04/65/45/1019046545.db2.gz MJULHEUASBOPSP-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN CCc1nc[nH]c1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001493050525 1019046555 /nfs/dbraw/zinc/04/65/55/1019046555.db2.gz MJULHEUASBOPSP-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001496124425 1019093807 /nfs/dbraw/zinc/09/38/07/1019093807.db2.gz ZZBZSPZCJRZJHW-KDXUFGMBSA-N 0 2 312.263 0.354 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001496124425 1019093818 /nfs/dbraw/zinc/09/38/18/1019093818.db2.gz ZZBZSPZCJRZJHW-KDXUFGMBSA-N 0 2 312.263 0.354 20 0 DCADLN O=C(Cc1ccc[nH]1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493451253 1019430332 /nfs/dbraw/zinc/43/03/32/1019430332.db2.gz ZCRQJQFDFXFUJF-UHFFFAOYSA-N 0 2 304.354 0.162 20 0 DCADLN O=C(Cc1ccc[nH]1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493451253 1019430336 /nfs/dbraw/zinc/43/03/36/1019430336.db2.gz ZCRQJQFDFXFUJF-UHFFFAOYSA-N 0 2 304.354 0.162 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ncccc1F ZINC001496770382 1019623690 /nfs/dbraw/zinc/62/36/90/1019623690.db2.gz RBIFBONCKFEKRG-UHFFFAOYSA-N 0 2 322.344 0.177 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ncccc1F ZINC001496770382 1019623701 /nfs/dbraw/zinc/62/37/01/1019623701.db2.gz RBIFBONCKFEKRG-UHFFFAOYSA-N 0 2 322.344 0.177 20 0 DCADLN CCc1nc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001496801252 1019651218 /nfs/dbraw/zinc/65/12/18/1019651218.db2.gz KPMKNJYDTKDQHY-UHFFFAOYSA-N 0 2 322.369 0.573 20 0 DCADLN CCc1nc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001496801252 1019651223 /nfs/dbraw/zinc/65/12/23/1019651223.db2.gz KPMKNJYDTKDQHY-UHFFFAOYSA-N 0 2 322.369 0.573 20 0 DCADLN COCCS(=O)(=O)N1CCCC[C@H]1c1nnc(COC)[nH]1 ZINC000330898961 232146590 /nfs/dbraw/zinc/14/65/90/232146590.db2.gz VJBKLJKCHVMSOR-JTQLQIEISA-N 0 2 318.399 0.454 20 0 DCADLN CCCCN(C)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000083976169 192393471 /nfs/dbraw/zinc/39/34/71/192393471.db2.gz KTDIMQZSGUWWLH-UHFFFAOYSA-N 0 2 312.351 0.444 20 0 DCADLN CN(C1CCC1)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000083996288 192395007 /nfs/dbraw/zinc/39/50/07/192395007.db2.gz RSMZUCWDFBHJAZ-UHFFFAOYSA-N 0 2 310.335 0.197 20 0 DCADLN CN(CC(=O)NC1CC1)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000172167734 198051136 /nfs/dbraw/zinc/05/11/36/198051136.db2.gz PYPHQJRULSTXHC-UHFFFAOYSA-N 0 2 314.345 0.929 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)c2ccc(C)cc2C)n1 ZINC000174010321 198280481 /nfs/dbraw/zinc/28/04/81/198280481.db2.gz CKGXMPRBTRZEKQ-UHFFFAOYSA-N 0 2 323.378 0.442 20 0 DCADLN O=C([C@H]1CCCCC(=O)N1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000566284606 291290940 /nfs/dbraw/zinc/29/09/40/291290940.db2.gz WBHWFSGEVNWUMY-VHSXEESVSA-N 0 2 307.354 0.275 20 0 DCADLN O=C(NC[C@]1(O)C[NH+]2CCC1CC2)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000566456254 291303784 /nfs/dbraw/zinc/30/37/84/291303784.db2.gz TTXFIUMNELEQPF-LRDDRELGSA-N 0 2 304.394 0.403 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCN(C)C2=O)c1 ZINC000424687886 240261471 /nfs/dbraw/zinc/26/14/71/240261471.db2.gz RFADVKDJCZRISJ-QKVFXAPYSA-N 0 2 310.375 0.150 20 0 DCADLN O=C(c1cc(F)cc2n[nH]nc21)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000567606605 291364153 /nfs/dbraw/zinc/36/41/53/291364153.db2.gz YAAGDPPLWNTMMM-SSDOTTSWSA-N 0 2 301.285 0.845 20 0 DCADLN C[N@H+](C[C@H](O)C[NH2+][C@@H]1CC(=O)N(c2ccccc2)C1)C1CC1 ZINC000567680737 291368985 /nfs/dbraw/zinc/36/89/85/291368985.db2.gz DEEBDXARTCHAKX-CZUORRHYSA-N 0 2 303.406 0.837 20 0 DCADLN O=C([C@@H]1C[C@H]2CC(=O)[C@@H]1C2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000569930002 291503555 /nfs/dbraw/zinc/50/35/55/291503555.db2.gz HCEKDWXXFHODSZ-UKKRHICBSA-N 0 2 304.350 0.832 20 0 DCADLN COC(=O)C(C)(C)CS(=O)(=O)Nc1ccc2nn[nH]c2c1 ZINC000288597653 220274717 /nfs/dbraw/zinc/27/47/17/220274717.db2.gz SWUAYUYXGSLOOX-UHFFFAOYSA-N 0 2 312.351 0.899 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000262081374 296335711 /nfs/dbraw/zinc/33/57/11/296335711.db2.gz FHHQVUVTIYBFPH-GRYCIOLGSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000262081374 296335712 /nfs/dbraw/zinc/33/57/12/296335712.db2.gz FHHQVUVTIYBFPH-GRYCIOLGSA-N 0 2 311.382 0.940 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2cncc(OC)c2)nc1 ZINC000337280596 252844695 /nfs/dbraw/zinc/84/46/95/252844695.db2.gz HIAGLOOBPOHYDI-UHFFFAOYSA-N 0 2 322.346 0.646 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CC[NH2+][C@H](c2ccncc2)C1 ZINC000337675435 252881801 /nfs/dbraw/zinc/88/18/01/252881801.db2.gz XSBKKWIWYYBSPS-JTQLQIEISA-N 0 2 320.378 0.171 20 0 DCADLN CCn1nccc1CN(C)S(=O)(=O)NCC(F)(F)F ZINC000338990932 253019617 /nfs/dbraw/zinc/01/96/17/253019617.db2.gz WYWKNSXBDSEMKV-UHFFFAOYSA-N 0 2 300.306 0.732 20 0 DCADLN Cc1cccn2cc(CNC(=O)c3c[n-]n4c3nccc4=O)[nH+]c12 ZINC000339267578 253050508 /nfs/dbraw/zinc/05/05/08/253050508.db2.gz CJMKIMUXKSLXIP-UHFFFAOYSA-N 0 2 322.328 0.909 20 0 DCADLN CC(C)(C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)S(C)(=O)=O ZINC000288697923 220355107 /nfs/dbraw/zinc/35/51/07/220355107.db2.gz BCXRCPNNFFDNLV-UHFFFAOYSA-N 0 2 324.362 0.527 20 0 DCADLN COC(=O)c1ccncc1NS(=O)(=O)c1cn(C)nc1C ZINC000288716319 220366722 /nfs/dbraw/zinc/36/67/22/220366722.db2.gz ZLQZKNPHTQNPGE-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN CNC(=O)CCN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348827571 254259532 /nfs/dbraw/zinc/25/95/32/254259532.db2.gz OLMFUBIOKBVZEG-UHFFFAOYSA-N 0 2 304.306 0.238 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnc(N(C)C)nc2)cn1 ZINC000350801745 254326035 /nfs/dbraw/zinc/32/60/35/254326035.db2.gz KICQWVWARSDDBC-UHFFFAOYSA-N 0 2 309.351 0.747 20 0 DCADLN NS(=O)(=O)c1cc(F)cc(NS(=O)(=O)c2ccoc2)c1 ZINC000349989782 254307295 /nfs/dbraw/zinc/30/72/95/254307295.db2.gz JLTGSDQURDODLB-UHFFFAOYSA-N 0 2 320.323 0.867 20 0 DCADLN CCc1nnc(CNS(=O)(=O)c2c(N)noc2C)s1 ZINC000289706417 221169233 /nfs/dbraw/zinc/16/92/33/221169233.db2.gz YHOVUGFLCDYQLY-UHFFFAOYSA-N 0 2 303.369 0.458 20 0 DCADLN COc1ccc(-n2cnnc2SCc2n[nH]c(=O)[nH]2)cn1 ZINC000091584616 395726467 /nfs/dbraw/zinc/72/64/67/395726467.db2.gz GHIXCTWGERQNQA-UHFFFAOYSA-N 0 2 305.323 0.375 20 0 DCADLN O=S(=O)(Nc1cccc(Cn2cncn2)c1)c1cn[nH]c1 ZINC000037791391 395728759 /nfs/dbraw/zinc/72/87/59/395728759.db2.gz FHBNLMFSTREJPW-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN CNS(=O)(=O)c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000040443280 395733590 /nfs/dbraw/zinc/73/35/90/395733590.db2.gz JPPKJTFYDXUWBU-UHFFFAOYSA-N 0 2 316.364 0.119 20 0 DCADLN Cn1cc(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)ccc1=O ZINC000103522080 395773739 /nfs/dbraw/zinc/77/37/39/395773739.db2.gz YTWPMFHQQXPXTN-UHFFFAOYSA-N 0 2 312.285 0.981 20 0 DCADLN CN1C[C@@H](C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CC1=O ZINC000103522149 395774802 /nfs/dbraw/zinc/77/48/02/395774802.db2.gz MMJJMSXWQQSTTL-VIFPVBQESA-N 0 2 302.290 0.447 20 0 DCADLN O=C(NC(C1CC1)C1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000051259849 395849206 /nfs/dbraw/zinc/84/92/06/395849206.db2.gz LENDNUOYYSKDTL-UHFFFAOYSA-N 0 2 300.318 0.942 20 0 DCADLN CN(CCCN1CC[NH+](C)CC1)C(=O)CCCn1cc[nH+]c1 ZINC000110865106 395801494 /nfs/dbraw/zinc/80/14/94/395801494.db2.gz ABQRSQGJCMJUJB-UHFFFAOYSA-N 0 2 307.442 0.759 20 0 DCADLN COC(=O)CC[C@@H](Cc1ccccc1)NC(=O)c1nc(=O)[nH][nH]1 ZINC000135235369 395938574 /nfs/dbraw/zinc/93/85/74/395938574.db2.gz AWGLSCFPSGOGPH-NSHDSACASA-N 0 2 318.333 0.392 20 0 DCADLN O=S(=O)(Nc1ccc(-n2cncn2)nc1)c1cncc(F)c1 ZINC000063476586 395977742 /nfs/dbraw/zinc/97/77/42/395977742.db2.gz ADUDPHUHBAFEHS-UHFFFAOYSA-N 0 2 320.309 0.997 20 0 DCADLN C[C@@H]1C[N@H+](CC(=O)[N-]OCc2ccccc2)CC[S@](=O)C1 ZINC000277528456 396073091 /nfs/dbraw/zinc/07/30/91/396073091.db2.gz RHVFSEPRKOFQND-ASSNKEHSSA-N 0 2 310.419 0.935 20 0 DCADLN C[C@@H]1C[N@@H+](CC(=O)[N-]OCc2ccccc2)CC[S@](=O)C1 ZINC000277528456 396073094 /nfs/dbraw/zinc/07/30/94/396073094.db2.gz RHVFSEPRKOFQND-ASSNKEHSSA-N 0 2 310.419 0.935 20 0 DCADLN O=S(=O)(Nc1nccs1)c1cccc(-n2cnnn2)c1 ZINC000066246815 396004403 /nfs/dbraw/zinc/00/44/03/396004403.db2.gz HZXYNYHMIIPMFE-UHFFFAOYSA-N 0 2 308.348 0.920 20 0 DCADLN O=C(CSc1nnc2ccccn21)NOC[C@@H]1CCOC1 ZINC000276684611 396021330 /nfs/dbraw/zinc/02/13/30/396021330.db2.gz WONAHSRJDVCDRC-SNVBAGLBSA-N 0 2 308.363 0.906 20 0 DCADLN O=C(Nc1ccc(F)cn1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000170676127 396097167 /nfs/dbraw/zinc/09/71/67/396097167.db2.gz ISPVMELNLBXSPC-UHFFFAOYSA-N 0 2 301.237 0.398 20 0 DCADLN CNC(=O)[C@@H](NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-])c1ccccc1 ZINC000262108743 396099454 /nfs/dbraw/zinc/09/94/54/396099454.db2.gz KEGHUUHGPNXDGQ-OCCSQVGLSA-N 0 2 319.361 0.139 20 0 DCADLN CNC(=O)[C@@H](NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-])c1ccccc1 ZINC000262108743 396099456 /nfs/dbraw/zinc/09/94/56/396099456.db2.gz KEGHUUHGPNXDGQ-OCCSQVGLSA-N 0 2 319.361 0.139 20 0 DCADLN Cc1nc(C)c(S(=O)(=O)Nc2cnn(CC(N)=O)c2)s1 ZINC000176451765 396155709 /nfs/dbraw/zinc/15/57/09/396155709.db2.gz WAPNUDHASCFAHC-UHFFFAOYSA-N 0 2 315.380 0.243 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000262679135 396171851 /nfs/dbraw/zinc/17/18/51/396171851.db2.gz VEXNRBYUSWYKKX-JTQLQIEISA-N 0 2 316.379 0.969 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000262679135 396171853 /nfs/dbraw/zinc/17/18/53/396171853.db2.gz VEXNRBYUSWYKKX-JTQLQIEISA-N 0 2 316.379 0.969 20 0 DCADLN CO[C@@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CC1 ZINC000279535531 396181952 /nfs/dbraw/zinc/18/19/52/396181952.db2.gz MVQKESUNBCLESZ-ZCFIWIBFSA-N 0 2 306.369 0.273 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N1CC[C@H]2CC[N@H+](C)C[C@@H]21 ZINC000182742894 396253645 /nfs/dbraw/zinc/25/36/45/396253645.db2.gz LHDIPHBLJDCHCF-OAGGEKHMSA-N 0 2 323.485 0.358 20 0 DCADLN C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)C1 ZINC000263567468 396220770 /nfs/dbraw/zinc/22/07/70/396220770.db2.gz XOCKZYDBJZQJDC-MELADBBJSA-N 0 2 324.421 0.912 20 0 DCADLN C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)C1 ZINC000263567468 396220773 /nfs/dbraw/zinc/22/07/73/396220773.db2.gz XOCKZYDBJZQJDC-MELADBBJSA-N 0 2 324.421 0.912 20 0 DCADLN O=C(c1cc(C2CC2)[nH]n1)N1CCC[C@@H](c2nc(=O)[nH][nH]2)C1 ZINC000282860570 396292863 /nfs/dbraw/zinc/29/28/63/396292863.db2.gz HKEAXMQMLRODND-SECBINFHSA-N 0 2 302.338 0.718 20 0 DCADLN Cc1[nH]ncc1CNC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C ZINC000269323669 396407779 /nfs/dbraw/zinc/40/77/79/396407779.db2.gz UIZGXNQJOWQJMF-SNVBAGLBSA-N 0 2 302.400 0.298 20 0 DCADLN CC(C)[C@@](C)(NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(N)=O ZINC000288160283 396445754 /nfs/dbraw/zinc/44/57/54/396445754.db2.gz ZOECROGAHGSORP-CQSZACIVSA-N 0 2 319.321 0.066 20 0 DCADLN CN(C)c1noc(C[NH2+]C[C@H](O)COCc2cccs2)n1 ZINC000515166902 396500523 /nfs/dbraw/zinc/50/05/23/396500523.db2.gz NOCZMJPSTXNRMB-JTQLQIEISA-N 0 2 312.395 0.864 20 0 DCADLN COC(=O)[C@H]1CC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288981849 396451963 /nfs/dbraw/zinc/45/19/63/396451963.db2.gz GMGVOAJZBDVDGO-MNOVXSKESA-N 0 2 316.317 0.903 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H]1COc2ccccc21 ZINC000290654160 396469115 /nfs/dbraw/zinc/46/91/15/396469115.db2.gz NNLWRMYWHCQBPI-MRVPVSSYSA-N 0 2 306.347 0.483 20 0 DCADLN Cn1ncc2c1CCCN(S(=O)(=O)NCC(F)(F)F)C2 ZINC000581358897 396547261 /nfs/dbraw/zinc/54/72/61/396547261.db2.gz PRWGQWYOKXWWJX-UHFFFAOYSA-N 0 2 312.317 0.565 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C1(NC(N)=O)CC1 ZINC000581515122 396561196 /nfs/dbraw/zinc/56/11/96/396561196.db2.gz ASISDFKQEDAZTC-UHFFFAOYSA-N 0 2 316.321 0.625 20 0 DCADLN C[C@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)Cc2ccccc2O1 ZINC000293938849 396643514 /nfs/dbraw/zinc/64/35/14/396643514.db2.gz REIXNZBDEROXEH-VIFPVBQESA-N 0 2 320.374 1.000 20 0 DCADLN O=C(COC[C@H]1CCCO1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000584723779 396618837 /nfs/dbraw/zinc/61/88/37/396618837.db2.gz UQVNNDKAMJDOIT-GHMZBOCLSA-N 0 2 310.354 0.412 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cc(F)c(O)c(F)c2)CCS1(=O)=O ZINC000293672101 396624436 /nfs/dbraw/zinc/62/44/36/396624436.db2.gz AAKQAQTUGIOSED-WKEGUHRASA-N 0 2 305.302 0.976 20 0 DCADLN CC(C)NS(=O)(=O)[C@@H]1CC[N@@H+](CCCn2cc[nH+]c2)C1 ZINC000375004881 396703588 /nfs/dbraw/zinc/70/35/88/396703588.db2.gz ORYFZOBVHRIEPB-CYBMUJFWSA-N 0 2 300.428 0.675 20 0 DCADLN CC[C@@H]1C[C@H](C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)CC(=O)N1 ZINC000563192797 396712453 /nfs/dbraw/zinc/71/24/53/396712453.db2.gz OGNOJHTVHOGPRO-WDEREUQCSA-N 0 2 321.381 0.521 20 0 DCADLN C[C@H](C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@@H]1CCC(=O)N1 ZINC000625131049 396780182 /nfs/dbraw/zinc/78/01/82/396780182.db2.gz ZNKNZTXSEGXWBN-KWQFWETISA-N 0 2 316.317 0.883 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2C)n1 ZINC000375417771 396740489 /nfs/dbraw/zinc/74/04/89/396740489.db2.gz VBXOFXOYLZIYLM-CABZTGNLSA-N 0 2 317.353 0.313 20 0 DCADLN CCOC(=O)c1nnc(NS(=O)(=O)c2ccn(C)n2)s1 ZINC000610796493 396764514 /nfs/dbraw/zinc/76/45/14/396764514.db2.gz AUACIJIBLKGRQC-UHFFFAOYSA-N 0 2 317.352 0.249 20 0 DCADLN CCC(=O)N[C@H](C)C(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000634154072 396797845 /nfs/dbraw/zinc/79/78/45/396797845.db2.gz YLSCZAZCPTVEFY-SSDOTTSWSA-N 0 2 300.384 0.695 20 0 DCADLN C[N@H+](C[C@H](O)C[NH2+][C@@H]1CCN(c2ccccc2F)C1=O)C1CC1 ZINC000564441995 396832519 /nfs/dbraw/zinc/83/25/19/396832519.db2.gz AMTYBVVRLOBWIS-UKRRQHHQSA-N 0 2 321.396 0.976 20 0 DCADLN O=C(N[C@@H]1COCC[C@H]1O)C1=NN(c2ccccc2)CC1=O ZINC000634463350 396862850 /nfs/dbraw/zinc/86/28/50/396862850.db2.gz VBDPVZGVFPIVTC-VXGBXAGGSA-N 0 2 303.318 0.458 20 0 DCADLN COC(=O)[C@@H](C)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597066770 396882901 /nfs/dbraw/zinc/88/29/01/396882901.db2.gz PPZLHRKDVOYCLX-QMMMGPOBSA-N 0 2 305.290 0.569 20 0 DCADLN CC(=O)N[C@@H]1C(C)=NN(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000634588047 396888084 /nfs/dbraw/zinc/88/80/84/396888084.db2.gz HZMJDVRCWIIPEO-GFCCVEGCSA-N 0 2 309.347 0.317 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CC(O)(C(F)F)C1 ZINC000564879499 396889540 /nfs/dbraw/zinc/88/95/40/396889540.db2.gz ASFHZSOWYNXTTF-UHFFFAOYSA-N 0 2 311.244 0.482 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)c2cnc(C)n2C)n1 ZINC000600667950 396912017 /nfs/dbraw/zinc/91/20/17/396912017.db2.gz GPMWINBEJMETEC-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN CCn1ccc(NS(=O)(=O)c2ccc3c(c2)nnn3C)n1 ZINC000634793908 396918467 /nfs/dbraw/zinc/91/84/67/396918467.db2.gz FXEWKYXDLVQCQG-UHFFFAOYSA-N 0 2 306.351 0.986 20 0 DCADLN CN(C[C@@H]1COCCO1)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000618220896 396928153 /nfs/dbraw/zinc/92/81/53/396928153.db2.gz BJZZVXPKOUHTDL-GFCCVEGCSA-N 0 2 319.317 0.517 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000630157239 396950417 /nfs/dbraw/zinc/95/04/17/396950417.db2.gz PYEUBLSCLDVYKJ-GJZGRUSLSA-N 0 2 318.373 0.918 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000630157239 396950423 /nfs/dbraw/zinc/95/04/23/396950423.db2.gz PYEUBLSCLDVYKJ-GJZGRUSLSA-N 0 2 318.373 0.918 20 0 DCADLN CSC[C@@H](CCO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597397407 396963873 /nfs/dbraw/zinc/96/38/73/396963873.db2.gz WQKAENXEZRCGMG-LLVKDONJSA-N 0 2 323.374 0.874 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H](c2nc(C3CC3)no2)O1 ZINC000625920323 397032784 /nfs/dbraw/zinc/03/27/84/397032784.db2.gz YFAUTQMUASSZQO-VXNVDRBHSA-N 0 2 306.326 0.733 20 0 DCADLN CN(C)S(=O)(=O)CC[N@H+](CC(=O)[O-])Cc1ccccc1 ZINC000572296034 397044516 /nfs/dbraw/zinc/04/45/16/397044516.db2.gz KUEVNCHFNYFZHX-UHFFFAOYSA-N 0 2 300.380 0.465 20 0 DCADLN CN(C)S(=O)(=O)CC[N@@H+](CC(=O)[O-])Cc1ccccc1 ZINC000572296034 397044517 /nfs/dbraw/zinc/04/45/17/397044517.db2.gz KUEVNCHFNYFZHX-UHFFFAOYSA-N 0 2 300.380 0.465 20 0 DCADLN C(C[N@H+]1C[C@H]2CC[C@@H](C1)O2)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000572421289 397057189 /nfs/dbraw/zinc/05/71/89/397057189.db2.gz ZTEDPBXSSZSIBK-YESZJQIVSA-N 0 2 309.454 0.646 20 0 DCADLN COC(=O)c1cccc(OCC[N@@H+]2CCO[C@@H](C(=O)[O-])C2)c1 ZINC000592987838 397309770 /nfs/dbraw/zinc/30/97/70/397309770.db2.gz AVLWKTBCZBIBJH-CYBMUJFWSA-N 0 2 309.318 0.637 20 0 DCADLN COC(=O)c1cccc(OCC[N@H+]2CCO[C@@H](C(=O)[O-])C2)c1 ZINC000592987838 397309775 /nfs/dbraw/zinc/30/97/75/397309775.db2.gz AVLWKTBCZBIBJH-CYBMUJFWSA-N 0 2 309.318 0.637 20 0 DCADLN Cc1n[nH]c([C@H]2CCC[N@H+](CCS(=O)(=O)N(C)C)C2)n1 ZINC000407951632 397334055 /nfs/dbraw/zinc/33/40/55/397334055.db2.gz PWHBGJMOVYINNU-NSHDSACASA-N 0 2 301.416 0.184 20 0 DCADLN C[C@@H]1NC(=O)CC[C@@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614454927 397442213 /nfs/dbraw/zinc/44/22/13/397442213.db2.gz JTEIGMCHAFQLGD-KWQFWETISA-N 0 2 316.317 0.427 20 0 DCADLN CCOc1ccc(C[N@@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@@H]3C2)cc1F ZINC000578550833 397453223 /nfs/dbraw/zinc/45/32/23/397453223.db2.gz PYEOYEJEBNZCEP-OCCSQVGLSA-N 0 2 307.369 0.968 20 0 DCADLN CN1C(=O)CC[C@H]1CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518910 397462803 /nfs/dbraw/zinc/46/28/03/397462803.db2.gz OYSFAGHYIGKOIM-UWVGGRQHSA-N 0 2 307.354 0.227 20 0 DCADLN CCOC(=O)Cn1ccc(NS(=O)(=O)C[C@H](CC)OC)n1 ZINC000594462056 397556653 /nfs/dbraw/zinc/55/66/53/397556653.db2.gz JNBXCLGIHKSNHH-JTQLQIEISA-N 0 2 319.383 0.613 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc(-n2cnnn2)c1C ZINC000599914810 397539486 /nfs/dbraw/zinc/53/94/86/397539486.db2.gz KXHRIEWHIMGPIK-UHFFFAOYSA-N 0 2 319.350 0.803 20 0 DCADLN COC[C@](C)(O)CNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000604801130 397652048 /nfs/dbraw/zinc/65/20/48/397652048.db2.gz DLCXUFRMEZSMPM-GFCCVEGCSA-N 0 2 302.400 0.776 20 0 DCADLN COC[C@@](C)(O)CNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000604801138 397652519 /nfs/dbraw/zinc/65/25/19/397652519.db2.gz DLCXUFRMEZSMPM-LBPRGKRZSA-N 0 2 302.400 0.776 20 0 DCADLN CC(C)[C@@H](CNC(=O)[C@H]1CCCC[N@@H+]1C)[NH+]1CCN(C)CC1 ZINC000606371354 397679196 /nfs/dbraw/zinc/67/91/96/397679196.db2.gz NLOLXQDCFWWXND-HZPDHXFCSA-N 0 2 310.486 0.859 20 0 DCADLN CCOc1ccc(OCC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000081815012 158085801 /nfs/dbraw/zinc/08/58/01/158085801.db2.gz GEICQVZMSPPLQE-UHFFFAOYSA-N 0 2 320.349 0.625 20 0 DCADLN COc1ccc(-c2cc(C(=O)NCc3n[nH]c(=O)[nH]3)[nH]n2)cc1 ZINC000122118340 158218429 /nfs/dbraw/zinc/21/84/29/158218429.db2.gz PPLPHKVRBKYMFV-UHFFFAOYSA-N 0 2 314.305 0.427 20 0 DCADLN C[C@@H]1CN(C(=O)CN2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)C[C@H](C)O1 ZINC000329585716 159105090 /nfs/dbraw/zinc/10/50/90/159105090.db2.gz MGQOQPCVQXDDME-GRYCIOLGSA-N 0 2 323.397 0.673 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)Cc2ccnn2C)[nH]1 ZINC000329615537 159107007 /nfs/dbraw/zinc/10/70/07/159107007.db2.gz PNZOGBABZHDDHI-LBPRGKRZSA-N 0 2 318.381 0.981 20 0 DCADLN CS(=O)(=O)Cc1ccc(S(=O)(=O)Nc2cn[nH]c2)cc1 ZINC000341712984 159223175 /nfs/dbraw/zinc/22/31/75/159223175.db2.gz DGOWAJFHFZBQSJ-UHFFFAOYSA-N 0 2 315.376 0.755 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000341711028 159223450 /nfs/dbraw/zinc/22/34/50/159223450.db2.gz BQDLMHOIPFCOKB-UHFFFAOYSA-N 0 2 316.364 0.166 20 0 DCADLN Cc1cccc([C@@H](CC(=O)NCc2n[nH]c(=O)[nH]2)NC(N)=O)c1 ZINC000359268359 159258574 /nfs/dbraw/zinc/25/85/74/159258574.db2.gz HUAJAWYJUGZYEL-SNVBAGLBSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@H](O)C[C@@H]1CO ZINC000374820755 159754156 /nfs/dbraw/zinc/75/41/56/159754156.db2.gz AUSJGCMEGBVIDY-VXGBXAGGSA-N 0 2 321.308 0.285 20 0 DCADLN Cc1ccc(O[C@@H](C)C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000080973562 286927632 /nfs/dbraw/zinc/92/76/32/286927632.db2.gz KVMKATLMJQYDEQ-NSHDSACASA-N 0 2 304.350 0.923 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N(Cc1ccccc1)C[C@@H]1CCCO1 ZINC000129383492 286987643 /nfs/dbraw/zinc/98/76/43/286987643.db2.gz QCJCMYATLAFUHF-LBPRGKRZSA-N 0 2 302.334 0.919 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C/c1cccnc1 ZINC000136054725 287025531 /nfs/dbraw/zinc/02/55/31/287025531.db2.gz NBBZXBCQQZVPPQ-VOTSOKGWSA-N 0 2 320.374 0.950 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCC[C@H](OCCO)C1)c2=O ZINC000358405386 287209784 /nfs/dbraw/zinc/20/97/84/287209784.db2.gz ZIGMTLDRXLXJRJ-JTQLQIEISA-N 0 2 320.349 0.287 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])N1CC[C@H](NC(=O)CCn2cc[nH+]c2)C1=O ZINC000358718423 287212157 /nfs/dbraw/zinc/21/21/57/287212157.db2.gz YCKDEXBNBZGTDP-AAEUAGOBSA-N 0 2 322.365 0.100 20 0 DCADLN C[C@@H](CNc1cc[nH+]c(N2CCCCC2)n1)N1CC[NH+](C)CC1 ZINC000352364873 415150788 /nfs/dbraw/zinc/15/07/88/415150788.db2.gz JUWNEOBFAWXGRY-HNNXBMFYSA-N 0 2 318.469 1.515 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ncc(C(N)=O)s1 ZINC000342453243 415177470 /nfs/dbraw/zinc/17/74/70/415177470.db2.gz SLRUDKLAKKXIJY-UHFFFAOYSA-N 0 2 301.353 0.085 20 0 DCADLN CC[C@@]1(C)CCN(c2nc(NCCO)[nH+]c(NC(C)C)n2)C1 ZINC000342544016 415204474 /nfs/dbraw/zinc/20/44/74/415204474.db2.gz GIIYOMOUZNYNIC-HNNXBMFYSA-N 0 2 308.430 0.566 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CC[C@H](O)C3)=N2)cc1 ZINC000332128849 415258276 /nfs/dbraw/zinc/25/82/76/415258276.db2.gz NCVSGXLXJBDSRH-NSHDSACASA-N 0 2 303.318 0.793 20 0 DCADLN CN(Cc1ccco1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000047403128 415270191 /nfs/dbraw/zinc/27/01/91/415270191.db2.gz GHBXCLINNPDSCF-UHFFFAOYSA-N 0 2 300.274 0.889 20 0 DCADLN CC(C)Nc1nc(N[C@@H](C)CC(F)(F)F)nc(NCCO)[nH+]1 ZINC000342835996 415309873 /nfs/dbraw/zinc/30/98/73/415309873.db2.gz RJAQCNJAODUVSF-QMMMGPOBSA-N 0 2 322.335 0.115 20 0 DCADLN NC(C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2)C(F)(F)F ZINC000352925188 415373122 /nfs/dbraw/zinc/37/31/22/415373122.db2.gz XILYEKJCEUIRST-VIFPVBQESA-N 0 2 308.281 0.845 20 0 DCADLN N[C@@H](C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2)C(F)(F)F ZINC000352925188 415373136 /nfs/dbraw/zinc/37/31/36/415373136.db2.gz XILYEKJCEUIRST-VIFPVBQESA-N 0 2 308.281 0.845 20 0 DCADLN CCO[N-]C(=O)C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000276145740 415437503 /nfs/dbraw/zinc/43/75/03/415437503.db2.gz OKYUELKAZBZYIA-UHFFFAOYSA-N 0 2 307.350 0.525 20 0 DCADLN CN=c1[nH]nc(CC(=O)N=c2cc(C3CCCC3)[nH][nH]2)s1 ZINC000353487056 415567751 /nfs/dbraw/zinc/56/77/51/415567751.db2.gz ZPWXUFFGGWYOHV-UHFFFAOYSA-N 0 2 306.395 0.986 20 0 DCADLN CC(=O)NCc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000343576646 415647153 /nfs/dbraw/zinc/64/71/53/415647153.db2.gz VEVCBOLHBOOYBL-UHFFFAOYSA-N 0 2 317.349 0.509 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2cscn2)s1 ZINC000343386186 415583350 /nfs/dbraw/zinc/58/33/50/415583350.db2.gz FFPHUPPGKMLLNQ-UHFFFAOYSA-N 0 2 305.366 0.618 20 0 DCADLN Cc1cc(C(=O)NCc2cnn(C)c2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000353816773 415668257 /nfs/dbraw/zinc/66/82/57/415668257.db2.gz AAUNMPXYCFGUBF-UHFFFAOYSA-N 0 2 314.305 0.408 20 0 DCADLN CN(C[C@@H](O)CO)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000353939431 415696386 /nfs/dbraw/zinc/69/63/86/415696386.db2.gz CKRMYRIXLPATPW-LLVKDONJSA-N 0 2 309.297 0.142 20 0 DCADLN Cc1cc(F)ccc1N1CCC[C@H](NC(=O)c2nc(=O)[nH][nH]2)C1 ZINC000117423976 415730755 /nfs/dbraw/zinc/73/07/55/415730755.db2.gz ZFDRBMRFXGZEPN-NSHDSACASA-N 0 2 319.340 0.944 20 0 DCADLN Cc1cc(C[C@H](C)NC(=O)N2CC[N@@H+](C[C@H](C)O)C[C@H]2C)n[nH]1 ZINC000289023660 415698737 /nfs/dbraw/zinc/69/87/37/415698737.db2.gz AMOJNCDPADIKFE-YUTCNCBUSA-N 0 2 323.441 0.746 20 0 DCADLN CC(C)N1CC[C@@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)C1=O ZINC000119255796 415738775 /nfs/dbraw/zinc/73/87/75/415738775.db2.gz LRDDZYXQJFPXHA-LLVKDONJSA-N 0 2 317.349 0.413 20 0 DCADLN C[C@@]1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCOC1 ZINC000354155135 415773971 /nfs/dbraw/zinc/77/39/71/415773971.db2.gz IMJLTZYIMIURTL-AWEZNQCLSA-N 0 2 304.306 0.592 20 0 DCADLN CO[C@@H](C)c1noc(CN2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000344074703 415834902 /nfs/dbraw/zinc/83/49/02/415834902.db2.gz UOLDLVFSJXYKKS-DTWKUNHWSA-N 0 2 308.342 0.980 20 0 DCADLN CCS(=O)(=O)C[C@@H](C)NC(=O)N1CCC[C@H](NC(C)=O)C1 ZINC000334032397 415788517 /nfs/dbraw/zinc/78/85/17/415788517.db2.gz MELGJRHVGZYGFE-PWSUYJOCSA-N 0 2 319.427 0.120 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc3c2OCC(=O)N3)c1O ZINC000290481342 415808491 /nfs/dbraw/zinc/80/84/91/415808491.db2.gz GBPXFCCXZIJHIX-JTQLQIEISA-N 0 2 319.273 0.005 20 0 DCADLN CC(C)CN(CC[NH+](C)C)C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000356357129 415905363 /nfs/dbraw/zinc/90/53/63/415905363.db2.gz MNWTXGQUQXOORT-OAHLLOKOSA-N 0 2 314.474 0.936 20 0 DCADLN O=C(Nc1ccc2nn[nH]c2c1)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000153677842 415985806 /nfs/dbraw/zinc/98/58/06/415985806.db2.gz DMWCCZPXSCIINJ-QMMMGPOBSA-N 0 2 311.305 0.673 20 0 DCADLN COCC1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCC1 ZINC000337615379 415985838 /nfs/dbraw/zinc/98/58/38/415985838.db2.gz DJMMHKJMJLKOPK-UHFFFAOYSA-N 0 2 318.333 0.983 20 0 DCADLN COC(=O)CCNC(=O)CSc1n[nH]c(C(C)(C)C)n1 ZINC000296531139 415932933 /nfs/dbraw/zinc/93/29/33/415932933.db2.gz DBXPJVRWUAHULJ-UHFFFAOYSA-N 0 2 300.384 0.874 20 0 DCADLN COCCO[C@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000338237639 416063111 /nfs/dbraw/zinc/06/31/11/416063111.db2.gz NOWMTGHLLWCNGS-VIFPVBQESA-N 0 2 316.383 0.256 20 0 DCADLN NC(=O)CCNc1ccccc1NS(=O)(=O)c1cn[nH]c1 ZINC000174267405 416076398 /nfs/dbraw/zinc/07/63/98/416076398.db2.gz MKFJAYKNOJUAPF-UHFFFAOYSA-N 0 2 309.351 0.498 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)c(C)c1 ZINC000344939817 416012119 /nfs/dbraw/zinc/01/21/19/416012119.db2.gz VAYFBSYWNPDWPS-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000356982834 416016596 /nfs/dbraw/zinc/01/65/96/416016596.db2.gz NXFIVKMATKGMFD-NKWVEPMBSA-N 0 2 300.340 0.012 20 0 DCADLN O=c1[nH]nc(CNc2ccccc2S(=O)(=O)C(F)F)[nH]1 ZINC000301798063 416091904 /nfs/dbraw/zinc/09/19/04/416091904.db2.gz VANIYYPDTFLQQB-UHFFFAOYSA-N 0 2 304.278 0.706 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cccc2c1CNC2=O ZINC000345553517 416114130 /nfs/dbraw/zinc/11/41/30/416114130.db2.gz DFEZDRBIFHRTNF-UHFFFAOYSA-N 0 2 305.319 0.485 20 0 DCADLN CC(=O)N1CC[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000176356235 416124380 /nfs/dbraw/zinc/12/43/80/416124380.db2.gz RUMDWKKAGHSWJF-NSHDSACASA-N 0 2 316.317 0.837 20 0 DCADLN O=S(=O)(Nc1cccnn1)c1ccccc1Cn1cncn1 ZINC000345676874 416131863 /nfs/dbraw/zinc/13/18/63/416131863.db2.gz OQRFRZCUVXNYKW-UHFFFAOYSA-N 0 2 316.346 0.917 20 0 DCADLN COC(=O)COc1ccc(S(=O)(=O)Nc2cccnn2)cc1 ZINC000345672604 416132350 /nfs/dbraw/zinc/13/23/50/416132350.db2.gz DZNKRSIMTKFRPQ-UHFFFAOYSA-N 0 2 323.330 0.829 20 0 DCADLN C[NH+](C)CCOc1cccc(NC(=O)[C@@H]2C[N@H+](C)CCO2)c1 ZINC000345689751 416133883 /nfs/dbraw/zinc/13/38/83/416133883.db2.gz KFBJQBIAWYTBQM-HNNXBMFYSA-N 0 2 307.394 0.896 20 0 DCADLN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358355959 416227736 /nfs/dbraw/zinc/22/77/36/416227736.db2.gz QDWLAYHKWIKELH-LDYMZIIASA-N 0 2 317.349 0.318 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(NC(=O)C2CC2)cc1 ZINC000358366157 416228985 /nfs/dbraw/zinc/22/89/85/416228985.db2.gz HMIOKFGZJDXCFL-UHFFFAOYSA-N 0 2 301.306 0.789 20 0 DCADLN CO[C@H](C)c1nc(=NC(=O)NCc2ccc(N)[nH+]c2)s[n-]1 ZINC000350653185 416268753 /nfs/dbraw/zinc/26/87/53/416268753.db2.gz MNWPYMWSDWPCCK-SSDOTTSWSA-N 0 2 308.367 0.966 20 0 DCADLN CC(C)c1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000342051413 416274141 /nfs/dbraw/zinc/27/41/41/416274141.db2.gz ZXQRBAPJGJHQSN-UHFFFAOYSA-N 0 2 303.322 0.889 20 0 DCADLN CCOCCO[C@H](C)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000352261415 416313599 /nfs/dbraw/zinc/31/35/99/416313599.db2.gz RGBISRSLKBGTAE-MNOVXSKESA-N 0 2 312.370 0.658 20 0 DCADLN O=S(=O)(NCCCOC[C@H]1CCCO1)NCC(F)(F)F ZINC000192007654 416295332 /nfs/dbraw/zinc/29/53/32/416295332.db2.gz GJRFYEHRMLVUDU-SECBINFHSA-N 0 2 320.333 0.558 20 0 DCADLN C[C@@H]1Oc2c(cccc2C(=O)NCc2n[nH]c(=O)[nH]2)NC1=O ZINC000358949932 416297116 /nfs/dbraw/zinc/29/71/16/416297116.db2.gz KFTYQXFOENHGLH-LURJTMIESA-N 0 2 303.278 0.160 20 0 DCADLN C[C@@H](CO[C@@H]1CCOC1)NS(=O)(=O)NCC(F)(F)F ZINC000195345567 416326375 /nfs/dbraw/zinc/32/63/75/416326375.db2.gz VBFSJOQZAQWEQR-JGVFFNPUSA-N 0 2 306.306 0.167 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N2CCNC(=O)C2)=N1 ZINC000438904743 416604363 /nfs/dbraw/zinc/60/43/63/416604363.db2.gz UWSCGMGMLFHXRE-UHFFFAOYSA-N 0 2 314.345 0.712 20 0 DCADLN Nc1noc(CCNC(=O)c2cc(F)cc(Cl)c2O)n1 ZINC000436796100 416569698 /nfs/dbraw/zinc/56/96/98/416569698.db2.gz UCJFUQLRELTTMM-UHFFFAOYSA-N 0 2 300.677 1.122 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)c2ccnn2C)c1O ZINC000436850332 416572262 /nfs/dbraw/zinc/57/22/62/416572262.db2.gz GQSJZSJXVZIMKW-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN O=C(Nc1nc(SCCO)n[nH]1)C(=O)c1ccc(O)cc1 ZINC000436954936 416575879 /nfs/dbraw/zinc/57/58/79/416575879.db2.gz IZOLTYWFWBDAAB-UHFFFAOYSA-N 0 2 308.319 0.416 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)CO1 ZINC000439467897 416614488 /nfs/dbraw/zinc/61/44/88/416614488.db2.gz KRIUGJNZVPUHKB-PHDIDXHHSA-N 0 2 306.369 0.273 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2ccc(C)cn2)cn1 ZINC000437392899 416584213 /nfs/dbraw/zinc/58/42/13/416584213.db2.gz DMTDKXGDHQDXGZ-UHFFFAOYSA-N 0 2 310.335 0.560 20 0 DCADLN C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)C(=O)Nc1ncccc1O ZINC000614827426 416710836 /nfs/dbraw/zinc/71/08/36/416710836.db2.gz ROSFLFVEQAIMLN-HTQZYQBOSA-N 0 2 304.310 0.298 20 0 DCADLN C[C@@H](NC(=O)c1nc[nH]n1)[C@@H](C)C(=O)Nc1ncccc1O ZINC000614827426 416710837 /nfs/dbraw/zinc/71/08/37/416710837.db2.gz ROSFLFVEQAIMLN-HTQZYQBOSA-N 0 2 304.310 0.298 20 0 DCADLN C[C@H](COCC(F)(F)F)NS(=O)(=O)NCC(F)(F)F ZINC000442974961 416723132 /nfs/dbraw/zinc/72/31/32/416723132.db2.gz DYQWQFXGWLHWCC-RXMQYKEDSA-N 0 2 318.239 0.940 20 0 DCADLN C[C@@H]1[C@H](C)[S@](=O)CCN1S(=O)(=O)NCC(F)(F)F ZINC000442943870 416723423 /nfs/dbraw/zinc/72/34/23/416723423.db2.gz LENMZFAAQNGLCG-YDOATTNVSA-N 0 2 308.347 0.224 20 0 DCADLN CS(=O)(=O)[N-]c1ccc(F)cc1C(=O)NCc1c[nH+]c[nH]1 ZINC000443537060 416767101 /nfs/dbraw/zinc/76/71/01/416767101.db2.gz AMIIZMXPDWHAMK-UHFFFAOYSA-N 0 2 312.326 0.850 20 0 DCADLN CS(=O)(=O)[N-]c1ccc(F)cc1C(=O)NCc1c[nH]c[nH+]1 ZINC000443537060 416767104 /nfs/dbraw/zinc/76/71/04/416767104.db2.gz AMIIZMXPDWHAMK-UHFFFAOYSA-N 0 2 312.326 0.850 20 0 DCADLN COCCN(C)S(=O)(=O)Nc1cc(C)c(F)cc1C(N)=O ZINC000517154268 416781786 /nfs/dbraw/zinc/78/17/86/416781786.db2.gz MUHJJCKIPJJDFY-UHFFFAOYSA-N 0 2 319.358 0.468 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)nc(C(F)(F)F)n1 ZINC000427255849 416812356 /nfs/dbraw/zinc/81/23/56/416812356.db2.gz IIXBLYTZLZUIKX-UHFFFAOYSA-N 0 2 302.216 0.558 20 0 DCADLN C[C@H]1C(=O)Nc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccc2N1C ZINC000428010377 416865554 /nfs/dbraw/zinc/86/55/54/416865554.db2.gz LOCUDCQPZCVLEM-ZETCQYMHSA-N 0 2 316.321 0.217 20 0 DCADLN COCCOc1ccc(NS(=O)(=O)c2ccn(C)n2)cn1 ZINC000517957769 416833963 /nfs/dbraw/zinc/83/39/63/416833963.db2.gz UONAPOJWNTVJGT-UHFFFAOYSA-N 0 2 312.351 0.641 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3[nH]c(=O)ccc3c2)nn1C ZINC000427647180 416836853 /nfs/dbraw/zinc/83/68/53/416836853.db2.gz KSPIWBBIHKHPLW-UHFFFAOYSA-N 0 2 319.346 0.766 20 0 DCADLN COC[C@@H](C)CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000446009489 416908932 /nfs/dbraw/zinc/90/89/32/416908932.db2.gz GSSKLPKYIUFDMX-LURJTMIESA-N 0 2 308.385 0.521 20 0 DCADLN COc1ccccc1CCNC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000521840904 417028082 /nfs/dbraw/zinc/02/80/82/417028082.db2.gz KKZVLEQPLDVJJK-AWEZNQCLSA-N 0 2 306.410 0.522 20 0 DCADLN CC(C)(CNC(=O)c1ccc(C(=O)[O-])cn1)[NH+]1CCOCC1 ZINC000642207539 417031921 /nfs/dbraw/zinc/03/19/21/417031921.db2.gz LZTZHZIGXBJVHM-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN C[C@H]1C(=O)N(C)CCN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000429447481 416973437 /nfs/dbraw/zinc/97/34/37/416973437.db2.gz FPHGAFLILSOWRM-QMMMGPOBSA-N 0 2 311.411 0.952 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(c2cc(NC[C@H](C)O)[nH+]cn2)C1 ZINC000521050674 416991005 /nfs/dbraw/zinc/99/10/05/416991005.db2.gz YNKOUZKMOAUXLV-IINYFYTJSA-N 0 2 310.354 0.197 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(c2cc(NC[C@H](C)O)nc[nH+]2)C1 ZINC000521050674 416991015 /nfs/dbraw/zinc/99/10/15/416991015.db2.gz YNKOUZKMOAUXLV-IINYFYTJSA-N 0 2 310.354 0.197 20 0 DCADLN COCC1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC000569579266 417266805 /nfs/dbraw/zinc/26/68/05/417266805.db2.gz IZEFQPZIHMBNHI-LLVKDONJSA-N 0 2 324.381 0.660 20 0 DCADLN CC[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@H](O)C(F)F ZINC000451715200 417384190 /nfs/dbraw/zinc/38/41/90/417384190.db2.gz QJWJXGHQOLYTKG-UHNVWZDZSA-N 0 2 300.249 0.377 20 0 DCADLN CN1CCN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CCC1=O ZINC000622175122 417412885 /nfs/dbraw/zinc/41/28/85/417412885.db2.gz ZVCNJKMAAIAFHX-UHFFFAOYSA-N 0 2 316.317 0.334 20 0 DCADLN COc1cc(C[N@@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@H]3C2)cc(OC)c1O ZINC000570529548 417366707 /nfs/dbraw/zinc/36/67/07/417366707.db2.gz QLSRIAAUFMEUOB-RYUDHWBXSA-N 0 2 321.377 0.153 20 0 DCADLN CN(Cc1nncn1C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000622601500 417465180 /nfs/dbraw/zinc/46/51/80/417465180.db2.gz GMVIYDFXFIGUDK-UHFFFAOYSA-N 0 2 314.305 0.431 20 0 DCADLN C[C@H](NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-])C(=O)N1CCCCC1 ZINC000262182740 299052198 /nfs/dbraw/zinc/05/21/98/299052198.db2.gz WXVSHUSVMHRUSX-NWDGAFQWSA-N 0 2 311.382 0.053 20 0 DCADLN C[C@H](NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-])C(=O)N1CCCCC1 ZINC000262182740 299052204 /nfs/dbraw/zinc/05/22/04/299052204.db2.gz WXVSHUSVMHRUSX-NWDGAFQWSA-N 0 2 311.382 0.053 20 0 DCADLN CCN1C[C@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)CC1=O ZINC000452970141 417512578 /nfs/dbraw/zinc/51/25/78/417512578.db2.gz WZYYWGBPTCEGRS-MRVPVSSYSA-N 0 2 303.322 0.025 20 0 DCADLN COCCNC(=O)[C@H](CC(C)C)NC(=O)c1cnn2c1CCC2 ZINC000296469164 225898688 /nfs/dbraw/zinc/89/86/88/225898688.db2.gz JUTJRNZRSNQQTB-ZDUSSCGKSA-N 0 2 322.409 0.736 20 0 DCADLN Cc1nc(N(C)C)nc(NCCOc2ccc(C(=O)[O-])cc2)[nH+]1 ZINC000576587765 417622077 /nfs/dbraw/zinc/62/20/77/417622077.db2.gz NKLPBJYLDNJJAH-UHFFFAOYSA-N 0 2 317.349 1.435 20 0 DCADLN Cc1nc(NCCOc2ccc(C(=O)[O-])cc2)nc(N(C)C)[nH+]1 ZINC000576587765 417622080 /nfs/dbraw/zinc/62/20/80/417622080.db2.gz NKLPBJYLDNJJAH-UHFFFAOYSA-N 0 2 317.349 1.435 20 0 DCADLN COCC1(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)CC1 ZINC000531982408 417650970 /nfs/dbraw/zinc/65/09/70/417650970.db2.gz BQXOFXCNFGHQEU-UHFFFAOYSA-N 0 2 304.306 0.653 20 0 DCADLN C[C@@H]1CC[C@H](CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)O1 ZINC000457374376 417706822 /nfs/dbraw/zinc/70/68/22/417706822.db2.gz PJPSLLNDDQIJSG-VXNVDRBHSA-N 0 2 304.306 0.733 20 0 DCADLN CNC(=O)[C@@H](CO)N=c1nc(-c2cc(F)cc(F)c2)[nH]s1 ZINC000645211512 417712558 /nfs/dbraw/zinc/71/25/58/417712558.db2.gz FBJVXCXVFRDCQE-SECBINFHSA-N 0 2 314.317 0.424 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C)CCN1S(=O)(=O)NCC(F)(F)F ZINC000443209408 287414805 /nfs/dbraw/zinc/41/48/05/287414805.db2.gz FUDZYMDIIRBXAZ-JGVFFNPUSA-N 0 2 318.317 0.657 20 0 DCADLN CO[C@@H]1CCCC[C@H]1NC(=O)C(=O)N=c1nc2n([nH]1)CCCC2 ZINC000652032299 417838121 /nfs/dbraw/zinc/83/81/21/417838121.db2.gz LLHFRCGKBDQNID-GHMZBOCLSA-N 0 2 321.381 0.049 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cccc3c2CCNC3=O)n1C ZINC000629300288 417774675 /nfs/dbraw/zinc/77/46/75/417774675.db2.gz JBDDGFXUVKGNQP-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC(F)(F)[C@@H](C)C2)[nH]1 ZINC000651813825 417788907 /nfs/dbraw/zinc/78/89/07/417788907.db2.gz ZXNQYFCDTKYJRJ-VIFPVBQESA-N 0 2 315.324 0.723 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)CCO1 ZINC000646150229 417790768 /nfs/dbraw/zinc/79/07/68/417790768.db2.gz LZBNGZLRTBNMNZ-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)CCO1 ZINC000646150229 417790774 /nfs/dbraw/zinc/79/07/74/417790774.db2.gz LZBNGZLRTBNMNZ-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@@H](C3CCC3)C2)[nH]1 ZINC000651852926 417799087 /nfs/dbraw/zinc/79/90/87/417799087.db2.gz WDCMVFXXLJNQHK-GFCCVEGCSA-N 0 2 305.382 0.868 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC[C@H](CCO)CC2)[nH]1 ZINC000651855597 417800825 /nfs/dbraw/zinc/80/08/25/417800825.db2.gz ICGMOXAPBCTVSP-LBPRGKRZSA-N 0 2 323.397 0.231 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N2CCCCCC2)[nH]1 ZINC000657103937 417812547 /nfs/dbraw/zinc/81/25/47/417812547.db2.gz KCFDBYNHWWANMP-UHFFFAOYSA-N 0 2 303.388 0.162 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N(C)C2CCCCC2)[nH]1 ZINC000657104755 417812822 /nfs/dbraw/zinc/81/28/22/417812822.db2.gz UWAROBFBRUPTRJ-UHFFFAOYSA-N 0 2 317.415 0.550 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N2CCC(C)CC2)[nH]1 ZINC000657104770 417813504 /nfs/dbraw/zinc/81/35/04/417813504.db2.gz UZWZBCNDNOAEDI-UHFFFAOYSA-N 0 2 303.388 0.017 20 0 DCADLN Cn1cc(N2CC[C@@H]([NH2+]Cc3c[nH+]c4n3CCC4)C2=O)cn1 ZINC000657367888 417850839 /nfs/dbraw/zinc/85/08/39/417850839.db2.gz XHLKKBJDIYMVCQ-CYBMUJFWSA-N 0 2 300.366 0.458 20 0 DCADLN O=C([O-])C[C@@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)CCO1 ZINC000652060443 417840910 /nfs/dbraw/zinc/84/09/10/417840910.db2.gz QEVJECHIBZEFPF-GFCCVEGCSA-N 0 2 307.350 0.464 20 0 DCADLN CNc1nc(N2C[C@@H](C)O[C@@H](C(=O)[O-])C2)[nH+]c2ccccc21 ZINC000652536861 417928998 /nfs/dbraw/zinc/92/89/98/417928998.db2.gz PJKUJUHHZZDACI-BXKDBHETSA-N 0 2 302.334 0.772 20 0 DCADLN Cc1cc(N[C@@H]2C[C@H](C(=O)[O-])C[C@H]2O)nc(-c2ccncc2)[nH+]1 ZINC000662894656 417940135 /nfs/dbraw/zinc/94/01/35/417940135.db2.gz BQARHOJEDPJCPP-YNEHKIRRSA-N 0 2 314.345 0.905 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)[C@@H](Nc2cc(N3CCSCC3)[nH+]cn2)C1 ZINC000662897807 417941408 /nfs/dbraw/zinc/94/14/08/417941408.db2.gz XCBUQEKJPRRLEL-DCAQKATOSA-N 0 2 324.406 0.666 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCN(CC(F)(F)F)C(=O)C1 ZINC000647454882 418012307 /nfs/dbraw/zinc/01/23/07/418012307.db2.gz CZYINSPFFZIDCH-UHFFFAOYSA-N 0 2 303.240 0.991 20 0 DCADLN C[C@@H](CO)C1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000652855955 417982274 /nfs/dbraw/zinc/98/22/74/417982274.db2.gz VIRUYCMCBNLHGY-QMMMGPOBSA-N 0 2 300.384 0.469 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000663113909 417991945 /nfs/dbraw/zinc/99/19/45/417991945.db2.gz FYAXOLIZJQWZEA-JTQLQIEISA-N 0 2 309.244 0.551 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)N(C)CC[NH+]1CCCCC1 ZINC000653375772 418064494 /nfs/dbraw/zinc/06/44/94/418064494.db2.gz MRKHEOHUICAEIK-CQSZACIVSA-N 0 2 321.425 0.332 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)N[C@@H]1CCc3[nH+]c[nH]c3C1)C2 ZINC000659405044 418092895 /nfs/dbraw/zinc/09/28/95/418092895.db2.gz SAUXVZYCYHFBRT-IQMDTDKHSA-N 0 2 320.349 0.010 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)N[C@@H]1CCc3[nH]c[nH+]c3C1)C2 ZINC000659405044 418092899 /nfs/dbraw/zinc/09/28/99/418092899.db2.gz SAUXVZYCYHFBRT-IQMDTDKHSA-N 0 2 320.349 0.010 20 0 DCADLN CN1CCN(c2cc(NC[C@@H]3CCOC3(C)C)[nH+]cn2)CC1=O ZINC000664602097 418137672 /nfs/dbraw/zinc/13/76/72/418137672.db2.gz AUCFQAPBKYGBIJ-LBPRGKRZSA-N 0 2 319.409 0.982 20 0 DCADLN CNC(=O)C1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC000648893691 418170912 /nfs/dbraw/zinc/17/09/12/418170912.db2.gz SJFWXSCPNMAMQZ-VIFPVBQESA-N 0 2 307.354 0.133 20 0 DCADLN CCc1c(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cnn1C ZINC000648893735 418170930 /nfs/dbraw/zinc/17/09/30/418170930.db2.gz WYPOBVFIQYSWNX-VIFPVBQESA-N 0 2 304.354 0.826 20 0 DCADLN CO[C@@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC000648893104 418171073 /nfs/dbraw/zinc/17/10/73/418171073.db2.gz JELSEEFUBZONDC-MWLCHTKSSA-N 0 2 320.353 0.338 20 0 DCADLN CO[C@@](C)(CO)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000655212729 418239140 /nfs/dbraw/zinc/23/91/40/418239140.db2.gz FAQZREDAZPGIDO-OAHLLOKOSA-N 0 2 305.334 0.705 20 0 DCADLN Cn1cnnc1N1CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000655289175 418247333 /nfs/dbraw/zinc/24/73/33/418247333.db2.gz JQRKDAZYKJWUJC-UHFFFAOYSA-N 0 2 323.303 0.761 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000665305388 418193290 /nfs/dbraw/zinc/19/32/90/418193290.db2.gz VVBMQHMKQAHRAQ-YPMHNXCESA-N 0 2 313.398 0.507 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000665305388 418193292 /nfs/dbraw/zinc/19/32/92/418193292.db2.gz VVBMQHMKQAHRAQ-YPMHNXCESA-N 0 2 313.398 0.507 20 0 DCADLN O=C(N=c1ccc(C2CC2)n[nH]1)NCC[C@]1(O)CCCOC1 ZINC000650084507 418291820 /nfs/dbraw/zinc/29/18/20/418291820.db2.gz DZASLMMLXRGOFR-OAHLLOKOSA-N 0 2 306.366 0.829 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC1([NH+]2CCOCC2)CC1)C(=O)[O-] ZINC000655875498 418294834 /nfs/dbraw/zinc/29/48/34/418294834.db2.gz DWOPWVNFGUMWDE-LBPRGKRZSA-N 0 2 313.398 0.507 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cn(C)nc2C2CCC2)[nH]n1 ZINC000650819505 418327219 /nfs/dbraw/zinc/32/72/19/418327219.db2.gz WMGURVUVYLWSHW-UHFFFAOYSA-N 0 2 314.349 0.511 20 0 DCADLN O=C([O-])c1cc(N2CCC[C@H](n3cc(CO)nn3)C2)cc[nH+]1 ZINC000650770819 418323651 /nfs/dbraw/zinc/32/36/51/418323651.db2.gz FRJFQYPEWZTEHB-LBPRGKRZSA-N 0 2 303.322 0.705 20 0 DCADLN CC[C@@H](C(=O)[O-])N(C)c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000650777402 418324321 /nfs/dbraw/zinc/32/43/21/418324321.db2.gz DUPHLUBTYIYALL-NEPJUHHUSA-N 0 2 308.382 0.985 20 0 DCADLN CC[C@@H](C(=O)[O-])N(C)c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000650777402 418324323 /nfs/dbraw/zinc/32/43/23/418324323.db2.gz DUPHLUBTYIYALL-NEPJUHHUSA-N 0 2 308.382 0.985 20 0 DCADLN CC[C@@H](C(=O)[O-])N(C)c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000650777404 418324581 /nfs/dbraw/zinc/32/45/81/418324581.db2.gz DUPHLUBTYIYALL-RYUDHWBXSA-N 0 2 308.382 0.985 20 0 DCADLN CC[C@@H](C(=O)[O-])N(C)c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000650777404 418324582 /nfs/dbraw/zinc/32/45/82/418324582.db2.gz DUPHLUBTYIYALL-RYUDHWBXSA-N 0 2 308.382 0.985 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H]2COc3ccccc3C2)[nH]n1 ZINC000650815657 418326076 /nfs/dbraw/zinc/32/60/76/418326076.db2.gz ZHSFXWDMORRLCZ-NSHDSACASA-N 0 2 312.329 0.448 20 0 DCADLN CCOc1nccc(C)c1C(=O)N=c1ccc(C(=O)NC)n[nH]1 ZINC000650818604 418326472 /nfs/dbraw/zinc/32/64/72/418326472.db2.gz QWYJRPOWMALTQT-UHFFFAOYSA-N 0 2 315.333 0.613 20 0 DCADLN COc1cc(NS(=O)(=O)C[C@@H](OC)[C@@H]2CCOC2)ncn1 ZINC000656731448 418374532 /nfs/dbraw/zinc/37/45/32/418374532.db2.gz PEHYJTIYCIWESD-NXEZZACHSA-N 0 2 317.367 0.278 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCC[C@H](OCC(F)(F)F)C2)C1=O ZINC000662201678 418388383 /nfs/dbraw/zinc/38/83/83/418388383.db2.gz KFSMNSWPOQXOEQ-UWVGGRQHSA-N 0 2 324.299 0.715 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCC[C@H](OCC(F)(F)F)C2)C1=O ZINC000662201678 418388386 /nfs/dbraw/zinc/38/83/86/418388386.db2.gz KFSMNSWPOQXOEQ-UWVGGRQHSA-N 0 2 324.299 0.715 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCN(c3ccccc3F)CC2)C1=O ZINC000662203871 418389140 /nfs/dbraw/zinc/38/91/40/418389140.db2.gz FOEUVUAPKUZWEJ-CQSZACIVSA-N 0 2 321.352 0.633 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(CC(F)F)C2CC2)[nH]1 ZINC000651559703 418391108 /nfs/dbraw/zinc/39/11/08/418391108.db2.gz DWYDGCYSWGBQDY-UHFFFAOYSA-N 0 2 301.297 0.476 20 0 DCADLN CO[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC[C@H]1C ZINC000651740421 418408079 /nfs/dbraw/zinc/40/80/79/418408079.db2.gz AGIYHJSWXQCYFJ-GHMZBOCLSA-N 0 2 309.370 0.103 20 0 DCADLN CCCCc1cc(=NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)[nH][nH]1 ZINC000651750700 418408570 /nfs/dbraw/zinc/40/85/70/418408570.db2.gz UAYKYZIDIHUXME-UHFFFAOYSA-N 0 2 319.369 0.346 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@@H](C)O[C@H](C3CC3)C2)[nH]1 ZINC000651762711 418409132 /nfs/dbraw/zinc/40/91/32/418409132.db2.gz LILZDKHKELCWQW-PWSUYJOCSA-N 0 2 321.381 0.245 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1C2CCCC1CCC2 ZINC000651641713 418399912 /nfs/dbraw/zinc/39/99/12/418399912.db2.gz LDJXTLDTTNXBAZ-UHFFFAOYSA-N 0 2 317.393 0.908 20 0 DCADLN CC(C)(C)OC(=O)N(CC(=O)NOCCO)C[C@H]1CCCO1 ZINC000492513667 287577782 /nfs/dbraw/zinc/57/77/82/287577782.db2.gz UNNFIUQYPVMSHI-LLVKDONJSA-N 0 2 318.370 0.443 20 0 DCADLN C/C=C\C[C@H](CO)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000354200291 261189378 /nfs/dbraw/zinc/18/93/78/261189378.db2.gz SSUZSKLEVGNAJQ-ZBJFTSOASA-N 0 2 318.333 0.801 20 0 DCADLN CCN1CCO[C@@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)C1 ZINC000355300542 261327525 /nfs/dbraw/zinc/32/75/25/261327525.db2.gz AQCPIEYWERBDDL-LLVKDONJSA-N 0 2 319.390 0.681 20 0 DCADLN CNC(=O)[C@H]1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000355569666 261348201 /nfs/dbraw/zinc/34/82/01/261348201.db2.gz GHOVFZGKPZUPBC-VIFPVBQESA-N 0 2 317.349 0.272 20 0 DCADLN Cn1cc(C(=O)C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)cn1 ZINC000356027200 261383790 /nfs/dbraw/zinc/38/37/90/261383790.db2.gz WRLDIBPCSXQRMJ-UHFFFAOYSA-N 0 2 312.289 0.732 20 0 DCADLN Cn1cc(-c2nnc(NS(=O)(=O)c3cccnc3)o2)cn1 ZINC000356510425 261432947 /nfs/dbraw/zinc/43/29/47/261432947.db2.gz CQXDJYSKDKYDEQ-UHFFFAOYSA-N 0 2 306.307 0.666 20 0 DCADLN C[C@@](NC(=O)CSc1n[nH]c(=O)[nH]1)(C(N)=O)c1ccccc1 ZINC000362365996 262026434 /nfs/dbraw/zinc/02/64/34/262026434.db2.gz RJDNXCOFHJUWMU-ZDUSSCGKSA-N 0 2 321.362 0.119 20 0 DCADLN CCc1n[nH]c(=O)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1CC ZINC000433216279 262654456 /nfs/dbraw/zinc/65/44/56/262654456.db2.gz WJRZQISPIJCUIS-UHFFFAOYSA-N 0 2 320.353 0.493 20 0 DCADLN Cc1n[nH]c(CCNC(=O)c2ccc(NS(C)(=O)=O)cc2)n1 ZINC000358555858 271058516 /nfs/dbraw/zinc/05/85/16/271058516.db2.gz DWIRMSBEPRBCAF-UHFFFAOYSA-N 0 2 323.378 0.457 20 0 DCADLN CN(C)C(=O)c1cccc(NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000478661094 272034380 /nfs/dbraw/zinc/03/43/80/272034380.db2.gz JSCQOEAYSANQBO-UHFFFAOYSA-N 0 2 321.362 0.943 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C/c1cccnc1 ZINC000492959245 272160650 /nfs/dbraw/zinc/16/06/50/272160650.db2.gz UNLGDTDFYAIJBT-AATRIKPKSA-N 0 2 306.347 0.642 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C\c2cncc(F)c2)cnn1C ZINC000492963049 272161324 /nfs/dbraw/zinc/16/13/24/272161324.db2.gz ZOCNHOJGBULBAL-ARJAWSKDSA-N 0 2 324.337 0.781 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C/c1ncccc1C ZINC000493122219 272169884 /nfs/dbraw/zinc/16/98/84/272169884.db2.gz BLHXBFIWHBMXTB-VOTSOKGWSA-N 0 2 320.374 0.950 20 0 DCADLN CC[C@H](CCO)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000493733173 272206508 /nfs/dbraw/zinc/20/65/08/272206508.db2.gz BANGIKMBLDJZHH-MRVPVSSYSA-N 0 2 314.411 0.473 20 0 DCADLN C[C@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000530207109 272730880 /nfs/dbraw/zinc/73/08/80/272730880.db2.gz OWATYALEFXOTHO-MRXNPFEDSA-N 0 2 317.345 0.911 20 0 DCADLN C[C@]1(C(=O)[O-])CCC[N@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000530207109 272730883 /nfs/dbraw/zinc/73/08/83/272730883.db2.gz OWATYALEFXOTHO-MRXNPFEDSA-N 0 2 317.345 0.911 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@@H]1C ZINC000347209680 277090682 /nfs/dbraw/zinc/09/06/82/277090682.db2.gz STKCYOPORNKJCU-RKDXNWHRSA-N 0 2 318.333 0.698 20 0 DCADLN Cc1[nH]ncc1CNC(=O)[C@@]1(O)CC[N@@H+](Cc2ccccc2)C1 ZINC000548302018 288129953 /nfs/dbraw/zinc/12/99/53/288129953.db2.gz GLKOBLXAHOXHHF-QGZVFWFLSA-N 0 2 314.389 0.971 20 0 DCADLN CN1C(=O)CN(C(=O)c2cc(F)c(F)c(O)c2F)CC1=O ZINC000549763719 288203974 /nfs/dbraw/zinc/20/39/74/288203974.db2.gz BXJMASVYILDMEC-UHFFFAOYSA-N 0 2 302.208 0.250 20 0 DCADLN CC1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCOCC1 ZINC000267795925 281571240 /nfs/dbraw/zinc/57/12/40/281571240.db2.gz NRYBDROFDHPXKP-UHFFFAOYSA-N 0 2 318.333 0.983 20 0 DCADLN CNC(=O)C[C@@H](C)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000456502377 290442830 /nfs/dbraw/zinc/44/28/30/290442830.db2.gz JCTIXMFRPGCBHT-SECBINFHSA-N 0 2 320.324 0.971 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@@]2(CCCOC2)O1 ZINC000366591599 297029714 /nfs/dbraw/zinc/02/97/14/297029714.db2.gz OEKOXEGDUUSHNL-MLGOLLRUSA-N 0 2 318.377 0.793 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@@]2(CCCOC2)O1 ZINC000366591599 297029715 /nfs/dbraw/zinc/02/97/15/297029715.db2.gz OEKOXEGDUUSHNL-MLGOLLRUSA-N 0 2 318.377 0.793 20 0 DCADLN CO[C@@]1(C)C[C@@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C1(C)C ZINC000185777972 294145268 /nfs/dbraw/zinc/14/52/68/294145268.db2.gz UNGRKORGEATBEA-KRTXAFLBSA-N 0 2 300.384 0.510 20 0 DCADLN O=C1C[C@H](NS(=O)(=O)NCC(F)(F)F)[C@@H]2CCCCN12 ZINC000375713327 304648513 /nfs/dbraw/zinc/64/85/13/304648513.db2.gz XLLPWQADUHTYDP-YUMQZZPRSA-N 0 2 315.317 0.126 20 0 DCADLN C[C@H]1C[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@]2(CCCOC2)O1 ZINC000366591598 300268791 /nfs/dbraw/zinc/26/87/91/300268791.db2.gz OEKOXEGDUUSHNL-LRDDRELGSA-N 0 2 318.377 0.793 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@]2(CCCOC2)O1 ZINC000366591598 300268793 /nfs/dbraw/zinc/26/87/93/300268793.db2.gz OEKOXEGDUUSHNL-LRDDRELGSA-N 0 2 318.377 0.793 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[C@H](O)C2(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000275316348 301141715 /nfs/dbraw/zinc/14/17/15/301141715.db2.gz ZIKVNOMZEYTMNL-BDAKNGLRSA-N 0 2 318.333 0.634 20 0 DCADLN CC(C)(C)c1cc(NC[C@H](O)[C@@H]2CCOC2)nc(C(=O)[O-])[nH+]1 ZINC000584234599 331874415 /nfs/dbraw/zinc/87/44/15/331874415.db2.gz KZBXZIAXHANGAJ-ZJUUUORDSA-N 0 2 309.366 0.704 20 0 DCADLN CCNC(=O)N1CC[C@@H](NC(=O)c2cccc3[nH]nnc32)C1 ZINC000188423001 333045761 /nfs/dbraw/zinc/04/57/61/333045761.db2.gz CLWFRFGNJJASME-SECBINFHSA-N 0 2 302.338 0.492 20 0 DCADLN O=C(N[C@H](CO)Cc1ccc(O)cc1)c1cccc2[nH]nnc21 ZINC000188673516 333051564 /nfs/dbraw/zinc/05/15/64/333051564.db2.gz OQYGPVXPPIKOCQ-NSHDSACASA-N 0 2 312.329 0.997 20 0 DCADLN CN(C)C(=O)c1cccc(C[N@H+]2CCN3C(=O)[C@H]([NH3+])C[C@H]3C2)c1 ZINC000583214274 337274241 /nfs/dbraw/zinc/27/42/41/337274241.db2.gz DEGGCDSDPRLCKE-LSDHHAIUSA-N 0 2 316.405 0.132 20 0 DCADLN CCCc1nsc(NC[C@H]2CCCN2S(C)(=O)=O)n1 ZINC000583296586 337310009 /nfs/dbraw/zinc/31/00/09/337310009.db2.gz GZKUDKYPBYXDSE-SECBINFHSA-N 0 2 304.441 0.748 20 0 DCADLN COc1cncc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000576946520 341871290 /nfs/dbraw/zinc/87/12/90/341871290.db2.gz PRXHMABJGOESHJ-MRVPVSSYSA-N 0 2 304.310 0.329 20 0 DCADLN CC(C)[C@@H]1OCC[C@H]1C(=O)NC1CCN(c2nnnn2C)CC1 ZINC000368894215 519807297 /nfs/dbraw/zinc/80/72/97/519807297.db2.gz FKFFLHJWDPAWMG-OLZOCXBDSA-N 0 2 322.413 0.356 20 0 DCADLN CCCc1nnc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)o1 ZINC000190355866 521567881 /nfs/dbraw/zinc/56/78/81/521567881.db2.gz SVPGQFVEJDFXLZ-UHFFFAOYSA-N 0 2 313.339 0.260 20 0 DCADLN CCN1CCC[N@H+](CC(=O)[N-]OCc2ccccc2)CC1=O ZINC000190233513 521612577 /nfs/dbraw/zinc/61/25/77/521612577.db2.gz JJYDXFPQSOGLLU-UHFFFAOYSA-N 0 2 305.378 0.789 20 0 DCADLN CCN1CCC[N@@H+](CC(=O)[N-]OCc2ccccc2)CC1=O ZINC000190233513 521612583 /nfs/dbraw/zinc/61/25/83/521612583.db2.gz JJYDXFPQSOGLLU-UHFFFAOYSA-N 0 2 305.378 0.789 20 0 DCADLN COCCc1nsc(N[C@H]2CCCN(c3ccnn3C)C2)n1 ZINC000365651112 522031906 /nfs/dbraw/zinc/03/19/06/522031906.db2.gz AFLDEGTZMRTTII-NSHDSACASA-N 0 2 322.438 0.963 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CC[C@H](C(N)=O)C2)c1 ZINC000424863461 536919672 /nfs/dbraw/zinc/91/96/72/536919672.db2.gz IYDGADGLFYWFDM-UTSGRSJNSA-N 0 2 324.402 0.573 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2C[C@@H](O)[C@H](OC)C2)c1 ZINC000424867545 536922434 /nfs/dbraw/zinc/92/24/34/536922434.db2.gz GYPCCORGIAYKDZ-SQHYZVFZSA-N 0 2 313.375 0.021 20 0 DCADLN O=C(Nc1ccc(-c2nc(=O)o[nH]2)cc1)[C@H]1CCNC(=O)C1 ZINC000177436155 545891487 /nfs/dbraw/zinc/89/14/87/545891487.db2.gz REWXQQAFMFSAHJ-VIFPVBQESA-N 0 2 302.290 0.495 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)Nc1ccc2c(c1)OCO2 ZINC000314558074 546025757 /nfs/dbraw/zinc/02/57/57/546025757.db2.gz CWFSJQFEOYGUNU-UHFFFAOYSA-N 0 2 310.306 0.387 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)Nc1ccc2c(c1)OCO2 ZINC000314558074 546025763 /nfs/dbraw/zinc/02/57/63/546025763.db2.gz CWFSJQFEOYGUNU-UHFFFAOYSA-N 0 2 310.306 0.387 20 0 DCADLN C[C@H](c1ccccc1)N(C)C(=O)C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000386746325 546217517 /nfs/dbraw/zinc/21/75/17/546217517.db2.gz AQVPSRSSFIBNQS-OCCSQVGLSA-N 0 2 306.362 0.991 20 0 DCADLN C[C@H](c1ccccc1)N(C)C(=O)C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000386746325 546217522 /nfs/dbraw/zinc/21/75/22/546217522.db2.gz AQVPSRSSFIBNQS-OCCSQVGLSA-N 0 2 306.362 0.991 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCO1 ZINC000666538428 546482700 /nfs/dbraw/zinc/48/27/00/546482700.db2.gz DFGGFXCFOFPPSB-NEPJUHHUSA-N 0 2 320.349 0.155 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)Cc2cscn2)s1 ZINC000666975606 546538059 /nfs/dbraw/zinc/53/80/59/546538059.db2.gz UADUEFKBGWQIAQ-UHFFFAOYSA-N 0 2 319.393 0.547 20 0 DCADLN C[C@@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@H](C)[NH+]1CCOCC1 ZINC000668318691 546727685 /nfs/dbraw/zinc/72/76/85/546727685.db2.gz SRNHUTKQQVQYRL-ZJUUUORDSA-N 0 2 320.349 0.767 20 0 DCADLN CS(=O)(=O)[C@@H]1CCN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC000669742229 547012870 /nfs/dbraw/zinc/01/28/70/547012870.db2.gz FKIDYWBYUCNHLG-MRVPVSSYSA-N 0 2 305.302 0.930 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccn(-c2cccc(F)c2)n1 ZINC000673919400 547539003 /nfs/dbraw/zinc/53/90/03/547539003.db2.gz UKNIIHDTBWXAFC-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)NCc1c[nH]nn1 ZINC000673951238 547546358 /nfs/dbraw/zinc/54/63/58/547546358.db2.gz JUIPMRBIDLOQMW-UHFFFAOYSA-N 0 2 301.310 0.029 20 0 DCADLN C[C@@H](CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000674487838 547599424 /nfs/dbraw/zinc/59/94/24/547599424.db2.gz WYXVITZANKULKF-ZETCQYMHSA-N 0 2 324.362 0.760 20 0 DCADLN Cc1[nH+]c2ccccc2n1CC(=O)N1CCOC[C@@H]1C(=O)[O-] ZINC000675997859 547725167 /nfs/dbraw/zinc/72/51/67/547725167.db2.gz NFELRLCVHANEKX-CYBMUJFWSA-N 0 2 303.318 0.657 20 0 DCADLN Cc1[nH+]c2ccccc2n1CC(=O)N1CCOC[C@H]1C(=O)[O-] ZINC000675997864 547725231 /nfs/dbraw/zinc/72/52/31/547725231.db2.gz NFELRLCVHANEKX-ZDUSSCGKSA-N 0 2 303.318 0.657 20 0 DCADLN CCc1ccc(CNC(=O)C[N@H+](CCOC)CC(=O)[O-])s1 ZINC000676230303 547747089 /nfs/dbraw/zinc/74/70/89/547747089.db2.gz XMSLIBQLGLULOQ-UHFFFAOYSA-N 0 2 314.407 0.960 20 0 DCADLN CCc1ccc(CNC(=O)C[N@@H+](CCOC)CC(=O)[O-])s1 ZINC000676230303 547747091 /nfs/dbraw/zinc/74/70/91/547747091.db2.gz XMSLIBQLGLULOQ-UHFFFAOYSA-N 0 2 314.407 0.960 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)Nc1cccc(NC(C)=O)c1 ZINC000676230038 547747124 /nfs/dbraw/zinc/74/71/24/547747124.db2.gz PHLWARAUAXVILY-UHFFFAOYSA-N 0 2 323.349 0.617 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)Nc1cccc(NC(C)=O)c1 ZINC000676230038 547747127 /nfs/dbraw/zinc/74/71/27/547747127.db2.gz PHLWARAUAXVILY-UHFFFAOYSA-N 0 2 323.349 0.617 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H]2C(=O)NCc2ccco2)c1O ZINC000676698563 547804811 /nfs/dbraw/zinc/80/48/11/547804811.db2.gz HYMQYUMJPRBWOW-LLVKDONJSA-N 0 2 318.333 0.938 20 0 DCADLN CCC[C@H](O)CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000676740641 547809935 /nfs/dbraw/zinc/80/99/35/547809935.db2.gz APYANZPJYIOIIJ-LURJTMIESA-N 0 2 308.385 0.399 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CCc2c[nH]nc2C1 ZINC000676830679 547821616 /nfs/dbraw/zinc/82/16/16/547821616.db2.gz NTEPGWKRKUKWOY-UHFFFAOYSA-N 0 2 311.301 0.952 20 0 DCADLN CCN1CCOC[C@@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000678060916 547941180 /nfs/dbraw/zinc/94/11/80/547941180.db2.gz KLZVQYIGUSTQAA-GFCCVEGCSA-N 0 2 318.333 0.689 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(C(=O)C3CC3)CC2(C)C)c1O ZINC000678992890 548020664 /nfs/dbraw/zinc/02/06/64/548020664.db2.gz CMWIFHUNGHSRII-UHFFFAOYSA-N 0 2 306.366 0.897 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)sc1C ZINC000679202847 548033366 /nfs/dbraw/zinc/03/33/66/548033366.db2.gz OWQZGMXHGBNMSA-UHFFFAOYSA-N 0 2 300.365 0.988 20 0 DCADLN NC(=O)C1(NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)CCC1 ZINC000679412165 548055605 /nfs/dbraw/zinc/05/56/05/548055605.db2.gz KNBRNRWVEQFKEG-UHFFFAOYSA-N 0 2 318.308 0.855 20 0 DCADLN Cn1ccc2c1cccc2NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000679512348 548063828 /nfs/dbraw/zinc/06/38/28/548063828.db2.gz ZGMQJNMYPFJBPF-UHFFFAOYSA-N 0 2 314.305 0.257 20 0 DCADLN COC[C@](C)(CO)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000681075849 548199637 /nfs/dbraw/zinc/19/96/37/548199637.db2.gz RZFPVYJJHAOBCK-HNNXBMFYSA-N 0 2 323.324 0.844 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCCC[C@H](S(C)(=O)=O)C2)c1O ZINC000683521485 548494838 /nfs/dbraw/zinc/49/48/38/548494838.db2.gz NEEKBPIICRGSQK-VIFPVBQESA-N 0 2 301.368 0.463 20 0 DCADLN Cc1cc(NC(=O)c2nc(CC(=O)N3CCCC3)no2)no1 ZINC000683586387 548502587 /nfs/dbraw/zinc/50/25/87/548502587.db2.gz DXRHYPZFUVZCEP-UHFFFAOYSA-N 0 2 305.294 0.783 20 0 DCADLN CSc1n[nH]c(NC(=O)c2ccc(-c3nn[nH]n3)nc2)n1 ZINC000737190304 599027004 /nfs/dbraw/zinc/02/70/04/599027004.db2.gz XQGQLSRCFHCMHJ-UHFFFAOYSA-N 0 2 303.311 0.354 20 0 DCADLN CC(=O)NCCN(C(=O)c1cccc2[nH+]ccn21)[C@@H](C)C(=O)[O-] ZINC000819758344 597689089 /nfs/dbraw/zinc/68/90/89/597689089.db2.gz YEOQEBRXDFBSDE-JTQLQIEISA-N 0 2 318.333 0.386 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2ccc(OCC(=O)[O-])cc2)CCO1 ZINC000736813120 599700768 /nfs/dbraw/zinc/70/07/68/599700768.db2.gz YJUDGMYVYNEVED-LBPRGKRZSA-N 0 2 322.361 0.601 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2ccc(OCC(=O)[O-])cc2)CCO1 ZINC000736813120 599700769 /nfs/dbraw/zinc/70/07/69/599700769.db2.gz YJUDGMYVYNEVED-LBPRGKRZSA-N 0 2 322.361 0.601 20 0 DCADLN CC(C)[C@@H](C)NC(=O)C1CC[NH+](Cn2cc(C(=O)[O-])nn2)CC1 ZINC000736356073 599705011 /nfs/dbraw/zinc/70/50/11/599705011.db2.gz VIROANVSFYWTPU-LLVKDONJSA-N 0 2 323.397 0.807 20 0 DCADLN C[C@@H](NC(=O)NCCCCC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000736648650 599832222 /nfs/dbraw/zinc/83/22/22/599832222.db2.gz ZYHHOHBJBXKMQY-NEPJUHHUSA-N 0 2 301.387 0.650 20 0 DCADLN C[C@H](NC(=O)NCCSCC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000736646514 599905651 /nfs/dbraw/zinc/90/56/51/599905651.db2.gz LGSUWRQIJSCRSF-QWRGUYRKSA-N 0 2 319.427 0.213 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)NCCc1ccc(F)cc1 ZINC000318433582 600141166 /nfs/dbraw/zinc/14/11/66/600141166.db2.gz MRISYXZPEMJZOP-OLZOCXBDSA-N 0 2 310.325 0.004 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)NCCc1ccc(F)cc1 ZINC000318433582 600141168 /nfs/dbraw/zinc/14/11/68/600141168.db2.gz MRISYXZPEMJZOP-OLZOCXBDSA-N 0 2 310.325 0.004 20 0 DCADLN CCc1ccc([C@@H]2COCC[N@@H+]2CCC(=O)NCC(=O)[O-])o1 ZINC000737259589 600217101 /nfs/dbraw/zinc/21/71/01/600217101.db2.gz TYEPLKJTQWPBPY-LBPRGKRZSA-N 0 2 310.350 0.806 20 0 DCADLN CCc1ccc([C@@H]2COCC[N@H+]2CCC(=O)NCC(=O)[O-])o1 ZINC000737259589 600217103 /nfs/dbraw/zinc/21/71/03/600217103.db2.gz TYEPLKJTQWPBPY-LBPRGKRZSA-N 0 2 310.350 0.806 20 0 DCADLN C[C@@H](C(=O)N1CCC2(C[C@@H]2C(=O)[O-])CC1)[NH+]1CCN(C)CC1 ZINC000736204190 600276675 /nfs/dbraw/zinc/27/66/75/600276675.db2.gz HHRFSNZGVKZFRK-QWHCGFSZSA-N 0 2 309.410 0.336 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)N2CCC3(C[C@H]3C(=O)[O-])CC2)C1 ZINC000405346337 600352465 /nfs/dbraw/zinc/35/24/65/600352465.db2.gz URVQOOFIINOSMI-NEPJUHHUSA-N 0 2 311.382 0.213 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)N2CCC3(C[C@H]3C(=O)[O-])CC2)C1 ZINC000405346337 600352468 /nfs/dbraw/zinc/35/24/68/600352468.db2.gz URVQOOFIINOSMI-NEPJUHHUSA-N 0 2 311.382 0.213 20 0 DCADLN Cc1nc(C)c(C[N@H+](CCN2CCOCC2)CC(=O)[O-])s1 ZINC000738847088 600953723 /nfs/dbraw/zinc/95/37/23/600953723.db2.gz JESKODJNPDHUNG-UHFFFAOYSA-N 0 2 313.423 0.979 20 0 DCADLN Cc1nc(C)c(C[N@@H+](CCN2CCOCC2)CC(=O)[O-])s1 ZINC000738847088 600953726 /nfs/dbraw/zinc/95/37/26/600953726.db2.gz JESKODJNPDHUNG-UHFFFAOYSA-N 0 2 313.423 0.979 20 0 DCADLN C[C@H](c1cccnc1)[NH+]1CCN([C@@H](C)C(=O)NCC(=O)[O-])CC1 ZINC000736206298 600955606 /nfs/dbraw/zinc/95/56/06/600955606.db2.gz DEUBVRVAKZXUMH-OLZOCXBDSA-N 0 2 320.393 0.350 20 0 DCADLN O=C([O-])CCCc1nc(CN2CC[C@@H]([NH+]3CCOCC3)C2)no1 ZINC000739696973 601109642 /nfs/dbraw/zinc/10/96/42/601109642.db2.gz CMVVDJXWBDVDNM-GFCCVEGCSA-N 0 2 324.381 0.383 20 0 DCADLN Cc1cc(F)ccc1[C@H](O)C[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000832890698 601112831 /nfs/dbraw/zinc/11/28/31/601112831.db2.gz YRSHVHYIGOZELO-QWHCGFSZSA-N 0 2 310.325 0.443 20 0 DCADLN Cc1cc(F)ccc1[C@H](O)C[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000832890698 601112834 /nfs/dbraw/zinc/11/28/34/601112834.db2.gz YRSHVHYIGOZELO-QWHCGFSZSA-N 0 2 310.325 0.443 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](CC(=O)N2CCO[C@H]3CCCC[C@@H]32)C1 ZINC000833107981 601446806 /nfs/dbraw/zinc/44/68/06/601446806.db2.gz ZBZKNPTXWSFDEW-SLEUVZQESA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](CC(=O)N2CCO[C@H]3CCCC[C@@H]32)C1 ZINC000833107981 601446809 /nfs/dbraw/zinc/44/68/09/601446809.db2.gz ZBZKNPTXWSFDEW-SLEUVZQESA-N 0 2 314.357 0.655 20 0 DCADLN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828433265 601541060 /nfs/dbraw/zinc/54/10/60/601541060.db2.gz SZJXZRBBJSZINZ-MDZLAQPJSA-N 0 2 320.393 0.867 20 0 DCADLN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828433265 601541062 /nfs/dbraw/zinc/54/10/62/601541062.db2.gz SZJXZRBBJSZINZ-MDZLAQPJSA-N 0 2 320.393 0.867 20 0 DCADLN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828433271 601541094 /nfs/dbraw/zinc/54/10/94/601541094.db2.gz SZJXZRBBJSZINZ-WZRBSPASSA-N 0 2 320.393 0.867 20 0 DCADLN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828433271 601541102 /nfs/dbraw/zinc/54/11/02/601541102.db2.gz SZJXZRBBJSZINZ-WZRBSPASSA-N 0 2 320.393 0.867 20 0 DCADLN CCOCC[N@@H+]1CCN(S(=O)(=O)C[C@H](C)C(=O)[O-])C[C@H]1C ZINC000830011693 601792488 /nfs/dbraw/zinc/79/24/88/601792488.db2.gz LKGHIZOXFSPJKD-NWDGAFQWSA-N 0 2 322.427 0.080 20 0 DCADLN CCOCC[N@H+]1CCN(S(=O)(=O)C[C@H](C)C(=O)[O-])C[C@H]1C ZINC000830011693 601792489 /nfs/dbraw/zinc/79/24/89/601792489.db2.gz LKGHIZOXFSPJKD-NWDGAFQWSA-N 0 2 322.427 0.080 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)C(=O)[O-] ZINC000827543155 601801293 /nfs/dbraw/zinc/80/12/93/601801293.db2.gz ZAVVDFKLWSFUOC-UWVGGRQHSA-N 0 2 301.368 0.640 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCSC[C@@H]1CCO)C(=O)[O-] ZINC000828719336 601875198 /nfs/dbraw/zinc/87/51/98/601875198.db2.gz QRYLODSBJOTSGL-GVXVVHGQSA-N 0 2 318.439 0.402 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCSC[C@@H]1CCO)C(=O)[O-] ZINC000828719336 601875199 /nfs/dbraw/zinc/87/51/99/601875199.db2.gz QRYLODSBJOTSGL-GVXVVHGQSA-N 0 2 318.439 0.402 20 0 DCADLN C[C@H](CC(=O)[O-])C(=O)N1CC[NH+](C[C@@H](O)c2ccccc2)CC1 ZINC000827262095 602150571 /nfs/dbraw/zinc/15/05/71/602150571.db2.gz FFEXSIVTSAJDFE-UKRRQHHQSA-N 0 2 320.389 0.975 20 0 DCADLN C[C@H]1CCN(S(=O)(=O)CCCC(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000828296049 602320981 /nfs/dbraw/zinc/32/09/81/602320981.db2.gz SEDZICIYGSLBDW-RYUDHWBXSA-N 0 2 315.395 0.961 20 0 DCADLN C[C@@H]1CCN(S(=O)(=O)CCCC(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000828296050 602321017 /nfs/dbraw/zinc/32/10/17/602321017.db2.gz SEDZICIYGSLBDW-VXGBXAGGSA-N 0 2 315.395 0.961 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CCN(C(=O)[O-])CC1)[NH+]1CCOCC1 ZINC000736646990 602639063 /nfs/dbraw/zinc/63/90/63/602639063.db2.gz ZNANCGGLZYJZMR-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1CCCN1C(=O)[O-])[NH+]1CCN(C)CC1 ZINC000824922121 602927300 /nfs/dbraw/zinc/92/73/00/602927300.db2.gz LZRJLCJQGGUBPX-CHWSQXEVSA-N 0 2 312.414 0.271 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[N@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000830855190 603492854 /nfs/dbraw/zinc/49/28/54/603492854.db2.gz GTCPADOXEBIQSM-NSHDSACASA-N 0 2 309.370 0.660 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[N@@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000830855190 603492858 /nfs/dbraw/zinc/49/28/58/603492858.db2.gz GTCPADOXEBIQSM-NSHDSACASA-N 0 2 309.370 0.660 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2cccnc2)[C@@H](CNC(=O)[O-])C1 ZINC000828501084 603507471 /nfs/dbraw/zinc/50/74/71/603507471.db2.gz VDLHMZFSWKTACO-ZDUSSCGKSA-N 0 2 306.366 0.424 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2cccnc2)[C@@H](CNC(=O)[O-])C1 ZINC000828501084 603507474 /nfs/dbraw/zinc/50/74/74/603507474.db2.gz VDLHMZFSWKTACO-ZDUSSCGKSA-N 0 2 306.366 0.424 20 0 DCADLN CC(C)CCO[C@@H](C)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824239101 603509592 /nfs/dbraw/zinc/50/95/92/603509592.db2.gz QBTZGCLIFAOUBM-QWHCGFSZSA-N 0 2 315.414 0.848 20 0 DCADLN CC(C)CCO[C@@H](C)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824239101 603509596 /nfs/dbraw/zinc/50/95/96/603509596.db2.gz QBTZGCLIFAOUBM-QWHCGFSZSA-N 0 2 315.414 0.848 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cc2cccc(F)c2)[C@H](CNC(=O)[O-])C1 ZINC000828501417 603516090 /nfs/dbraw/zinc/51/60/90/603516090.db2.gz VVSVPMZBWPNMOE-CYBMUJFWSA-N 0 2 309.341 0.778 20 0 DCADLN C[N@H+]1CCN(C(=O)Cc2cccc(F)c2)[C@H](CNC(=O)[O-])C1 ZINC000828501417 603516093 /nfs/dbraw/zinc/51/60/93/603516093.db2.gz VVSVPMZBWPNMOE-CYBMUJFWSA-N 0 2 309.341 0.778 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])[nH]n1 ZINC000824453682 603517247 /nfs/dbraw/zinc/51/72/47/603517247.db2.gz SHLAWZTWJZNWGD-SNVBAGLBSA-N 0 2 309.370 0.557 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])[nH]n1 ZINC000824453682 603517248 /nfs/dbraw/zinc/51/72/48/603517248.db2.gz SHLAWZTWJZNWGD-SNVBAGLBSA-N 0 2 309.370 0.557 20 0 DCADLN Cn1ncc2c1nc(C[N@H+](C)[C@@H]1CCN(C(=O)[O-])C1)[nH]c2=O ZINC000828417101 603535419 /nfs/dbraw/zinc/53/54/19/603535419.db2.gz VIPKGDAAUPKHFQ-MRVPVSSYSA-N 0 2 306.326 0.253 20 0 DCADLN Cn1ncc2c1nc(C[N@@H+](C)[C@@H]1CCN(C(=O)[O-])C1)[nH]c2=O ZINC000828417101 603535423 /nfs/dbraw/zinc/53/54/23/603535423.db2.gz VIPKGDAAUPKHFQ-MRVPVSSYSA-N 0 2 306.326 0.253 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000831779037 603666178 /nfs/dbraw/zinc/66/61/78/603666178.db2.gz XXIBCSRGCZUIQU-CHWSQXEVSA-N 0 2 316.317 0.924 20 0 DCADLN COCC[NH+]1CCN(C(=O)C2(CNC(=O)[O-])CCCC2)CC1 ZINC000828990052 603672543 /nfs/dbraw/zinc/67/25/43/603672543.db2.gz DAHQLYVBLSOKAD-UHFFFAOYSA-N 0 2 313.398 0.605 20 0 DCADLN O=C([O-])N1CC[NH+](CCCNS(=O)(=O)CC2CC2)CC1 ZINC000832030885 603910023 /nfs/dbraw/zinc/91/00/23/603910023.db2.gz BYBANUCOBCJBHU-UHFFFAOYSA-N 0 2 305.400 0.002 20 0 DCADLN C[C@@H](NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)[C@@H]1CCOC1 ZINC000825165985 604075337 /nfs/dbraw/zinc/07/53/37/604075337.db2.gz LYNCNQHVGGKEDY-VXGBXAGGSA-N 0 2 314.386 0.006 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000830856468 604077004 /nfs/dbraw/zinc/07/70/04/604077004.db2.gz NCXDOUBIYYNAPB-LLVKDONJSA-N 0 2 309.370 0.707 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000830856468 604077008 /nfs/dbraw/zinc/07/70/08/604077008.db2.gz NCXDOUBIYYNAPB-LLVKDONJSA-N 0 2 309.370 0.707 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N1C[C@H]([NH+]2CCOCC2)[C@@H](C)C1 ZINC000824823452 604197345 /nfs/dbraw/zinc/19/73/45/604197345.db2.gz GCRXFVXETNQHRR-XQQFMLRXSA-N 0 2 313.398 0.411 20 0 DCADLN COC[C@H](C)CC(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000828896403 604207397 /nfs/dbraw/zinc/20/73/97/604207397.db2.gz JSUMRPHTSIOSIM-GFCCVEGCSA-N 0 2 301.387 0.413 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000736209338 604375888 /nfs/dbraw/zinc/37/58/88/604375888.db2.gz SHMPRWNPBCZKJM-ZJUUUORDSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000736209338 604375892 /nfs/dbraw/zinc/37/58/92/604375892.db2.gz SHMPRWNPBCZKJM-ZJUUUORDSA-N 0 2 315.370 0.175 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2CSCCS2)[C@H](CNC(=O)[O-])C1 ZINC000828493952 604394633 /nfs/dbraw/zinc/39/46/33/604394633.db2.gz FVMSQOWTOZRMJT-NXEZZACHSA-N 0 2 319.452 0.245 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2CSCCS2)[C@H](CNC(=O)[O-])C1 ZINC000828493952 604394634 /nfs/dbraw/zinc/39/46/34/604394634.db2.gz FVMSQOWTOZRMJT-NXEZZACHSA-N 0 2 319.452 0.245 20 0 DCADLN C[C@@H](C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])c1cccnc1 ZINC000823670076 604395874 /nfs/dbraw/zinc/39/58/74/604395874.db2.gz RQRZXNNHDFNDMG-DGCLKSJQSA-N 0 2 306.366 0.595 20 0 DCADLN C[C@@H](C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])c1cccnc1 ZINC000823670076 604395877 /nfs/dbraw/zinc/39/58/77/604395877.db2.gz RQRZXNNHDFNDMG-DGCLKSJQSA-N 0 2 306.366 0.595 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2Cc3ccccc32)[C@@H](CNC(=O)[O-])C1 ZINC000828496133 604401365 /nfs/dbraw/zinc/40/13/65/604401365.db2.gz UEURSMCBJGTGOR-GXTWGEPZSA-N 0 2 303.362 0.737 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2Cc3ccccc32)[C@@H](CNC(=O)[O-])C1 ZINC000828496133 604401367 /nfs/dbraw/zinc/40/13/67/604401367.db2.gz UEURSMCBJGTGOR-GXTWGEPZSA-N 0 2 303.362 0.737 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC000833001694 604447613 /nfs/dbraw/zinc/44/76/13/604447613.db2.gz QVWIVYNSVNJXAI-MNOVXSKESA-N 0 2 305.334 0.886 20 0 DCADLN COC(=O)[C@@H](c1cccc(C(=O)[O-])c1)[NH+]1CCC(C(N)=O)CC1 ZINC000833700434 604471753 /nfs/dbraw/zinc/47/17/53/604471753.db2.gz DKMPLLZCRQESBS-CYBMUJFWSA-N 0 2 320.345 0.796 20 0 DCADLN CC(C)(CNC(=O)N1CCC[C@H]1CC(=O)[O-])[NH+]1CCOCC1 ZINC000826567979 604477884 /nfs/dbraw/zinc/47/78/84/604477884.db2.gz NQINEOKTXSBYJY-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CC(=O)N1CC[NH+](CCNc2ccc(C(=O)[O-])c(C)n2)CC1 ZINC000833390884 604498625 /nfs/dbraw/zinc/49/86/25/604498625.db2.gz KRCQIXGLHDMCQU-UHFFFAOYSA-N 0 2 306.366 0.664 20 0 DCADLN CC(=O)N1CCN(CCNc2ccc(C(=O)[O-])c(C)[nH+]2)CC1 ZINC000833390884 604498626 /nfs/dbraw/zinc/49/86/26/604498626.db2.gz KRCQIXGLHDMCQU-UHFFFAOYSA-N 0 2 306.366 0.664 20 0 DCADLN CC(=O)Nc1ccc(C[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000315937391 604516756 /nfs/dbraw/zinc/51/67/56/604516756.db2.gz SFXJWGVSKAXNSX-ZDUSSCGKSA-N 0 2 305.334 0.420 20 0 DCADLN CC(=O)Nc1ccc(C[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000315937391 604516760 /nfs/dbraw/zinc/51/67/60/604516760.db2.gz SFXJWGVSKAXNSX-ZDUSSCGKSA-N 0 2 305.334 0.420 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)CCCC(=O)[O-])C1 ZINC000833760051 604567643 /nfs/dbraw/zinc/56/76/43/604567643.db2.gz KTZVMYCKYDRWBD-JTQLQIEISA-N 0 2 301.368 0.404 20 0 DCADLN CC(C)(C)[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CN(C(=O)[O-])CCO1 ZINC000823760129 604745086 /nfs/dbraw/zinc/74/50/86/604745086.db2.gz OPFFWGSHKIKCTG-NEPJUHHUSA-N 0 2 324.381 0.793 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC000832049843 604822611 /nfs/dbraw/zinc/82/26/11/604822611.db2.gz KEGUEOCLYSZPTP-QWRGUYRKSA-N 0 2 308.338 0.495 20 0 DCADLN CC(C)(C)C(=O)NCCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000320713856 604896052 /nfs/dbraw/zinc/89/60/52/604896052.db2.gz URIPQYFHIDGXOD-NSHDSACASA-N 0 2 324.381 0.464 20 0 DCADLN CC(C)(C)C(=O)NCCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000320713856 604896054 /nfs/dbraw/zinc/89/60/54/604896054.db2.gz URIPQYFHIDGXOD-NSHDSACASA-N 0 2 324.381 0.464 20 0 DCADLN CC(C)CCC(=O)NCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833482399 604896484 /nfs/dbraw/zinc/89/64/84/604896484.db2.gz CZVIHRPRFLHRFN-NSHDSACASA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)CCC(=O)NCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833482399 604896487 /nfs/dbraw/zinc/89/64/87/604896487.db2.gz CZVIHRPRFLHRFN-NSHDSACASA-N 0 2 310.354 0.074 20 0 DCADLN C[C@H](C(=O)OC(C)(C)C)[N@H+](C)CCc1cn(CC(=O)[O-])nn1 ZINC000833408504 604914402 /nfs/dbraw/zinc/91/44/02/604914402.db2.gz ZFJRCXCWRMFYOV-SNVBAGLBSA-N 0 2 312.370 0.567 20 0 DCADLN C[C@H](C(=O)OC(C)(C)C)[N@@H+](C)CCc1cn(CC(=O)[O-])nn1 ZINC000833408504 604914403 /nfs/dbraw/zinc/91/44/03/604914403.db2.gz ZFJRCXCWRMFYOV-SNVBAGLBSA-N 0 2 312.370 0.567 20 0 DCADLN CN(C)S(=O)(=O)c1cnn(C[N@@H+]2CCC[C@@H]2CC(=O)[O-])c1 ZINC000830631654 604980595 /nfs/dbraw/zinc/98/05/95/604980595.db2.gz VQCSIQUHFIBGRW-SNVBAGLBSA-N 0 2 316.383 0.030 20 0 DCADLN CN(C)S(=O)(=O)c1cnn(C[N@H+]2CCC[C@@H]2CC(=O)[O-])c1 ZINC000830631654 604980597 /nfs/dbraw/zinc/98/05/97/604980597.db2.gz VQCSIQUHFIBGRW-SNVBAGLBSA-N 0 2 316.383 0.030 20 0 DCADLN C[C@]1(O)CCN(c2nc[nH+]c(N3CC[C@](C)(O)C3)c2C(=O)[O-])C1 ZINC000833571853 605004410 /nfs/dbraw/zinc/00/44/10/605004410.db2.gz MYUPPVKUHBWIEG-GJZGRUSLSA-N 0 2 322.365 0.097 20 0 DCADLN O=C(CO[C@H]1CCCN(C(=O)[O-])C1)NCCCn1cc[nH+]c1 ZINC000831513891 605241377 /nfs/dbraw/zinc/24/13/77/605241377.db2.gz TVLJYDALUQEYFV-LBPRGKRZSA-N 0 2 310.354 0.548 20 0 DCADLN CC(C)(C)OC(=O)CCC[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000833432782 605368848 /nfs/dbraw/zinc/36/88/48/605368848.db2.gz AVCIKWXWQPYCOM-JTQLQIEISA-N 0 2 300.355 0.383 20 0 DCADLN CC(C)(C)OC(=O)CCC[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000833432782 605368856 /nfs/dbraw/zinc/36/88/56/605368856.db2.gz AVCIKWXWQPYCOM-JTQLQIEISA-N 0 2 300.355 0.383 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@H+](CCS(C)(=O)=O)C2CC2)O1 ZINC000833583750 605376524 /nfs/dbraw/zinc/37/65/24/605376524.db2.gz KVNBSKUEBPFQAL-LLVKDONJSA-N 0 2 303.380 0.643 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@@H+](CCS(C)(=O)=O)C2CC2)O1 ZINC000833583750 605376530 /nfs/dbraw/zinc/37/65/30/605376530.db2.gz KVNBSKUEBPFQAL-LLVKDONJSA-N 0 2 303.380 0.643 20 0 DCADLN O=C([O-])N1CCc2cccc(C[NH+]3CCN(CCO)CC3)c21 ZINC000832086267 605483400 /nfs/dbraw/zinc/48/34/00/605483400.db2.gz DAHOMGJTBGRWJG-UHFFFAOYSA-N 0 2 305.378 0.837 20 0 DCADLN C[C@@H](CC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)c1ccncc1 ZINC000824646173 605520815 /nfs/dbraw/zinc/52/08/15/605520815.db2.gz DXQZAUOGIRGHLI-ZDUSSCGKSA-N 0 2 320.393 0.987 20 0 DCADLN O=C([O-])N1CCC[C@H](NC(=O)NCCNc2cccc[nH+]2)C1 ZINC000739419272 605643844 /nfs/dbraw/zinc/64/38/44/605643844.db2.gz DFKVSECZGHFTGO-NSHDSACASA-N 0 2 307.354 0.935 20 0 DCADLN COc1ccc(NC(=O)C[NH+]2CCC(NC(=O)[O-])CC2)nc1 ZINC000829454357 605752565 /nfs/dbraw/zinc/75/25/65/605752565.db2.gz UYPGGHNYKOAOIF-UHFFFAOYSA-N 0 2 308.338 0.761 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(N2CCOCC2)cc1)[N@H+](C)CC(=O)[O-] ZINC000819882742 605807514 /nfs/dbraw/zinc/80/75/14/605807514.db2.gz IFRFYDMLIFCEBF-LBPRGKRZSA-N 0 2 321.377 0.867 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(N2CCOCC2)cc1)[N@@H+](C)CC(=O)[O-] ZINC000819882742 605807517 /nfs/dbraw/zinc/80/75/17/605807517.db2.gz IFRFYDMLIFCEBF-LBPRGKRZSA-N 0 2 321.377 0.867 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@@H+]2CCC[C@H](n3ccnc3)C2)C1 ZINC000834157694 605995649 /nfs/dbraw/zinc/99/56/49/605995649.db2.gz LKZUWHLITOTSAZ-NEPJUHHUSA-N 0 2 307.354 0.346 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@H+]2CCC[C@H](n3ccnc3)C2)C1 ZINC000834157694 605995651 /nfs/dbraw/zinc/99/56/51/605995651.db2.gz LKZUWHLITOTSAZ-NEPJUHHUSA-N 0 2 307.354 0.346 20 0 DCADLN CCOC(=O)c1cnn(C[NH+]2CCC(CNC(=O)[O-])CC2)c1 ZINC000833862095 606036295 /nfs/dbraw/zinc/03/62/95/606036295.db2.gz ZELOPLPFELKLHH-UHFFFAOYSA-N 0 2 310.354 0.997 20 0 DCADLN COCCN(CC(=O)N1CC[C@H](c2[nH+]ccn2C)C1)C(=O)[O-] ZINC000833884486 606082591 /nfs/dbraw/zinc/08/25/91/606082591.db2.gz MWFGWJUUSFAWPQ-NSHDSACASA-N 0 2 310.354 0.362 20 0 DCADLN Cc1nc(CCNc2c3ccccc3nnc2-c2nn[nH]n2)n[nH]1 ZINC000822440571 606198757 /nfs/dbraw/zinc/19/87/57/606198757.db2.gz NPYNBCLFKRKYQK-UHFFFAOYSA-N 0 2 322.336 0.891 20 0 DCADLN c1c2c(nc(SCc3nn[nH]n3)c1-c1nn[nH]n1)CCCC2 ZINC000095218003 606360403 /nfs/dbraw/zinc/36/04/03/606360403.db2.gz SPOJDWVCIXYDPU-UHFFFAOYSA-N 0 2 315.366 0.946 20 0 DCADLN Cc1c(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)cnn1C ZINC000822122507 606765368 /nfs/dbraw/zinc/76/53/68/606765368.db2.gz NLYHBQSCMDBNSD-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC000977712545 660423749 /nfs/dbraw/zinc/42/37/49/660423749.db2.gz SXIKWPNDYKIONR-JGVFFNPUSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC000977712545 660423751 /nfs/dbraw/zinc/42/37/51/660423751.db2.gz SXIKWPNDYKIONR-JGVFFNPUSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC000978189433 660494454 /nfs/dbraw/zinc/49/44/54/660494454.db2.gz FRSICWMWLGFLMX-RNFRBKRXSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC000978189433 660494455 /nfs/dbraw/zinc/49/44/55/660494455.db2.gz FRSICWMWLGFLMX-RNFRBKRXSA-N 0 2 323.250 0.378 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)cnn1 ZINC001027849211 660834339 /nfs/dbraw/zinc/83/43/39/660834339.db2.gz UAYWHIUTCIQKQG-NSHDSACASA-N 0 2 317.353 0.003 20 0 DCADLN C[C@@H](NC(=O)c1ccccc1)C(=O)NNC(=O)c1ccccn1 ZINC000069141262 660892578 /nfs/dbraw/zinc/89/25/78/660892578.db2.gz PEKUVBNIOYYBEI-LLVKDONJSA-N 0 2 312.329 0.661 20 0 DCADLN C[C@H](O)C[N@@H+]1CCCN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC000981210274 661103571 /nfs/dbraw/zinc/10/35/71/661103571.db2.gz PUNXWOVHRGGAPZ-AWEZNQCLSA-N 0 2 320.437 0.677 20 0 DCADLN CO[C@H]1CC[C@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000981500136 661161151 /nfs/dbraw/zinc/16/11/51/661161151.db2.gz SWKNQBFFVPPYJZ-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@@H]1COCC[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981903991 661243653 /nfs/dbraw/zinc/24/36/53/661243653.db2.gz BHGDMNZHPURVNM-VXGBXAGGSA-N 0 2 323.397 0.217 20 0 DCADLN CCn1ccc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001028179406 661262138 /nfs/dbraw/zinc/26/21/38/661262138.db2.gz DIBNDEFRBLMALS-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN Cc1cncc(C[N@H+]2CCC[C@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001028211297 661279781 /nfs/dbraw/zinc/27/97/81/661279781.db2.gz MKVRTACXEXOLOA-ZDUSSCGKSA-N 0 2 300.366 0.903 20 0 DCADLN C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001032623970 665433458 /nfs/dbraw/zinc/43/34/58/665433458.db2.gz AAUZIRJHDUABJN-DCAQKATOSA-N 0 2 317.349 0.692 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2c[nH+]cn2C)C1 ZINC001032627601 665435904 /nfs/dbraw/zinc/43/59/04/665435904.db2.gz BGDLORGVWLPEOI-KKUMJFAQSA-N 0 2 317.437 0.547 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ncc(F)cc1F ZINC001031773492 665480794 /nfs/dbraw/zinc/48/07/94/665480794.db2.gz XLZZGRIYTGDOQS-UHFFFAOYSA-N 0 2 324.291 0.045 20 0 DCADLN COCCS(=O)(=O)[N-]C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000181150183 665492895 /nfs/dbraw/zinc/49/28/95/665492895.db2.gz FISLHUIWRXKKOO-UHFFFAOYSA-N 0 2 309.347 0.578 20 0 DCADLN C[C@@H](NC(=O)c1cccnc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969210881 657576171 /nfs/dbraw/zinc/57/61/71/657576171.db2.gz ZXFFFKKEOKAZOT-SECBINFHSA-N 0 2 302.338 0.156 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H]([NH2+][C@@H]2CCCN(C)C2=O)C1 ZINC000970578681 657652331 /nfs/dbraw/zinc/65/23/31/657652331.db2.gz DFZHTCUOCCZAJO-CHWSQXEVSA-N 0 2 319.409 0.072 20 0 DCADLN C[C@@H](NC(=O)C1=COCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969395812 657799872 /nfs/dbraw/zinc/79/98/72/657799872.db2.gz MLOBAZUTUBSRQD-SECBINFHSA-N 0 2 307.354 0.141 20 0 DCADLN CCN(C(=O)c1cccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949597864 657995016 /nfs/dbraw/zinc/99/50/16/657995016.db2.gz CJWGKHBNHFDNDM-UHFFFAOYSA-N 0 2 307.379 0.918 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+](Cc2ccns2)C1)c1nc[nH]n1 ZINC001023656203 658105090 /nfs/dbraw/zinc/10/50/90/658105090.db2.gz SPSNRTDFGUTBJR-SNVBAGLBSA-N 0 2 306.395 0.903 20 0 DCADLN C[C@@](O)(CNS(=O)(=O)N=S(C)(C)=O)c1ccsc1 ZINC000866859262 658114561 /nfs/dbraw/zinc/11/45/61/658114561.db2.gz JRJQJBJDIZWQFH-SECBINFHSA-N 0 2 312.438 0.518 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969942219 658278684 /nfs/dbraw/zinc/27/86/84/658278684.db2.gz WUSKLYQLHIOOQV-VXNVDRBHSA-N 0 2 315.324 0.492 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cocn1 ZINC001024362848 658581360 /nfs/dbraw/zinc/58/13/60/658581360.db2.gz PXMMNPOFEQBJLJ-SECBINFHSA-N 0 2 306.326 0.283 20 0 DCADLN Cn1cnc(C(=O)NC[C@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001024392604 658605413 /nfs/dbraw/zinc/60/54/13/658605413.db2.gz UBNPRKIENAEBRN-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC12CC2 ZINC001024682307 658769299 /nfs/dbraw/zinc/76/92/99/658769299.db2.gz YUVNNAPJAMVBTA-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC12CC2 ZINC001024682307 658769303 /nfs/dbraw/zinc/76/93/03/658769303.db2.gz YUVNNAPJAMVBTA-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000939604289 665611231 /nfs/dbraw/zinc/61/12/31/665611231.db2.gz DXJQMEZEZINCEG-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)[nH]n1 ZINC000939604289 665611232 /nfs/dbraw/zinc/61/12/32/665611232.db2.gz DXJQMEZEZINCEG-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cncc(C)c1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031844763 665630821 /nfs/dbraw/zinc/63/08/21/665630821.db2.gz YHFMIDBFOHMNRR-UHFFFAOYSA-N 0 2 316.365 0.384 20 0 DCADLN C[C@H](NC(=O)c1cnon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006691741 659107994 /nfs/dbraw/zinc/10/79/94/659107994.db2.gz KVTYKHSXEGLGDJ-XNCJUZBTSA-N 0 2 324.234 0.547 20 0 DCADLN C[C@H](NC(=O)c1cnon1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001006691741 659107996 /nfs/dbraw/zinc/10/79/96/659107996.db2.gz KVTYKHSXEGLGDJ-XNCJUZBTSA-N 0 2 324.234 0.547 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C2CC2)CC1 ZINC001007569365 659257560 /nfs/dbraw/zinc/25/75/60/659257560.db2.gz ORMCDTNOAAVELH-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN Cn1nc(C(=O)NNC(=O)c2ccco2)c2ccccc2c1=O ZINC000017192179 659347582 /nfs/dbraw/zinc/34/75/82/659347582.db2.gz RQBZQVDDNMDAGL-UHFFFAOYSA-N 0 2 312.285 0.601 20 0 DCADLN CC(C)c1ocnc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957095317 659351606 /nfs/dbraw/zinc/35/16/06/659351606.db2.gz QKGVYTHFDQMYHL-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001006665693 659462146 /nfs/dbraw/zinc/46/21/46/659462146.db2.gz HYBVUQXIRXCHAI-JTQLQIEISA-N 0 2 305.338 0.806 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000974581604 659585183 /nfs/dbraw/zinc/58/51/83/659585183.db2.gz ZZIMYNWHGDIBQH-VIFPVBQESA-N 0 2 319.369 0.182 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(F)ccc1F ZINC001038349157 659760642 /nfs/dbraw/zinc/76/06/42/659760642.db2.gz NHKSLZVJLCYHKI-VIFPVBQESA-N 0 2 323.303 0.793 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CC12CC2 ZINC000975075528 659782846 /nfs/dbraw/zinc/78/28/46/659782846.db2.gz MVAUNPUTIUMREZ-VHSXEESVSA-N 0 2 305.382 0.637 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CC12CC2 ZINC000975075528 659782850 /nfs/dbraw/zinc/78/28/50/659782850.db2.gz MVAUNPUTIUMREZ-VHSXEESVSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1cccc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001006781284 661384428 /nfs/dbraw/zinc/38/44/28/661384428.db2.gz HVMOOMWGSYTELG-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N[C@H]2CC[NH+](CCOC3CCC3)C2)C1 ZINC001010425352 661395707 /nfs/dbraw/zinc/39/57/07/661395707.db2.gz DCRVGEKXVGCYIC-ZFWWWQNUSA-N 0 2 311.426 0.077 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2CCCN(C(=O)C[N@@H+]3CC[C@@H](C)C3)C2)o1 ZINC001000191892 665770185 /nfs/dbraw/zinc/77/01/85/665770185.db2.gz KVZYHDJYDFYSEN-OCCSQVGLSA-N 0 2 321.425 0.800 20 0 DCADLN Cc1nnc(C[N@@H+]2CC[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001028485112 661554558 /nfs/dbraw/zinc/55/45/58/661554558.db2.gz KXUBNHSWGQHYDJ-JTQLQIEISA-N 0 2 320.353 0.367 20 0 DCADLN Cc1nnc(C[N@H+]2CC[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001028485112 661554559 /nfs/dbraw/zinc/55/45/59/661554559.db2.gz KXUBNHSWGQHYDJ-JTQLQIEISA-N 0 2 320.353 0.367 20 0 DCADLN CC(C)[N@@H+]1CCC[C@H]1C(=O)NC[C@H]1CC[NH+](Cc2cnon2)C1 ZINC001028537120 661602603 /nfs/dbraw/zinc/60/26/03/661602603.db2.gz HJKBYPXBJHMEBX-HIFRSBDPSA-N 0 2 321.425 0.881 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CCCN(C(=O)CCc3cnn[nH]3)C2)on1 ZINC001000234026 665792276 /nfs/dbraw/zinc/79/22/76/665792276.db2.gz YYXISLWQNZXWGE-CYBMUJFWSA-N 0 2 318.381 0.815 20 0 DCADLN C[C@@H]1CO[C@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)C1 ZINC001029399509 662140148 /nfs/dbraw/zinc/14/01/48/662140148.db2.gz QOSVFDJSRWXMHR-YFKTTZPYSA-N 0 2 321.381 0.111 20 0 DCADLN C[N@H+](CC(=O)Nc1n[nH]c(SCCO)n1)CC(C)(C)C ZINC000896989399 662382805 /nfs/dbraw/zinc/38/28/05/662382805.db2.gz UBIVKOAAKSFXML-UHFFFAOYSA-N 0 2 301.416 0.806 20 0 DCADLN C[N@@H+](CC(=O)Nc1n[nH]c(SCCO)n1)CC(C)(C)C ZINC000896989399 662382806 /nfs/dbraw/zinc/38/28/06/662382806.db2.gz UBIVKOAAKSFXML-UHFFFAOYSA-N 0 2 301.416 0.806 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001000559049 665876045 /nfs/dbraw/zinc/87/60/45/665876045.db2.gz KQDYUOHIBZGOGM-IMRBUKKESA-N 0 2 317.393 0.805 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001000559049 665876047 /nfs/dbraw/zinc/87/60/47/665876047.db2.gz KQDYUOHIBZGOGM-IMRBUKKESA-N 0 2 317.393 0.805 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([NH2+]Cc3ncccn3)[C@H]2C)c1[O-] ZINC000986162132 662563970 /nfs/dbraw/zinc/56/39/70/662563970.db2.gz SJHVBKXVCWYBRT-MNOVXSKESA-N 0 2 316.365 0.607 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCCO1 ZINC001000581711 665887532 /nfs/dbraw/zinc/88/75/32/665887532.db2.gz HHQTVXAAJBCWJC-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCCO1 ZINC001000581711 665887534 /nfs/dbraw/zinc/88/75/34/665887534.db2.gz HHQTVXAAJBCWJC-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC000937752561 662815649 /nfs/dbraw/zinc/81/56/49/662815649.db2.gz BDEOPTJVOKRDIZ-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(Cc1ncn[nH]1)N1CCC[C@@H]([NH2+]Cc2ccns2)C1 ZINC001000661873 665905965 /nfs/dbraw/zinc/90/59/65/665905965.db2.gz XCANWSBVYDLJDU-SNVBAGLBSA-N 0 2 306.395 0.585 20 0 DCADLN Cn1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000990200597 663015378 /nfs/dbraw/zinc/01/53/78/663015378.db2.gz YBXTVNMEFTYXBB-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC000990200597 663015379 /nfs/dbraw/zinc/01/53/79/663015379.db2.gz YBXTVNMEFTYXBB-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000990448003 663051697 /nfs/dbraw/zinc/05/16/97/663051697.db2.gz YRYDQYAEOLXPPS-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN Cn1cc(CC(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC000990448003 663051699 /nfs/dbraw/zinc/05/16/99/663051699.db2.gz YRYDQYAEOLXPPS-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN COc1cccc2c(C(=O)NN3C(=O)[C@H](C)N(C)C3=O)cnn21 ZINC000899043204 663119268 /nfs/dbraw/zinc/11/92/68/663119268.db2.gz VTXOIOREMPGDSD-QMMMGPOBSA-N 0 2 317.305 0.270 20 0 DCADLN Cc1c(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)cnn1CC(C)C ZINC000899031606 663119541 /nfs/dbraw/zinc/11/95/41/663119541.db2.gz BGNCBJAJOCHOMD-JTQLQIEISA-N 0 2 307.354 0.775 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)C(F)(F)C2(O)CCCCC2)C(=O)N1C ZINC000899034935 663119720 /nfs/dbraw/zinc/11/97/20/663119720.db2.gz HGVSQJAHGZEYSS-QMMMGPOBSA-N 0 2 319.308 0.631 20 0 DCADLN Cn1cnc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000990830747 663216960 /nfs/dbraw/zinc/21/69/60/663216960.db2.gz VEEHTFFUDRGGIS-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cnc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC000990830747 663216962 /nfs/dbraw/zinc/21/69/62/663216962.db2.gz VEEHTFFUDRGGIS-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN CCO[C@@H]1C[C@@]([NH3+])(C(=O)[N-]S(=O)(=O)C2CCCC2)C1(C)C ZINC000901035760 663306707 /nfs/dbraw/zinc/30/67/07/663306707.db2.gz PWJKRXDECNFFTR-BXUZGUMPSA-N 0 2 318.439 0.908 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CO[C@H](CCC(=O)[O-])C1)Cn1cc[nH+]c1 ZINC000901876689 663371638 /nfs/dbraw/zinc/37/16/38/663371638.db2.gz VJIWIBIUTUQZDM-JHJVBQTASA-N 0 2 309.366 0.905 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c[nH+]1 ZINC000902059072 663382697 /nfs/dbraw/zinc/38/26/97/663382697.db2.gz QQZGOGWSCGKUSB-IAQYHMDHSA-N 0 2 307.350 0.486 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC000902841557 663436624 /nfs/dbraw/zinc/43/66/24/663436624.db2.gz PUYZQHXCDWCZKB-NWDGAFQWSA-N 0 2 300.399 0.960 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC000902841558 663436778 /nfs/dbraw/zinc/43/67/78/663436778.db2.gz PUYZQHXCDWCZKB-RYUDHWBXSA-N 0 2 300.399 0.960 20 0 DCADLN Cc1n[nH]nc1[N-]S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000903185510 663448606 /nfs/dbraw/zinc/44/86/06/663448606.db2.gz ULEXYLDEUKOAMB-UHFFFAOYSA-N 0 2 307.379 0.902 20 0 DCADLN Cc1n[nH]nc1[N-]S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000903185510 663448608 /nfs/dbraw/zinc/44/86/08/663448608.db2.gz ULEXYLDEUKOAMB-UHFFFAOYSA-N 0 2 307.379 0.902 20 0 DCADLN CCOC(=O)N(C)CCCC(=O)NCCc1n[nH]c(=S)o1 ZINC000907460391 663698789 /nfs/dbraw/zinc/69/87/89/663698789.db2.gz BNVTWFMKKIHJSS-UHFFFAOYSA-N 0 2 316.383 0.886 20 0 DCADLN O=C(c1c(O)cccc1F)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907479388 663701107 /nfs/dbraw/zinc/70/11/07/663701107.db2.gz JZXRCLYLLLLBBY-QMMMGPOBSA-N 0 2 309.326 0.975 20 0 DCADLN Cc1ccnc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991094117 663718186 /nfs/dbraw/zinc/71/81/86/663718186.db2.gz DHUCWOGZGFOVCU-MRVPVSSYSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ccnc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000991094117 663718188 /nfs/dbraw/zinc/71/81/88/663718188.db2.gz DHUCWOGZGFOVCU-MRVPVSSYSA-N 0 2 320.246 0.626 20 0 DCADLN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)NCCCc1cc(=O)[nH][nH]1 ZINC000908233112 663745118 /nfs/dbraw/zinc/74/51/18/663745118.db2.gz UHQFWZCLUFUCBW-LKFCYVNXSA-N 0 2 303.366 0.848 20 0 DCADLN CC(C)ONC(=O)CSc1ccc(S(N)(=O)=O)cc1 ZINC000908570989 663762542 /nfs/dbraw/zinc/76/25/42/663762542.db2.gz YNOHVMOXHANMEF-UHFFFAOYSA-N 0 2 304.393 0.882 20 0 DCADLN CC(C)(CNC(=O)N(CC(=O)[O-])C1CCC1)[NH+]1CCOCC1 ZINC000908747187 663767960 /nfs/dbraw/zinc/76/79/60/663767960.db2.gz HVNHVDPEAWMREE-UHFFFAOYSA-N 0 2 313.398 0.746 20 0 DCADLN C/C=C\C[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936434 663775250 /nfs/dbraw/zinc/77/52/50/663775250.db2.gz LBHGRPUQIFADDT-RXNFCKPNSA-N 0 2 313.398 0.816 20 0 DCADLN C/C=C\C[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936434 663775252 /nfs/dbraw/zinc/77/52/52/663775252.db2.gz LBHGRPUQIFADDT-RXNFCKPNSA-N 0 2 313.398 0.816 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N(CC(=O)[O-])C1CCC1 ZINC000908936924 663775351 /nfs/dbraw/zinc/77/53/51/663775351.db2.gz PRTRNBJTERSKKS-UHFFFAOYSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N(CC(=O)[O-])C1CCC1 ZINC000908936924 663775352 /nfs/dbraw/zinc/77/53/52/663775352.db2.gz PRTRNBJTERSKKS-UHFFFAOYSA-N 0 2 313.398 0.746 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2CC(F)(F)C[C@H]2C(=O)[O-])c1 ZINC000909024313 663779567 /nfs/dbraw/zinc/77/95/67/663779567.db2.gz DKWBMDNCCCAQNK-VIFPVBQESA-N 0 2 302.281 0.466 20 0 DCADLN CO[C@H]1CN(C(=O)NCCc2cn(C)c[nH+]2)[C@](C)(C(=O)[O-])C1 ZINC000909025600 663779658 /nfs/dbraw/zinc/77/96/58/663779658.db2.gz UNBCBSOPNDVSFQ-RISCZKNCSA-N 0 2 310.354 0.236 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@]1(CC(=O)[O-])CCCOC1 ZINC000909691772 663796751 /nfs/dbraw/zinc/79/67/51/663796751.db2.gz JJXHPNINJHPBLG-UEKVPHQBSA-N 0 2 307.350 0.664 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)[C@H](C(=O)[O-])C1 ZINC000909984782 663826724 /nfs/dbraw/zinc/82/67/24/663826724.db2.gz SYHWBVKYRFSMPB-RTXFEEFZSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000910237475 663858375 /nfs/dbraw/zinc/85/83/75/663858375.db2.gz UEYGAKXINBKVAI-JHJVBQTASA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@@]1(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCCOC1 ZINC000910307135 663866939 /nfs/dbraw/zinc/86/69/39/663866939.db2.gz MAIDWXBNOFYIFM-IAQYHMDHSA-N 0 2 307.350 0.443 20 0 DCADLN O=C([O-])[C@@]1(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)CCCOC1 ZINC000910306760 663867108 /nfs/dbraw/zinc/86/71/08/663867108.db2.gz PZRBRAKRULDQBU-XHDPSFHLSA-N 0 2 307.350 0.443 20 0 DCADLN Cc1c[nH]nc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991259647 663886021 /nfs/dbraw/zinc/88/60/21/663886021.db2.gz ZFCVSNITAISVNJ-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1c[nH]nc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000991259647 663886022 /nfs/dbraw/zinc/88/60/22/663886022.db2.gz ZFCVSNITAISVNJ-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CC[C@](O)(C(=O)[O-])C3)[nH+]c12 ZINC000910528941 663900236 /nfs/dbraw/zinc/90/02/36/663900236.db2.gz GYGHOCFCLJDACI-OAHLLOKOSA-N 0 2 303.318 0.233 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(F)(F)F ZINC000911157604 663994440 /nfs/dbraw/zinc/99/44/40/663994440.db2.gz KDEQZEZJZGJCBY-JGVFFNPUSA-N 0 2 305.256 0.967 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)c3cnco3)CC2)[nH+]c1 ZINC000911172148 664001205 /nfs/dbraw/zinc/00/12/05/664001205.db2.gz OOJGDPKOOMFNDN-UHFFFAOYSA-N 0 2 302.290 0.730 20 0 DCADLN O=C([O-])CSCCC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000911470215 664056848 /nfs/dbraw/zinc/05/68/48/664056848.db2.gz WCEXYSRIESLUAM-NSHDSACASA-N 0 2 309.391 0.984 20 0 DCADLN C[C@@H](C(=O)Nc1nccc(Br)c1O)S(C)(=O)=O ZINC000913026312 664255983 /nfs/dbraw/zinc/25/59/83/664255983.db2.gz NOVZSSFVOSWDAR-YFKPBYRVSA-N 0 2 323.168 0.921 20 0 DCADLN CC(C)(C)c1nc[nH]c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030338056 664516281 /nfs/dbraw/zinc/51/62/81/664516281.db2.gz QQINIYHDKPJHOJ-UHFFFAOYSA-N 0 2 319.369 0.145 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC[C@@H]1C(F)F ZINC001030440888 664547000 /nfs/dbraw/zinc/54/70/00/664547000.db2.gz STKBZHGAYNPGFB-DTWKUNHWSA-N 0 2 315.324 0.492 20 0 DCADLN CC(C)(C)n1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001030592857 664596602 /nfs/dbraw/zinc/59/66/02/664596602.db2.gz QDOCAYVPMZONCD-UHFFFAOYSA-N 0 2 319.369 0.076 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@]12CCO[C@H]1CCCC2 ZINC001030664483 664624133 /nfs/dbraw/zinc/62/41/33/664624133.db2.gz QLGBEBHSCMGCPO-NHYWBVRUSA-N 0 2 321.381 0.160 20 0 DCADLN Cc1cnc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)c1 ZINC001030716113 664645573 /nfs/dbraw/zinc/64/55/73/664645573.db2.gz JNZKITHKYOBITK-UHFFFAOYSA-N 0 2 302.338 0.136 20 0 DCADLN CC1(C)CC[N@@H+](CC(=O)N[C@@]23CCC[C@H]2[NH+](CC(N)=O)CC3)C1 ZINC000992171257 664674293 /nfs/dbraw/zinc/67/42/93/664674293.db2.gz WTBLBAVDEXDDOM-CXAGYDPISA-N 0 2 322.453 0.317 20 0 DCADLN CCOC1CC2(C[C@H]2C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030954350 664733236 /nfs/dbraw/zinc/73/32/36/664733236.db2.gz ZQMNCSUQJKQTNC-VTWZXRTESA-N 0 2 321.381 0.016 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cnc2ccccc2c1 ZINC001031013015 664756197 /nfs/dbraw/zinc/75/61/97/664756197.db2.gz TZOFPLZHWGICMY-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN O=C(Cc1cccc(F)c1F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031017225 664757576 /nfs/dbraw/zinc/75/75/76/664757576.db2.gz SARUZPBAIFNFSK-UHFFFAOYSA-N 0 2 323.303 0.332 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ccc(F)cc1 ZINC000730512723 664783368 /nfs/dbraw/zinc/78/33/68/664783368.db2.gz PVHYUMIJMNEKLN-UHFFFAOYSA-N 0 2 301.281 0.527 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1n[nH]c2ccccc21 ZINC000730524301 664785146 /nfs/dbraw/zinc/78/51/46/664785146.db2.gz QSWAZGAZRIUODN-UHFFFAOYSA-N 0 2 309.289 0.586 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ccc(Cl)nc1 ZINC000730529730 664785528 /nfs/dbraw/zinc/78/55/28/664785528.db2.gz KYKWAUUSVUAKSK-UHFFFAOYSA-N 0 2 318.724 0.436 20 0 DCADLN CCCCn1nccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730543511 664791291 /nfs/dbraw/zinc/79/12/91/664791291.db2.gz IUJFLMHVZGNOCE-UHFFFAOYSA-N 0 2 315.337 0.707 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCC(=O)C1CCCCC1 ZINC000730658424 664799303 /nfs/dbraw/zinc/79/93/03/664799303.db2.gz JZWVZIHZSWRDTN-UHFFFAOYSA-N 0 2 318.333 0.764 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C)[nH]n1 ZINC000993101714 664808364 /nfs/dbraw/zinc/80/83/64/664808364.db2.gz BVXDWEAVIYAGKK-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN CCC[C@@H]1C[C@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031159511 664812776 /nfs/dbraw/zinc/81/27/76/664812776.db2.gz WOWWPPKFXIWQJD-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1[C@H](NC(=O)Cc2ccon2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993148353 664835697 /nfs/dbraw/zinc/83/56/97/664835697.db2.gz GQQPRXZMVDPSAX-GXSJLCMTSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@H]1[C@H](NC(=O)Cc2ccon2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993148353 664835699 /nfs/dbraw/zinc/83/56/99/664835699.db2.gz GQQPRXZMVDPSAX-GXSJLCMTSA-N 0 2 320.353 0.210 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000993175820 664858660 /nfs/dbraw/zinc/85/86/60/664858660.db2.gz BMLLNNSFXSWMLK-UWVGGRQHSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cn(C)cn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993202365 664885071 /nfs/dbraw/zinc/88/50/71/664885071.db2.gz SMKCQCSBNOOMIQ-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cc[nH]c2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993369635 664949087 /nfs/dbraw/zinc/94/90/87/664949087.db2.gz ZVNDMIXLNHJHMB-MWLCHTKSSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cc[nH]c2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993369635 664949089 /nfs/dbraw/zinc/94/90/89/664949089.db2.gz ZVNDMIXLNHJHMB-MWLCHTKSSA-N 0 2 304.354 0.621 20 0 DCADLN Cc1ncc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)o1 ZINC000993925853 665016152 /nfs/dbraw/zinc/01/61/52/665016152.db2.gz SCLLULBMXXLUSK-JTQLQIEISA-N 0 2 323.246 0.753 20 0 DCADLN Cc1ncc(CC(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)o1 ZINC000993925853 665016156 /nfs/dbraw/zinc/01/61/56/665016156.db2.gz SCLLULBMXXLUSK-JTQLQIEISA-N 0 2 323.246 0.753 20 0 DCADLN Cc1nn(C)cc1C[N@@H+]1CCC[C@@H](NC(=O)c2ncn[nH]2)[C@@H]1C ZINC000994016236 665026680 /nfs/dbraw/zinc/02/66/80/665026680.db2.gz CODPHYDGCCZFPV-WCQYABFASA-N 0 2 317.397 0.630 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ncccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994067415 665038313 /nfs/dbraw/zinc/03/83/13/665038313.db2.gz FRMJWJOGDQOOGI-ZJUUUORDSA-N 0 2 317.353 0.083 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1Cl ZINC001015746065 665088418 /nfs/dbraw/zinc/08/84/18/665088418.db2.gz AGAJQJFIQXGOGO-MRVPVSSYSA-N 0 2 322.756 0.563 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000994428186 665105899 /nfs/dbraw/zinc/10/58/99/665105899.db2.gz KHSDUEOPQIQIGC-ZYHUDNBSSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000994428186 665105900 /nfs/dbraw/zinc/10/59/00/665105900.db2.gz KHSDUEOPQIQIGC-ZYHUDNBSSA-N 0 2 318.381 0.930 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccn(C)n2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994427168 665105978 /nfs/dbraw/zinc/10/59/78/665105978.db2.gz JJMNWXSJMURHRH-UWVGGRQHSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1C ZINC001038067892 665125154 /nfs/dbraw/zinc/12/51/54/665125154.db2.gz XXJLVBKWYHXEJP-JTQLQIEISA-N 0 2 304.354 0.162 20 0 DCADLN Cc1ccn(C)c1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031632564 665248918 /nfs/dbraw/zinc/24/89/18/665248918.db2.gz AYHQDSXSIIZXGL-UHFFFAOYSA-N 0 2 304.354 0.019 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC[C@H](F)C1 ZINC001031663905 665274677 /nfs/dbraw/zinc/27/46/77/665274677.db2.gz MHQIRMAAUHGDNQ-MNOVXSKESA-N 0 2 311.361 0.587 20 0 DCADLN CC(C)O[C@@]1(CNS(=O)(=O)N=S(C)(C)=O)CCOC1 ZINC000921460986 665303258 /nfs/dbraw/zinc/30/32/58/665303258.db2.gz ITJDGXRLCIUGRZ-SNVBAGLBSA-N 0 2 314.429 0.133 20 0 DCADLN CCN1CCC[C@H](NS(=O)(=O)N=S2(=O)CCCC2)C1=O ZINC000921491043 665306221 /nfs/dbraw/zinc/30/62/21/665306221.db2.gz YRYWRCALZBJUBD-JTQLQIEISA-N 0 2 323.440 0.094 20 0 DCADLN CCc1cncc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038523814 665321445 /nfs/dbraw/zinc/32/14/45/665321445.db2.gz SKRAMUFDPSYRCP-GFCCVEGCSA-N 0 2 316.365 0.472 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC(F)(F)C1 ZINC001031720208 665327037 /nfs/dbraw/zinc/32/70/37/665327037.db2.gz SOHPQOKHWOEDQV-SECBINFHSA-N 0 2 315.324 0.494 20 0 DCADLN O=C([C@H]1C[C@H]2C[C@H]2C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032579676 665369565 /nfs/dbraw/zinc/36/95/65/665369565.db2.gz JEXPOAQUSXTTGI-KNZXXDILSA-N 0 2 303.366 0.342 20 0 DCADLN Cc1c[nH]c(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001001247847 666022734 /nfs/dbraw/zinc/02/27/34/666022734.db2.gz KFCGYLFEGVZTAU-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN Cc1c[nH]c(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001001247847 666022735 /nfs/dbraw/zinc/02/27/35/666022735.db2.gz KFCGYLFEGVZTAU-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001003198200 666284365 /nfs/dbraw/zinc/28/43/65/666284365.db2.gz OBNDIZYPVWJTSM-ZJUUUORDSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1COCC[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003581692 666331428 /nfs/dbraw/zinc/33/14/28/666331428.db2.gz AVJXULGZGKEDSU-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NC2CC[NH+](Cc3ncccn3)CC2)C1 ZINC001003626738 666335414 /nfs/dbraw/zinc/33/54/14/666335414.db2.gz VZNQKJMWOGOZBY-CQSZACIVSA-N 0 2 317.437 0.899 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CC=C[C@H]2CO)o1)C(F)(F)F ZINC000922255826 666354977 /nfs/dbraw/zinc/35/49/77/666354977.db2.gz XPKOZMQFBMVHFG-QMMMGPOBSA-N 0 2 318.251 0.831 20 0 DCADLN CN(C(=O)[C@H]1CC=CCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032902503 666381399 /nfs/dbraw/zinc/38/13/99/666381399.db2.gz TUIDJDKSJSOPTM-NWDGAFQWSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@H]1CC=CCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032902503 666381402 /nfs/dbraw/zinc/38/14/02/666381402.db2.gz TUIDJDKSJSOPTM-NWDGAFQWSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)c1cccn1C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032917999 666390305 /nfs/dbraw/zinc/39/03/05/666390305.db2.gz JECAAOFVHDUDPT-SNVBAGLBSA-N 0 2 304.354 0.195 20 0 DCADLN CN(C(=O)c1cccn1C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032917999 666390306 /nfs/dbraw/zinc/39/03/06/666390306.db2.gz JECAAOFVHDUDPT-SNVBAGLBSA-N 0 2 304.354 0.195 20 0 DCADLN O=C(c1ccccc1F)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032254339 666599697 /nfs/dbraw/zinc/59/96/97/666599697.db2.gz FLQJQCMTUNMTJK-UWVGGRQHSA-N 0 2 317.324 0.748 20 0 DCADLN Cc1occc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032269809 666637726 /nfs/dbraw/zinc/63/77/26/666637726.db2.gz BTDMNKCFJCPDMX-UWVGGRQHSA-N 0 2 303.322 0.511 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCCO1 ZINC001034170553 666943325 /nfs/dbraw/zinc/94/33/25/666943325.db2.gz VUIKLHVFJNTKES-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCCO1 ZINC001034170553 666943332 /nfs/dbraw/zinc/94/33/32/666943332.db2.gz VUIKLHVFJNTKES-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1nnc([C@@H](C)N2CCCC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001034293201 666980614 /nfs/dbraw/zinc/98/06/14/666980614.db2.gz MAVSDMHRRGWYIL-KOLCDFICSA-N 0 2 318.385 0.577 20 0 DCADLN O=C(CCC1CCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032341849 666982446 /nfs/dbraw/zinc/98/24/46/666982446.db2.gz HUMONNPHIQCMPG-RYUDHWBXSA-N 0 2 305.382 0.876 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001034409383 667011779 /nfs/dbraw/zinc/01/17/79/667011779.db2.gz QPZYMSSZDSNBNW-GRYCIOLGSA-N 0 2 323.397 0.549 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001034409383 667011781 /nfs/dbraw/zinc/01/17/81/667011781.db2.gz QPZYMSSZDSNBNW-GRYCIOLGSA-N 0 2 323.397 0.549 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001034437880 667025716 /nfs/dbraw/zinc/02/57/16/667025716.db2.gz ZHVGNDQRDRIUBU-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001034437880 667025722 /nfs/dbraw/zinc/02/57/22/667025722.db2.gz ZHVGNDQRDRIUBU-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN C[C@@]1(C(=O)N[C@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001034466792 667030829 /nfs/dbraw/zinc/03/08/29/667030829.db2.gz IBTWREMRTBMLIK-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(C(=O)N[C@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001034466792 667030834 /nfs/dbraw/zinc/03/08/34/667030834.db2.gz IBTWREMRTBMLIK-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN O=C([C@H]1C[C@@H]1C(F)F)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032381505 667134252 /nfs/dbraw/zinc/13/42/52/667134252.db2.gz BWTBHJLLHSQGPS-JBDRJPRFSA-N 0 2 313.308 0.197 20 0 DCADLN C[C@@H](NC(=O)c1ccn[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001005725543 667153345 /nfs/dbraw/zinc/15/33/45/667153345.db2.gz RAZFOELPKSPMME-MUWHJKNJSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)c1ccn[nH]1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001005725543 667153349 /nfs/dbraw/zinc/15/33/49/667153349.db2.gz RAZFOELPKSPMME-MUWHJKNJSA-N 0 2 322.262 0.887 20 0 DCADLN Cn1nncc1CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001032415514 667218676 /nfs/dbraw/zinc/21/86/76/667218676.db2.gz AJNKORVNPYIPOT-OYNCUSHFSA-N 0 2 321.278 0.501 20 0 DCADLN Cn1nncc1CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)C(F)(F)F ZINC001032415514 667218679 /nfs/dbraw/zinc/21/86/79/667218679.db2.gz AJNKORVNPYIPOT-OYNCUSHFSA-N 0 2 321.278 0.501 20 0 DCADLN Cc1n[nH]cc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000997287192 667236527 /nfs/dbraw/zinc/23/65/27/667236527.db2.gz QZCAHNNQHVQLSO-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1n[nH]cc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000997287192 667236530 /nfs/dbraw/zinc/23/65/30/667236530.db2.gz QZCAHNNQHVQLSO-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000888339723 667301967 /nfs/dbraw/zinc/30/19/67/667301967.db2.gz MPQYAQBJGQHSPL-UHFFFAOYSA-N 0 2 319.369 0.799 20 0 DCADLN CCCC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CN(C)C[C@@H](C)O2)C1 ZINC001035424302 667330466 /nfs/dbraw/zinc/33/04/66/667330466.db2.gz QINVVFARQUEHFL-QLFBSQMISA-N 0 2 313.442 0.323 20 0 DCADLN Cc1coc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)c1 ZINC001035480572 667354182 /nfs/dbraw/zinc/35/41/82/667354182.db2.gz FYPSWJRDJGFUFO-SNVBAGLBSA-N 0 2 321.337 0.043 20 0 DCADLN CN1CCC[C@@H]1CNS(=O)(=O)c1nc[nH]c1Br ZINC000866602703 667383363 /nfs/dbraw/zinc/38/33/63/667383363.db2.gz RXDXFKBDFWHMEZ-SSDOTTSWSA-N 0 2 323.216 0.545 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NCC(O)(C1CC1)C1CC1 ZINC000867521636 667409999 /nfs/dbraw/zinc/40/99/99/667409999.db2.gz ZYBTWGKOHAGNKE-GOSISDBHSA-N 0 2 310.441 0.490 20 0 DCADLN C[C@@H]1C[C@H]1CC(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005929631 667462907 /nfs/dbraw/zinc/46/29/07/667462907.db2.gz KPOLUHDQWIZZCC-MNOVXSKESA-N 0 2 307.398 0.979 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006027308 667496294 /nfs/dbraw/zinc/49/62/94/667496294.db2.gz YYMHTZACQIIRTH-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCOC[C@@H]1CC1CC1 ZINC000886464273 667770780 /nfs/dbraw/zinc/77/07/80/667770780.db2.gz RXRLRZSQXMNZBQ-NSHDSACASA-N 0 2 317.349 0.099 20 0 DCADLN COc1ccccc1OCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742477922 667792013 /nfs/dbraw/zinc/79/20/13/667792013.db2.gz LIOCMGRCGJNRTB-NSHDSACASA-N 0 2 323.374 0.747 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2nc3ccccc3[nH]2)S1 ZINC000742491361 667792392 /nfs/dbraw/zinc/79/23/92/667792392.db2.gz LBHLKZSSLJKNDQ-JTQLQIEISA-N 0 2 317.374 0.778 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnn(C[C@@H]3CCCO3)c2)S1 ZINC000742553426 667793826 /nfs/dbraw/zinc/79/38/26/667793826.db2.gz GMWRDVBNNOLJIW-VHSXEESVSA-N 0 2 323.378 0.557 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCCNc2ccccn2)S1 ZINC000742641613 667795913 /nfs/dbraw/zinc/79/59/13/667795913.db2.gz LAECJIDHCYJFIG-SNVBAGLBSA-N 0 2 321.406 0.946 20 0 DCADLN C[S@@](=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000742659683 667796094 /nfs/dbraw/zinc/79/60/94/667796094.db2.gz OGQXDFZLSBFTBP-GWNMQOMSSA-N 0 2 311.388 0.919 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](CO)Cc2ccccc2)S1 ZINC000742689662 667797625 /nfs/dbraw/zinc/79/76/25/667797625.db2.gz IKVVQIMCPFQNQS-VXGBXAGGSA-N 0 2 321.402 0.510 20 0 DCADLN CC(C)[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000742905823 667800838 /nfs/dbraw/zinc/80/08/38/667800838.db2.gz NAHLZHAALDYAJM-LLVKDONJSA-N 0 2 321.406 0.671 20 0 DCADLN Cc1nc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)sc1C ZINC000743783729 667816341 /nfs/dbraw/zinc/81/63/41/667816341.db2.gz WZGSBQVQPPJFDQ-UHFFFAOYSA-N 0 2 318.362 0.461 20 0 DCADLN COC1(c2ccccc2)CN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000804913516 667843910 /nfs/dbraw/zinc/84/39/10/667843910.db2.gz KJGRTKAILDRPJL-NSHDSACASA-N 0 2 319.386 0.927 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc3c(c2)OCCO3)S1 ZINC000745413967 667847007 /nfs/dbraw/zinc/84/70/07/667847007.db2.gz UCOIXHDMXXSKTO-NSHDSACASA-N 0 2 321.358 0.630 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)NC(=O)CO3)S1 ZINC000745413828 667847194 /nfs/dbraw/zinc/84/71/94/667847194.db2.gz QYGRTBLDQZLZNM-VIFPVBQESA-N 0 2 320.330 0.512 20 0 DCADLN CN(C)c1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cn1 ZINC000745435459 667847533 /nfs/dbraw/zinc/84/75/33/667847533.db2.gz MRMNJNCLGJYSQP-VIFPVBQESA-N 0 2 307.379 0.320 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1nnc2ccccn21 ZINC000745422180 667847811 /nfs/dbraw/zinc/84/78/11/667847811.db2.gz BTNLARDQUOLWAX-SFYZADRCSA-N 0 2 318.362 0.463 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc3c(c2)N(C)CCC3)n1 ZINC000872006904 667865994 /nfs/dbraw/zinc/86/59/94/667865994.db2.gz APCKNJXOFDUIEO-UHFFFAOYSA-N 0 2 308.367 0.393 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@](O)(C(F)(F)F)C2)S1 ZINC000746291612 667870355 /nfs/dbraw/zinc/87/03/55/667870355.db2.gz HYAYFQIZJMSVNV-SSDLBLMSSA-N 0 2 311.285 0.069 20 0 DCADLN Cc1ccc(N2C[C@H](C(=O)NCc3n[nH]c(=O)[nH]3)CC2=O)cc1 ZINC000748006897 667916186 /nfs/dbraw/zinc/91/61/86/667916186.db2.gz QAOXGXHTAXLAEC-SNVBAGLBSA-N 0 2 315.333 0.488 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N[C@H]3C[C@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873076379 667969652 /nfs/dbraw/zinc/96/96/52/667969652.db2.gz JHOIWAISEGTCHS-BJDJZHNGSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N[C@H]3C[C@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873076379 667969653 /nfs/dbraw/zinc/96/96/53/667969653.db2.gz JHOIWAISEGTCHS-BJDJZHNGSA-N 0 2 306.366 0.959 20 0 DCADLN CCOCCC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000752190922 668014120 /nfs/dbraw/zinc/01/41/20/668014120.db2.gz VEJPLSSABJLTLC-UHFFFAOYSA-N 0 2 319.365 0.394 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCC[C@H]1CCOC1=O ZINC000759119369 668207277 /nfs/dbraw/zinc/20/72/77/668207277.db2.gz YKXMCCCDRYBTFB-SSDOTTSWSA-N 0 2 311.256 0.940 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCCN1C(=O)CCC1=O ZINC000759123589 668207847 /nfs/dbraw/zinc/20/78/47/668207847.db2.gz QCWCNPRVEOJOEE-UHFFFAOYSA-N 0 2 324.255 0.136 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@H]1CC[S@](=O)C1 ZINC000760381778 668269923 /nfs/dbraw/zinc/26/99/23/668269923.db2.gz CMUFPBOMMSGRDI-PVDFSEMESA-N 0 2 300.302 0.081 20 0 DCADLN O=C(NC1CCN(C(=O)C(F)C(F)(F)F)CC1)c1cn[nH]n1 ZINC000946998447 668278211 /nfs/dbraw/zinc/27/82/11/668278211.db2.gz IFDYHKHZERXOQZ-MRVPVSSYSA-N 0 2 323.250 0.426 20 0 DCADLN Cc1ccnc(-c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)c1 ZINC000761598056 668332404 /nfs/dbraw/zinc/33/24/04/668332404.db2.gz QAGODKBWBGCCDH-UHFFFAOYSA-N 0 2 309.289 0.873 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](O)c2ccccc2F)S1 ZINC000762240230 668352974 /nfs/dbraw/zinc/35/29/74/668352974.db2.gz AQBPLPMNQYOCLW-UWVGGRQHSA-N 0 2 311.338 0.532 20 0 DCADLN CC(C)C[C@H](CCO)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762694993 668372197 /nfs/dbraw/zinc/37/21/97/668372197.db2.gz FRWGMAGOZQXSGP-UWVGGRQHSA-N 0 2 301.412 0.704 20 0 DCADLN COc1ccc(NC(=O)CC2SC(=N)NC2=O)cc1CO ZINC000763034728 668392999 /nfs/dbraw/zinc/39/29/99/668392999.db2.gz VOASRYZURMVFAX-JTQLQIEISA-N 0 2 309.347 0.682 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCc2c1cccc2F ZINC000763760839 668422065 /nfs/dbraw/zinc/42/20/65/668422065.db2.gz UVYJJCCKDCHDHS-UHFFFAOYSA-N 0 2 313.292 0.800 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)Nc1ncc2c(n1)COC2 ZINC000876203032 668427442 /nfs/dbraw/zinc/42/74/42/668427442.db2.gz HJZOQFLHEKLXBK-UHFFFAOYSA-N 0 2 318.255 0.902 20 0 DCADLN C[N@@H+]1CC[C@H](NC(=O)c2cc(=O)[nH][n-]2)[C@@H]1c1ccc(F)c(F)c1 ZINC000765419926 668489646 /nfs/dbraw/zinc/48/96/46/668489646.db2.gz YXQIRXKZKUGEBM-FZMZJTMJSA-N 0 2 322.315 0.702 20 0 DCADLN C[N@H+]1CC[C@H](NC(=O)c2cc(=O)[nH][n-]2)[C@@H]1c1ccc(F)c(F)c1 ZINC000765419926 668489647 /nfs/dbraw/zinc/48/96/47/668489647.db2.gz YXQIRXKZKUGEBM-FZMZJTMJSA-N 0 2 322.315 0.702 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](CO)Cc2ccccc2)S1 ZINC000767101786 668559545 /nfs/dbraw/zinc/55/95/45/668559545.db2.gz MOGZUSSBSJQHKL-QWRGUYRKSA-N 0 2 307.375 0.263 20 0 DCADLN Cn1ccc(C2CCN(C(=O)C[C@@H]3SC(=N)NC3=O)CC2)n1 ZINC000928212155 668567065 /nfs/dbraw/zinc/56/70/65/668567065.db2.gz RGESIMJXBKJVIV-NSHDSACASA-N 0 2 321.406 0.683 20 0 DCADLN CC(C)(CNS(C)(=O)=O)NC(=O)c1c(O)cc(F)cc1F ZINC000928860966 668630443 /nfs/dbraw/zinc/63/04/43/668630443.db2.gz QFMSWNXOJXEPHN-UHFFFAOYSA-N 0 2 322.333 0.728 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2ccc3nc[nH]c3n2)C1=O ZINC000769851059 668666271 /nfs/dbraw/zinc/66/62/71/668666271.db2.gz ADDGZWVQUYBMBZ-CYBMUJFWSA-N 0 2 302.294 0.323 20 0 DCADLN Cc1cc(NC(=O)CC2SC(=N)NC2=O)cc(OCCO)c1 ZINC000773729443 668812157 /nfs/dbraw/zinc/81/21/57/668812157.db2.gz DAFDPYJYLPKOBZ-LLVKDONJSA-N 0 2 323.374 0.861 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)C1CCN(C(=O)c2ccoc2)CC1 ZINC000774913011 668850682 /nfs/dbraw/zinc/85/06/82/668850682.db2.gz IZDQHOYWVNVTGN-UHFFFAOYSA-N 0 2 320.305 0.699 20 0 DCADLN Cc1cccc2c(=O)n(CC(=O)OCc3n[nH]c(=O)[nH]3)cnc12 ZINC000774909248 668850775 /nfs/dbraw/zinc/85/07/75/668850775.db2.gz LTJZDDPCKSKQNB-UHFFFAOYSA-N 0 2 315.289 0.272 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cccnc1N1CCOCC1 ZINC000774909289 668851165 /nfs/dbraw/zinc/85/11/65/668851165.db2.gz NOJQTYOVMAIYAX-UHFFFAOYSA-N 0 2 305.294 0.099 20 0 DCADLN O=C(CNC(=O)c1cc(F)cc(F)c1)OCc1n[nH]c(=O)[nH]1 ZINC000774924332 668851499 /nfs/dbraw/zinc/85/14/99/668851499.db2.gz BQDQGXHNLAVHAX-UHFFFAOYSA-N 0 2 312.232 0.262 20 0 DCADLN Cc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)ccc1N1CCNC1=O ZINC000774935666 668851818 /nfs/dbraw/zinc/85/18/18/668851818.db2.gz ZKACWKKOUTXAMV-UHFFFAOYSA-N 0 2 317.305 0.705 20 0 DCADLN CNC(=O)c1cncc(C=CC(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774946824 668852327 /nfs/dbraw/zinc/85/23/27/668852327.db2.gz HQDAYXNVLCGNIK-NSCUHMNNSA-N 0 2 303.278 0.022 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cccnc1-n1cccn1 ZINC000776536323 668877288 /nfs/dbraw/zinc/87/72/88/668877288.db2.gz KHWJYEUGDBMXFX-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN CN(C[C@H]1CCCC[C@@H]1O)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000778504264 668900453 /nfs/dbraw/zinc/90/04/53/668900453.db2.gz LJLNIHXJAZWPRC-PWSUYJOCSA-N 0 2 319.365 0.081 20 0 DCADLN COC[C@@H](C)n1ccc(NC(=O)CC2SC(=N)NC2=O)n1 ZINC000779346638 668909990 /nfs/dbraw/zinc/90/99/90/668909990.db2.gz KPXANIVWVZYKNY-SFYZADRCSA-N 0 2 311.367 0.585 20 0 DCADLN CON(Cc1ccccc1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000780783701 669010482 /nfs/dbraw/zinc/01/04/82/669010482.db2.gz JYVUFGGMYZSHET-UHFFFAOYSA-N 0 2 313.317 0.661 20 0 DCADLN CCCCCN(CC(N)=O)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000781029690 669027311 /nfs/dbraw/zinc/02/73/11/669027311.db2.gz RZPILGOXEPHSRH-QMMMGPOBSA-N 0 2 300.384 0.047 20 0 DCADLN COc1ccccc1S(=O)(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC000035680425 669046159 /nfs/dbraw/zinc/04/61/59/669046159.db2.gz SXAPHXKHTYLAPN-UHFFFAOYSA-N 0 2 314.363 0.086 20 0 DCADLN Cc1cc(Cn2cc(CNC(=O)C(F)(F)F)nn2)nn1C ZINC000881290291 669318593 /nfs/dbraw/zinc/31/85/93/669318593.db2.gz XQTYAVUZBXQATB-UHFFFAOYSA-N 0 2 302.260 0.547 20 0 DCADLN CCn1c(SCC(=O)NOCCO)nnc1-c1ccco1 ZINC000787292935 669365418 /nfs/dbraw/zinc/36/54/18/669365418.db2.gz USEDENGZAQXGRY-UHFFFAOYSA-N 0 2 312.351 0.690 20 0 DCADLN Cc1cccc(C[C@@H](CO)NC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000787395914 669372367 /nfs/dbraw/zinc/37/23/67/669372367.db2.gz ZHAWHDWXMZIKRU-NWDGAFQWSA-N 0 2 321.402 0.571 20 0 DCADLN COc1nn(C)cc1NS(=O)(=O)c1ccc2c(c1)C(=O)OC2 ZINC000788755909 669456975 /nfs/dbraw/zinc/45/69/75/669456975.db2.gz FWJPFUACDRGFGX-UHFFFAOYSA-N 0 2 323.330 0.900 20 0 DCADLN CN(C)[S@](C)(=O)=NS(=O)(=O)Nc1cc(O)cc(F)c1 ZINC000882710026 669571869 /nfs/dbraw/zinc/57/18/69/669571869.db2.gz UNUXEKZHNWWMQN-GOSISDBHSA-N 0 2 311.360 0.762 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC(F)(F)C(F)F ZINC000791402058 669608551 /nfs/dbraw/zinc/60/85/51/669608551.db2.gz RKVSHEWFZQYNAT-UHFFFAOYSA-N 0 2 307.207 0.088 20 0 DCADLN Cc1cnn([C@H]2CCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)c1 ZINC000794184713 669805002 /nfs/dbraw/zinc/80/50/02/669805002.db2.gz HKJLXRIXIHWZBS-VHSXEESVSA-N 0 2 307.379 0.521 20 0 DCADLN O=C(NNC(=O)c1ccccn1)c1ccc(-n2cccn2)nc1 ZINC000042666703 669829111 /nfs/dbraw/zinc/82/91/11/669829111.db2.gz WBAJGDGUDWDABO-UHFFFAOYSA-N 0 2 308.301 0.737 20 0 DCADLN O=c1ncnc2n(Cc3nc(Cc4cncs4)no3)[nH]cc1-2 ZINC000796133709 669920642 /nfs/dbraw/zinc/92/06/42/669920642.db2.gz ZKFRHFPQIZQZHN-UHFFFAOYSA-N 0 2 315.318 0.550 20 0 DCADLN O=C(N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@@]12C[C@@H]1CCCC2 ZINC000950378747 670012287 /nfs/dbraw/zinc/01/22/87/670012287.db2.gz RUCQIOZXFWZKMO-NHYWBVRUSA-N 0 2 305.382 0.735 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](CO)CC2CCCC2)S1 ZINC000799051933 670035132 /nfs/dbraw/zinc/03/51/32/670035132.db2.gz CRDCIADFXLFDPU-MNOVXSKESA-N 0 2 313.423 0.848 20 0 DCADLN Cn1c2cc(NC(=O)CC3SC(=N)NC3=O)ccc2[nH]c1=O ZINC000799822617 670074075 /nfs/dbraw/zinc/07/40/75/670074075.db2.gz JCHXSTROUBYEIH-SECBINFHSA-N 0 2 319.346 0.774 20 0 DCADLN CCOC(=O)Oc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000800510873 670093187 /nfs/dbraw/zinc/09/31/87/670093187.db2.gz MDDLRMLYYWRUEP-UHFFFAOYSA-N 0 2 306.278 0.976 20 0 DCADLN O=C(CNC(=O)c1ccco1)NNC(=O)c1ccoc1Cl ZINC000192300504 670149920 /nfs/dbraw/zinc/14/99/20/670149920.db2.gz LKDWRCULWVUYLU-UHFFFAOYSA-N 0 2 311.681 0.717 20 0 DCADLN O=C(CCC1CCOCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000951182818 670212367 /nfs/dbraw/zinc/21/23/67/670212367.db2.gz FQFRERDGYGDGAA-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN CC(C)c1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)on1 ZINC000951188946 670213839 /nfs/dbraw/zinc/21/38/39/670213839.db2.gz VCGPJNBINUFROC-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnco1 ZINC000951287291 670238289 /nfs/dbraw/zinc/23/82/89/670238289.db2.gz YBRHPZXXFVUMGA-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cnco1 ZINC000951287291 670238292 /nfs/dbraw/zinc/23/82/92/670238292.db2.gz YBRHPZXXFVUMGA-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000803602600 670255165 /nfs/dbraw/zinc/25/51/65/670255165.db2.gz VKINABLHRFXSNC-NEPJUHHUSA-N 0 2 317.349 0.241 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccncn1 ZINC000951743954 670354234 /nfs/dbraw/zinc/35/42/34/670354234.db2.gz CPZBWYULIGITLY-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ccncn1 ZINC000951743954 670354235 /nfs/dbraw/zinc/35/42/35/670354235.db2.gz CPZBWYULIGITLY-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(C[C@@H]1CC=CCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949692723 670406269 /nfs/dbraw/zinc/40/62/69/670406269.db2.gz DWPJFJDOYMDRPT-GFCCVEGCSA-N 0 2 305.382 0.901 20 0 DCADLN C[C@H]1CC[C@@H](CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949738148 670418418 /nfs/dbraw/zinc/41/84/18/670418418.db2.gz OZAPDLLUANNRQQ-NWDGAFQWSA-N 0 2 307.398 0.981 20 0 DCADLN CCN(C(=O)C1=COCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949773039 670428231 /nfs/dbraw/zinc/42/82/31/670428231.db2.gz KVVIXZNBMMIBST-UHFFFAOYSA-N 0 2 307.354 0.237 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ncc[nH]1 ZINC000949825573 670441128 /nfs/dbraw/zinc/44/11/28/670441128.db2.gz VQDKHMARZWFDTF-RQJHMYQMSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ncc[nH]1 ZINC000949825573 670441133 /nfs/dbraw/zinc/44/11/33/670441133.db2.gz VQDKHMARZWFDTF-RQJHMYQMSA-N 0 2 308.235 0.641 20 0 DCADLN CCN(C(=O)[C@H]1CCCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949985526 670476613 /nfs/dbraw/zinc/47/66/13/670476613.db2.gz IXJCJGPZVMMDJS-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)ccn1 ZINC000952349941 670481071 /nfs/dbraw/zinc/48/10/71/670481071.db2.gz ODSNCSNJSVTOSE-UHFFFAOYSA-N 0 2 302.338 0.172 20 0 DCADLN O=C(NCC1(OCCO)CCOCC1)C(F)C(F)(F)F ZINC000811497789 670531610 /nfs/dbraw/zinc/53/16/10/670531610.db2.gz FANVSZWQZTVPML-MRVPVSSYSA-N 0 2 303.252 0.561 20 0 DCADLN O=C(NCC1(OCCO)CCOCC1)[C@@H](F)C(F)(F)F ZINC000811497789 670531620 /nfs/dbraw/zinc/53/16/20/670531620.db2.gz FANVSZWQZTVPML-MRVPVSSYSA-N 0 2 303.252 0.561 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000814960605 670710152 /nfs/dbraw/zinc/71/01/52/670710152.db2.gz QKLDIORSGWWRHK-SFYZADRCSA-N 0 2 320.308 0.817 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)[C@H](F)C(F)(F)F ZINC000814960605 670710155 /nfs/dbraw/zinc/71/01/55/670710155.db2.gz QKLDIORSGWWRHK-SFYZADRCSA-N 0 2 320.308 0.817 20 0 DCADLN COCCN(CCF)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000855588336 670733165 /nfs/dbraw/zinc/73/31/65/670733165.db2.gz LJNXXYPJBHNBSM-UHFFFAOYSA-N 0 2 310.285 0.494 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC3(CCCC3=O)CC2)S1 ZINC000856018198 670777117 /nfs/dbraw/zinc/77/71/17/670777117.db2.gz LRWDJXNBXIAAHV-SECBINFHSA-N 0 2 309.391 0.905 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCC[C@H](CO)C4)[nH+]cn3)C[C@@H]21 ZINC000849348722 670780043 /nfs/dbraw/zinc/78/00/43/670780043.db2.gz LYNXSAGPWOCAKW-UUIJZJDISA-N 0 2 318.377 0.452 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCC[C@H](CO)C4)nc[nH+]3)C[C@@H]21 ZINC000849348722 670780046 /nfs/dbraw/zinc/78/00/46/670780046.db2.gz LYNXSAGPWOCAKW-UUIJZJDISA-N 0 2 318.377 0.452 20 0 DCADLN Cn1ccc(CCOC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000851163888 670971883 /nfs/dbraw/zinc/97/18/83/670971883.db2.gz OCZNRHODIJLMHV-UHFFFAOYSA-N 0 2 307.272 0.963 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](CC(N)=O)c2ccccc2)S1 ZINC000818560779 671031340 /nfs/dbraw/zinc/03/13/40/671031340.db2.gz KIPDZXNQOVRLFX-ZJUUUORDSA-N 0 2 320.374 0.276 20 0 DCADLN C[C@@H](CC(N)=O)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000859560774 671126900 /nfs/dbraw/zinc/12/69/00/671126900.db2.gz AXCGBGALRRREJG-LURJTMIESA-N 0 2 321.255 0.452 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(CCC(F)(F)F)c2)c1=O ZINC000820387839 671197234 /nfs/dbraw/zinc/19/72/34/671197234.db2.gz DWUYMKXBZREFNE-UHFFFAOYSA-N 0 2 318.259 0.598 20 0 DCADLN Cc1onc(CC(=O)NO[C@H]2CCCCO2)c1-c1nn[nH]n1 ZINC000826348656 671486584 /nfs/dbraw/zinc/48/65/84/671486584.db2.gz XMTIPIDSGQXQKU-JTQLQIEISA-N 0 2 308.298 0.280 20 0 DCADLN COCC[C@@H](NS(=O)(=O)N=S(C)(C)=O)c1ccco1 ZINC000867072262 671673804 /nfs/dbraw/zinc/67/38/04/671673804.db2.gz YWBXYOAPQOOIPG-SECBINFHSA-N 0 2 310.397 0.919 20 0 DCADLN O=C([O-])c1ccsc1N1CC[C@H]([N@@H+]2CCNC(=O)CC2)C1=O ZINC000833356529 671747085 /nfs/dbraw/zinc/74/70/85/671747085.db2.gz LVDILVHNWJJIEC-JTQLQIEISA-N 0 2 323.374 0.374 20 0 DCADLN O=C([O-])c1ccsc1N1CC[C@H]([N@H+]2CCNC(=O)CC2)C1=O ZINC000833356529 671747087 /nfs/dbraw/zinc/74/70/87/671747087.db2.gz LVDILVHNWJJIEC-JTQLQIEISA-N 0 2 323.374 0.374 20 0 DCADLN O=C([O-])N[C@H]1CC(=O)N(C[N@@H+]2CC[C@@H](Oc3ccccn3)C2)C1 ZINC000834157985 671752128 /nfs/dbraw/zinc/75/21/28/671752128.db2.gz NTIXQBDGSORXFJ-NWDGAFQWSA-N 0 2 320.349 0.361 20 0 DCADLN O=C([O-])N[C@H]1CC(=O)N(C[N@H+]2CC[C@@H](Oc3ccccn3)C2)C1 ZINC000834157985 671752129 /nfs/dbraw/zinc/75/21/29/671752129.db2.gz NTIXQBDGSORXFJ-NWDGAFQWSA-N 0 2 320.349 0.361 20 0 DCADLN O=C([O-])Nc1cccc(CC(=O)NC[C@H]2C[NH+]3CCN2CC3)c1 ZINC000834267594 671752515 /nfs/dbraw/zinc/75/25/15/671752515.db2.gz WNLQNYSXHAERMB-AWEZNQCLSA-N 0 2 318.377 0.435 20 0 DCADLN CC(C)(O)CONC(=O)CSCC(=O)N1CCCCC1 ZINC000834625162 671773964 /nfs/dbraw/zinc/77/39/64/671773964.db2.gz XEPQBDRXXVKGIB-UHFFFAOYSA-N 0 2 304.412 0.551 20 0 DCADLN O=S(=O)(NCC(F)(F)CO)c1nc[nH]c1Br ZINC000867117562 671787280 /nfs/dbraw/zinc/78/72/80/671787280.db2.gz GSGWSBDZPLEMFA-UHFFFAOYSA-N 0 2 320.115 0.078 20 0 DCADLN CC(C)[C@@H](CO)ONC(=O)CSCC(=O)N1CCCCC1 ZINC000836902182 671838673 /nfs/dbraw/zinc/83/86/73/671838673.db2.gz MGMPRPJTLAGSQG-GFCCVEGCSA-N 0 2 318.439 0.797 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](CO)CC2CCCCC2)S1 ZINC000841469864 671935287 /nfs/dbraw/zinc/93/52/87/671935287.db2.gz LZMDRCCNBBMLNM-GHMZBOCLSA-N 0 2 313.423 0.990 20 0 DCADLN Cn1ncc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)c1Cl ZINC000843873923 672126381 /nfs/dbraw/zinc/12/63/81/672126381.db2.gz WRDRPBOUYOFGPR-UHFFFAOYSA-N 0 2 310.745 0.917 20 0 DCADLN COc1ncc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000843876465 672126895 /nfs/dbraw/zinc/12/68/95/672126895.db2.gz ZNOMZBPTZCJERF-UHFFFAOYSA-N 0 2 304.310 0.329 20 0 DCADLN CC[C@H](C(=O)[O-])[N@H+](C)Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000844839709 672206079 /nfs/dbraw/zinc/20/60/79/672206079.db2.gz SNQRQEBYYBGFSH-SNVBAGLBSA-N 0 2 304.368 0.825 20 0 DCADLN CC[C@H](C(=O)[O-])[N@@H+](C)Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000844839709 672206080 /nfs/dbraw/zinc/20/60/80/672206080.db2.gz SNQRQEBYYBGFSH-SNVBAGLBSA-N 0 2 304.368 0.825 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)C[C@@H]1CCCCO1)n1cc[nH+]c1 ZINC000845457733 672249947 /nfs/dbraw/zinc/24/99/47/672249947.db2.gz FXWZUMMUQLJFFQ-RYUDHWBXSA-N 0 2 315.395 0.849 20 0 DCADLN CCO[N-]C(=O)CNC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000845551514 672260363 /nfs/dbraw/zinc/26/03/63/672260363.db2.gz ZLOMVNSFPOBWHN-UHFFFAOYSA-N 0 2 310.354 0.574 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000846121422 672294983 /nfs/dbraw/zinc/29/49/83/672294983.db2.gz YHHUDTUINNGHGR-MRXNPFEDSA-N 0 2 321.377 0.712 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccccc1F ZINC001038007060 693983600 /nfs/dbraw/zinc/98/36/00/693983600.db2.gz DMBITMAXGKWAQV-SECBINFHSA-N 0 2 305.313 0.654 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cccc(F)c1 ZINC001038018200 693987265 /nfs/dbraw/zinc/98/72/65/693987265.db2.gz JRNFFDSEBVVDSM-LLVKDONJSA-N 0 2 305.313 0.654 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc(C)n1 ZINC001038293188 694036798 /nfs/dbraw/zinc/03/67/98/694036798.db2.gz QHTSBZBWAXTIJI-GFCCVEGCSA-N 0 2 316.365 0.526 20 0 DCADLN CCN(C(=O)c1c(C)n[nH]c1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000956966965 686923409 /nfs/dbraw/zinc/92/34/09/686923409.db2.gz SMQIKASUWRGSDB-UHFFFAOYSA-N 0 2 319.369 0.197 20 0 DCADLN CC(C)c1nnc(CN2CC[C@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001038446417 694082924 /nfs/dbraw/zinc/08/29/24/694082924.db2.gz ABIMUMDFSFONKC-VIFPVBQESA-N 0 2 304.358 0.051 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccsc1 ZINC000958177796 687408553 /nfs/dbraw/zinc/40/85/53/687408553.db2.gz QWROCNLTJNRJIY-JZYVYDRUSA-N 0 2 305.363 0.432 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccsc1 ZINC000958177796 687408560 /nfs/dbraw/zinc/40/85/60/687408560.db2.gz QWROCNLTJNRJIY-JZYVYDRUSA-N 0 2 305.363 0.432 20 0 DCADLN Cc1ccoc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958250834 687477591 /nfs/dbraw/zinc/47/75/91/687477591.db2.gz QPNSKIHVSCIWCP-JZYVYDRUSA-N 0 2 303.322 0.272 20 0 DCADLN Cc1ccoc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958250834 687477595 /nfs/dbraw/zinc/47/75/95/687477595.db2.gz QPNSKIHVSCIWCP-JZYVYDRUSA-N 0 2 303.322 0.272 20 0 DCADLN COCC[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cccc3[nH+]ccn32)C1 ZINC000958258333 687485709 /nfs/dbraw/zinc/48/57/09/687485709.db2.gz PMEXOEBWBKKVJL-GXTWGEPZSA-N 0 2 318.377 0.003 20 0 DCADLN Cc1ncc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)s1 ZINC000958365064 687587123 /nfs/dbraw/zinc/58/71/23/687587123.db2.gz NOTGVHLDXOESMX-VROVMSAKSA-N 0 2 320.378 0.135 20 0 DCADLN Cc1ncc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)s1 ZINC000958365064 687587130 /nfs/dbraw/zinc/58/71/30/687587130.db2.gz NOTGVHLDXOESMX-VROVMSAKSA-N 0 2 320.378 0.135 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccn4C)C[C@H]32)c1[O-] ZINC000958584278 687773363 /nfs/dbraw/zinc/77/33/63/687773363.db2.gz RFIKFHYAEAFCPV-IAZYJMLFSA-N 0 2 316.365 0.017 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccn4C)C[C@H]32)c1[O-] ZINC000958584278 687773367 /nfs/dbraw/zinc/77/33/67/687773367.db2.gz RFIKFHYAEAFCPV-IAZYJMLFSA-N 0 2 316.365 0.017 20 0 DCADLN Cc1ncccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038653236 694147927 /nfs/dbraw/zinc/14/79/27/694147927.db2.gz ZDOQVQQONTYJJV-JTQLQIEISA-N 0 2 302.338 0.218 20 0 DCADLN COCC(=O)N1C[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2C1 ZINC000959792096 688217490 /nfs/dbraw/zinc/21/74/90/688217490.db2.gz RUZUDHUGXRHTRI-XKSSXDPKSA-N 0 2 312.263 0.450 20 0 DCADLN COCC(=O)N1C[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]2C1 ZINC000959792096 688217492 /nfs/dbraw/zinc/21/74/92/688217492.db2.gz RUZUDHUGXRHTRI-XKSSXDPKSA-N 0 2 312.263 0.450 20 0 DCADLN CC(C)(F)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000961160862 688557711 /nfs/dbraw/zinc/55/77/11/688557711.db2.gz HQPGQZREHUYPFH-VQVTYTSYSA-N 0 2 318.242 0.323 20 0 DCADLN CC(C)(F)C(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC000961160862 688557714 /nfs/dbraw/zinc/55/77/14/688557714.db2.gz HQPGQZREHUYPFH-VQVTYTSYSA-N 0 2 318.242 0.323 20 0 DCADLN CC(C)c1ocnc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038890826 694231552 /nfs/dbraw/zinc/23/15/52/694231552.db2.gz SHHYBBBNIVMXNM-SECBINFHSA-N 0 2 320.353 0.626 20 0 DCADLN CCc1oc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1C ZINC001038909890 694237343 /nfs/dbraw/zinc/23/73/43/694237343.db2.gz JBVQNRXKNVKANN-SNVBAGLBSA-N 0 2 319.365 0.978 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000965973434 689597595 /nfs/dbraw/zinc/59/75/95/689597595.db2.gz BTVWYGOZTHXDIG-GXSJLCMTSA-N 0 2 318.381 0.787 20 0 DCADLN Cc1nc(C[NH2+][C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)co1 ZINC000968415748 690257595 /nfs/dbraw/zinc/25/75/95/690257595.db2.gz DTTJPTBOBZXTKL-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN C[C@@H]1CC[N@@H+](CCn2cccn2)C[C@H]1NC(=O)c1nc[nH]n1 ZINC000968430699 690264555 /nfs/dbraw/zinc/26/45/55/690264555.db2.gz DXZLVHHZABDWLQ-VXGBXAGGSA-N 0 2 303.370 0.142 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccn1 ZINC001006569318 690556379 /nfs/dbraw/zinc/55/63/79/690556379.db2.gz CCYSBBRARYPROT-JTQLQIEISA-N 0 2 302.338 0.300 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001006898467 690602072 /nfs/dbraw/zinc/60/20/72/690602072.db2.gz PECLXWCNQDJCBZ-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN O=C(C[C@H]1CCCCO1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007081520 690626021 /nfs/dbraw/zinc/62/60/21/690626021.db2.gz YMYNURSUROLYBW-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC(O)CC1 ZINC001007228081 690645670 /nfs/dbraw/zinc/64/56/70/690645670.db2.gz RFJGNECJSFMUJT-CXQJBGSLSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2nnc(C3CC3)[nH]2)C1)c1cnn[nH]1 ZINC001007761106 690742563 /nfs/dbraw/zinc/74/25/63/690742563.db2.gz QJUCCYPNZWDCFH-JTQLQIEISA-N 0 2 316.369 0.195 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001008296593 690835381 /nfs/dbraw/zinc/83/53/81/690835381.db2.gz YMMJLVQSCKXIMW-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1C1CC1 ZINC001008826101 690931555 /nfs/dbraw/zinc/93/15/55/690931555.db2.gz UQLUUXNDGPTLCP-SDDRHHMPSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1ccccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009974801 691140257 /nfs/dbraw/zinc/14/02/57/691140257.db2.gz VQHIAXLZHGBCFT-NSHDSACASA-N 0 2 301.350 0.823 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@H]2CCOC2)CC1 ZINC001010615402 691326812 /nfs/dbraw/zinc/32/68/12/691326812.db2.gz VEHBJGLDJKDSDQ-DTWKUNHWSA-N 0 2 312.263 0.594 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCN(C(=O)[C@H]2CCOC2)CC1 ZINC001010615402 691326815 /nfs/dbraw/zinc/32/68/15/691326815.db2.gz VEHBJGLDJKDSDQ-DTWKUNHWSA-N 0 2 312.263 0.594 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@@H]1C(F)F ZINC001010843606 691400961 /nfs/dbraw/zinc/40/09/61/691400961.db2.gz XCFBJYLEOOFLJT-FXQIFTODSA-N 0 2 301.297 0.102 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010859725 691404486 /nfs/dbraw/zinc/40/44/86/691404486.db2.gz HWXRYASHGGJZHH-VIFPVBQESA-N 0 2 304.354 0.405 20 0 DCADLN CCOC1CC(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001010865401 691405284 /nfs/dbraw/zinc/40/52/84/691405284.db2.gz JLCFEAFIYMHLNN-YVNMAJEFSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011106281 691469359 /nfs/dbraw/zinc/46/93/59/691469359.db2.gz LYLWFGWIMCBVIC-VIFPVBQESA-N 0 2 322.262 0.903 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011106281 691469361 /nfs/dbraw/zinc/46/93/61/691469361.db2.gz LYLWFGWIMCBVIC-VIFPVBQESA-N 0 2 322.262 0.903 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1c(F)cncc1F ZINC001014594794 692050482 /nfs/dbraw/zinc/05/04/82/692050482.db2.gz LYGBZYIFOSPWFH-SSDOTTSWSA-N 0 2 324.291 0.188 20 0 DCADLN O=C(Cc1ccccc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014968282 692146028 /nfs/dbraw/zinc/14/60/28/692146028.db2.gz MKEUKYFNJHDMAV-LBPRGKRZSA-N 0 2 301.350 0.444 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncccc1F ZINC001015900702 692494449 /nfs/dbraw/zinc/49/44/49/692494449.db2.gz ODILBUNHVBCSPZ-MRVPVSSYSA-N 0 2 306.301 0.049 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001016014903 692549443 /nfs/dbraw/zinc/54/94/43/692549443.db2.gz CDWMSRVIALKMAN-CMPLNLGQSA-N 0 2 316.365 0.400 20 0 DCADLN COc1cccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001016081571 692562367 /nfs/dbraw/zinc/56/23/67/692562367.db2.gz CXNWBZIEGGMWKA-LLVKDONJSA-N 0 2 317.349 0.523 20 0 DCADLN CC(C)n1cccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016275823 692631528 /nfs/dbraw/zinc/63/15/28/692631528.db2.gz QDOUIYSKCRJPPO-LLVKDONJSA-N 0 2 318.381 0.897 20 0 DCADLN Cc1nc(C(=O)N2CC3(C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C3)co1 ZINC001072647974 694646979 /nfs/dbraw/zinc/64/69/79/694646979.db2.gz JKJVBQNJGLBQJB-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN Cc1nc(C(=O)N2CC3(C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C3)co1 ZINC001072647974 694646980 /nfs/dbraw/zinc/64/69/80/694646980.db2.gz JKJVBQNJGLBQJB-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN Cc1ncoc1C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075653951 694966346 /nfs/dbraw/zinc/96/63/46/694966346.db2.gz ALDQUMJWYDXYEG-VHSXEESVSA-N 0 2 318.337 0.153 20 0 DCADLN CNC(=O)CC(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076857154 695077725 /nfs/dbraw/zinc/07/77/25/695077725.db2.gz LOCRYANIDXVLRN-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CNC(=O)CC(=O)N[C@@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001076857154 695077731 /nfs/dbraw/zinc/07/77/31/695077731.db2.gz LOCRYANIDXVLRN-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN C[C@@]1(NC(=O)Cc2ccco2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446557138 1159471372 /nfs/dbraw/zinc/47/13/72/1159471372.db2.gz ZGXYISNMMDWVPI-CQSZACIVSA-N 0 2 305.338 0.427 20 0 DCADLN C[C@@]1(NC(=O)Cc2ccco2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446557138 1159471374 /nfs/dbraw/zinc/47/13/74/1159471374.db2.gz ZGXYISNMMDWVPI-CQSZACIVSA-N 0 2 305.338 0.427 20 0 DCADLN COCCC(C)(C)C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448106661 1159718438 /nfs/dbraw/zinc/71/84/38/1159718438.db2.gz LCHNCDFWPNEVNU-SNVBAGLBSA-N 0 2 313.402 0.510 20 0 DCADLN COCCC(C)(C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448106661 1159718440 /nfs/dbraw/zinc/71/84/40/1159718440.db2.gz LCHNCDFWPNEVNU-SNVBAGLBSA-N 0 2 313.402 0.510 20 0 DCADLN COCC(C)(C)[NH+]1CCN(S(=O)(=O)C[C@H](C)C(=O)[O-])CC1 ZINC001610241971 1159835590 /nfs/dbraw/zinc/83/55/90/1159835590.db2.gz ANUQPBXIWPOGPJ-NSHDSACASA-N 0 2 322.427 0.080 20 0 DCADLN C[C@H](CC(=O)N[C@@H](C(=O)[O-])[C@H](O)c1ccncc1)n1cc[nH+]c1 ZINC001573534575 1163461961 /nfs/dbraw/zinc/46/19/61/1163461961.db2.gz AIYINIFBBCURQU-LERXQTSPSA-N 0 2 318.333 0.532 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)[C@H](C)C(=O)[O-] ZINC001573569445 1163504638 /nfs/dbraw/zinc/50/46/38/1163504638.db2.gz YCNHYUBQPGPXJL-RVMXOQNASA-N 0 2 314.382 0.092 20 0 DCADLN CN(C(=O)[O-])C1CC[NH+](Cc2ccc(S(N)(=O)=O)o2)CC1 ZINC001573857253 1163569658 /nfs/dbraw/zinc/56/96/58/1163569658.db2.gz ZZQOJKRTLFDNLA-UHFFFAOYSA-N 0 2 317.367 0.501 20 0 DCADLN Cc1n[nH]c(C[N@@H+]2CCN(c3cnccn3)[C@@H](C)C2)c1C(=O)[O-] ZINC001574113259 1163639621 /nfs/dbraw/zinc/63/96/21/1163639621.db2.gz NOIAHKGXFZZMGK-JTQLQIEISA-N 0 2 316.365 0.917 20 0 DCADLN Cc1n[nH]c(C[N@H+]2CCN(c3cnccn3)[C@@H](C)C2)c1C(=O)[O-] ZINC001574113259 1163639625 /nfs/dbraw/zinc/63/96/25/1163639625.db2.gz NOIAHKGXFZZMGK-JTQLQIEISA-N 0 2 316.365 0.917 20 0 DCADLN CC(C)COC(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001574221157 1163668688 /nfs/dbraw/zinc/66/86/88/1163668688.db2.gz YXBJOBUUPBQKIR-UHFFFAOYSA-N 0 2 317.349 0.716 20 0 DCADLN Nc1[nH+]cccc1CNC(=O)NCCc1nc(C(=O)[O-])cs1 ZINC001574291177 1163684670 /nfs/dbraw/zinc/68/46/70/1163684670.db2.gz CHUUIGZONALIGC-UHFFFAOYSA-N 0 2 321.362 0.860 20 0 DCADLN O=C([O-])[C@H](NC(=O)CCCn1cc[nH+]c1)[C@H](O)c1cccnc1 ZINC001574293262 1163685544 /nfs/dbraw/zinc/68/55/44/1163685544.db2.gz APWSDPFGPBCLAP-ZIAGYGMSSA-N 0 2 318.333 0.361 20 0 DCADLN O=C([O-])N1C[C@H](CO)[C@H](NC(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001574341914 1163699025 /nfs/dbraw/zinc/69/90/25/1163699025.db2.gz RKNSRQVUJCEHEL-MWLCHTKSSA-N 0 2 319.321 0.427 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@@H](CC(=O)[O-])C(=O)OC(C)(C)C ZINC001574782280 1163751800 /nfs/dbraw/zinc/75/18/00/1163751800.db2.gz BSRAANANCZIOGI-JTQLQIEISA-N 0 2 311.338 0.264 20 0 DCADLN COc1ncccc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001575310553 1163969980 /nfs/dbraw/zinc/96/99/80/1163969980.db2.gz DCHKHGUQXKBSFA-MRVPVSSYSA-N 0 2 323.246 0.837 20 0 DCADLN COc1ncccc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001575310553 1163969984 /nfs/dbraw/zinc/96/99/84/1163969984.db2.gz DCHKHGUQXKBSFA-MRVPVSSYSA-N 0 2 323.246 0.837 20 0 DCADLN CC[C@@H](NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C(C)(C)O ZINC001576973113 1164565630 /nfs/dbraw/zinc/56/56/30/1164565630.db2.gz DGGAMLHQCUPZSX-SECBINFHSA-N 0 2 320.353 0.555 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2C[C@H]([NH2+]Cc3c[nH]nn3)C2)n(C)n1 ZINC001577294069 1164661655 /nfs/dbraw/zinc/66/16/55/1164661655.db2.gz RGGVFQDXECJJLI-XYPYZODXSA-N 0 2 303.370 0.145 20 0 DCADLN O=C(Cc1cccnc1)N1C[C@H]2CC[C@@H](C1)N2Cc1cn[nH]n1 ZINC001577964526 1164866193 /nfs/dbraw/zinc/86/61/93/1164866193.db2.gz SVVCURXUTSPKAG-GASCZTMLSA-N 0 2 312.377 0.618 20 0 DCADLN O=C(Cc1cccnc1)N1C[C@H]2CC[C@@H](C1)N2Cc1c[nH]nn1 ZINC001577964526 1164866199 /nfs/dbraw/zinc/86/61/99/1164866199.db2.gz SVVCURXUTSPKAG-GASCZTMLSA-N 0 2 312.377 0.618 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1cc(N)nn1C ZINC001578502254 1165034242 /nfs/dbraw/zinc/03/42/42/1165034242.db2.gz LYQBRHUOBBJTEU-UHFFFAOYSA-N 0 2 318.362 0.985 20 0 DCADLN CCc1nc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)no1 ZINC001578622442 1165070878 /nfs/dbraw/zinc/07/08/78/1165070878.db2.gz VVHHXRZVRJHYAQ-UHFFFAOYSA-N 0 2 313.321 0.511 20 0 DCADLN CCc1noc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)n1 ZINC001578711236 1165089197 /nfs/dbraw/zinc/08/91/97/1165089197.db2.gz SDHVCRZLPXVOJC-UHFFFAOYSA-N 0 2 313.321 0.511 20 0 DCADLN CO[C@H](C)C(=O)Nc1nc(-c2cccc(-c3nn[nH]n3)c2)n[nH]1 ZINC001579551758 1165346306 /nfs/dbraw/zinc/34/63/06/1165346306.db2.gz FFFXSOLFWJYNPG-SSDOTTSWSA-N 0 2 314.309 0.625 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)[C@@H]1CCSC1 ZINC001580595864 1165648489 /nfs/dbraw/zinc/64/84/89/1165648489.db2.gz FBSKHRCXZFTSOX-MRVPVSSYSA-N 0 2 320.378 0.853 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)[C@@H](C)C(C)(C)O ZINC001580596775 1165650532 /nfs/dbraw/zinc/65/05/32/1165650532.db2.gz YZQPBEGNLPDCAT-QMMMGPOBSA-N 0 2 320.353 0.507 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1C[C@H](C)O[C@H]1C ZINC001580601196 1165651756 /nfs/dbraw/zinc/65/17/56/1165651756.db2.gz JQFWZEKEXHBBJS-OYNCUSHFSA-N 0 2 318.337 0.571 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@]1(C)CCO[C@H]1C ZINC001580602295 1165653172 /nfs/dbraw/zinc/65/31/72/1165653172.db2.gz OYRDOUWPSFSUTO-RMLUDKJBSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1[nH]nc(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)c1C ZINC001580606401 1165654492 /nfs/dbraw/zinc/65/44/92/1165654492.db2.gz KKYBUQJXKQPEKD-UHFFFAOYSA-N 0 2 314.309 0.868 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)Nc1ncccc1O ZINC001580609437 1165655978 /nfs/dbraw/zinc/65/59/78/1165655978.db2.gz YHDVWXHTVYUCEE-UHFFFAOYSA-N 0 2 313.277 0.629 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)NC(C)(C)c1c[nH]nn1 ZINC001581726921 1165861547 /nfs/dbraw/zinc/86/15/47/1165861547.db2.gz AVKUMOPHQVWCCP-UHFFFAOYSA-N 0 2 302.298 0.551 20 0 DCADLN Cn1c(=O)[nH]c(Sc2ccc(F)cc2)c(-c2nn[nH]n2)c1=O ZINC001581748722 1165864878 /nfs/dbraw/zinc/86/48/78/1165864878.db2.gz REIHUQFPPIDDCX-UHFFFAOYSA-N 0 2 320.309 0.544 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCC1)c1cc(-c2nn[nH]n2)cs1 ZINC001582246639 1165990759 /nfs/dbraw/zinc/99/07/59/1165990759.db2.gz INBIYGKPYDUERF-UHFFFAOYSA-N 0 2 317.338 0.251 20 0 DCADLN CC(C)(C(=O)NC[C@](C)(NC(=O)C(N)=O)C1CC1)C(C)(F)F ZINC001582656459 1166063038 /nfs/dbraw/zinc/06/30/38/1166063038.db2.gz QWLVKCWOJPHIFH-ZDUSSCGKSA-N 0 2 319.352 0.554 20 0 DCADLN O=C1NCC[C@H]1CCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001582785605 1166079646 /nfs/dbraw/zinc/07/96/46/1166079646.db2.gz NVLKSAAIKGPZPM-VIFPVBQESA-N 0 2 314.349 0.043 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(c3ccnc(-c4nn[nH]n4)c3)C2)[nH]1 ZINC001582808983 1166089958 /nfs/dbraw/zinc/08/99/58/1166089958.db2.gz OKAAMYJFJTTXFH-MRVPVSSYSA-N 0 2 313.325 0.469 20 0 DCADLN COC[C@H](C)C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001583148958 1166213704 /nfs/dbraw/zinc/21/37/04/1166213704.db2.gz YWTOJIGTHMGMCN-FBFNWGNUSA-N 0 2 314.279 0.958 20 0 DCADLN COC[C@H](C)C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001583148958 1166213711 /nfs/dbraw/zinc/21/37/11/1166213711.db2.gz YWTOJIGTHMGMCN-FBFNWGNUSA-N 0 2 314.279 0.958 20 0 DCADLN CC(C)C[C@H]([NH3+])c1noc([C@H](C)n2cnc(-c3nn[n-]n3)n2)n1 ZINC001589563042 1166667603 /nfs/dbraw/zinc/66/76/03/1166667603.db2.gz RTSZNEYLAKKPDI-YUMQZZPRSA-N 0 2 318.345 0.496 20 0 DCADLN CCCC[C@H](COC)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590581617 1166945900 /nfs/dbraw/zinc/94/59/00/1166945900.db2.gz MMHOYSHZCIALJX-MRVPVSSYSA-N 0 2 323.357 0.283 20 0 DCADLN CCCCc1noc(Cn2cnc(-c3nn[nH]n3)cc2=O)n1 ZINC001590614651 1166949122 /nfs/dbraw/zinc/94/91/22/1166949122.db2.gz NWKXZMVULCDIMG-UHFFFAOYSA-N 0 2 302.298 0.197 20 0 DCADLN CCCCOCCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001590612401 1166949678 /nfs/dbraw/zinc/94/96/78/1166949678.db2.gz GLZKLYXZMVDBBH-UHFFFAOYSA-N 0 2 320.353 0.822 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)C(C)(C)C1 ZINC001591190292 1167141465 /nfs/dbraw/zinc/14/14/65/1167141465.db2.gz SVRCYTNBLNZTNF-UHFFFAOYSA-N 0 2 316.365 0.739 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)C(C)(C)C1 ZINC001591190292 1167141472 /nfs/dbraw/zinc/14/14/72/1167141472.db2.gz SVRCYTNBLNZTNF-UHFFFAOYSA-N 0 2 316.365 0.739 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC[C@@H](O)C(C)C)c1 ZINC001591286596 1167200877 /nfs/dbraw/zinc/20/08/77/1167200877.db2.gz LCNYJUSZIBFIDV-LLVKDONJSA-N 0 2 321.337 0.222 20 0 DCADLN COCCn1cc(-c2cn(C)c(=O)c(-c3nn[nH]n3)c2C)cn1 ZINC001591448905 1167289034 /nfs/dbraw/zinc/28/90/34/1167289034.db2.gz OBVDTDLXCSSMEV-UHFFFAOYSA-N 0 2 315.337 0.384 20 0 DCADLN COc1cc(-c2nn[nH]n2)ccc1S(=O)(=O)Nc1cn[nH]c1 ZINC001591507129 1167315114 /nfs/dbraw/zinc/31/51/14/1167315114.db2.gz VONWKQDROFYTBC-UHFFFAOYSA-N 0 2 321.322 0.399 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC[C@H]2COC(C)(C)O2)c1 ZINC001591836921 1167390289 /nfs/dbraw/zinc/39/02/89/1167390289.db2.gz OFKLUHBWYAYMLM-JTQLQIEISA-N 0 2 305.338 0.878 20 0 DCADLN Cc1cc(-c2nn[nH]n2)cnc1C(=O)OCCc1cnn[nH]1 ZINC001591881776 1167408603 /nfs/dbraw/zinc/40/86/03/1167408603.db2.gz INBHBKQJJFTGRT-UHFFFAOYSA-N 0 2 300.282 0.088 20 0 DCADLN Cc1ccn(Cc2nsc(N(C)C)n2)c(=O)c1-c1nn[nH]n1 ZINC001592266107 1167480993 /nfs/dbraw/zinc/48/09/93/1167480993.db2.gz VFQAGAXGJNFIAL-UHFFFAOYSA-N 0 2 318.366 0.303 20 0 DCADLN Cc1nc(-c2nn[nH]n2)c(-n2[nH]c(C3CCOCC3)cc2=O)o1 ZINC001592337479 1167493250 /nfs/dbraw/zinc/49/32/50/1167493250.db2.gz ZXLJVDAHGCHLJS-UHFFFAOYSA-N 0 2 317.309 0.682 20 0 DCADLN Cc1nccc(NS(=O)(=O)c2cccc(-c3nn[nH]n3)c2)n1 ZINC001592416317 1167517638 /nfs/dbraw/zinc/51/76/38/1167517638.db2.gz MTNCBCWLOSQALH-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cc1nn(C[C@H]2CC[C@@H](C3CC3)O2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436664 1167523314 /nfs/dbraw/zinc/52/33/14/1167523314.db2.gz YERZXULFDAYNER-NEPJUHHUSA-N 0 2 316.365 0.998 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCN(C)C(=O)C1(C)C ZINC001592498771 1167539168 /nfs/dbraw/zinc/53/91/68/1167539168.db2.gz BCSMKZIBLUQFBT-UHFFFAOYSA-N 0 2 318.337 0.461 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H](CO)CC(F)F ZINC001592498627 1167539297 /nfs/dbraw/zinc/53/92/97/1167539297.db2.gz BAANYACXHSDQDY-ZCFIWIBFSA-N 0 2 301.253 0.514 20 0 DCADLN Cn1c(=O)[nH]c(N[C@H]2CCC[C@H](F)C2)c(-c2nn[nH]n2)c1=O ZINC001592545632 1167550436 /nfs/dbraw/zinc/55/04/36/1167550436.db2.gz HLSNXTJIMMTOPV-BQBZGAKWSA-N 0 2 309.305 0.359 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)OCc1ccccc1 ZINC001592940427 1167669795 /nfs/dbraw/zinc/66/97/95/1167669795.db2.gz HBIVRWIXJUVNRY-UHFFFAOYSA-N 0 2 312.289 0.167 20 0 DCADLN O=C(NCc1cncc(-c2nn[nH]n2)c1)C(F)C(F)(F)F ZINC001593151115 1167726678 /nfs/dbraw/zinc/72/66/78/1167726678.db2.gz YQSMTEZVDDUHCQ-ZETCQYMHSA-N 0 2 304.207 0.778 20 0 DCADLN O=C(NCc1cncc(-c2nn[nH]n2)c1)[C@H](F)C(F)(F)F ZINC001593151115 1167726685 /nfs/dbraw/zinc/72/66/85/1167726685.db2.gz YQSMTEZVDDUHCQ-ZETCQYMHSA-N 0 2 304.207 0.778 20 0 DCADLN O=C(c1coc(-c2nn[nH]n2)c1)N1CCN(c2ncc[nH]2)CC1 ZINC001593368806 1167788893 /nfs/dbraw/zinc/78/88/93/1167788893.db2.gz AGWOOTOVLSSMAQ-UHFFFAOYSA-N 0 2 314.309 0.145 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001593489189 1167873273 /nfs/dbraw/zinc/87/32/73/1167873273.db2.gz CZEWLMIYNPDDHE-OYNCUSHFSA-N 0 2 304.310 0.087 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@H]1CC2(CO1)CCOCC2 ZINC001593494689 1167876227 /nfs/dbraw/zinc/87/62/27/1167876227.db2.gz PHNOEWYTUQYFRQ-SNVBAGLBSA-N 0 2 318.337 0.009 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1C[C@@H]1C[C@]13CCOC3)CCC2 ZINC001593491872 1167876452 /nfs/dbraw/zinc/87/64/52/1167876452.db2.gz AJFKZNCQHHDJGN-ZBEGNZNMSA-N 0 2 313.361 0.944 20 0 DCADLN OCc1nc(CNc2ccc(Cl)c(-c3nn[nH]n3)n2)n[nH]1 ZINC001593535891 1167919164 /nfs/dbraw/zinc/91/91/64/1167919164.db2.gz HWDAIFJXCILHDC-UHFFFAOYSA-N 0 2 307.705 0.138 20 0 DCADLN Cc1cc(-n2c(C)cn(C[N@H+]3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)c2=O)no1 ZINC001600063571 1168109697 /nfs/dbraw/zinc/10/96/97/1168109697.db2.gz HTNGKKLSFIXBFA-PJXYFTJBSA-N 0 2 318.333 0.464 20 0 DCADLN Cc1cc(-n2c(C)cn(C[N@@H+]3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)c2=O)no1 ZINC001600063571 1168109703 /nfs/dbraw/zinc/10/97/03/1168109703.db2.gz HTNGKKLSFIXBFA-PJXYFTJBSA-N 0 2 318.333 0.464 20 0 DCADLN Cc1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c2nccn2c1 ZINC001600097702 1168119127 /nfs/dbraw/zinc/11/91/27/1168119127.db2.gz VNGREVVOZXMUGD-GFCCVEGCSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1nc(NCCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])c2c([nH+]1)CCCC2 ZINC001600723688 1168262381 /nfs/dbraw/zinc/26/23/81/1168262381.db2.gz UXMRDCQMMAYOKE-NEPJUHHUSA-N 0 2 318.377 0.913 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1CCO[C@@H](CC(N)=O)C1 ZINC001600785816 1168296223 /nfs/dbraw/zinc/29/62/23/1168296223.db2.gz JMIGNUSQAVPJET-LBPRGKRZSA-N 0 2 324.381 0.051 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1CCO[C@@H](CC(N)=O)C1 ZINC001600785816 1168296226 /nfs/dbraw/zinc/29/62/26/1168296226.db2.gz JMIGNUSQAVPJET-LBPRGKRZSA-N 0 2 324.381 0.051 20 0 DCADLN Cc1noc(C2(C)CC[NH+](Cn3cnc(C(=O)[O-])n3)CC2)n1 ZINC001600809758 1168334785 /nfs/dbraw/zinc/33/47/85/1168334785.db2.gz KMOZSCNSVJADHV-UHFFFAOYSA-N 0 2 306.326 0.679 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC001600905988 1168502634 /nfs/dbraw/zinc/50/26/34/1168502634.db2.gz WHXSZNBLASIGQU-GFCCVEGCSA-N 0 2 321.377 0.660 20 0 DCADLN Cn1cc(C[NH+]2CCC(C)(NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)nn1 ZINC001600951140 1168527784 /nfs/dbraw/zinc/52/77/84/1168527784.db2.gz ATBMLUBTMHUAQY-NEPJUHHUSA-N 0 2 321.381 0.007 20 0 DCADLN Cn1cc(C[NH+]2CC(O)(C(=O)[O-])C2)c(-c2ccc(F)cc2)n1 ZINC001600951669 1168528351 /nfs/dbraw/zinc/52/83/51/1168528351.db2.gz JBOGFGPUKRGZHF-UHFFFAOYSA-N 0 2 305.309 0.858 20 0 DCADLN Cn1cc(Cl)c(C[NH2+]CCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600956895 1168531825 /nfs/dbraw/zinc/53/18/25/1168531825.db2.gz KTMURBAKXGRMJC-SFYZADRCSA-N 0 2 300.746 0.000 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC001600985108 1168545652 /nfs/dbraw/zinc/54/56/52/1168545652.db2.gz FEZWVVXNPUAQPM-XHDPSFHLSA-N 0 2 307.350 0.302 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001600985524 1168545952 /nfs/dbraw/zinc/54/59/52/1168545952.db2.gz QRYNHXNFBQRIBJ-NEPJUHHUSA-N 0 2 306.366 0.221 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)c2ccc(C(=O)[O-])nc2)c1 ZINC001600989159 1168547017 /nfs/dbraw/zinc/54/70/17/1168547017.db2.gz WSEBMZFAADFXGZ-UHFFFAOYSA-N 0 2 310.335 0.034 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)C12CCC(C(=O)[O-])(CC1)C2 ZINC001600993203 1168549815 /nfs/dbraw/zinc/54/98/15/1168549815.db2.gz LFJSJYNUVPVSKK-SFNBMPIDSA-N 0 2 307.350 0.605 20 0 DCADLN Cn1cnc2cncc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c21 ZINC001600992859 1168550746 /nfs/dbraw/zinc/55/07/46/1168550746.db2.gz XFSQUFOGCRWXIP-JTQLQIEISA-N 0 2 314.305 0.117 20 0 DCADLN Cn1cnc2cncc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c21 ZINC001600992859 1168550754 /nfs/dbraw/zinc/55/07/54/1168550754.db2.gz XFSQUFOGCRWXIP-JTQLQIEISA-N 0 2 314.305 0.117 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1cc(F)cc(C(=O)[O-])c1 ZINC001600993181 1168550856 /nfs/dbraw/zinc/55/08/56/1168550856.db2.gz KMQPMEXIRDYHRI-LLVKDONJSA-N 0 2 307.281 0.721 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC001600994493 1168551963 /nfs/dbraw/zinc/55/19/63/1168551963.db2.gz VKZJURYZWAGAIO-UHFFFAOYSA-N 0 2 306.326 0.758 20 0 DCADLN Cn1c[nH+]cc1CNc1cccc(S(=O)(=O)NCC(=O)[O-])c1 ZINC001600994343 1168552266 /nfs/dbraw/zinc/55/22/66/1168552266.db2.gz PRELUJKCSIAKKX-UHFFFAOYSA-N 0 2 324.362 0.395 20 0 DCADLN O=C([O-])c1cnc(NCCNC(=O)CCc2c[nH]c[nH+]2)nc1 ZINC001601286811 1168691562 /nfs/dbraw/zinc/69/15/62/1168691562.db2.gz RLSZBGXAXRIYHQ-UHFFFAOYSA-N 0 2 304.310 0.059 20 0 DCADLN O=C([O-])c1cnc(NCCNC(=O)CCc2c[nH+]c[nH]2)nc1 ZINC001601286811 1168691565 /nfs/dbraw/zinc/69/15/65/1168691565.db2.gz RLSZBGXAXRIYHQ-UHFFFAOYSA-N 0 2 304.310 0.059 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)CCc1ccc(=O)[nH]c1 ZINC001601287089 1168691676 /nfs/dbraw/zinc/69/16/76/1168691676.db2.gz KHRGNMSXBGVJPG-LLVKDONJSA-N 0 2 304.306 0.186 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC001601303762 1168695581 /nfs/dbraw/zinc/69/55/81/1168695581.db2.gz FWNGZHXTSPUHPA-CYBMUJFWSA-N 0 2 300.261 0.425 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC001601303762 1168695586 /nfs/dbraw/zinc/69/55/86/1168695586.db2.gz FWNGZHXTSPUHPA-CYBMUJFWSA-N 0 2 300.261 0.425 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2ccc(Cl)cn2)C1 ZINC001601304675 1168698377 /nfs/dbraw/zinc/69/83/77/1168698377.db2.gz FNCHGKJXLXFPQM-PSASIEDQSA-N 0 2 313.741 0.441 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2ccc(Cl)cn2)C1 ZINC001601304675 1168698381 /nfs/dbraw/zinc/69/83/81/1168698381.db2.gz FNCHGKJXLXFPQM-PSASIEDQSA-N 0 2 313.741 0.441 20 0 DCADLN O=C(C[NH2+][C@@H](C(=O)[O-])c1ccc2c(c1)CCO2)NCC1CC1 ZINC001601326284 1168710760 /nfs/dbraw/zinc/71/07/60/1168710760.db2.gz VHPJYQIIJAUTFX-OAHLLOKOSA-N 0 2 304.346 0.863 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cc2ncccc2o1 ZINC001601425486 1168740874 /nfs/dbraw/zinc/74/08/74/1168740874.db2.gz BMFURVMTFYEBIX-JTQLQIEISA-N 0 2 300.274 0.908 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC001601565873 1168782758 /nfs/dbraw/zinc/78/27/58/1168782758.db2.gz OJTFGDOKGIOSQC-LBPRGKRZSA-N 0 2 316.317 0.813 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC001601565873 1168782765 /nfs/dbraw/zinc/78/27/65/1168782765.db2.gz OJTFGDOKGIOSQC-LBPRGKRZSA-N 0 2 316.317 0.813 20 0 DCADLN O=C(N[C@H]1C[C@H](C(=O)[O-])C1)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC001601575613 1168788173 /nfs/dbraw/zinc/78/81/73/1168788173.db2.gz XCFBLNUJRAFWRW-SRVKXCTJSA-N 0 2 320.349 0.026 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C(=O)Nc1ccccc1 ZINC001601623705 1168795931 /nfs/dbraw/zinc/79/59/31/1168795931.db2.gz QENMCHVNIPOLDN-NSHDSACASA-N 0 2 302.290 0.160 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C(=O)Nc1ccccc1 ZINC001601623705 1168795939 /nfs/dbraw/zinc/79/59/39/1168795939.db2.gz QENMCHVNIPOLDN-NSHDSACASA-N 0 2 302.290 0.160 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001601650756 1168802744 /nfs/dbraw/zinc/80/27/44/1168802744.db2.gz QUEHFTBYQFYCHK-OLZOCXBDSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001601650756 1168802757 /nfs/dbraw/zinc/80/27/57/1168802757.db2.gz QUEHFTBYQFYCHK-OLZOCXBDSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H]([NH2+][C@H]1CCN(C2CCOCC2)C1=O)c1cccnc1 ZINC001601665885 1168808977 /nfs/dbraw/zinc/80/89/77/1168808977.db2.gz IIUYSPPSMSCEHN-KBPBESRZSA-N 0 2 319.361 0.577 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](Cc2nc(-c3ccoc3)no2)CC1 ZINC001601685394 1168815094 /nfs/dbraw/zinc/81/50/94/1168815094.db2.gz RVTLDPPBRJCJKL-LBPRGKRZSA-N 0 2 307.306 0.987 20 0 DCADLN O=C([O-])C1(N(C2CC2)S(=O)(=O)CCn2cc[nH+]c2)CCC1 ZINC001601720567 1168830364 /nfs/dbraw/zinc/83/03/64/1168830364.db2.gz PTIOPVHRNMBHJC-UHFFFAOYSA-N 0 2 313.379 0.685 20 0 DCADLN O=C([O-])CCCCC(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001601980454 1168952424 /nfs/dbraw/zinc/95/24/24/1168952424.db2.gz YQTJOAFBKDIAEP-LBPRGKRZSA-N 0 2 312.366 0.528 20 0 DCADLN O=C([O-])CCN1CCC[C@@H]([NH2+][C@@H](c2ncccn2)C2CC2)C1=O ZINC001602012902 1168963709 /nfs/dbraw/zinc/96/37/09/1168963709.db2.gz SCXWRPWHTJSBPI-TZMCWYRMSA-N 0 2 318.377 0.983 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N[C@@H]2CCn3cc[nH+]c3C2)O1 ZINC001602037780 1168974172 /nfs/dbraw/zinc/97/41/72/1168974172.db2.gz RSMUGSOCNVZYKW-GRYCIOLGSA-N 0 2 307.350 0.727 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(NC[C@@]23COC(=O)N2CCOC3)c1 ZINC001602150167 1169003661 /nfs/dbraw/zinc/00/36/61/1169003661.db2.gz IKDZFNNYWRQIJA-CQSZACIVSA-N 0 2 307.306 0.342 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001602170152 1169014296 /nfs/dbraw/zinc/01/42/96/1169014296.db2.gz XLRZBLFLRMBDRX-YNEHKIRRSA-N 0 2 300.318 0.720 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001602170152 1169014306 /nfs/dbraw/zinc/01/43/06/1169014306.db2.gz XLRZBLFLRMBDRX-YNEHKIRRSA-N 0 2 300.318 0.720 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)s1 ZINC001602320256 1169056645 /nfs/dbraw/zinc/05/66/45/1169056645.db2.gz JWDLHYCIQUQWNE-SECBINFHSA-N 0 2 306.347 0.956 20 0 DCADLN O=C([O-])c1ccccc1CS(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC001602469636 1169082682 /nfs/dbraw/zinc/08/26/82/1169082682.db2.gz CULNWGKCHCYLQV-UHFFFAOYSA-N 0 2 321.358 0.927 20 0 DCADLN O=C([O-])c1csc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)c1 ZINC001602561098 1169118642 /nfs/dbraw/zinc/11/86/42/1169118642.db2.gz VJGLOHLYSHEUKJ-UHFFFAOYSA-N 0 2 307.379 0.882 20 0 DCADLN O=C([O-])c1ncccc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC001602577957 1169124486 /nfs/dbraw/zinc/12/44/86/1169124486.db2.gz NQFCFRGGYIOJEM-UHFFFAOYSA-N 0 2 310.335 0.345 20 0 DCADLN C/C(=C\C(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001602955484 1169220700 /nfs/dbraw/zinc/22/07/00/1169220700.db2.gz RZKTZHZSZPDJRP-YDOHYTGJSA-N 0 2 308.378 0.634 20 0 DCADLN C[C@H](NCc1cccc(-c2nn[nH]n2)n1)[C@@H](O)C(F)(F)F ZINC001603668634 1169348586 /nfs/dbraw/zinc/34/85/86/1169348586.db2.gz YWJJNYSASCXNSL-IMTBSYHQSA-N 0 2 302.260 0.663 20 0 DCADLN CC(C)C[C@H](C)N(C)C(=O)C[N@@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001603767967 1169384137 /nfs/dbraw/zinc/38/41/37/1169384137.db2.gz GJOAEKPLHFYBFZ-SGMGOOAPSA-N 0 2 300.399 0.789 20 0 DCADLN CC(C)C[C@H](C)N(C)C(=O)C[N@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001603767967 1169384145 /nfs/dbraw/zinc/38/41/45/1169384145.db2.gz GJOAEKPLHFYBFZ-SGMGOOAPSA-N 0 2 300.399 0.789 20 0 DCADLN CCC[C@H](O)Cn1nc(CC)c(CC)c(-c2nn[nH]n2)c1=O ZINC001604147102 1169505788 /nfs/dbraw/zinc/50/57/88/1169505788.db2.gz LXMAYQGSYPKRSL-VIFPVBQESA-N 0 2 306.370 0.709 20 0 DCADLN C[C@H](CNc1ncc(C(=O)[O-])cn1)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001604191166 1169519010 /nfs/dbraw/zinc/51/90/10/1169519010.db2.gz SYFVBUWXJDGNIU-SECBINFHSA-N 0 2 318.337 0.399 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)CCCCCC(=O)[O-] ZINC001604248272 1169532146 /nfs/dbraw/zinc/53/21/46/1169532146.db2.gz ZRXWSWGDTYLRNI-NSHDSACASA-N 0 2 303.384 0.836 20 0 DCADLN C[C@H](NC(=O)N1CC[C@H](C(=O)[O-])[C@@H]1C)[C@H]1CN(C)CC[N@@H+]1C ZINC001604280453 1169546194 /nfs/dbraw/zinc/54/61/94/1169546194.db2.gz ZYRAQRXPFLQNGQ-ZDEQEGDKSA-N 0 2 312.414 0.125 20 0 DCADLN C[C@H](NC(=O)N1CC[C@H](C(=O)[O-])[C@@H]1C)[C@H]1CN(C)CC[N@H+]1C ZINC001604280453 1169546198 /nfs/dbraw/zinc/54/61/98/1169546198.db2.gz ZYRAQRXPFLQNGQ-ZDEQEGDKSA-N 0 2 312.414 0.125 20 0 DCADLN CCn1nnc(C)c1Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001604623403 1169633883 /nfs/dbraw/zinc/63/38/83/1169633883.db2.gz CRDILGNRLWAIEX-UHFFFAOYSA-N 0 2 315.341 0.008 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NC[C@H]1C[C@H]1C(=O)[O-] ZINC001604672199 1169648219 /nfs/dbraw/zinc/64/82/19/1169648219.db2.gz HXEZWLGTEBSUMF-UMSGYPCISA-N 0 2 311.382 0.212 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CC=C(C(=O)[O-])C1 ZINC001605024668 1169784811 /nfs/dbraw/zinc/78/48/11/1169784811.db2.gz JXWYAFJEZCPYHR-CHWSQXEVSA-N 0 2 311.382 0.570 20 0 DCADLN COCc1ccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cc1 ZINC001605134414 1169838076 /nfs/dbraw/zinc/83/80/76/1169838076.db2.gz ZKKCKOLFBAJDIP-UHFFFAOYSA-N 0 2 314.305 0.324 20 0 DCADLN CCCC[N@H+](CC(N)=O)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001605276981 1169886724 /nfs/dbraw/zinc/88/67/24/1169886724.db2.gz ZSVODXYXQUDAQH-UHFFFAOYSA-N 0 2 313.398 0.478 20 0 DCADLN CCCC[N@@H+](CC(N)=O)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001605276981 1169886731 /nfs/dbraw/zinc/88/67/31/1169886731.db2.gz ZSVODXYXQUDAQH-UHFFFAOYSA-N 0 2 313.398 0.478 20 0 DCADLN CCN(CCNc1ncc(C(=O)[O-])cn1)C(=O)Cn1cc[nH+]c1 ZINC001605447798 1169924869 /nfs/dbraw/zinc/92/48/69/1169924869.db2.gz JIPJVCZOXLMBHH-UHFFFAOYSA-N 0 2 318.337 0.332 20 0 DCADLN CC[N@@H+]1CC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001605474350 1169932703 /nfs/dbraw/zinc/93/27/03/1169932703.db2.gz GPIDZAKHBOVWPA-JTQLQIEISA-N 0 2 316.354 0.896 20 0 DCADLN CC[N@H+]1CC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001605474350 1169932706 /nfs/dbraw/zinc/93/27/06/1169932706.db2.gz GPIDZAKHBOVWPA-JTQLQIEISA-N 0 2 316.354 0.896 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCc1ccns1 ZINC001605509155 1169943396 /nfs/dbraw/zinc/94/33/96/1169943396.db2.gz AURFIPBTWWFOBH-UHFFFAOYSA-N 0 2 317.334 0.662 20 0 DCADLN Cc1ccc(Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)cc1F ZINC001605861332 1170014735 /nfs/dbraw/zinc/01/47/35/1170014735.db2.gz MCBKEXVKRSJGHX-UHFFFAOYSA-N 0 2 316.296 0.223 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2CCCC[C@H]2F)c(-c2nn[nH]n2)c1=O ZINC001606206111 1170112488 /nfs/dbraw/zinc/11/24/88/1170112488.db2.gz RIRDHWUNVMDSLM-RNFRBKRXSA-N 0 2 309.305 0.359 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)NC[C@]2(C(=O)[O-])CCOC2)[nH]n1 ZINC001606226457 1170124036 /nfs/dbraw/zinc/12/40/36/1170124036.db2.gz HBOWCJQOVYRUFD-SWLSCSKDSA-N 0 2 322.365 0.398 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)NC[C@]2(C(=O)[O-])CCOC2)[nH]n1 ZINC001606226457 1170124039 /nfs/dbraw/zinc/12/40/39/1170124039.db2.gz HBOWCJQOVYRUFD-SWLSCSKDSA-N 0 2 322.365 0.398 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NC2(C(=O)[O-])CCOCC2)[nH]n1 ZINC001606227336 1170124579 /nfs/dbraw/zinc/12/45/79/1170124579.db2.gz OBPXTJADYREMCU-GFCCVEGCSA-N 0 2 322.365 0.540 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)NC2(C(=O)[O-])CCOCC2)[nH]n1 ZINC001606227336 1170124595 /nfs/dbraw/zinc/12/45/95/1170124595.db2.gz OBPXTJADYREMCU-GFCCVEGCSA-N 0 2 322.365 0.540 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN([C@@H](C)c2nc(C(=O)[O-])co2)CC1 ZINC001606270992 1170143442 /nfs/dbraw/zinc/14/34/42/1170143442.db2.gz LRNZZAKUOMTRFR-JTQLQIEISA-N 0 2 310.354 0.188 20 0 DCADLN CNC(=O)C[N@H+]1CCCN([C@@H](C)c2nc(C(=O)[O-])co2)CC1 ZINC001606270992 1170143444 /nfs/dbraw/zinc/14/34/44/1170143444.db2.gz LRNZZAKUOMTRFR-JTQLQIEISA-N 0 2 310.354 0.188 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)c(F)c1 ZINC001606282732 1170147656 /nfs/dbraw/zinc/14/76/56/1170147656.db2.gz UILNVGIGCIPGGY-UHFFFAOYSA-N 0 2 323.313 0.540 20 0 DCADLN COC(=O)[C@H](CC(=O)[O-])NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001606323879 1170158781 /nfs/dbraw/zinc/15/87/81/1170158781.db2.gz NWXGOGIVRSEPHU-NSHDSACASA-N 0 2 318.289 0.013 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001606370684 1170172618 /nfs/dbraw/zinc/17/26/18/1170172618.db2.gz OQPSRSAVPXRMSN-UTUOFQBUSA-N 0 2 323.349 0.699 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2C[C@@H]3C[C@H]2C[S@@]3=O)cc(C(=O)[O-])c1 ZINC001606542165 1170231445 /nfs/dbraw/zinc/23/14/45/1170231445.db2.gz ADYLVUCFCXXCDG-MZFXBISCSA-N 0 2 323.370 0.877 20 0 DCADLN COC(=O)c1cc(C[N@H+]2C[C@@H]3C[C@H]2C[S@@]3=O)cc(C(=O)[O-])c1 ZINC001606542165 1170231448 /nfs/dbraw/zinc/23/14/48/1170231448.db2.gz ADYLVUCFCXXCDG-MZFXBISCSA-N 0 2 323.370 0.877 20 0 DCADLN O=C(Cc1ccc(-c2nn[nH]n2)nc1)Nc1cccc2[nH]nnc21 ZINC001606596490 1170240833 /nfs/dbraw/zinc/24/08/33/1170240833.db2.gz NUEDPGYLRYXLCN-UHFFFAOYSA-N 0 2 321.304 0.714 20 0 DCADLN COC(=O)c1cccc([C@@H]([NH2+][C@@H]2CCN(C)C2=O)C(=O)[O-])c1 ZINC001606612300 1170241735 /nfs/dbraw/zinc/24/17/35/1170241735.db2.gz XTBLHNXPMABKAL-VXGBXAGGSA-N 0 2 306.318 0.419 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@@H+]2CCO[C@H](c3ccnn3C)C2)CCC1 ZINC001606709487 1170270920 /nfs/dbraw/zinc/27/09/20/1170270920.db2.gz YZGVEHXQFXFSPE-STQMWFEESA-N 0 2 309.366 0.816 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@H+]2CCO[C@H](c3ccnn3C)C2)CCC1 ZINC001606709487 1170270923 /nfs/dbraw/zinc/27/09/23/1170270923.db2.gz YZGVEHXQFXFSPE-STQMWFEESA-N 0 2 309.366 0.816 20 0 DCADLN O=C(NCc1n[nH]c(C2CC2)n1)c1ccc(-c2nn[nH]n2)s1 ZINC001606847290 1170313585 /nfs/dbraw/zinc/31/35/85/1170313585.db2.gz UCZISZQANHFLJA-UHFFFAOYSA-N 0 2 316.350 0.854 20 0 DCADLN COc1ccc(C[NH+]2CC(O)(C(=O)[O-])C2)cc1Cn1cccn1 ZINC001607253690 1170418957 /nfs/dbraw/zinc/41/89/57/1170418957.db2.gz ZAJIFHQWQWVCJR-UHFFFAOYSA-N 0 2 317.345 0.571 20 0 DCADLN C[C@@H](CNc1ncc(C(=O)[O-])cn1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001607418670 1170447598 /nfs/dbraw/zinc/44/75/98/1170447598.db2.gz FJKAPSZCBBMPMY-VIFPVBQESA-N 0 2 318.337 0.447 20 0 DCADLN C[C@@H](CNc1ncc(C(=O)[O-])cn1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001607418670 1170447602 /nfs/dbraw/zinc/44/76/02/1170447602.db2.gz FJKAPSZCBBMPMY-VIFPVBQESA-N 0 2 318.337 0.447 20 0 DCADLN C[C@H]1C[N@H+](CCn2cnc3ccccc3c2=O)C[C@@H](C(=O)[O-])O1 ZINC001607478026 1170463761 /nfs/dbraw/zinc/46/37/61/1170463761.db2.gz VQUVKGUKQVMREG-FZMZJTMJSA-N 0 2 317.345 0.570 20 0 DCADLN C[C@H]1C[N@@H+](CCn2cnc3ccccc3c2=O)C[C@@H](C(=O)[O-])O1 ZINC001607478026 1170463765 /nfs/dbraw/zinc/46/37/65/1170463765.db2.gz VQUVKGUKQVMREG-FZMZJTMJSA-N 0 2 317.345 0.570 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+]1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001607496074 1170467584 /nfs/dbraw/zinc/46/75/84/1170467584.db2.gz SLMMICBDOIGPJR-KOLCDFICSA-N 0 2 321.333 0.634 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+]1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001607496074 1170467585 /nfs/dbraw/zinc/46/75/85/1170467585.db2.gz SLMMICBDOIGPJR-KOLCDFICSA-N 0 2 321.333 0.634 20 0 DCADLN Cc1cc(N2CC[C@@H]([NH2+]Cc3cc(C(=O)[O-])no3)C2=O)n(C)n1 ZINC001607669107 1170491051 /nfs/dbraw/zinc/49/10/51/1170491051.db2.gz AYNYHYLVZSMCAR-SNVBAGLBSA-N 0 2 319.321 0.310 20 0 DCADLN Cc1ccc(C=CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001607768081 1170503709 /nfs/dbraw/zinc/50/37/09/1170503709.db2.gz INZCCRDRRPNHAM-IHVVCDCBSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1ccc(C=CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001607768081 1170503714 /nfs/dbraw/zinc/50/37/14/1170503714.db2.gz INZCCRDRRPNHAM-IHVVCDCBSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NCC(C)(C)C(=O)[O-])c(N(C)C)[nH+]1 ZINC001607796706 1170507646 /nfs/dbraw/zinc/50/76/46/1170507646.db2.gz AMOGBMPNTQKDJI-UHFFFAOYSA-N 0 2 322.365 0.622 20 0 DCADLN Cc1conc1C[NH+]1CCC(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001607922506 1170525603 /nfs/dbraw/zinc/52/56/03/1170525603.db2.gz GPAVNGYZSFXQHC-NEPJUHHUSA-N 0 2 307.350 0.784 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@H]3CC[C@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC001607986677 1170540639 /nfs/dbraw/zinc/54/06/39/1170540639.db2.gz IRQFCMSGDNLPNC-NWDGAFQWSA-N 0 2 304.350 0.544 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@H+](CN3C[C@@H](C(=O)[O-])OC3=O)C2)n1 ZINC001608026203 1170552983 /nfs/dbraw/zinc/55/29/83/1170552983.db2.gz VMWLJMYDCZJWNF-QWRGUYRKSA-N 0 2 324.337 0.495 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@@H+](CN3C[C@@H](C(=O)[O-])OC3=O)C2)n1 ZINC001608026203 1170552986 /nfs/dbraw/zinc/55/29/86/1170552986.db2.gz VMWLJMYDCZJWNF-QWRGUYRKSA-N 0 2 324.337 0.495 20 0 DCADLN NS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001608257909 1170634327 /nfs/dbraw/zinc/63/43/27/1170634327.db2.gz DNQCGZBUGCIEKR-NSHDSACASA-N 0 2 316.354 0.777 20 0 DCADLN NS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001608257909 1170634329 /nfs/dbraw/zinc/63/43/29/1170634329.db2.gz DNQCGZBUGCIEKR-NSHDSACASA-N 0 2 316.354 0.777 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCC[N@@H+]1CC(=O)NCCCc1ccccc1 ZINC001608333305 1170652798 /nfs/dbraw/zinc/65/27/98/1170652798.db2.gz SIQFELLYEBNVAZ-GOEBONIOSA-N 0 2 320.389 0.645 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCC[N@H+]1CC(=O)NCCCc1ccccc1 ZINC001608333305 1170652800 /nfs/dbraw/zinc/65/28/00/1170652800.db2.gz SIQFELLYEBNVAZ-GOEBONIOSA-N 0 2 320.389 0.645 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1coc2c1C(=O)CCC2 ZINC001608485824 1170688975 /nfs/dbraw/zinc/68/89/75/1170688975.db2.gz BXSFWWWWXSOIAJ-JTQLQIEISA-N 0 2 317.301 0.947 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1coc2c1C(=O)CCC2 ZINC001608485824 1170688979 /nfs/dbraw/zinc/68/89/79/1170688979.db2.gz BXSFWWWWXSOIAJ-JTQLQIEISA-N 0 2 317.301 0.947 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1nc2cnccc2s1 ZINC001608486611 1170689155 /nfs/dbraw/zinc/68/91/55/1170689155.db2.gz CHKMKJDSNUKBRA-MRVPVSSYSA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1nc2cnccc2s1 ZINC001608486611 1170689157 /nfs/dbraw/zinc/68/91/57/1170689157.db2.gz CHKMKJDSNUKBRA-MRVPVSSYSA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc2n1CCCC2 ZINC001608486032 1170689392 /nfs/dbraw/zinc/68/93/92/1170689392.db2.gz OHRNERYYGNTNAY-JTQLQIEISA-N 0 2 303.322 0.368 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc2n1CCCC2 ZINC001608486032 1170689396 /nfs/dbraw/zinc/68/93/96/1170689396.db2.gz OHRNERYYGNTNAY-JTQLQIEISA-N 0 2 303.322 0.368 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(C[NH+]2CCC(N3CCCCC3=O)CC2)C1 ZINC001608597049 1170711271 /nfs/dbraw/zinc/71/12/71/1170711271.db2.gz GOSZUXJVDJTBRU-LBPRGKRZSA-N 0 2 323.393 0.354 20 0 DCADLN O=C([O-])[C@@H]1CC[N@H+](Cc2nnnn2C2CC2)[C@H]([C@@H]2CCCO2)C1 ZINC001608635437 1170726812 /nfs/dbraw/zinc/72/68/12/1170726812.db2.gz XJRGSWAIMHDVIQ-WXHSDQCUSA-N 0 2 321.381 0.852 20 0 DCADLN O=C([O-])[C@@H]1CC[N@@H+](Cc2nnnn2C2CC2)[C@H]([C@@H]2CCCO2)C1 ZINC001608635437 1170726817 /nfs/dbraw/zinc/72/68/17/1170726817.db2.gz XJRGSWAIMHDVIQ-WXHSDQCUSA-N 0 2 321.381 0.852 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC001608675943 1170735089 /nfs/dbraw/zinc/73/50/89/1170735089.db2.gz RTKOWIHEGXRDCV-ZDUSSCGKSA-N 0 2 303.318 0.497 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@@]2(CO)CCCc3ccccc32)nn1 ZINC001608797432 1170756399 /nfs/dbraw/zinc/75/63/99/1170756399.db2.gz GDNUPYKLNRMFMV-MRXNPFEDSA-N 0 2 316.361 0.676 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@H]2c3ccccc3CC[C@H]2O)nn1 ZINC001608797446 1170756513 /nfs/dbraw/zinc/75/65/13/1170756513.db2.gz HHWMVKOJMMFJEC-HIFRSBDPSA-N 0 2 302.334 0.501 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1(O)Cc2ccccc2C1 ZINC001608818174 1170761079 /nfs/dbraw/zinc/76/10/79/1170761079.db2.gz LYJLPZORDLMNCD-ZDUSSCGKSA-N 0 2 315.329 0.052 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1(O)Cc2ccccc2C1 ZINC001608818174 1170761085 /nfs/dbraw/zinc/76/10/85/1170761085.db2.gz LYJLPZORDLMNCD-ZDUSSCGKSA-N 0 2 315.329 0.052 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1(Br)CC1 ZINC001608818168 1170761192 /nfs/dbraw/zinc/76/11/92/1170761192.db2.gz KSLUOSOKEORJCD-ZETCQYMHSA-N 0 2 302.128 0.449 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1(Br)CC1 ZINC001608818168 1170761194 /nfs/dbraw/zinc/76/11/94/1170761194.db2.gz KSLUOSOKEORJCD-ZETCQYMHSA-N 0 2 302.128 0.449 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@H]2CCCC[C@@H]21 ZINC001608823634 1170761576 /nfs/dbraw/zinc/76/15/76/1170761576.db2.gz DYBUVADTVHALSY-YIYPIFLZSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@H]2CCCC[C@@H]21 ZINC001608823634 1170761582 /nfs/dbraw/zinc/76/15/82/1170761582.db2.gz DYBUVADTVHALSY-YIYPIFLZSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])c1cccc2c1CC[N@H+](CN1CC3(COC3)CC1=O)C2 ZINC001608940721 1170772680 /nfs/dbraw/zinc/77/26/80/1170772680.db2.gz LSGIDNSVAUKWTE-UHFFFAOYSA-N 0 2 316.357 0.949 20 0 DCADLN O=C([O-])c1cccc2c1CC[N@@H+](CN1CC3(COC3)CC1=O)C2 ZINC001608940721 1170772681 /nfs/dbraw/zinc/77/26/81/1170772681.db2.gz LSGIDNSVAUKWTE-UHFFFAOYSA-N 0 2 316.357 0.949 20 0 DCADLN O=C([O-])c1cn(C2CC[NH+](Cn3cc[nH]c3=S)CC2)nn1 ZINC001608962780 1170776981 /nfs/dbraw/zinc/77/69/81/1170776981.db2.gz ZKDGMYZFDFWZII-UHFFFAOYSA-N 0 2 308.367 0.756 20 0 DCADLN Cc1ccn(Cc2cn(C(C)C)nn2)c(=O)c1-c1nn[nH]n1 ZINC001609438135 1170976137 /nfs/dbraw/zinc/97/61/37/1170976137.db2.gz SRRNZVBQTCTVMU-UHFFFAOYSA-N 0 2 300.326 0.558 20 0 DCADLN CNC(=O)[C@]1(C)CC[N@H+](Cc2noc(CCCC(=O)[O-])n2)C1 ZINC001610141610 1171174402 /nfs/dbraw/zinc/17/44/02/1171174402.db2.gz AWRKIDSVZUOHPO-CQSZACIVSA-N 0 2 310.354 0.435 20 0 DCADLN CNC(=O)[C@]1(C)CC[N@@H+](Cc2noc(CCCC(=O)[O-])n2)C1 ZINC001610141610 1171174404 /nfs/dbraw/zinc/17/44/04/1171174404.db2.gz AWRKIDSVZUOHPO-CQSZACIVSA-N 0 2 310.354 0.435 20 0 DCADLN CNc1nc(N2C[C@H](O)C[C@@H](C(=O)[O-])C2)[nH+]c2ccccc21 ZINC001610148944 1171177350 /nfs/dbraw/zinc/17/73/50/1171177350.db2.gz ZACXOWWGJPAJCO-NXEZZACHSA-N 0 2 302.334 0.943 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@@H]1C[C@H](C)O ZINC001610197835 1171195815 /nfs/dbraw/zinc/19/58/15/1171195815.db2.gz UIXWCVKZXLIKAC-VHSXEESVSA-N 0 2 311.338 0.561 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@@H]1C[C@H](C)O ZINC001610197835 1171195818 /nfs/dbraw/zinc/19/58/18/1171195818.db2.gz UIXWCVKZXLIKAC-VHSXEESVSA-N 0 2 311.338 0.561 20 0 DCADLN COCc1cccc(CC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001610272019 1171213902 /nfs/dbraw/zinc/21/39/02/1171213902.db2.gz GWNMKRRYGYGLLX-AWEZNQCLSA-N 0 2 317.345 0.842 20 0 DCADLN C[C@@H](O)[C@@H](C(=O)[O-])[N@H+](CC(=O)N(C)C1CC1)Cc1ccccc1 ZINC001610391096 1171228539 /nfs/dbraw/zinc/22/85/39/1171228539.db2.gz LSZOCZGZYJJJPM-WBMJQRKESA-N 0 2 320.389 0.943 20 0 DCADLN C[C@@H](O)[C@@H](C(=O)[O-])[N@@H+](CC(=O)N(C)C1CC1)Cc1ccccc1 ZINC001610391096 1171228542 /nfs/dbraw/zinc/22/85/42/1171228542.db2.gz LSZOCZGZYJJJPM-WBMJQRKESA-N 0 2 320.389 0.943 20 0 DCADLN Cc1cc(C[N@@H+]2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)nn1C ZINC001610461931 1171238773 /nfs/dbraw/zinc/23/87/73/1171238773.db2.gz PSIJQBDZVDULEI-GFCCVEGCSA-N 0 2 304.354 0.855 20 0 DCADLN Cc1cc(C[N@H+]2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)nn1C ZINC001610461931 1171238780 /nfs/dbraw/zinc/23/87/80/1171238780.db2.gz PSIJQBDZVDULEI-GFCCVEGCSA-N 0 2 304.354 0.855 20 0 DCADLN Cc1nn(CC(=O)[O-])c(C)c1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001610570891 1171257321 /nfs/dbraw/zinc/25/73/21/1171257321.db2.gz AXLIFGQVSFAQSY-UHFFFAOYSA-N 0 2 305.338 0.557 20 0 DCADLN Cc1nn(CC(=O)[O-])c(C)c1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001610570891 1171257326 /nfs/dbraw/zinc/25/73/26/1171257326.db2.gz AXLIFGQVSFAQSY-UHFFFAOYSA-N 0 2 305.338 0.557 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc2cc(F)c(F)cc2c1O ZINC001630807276 1171583032 /nfs/dbraw/zinc/58/30/32/1171583032.db2.gz QTYHUXICFHOYFF-UHFFFAOYSA-N 0 2 321.243 0.560 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cc3cc[nH]c(=O)c3s2)C(=O)N1C ZINC001633414034 1171640905 /nfs/dbraw/zinc/64/09/05/1171640905.db2.gz ATRLPQYMTAACBA-ZCFIWIBFSA-N 0 2 320.330 0.517 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2ncoc2-c2ccon2)C(=O)N1C ZINC001633414387 1171641531 /nfs/dbraw/zinc/64/15/31/1171641531.db2.gz MKVXATPRRUGBHT-LURJTMIESA-N 0 2 305.250 0.257 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc2n(n1)CCC[C@@H]2O ZINC001634596770 1171678291 /nfs/dbraw/zinc/67/82/91/1171678291.db2.gz ZKTGNZPXTDCBNP-VIFPVBQESA-N 0 2 309.351 0.355 20 0 DCADLN CC(C)CS(=O)(=O)CCC(=O)NCCc1n[nH]c(=S)o1 ZINC001634590990 1171678453 /nfs/dbraw/zinc/67/84/53/1171678453.db2.gz MSHRUIAEMDMJCW-UHFFFAOYSA-N 0 2 321.424 0.478 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cc(C=O)ccc2OC)C1=O ZINC001635574076 1171707264 /nfs/dbraw/zinc/70/72/64/1171707264.db2.gz UBKCBRIDMDMJQP-HNNXBMFYSA-N 0 2 319.317 0.873 20 0 DCADLN CCC(=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)CC ZINC001635956357 1171719776 /nfs/dbraw/zinc/71/97/76/1171719776.db2.gz OQISQAXSQIONBD-UHFFFAOYSA-N 0 2 300.384 0.712 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=Cc2ccc[nH]2)[nH]1 ZINC001635955739 1171720159 /nfs/dbraw/zinc/72/01/59/1171720159.db2.gz HIDVPVXXMMIMQE-PLNGDYQASA-N 0 2 309.351 0.007 20 0 DCADLN O=C(CCc1nn[nH]n1)N[C@H](Cn1ccnc1)c1ccccc1 ZINC001636676814 1171746044 /nfs/dbraw/zinc/74/60/44/1171746044.db2.gz JBANKSLQPDZKBJ-CYBMUJFWSA-N 0 2 311.349 0.887 20 0 DCADLN COC1(OC)CC(CNC(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC001637787258 1171778958 /nfs/dbraw/zinc/77/89/58/1171778958.db2.gz ZEMUKRRGRUMRSY-MRVPVSSYSA-N 0 2 301.368 0.058 20 0 DCADLN Cc1cc2n[nH]c(NC(=O)CCCc3nn[nH]n3)c2c(=O)n1C ZINC001639713904 1171848105 /nfs/dbraw/zinc/84/81/05/1171848105.db2.gz QPNNOWXPTSWVDB-UHFFFAOYSA-N 0 2 316.325 0.045 20 0 DCADLN Cc1cc2[nH]nc(NC(=O)CCCc3nn[nH]n3)c2c(=O)n1C ZINC001639713904 1171848115 /nfs/dbraw/zinc/84/81/15/1171848115.db2.gz QPNNOWXPTSWVDB-UHFFFAOYSA-N 0 2 316.325 0.045 20 0 DCADLN COCc1nnc(CNC(=O)c2c[nH]nc2-c2ccco2)[nH]1 ZINC001639972453 1171858718 /nfs/dbraw/zinc/85/87/18/1171858718.db2.gz MDJWZLMOHOYQRV-UHFFFAOYSA-N 0 2 302.294 0.864 20 0 DCADLN O=C(Cc1nnc(C2CC2)[nH]1)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC001641602334 1171922368 /nfs/dbraw/zinc/92/23/68/1171922368.db2.gz UMJAQOFRNWZDFO-ZDUSSCGKSA-N 0 2 324.348 0.644 20 0 DCADLN Cc1nc([C@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)no1 ZINC001645720021 1172113956 /nfs/dbraw/zinc/11/39/56/1172113956.db2.gz RCOJWQDLGLGLEL-LURJTMIESA-N 0 2 304.266 0.067 20 0 DCADLN CC(=O)Nc1ccc(O)c(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1 ZINC001645982233 1172204208 /nfs/dbraw/zinc/20/42/08/1172204208.db2.gz ZZVKZKLYEFREIF-SSDOTTSWSA-N 0 2 320.305 0.278 20 0 DCADLN Cc1n[nH]c([C@@H]2CC[N@@H+]([C@@H](C)c3nc(-c4nc[nH]n4)no3)C2)n1 ZINC001646221787 1172293301 /nfs/dbraw/zinc/29/33/01/1172293301.db2.gz TWJMPRKFXJSKOG-IONNQARKSA-N 0 2 315.341 0.832 20 0 DCADLN Cc1n[nH]c([C@@H]2CC[N@H+]([C@@H](C)c3nc(-c4nc[nH]n4)no3)C2)n1 ZINC001646221787 1172293307 /nfs/dbraw/zinc/29/33/07/1172293307.db2.gz TWJMPRKFXJSKOG-IONNQARKSA-N 0 2 315.341 0.832 20 0 DCADLN C[C@H](NC(=O)[C@]1(C2CCCC2)CCNC1=O)c1nn(C)cc1O ZINC001646316746 1172334003 /nfs/dbraw/zinc/33/40/03/1172334003.db2.gz GLQMJWMXTAMEEU-QFYYESIMSA-N 0 2 320.393 0.999 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001646495660 1172404563 /nfs/dbraw/zinc/40/45/63/1172404563.db2.gz CHFNUTYUUUBGFE-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001646495660 1172404569 /nfs/dbraw/zinc/40/45/69/1172404569.db2.gz CHFNUTYUUUBGFE-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC001646719544 1172489251 /nfs/dbraw/zinc/48/92/51/1172489251.db2.gz ALKDXVGFGMSYSJ-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC001646719544 1172489255 /nfs/dbraw/zinc/48/92/55/1172489255.db2.gz ALKDXVGFGMSYSJ-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1nccn1C(F)F ZINC001647084111 1172663022 /nfs/dbraw/zinc/66/30/22/1172663022.db2.gz XJSRWQZDDFCMJU-UHFFFAOYSA-N 0 2 324.247 0.406 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ncc[nH]1 ZINC001647150413 1172703949 /nfs/dbraw/zinc/70/39/49/1172703949.db2.gz DRWTVUDLLXJVBR-SECBINFHSA-N 0 2 301.310 0.062 20 0 DCADLN CON1CCC(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001647334074 1172820732 /nfs/dbraw/zinc/82/07/32/1172820732.db2.gz SSFLXADIJIPYCH-NSHDSACASA-N 0 2 309.370 0.490 20 0 DCADLN Cc1n[nH]c(=O)c(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1C ZINC001649792211 1173227730 /nfs/dbraw/zinc/22/77/30/1173227730.db2.gz ITOHLXJLYBYRDB-SECBINFHSA-N 0 2 318.337 0.643 20 0 DCADLN CC(C)[C@H](CNC(=O)N(C)CC[NH+]1CCOCC1)C(=O)[O-] ZINC001649871901 1173275548 /nfs/dbraw/zinc/27/55/48/1173275548.db2.gz MJGLDGUSORCOCM-LBPRGKRZSA-N 0 2 301.387 0.317 20 0 DCADLN CN(CCNC(=O)c1cnn[nH]1)Cc1nnc(-c2ccco2)o1 ZINC001663217953 1174276171 /nfs/dbraw/zinc/27/61/71/1174276171.db2.gz AYQMCYJBNICXFS-UHFFFAOYSA-N 0 2 317.309 0.310 20 0 DCADLN C[N@H+](CCNC(=O)c1cncc2[nH]ccc21)Cc1n[nH]c(=O)[n-]1 ZINC001663222324 1174278377 /nfs/dbraw/zinc/27/83/77/1174278377.db2.gz NAFZEQYXAYMSGD-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN C[N@@H+](CCNC(=O)c1cncc2[nH]ccc21)Cc1n[nH]c(=O)[n-]1 ZINC001663222324 1174278382 /nfs/dbraw/zinc/27/83/82/1174278382.db2.gz NAFZEQYXAYMSGD-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN CCCCNC(=O)C[NH+]1CC[C@H](NC(=O)C[N@H+]2CC[C@H](C)C2)C1 ZINC001665487338 1174526799 /nfs/dbraw/zinc/52/67/99/1174526799.db2.gz MFSDLWSEMWKKQF-GJZGRUSLSA-N 0 2 324.469 0.435 20 0 DCADLN CCN(CC[NH2+]Cc1nccc(C)n1)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001668382103 1174790055 /nfs/dbraw/zinc/79/00/55/1174790055.db2.gz SYMFXNDFEZOCMT-AWEZNQCLSA-N 0 2 305.426 0.817 20 0 DCADLN CCn1[n-]nnc1=NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC001669989782 1174968797 /nfs/dbraw/zinc/96/87/97/1174968797.db2.gz UBMDRIWSUGVFSH-UHFFFAOYSA-N 0 2 314.353 0.435 20 0 DCADLN CC(C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)=C1CCC1 ZINC001675305307 1175344588 /nfs/dbraw/zinc/34/45/88/1175344588.db2.gz LQHZYIVGZJRFJR-JTQLQIEISA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NCCCn1cc[nH+]c1)N[C@H]1CCC[N@H+](CCCO)C1 ZINC001675419156 1175353290 /nfs/dbraw/zinc/35/32/90/1175353290.db2.gz CCHAMGOAGQBTJN-AWEZNQCLSA-N 0 2 309.414 0.419 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)NCCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001686700085 1176196495 /nfs/dbraw/zinc/19/64/95/1176196495.db2.gz SOAGRPFAHIJHCZ-CYBMUJFWSA-N 0 2 321.425 0.018 20 0 DCADLN CCOCCC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001688336986 1176456780 /nfs/dbraw/zinc/45/67/80/1176456780.db2.gz OHJBMDPHYIGSLQ-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCOCCC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001688336986 1176456782 /nfs/dbraw/zinc/45/67/82/1176456782.db2.gz OHJBMDPHYIGSLQ-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCn1cnc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1 ZINC001720130350 1178682182 /nfs/dbraw/zinc/68/21/82/1178682182.db2.gz QOIPHCMDZYEPNH-MRVPVSSYSA-N 0 2 310.251 0.649 20 0 DCADLN CCn1cnc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001720130350 1178682186 /nfs/dbraw/zinc/68/21/86/1178682186.db2.gz QOIPHCMDZYEPNH-MRVPVSSYSA-N 0 2 310.251 0.649 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cc2n(n1)CCO2 ZINC001703219386 1179401879 /nfs/dbraw/zinc/40/18/79/1179401879.db2.gz WGPBCFBMUDQPHX-QMMMGPOBSA-N 0 2 324.234 0.022 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cc2n(n1)CCO2 ZINC001703219386 1179401881 /nfs/dbraw/zinc/40/18/81/1179401881.db2.gz WGPBCFBMUDQPHX-QMMMGPOBSA-N 0 2 324.234 0.022 20 0 DCADLN CCCOCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001704527477 1180043341 /nfs/dbraw/zinc/04/33/41/1180043341.db2.gz QKEOAAUAWZNJGT-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CCCOCC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001704527477 1180043346 /nfs/dbraw/zinc/04/33/46/1180043346.db2.gz QKEOAAUAWZNJGT-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@@H](C(=O)NCCCNC(=O)Cc1[nH]c[nH+]c1C)[NH+](C)C ZINC001720315568 1183560322 /nfs/dbraw/zinc/56/03/22/1183560322.db2.gz OKTYWEYBMMIOMZ-ZDUSSCGKSA-N 0 2 309.414 0.223 20 0 DCADLN CCN(CCCNC(=O)CC(N)=O)C(=O)C(F)C(F)(F)F ZINC001720595189 1183665142 /nfs/dbraw/zinc/66/51/42/1183665142.db2.gz NEJFGTLAODPHJB-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN CCN(CCCNC(=O)CC(N)=O)C(=O)[C@@H](F)C(F)(F)F ZINC001720595189 1183665148 /nfs/dbraw/zinc/66/51/48/1183665148.db2.gz NEJFGTLAODPHJB-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN Cc1nonc1C[NH2+]CCCN(C)C(=O)CCc1nc[nH]n1 ZINC001733094726 1186135368 /nfs/dbraw/zinc/13/53/68/1186135368.db2.gz UYEDYAFCXWADPN-UHFFFAOYSA-N 0 2 307.358 0.067 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c(C)n1 ZINC001742433045 1187982035 /nfs/dbraw/zinc/98/20/35/1187982035.db2.gz WDTUEQOGOKRGSZ-SECBINFHSA-N 0 2 324.278 0.958 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c(C)n1 ZINC001742433045 1187982039 /nfs/dbraw/zinc/98/20/39/1187982039.db2.gz WDTUEQOGOKRGSZ-SECBINFHSA-N 0 2 324.278 0.958 20 0 DCADLN C[C@H](CNC(=O)c1cc2occc2[nH]1)NCc1nc(=O)n(C)[nH]1 ZINC001752876790 1188453919 /nfs/dbraw/zinc/45/39/19/1188453919.db2.gz GQUKRQNHAQHXTH-MRVPVSSYSA-N 0 2 318.337 0.091 20 0 DCADLN O=C(NCC1C[NH+](CCOC[C@@H]2CCCCO2)C1)c1ncn[nH]1 ZINC001753411924 1188586569 /nfs/dbraw/zinc/58/65/69/1188586569.db2.gz BTGQPEXFTWWJCI-ZDUSSCGKSA-N 0 2 323.397 0.052 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H]1CCCO1)C(F)C(F)(F)F ZINC001759645565 1190245150 /nfs/dbraw/zinc/24/51/50/1190245150.db2.gz JRIAYXJHQVJYAN-ABKJGRNXSA-N 0 2 312.263 0.854 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H]1CCCO1)[C@H](F)C(F)(F)F ZINC001759645565 1190245157 /nfs/dbraw/zinc/24/51/57/1190245157.db2.gz JRIAYXJHQVJYAN-ABKJGRNXSA-N 0 2 312.263 0.854 20 0 DCADLN COC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c(C)c1 ZINC001771623367 1190453651 /nfs/dbraw/zinc/45/36/51/1190453651.db2.gz JDKCAUUIMJWPMW-UHFFFAOYSA-N 0 2 305.294 0.927 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)c1ccccn1 ZINC001771921595 1190557517 /nfs/dbraw/zinc/55/75/17/1190557517.db2.gz QAZZLCPCMCGGSO-ZWNOBZJWSA-N 0 2 300.318 0.783 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C1(C(=O)[O-])CCOCC1 ZINC001772040759 1190597794 /nfs/dbraw/zinc/59/77/94/1190597794.db2.gz JCZLMKTXYNEFDL-RYUDHWBXSA-N 0 2 321.377 0.832 20 0 DCADLN C[C@@H]1Cc2cnccc2N1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001772451029 1190687133 /nfs/dbraw/zinc/68/71/33/1190687133.db2.gz PMBWBAPWHPTHLE-SECBINFHSA-N 0 2 310.317 0.444 20 0 DCADLN CN(C(=O)C(C)(C)F)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001042404702 751624521 /nfs/dbraw/zinc/62/45/21/751624521.db2.gz AORMEMHPXCPPHZ-UHFFFAOYSA-N 0 2 321.356 0.413 20 0 DCADLN CN(C(=O)C(F)=C1CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042610600 751779026 /nfs/dbraw/zinc/77/90/26/751779026.db2.gz ZPFKMJLVAYTMTL-UHFFFAOYSA-N 0 2 309.345 0.951 20 0 DCADLN CN(C(=O)c1ccoc1Cl)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042662413 751818379 /nfs/dbraw/zinc/81/83/79/751818379.db2.gz VHCPGMYUNDBZQG-UHFFFAOYSA-N 0 2 311.729 0.713 20 0 DCADLN CCc1occc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042674365 751827964 /nfs/dbraw/zinc/82/79/64/751827964.db2.gz FACJRAOMWHCXKI-UHFFFAOYSA-N 0 2 305.338 0.622 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107116585 751863481 /nfs/dbraw/zinc/86/34/81/751863481.db2.gz LDSMBTFKKDEQCU-KXMPLOMGSA-N 0 2 315.381 0.483 20 0 DCADLN C[N@H+]1CCC[C@@H]1C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107116585 751863492 /nfs/dbraw/zinc/86/34/92/751863492.db2.gz LDSMBTFKKDEQCU-KXMPLOMGSA-N 0 2 315.381 0.483 20 0 DCADLN CN(C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042751825 751886517 /nfs/dbraw/zinc/88/65/17/751886517.db2.gz VPYQNRRSQPPMCQ-NTZNESFSSA-N 0 2 317.393 0.755 20 0 DCADLN O=C(CCCF)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043374659 752201348 /nfs/dbraw/zinc/20/13/48/752201348.db2.gz HMGXAZHAVZWFDE-MRVPVSSYSA-N 0 2 318.242 0.326 20 0 DCADLN O=C(CCCF)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043374659 752201352 /nfs/dbraw/zinc/20/13/52/752201352.db2.gz HMGXAZHAVZWFDE-MRVPVSSYSA-N 0 2 318.242 0.326 20 0 DCADLN C[C@]1(CNC(=O)C2CCC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107677008 752203205 /nfs/dbraw/zinc/20/32/05/752203205.db2.gz HPPJFUXONVKPCZ-AWEZNQCLSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)[C@H](CNC(=O)c1nnc[n-]1)Nc1[nH+]cnc2nc[nH]c21 ZINC001105211822 748060962 /nfs/dbraw/zinc/06/09/62/748060962.db2.gz OHUWQSCTEVFTDD-QMMMGPOBSA-N 0 2 315.341 0.338 20 0 DCADLN COCC(=O)N1CC[C@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001059027437 748590837 /nfs/dbraw/zinc/59/08/37/748590837.db2.gz CFQCAMZINPLYGJ-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CC[C@H](CCNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001059027437 748590842 /nfs/dbraw/zinc/59/08/42/748590842.db2.gz CFQCAMZINPLYGJ-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cccnc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087487128 748841583 /nfs/dbraw/zinc/84/15/83/748841583.db2.gz OTLYHZWSJGZNCG-NWDGAFQWSA-N 0 2 316.365 0.688 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cccnc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087487128 748841591 /nfs/dbraw/zinc/84/15/91/748841591.db2.gz OTLYHZWSJGZNCG-NWDGAFQWSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@H](OC(=O)Nc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001143582581 748861934 /nfs/dbraw/zinc/86/19/34/748861934.db2.gz GIHCTROVFFDXMX-QMMMGPOBSA-N 0 2 305.294 0.764 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088515377 749539330 /nfs/dbraw/zinc/53/93/30/749539330.db2.gz OSIWVALPQMILFB-KOLCDFICSA-N 0 2 304.354 0.540 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088515377 749539336 /nfs/dbraw/zinc/53/93/36/749539336.db2.gz OSIWVALPQMILFB-KOLCDFICSA-N 0 2 304.354 0.540 20 0 DCADLN Cc1sc(C(=O)[O-])cc1S(=O)(=O)NCC[NH+]1CCC1 ZINC000697369603 749548216 /nfs/dbraw/zinc/54/82/16/749548216.db2.gz URPOORUHNYSDLK-UHFFFAOYSA-N 0 2 304.393 0.739 20 0 DCADLN Nc1cncc(S(=O)(=O)Nc2cnc(N3CCCC3)nc2)c1 ZINC001211928557 749676389 /nfs/dbraw/zinc/67/63/89/749676389.db2.gz CEPCBURUAZFZCC-UHFFFAOYSA-N 0 2 320.378 0.855 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCN1Cc1nnc(C2CC2)[nH]1 ZINC001088696232 749916884 /nfs/dbraw/zinc/91/68/84/749916884.db2.gz UIVGCEIUVGBPLK-SCZZXKLOSA-N 0 2 316.369 0.193 20 0 DCADLN Cc1ncc(C)c(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142817 750980609 /nfs/dbraw/zinc/98/06/09/750980609.db2.gz ZCAWQSWDAWWNGB-DTWKUNHWSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1ncc(C)c(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001106142817 750980614 /nfs/dbraw/zinc/98/06/14/750980614.db2.gz ZCAWQSWDAWWNGB-DTWKUNHWSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1c(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001043802555 752395243 /nfs/dbraw/zinc/39/52/43/752395243.db2.gz LLVVLAPSMHACIH-UHFFFAOYSA-N 0 2 304.354 0.114 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043918100 752469247 /nfs/dbraw/zinc/46/92/47/752469247.db2.gz OQAQOEMAIDCHRV-ZYHUDNBSSA-N 0 2 307.398 0.835 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC001044073498 752547831 /nfs/dbraw/zinc/54/78/31/752547831.db2.gz MEATWMSXMOEITF-UHFFFAOYSA-N 0 2 302.338 0.170 20 0 DCADLN CC(C)c1oncc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044131193 752584896 /nfs/dbraw/zinc/58/48/96/752584896.db2.gz FRJFECVFBSNXKL-UHFFFAOYSA-N 0 2 320.353 0.578 20 0 DCADLN O=C(CS[C@H]1CCS(=O)(=O)C1)NOC1CCOCC1 ZINC001116647440 752952676 /nfs/dbraw/zinc/95/26/76/752952676.db2.gz XMEHTRXMWQHXLN-JTQLQIEISA-N 0 2 309.409 0.134 20 0 DCADLN C[C@@H](CCNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001078037094 752999566 /nfs/dbraw/zinc/99/95/66/752999566.db2.gz GGWUHYOTXKQFBG-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CCNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001078037093 752999800 /nfs/dbraw/zinc/99/98/00/752999800.db2.gz GGWUHYOTXKQFBG-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@]1(NC(=O)c2cc[nH]c(=O)c2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046229752 753572229 /nfs/dbraw/zinc/57/22/29/753572229.db2.gz BDRIDUXVBBSQQE-CQSZACIVSA-N 0 2 318.337 0.005 20 0 DCADLN C[C@@]1(NC(=O)c2cc[nH]c(=O)c2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046229752 753572233 /nfs/dbraw/zinc/57/22/33/753572233.db2.gz BDRIDUXVBBSQQE-CQSZACIVSA-N 0 2 318.337 0.005 20 0 DCADLN Cc1cnccc1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300653 753629647 /nfs/dbraw/zinc/62/96/47/753629647.db2.gz KILCZHYYOYBXNC-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cnccc1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300653 753629652 /nfs/dbraw/zinc/62/96/52/753629652.db2.gz KILCZHYYOYBXNC-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2nccs2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071927179 762233743 /nfs/dbraw/zinc/23/37/43/762233743.db2.gz KBMSLHGEVSSSIO-BDAKNGLRSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CCC2(F)F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046409509 753700233 /nfs/dbraw/zinc/70/02/33/753700233.db2.gz VYXIDRCDACWVKP-UFBFGSQYSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CCC2(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046409509 753700236 /nfs/dbraw/zinc/70/02/36/753700236.db2.gz VYXIDRCDACWVKP-UFBFGSQYSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CCC2(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046409509 753700237 /nfs/dbraw/zinc/70/02/37/753700237.db2.gz VYXIDRCDACWVKP-UFBFGSQYSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001046426543 753709848 /nfs/dbraw/zinc/70/98/48/753709848.db2.gz WBFQLBDFVBSZJT-OAHLLOKOSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001046426543 753709850 /nfs/dbraw/zinc/70/98/50/753709850.db2.gz WBFQLBDFVBSZJT-OAHLLOKOSA-N 0 2 318.381 0.850 20 0 DCADLN CC1(CC(=O)NC[C@@]2(C)CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001108081378 754024134 /nfs/dbraw/zinc/02/41/34/754024134.db2.gz VVFCXAQRUXHVBP-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN CCC(=O)N1CCOC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001061639772 754173752 /nfs/dbraw/zinc/17/37/52/754173752.db2.gz WBOWUOXXNXEJRJ-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)N1CCOC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001061639772 754173750 /nfs/dbraw/zinc/17/37/50/754173750.db2.gz WBOWUOXXNXEJRJ-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN O=C(Cc1ccc[nH]1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096586052 755437793 /nfs/dbraw/zinc/43/77/93/755437793.db2.gz JGQDOQPGMBJYHL-WOPDTQHZSA-N 0 2 316.365 0.303 20 0 DCADLN O=C(Cc1ccc[nH]1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096586052 755437799 /nfs/dbraw/zinc/43/77/99/755437799.db2.gz JGQDOQPGMBJYHL-WOPDTQHZSA-N 0 2 316.365 0.303 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC=CC1 ZINC001096901463 755545466 /nfs/dbraw/zinc/54/54/66/755545466.db2.gz KGMKWMBYISSKDR-UTUOFQBUSA-N 0 2 303.366 0.698 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1CCCCO1 ZINC001096964661 755557227 /nfs/dbraw/zinc/55/72/27/755557227.db2.gz WYALGSUXCNFPLN-WISYIIOYSA-N 0 2 321.381 0.301 20 0 DCADLN Cc1occc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001079397199 755811421 /nfs/dbraw/zinc/81/14/21/755811421.db2.gz ZLFZZXBIDVJTPL-LDYMZIIASA-N 0 2 305.338 0.662 20 0 DCADLN C[C@@H]1CN(Cc2ncc(C3CC3)o2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC001080018818 756076053 /nfs/dbraw/zinc/07/60/53/756076053.db2.gz NEBDPRLXRSKBKT-BXKDBHETSA-N 0 2 316.365 0.920 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ncc(C3CC3)o2)C[C@H]1NC(=O)c1cnn[n-]1 ZINC001080018818 756076058 /nfs/dbraw/zinc/07/60/58/756076058.db2.gz NEBDPRLXRSKBKT-BXKDBHETSA-N 0 2 316.365 0.920 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2ncc(C3CC3)o2)C[C@H]1NC(=O)c1cnn[n-]1 ZINC001080018818 756076063 /nfs/dbraw/zinc/07/60/63/756076063.db2.gz NEBDPRLXRSKBKT-BXKDBHETSA-N 0 2 316.365 0.920 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccc[nH]1 ZINC001080978362 756403144 /nfs/dbraw/zinc/40/31/44/756403144.db2.gz YYLGEYHAFMQYDP-MWLCHTKSSA-N 0 2 304.354 0.018 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccc[nH]1 ZINC001080978362 756403145 /nfs/dbraw/zinc/40/31/45/756403145.db2.gz YYLGEYHAFMQYDP-MWLCHTKSSA-N 0 2 304.354 0.018 20 0 DCADLN O=C(C1=CCCC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084277401 757768402 /nfs/dbraw/zinc/76/84/02/757768402.db2.gz ITUOCQGTUZCMNP-VXGBXAGGSA-N 0 2 303.366 0.653 20 0 DCADLN CC(C)C1(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)CC1 ZINC001084645206 757945185 /nfs/dbraw/zinc/94/51/85/757945185.db2.gz JDNXDSZPVVWPGC-VXGBXAGGSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccncc1 ZINC001085455691 758901789 /nfs/dbraw/zinc/90/17/89/758901789.db2.gz QAKGGIXHJMWLCH-LLVKDONJSA-N 0 2 302.338 0.252 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cncs1 ZINC001085503997 758969196 /nfs/dbraw/zinc/96/91/96/758969196.db2.gz MCEZRSWRIHPEOL-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CCC1(C)C ZINC001085774625 759294279 /nfs/dbraw/zinc/29/42/79/759294279.db2.gz HIKZXIWNJBHFRR-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN CCc1ncoc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085808706 759336389 /nfs/dbraw/zinc/33/63/89/759336389.db2.gz LCTDPKDVBVUWFG-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN Cc1ncc(C)c(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122761384 767870875 /nfs/dbraw/zinc/87/08/75/767870875.db2.gz RRVGKTFPGATSTE-IUCAKERBSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1ncc(C)c(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001122761384 767870883 /nfs/dbraw/zinc/87/08/83/767870883.db2.gz RRVGKTFPGATSTE-IUCAKERBSA-N 0 2 324.278 0.883 20 0 DCADLN O=C(CCCF)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057833964 759576241 /nfs/dbraw/zinc/57/62/41/759576241.db2.gz YFHHEHKXJUYTNL-VIFPVBQESA-N 0 2 320.258 0.574 20 0 DCADLN O=C(CCCF)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057833964 759576245 /nfs/dbraw/zinc/57/62/45/759576245.db2.gz YFHHEHKXJUYTNL-VIFPVBQESA-N 0 2 320.258 0.574 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnc(-c2ccccc2)nc1 ZINC001168869647 760484696 /nfs/dbraw/zinc/48/46/96/760484696.db2.gz SUAWSTHDTJZJSI-UHFFFAOYSA-N 0 2 309.285 0.790 20 0 DCADLN O=C(NCC1(NC(=O)C(F)F)CCOCC1)c1[nH]ncc1F ZINC001069928389 760979189 /nfs/dbraw/zinc/97/91/89/760979189.db2.gz LLWMXYRJTATXBB-UHFFFAOYSA-N 0 2 320.271 0.209 20 0 DCADLN CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](Cn1cccn1)[NH+](C)C)C2 ZINC001109400549 761397971 /nfs/dbraw/zinc/39/79/71/761397971.db2.gz KICKHORPIXSXFS-QPSCCSFWSA-N 0 2 305.426 0.555 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109592631 761471654 /nfs/dbraw/zinc/47/16/54/761471654.db2.gz YFCLNPPIGSVSCA-RHYQMDGZSA-N 0 2 323.397 0.547 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C(C)(F)F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071299541 761768868 /nfs/dbraw/zinc/76/88/68/761768868.db2.gz LGCNSMVAJVJWOZ-YUMQZZPRSA-N 0 2 303.313 0.635 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cnccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071321713 761778335 /nfs/dbraw/zinc/77/83/35/761778335.db2.gz NFIXDKFFOUQPLY-ZJUUUORDSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cn[nH]c2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071361320 761795985 /nfs/dbraw/zinc/79/59/85/761795985.db2.gz OOTPWPFQWPTOHD-SCZZXKLOSA-N 0 2 305.342 0.016 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C2=COCCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071386729 761814664 /nfs/dbraw/zinc/81/46/64/761814664.db2.gz VNFDANIGROCGPV-PWSUYJOCSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C2=COCCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071386729 761814672 /nfs/dbraw/zinc/81/46/72/761814672.db2.gz VNFDANIGROCGPV-PWSUYJOCSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C2CC=CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071404827 761825270 /nfs/dbraw/zinc/82/52/70/761825270.db2.gz VEAHRWLUMBSDSY-CMPLNLGQSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C2CC=CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071404827 761825280 /nfs/dbraw/zinc/82/52/80/761825280.db2.gz VEAHRWLUMBSDSY-CMPLNLGQSA-N 0 2 305.382 0.946 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071410535 761827832 /nfs/dbraw/zinc/82/78/32/761827832.db2.gz CWSUKQAOALUQDO-GXSJLCMTSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071410535 761827843 /nfs/dbraw/zinc/82/78/43/761827843.db2.gz CWSUKQAOALUQDO-GXSJLCMTSA-N 0 2 318.381 0.930 20 0 DCADLN CC(C)=C(C)CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099949320 763155975 /nfs/dbraw/zinc/15/59/75/763155975.db2.gz PHUVAHRFMNBRFA-NEPJUHHUSA-N 0 2 323.397 0.308 20 0 DCADLN C[C@H]([NH2+]CCNC(=O)c1ccn2c[nH+]cc2c1)c1nncn1C ZINC001130874974 763548602 /nfs/dbraw/zinc/54/86/02/763548602.db2.gz XNTGRZRUYWSCCC-NSHDSACASA-N 0 2 313.365 0.543 20 0 DCADLN CCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C[NH+]2CCCC2)C1 ZINC001111984902 765444821 /nfs/dbraw/zinc/44/48/21/765444821.db2.gz UCKBPGKTDXOOEG-YOEHRIQHSA-N 0 2 322.453 0.189 20 0 DCADLN Cc1cc(NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F)ncn1 ZINC001124808509 768307118 /nfs/dbraw/zinc/30/71/18/768307118.db2.gz DMPGMDLVNZRSBE-WCBMZHEXSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1cc(NC[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001124808509 768307126 /nfs/dbraw/zinc/30/71/26/768307126.db2.gz DMPGMDLVNZRSBE-WCBMZHEXSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1nc(N(C)C)sc1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001116503918 765877778 /nfs/dbraw/zinc/87/77/78/765877778.db2.gz QROOTHXSFIFVNR-UHFFFAOYSA-N 0 2 310.383 0.704 20 0 DCADLN Cc1nccc(N2C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001090545340 766306554 /nfs/dbraw/zinc/30/65/54/766306554.db2.gz CODFDPLUZPTCLD-MRTMQBJTSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1nccc(N2C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001090545340 766306563 /nfs/dbraw/zinc/30/65/63/766306563.db2.gz CODFDPLUZPTCLD-MRTMQBJTSA-N 0 2 322.262 0.351 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NCCCCC(=O)[O-] ZINC001121841971 767647494 /nfs/dbraw/zinc/64/74/94/767647494.db2.gz ZVGQARABGJLWGT-NEPJUHHUSA-N 0 2 301.387 0.650 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NCCCCC(=O)[O-] ZINC001121841971 767647498 /nfs/dbraw/zinc/64/74/98/767647498.db2.gz ZVGQARABGJLWGT-NEPJUHHUSA-N 0 2 301.387 0.650 20 0 DCADLN O=C(Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12)c1c[nH]cn1 ZINC001147252633 767684551 /nfs/dbraw/zinc/68/45/51/767684551.db2.gz KNKPNNZRLSGQPX-UHFFFAOYSA-N 0 2 323.272 0.949 20 0 DCADLN O=C(Cc1c[nH+]cn1Cc1ccccc1)NCc1nc(=O)o[n-]1 ZINC001137740822 768389912 /nfs/dbraw/zinc/38/99/12/768389912.db2.gz RNBXEZJLGMIKLQ-UHFFFAOYSA-N 0 2 313.317 0.467 20 0 DCADLN C[C@H](C(=O)NCCc1n[nH]c(=S)o1)C(=O)OC(C)(C)C ZINC001138525196 768423134 /nfs/dbraw/zinc/42/31/34/768423134.db2.gz MVVJLLKWVCGJAO-SSDOTTSWSA-N 0 2 301.368 0.995 20 0 DCADLN CS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(OCC(=O)[O-])cc2)C1 ZINC001138925826 768446675 /nfs/dbraw/zinc/44/66/75/768446675.db2.gz YSSZZFFYRZYMPO-ZDUSSCGKSA-N 0 2 313.375 0.769 20 0 DCADLN CS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(OCC(=O)[O-])cc2)C1 ZINC001138925826 768446680 /nfs/dbraw/zinc/44/66/80/768446680.db2.gz YSSZZFFYRZYMPO-ZDUSSCGKSA-N 0 2 313.375 0.769 20 0 DCADLN COc1cccc(C[N@H+](C)CC(=O)N(C)C)c1OCC(=O)[O-] ZINC001139267522 768464569 /nfs/dbraw/zinc/46/45/69/768464569.db2.gz BEHXHXAMCACDQT-UHFFFAOYSA-N 0 2 310.350 0.679 20 0 DCADLN COc1cccc(C[N@@H+](C)CC(=O)N(C)C)c1OCC(=O)[O-] ZINC001139267522 768464575 /nfs/dbraw/zinc/46/45/75/768464575.db2.gz BEHXHXAMCACDQT-UHFFFAOYSA-N 0 2 310.350 0.679 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cc3c[nH]ccc-3n2)C1=O ZINC001139682628 768485473 /nfs/dbraw/zinc/48/54/73/768485473.db2.gz GONJCUKRMGBRGL-CQSZACIVSA-N 0 2 301.306 0.928 20 0 DCADLN CC(C)[NH2+]Cc1cn(C2CN(C(=O)Cc3c[nH+]c[nH]3)C2)nn1 ZINC001093337773 768551700 /nfs/dbraw/zinc/55/17/00/768551700.db2.gz DUNHQSHIQACNLM-UHFFFAOYSA-N 0 2 303.370 0.125 20 0 DCADLN CCC(=O)N1CCC(OC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001093493400 768575934 /nfs/dbraw/zinc/57/59/34/768575934.db2.gz MYXSCLWITCWJBY-UHFFFAOYSA-N 0 2 309.370 0.112 20 0 DCADLN O=C(CCC1CC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149440537 768751799 /nfs/dbraw/zinc/75/17/99/768751799.db2.gz VYJRTIHUQTTYNE-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCOCC1CC1 ZINC001234275120 769611686 /nfs/dbraw/zinc/61/16/86/769611686.db2.gz BKGDSVXWTDALGL-LBPRGKRZSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cnn(C)n1)C(=O)C(F)C(F)(F)F ZINC001234426314 769652763 /nfs/dbraw/zinc/65/27/63/769652763.db2.gz TWGGGHFKXPRKJL-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cnn(C)n1)C(=O)[C@H](F)C(F)(F)F ZINC001234426314 769652770 /nfs/dbraw/zinc/65/27/70/769652770.db2.gz TWGGGHFKXPRKJL-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN C[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001095280210 769735978 /nfs/dbraw/zinc/73/59/78/769735978.db2.gz RHTWIEWEIMFFKB-IELRGYKMSA-N 0 2 305.382 0.778 20 0 DCADLN CC(C)CNC(=O)[C@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153356688 769796221 /nfs/dbraw/zinc/79/62/21/769796221.db2.gz YKQHNQCVAKZFGP-AWEZNQCLSA-N 0 2 323.441 0.530 20 0 DCADLN Cc1ccc2oc(C(=O)NCc3n[nH]c(=O)[nH]3)cc(=O)c2c1 ZINC001153658280 769878337 /nfs/dbraw/zinc/87/83/37/769878337.db2.gz XYJLTEDYNYIQLH-UHFFFAOYSA-N 0 2 300.274 0.855 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1[C@H]2COC[C@@H]1CC(=O)C2 ZINC001153855707 769965540 /nfs/dbraw/zinc/96/55/40/769965540.db2.gz JMXZLGXFCCVAHM-AOOOYVTPSA-N 0 2 313.313 0.496 20 0 DCADLN Cc1nc(CCN(C)C(=O)c2c[nH]c3cccnc3c2=O)no1 ZINC001153856914 769966240 /nfs/dbraw/zinc/96/62/40/769966240.db2.gz MYYVJIAJQYUDCF-UHFFFAOYSA-N 0 2 313.317 0.929 20 0 DCADLN CCN(Cc1nc(C(C)C)no1)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001154134446 770083532 /nfs/dbraw/zinc/08/35/32/770083532.db2.gz FPSCVFIEXUSVMX-SNVBAGLBSA-N 0 2 321.385 0.952 20 0 DCADLN O=C([O-])CCC(=O)CNC(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC001176973351 770264815 /nfs/dbraw/zinc/26/48/15/770264815.db2.gz HZBFLONRACINCR-GFCCVEGCSA-N 0 2 319.361 0.848 20 0 DCADLN NC(=O)c1ccc(CC(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC001154900471 770332058 /nfs/dbraw/zinc/33/20/58/770332058.db2.gz CNEVHVIAKVPHPF-UHFFFAOYSA-N 0 2 306.347 0.359 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)C1=CS(=O)(=O)CCC1 ZINC001154907489 770335454 /nfs/dbraw/zinc/33/54/54/770335454.db2.gz RHQBRTUIKGKSCB-UHFFFAOYSA-N 0 2 303.365 0.110 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)[C@@H]1CCc2nc[nH]c2C1 ZINC001177184247 770378414 /nfs/dbraw/zinc/37/84/14/770378414.db2.gz JGKULWGODVANKL-RXMQYKEDSA-N 0 2 315.293 0.182 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)[C@@H]1CCc2[nH]cnc2C1 ZINC001177184247 770378423 /nfs/dbraw/zinc/37/84/23/770378423.db2.gz JGKULWGODVANKL-RXMQYKEDSA-N 0 2 315.293 0.182 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc2cc3c(cc2[nH]1)OCO3 ZINC001156323995 770784686 /nfs/dbraw/zinc/78/46/86/770784686.db2.gz YQOAPKSGHBTURH-UHFFFAOYSA-N 0 2 301.262 0.650 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccn2c1nnc2C1CC1 ZINC001156368912 770797024 /nfs/dbraw/zinc/79/70/24/770797024.db2.gz ZIHBLNLNHTZKGF-GFCCVEGCSA-N 0 2 317.330 0.646 20 0 DCADLN CC[N@H+](CC(=O)NC1CC1)[C@H](C)CNC(=O)Cn1cc[nH+]c1C ZINC001156942230 770972140 /nfs/dbraw/zinc/97/21/40/770972140.db2.gz GMVXKDYGWVHSFY-GFCCVEGCSA-N 0 2 321.425 0.297 20 0 DCADLN CC[N@H+](CC(=O)NC(C)C)[C@H](C)CNC(=O)Cn1cc[nH+]c1C ZINC001156942452 770972497 /nfs/dbraw/zinc/97/24/97/770972497.db2.gz SGHNTQHNGMDBLX-CYBMUJFWSA-N 0 2 323.441 0.543 20 0 DCADLN C[C@]1(C(=O)NCc2n[nH]c(=O)[nH]2)CC(c2ccc(F)cc2)=NO1 ZINC001180457681 771123146 /nfs/dbraw/zinc/12/31/46/771123146.db2.gz GRLWFKWZEKSRJD-CQSZACIVSA-N 0 2 319.296 0.849 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H]1CCC(=O)N1c1ccccc1 ZINC001181949536 771375554 /nfs/dbraw/zinc/37/55/54/771375554.db2.gz KBZXTFZTHFIOHR-RNCFNFMXSA-N 0 2 319.342 0.607 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110348284 771770574 /nfs/dbraw/zinc/77/05/74/771770574.db2.gz YOIAJRIHGOXZST-WISYIIOYSA-N 0 2 323.397 0.547 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N1CCCC[C@@H]1C[N@@H+](C)[C@H](C)C(N)=O ZINC001158872168 771830167 /nfs/dbraw/zinc/83/01/67/771830167.db2.gz FJSCCYWEGMYJDH-TZMCWYRMSA-N 0 2 321.425 0.378 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2onc(C)c2C(N)=O)n1 ZINC001185161744 771870544 /nfs/dbraw/zinc/87/05/44/771870544.db2.gz SVUPREMAOLJQRH-UHFFFAOYSA-N 0 2 309.307 0.552 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2[nH]c(=O)[nH]c(=O)c2N=O)n1 ZINC001185166311 771871897 /nfs/dbraw/zinc/87/18/97/771871897.db2.gz HOOISJQUFUPTLX-UHFFFAOYSA-N 0 2 324.278 0.756 20 0 DCADLN COC(=O)c1ncnc(NCCCc2n[nH]c(=O)[nH]2)c1OC ZINC001185497760 771922822 /nfs/dbraw/zinc/92/28/22/771922822.db2.gz KIYZFCHCCNZKSW-UHFFFAOYSA-N 0 2 308.298 0.140 20 0 DCADLN COc1cc(C(=O)Nc2noc3nccnc23)nc(OC)n1 ZINC001189084052 772418335 /nfs/dbraw/zinc/41/83/35/772418335.db2.gz YNGUKSMFQTUCKR-UHFFFAOYSA-N 0 2 302.250 0.677 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cnc(OC)nc2)cc1 ZINC001191578581 772785484 /nfs/dbraw/zinc/78/54/84/772785484.db2.gz GWJXNSWWZXPNES-UHFFFAOYSA-N 0 2 322.346 0.646 20 0 DCADLN O=C([N-]C1CCN(c2ncnc3c2C[NH2+]C3)CC1)C(F)(F)F ZINC001166896498 772874354 /nfs/dbraw/zinc/87/43/54/772874354.db2.gz KHEQEHLYXLTHHF-UHFFFAOYSA-N 0 2 315.299 0.727 20 0 DCADLN O=C(NC1CCN(c2ncnc3c2CNC3)CC1)C(F)(F)F ZINC001166896498 772874356 /nfs/dbraw/zinc/87/43/56/772874356.db2.gz KHEQEHLYXLTHHF-UHFFFAOYSA-N 0 2 315.299 0.727 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1nc(Cc2ccccc2)no1 ZINC001192277570 772898241 /nfs/dbraw/zinc/89/82/41/772898241.db2.gz WTBPSGKWHYIVDC-LBPRGKRZSA-N 0 2 318.314 0.699 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccon2)C[C@H]1O)C(F)C(F)(F)F ZINC001193124083 773010535 /nfs/dbraw/zinc/01/05/35/773010535.db2.gz YBMLVEPUYPGMPQ-IWSPIJDZSA-N 0 2 311.235 0.236 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccon2)C[C@H]1O)[C@@H](F)C(F)(F)F ZINC001193124083 773010537 /nfs/dbraw/zinc/01/05/37/773010537.db2.gz YBMLVEPUYPGMPQ-IWSPIJDZSA-N 0 2 311.235 0.236 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O)[NH+](C)C ZINC001193961170 773132608 /nfs/dbraw/zinc/13/26/08/773132608.db2.gz LHJSGFLMCVIQDB-RCAPSXEPSA-N 0 2 303.834 0.486 20 0 DCADLN C[N@H+](CCOCC1CC1)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001194457885 773189295 /nfs/dbraw/zinc/18/92/95/773189295.db2.gz COWUQPOFFUQESC-OAHLLOKOSA-N 0 2 306.410 0.842 20 0 DCADLN O=C(CCC(F)(F)F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195434580 773411899 /nfs/dbraw/zinc/41/18/99/773411899.db2.gz NXVCXFIRKLKFNQ-UHFFFAOYSA-N 0 2 321.303 0.887 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3nncs3)C[C@H]21)C(F)C(F)(F)F ZINC001114308891 773457960 /nfs/dbraw/zinc/45/79/60/773457960.db2.gz NJHZUGYBSSTESU-LWIVVEGESA-N 0 2 324.303 0.985 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3nncs3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001114308891 773457963 /nfs/dbraw/zinc/45/79/63/773457963.db2.gz NJHZUGYBSSTESU-LWIVVEGESA-N 0 2 324.303 0.985 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccn3cnnc3c2)cn1 ZINC001195695524 773464080 /nfs/dbraw/zinc/46/40/80/773464080.db2.gz VDUVDKWSMAWEQR-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN CCOCC1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CC1 ZINC001196325747 773578627 /nfs/dbraw/zinc/57/86/27/773578627.db2.gz ZOQLPJCYMMEXBS-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN C[NH+]1CCN(C(=O)c2sccc2[N-]S(C)(=O)=O)CC1 ZINC001196721571 773637825 /nfs/dbraw/zinc/63/78/25/773637825.db2.gz OFZGCLYHMDCEAQ-UHFFFAOYSA-N 0 2 303.409 0.507 20 0 DCADLN COC(=O)C(NC(=S)Nc1ccc(F)cc1)C(=O)OC ZINC001196955695 773674981 /nfs/dbraw/zinc/67/49/81/773674981.db2.gz FKQOPKQBMAUIDW-UHFFFAOYSA-N 0 2 300.311 0.827 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccncn2)ccc1O ZINC001197204298 773714403 /nfs/dbraw/zinc/71/44/03/773714403.db2.gz KMCYXESPQWAJGP-UHFFFAOYSA-N 0 2 309.303 0.770 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CCCC1CC1 ZINC001213314864 773832919 /nfs/dbraw/zinc/83/29/19/773832919.db2.gz OBUMQDMUQVJAKD-VXGBXAGGSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H]1C[N@@H+](CC=C(C)C)C[C@H]1NC(=O)CCc1cnn[nH]1 ZINC001213466042 773850649 /nfs/dbraw/zinc/85/06/49/773850649.db2.gz LBFNNANACDJMSS-ZIAGYGMSSA-N 0 2 307.398 0.519 20 0 DCADLN COc1ccc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c(OC)c1 ZINC001213927799 773902055 /nfs/dbraw/zinc/90/20/55/773902055.db2.gz BVSWJFHIRSMLPA-UHFFFAOYSA-N 0 2 316.273 0.797 20 0 DCADLN Cn1ncc2cc(-c3noc(-c4c[nH]c(=O)c(=O)[nH]4)n3)ccc21 ZINC001213927977 773902187 /nfs/dbraw/zinc/90/21/87/773902187.db2.gz LXRVJTBRSWVYTQ-UHFFFAOYSA-N 0 2 310.273 0.667 20 0 DCADLN C=CS(=O)(=O)Nc1ncc(Br)nc1C(=O)OC ZINC001198567650 773941539 /nfs/dbraw/zinc/94/15/39/773941539.db2.gz SXWRIJRLSASZRN-UHFFFAOYSA-N 0 2 322.140 0.911 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cncn1C1CCOCC1 ZINC001199078663 774026122 /nfs/dbraw/zinc/02/61/22/774026122.db2.gz PNNCQJCXJLVXQV-LLVKDONJSA-N 0 2 310.335 0.274 20 0 DCADLN CN1c2ccc(NC(=O)[C@H]([NH3+])Cc3c[nH+]c[nH]3)cc2CNC1=O ZINC001219334999 774452957 /nfs/dbraw/zinc/45/29/57/774452957.db2.gz RLVRESQWNNRWAP-GFCCVEGCSA-N 0 2 314.349 0.578 20 0 DCADLN CC(=O)OC[C@H]([NH3+])C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001219550132 774532773 /nfs/dbraw/zinc/53/27/73/774532773.db2.gz PABCNTXKDBBKPZ-LBPRGKRZSA-N 0 2 304.306 0.407 20 0 DCADLN COC[C@@H](C)CC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221908086 775226628 /nfs/dbraw/zinc/22/66/28/775226628.db2.gz SXQDNKKSTRLWNU-QJPTWQEYSA-N 0 2 323.397 0.216 20 0 DCADLN CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[NH+]1CCC[C@H]1C)C2 ZINC001111022780 775403247 /nfs/dbraw/zinc/40/32/47/775403247.db2.gz XFAJPZNIISCOOO-TUVASFSCSA-N 0 2 322.453 0.328 20 0 DCADLN CNC(=O)C[NH+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[N@@H+](C)CC(C)(C)C)C2 ZINC001111028154 775413306 /nfs/dbraw/zinc/41/33/06/775413306.db2.gz KOBQJYGNZINVNL-MCIONIFRSA-N 0 2 324.469 0.432 20 0 DCADLN CC[C@@H](C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1)[NH+](C)C ZINC001224583163 775585694 /nfs/dbraw/zinc/58/56/94/775585694.db2.gz KXKVWKFAQOVIIB-AWEZNQCLSA-N 0 2 322.457 0.484 20 0 DCADLN CC1(C)C[C@@H](CO[C@@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])O1 ZINC001224639892 775591593 /nfs/dbraw/zinc/59/15/93/775591593.db2.gz KMPYFHQVAIWBMM-RYUDHWBXSA-N 0 2 323.411 0.159 20 0 DCADLN CC1(C)OC[C@H](COc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)O1 ZINC001226887971 775891128 /nfs/dbraw/zinc/89/11/28/775891128.db2.gz LIGWTNFMAOLAJM-YFKPBYRVSA-N 0 2 310.266 0.067 20 0 DCADLN C[C@@H](Oc1[nH]c(=O)nc2c(=O)[nH]c(=O)[nH]c21)C1CCOCC1 ZINC001226887499 775891643 /nfs/dbraw/zinc/89/16/43/775891643.db2.gz HJRHFWMPIVLIAY-ZCFIWIBFSA-N 0 2 308.294 0.731 20 0 DCADLN O=c1nc2nc[nH]c2c(OC2CCN(c3ncccn3)CC2)[nH]1 ZINC001227064200 775921255 /nfs/dbraw/zinc/92/12/55/775921255.db2.gz LAQGIPWGNKZEHG-UHFFFAOYSA-N 0 2 313.321 0.896 20 0 DCADLN Cn1nnnc1CN1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001227473782 775976531 /nfs/dbraw/zinc/97/65/31/775976531.db2.gz COULSXXAKKSHRL-VIFPVBQESA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CCC(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001227473782 775976541 /nfs/dbraw/zinc/97/65/41/775976541.db2.gz COULSXXAKKSHRL-VIFPVBQESA-N 0 2 324.282 0.191 20 0 DCADLN O=c1nc(O[C@H]2COCC[N@H+](Cc3ccccc3)C2)[n-]c(=O)[nH]1 ZINC001227570451 775988109 /nfs/dbraw/zinc/98/81/09/775988109.db2.gz KYSJLKVCBGPUPP-GFCCVEGCSA-N 0 2 318.333 0.563 20 0 DCADLN O=c1nc(O[C@H]2COCC[N@@H+](Cc3ccccc3)C2)[n-]c(=O)[nH]1 ZINC001227570451 775988110 /nfs/dbraw/zinc/98/81/10/775988110.db2.gz KYSJLKVCBGPUPP-GFCCVEGCSA-N 0 2 318.333 0.563 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(NC(=O)C[N@H+](C)C2CCC2)CC1 ZINC001227826204 776012468 /nfs/dbraw/zinc/01/24/68/776012468.db2.gz YHJJPZRIQCMDTE-UHFFFAOYSA-N 0 2 319.453 0.985 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C=Cc1ccco1 ZINC001230639414 776365014 /nfs/dbraw/zinc/36/50/14/776365014.db2.gz VQBULNLXIIOYCV-ZWNMCFTASA-N 0 2 317.349 0.849 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)c1ccco1 ZINC001041217331 777130568 /nfs/dbraw/zinc/13/05/68/777130568.db2.gz GKVYQGPJANFZPX-MRVPVSSYSA-N 0 2 324.230 0.483 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccco1 ZINC001041217331 777130576 /nfs/dbraw/zinc/13/05/76/777130576.db2.gz GKVYQGPJANFZPX-MRVPVSSYSA-N 0 2 324.230 0.483 20 0 DCADLN CC[C@H](C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041560613 777360835 /nfs/dbraw/zinc/36/08/35/777360835.db2.gz USENXOWQIWGECW-YUMQZZPRSA-N 0 2 314.279 0.622 20 0 DCADLN CC[C@H](C)C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001041560613 777360838 /nfs/dbraw/zinc/36/08/38/777360838.db2.gz USENXOWQIWGECW-YUMQZZPRSA-N 0 2 314.279 0.622 20 0 DCADLN CN(Cc1c[nH+]cn1C)S(=O)(=O)[C@@H]1CCC[C@H]1C(=O)[O-] ZINC001465105321 804067638 /nfs/dbraw/zinc/06/76/38/804067638.db2.gz AGKHMGDCMKZJDK-GHMZBOCLSA-N 0 2 301.368 0.435 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC001601643843 1168800092 /nfs/dbraw/zinc/80/00/92/1168800092.db2.gz FGDBVYZOEOSQLE-NWDGAFQWSA-N 0 2 302.346 0.559 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC001601643843 1168800102 /nfs/dbraw/zinc/80/01/02/1168800102.db2.gz FGDBVYZOEOSQLE-NWDGAFQWSA-N 0 2 302.346 0.559 20 0 DCADLN O=C([O-])[C@H]1CC12CCN(S(=O)(=O)CCn1cc[nH+]c1)CC2 ZINC001601774955 1168872179 /nfs/dbraw/zinc/87/21/79/1168872179.db2.gz VCEBNOMUVQZPOV-LLVKDONJSA-N 0 2 313.379 0.400 20 0 DCADLN C[C@@H](c1nnnn1C)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001480867196 939054454 /nfs/dbraw/zinc/05/44/54/939054454.db2.gz ZWSVQCDFHJRDCL-NKWVEPMBSA-N 0 2 312.271 0.220 20 0 DCADLN C[C@@H](c1nnnn1C)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001480867196 939054460 /nfs/dbraw/zinc/05/44/60/939054460.db2.gz ZWSVQCDFHJRDCL-NKWVEPMBSA-N 0 2 312.271 0.220 20 0 DCADLN C[N@H+](CCNC(=O)c1ncoc1C(C)(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001480933693 939427969 /nfs/dbraw/zinc/42/79/69/939427969.db2.gz NFCWSGLEPOSBER-UHFFFAOYSA-N 0 2 322.369 0.658 20 0 DCADLN C[N@@H+](CCNC(=O)c1ncoc1C(C)(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001480933693 939427971 /nfs/dbraw/zinc/42/79/71/939427971.db2.gz NFCWSGLEPOSBER-UHFFFAOYSA-N 0 2 322.369 0.658 20 0 DCADLN Cc1ncc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001481561540 939938479 /nfs/dbraw/zinc/93/84/79/939938479.db2.gz PXOXSXLVAVCXAE-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN Cc1ncc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001481561540 939938482 /nfs/dbraw/zinc/93/84/82/939938482.db2.gz PXOXSXLVAVCXAE-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN C[C@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001481595133 939962209 /nfs/dbraw/zinc/96/22/09/939962209.db2.gz HWSHKXMTCITBHR-SNVBAGLBSA-N 0 2 304.354 0.264 20 0 DCADLN Cn1nncc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001481626715 939978965 /nfs/dbraw/zinc/97/89/65/939978965.db2.gz SVLFCEUSKFQQNE-SECBINFHSA-N 0 2 309.267 0.264 20 0 DCADLN Cn1nncc1CN1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001481626715 939978968 /nfs/dbraw/zinc/97/89/68/939978968.db2.gz SVLFCEUSKFQQNE-SECBINFHSA-N 0 2 309.267 0.264 20 0 DCADLN CCCCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001481700796 940051330 /nfs/dbraw/zinc/05/13/30/940051330.db2.gz UZCPXXXHVFEEGL-LLVKDONJSA-N 0 2 323.397 0.144 20 0 DCADLN CCCCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001481700796 940051332 /nfs/dbraw/zinc/05/13/32/940051332.db2.gz UZCPXXXHVFEEGL-LLVKDONJSA-N 0 2 323.397 0.144 20 0 DCADLN CCN(C(=O)CC(C)(C)O)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481764278 940126929 /nfs/dbraw/zinc/12/69/29/940126929.db2.gz KJLCIFDZESEQLM-JTQLQIEISA-N 0 2 311.386 0.094 20 0 DCADLN CCN(C(=O)CC(C)(C)O)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481764278 940126931 /nfs/dbraw/zinc/12/69/31/940126931.db2.gz KJLCIFDZESEQLM-JTQLQIEISA-N 0 2 311.386 0.094 20 0 DCADLN C[C@H]([NH2+]Cc1ccc(CNC(=O)Cn2cc[nH+]c2)cc1)C(N)=O ZINC001482035428 940218471 /nfs/dbraw/zinc/21/84/71/940218471.db2.gz AIGBWGMGWQOTFV-LBPRGKRZSA-N 0 2 315.377 0.163 20 0 DCADLN COCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001268929487 940410519 /nfs/dbraw/zinc/41/05/19/940410519.db2.gz BLEZPYXJBHXVOX-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN C[C@H](CNC(=O)c1cnn[nH]1)N(C)[C@@H](C)c1nc(C2CC2)no1 ZINC001409560539 942055625 /nfs/dbraw/zinc/05/56/25/942055625.db2.gz AYRPZMIMBGLGMK-BDAKNGLRSA-N 0 2 319.369 0.876 20 0 DCADLN Cc1c(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001409621839 942092953 /nfs/dbraw/zinc/09/29/53/942092953.db2.gz AWRBRGRJOMAKMP-VIFPVBQESA-N 0 2 306.370 0.408 20 0 DCADLN Cc1c(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001409621839 942092959 /nfs/dbraw/zinc/09/29/59/942092959.db2.gz AWRBRGRJOMAKMP-VIFPVBQESA-N 0 2 306.370 0.408 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](C)c1cccnc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409648232 942118215 /nfs/dbraw/zinc/11/82/15/942118215.db2.gz PZZMMZFPAFGULF-QWRGUYRKSA-N 0 2 318.381 0.646 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](C)c1cccnc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409648232 942118216 /nfs/dbraw/zinc/11/82/16/942118216.db2.gz PZZMMZFPAFGULF-QWRGUYRKSA-N 0 2 318.381 0.646 20 0 DCADLN C[C@@H](CN(C)CCS(C)(=O)=O)NC(=O)C(F)C(F)(F)F ZINC001483340014 942283201 /nfs/dbraw/zinc/28/32/01/942283201.db2.gz NSSCMCZQAMQQED-JGVFFNPUSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@@H](CN(C)CCS(C)(=O)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001483340014 942283205 /nfs/dbraw/zinc/28/32/05/942283205.db2.gz NSSCMCZQAMQQED-JGVFFNPUSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)no1 ZINC001483391799 942325857 /nfs/dbraw/zinc/32/58/57/942325857.db2.gz XYKIDCBAODYWJL-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)no1 ZINC001483391799 942325863 /nfs/dbraw/zinc/32/58/63/942325863.db2.gz XYKIDCBAODYWJL-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cc3n(n2)CCCC3)S1 ZINC001413205993 942558482 /nfs/dbraw/zinc/55/84/82/942558482.db2.gz ZGWINWLTIHGNIK-SNVBAGLBSA-N 0 2 307.379 0.392 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1OC ZINC000322809657 970931047 /nfs/dbraw/zinc/93/10/47/970931047.db2.gz YDRWTHJBFRRWOW-LLVKDONJSA-N 0 2 319.317 0.853 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1OC ZINC000322809657 970931051 /nfs/dbraw/zinc/93/10/51/970931051.db2.gz YDRWTHJBFRRWOW-LLVKDONJSA-N 0 2 319.317 0.853 20 0 DCADLN CS(=O)(=O)c1ccccc1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001413323659 942890308 /nfs/dbraw/zinc/89/03/08/942890308.db2.gz CFFSPQHUTSSPOF-UHFFFAOYSA-N 0 2 323.330 0.221 20 0 DCADLN CS(=O)(=O)c1ccccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001413323659 942890310 /nfs/dbraw/zinc/89/03/10/942890310.db2.gz CFFSPQHUTSSPOF-UHFFFAOYSA-N 0 2 323.330 0.221 20 0 DCADLN COC[C@H](O)CCNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001413326890 942891328 /nfs/dbraw/zinc/89/13/28/942891328.db2.gz PVJSOTBKROWNLO-MRVPVSSYSA-N 0 2 324.786 0.881 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H]1COCCO1 ZINC001413408117 942956750 /nfs/dbraw/zinc/95/67/50/942956750.db2.gz OHVMILYIXBFRDM-NEPJUHHUSA-N 0 2 318.333 0.326 20 0 DCADLN CC(=O)Nc1cc(S(=O)(=O)Nc2nnn(C)n2)ccc1C ZINC001413426306 942970108 /nfs/dbraw/zinc/97/01/08/942970108.db2.gz GVNHQCQPBRYLNO-UHFFFAOYSA-N 0 2 310.339 0.278 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N[C@H](Cn1cc[nH+]c1)C(C)(C)C ZINC001413564438 943080321 /nfs/dbraw/zinc/08/03/21/943080321.db2.gz DWHRKXVGTWDYNL-SNVBAGLBSA-N 0 2 306.374 0.548 20 0 DCADLN O=C(CCCC1CC1)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001484599011 943468134 /nfs/dbraw/zinc/46/81/34/943468134.db2.gz DMVYURYAEXUSPM-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CCCC1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCC1 ZINC001413669204 943559741 /nfs/dbraw/zinc/55/97/41/943559741.db2.gz BHCLYQJNQCVLKX-UHFFFAOYSA-N 0 2 314.411 0.936 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC001611369732 971021099 /nfs/dbraw/zinc/02/10/99/971021099.db2.gz XZHOSHBZKHMZQZ-MNOVXSKESA-N 0 2 320.349 0.049 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)CC(C)(C)C[NH2+]Cc1cnon1 ZINC001485264909 943777438 /nfs/dbraw/zinc/77/74/38/943777438.db2.gz MDOJYMJVCHKPCD-UHFFFAOYSA-N 0 2 320.397 0.849 20 0 DCADLN CCNC(=O)CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491179553 943808191 /nfs/dbraw/zinc/80/81/91/943808191.db2.gz ZRTVQNFUJHYTEG-SECBINFHSA-N 0 2 315.267 0.036 20 0 DCADLN CCNC(=O)CCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491179553 943808196 /nfs/dbraw/zinc/80/81/96/943808196.db2.gz ZRTVQNFUJHYTEG-SECBINFHSA-N 0 2 315.267 0.036 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1C=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485488994 943958333 /nfs/dbraw/zinc/95/83/33/943958333.db2.gz OXHACUUSLAOATJ-MNOVXSKESA-N 0 2 305.382 0.803 20 0 DCADLN CC[C@@H](OC)C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001485563250 944007633 /nfs/dbraw/zinc/00/76/33/944007633.db2.gz JXFVDIIBNJWYGY-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN CN(C[C@@H](O)c1cccnc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC001413778158 944085210 /nfs/dbraw/zinc/08/52/10/944085210.db2.gz VKGQRDIHIQABPM-NXEZZACHSA-N 0 2 308.363 0.130 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc2nnc(C(F)F)n2c1 ZINC001319113329 945500225 /nfs/dbraw/zinc/50/02/25/945500225.db2.gz USLYBDWTHXXORT-UHFFFAOYSA-N 0 2 309.236 0.421 20 0 DCADLN O=C(CS[C@@H]1CCS(=O)(=O)C1)NOCc1cccnc1 ZINC001319450176 945591308 /nfs/dbraw/zinc/59/13/08/945591308.db2.gz UQFMOISTDJEAML-LLVKDONJSA-N 0 2 316.404 0.550 20 0 DCADLN COC[C@H](O)C[N@H+]1C[C@@H](NC(=O)CCn2cc[nH+]c2)C(C)(C)C1 ZINC001410020692 945691609 /nfs/dbraw/zinc/69/16/09/945691609.db2.gz ASOIYEDBSQEYEK-ZIAGYGMSSA-N 0 2 324.425 0.107 20 0 DCADLN CCOC(=O)c1nc(C2CC2)oc1NS(=O)(=O)N(C)C ZINC001251056520 945732048 /nfs/dbraw/zinc/73/20/48/945732048.db2.gz VOXBOMDEIPYENW-UHFFFAOYSA-N 0 2 303.340 0.947 20 0 DCADLN COCCC(=O)NC[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001430946899 945861782 /nfs/dbraw/zinc/86/17/82/945861782.db2.gz PTIZIZGXHCZRIS-IONNQARKSA-N 0 2 302.268 0.792 20 0 DCADLN COCCC(=O)NC[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001430946899 945861799 /nfs/dbraw/zinc/86/17/99/945861799.db2.gz PTIZIZGXHCZRIS-IONNQARKSA-N 0 2 302.268 0.792 20 0 DCADLN CCc1n[nH]c(=O)c(C(=O)NCCc2n[nH]c(=S)o2)c1CC ZINC001320440759 945878893 /nfs/dbraw/zinc/87/88/93/945878893.db2.gz QSTGQNSVWAPYED-UHFFFAOYSA-N 0 2 323.378 0.951 20 0 DCADLN O=C([O-])c1ccccc1CC(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001602465537 971203482 /nfs/dbraw/zinc/20/34/82/971203482.db2.gz UQSPAOAFSIYKHZ-CQSZACIVSA-N 0 2 314.345 0.824 20 0 DCADLN Cc1nnc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001321027430 946126483 /nfs/dbraw/zinc/12/64/83/946126483.db2.gz CGMCYCGZYYLUKX-ARJAWSKDSA-N 0 2 306.326 0.191 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](C)C1CCC1)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001410401802 946311888 /nfs/dbraw/zinc/31/18/88/946311888.db2.gz YDWBYPGXEXGTHT-QWRGUYRKSA-N 0 2 309.414 0.481 20 0 DCADLN Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)NCc1nc(=O)o[n-]1 ZINC001256381486 946629194 /nfs/dbraw/zinc/62/91/94/946629194.db2.gz FBNKLMDXLGPSLL-UHFFFAOYSA-N 0 2 323.259 0.616 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1(CNC(=O)COCC2CC2)CC1 ZINC001410666314 946744054 /nfs/dbraw/zinc/74/40/54/946744054.db2.gz KMLJGCKSFRWNKT-UHFFFAOYSA-N 0 2 320.393 0.452 20 0 DCADLN Cc1nnc(C[NH2+]CCCN(C)C(=O)Cn2ccnc2C)[nH]1 ZINC001322837397 946829387 /nfs/dbraw/zinc/82/93/87/946829387.db2.gz USQARVFJTWTJGK-UHFFFAOYSA-N 0 2 305.386 0.256 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccnn2C2CCOCC2)cn1 ZINC001259821411 946989665 /nfs/dbraw/zinc/98/96/65/946989665.db2.gz XWGDINGTUVAXET-UHFFFAOYSA-N 0 2 311.367 0.769 20 0 DCADLN COCCS(=O)(=O)Nc1nc(Br)ccc1O ZINC001259965475 947040422 /nfs/dbraw/zinc/04/04/22/947040422.db2.gz PUUOVHCCGOSICW-UHFFFAOYSA-N 0 2 311.157 0.938 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc3c(c2)CC(=O)N3C)n1 ZINC001260152838 947071370 /nfs/dbraw/zinc/07/13/70/947071370.db2.gz GLNBJKPYVXORGA-UHFFFAOYSA-N 0 2 307.335 0.135 20 0 DCADLN Cc1nnc(CN2CC(N(C)C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001439726562 947534214 /nfs/dbraw/zinc/53/42/14/947534214.db2.gz QINOPNRQBIFFBA-SECBINFHSA-N 0 2 309.267 0.656 20 0 DCADLN CC(C)(C)/C=C/C(=O)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325069918 947633887 /nfs/dbraw/zinc/63/38/87/947633887.db2.gz JWBRUFJMDMNHGM-RGDDUWESSA-N 0 2 323.397 0.166 20 0 DCADLN CC(C)(C)/C=C/C(=O)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325069918 947633896 /nfs/dbraw/zinc/63/38/96/947633896.db2.gz JWBRUFJMDMNHGM-RGDDUWESSA-N 0 2 323.397 0.166 20 0 DCADLN O=C(Cc1ccc2c(c1)NC(=O)C2)NCCc1n[nH]c(=S)o1 ZINC001325256966 947727335 /nfs/dbraw/zinc/72/73/35/947727335.db2.gz QYSRBYVSDKCFCK-UHFFFAOYSA-N 0 2 318.358 0.754 20 0 DCADLN Cc1cc(NCCNC(=O)C(F)C(F)(F)F)n2ncnc2n1 ZINC001094182921 947805820 /nfs/dbraw/zinc/80/58/20/947805820.db2.gz UZURPGOWCQRSRQ-QMMMGPOBSA-N 0 2 320.250 0.861 20 0 DCADLN Cc1cc(NCCNC(=O)[C@H](F)C(F)(F)F)n2ncnc2n1 ZINC001094182921 947805829 /nfs/dbraw/zinc/80/58/29/947805829.db2.gz UZURPGOWCQRSRQ-QMMMGPOBSA-N 0 2 320.250 0.861 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)Nc2ccnc(-c3nn[nH]n3)c2)n[nH]1 ZINC001570918638 948576352 /nfs/dbraw/zinc/57/63/52/948576352.db2.gz HTBFNQOAIRFOON-ZCFIWIBFSA-N 0 2 314.313 0.571 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N1CC[NH+](CCOC(C)C)CC1 ZINC001327944284 948670771 /nfs/dbraw/zinc/67/07/71/948670771.db2.gz FOIZTNDXUMIKFU-CQSZACIVSA-N 0 2 302.371 0.045 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC001364378077 949151078 /nfs/dbraw/zinc/15/10/78/949151078.db2.gz XAAZMUFXSDREBI-SSDOTTSWSA-N 0 2 320.317 0.421 20 0 DCADLN Cc1cc(C)n(CC(=O)N[C@H](C)c2nn(C)cc2O)c(=O)n1 ZINC001364398613 949193310 /nfs/dbraw/zinc/19/33/10/949193310.db2.gz LUDCACXBVZLYPS-SNVBAGLBSA-N 0 2 305.338 0.177 20 0 DCADLN O=C(Cc1cscn1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493141859 949541840 /nfs/dbraw/zinc/54/18/40/949541840.db2.gz ZLWVQQZVNGLVGV-SNVBAGLBSA-N 0 2 322.394 0.290 20 0 DCADLN O=C(Cc1cscn1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493141859 949541862 /nfs/dbraw/zinc/54/18/62/949541862.db2.gz ZLWVQQZVNGLVGV-SNVBAGLBSA-N 0 2 322.394 0.290 20 0 DCADLN CSc1nc(=O)[nH]c(C)c1C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001364566185 949560749 /nfs/dbraw/zinc/56/07/49/949560749.db2.gz AFMLYXRUBXELKP-SSDOTTSWSA-N 0 2 321.366 0.355 20 0 DCADLN C[C@H](C(=O)[O-])N(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C1CC1 ZINC001602814123 971606007 /nfs/dbraw/zinc/60/60/07/971606007.db2.gz FCYHDOCZWWLOBA-SECBINFHSA-N 0 2 316.317 0.737 20 0 DCADLN NC(=O)C[C@@H]1COCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC001364739041 949850384 /nfs/dbraw/zinc/85/03/84/949850384.db2.gz VURZNLRPPOMLHG-MRVPVSSYSA-N 0 2 308.363 0.473 20 0 DCADLN C[C@@H]1CCCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364752971 949875319 /nfs/dbraw/zinc/87/53/19/949875319.db2.gz HIWSSRORXLNNRB-MRVPVSSYSA-N 0 2 301.372 0.043 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](CO)CCc2ccccc2)S1 ZINC001364878290 950123916 /nfs/dbraw/zinc/12/39/16/950123916.db2.gz KNUSZXIYJADIMA-RYUDHWBXSA-N 0 2 321.402 0.653 20 0 DCADLN COCc1nnc(CN2C(=O)NC3(CCSCC3)C2=O)[nH]1 ZINC001330126422 950132638 /nfs/dbraw/zinc/13/26/38/950132638.db2.gz FURCTRPPEDXOBI-UHFFFAOYSA-N 0 2 311.367 0.269 20 0 DCADLN Cn1cc(CN2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)nn1 ZINC001364944350 950235089 /nfs/dbraw/zinc/23/50/89/950235089.db2.gz NPHPJLUCROUAQE-SECBINFHSA-N 0 2 309.267 0.360 20 0 DCADLN Cn1cc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)nn1 ZINC001364944350 950235076 /nfs/dbraw/zinc/23/50/76/950235076.db2.gz NPHPJLUCROUAQE-SECBINFHSA-N 0 2 309.267 0.360 20 0 DCADLN COc1cc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nn1C ZINC001365049510 950416548 /nfs/dbraw/zinc/41/65/48/950416548.db2.gz HDTFAJLKUNSYFQ-MRVPVSSYSA-N 0 2 321.341 0.664 20 0 DCADLN COc1cc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001365068497 950452586 /nfs/dbraw/zinc/45/25/86/950452586.db2.gz DUTRFFBWAPBLLS-SSDOTTSWSA-N 0 2 307.314 0.654 20 0 DCADLN COC(=O)N1CCC(C(=O)N[C@H](C)c2nn(C)cc2O)CC1 ZINC001365121841 950556120 /nfs/dbraw/zinc/55/61/20/950556120.db2.gz VSKLJIGDFKJKKP-SECBINFHSA-N 0 2 310.354 0.781 20 0 DCADLN C=C/C(C)=C\CC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001280412181 950848094 /nfs/dbraw/zinc/84/80/94/950848094.db2.gz IDEZJUHLECFMNX-CSXHZRMWSA-N 0 2 321.381 0.350 20 0 DCADLN CC(C)(C)[C@H]1CSCC[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001602854345 971703430 /nfs/dbraw/zinc/70/34/30/971703430.db2.gz DWDSDXUJNICMTR-SNVBAGLBSA-N 0 2 309.453 0.949 20 0 DCADLN CC(C)(C)[C@H]1CSCC[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001602854345 971703434 /nfs/dbraw/zinc/70/34/34/971703434.db2.gz DWDSDXUJNICMTR-SNVBAGLBSA-N 0 2 309.453 0.949 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1C[C@@H]2COC[C@H](C1)[N@@H+]2C1CCCC1 ZINC001274428795 951626810 /nfs/dbraw/zinc/62/68/10/951626810.db2.gz POYRHULANDAKFC-TXEJJXNPSA-N 0 2 306.366 0.583 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1C[C@@H]2COC[C@H](C1)[N@H+]2C1CCCC1 ZINC001274428795 951626816 /nfs/dbraw/zinc/62/68/16/951626816.db2.gz POYRHULANDAKFC-TXEJJXNPSA-N 0 2 306.366 0.583 20 0 DCADLN O=C(CCCCc1cn[nH]n1)NCc1nc([C@@H]2CCCO2)n[nH]1 ZINC001332923346 951850114 /nfs/dbraw/zinc/85/01/14/951850114.db2.gz DDWZMXNLBIZTKA-NSHDSACASA-N 0 2 319.369 0.804 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001333659101 952125302 /nfs/dbraw/zinc/12/53/02/952125302.db2.gz VVOKFAISIIXEHB-XHDPSFHLSA-N 0 2 309.366 0.691 20 0 DCADLN O=C([O-])[C@@H](Cc1ccccc1)NS(=O)(=O)CCn1cc[nH+]c1 ZINC001595159339 952187577 /nfs/dbraw/zinc/18/75/77/952187577.db2.gz QDWCISZBYPVAEY-CYBMUJFWSA-N 0 2 323.374 0.498 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H]1C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC001595177444 952240082 /nfs/dbraw/zinc/24/00/82/952240082.db2.gz JNVZBZUWAHMRFR-BFHYXJOUSA-N 0 2 310.394 0.811 20 0 DCADLN Cc1cnc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(C)c1 ZINC001411535220 952251055 /nfs/dbraw/zinc/25/10/55/952251055.db2.gz UHIBYBSBPNBGDZ-UHFFFAOYSA-N 0 2 312.333 0.399 20 0 DCADLN C[C@H](CNC(=O)CCCn1c(=O)[n-][nH]c1=O)Cn1cc[nH+]c1 ZINC001411801659 952459223 /nfs/dbraw/zinc/45/92/23/952459223.db2.gz ASPKHCTUGCJWFI-SNVBAGLBSA-N 0 2 308.342 0.119 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001411886430 952513365 /nfs/dbraw/zinc/51/33/65/952513365.db2.gz PEORBWSTIIFOFA-MRVPVSSYSA-N 0 2 319.296 0.319 20 0 DCADLN CN(C)C(=O)CN1CCC12C[NH+](Cc1ccc(C(=O)[O-])cc1)C2 ZINC001275906172 952519054 /nfs/dbraw/zinc/51/90/54/952519054.db2.gz WFGGQCYCNUADGJ-UHFFFAOYSA-N 0 2 317.389 0.733 20 0 DCADLN O=C(c1cnc(C2CC2)[nH]c1=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC001411938715 952553518 /nfs/dbraw/zinc/55/35/18/952553518.db2.gz ONUWFQZIKNOXGK-VIFPVBQESA-N 0 2 315.337 0.593 20 0 DCADLN CC[C@@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@H](C)O ZINC001412117842 952652022 /nfs/dbraw/zinc/65/20/22/952652022.db2.gz YHTSYAGMICAIPC-GZMMTYOYSA-N 0 2 306.322 0.155 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC(=O)CC1 ZINC001412381230 952771427 /nfs/dbraw/zinc/77/14/27/952771427.db2.gz NGLMHUSPLHJVOI-UHFFFAOYSA-N 0 2 302.290 0.071 20 0 DCADLN O=C(NC1CCN([C@H]2CCOC2=O)CC1)C(F)C(F)(F)F ZINC001412725310 953023123 /nfs/dbraw/zinc/02/31/23/953023123.db2.gz ILCCWDDKIACGKD-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC001589290720 953598692 /nfs/dbraw/zinc/59/86/92/953598692.db2.gz YRYPLUAORWFLHB-DGCLKSJQSA-N 0 2 319.361 0.715 20 0 DCADLN C[C@@H]1C[C@@H]([NH2+][C@@H]2CCCCN(CC(=O)[O-])C2=O)c2ncnn21 ZINC001589291707 953608784 /nfs/dbraw/zinc/60/87/84/953608784.db2.gz QDGMDFLEMGYJQH-GMTAPVOTSA-N 0 2 307.354 0.339 20 0 DCADLN CC(C)(C)/C=C\C(=O)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001282510014 953610776 /nfs/dbraw/zinc/61/07/76/953610776.db2.gz LISKZWAOAUXXMC-PLNGDYQASA-N 0 2 323.397 0.166 20 0 DCADLN COCOCCCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001346478140 953897500 /nfs/dbraw/zinc/89/75/00/953897500.db2.gz QNBCXGUJVFNRIA-UHFFFAOYSA-N 0 2 308.338 0.000 20 0 DCADLN Cc1cnc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001283171693 954095955 /nfs/dbraw/zinc/09/59/55/954095955.db2.gz DQOPZJKDACXCNL-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN Cc1cnc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001283171693 954095965 /nfs/dbraw/zinc/09/59/65/954095965.db2.gz DQOPZJKDACXCNL-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN CN(C)[S@@](C)(=O)=NC(=O)C[N@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001593708560 954163614 /nfs/dbraw/zinc/16/36/14/954163614.db2.gz KKPSKQIRNKXSGB-FLCAKIMKSA-N 0 2 317.411 0.130 20 0 DCADLN CN(C)[S@@](C)(=O)=NC(=O)C[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001593708560 954163618 /nfs/dbraw/zinc/16/36/18/954163618.db2.gz KKPSKQIRNKXSGB-FLCAKIMKSA-N 0 2 317.411 0.130 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1ccncn1 ZINC001283272787 954207510 /nfs/dbraw/zinc/20/75/10/954207510.db2.gz CSOFFNMACKSFGM-QMMMGPOBSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1ccncn1 ZINC001283272787 954207518 /nfs/dbraw/zinc/20/75/18/954207518.db2.gz CSOFFNMACKSFGM-QMMMGPOBSA-N 0 2 308.235 0.613 20 0 DCADLN Cc1oc(C)c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001366547303 954398927 /nfs/dbraw/zinc/39/89/27/954398927.db2.gz BVBRUPGWLPWZJO-UHFFFAOYSA-N 0 2 307.354 0.890 20 0 DCADLN Cc1oc(C)c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001366547303 954398943 /nfs/dbraw/zinc/39/89/43/954398943.db2.gz BVBRUPGWLPWZJO-UHFFFAOYSA-N 0 2 307.354 0.890 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CC[C@@H](C(=O)[O-])[C@@H](C)C2)CCO1 ZINC001589399490 954402863 /nfs/dbraw/zinc/40/28/63/954402863.db2.gz GERJPQIVNUUPCC-YNEHKIRRSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CC[C@@H](C(=O)[O-])[C@@H](C)C2)CCO1 ZINC001589399490 954402867 /nfs/dbraw/zinc/40/28/67/954402867.db2.gz GERJPQIVNUUPCC-YNEHKIRRSA-N 0 2 313.398 0.459 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)NCC[NH+](C)[C@@H]1CCN(C)C1=O ZINC001366597810 954485966 /nfs/dbraw/zinc/48/59/66/954485966.db2.gz UZQADGDAXXMERC-ZIAGYGMSSA-N 0 2 310.442 0.140 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001366672095 954618997 /nfs/dbraw/zinc/61/89/97/954618997.db2.gz QTKMUXZDSXYCKP-IUCAKERBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001366672095 954619004 /nfs/dbraw/zinc/61/90/04/954619004.db2.gz QTKMUXZDSXYCKP-IUCAKERBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001366672095 954619013 /nfs/dbraw/zinc/61/90/13/954619013.db2.gz QTKMUXZDSXYCKP-IUCAKERBSA-N 0 2 317.340 0.882 20 0 DCADLN O=C([O-])C1CCC(N2C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C2=O)CC1 ZINC001594908279 954694991 /nfs/dbraw/zinc/69/49/91/954694991.db2.gz IXOGTOLEMBVAJE-RFBVYIQQSA-N 0 2 306.322 0.516 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CCC[C@@H]2C(=O)[O-])C1 ZINC001593787474 954724746 /nfs/dbraw/zinc/72/47/46/954724746.db2.gz LLRBELLPQLQTMM-CYBMUJFWSA-N 0 2 324.402 0.912 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CCC[C@@H]2C(=O)[O-])C1 ZINC001593787474 954724758 /nfs/dbraw/zinc/72/47/58/954724758.db2.gz LLRBELLPQLQTMM-CYBMUJFWSA-N 0 2 324.402 0.912 20 0 DCADLN C[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001366982523 955151186 /nfs/dbraw/zinc/15/11/86/955151186.db2.gz PDFOWTQKUKVHMY-SNVBAGLBSA-N 0 2 316.365 0.257 20 0 DCADLN NC(=O)C[N@@H+]1CCCN(C(=O)c2ccccc2C(=O)[O-])CC1 ZINC000387168718 972108836 /nfs/dbraw/zinc/10/88/36/972108836.db2.gz WUEDJYGVMAIBIA-UHFFFAOYSA-N 0 2 305.334 0.018 20 0 DCADLN NC(=O)C[N@H+]1CCCN(C(=O)c2ccccc2C(=O)[O-])CC1 ZINC000387168718 972108841 /nfs/dbraw/zinc/10/88/41/972108841.db2.gz WUEDJYGVMAIBIA-UHFFFAOYSA-N 0 2 305.334 0.018 20 0 DCADLN COC(=O)c1cc(C[NH2+][C@@H](Cc2ccncc2)C(=O)[O-])on1 ZINC001593869964 955623427 /nfs/dbraw/zinc/62/34/27/955623427.db2.gz DOGILFZXZZQRGG-NSHDSACASA-N 0 2 305.290 0.642 20 0 DCADLN C[C@H](CNC(=O)CSCC(=O)[O-])[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589046147 955628607 /nfs/dbraw/zinc/62/86/07/955628607.db2.gz SPOAQOTWJKVHMJ-MXWKQRLJSA-N 0 2 304.412 0.418 20 0 DCADLN C[C@H](CNC(=O)CSCC(=O)[O-])[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589046147 955628617 /nfs/dbraw/zinc/62/86/17/955628617.db2.gz SPOAQOTWJKVHMJ-MXWKQRLJSA-N 0 2 304.412 0.418 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)[C@]2(C(=O)[O-])CCCO2)C1 ZINC001594555799 955757308 /nfs/dbraw/zinc/75/73/08/955757308.db2.gz MBBOBQOADBLIBF-ABAIWWIYSA-N 0 2 307.350 0.760 20 0 DCADLN Cn1c[nH+]cc1CC(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001594557853 955780390 /nfs/dbraw/zinc/78/03/90/955780390.db2.gz YKBWRYGHKJUQSN-UHFFFAOYSA-N 0 2 305.294 0.026 20 0 DCADLN CCOCC(=O)N(C)C[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001414104936 955822221 /nfs/dbraw/zinc/82/22/21/955822221.db2.gz VQFFGQBVSSHOGE-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N(C)C[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001414104936 955822230 /nfs/dbraw/zinc/82/22/30/955822230.db2.gz VQFFGQBVSSHOGE-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[NH+]1CCN(c2ccnc(F)c2)CC1 ZINC001594581332 955975765 /nfs/dbraw/zinc/97/57/65/955975765.db2.gz SLJQYAMTWOODDI-UHFFFAOYSA-N 0 2 319.340 0.975 20 0 DCADLN Cn1nccc1[C@@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C(=O)[O-] ZINC001594587756 956014420 /nfs/dbraw/zinc/01/44/20/956014420.db2.gz LYDHVHIUBGPXBK-BXKDBHETSA-N 0 2 303.322 0.121 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+]([C@@H](C)C(=O)N2CCCCC2)CCO1 ZINC001593981933 956718396 /nfs/dbraw/zinc/71/83/96/956718396.db2.gz CRXZDRIOXDRLLR-WFASDCNBSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+]([C@@H](C)C(=O)N2CCCCC2)CCO1 ZINC001593981933 956718406 /nfs/dbraw/zinc/71/84/06/956718406.db2.gz CRXZDRIOXDRLLR-WFASDCNBSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](C[C@@H](O)c2cccc(F)c2)CCO1 ZINC001593985645 956772457 /nfs/dbraw/zinc/77/24/57/956772457.db2.gz IFMZPVZXCIGSPH-UKRRQHHQSA-N 0 2 313.325 0.661 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](C[C@@H](O)c2cccc(F)c2)CCO1 ZINC001593985645 956772460 /nfs/dbraw/zinc/77/24/60/956772460.db2.gz IFMZPVZXCIGSPH-UKRRQHHQSA-N 0 2 313.325 0.661 20 0 DCADLN Cc1[nH+]ccn1-c1ncccc1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001291679275 956903685 /nfs/dbraw/zinc/90/36/85/956903685.db2.gz ZNNXLQHHVYTPBZ-UHFFFAOYSA-N 0 2 315.293 0.217 20 0 DCADLN O=C([O-])[C@]1(NC(=O)c2cc(-n3cc[nH+]c3)ccc2O)CCOC1 ZINC001594724398 956987509 /nfs/dbraw/zinc/98/75/09/956987509.db2.gz SDZKHATWLOGKAF-HNNXBMFYSA-N 0 2 317.301 0.551 20 0 DCADLN CC(C)C[NH+]1CCN(S(=O)(=O)c2n[nH]cc2C(=O)[O-])CC1 ZINC000037838285 957333869 /nfs/dbraw/zinc/33/38/69/957333869.db2.gz GXEOFNVSTAAMJG-UHFFFAOYSA-N 0 2 316.383 0.070 20 0 DCADLN O=C(COCC(F)F)NCCCNC(=O)C(F)C(F)(F)F ZINC001293377765 957358757 /nfs/dbraw/zinc/35/87/57/957358757.db2.gz XIIIZKKZFKZELV-QMMMGPOBSA-N 0 2 324.221 0.791 20 0 DCADLN O=C(COCC(F)F)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001293377765 957358767 /nfs/dbraw/zinc/35/87/67/957358767.db2.gz XIIIZKKZFKZELV-QMMMGPOBSA-N 0 2 324.221 0.791 20 0 DCADLN C[C@@H](CNC(=O)NCCC(C)(C)C(=O)[O-])[NH+]1CCN(C)CC1 ZINC001603176864 972281059 /nfs/dbraw/zinc/28/10/59/972281059.db2.gz YNUONFHZIRZKSL-LBPRGKRZSA-N 0 2 314.430 0.422 20 0 DCADLN Cc1cc(C(=O)[O-])cc(C(=O)N[C@H](CO)c2c[nH+]cn2C)c1 ZINC001594286917 957787884 /nfs/dbraw/zinc/78/78/84/957787884.db2.gz RDPBBLKWCQQHSG-GFCCVEGCSA-N 0 2 303.318 0.890 20 0 DCADLN COCCOCC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001296106966 957902306 /nfs/dbraw/zinc/90/23/06/957902306.db2.gz VBFKNVQQPCMNSX-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN COCCOCC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001296106966 957902322 /nfs/dbraw/zinc/90/23/22/957902322.db2.gz VBFKNVQQPCMNSX-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c2nccn21 ZINC001361450526 957978441 /nfs/dbraw/zinc/97/84/41/957978441.db2.gz JUNIYNSTLCFJRY-PSASIEDQSA-N 0 2 313.321 0.090 20 0 DCADLN C[C@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c2nccn21 ZINC001361450529 957978663 /nfs/dbraw/zinc/97/86/63/957978663.db2.gz JUNIYNSTLCFJRY-WPRPVWTQSA-N 0 2 313.321 0.090 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@H]1CCCOC1 ZINC001367554277 958026767 /nfs/dbraw/zinc/02/67/67/958026767.db2.gz XGGHCJIKFPLVLA-LLVKDONJSA-N 0 2 311.386 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@H]1CCCOC1 ZINC001367554277 958026788 /nfs/dbraw/zinc/02/67/88/958026788.db2.gz XGGHCJIKFPLVLA-LLVKDONJSA-N 0 2 311.386 0.217 20 0 DCADLN CCOC(=O)N[C@@H](C(=O)NCCc1n[nH]c(=S)o1)C(C)C ZINC001296732163 958043068 /nfs/dbraw/zinc/04/30/68/958043068.db2.gz MRPJVGVVYQDORD-SECBINFHSA-N 0 2 316.383 0.788 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCCC[C@H]1CO ZINC001361638768 958163763 /nfs/dbraw/zinc/16/37/63/958163763.db2.gz YYVWOUBBWNPRQI-LBPRGKRZSA-N 0 2 318.333 0.253 20 0 DCADLN CCn1cc([C@H](C)NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC001361650875 958176020 /nfs/dbraw/zinc/17/60/20/958176020.db2.gz MJMKTIIIAHEXDK-VIFPVBQESA-N 0 2 315.337 0.165 20 0 DCADLN CC(C)(C)[C@@H](Cn1ccnc1)NC(=O)CCCc1nn[nH]n1 ZINC001361679681 958208254 /nfs/dbraw/zinc/20/82/54/958208254.db2.gz LFKUJSUMFWAJER-LLVKDONJSA-N 0 2 305.386 0.950 20 0 DCADLN Cc1n[nH]c(NC(=O)CC2(NC(=O)OC(C)(C)C)COC2)n1 ZINC001361743519 958280164 /nfs/dbraw/zinc/28/01/64/958280164.db2.gz QWRMKOMJCINXTJ-UHFFFAOYSA-N 0 2 311.342 0.735 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001430145524 1013171374 /nfs/dbraw/zinc/17/13/74/1013171374.db2.gz OZFJMIVIBUZTFK-ZKWXMUAHSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430145524 1013171376 /nfs/dbraw/zinc/17/13/76/1013171376.db2.gz OZFJMIVIBUZTFK-ZKWXMUAHSA-N 0 2 322.262 0.698 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC[N@H+](C[C@H]3CCCO3)CC2)c1[O-] ZINC001361983019 958572311 /nfs/dbraw/zinc/57/23/11/958572311.db2.gz HFTGPWBCRYYETO-GFCCVEGCSA-N 0 2 308.382 0.751 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC[N@@H+](C[C@H]3CCCO3)CC2)c1[O-] ZINC001361983019 958572325 /nfs/dbraw/zinc/57/23/25/958572325.db2.gz HFTGPWBCRYYETO-GFCCVEGCSA-N 0 2 308.382 0.751 20 0 DCADLN CCc1cccnc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367814794 958638752 /nfs/dbraw/zinc/63/87/52/958638752.db2.gz OPNKBDQZADVIAG-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cccnc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367814794 958638757 /nfs/dbraw/zinc/63/87/57/958638757.db2.gz OPNKBDQZADVIAG-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CCn1ncn(NC(=O)c2cnc(-c3cccnc3)s2)c1=O ZINC001298672256 958681567 /nfs/dbraw/zinc/68/15/67/958681567.db2.gz UEOUHWFHRJQMJP-UHFFFAOYSA-N 0 2 316.346 0.967 20 0 DCADLN CC(=O)NCC[N@H+]([C@@H](C)C(=O)[O-])[C@H]1CCCN(C(C)C)C1=O ZINC001588508202 958746423 /nfs/dbraw/zinc/74/64/23/958746423.db2.gz DUTPRSOUEZYHSX-AAEUAGOBSA-N 0 2 313.398 0.297 20 0 DCADLN CC(=O)NCC[N@@H+]([C@@H](C)C(=O)[O-])[C@H]1CCCN(C(C)C)C1=O ZINC001588508202 958746440 /nfs/dbraw/zinc/74/64/40/958746440.db2.gz DUTPRSOUEZYHSX-AAEUAGOBSA-N 0 2 313.398 0.297 20 0 DCADLN CCC[C@@H](O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362108760 958753050 /nfs/dbraw/zinc/75/30/50/958753050.db2.gz MWNRHPNCVUAHSP-LLVKDONJSA-N 0 2 306.322 0.157 20 0 DCADLN Cc1nnsc1C[NH2+][C@@H](C)CNC(=O)CCc1cnn[nH]1 ZINC001491582765 958882415 /nfs/dbraw/zinc/88/24/15/958882415.db2.gz HDLVUWMXEBMSLZ-QMMMGPOBSA-N 0 2 309.399 0.192 20 0 DCADLN O=C(CC[C@@H]1CCCO1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367944114 958921974 /nfs/dbraw/zinc/92/19/74/958921974.db2.gz SJRGRABYEXHDFT-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@H](C(=O)NC1(C(=O)[O-])CCOCC1)[NH+]1CCSCC1 ZINC001588552631 959035804 /nfs/dbraw/zinc/03/58/04/959035804.db2.gz XLSHALGGLFQQDK-SNVBAGLBSA-N 0 2 302.396 0.174 20 0 DCADLN CCC[C@](C)(O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362283417 959068914 /nfs/dbraw/zinc/06/89/14/959068914.db2.gz IDRTTYGEHSNBRG-HNNXBMFYSA-N 0 2 320.349 0.547 20 0 DCADLN CC1(C)COCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362417852 959315677 /nfs/dbraw/zinc/31/56/77/959315677.db2.gz HHQZEXCXOZUYJQ-UHFFFAOYSA-N 0 2 318.333 0.517 20 0 DCADLN C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)N1Cc2ccccc2C1=O ZINC001362425585 959331467 /nfs/dbraw/zinc/33/14/67/959331467.db2.gz MEMAYELINZRSLD-MRVPVSSYSA-N 0 2 301.306 0.171 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N(CCO)CC1CC1 ZINC001362437858 959355633 /nfs/dbraw/zinc/35/56/33/959355633.db2.gz DUAFUOMKCXPPJI-UHFFFAOYSA-N 0 2 318.333 0.111 20 0 DCADLN CCOCC(=O)N(C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001491970664 959458493 /nfs/dbraw/zinc/45/84/93/959458493.db2.gz VLGHJDNKBLOLJW-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N(C)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001491970664 959458503 /nfs/dbraw/zinc/45/85/03/959458503.db2.gz VLGHJDNKBLOLJW-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)NCC[C@H](C)[NH2+]Cc2ncc(C)o2)C1 ZINC001377103092 959472195 /nfs/dbraw/zinc/47/21/95/959472195.db2.gz BULIOGXQQZOJEL-JSGCOSHPSA-N 0 2 324.425 0.688 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C1CS(=O)(=O)C1 ZINC001362550600 959582125 /nfs/dbraw/zinc/58/21/25/959582125.db2.gz PYRNHSXAFRHBTN-UHFFFAOYSA-N 0 2 322.346 0.469 20 0 DCADLN O=C(c1ncc(Cl)cn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362583512 959642733 /nfs/dbraw/zinc/64/27/33/959642733.db2.gz MJUSFYKFEQLPCP-SSDOTTSWSA-N 0 2 308.729 0.974 20 0 DCADLN COc1cccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001377245444 959779763 /nfs/dbraw/zinc/77/97/63/959779763.db2.gz ONQIZYGSOPIUMT-UHFFFAOYSA-N 0 2 305.338 0.381 20 0 DCADLN COc1cccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001377245444 959779774 /nfs/dbraw/zinc/77/97/74/959779774.db2.gz ONQIZYGSOPIUMT-UHFFFAOYSA-N 0 2 305.338 0.381 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1ncoc1[C@@H]1CCCO1 ZINC001362723487 959893850 /nfs/dbraw/zinc/89/38/50/959893850.db2.gz ZKFYRTCHFQKHET-ZETCQYMHSA-N 0 2 306.278 0.657 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ncoc1[C@@H]1CCCO1 ZINC001362723487 959893859 /nfs/dbraw/zinc/89/38/59/959893859.db2.gz ZKFYRTCHFQKHET-ZETCQYMHSA-N 0 2 306.278 0.657 20 0 DCADLN CC(C)(C)CC[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(N)=O ZINC001362739484 959915957 /nfs/dbraw/zinc/91/59/57/959915957.db2.gz BZFWXQMLNGODRQ-SFYZADRCSA-N 0 2 314.411 0.339 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nnc(C3CC3)s2)S1 ZINC001362751568 959932240 /nfs/dbraw/zinc/93/22/40/959932240.db2.gz DRSKMLCLYIKLCM-LURJTMIESA-N 0 2 311.392 0.588 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)CCc3cn[nH]c3)CC2)CC1 ZINC001362793323 959995479 /nfs/dbraw/zinc/99/54/79/959995479.db2.gz WSZJBGYSVYQSST-UHFFFAOYSA-N 0 2 305.426 0.581 20 0 DCADLN CCC1(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)COC1 ZINC001413826062 960081928 /nfs/dbraw/zinc/08/19/28/960081928.db2.gz PDPQTEJDOOCELV-SNVBAGLBSA-N 0 2 309.370 0.826 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cnn(-c3ccccc3)c2)n1 ZINC001309824615 960210620 /nfs/dbraw/zinc/21/06/20/960210620.db2.gz VTMPWPPEQGAQGW-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](Cc2cncn2C)C2CC2)c1[O-] ZINC001377520609 960224133 /nfs/dbraw/zinc/22/41/33/960224133.db2.gz DCHSISWWMMOBMV-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](Cc2cncn2C)C2CC2)c1[O-] ZINC001377520609 960224145 /nfs/dbraw/zinc/22/41/45/960224145.db2.gz DCHSISWWMMOBMV-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCO1 ZINC001377549579 960263471 /nfs/dbraw/zinc/26/34/71/960263471.db2.gz XERUYPVNHHJTAO-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCO1 ZINC001377549579 960263481 /nfs/dbraw/zinc/26/34/81/960263481.db2.gz XERUYPVNHHJTAO-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1SCC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363016561 960302484 /nfs/dbraw/zinc/30/24/84/960302484.db2.gz RPDCTQFFOIESOC-GZMMTYOYSA-N 0 2 320.374 0.890 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cccc2c1NC(=O)CO2 ZINC001363042853 960337604 /nfs/dbraw/zinc/33/76/04/960337604.db2.gz ZQEWAOAKYVPAEH-UHFFFAOYSA-N 0 2 316.273 0.149 20 0 DCADLN O=C(CNC(=O)N1CCCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363050513 960347152 /nfs/dbraw/zinc/34/71/52/960347152.db2.gz BBPFNFDFDOJQGM-SNVBAGLBSA-N 0 2 322.369 0.022 20 0 DCADLN O=C(CCc1nn[n-]n1)N1CC[NH2+][C@@H](Cc2ccccc2)C1 ZINC001363121157 960429093 /nfs/dbraw/zinc/42/90/93/960429093.db2.gz NRGAFDSWTIUQOG-ZDUSSCGKSA-N 0 2 300.366 0.175 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC001574298866 960718613 /nfs/dbraw/zinc/71/86/13/960718613.db2.gz QNBWLIOVETZCLF-ZDUSSCGKSA-N 0 2 303.318 0.778 20 0 DCADLN CC(C)SCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001378025191 961213677 /nfs/dbraw/zinc/21/36/77/961213677.db2.gz LNOSJHYNZUPFAH-UWVGGRQHSA-N 0 2 311.411 0.437 20 0 DCADLN CC(C)C(=O)N1CCC[N@H+](Cc2c(C(=O)[O-])cnn2C)CC1 ZINC001588799351 961415944 /nfs/dbraw/zinc/41/59/44/961415944.db2.gz VIJXKWDGSJLOSV-UHFFFAOYSA-N 0 2 308.382 0.809 20 0 DCADLN CC(C)C(=O)N1CCC[N@@H+](Cc2c(C(=O)[O-])cnn2C)CC1 ZINC001588799351 961415956 /nfs/dbraw/zinc/41/59/56/961415956.db2.gz VIJXKWDGSJLOSV-UHFFFAOYSA-N 0 2 308.382 0.809 20 0 DCADLN CCOC(=O)c1ccc(C[N@H+](CCC(=O)OC)CC(=O)[O-])o1 ZINC001573903596 961441261 /nfs/dbraw/zinc/44/12/61/961441261.db2.gz WXUYFOAWBDPRNR-UHFFFAOYSA-N 0 2 313.306 0.906 20 0 DCADLN CCOC(=O)c1ccc(C[N@@H+](CCC(=O)OC)CC(=O)[O-])o1 ZINC001573903596 961441270 /nfs/dbraw/zinc/44/12/70/961441270.db2.gz WXUYFOAWBDPRNR-UHFFFAOYSA-N 0 2 313.306 0.906 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)CC1(C(=O)[O-])CCCC1 ZINC001571130325 961533589 /nfs/dbraw/zinc/53/35/89/961533589.db2.gz AYOCUNNVRSVUSX-TXEJJXNPSA-N 0 2 316.423 0.740 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)CC1(C(=O)[O-])CCCC1 ZINC001571130325 961533603 /nfs/dbraw/zinc/53/36/03/961533603.db2.gz AYOCUNNVRSVUSX-TXEJJXNPSA-N 0 2 316.423 0.740 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)C[N@H+](C)[C@@H]1CCC[C@H]1O ZINC001363607180 961541107 /nfs/dbraw/zinc/54/11/07/961541107.db2.gz JZJVRTHZMCUQDN-RRFJBIMHSA-N 0 2 313.442 0.059 20 0 DCADLN CCC[C@H](OC)C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378160838 961562142 /nfs/dbraw/zinc/56/21/42/961562142.db2.gz NEWVLEUVJDRROB-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN C[NH+](C)[C@@H](CNS(=O)(=O)[C@H]1C[C@@H](C(=O)[O-])C1)c1ccco1 ZINC001571131744 961564400 /nfs/dbraw/zinc/56/44/00/961564400.db2.gz RNJGYVWJPQIUQS-AXFHLTTASA-N 0 2 316.379 0.665 20 0 DCADLN Cc1cccn2cc(CC(=O)NC[C@]3(C(=O)[O-])CCOC3)[nH+]c12 ZINC001571133520 961592894 /nfs/dbraw/zinc/59/28/94/961592894.db2.gz REWJUGPDNDXZJR-MRXNPFEDSA-N 0 2 317.345 0.793 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cnco1 ZINC001378858695 961612529 /nfs/dbraw/zinc/61/25/29/961612529.db2.gz URUCELYFENAXGE-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1cnco1 ZINC001378858695 961612552 /nfs/dbraw/zinc/61/25/52/961612552.db2.gz URUCELYFENAXGE-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN C[C@@H]1CCC(=O)CN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363665060 961654238 /nfs/dbraw/zinc/65/42/38/961654238.db2.gz YMHAZICSETYGDW-SECBINFHSA-N 0 2 316.317 0.460 20 0 DCADLN COCCn1ncc(C(=O)N[C@H](C)c2nn(C)cc2O)c1C ZINC001363668686 961659128 /nfs/dbraw/zinc/65/91/28/961659128.db2.gz VCYXMORNOZSFJE-SECBINFHSA-N 0 2 307.354 0.768 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1CCCS1(=O)=O)c1nn(C)cc1O ZINC001363666973 961659326 /nfs/dbraw/zinc/65/93/26/961659326.db2.gz OHPMLFOFCCOZST-IUCAKERBSA-N 0 2 301.368 0.270 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@H](O)c1ccc(C)o1 ZINC001363779316 961864947 /nfs/dbraw/zinc/86/49/47/961864947.db2.gz GMFGCOQSHJYOOP-JTQLQIEISA-N 0 2 324.424 0.964 20 0 DCADLN CO[C@@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)C(C)C ZINC001430295897 1013328048 /nfs/dbraw/zinc/32/80/48/1013328048.db2.gz MMKGCIFLAYEYMG-BDAKNGLRSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@@H](C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)C(C)C ZINC001430295897 1013328056 /nfs/dbraw/zinc/32/80/56/1013328056.db2.gz MMKGCIFLAYEYMG-BDAKNGLRSA-N 0 2 314.279 0.885 20 0 DCADLN CCCn1cc(CNS(=O)(=O)N=S2(=O)CCCC2)nn1 ZINC001364023480 962312984 /nfs/dbraw/zinc/31/29/84/962312984.db2.gz JTMULKYCFUKDHG-UHFFFAOYSA-N 0 2 321.428 0.284 20 0 DCADLN CCOC(=O)c1n[nH]c(CNC(=O)c2ccc(O)c(F)c2)n1 ZINC001364086211 962441994 /nfs/dbraw/zinc/44/19/94/962441994.db2.gz YBXRCLFXSSYGMS-UHFFFAOYSA-N 0 2 308.269 0.756 20 0 DCADLN COCC1(S(=O)(=O)NCC(F)(F)C(=O)OC)CCC1 ZINC001364119779 962508300 /nfs/dbraw/zinc/50/83/00/962508300.db2.gz BWCNRUVXHUNIDR-UHFFFAOYSA-N 0 2 301.311 0.283 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cccc2c1OCCCO2 ZINC001364201839 962664335 /nfs/dbraw/zinc/66/43/35/962664335.db2.gz GMMBZSMYQRYMJT-UHFFFAOYSA-N 0 2 317.301 0.979 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cccc2c1OCCCO2 ZINC001364201839 962664346 /nfs/dbraw/zinc/66/43/46/962664346.db2.gz GMMBZSMYQRYMJT-UHFFFAOYSA-N 0 2 317.301 0.979 20 0 DCADLN C[N@H+](CC(=O)NCC1([NH2+]Cc2nncs2)CC1)C1CCC1 ZINC001380099234 962666622 /nfs/dbraw/zinc/66/66/22/962666622.db2.gz CHDWUAPBMLRZAF-UHFFFAOYSA-N 0 2 309.439 0.761 20 0 DCADLN CCCN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@@H](C)C(=O)OC ZINC001364290382 962832868 /nfs/dbraw/zinc/83/28/68/962832868.db2.gz FZMPQWHCNZBNPV-YUMQZZPRSA-N 0 2 301.368 0.343 20 0 DCADLN COC[C@@H](O)CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001380227183 962894525 /nfs/dbraw/zinc/89/45/25/962894525.db2.gz KZHJQORIGAUQPW-IUCAKERBSA-N 0 2 302.268 0.037 20 0 DCADLN CN(C(=O)CCc1ccsc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380229839 962895860 /nfs/dbraw/zinc/89/58/60/962895860.db2.gz MIUZSIYAFXLXQE-UHFFFAOYSA-N 0 2 321.406 0.847 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@H]3CC[C@@H](C(=O)[O-])C3)CC[NH2+]2)cn1 ZINC001574211771 962917343 /nfs/dbraw/zinc/91/73/43/962917343.db2.gz FXYSUHJNGLEGMA-LOWVWBTDSA-N 0 2 306.366 0.394 20 0 DCADLN O=C(N[C@@H]1C[C@@H]2CC[C@H]1O2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364352735 962947992 /nfs/dbraw/zinc/94/79/92/962947992.db2.gz UVHKQMCZZQWFOF-UKKRHICBSA-N 0 2 307.354 0.719 20 0 DCADLN Cn1nnc(CN2CC[C@](C)(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001380347923 963077857 /nfs/dbraw/zinc/07/78/57/963077857.db2.gz HJQDTEYMNCAVHJ-SCZZXKLOSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CC[C@](C)(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001380347923 963077869 /nfs/dbraw/zinc/07/78/69/963077869.db2.gz HJQDTEYMNCAVHJ-SCZZXKLOSA-N 0 2 324.282 0.191 20 0 DCADLN O=C([O-])Cc1ccc(S(=O)(=O)NCCCn2cc[nH+]c2)cc1 ZINC000314222925 963727353 /nfs/dbraw/zinc/72/73/53/963727353.db2.gz MONINCXOYXCHQN-UHFFFAOYSA-N 0 2 323.374 0.879 20 0 DCADLN CCC(CC)NC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001375320031 964252496 /nfs/dbraw/zinc/25/24/96/964252496.db2.gz DPIUBIAUOHZANJ-PWSUYJOCSA-N 0 2 322.413 0.302 20 0 DCADLN CCC(CC)NC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001375320031 964252507 /nfs/dbraw/zinc/25/25/07/964252507.db2.gz DPIUBIAUOHZANJ-PWSUYJOCSA-N 0 2 322.413 0.302 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C(C)(C)C(F)F ZINC001375481055 964456604 /nfs/dbraw/zinc/45/66/04/964456604.db2.gz YDXWKZBYLGBDOV-MRVPVSSYSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@](C)(O)C2CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001375652282 964669049 /nfs/dbraw/zinc/66/90/49/964669049.db2.gz USGSXRUSTCQFTG-VPJDZLOFSA-N 0 2 323.397 0.140 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@](C)(O)C2CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001375652282 964669052 /nfs/dbraw/zinc/66/90/52/964669052.db2.gz USGSXRUSTCQFTG-VPJDZLOFSA-N 0 2 323.397 0.140 20 0 DCADLN CSC[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374469514 965425264 /nfs/dbraw/zinc/42/52/64/965425264.db2.gz AMRMHVLPNFCJQA-VIFPVBQESA-N 0 2 301.416 0.400 20 0 DCADLN CSC[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374469514 965425267 /nfs/dbraw/zinc/42/52/67/965425267.db2.gz AMRMHVLPNFCJQA-VIFPVBQESA-N 0 2 301.416 0.400 20 0 DCADLN O=C(CCc1ccco1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369808396 965436069 /nfs/dbraw/zinc/43/60/69/965436069.db2.gz XDFVNXZUNOHJCF-LLVKDONJSA-N 0 2 319.365 0.817 20 0 DCADLN C[NH+](C)C1(C(=O)N[C@H]2CC[N@H+](CC(=O)N3CCCC3)C2)CCC1 ZINC001369990421 965666298 /nfs/dbraw/zinc/66/62/98/965666298.db2.gz ZWUWIFHDHZWIIC-AWEZNQCLSA-N 0 2 322.453 0.284 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CCCOC2)C1)C(F)C(F)(F)F ZINC001374666698 965728116 /nfs/dbraw/zinc/72/81/16/965728116.db2.gz YFWMJNFYAGEPOM-IONNQARKSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CCCOC2)C1)[C@@H](F)C(F)(F)F ZINC001374666698 965728130 /nfs/dbraw/zinc/72/81/30/965728130.db2.gz YFWMJNFYAGEPOM-IONNQARKSA-N 0 2 312.263 0.640 20 0 DCADLN CC(C)CCC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370643648 966321221 /nfs/dbraw/zinc/32/12/21/966321221.db2.gz BCCVHEUVLYRTAZ-WPRPVWTQSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001370643648 966321222 /nfs/dbraw/zinc/32/12/22/966321222.db2.gz BCCVHEUVLYRTAZ-WPRPVWTQSA-N 0 2 316.295 0.916 20 0 DCADLN C[C@H](CNC(=O)Cc1cccnc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508498 966476958 /nfs/dbraw/zinc/47/69/58/966476958.db2.gz MXIWGEUOMFLIDI-SNVBAGLBSA-N 0 2 304.354 0.085 20 0 DCADLN C[C@H](CNC(=O)Cc1cccnc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508498 966476960 /nfs/dbraw/zinc/47/69/60/966476960.db2.gz MXIWGEUOMFLIDI-SNVBAGLBSA-N 0 2 304.354 0.085 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001381796749 966689557 /nfs/dbraw/zinc/68/95/57/966689557.db2.gz XETPGQJLNVLEBH-WDEREUQCSA-N 0 2 318.381 0.510 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372004044 967541853 /nfs/dbraw/zinc/54/18/53/967541853.db2.gz RCPGEZNZGLRSQI-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001372004044 967541860 /nfs/dbraw/zinc/54/18/60/967541860.db2.gz RCPGEZNZGLRSQI-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN CC1CC[NH+](CC(=O)NC[C@H]2CCC[N@@H+]2CC(=O)N(C)C)CC1 ZINC001372544604 968106229 /nfs/dbraw/zinc/10/62/29/968106229.db2.gz IXTRXQAIMPOLRN-OAHLLOKOSA-N 0 2 324.469 0.387 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccccc1 ZINC001372763750 968337438 /nfs/dbraw/zinc/33/74/38/968337438.db2.gz ZHGIZOCDARXRTH-UHFFFAOYSA-N 0 2 301.350 0.905 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccccc1 ZINC001372763750 968337442 /nfs/dbraw/zinc/33/74/42/968337442.db2.gz ZHGIZOCDARXRTH-UHFFFAOYSA-N 0 2 301.350 0.905 20 0 DCADLN C[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001373543694 969211392 /nfs/dbraw/zinc/21/13/92/969211392.db2.gz LEQQJVINSLNDAP-SNVBAGLBSA-N 0 2 307.398 0.885 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)F)CC1 ZINC001373592406 969274242 /nfs/dbraw/zinc/27/42/42/969274242.db2.gz SXLZGWHJCHYRDU-ZETCQYMHSA-N 0 2 301.297 0.246 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@@H+]3C[C@@H](O)C[C@@H]3C(=O)[O-])nc12 ZINC000318341516 970426486 /nfs/dbraw/zinc/42/64/86/970426486.db2.gz UTUSWWVAOUQERT-NWDGAFQWSA-N 0 2 303.318 0.023 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@H+]3C[C@@H](O)C[C@@H]3C(=O)[O-])nc12 ZINC000318341516 970426492 /nfs/dbraw/zinc/42/64/92/970426492.db2.gz UTUSWWVAOUQERT-NWDGAFQWSA-N 0 2 303.318 0.023 20 0 DCADLN Cc1ccc(CC2C[NH+](CCS(=O)(=O)CC(=O)[O-])C2)cc1 ZINC001605271046 973053288 /nfs/dbraw/zinc/05/32/88/973053288.db2.gz ZXZPMQCWIWNDAE-UHFFFAOYSA-N 0 2 311.403 0.969 20 0 DCADLN C[C@H]1C[N@H+](CCOC(=O)C2(C(=O)[O-])CCSCC2)CCO1 ZINC001603461543 973301882 /nfs/dbraw/zinc/30/18/82/973301882.db2.gz QBVOQDSMUMSAOW-NSHDSACASA-N 0 2 317.407 0.848 20 0 DCADLN C[C@H]1C[N@@H+](CCOC(=O)C2(C(=O)[O-])CCSCC2)CCO1 ZINC001603461543 973301894 /nfs/dbraw/zinc/30/18/94/973301894.db2.gz QBVOQDSMUMSAOW-NSHDSACASA-N 0 2 317.407 0.848 20 0 DCADLN Cc1[nH]c([C@H]2CCCN2C(=O)[C@@H]2CC(C(=O)[O-])=NO2)[nH+]c1C ZINC001605402668 973389552 /nfs/dbraw/zinc/38/95/52/973389552.db2.gz ARMNBWJTXVGIEU-MNOVXSKESA-N 0 2 306.322 0.920 20 0 DCADLN COC[C@]1(C(=O)[O-])CC[N@H+](Cc2nnn(CC(F)(F)F)n2)C1 ZINC001604541377 973520220 /nfs/dbraw/zinc/52/02/20/973520220.db2.gz OSEWEGSWOSWYGN-JTQLQIEISA-N 0 2 323.275 0.159 20 0 DCADLN COC[C@]1(C(=O)[O-])CC[N@@H+](Cc2nnn(CC(F)(F)F)n2)C1 ZINC001604541377 973520224 /nfs/dbraw/zinc/52/02/24/973520224.db2.gz OSEWEGSWOSWYGN-JTQLQIEISA-N 0 2 323.275 0.159 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(c1cc(N3CCCC3)[nH+]cn1)C2 ZINC001549300670 1014211141 /nfs/dbraw/zinc/21/11/41/1014211141.db2.gz YTGSTACLAZRLMW-NHYWBVRUSA-N 0 2 304.350 0.614 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(c1cc(N3CCCC3)nc[nH+]1)C2 ZINC001549300670 1014211149 /nfs/dbraw/zinc/21/11/49/1014211149.db2.gz YTGSTACLAZRLMW-NHYWBVRUSA-N 0 2 304.350 0.614 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)C[NH+]2[C@@H](C)CC[C@@H]2C)c1[O-] ZINC001522589936 1014221881 /nfs/dbraw/zinc/22/18/81/1014221881.db2.gz HBFGGBJKQHRIOY-UWVGGRQHSA-N 0 2 323.397 0.143 20 0 DCADLN CC(C)Oc1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cn1 ZINC001591761998 975857185 /nfs/dbraw/zinc/85/71/85/975857185.db2.gz UDLHBDCPURSPEZ-GFCCVEGCSA-N 0 2 318.333 0.949 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(C(=O)c2cncc(C=O)c2)CC1 ZINC001592418727 978027623 /nfs/dbraw/zinc/02/76/23/978027623.db2.gz UZPSFZMLVFMVNI-NSHDSACASA-N 0 2 305.334 0.515 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C(=O)[O-])cn1 ZINC001592624538 978600304 /nfs/dbraw/zinc/60/03/04/978600304.db2.gz KGPLYNHHOVWYRV-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccnc(C(=O)[O-])c1 ZINC001592624830 978601518 /nfs/dbraw/zinc/60/15/18/978601518.db2.gz SLQKMXMDVDYIAB-LLVKDONJSA-N 0 2 307.350 0.573 20 0 DCADLN C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)N(C)c1ncc(C(=O)[O-])cn1 ZINC001592655183 978638545 /nfs/dbraw/zinc/63/85/45/978638545.db2.gz PDIPMFRVWHTWIK-VIFPVBQESA-N 0 2 318.337 0.082 20 0 DCADLN C[C@](Cn1cccn1)([NH2+]Cc1ccc(C(=O)[O-])cc1F)C(N)=O ZINC001592923749 979926535 /nfs/dbraw/zinc/92/65/35/979926535.db2.gz QWDKWXPCQFAQRE-OAHLLOKOSA-N 0 2 320.324 0.754 20 0 DCADLN C[C@@H](NC(=O)N(C)[C@H]1C[C@@H](C(=O)[O-])C1)[C@@H](C)[NH+]1CCOCC1 ZINC001593049908 980340265 /nfs/dbraw/zinc/34/02/65/980340265.db2.gz BNSPRVHZMJWJKR-LPWJVIDDSA-N 0 2 313.398 0.600 20 0 DCADLN CCCC[C@@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC001595640844 981964848 /nfs/dbraw/zinc/96/48/48/981964848.db2.gz OHOYCAYLLABLOR-GFCCVEGCSA-N 0 2 322.427 0.272 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCc2cc[nH]c(=O)c2C1)C(=O)[O-] ZINC001594894194 982316880 /nfs/dbraw/zinc/31/68/80/982316880.db2.gz NJXSFXDCWRTBAC-IINYFYTJSA-N 0 2 321.377 0.761 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCc2cc[nH]c(=O)c2C1)C(=O)[O-] ZINC001594894194 982316891 /nfs/dbraw/zinc/31/68/91/982316891.db2.gz NJXSFXDCWRTBAC-IINYFYTJSA-N 0 2 321.377 0.761 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CC(=O)Nc1cccc(C(=O)OC)n1 ZINC001573752248 983101461 /nfs/dbraw/zinc/10/14/61/983101461.db2.gz GDLBPARTBYXDDT-UHFFFAOYSA-N 0 2 309.322 0.603 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CC(=O)Nc1cccc(C(=O)OC)n1 ZINC001573752248 983101466 /nfs/dbraw/zinc/10/14/66/983101466.db2.gz GDLBPARTBYXDDT-UHFFFAOYSA-N 0 2 309.322 0.603 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(C)cc2C(=O)[O-])CC1 ZINC001596427853 984091124 /nfs/dbraw/zinc/09/11/24/984091124.db2.gz UPVDAEQWTGJXCS-UHFFFAOYSA-N 0 2 319.361 0.796 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])o2)[C@@H](C)C1 ZINC001596438646 984128862 /nfs/dbraw/zinc/12/88/62/984128862.db2.gz PSWRNUKPGNRNGC-VIFPVBQESA-N 0 2 302.352 0.693 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])o2)[C@@H](C)C1 ZINC001596438646 984128867 /nfs/dbraw/zinc/12/88/67/984128867.db2.gz PSWRNUKPGNRNGC-VIFPVBQESA-N 0 2 302.352 0.693 20 0 DCADLN CCOC(=O)[C@@]1(C)C[N@@H+]([C@H](C(=O)[O-])C2(OC)CCC2)CCO1 ZINC001596552870 984419788 /nfs/dbraw/zinc/41/97/88/984419788.db2.gz SIVRBPBBTPEZHQ-BXUZGUMPSA-N 0 2 315.366 0.663 20 0 DCADLN CCOC(=O)[C@@]1(C)C[N@H+]([C@H](C(=O)[O-])C2(OC)CCC2)CCO1 ZINC001596552870 984419789 /nfs/dbraw/zinc/41/97/89/984419789.db2.gz SIVRBPBBTPEZHQ-BXUZGUMPSA-N 0 2 315.366 0.663 20 0 DCADLN CCOC(=O)N1CCC[N@@H+]([C@H](CCC(=O)[O-])C(=O)OC)CC1 ZINC001596651877 984685198 /nfs/dbraw/zinc/68/51/98/984685198.db2.gz PKZXOJPZGIWVRT-LLVKDONJSA-N 0 2 316.354 0.557 20 0 DCADLN CCOC(=O)N1CCC[N@H+]([C@H](CCC(=O)[O-])C(=O)OC)CC1 ZINC001596651877 984685202 /nfs/dbraw/zinc/68/52/02/984685202.db2.gz PKZXOJPZGIWVRT-LLVKDONJSA-N 0 2 316.354 0.557 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001383725778 985045770 /nfs/dbraw/zinc/04/57/70/985045770.db2.gz DSMPKCDFOPHETO-FSPLSTOPSA-N 0 2 311.239 0.282 20 0 DCADLN O=C(NC1CN(C(=O)C2(CF)CC2)C1)C(F)C(F)(F)F ZINC001450382606 1015103372 /nfs/dbraw/zinc/10/33/72/1015103372.db2.gz VTHLUSZNHMORHJ-SSDOTTSWSA-N 0 2 300.227 0.964 20 0 DCADLN O=C(NC1CN(C(=O)C2(CF)CC2)C1)[C@@H](F)C(F)(F)F ZINC001450382606 1015103385 /nfs/dbraw/zinc/10/33/85/1015103385.db2.gz VTHLUSZNHMORHJ-SSDOTTSWSA-N 0 2 300.227 0.964 20 0 DCADLN CC(C)CC(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001384167352 985408061 /nfs/dbraw/zinc/40/80/61/985408061.db2.gz CJEKRVFNFRBDRQ-SCZZXKLOSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)CC(=O)N(C)C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001384167352 985408062 /nfs/dbraw/zinc/40/80/62/985408062.db2.gz CJEKRVFNFRBDRQ-SCZZXKLOSA-N 0 2 316.295 0.868 20 0 DCADLN CC(=O)N[C@@H](C[S@@](=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)[O-] ZINC001589155973 985481692 /nfs/dbraw/zinc/48/16/92/985481692.db2.gz MNCGAAOCRCUCOY-YTEVENLXSA-N 0 2 323.374 0.481 20 0 DCADLN Cc1[nH]c(C(=O)CN2CC[N@@H+](C)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945518 985520959 /nfs/dbraw/zinc/52/09/59/985520959.db2.gz OGSUJHOSWSDLTO-LLVKDONJSA-N 0 2 309.366 0.121 20 0 DCADLN Cc1[nH]c(C(=O)CN2CC[N@H+](C)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945518 985520968 /nfs/dbraw/zinc/52/09/68/985520968.db2.gz OGSUJHOSWSDLTO-LLVKDONJSA-N 0 2 309.366 0.121 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@H](C)n2cccn2)n[nH]1 ZINC001551662522 1015168527 /nfs/dbraw/zinc/16/85/27/1015168527.db2.gz FKTMQAGEOVJOTF-UHTWSYAYSA-N 0 2 318.381 0.902 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C)c1ccnc(C(=O)[O-])n1 ZINC001599968239 985951788 /nfs/dbraw/zinc/95/17/88/985951788.db2.gz NNORWGKZXVQAPT-UHFFFAOYSA-N 0 2 318.337 0.001 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2cc(C)ncn2)n[nH]1 ZINC001551679677 1015177163 /nfs/dbraw/zinc/17/71/63/1015177163.db2.gz ZLPKOVJRNSBVBZ-AAEUAGOBSA-N 0 2 316.365 0.856 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)[C@H]2C[C@@H](C)[C@H](C(=O)[O-])O2)CC1 ZINC001596928735 986005653 /nfs/dbraw/zinc/00/56/53/986005653.db2.gz AKALQEPJUCJGGH-JHJVBQTASA-N 0 2 314.382 0.045 20 0 DCADLN CCOCCOCC[N@@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001596934479 986038488 /nfs/dbraw/zinc/03/84/88/986038488.db2.gz MIAOEQUMJZFEHD-NEPJUHHUSA-N 0 2 303.355 0.378 20 0 DCADLN CCOCCOCC[N@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001596934479 986038503 /nfs/dbraw/zinc/03/85/03/986038503.db2.gz MIAOEQUMJZFEHD-NEPJUHHUSA-N 0 2 303.355 0.378 20 0 DCADLN COCC(=O)N1CC[C@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385225913 986170011 /nfs/dbraw/zinc/17/00/11/986170011.db2.gz HBQDVUZQWDFCDQ-IONNQARKSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N1CC[C@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385225913 986170016 /nfs/dbraw/zinc/17/00/16/986170016.db2.gz HBQDVUZQWDFCDQ-IONNQARKSA-N 0 2 300.252 0.593 20 0 DCADLN C[C@H](C(=O)N1CCC2(CC(C(=O)[O-])=NO2)CC1)n1cc[nH+]c1 ZINC001589357014 986344604 /nfs/dbraw/zinc/34/46/04/986344604.db2.gz UPQINPAKRYGXGF-SNVBAGLBSA-N 0 2 306.322 0.666 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385577124 986443288 /nfs/dbraw/zinc/44/32/88/986443288.db2.gz DMPFSAKOQFBMPJ-WCBMZHEXSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385577124 986443293 /nfs/dbraw/zinc/44/32/93/986443293.db2.gz DMPFSAKOQFBMPJ-WCBMZHEXSA-N 0 2 322.262 0.501 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCOC[C@H]2C)C[C@]1(C)C(=O)[O-] ZINC001594607324 986578183 /nfs/dbraw/zinc/57/81/83/986578183.db2.gz HQDQYZKDNVHDJX-ZOWXZIJZSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCOC[C@H]2C)C[C@]1(C)C(=O)[O-] ZINC001594607324 986578190 /nfs/dbraw/zinc/57/81/90/986578190.db2.gz HQDQYZKDNVHDJX-ZOWXZIJZSA-N 0 2 313.398 0.459 20 0 DCADLN CCO[C@H](C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001385829760 986614096 /nfs/dbraw/zinc/61/40/96/986614096.db2.gz MUZDBEDVEVAZFN-NEPJUHHUSA-N 0 2 308.382 0.403 20 0 DCADLN CCOc1cccc(CC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001597150125 986924429 /nfs/dbraw/zinc/92/44/29/986924429.db2.gz PGCZTCHXWMZRNH-LBPRGKRZSA-N 0 2 318.333 0.489 20 0 DCADLN Cc1ccnn1CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001386273086 987024291 /nfs/dbraw/zinc/02/42/91/987024291.db2.gz NUWNNRMLMCLHQZ-XVKPBYJWSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccnn1CC(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001386273086 987024297 /nfs/dbraw/zinc/02/42/97/987024297.db2.gz NUWNNRMLMCLHQZ-XVKPBYJWSA-N 0 2 324.278 0.713 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001386298659 987052336 /nfs/dbraw/zinc/05/23/36/987052336.db2.gz RSSRLADHCHXFMA-DFTQBPQZSA-N 0 2 312.263 0.400 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001386298659 987052348 /nfs/dbraw/zinc/05/23/48/987052348.db2.gz RSSRLADHCHXFMA-DFTQBPQZSA-N 0 2 312.263 0.400 20 0 DCADLN O=C(Cc1ncc[nH]1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386323065 987073144 /nfs/dbraw/zinc/07/31/44/987073144.db2.gz NMICKLSEQKFJSI-MATHAZKKSA-N 0 2 322.262 0.616 20 0 DCADLN CCS(=O)(=O)CC[N@@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001597209549 987123737 /nfs/dbraw/zinc/12/37/37/987123737.db2.gz KFDSDCXBABWHLH-JTQLQIEISA-N 0 2 316.383 0.048 20 0 DCADLN CCS(=O)(=O)CC[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001597209549 987123741 /nfs/dbraw/zinc/12/37/41/987123741.db2.gz KFDSDCXBABWHLH-JTQLQIEISA-N 0 2 316.383 0.048 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)ns1 ZINC001386416574 987134700 /nfs/dbraw/zinc/13/47/00/987134700.db2.gz GSARAWVGXZEBAO-MRVPVSSYSA-N 0 2 321.406 0.960 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001386701912 987321254 /nfs/dbraw/zinc/32/12/54/987321254.db2.gz QNOLLGKDAZCMRI-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001386701912 987321264 /nfs/dbraw/zinc/32/12/64/987321264.db2.gz QNOLLGKDAZCMRI-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CNC(=O)c1cc[nH]c(=O)c1)NC(=O)C(F)C(F)(F)F ZINC001386724189 987340676 /nfs/dbraw/zinc/34/06/76/987340676.db2.gz UILGPJYVNIIHAS-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)c1cc[nH]c(=O)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001386724189 987340682 /nfs/dbraw/zinc/34/06/82/987340682.db2.gz UILGPJYVNIIHAS-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cnoc1 ZINC001386935777 987513529 /nfs/dbraw/zinc/51/35/29/987513529.db2.gz OFHMPRGXZNTGPH-VIFPVBQESA-N 0 2 311.235 0.692 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cc1cnoc1 ZINC001386935777 987513534 /nfs/dbraw/zinc/51/35/34/987513534.db2.gz OFHMPRGXZNTGPH-VIFPVBQESA-N 0 2 311.235 0.692 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)C[N@@H+]1CCC[C@H]1C ZINC001387005566 987560658 /nfs/dbraw/zinc/56/06/58/987560658.db2.gz FUCIJTKPHWVGHK-VXGBXAGGSA-N 0 2 321.425 0.366 20 0 DCADLN CCc1[nH]c(C[NH2+]Cc2nnnn2CC2CC2)cc1C(=O)[O-] ZINC001597395406 987647530 /nfs/dbraw/zinc/64/75/30/987647530.db2.gz UOMKALWCGRJELM-UHFFFAOYSA-N 0 2 304.354 0.962 20 0 DCADLN CCc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001387749872 988114935 /nfs/dbraw/zinc/11/49/35/988114935.db2.gz NLCKHOMJCBEWDZ-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN CCc1cc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)[nH]n1 ZINC001387749872 988114942 /nfs/dbraw/zinc/11/49/42/988114942.db2.gz NLCKHOMJCBEWDZ-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F)C(=O)[O-] ZINC001599844700 988911487 /nfs/dbraw/zinc/91/14/87/988911487.db2.gz UOVIMAFKDVYUHY-BHNWBGBOSA-N 0 2 309.244 0.327 20 0 DCADLN Cc1ncn(C)c1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001389399138 989198702 /nfs/dbraw/zinc/19/87/02/989198702.db2.gz MJAVBMWWTPHUDL-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncn(C)c1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001389399138 989198709 /nfs/dbraw/zinc/19/87/09/989198709.db2.gz MJAVBMWWTPHUDL-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1[nH]nc(C(=O)NCCN2CC[NH+](CC3CC3)CC2)c1[O-] ZINC001389412497 989214694 /nfs/dbraw/zinc/21/46/94/989214694.db2.gz VJIVKMBWCYXGPH-UHFFFAOYSA-N 0 2 307.398 0.181 20 0 DCADLN CCc1onc(C)c1C[NH+]1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001597813681 989434561 /nfs/dbraw/zinc/43/45/61/989434561.db2.gz JMCWMTPDRQCKEG-INIZCTEOSA-N 0 2 323.393 0.907 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001450955699 1015480271 /nfs/dbraw/zinc/48/02/71/1015480271.db2.gz GUQGJSQUJOBJBW-CAHLUQPWSA-N 0 2 312.223 0.595 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001450955699 1015480278 /nfs/dbraw/zinc/48/02/78/1015480278.db2.gz GUQGJSQUJOBJBW-CAHLUQPWSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CCCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001390144754 989866081 /nfs/dbraw/zinc/86/60/81/989866081.db2.gz VQYIXVPQCFKEFT-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CCCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001390144754 989866085 /nfs/dbraw/zinc/86/60/85/989866085.db2.gz VQYIXVPQCFKEFT-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN CCC(C)(C)OCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001451007764 1015525577 /nfs/dbraw/zinc/52/55/77/1015525577.db2.gz CXZFYWLUOGMJRQ-UHFFFAOYSA-N 0 2 307.354 0.393 20 0 DCADLN O=C(COc1ccccc1F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391458695 990857983 /nfs/dbraw/zinc/85/79/83/990857983.db2.gz IIAKZTJUZCOBDS-UHFFFAOYSA-N 0 2 321.312 0.029 20 0 DCADLN O=C(CC(C1CC1)C1CC1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391463118 990862538 /nfs/dbraw/zinc/86/25/38/990862538.db2.gz WMJMZELBNDSZEH-UHFFFAOYSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@H](CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCO1 ZINC001391517589 990909098 /nfs/dbraw/zinc/90/90/98/990909098.db2.gz SBEIVFOXEISXOY-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN CC(C)SCC(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391606626 991006743 /nfs/dbraw/zinc/00/67/43/991006743.db2.gz XTBBALQBVIVDRK-SNVBAGLBSA-N 0 2 313.427 0.685 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)N2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)n1 ZINC001598256598 991066885 /nfs/dbraw/zinc/06/68/85/991066885.db2.gz SYNZATSRDQJHRL-IAZYJMLFSA-N 0 2 324.406 0.677 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001451151611 1015617060 /nfs/dbraw/zinc/61/70/60/1015617060.db2.gz IUSGXIXFOFGDFW-FXQIFTODSA-N 0 2 319.276 0.301 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001451151611 1015617063 /nfs/dbraw/zinc/61/70/63/1015617063.db2.gz IUSGXIXFOFGDFW-FXQIFTODSA-N 0 2 319.276 0.301 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)ns1 ZINC001392271648 991683068 /nfs/dbraw/zinc/68/30/68/991683068.db2.gz GKXCTAZKCPOVFB-UHFFFAOYSA-N 0 2 310.383 0.479 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ns1 ZINC001392271648 991683069 /nfs/dbraw/zinc/68/30/69/991683069.db2.gz GKXCTAZKCPOVFB-UHFFFAOYSA-N 0 2 310.383 0.479 20 0 DCADLN COc1nc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])ccc1C ZINC001599730278 991699831 /nfs/dbraw/zinc/69/98/31/991699831.db2.gz NBZBPZFSRFSCHQ-LBPRGKRZSA-N 0 2 307.350 0.564 20 0 DCADLN COc1nc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])ccc1C ZINC001599730278 991699832 /nfs/dbraw/zinc/69/98/32/991699832.db2.gz NBZBPZFSRFSCHQ-LBPRGKRZSA-N 0 2 307.350 0.564 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1Cc2ccccc21 ZINC001392299047 991715608 /nfs/dbraw/zinc/71/56/08/991715608.db2.gz COVIIPICQPYAJD-CYBMUJFWSA-N 0 2 315.377 0.740 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1Cc2ccccc21 ZINC001392299047 991715615 /nfs/dbraw/zinc/71/56/15/991715615.db2.gz COVIIPICQPYAJD-CYBMUJFWSA-N 0 2 315.377 0.740 20 0 DCADLN COc1cccnc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392321233 991750483 /nfs/dbraw/zinc/75/04/83/991750483.db2.gz ICSLFDBYVFEJOL-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN COc1cccnc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392321233 991750495 /nfs/dbraw/zinc/75/04/95/991750495.db2.gz ICSLFDBYVFEJOL-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN C[C@H](CNC(=O)Cc1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001392387486 991854521 /nfs/dbraw/zinc/85/45/21/991854521.db2.gz GJELHTJJXDSQHA-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)Cc1ccn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001392387486 991854524 /nfs/dbraw/zinc/85/45/24/991854524.db2.gz GJELHTJJXDSQHA-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN CN(CCC[N@H+](C)Cc1cnns1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001598476909 992093879 /nfs/dbraw/zinc/09/38/79/992093879.db2.gz AZSXPTGRHICKAX-GHMZBOCLSA-N 0 2 312.395 0.539 20 0 DCADLN CN(CCC[N@@H+](C)Cc1cnns1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001598476909 992093888 /nfs/dbraw/zinc/09/38/88/992093888.db2.gz AZSXPTGRHICKAX-GHMZBOCLSA-N 0 2 312.395 0.539 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1cc(C2CC2)no1 ZINC001598489464 992264612 /nfs/dbraw/zinc/26/46/12/992264612.db2.gz QITHORPYRWGIJJ-RYUDHWBXSA-N 0 2 307.350 0.821 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1cc(C2CC2)no1 ZINC001598489464 992264619 /nfs/dbraw/zinc/26/46/19/992264619.db2.gz QITHORPYRWGIJJ-RYUDHWBXSA-N 0 2 307.350 0.821 20 0 DCADLN CS(=O)(=O)c1cccnc1C[NH2+]Cc1c[nH]c(C(=O)[O-])c1 ZINC001599774044 992280264 /nfs/dbraw/zinc/28/02/64/992280264.db2.gz QNRMIIAIKJZHJA-UHFFFAOYSA-N 0 2 309.347 0.801 20 0 DCADLN CCc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001392705589 992292902 /nfs/dbraw/zinc/29/29/02/992292902.db2.gz FGHJISYGHDKBNI-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN CCc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001392705589 992292912 /nfs/dbraw/zinc/29/29/12/992292912.db2.gz FGHJISYGHDKBNI-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN CN(CC[NH2+]Cc1nnc(C(C)(C)C)o1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001598495916 992360400 /nfs/dbraw/zinc/36/04/00/992360400.db2.gz UCYRLIMKQAHOTF-NXEZZACHSA-N 0 2 324.381 0.636 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001392853511 992464384 /nfs/dbraw/zinc/46/43/84/992464384.db2.gz RMLHLNXPQNXURY-SFYZADRCSA-N 0 2 303.313 0.492 20 0 DCADLN CC(C)CC(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001392991382 992607469 /nfs/dbraw/zinc/60/74/69/992607469.db2.gz YFYHRZROJIYALH-UHFFFAOYSA-N 0 2 317.393 0.711 20 0 DCADLN CC(C)Cn1ncnc1C[N@@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001591538397 992715492 /nfs/dbraw/zinc/71/54/92/992715492.db2.gz GAUMFQFZQOBRRL-UHFFFAOYSA-N 0 2 304.354 0.914 20 0 DCADLN CC(C)Cn1ncnc1C[N@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001591538397 992715498 /nfs/dbraw/zinc/71/54/98/992715498.db2.gz GAUMFQFZQOBRRL-UHFFFAOYSA-N 0 2 304.354 0.914 20 0 DCADLN CC1(C(=O)NCC2(O)CN(Cc3n[nH]c(=O)[nH]3)C2)CCCCC1 ZINC001393337150 992892020 /nfs/dbraw/zinc/89/20/20/992892020.db2.gz GLDYCUOXMYSJTQ-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)[C@@H]2CCCC[C@H]2C(=O)[O-])CC1 ZINC001591633293 992936249 /nfs/dbraw/zinc/93/62/49/992936249.db2.gz CYBMVYWNJFAGGT-CHWSQXEVSA-N 0 2 318.439 0.986 20 0 DCADLN CC(C)CCC[N@@H+]1CCOC[C@H]1CNC(=O)CCc1cn[nH]n1 ZINC001393519784 993050448 /nfs/dbraw/zinc/05/04/48/993050448.db2.gz AHSJWILXUAHSOJ-OAHLLOKOSA-N 0 2 323.441 0.991 20 0 DCADLN Cn1c(N2CC[C@](C)(C(=O)[O-])C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001598570253 993186747 /nfs/dbraw/zinc/18/67/47/993186747.db2.gz RFIDBEKPOMDTEI-WKPIXPDZSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CC[C@](C)(C(=O)[O-])C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001598570253 993186751 /nfs/dbraw/zinc/18/67/51/993186751.db2.gz RFIDBEKPOMDTEI-WKPIXPDZSA-N 0 2 323.397 0.028 20 0 DCADLN C[C@@H](CNC(=O)CCc1c[nH]nn1)[N@H+](C)Cc1cncs1 ZINC001394108020 993483580 /nfs/dbraw/zinc/48/35/80/993483580.db2.gz WVKFAFULIFLPPG-JTQLQIEISA-N 0 2 308.411 0.831 20 0 DCADLN C[N@H+](CCNC(=O)Cc1ccccc1Cl)Cc1n[nH]c(=O)[n-]1 ZINC001399645205 993630587 /nfs/dbraw/zinc/63/05/87/993630587.db2.gz NAIWNSFYCYQCMR-UHFFFAOYSA-N 0 2 323.784 0.954 20 0 DCADLN C[N@@H+](CCNC(=O)Cc1ccccc1Cl)Cc1n[nH]c(=O)[n-]1 ZINC001399645205 993630590 /nfs/dbraw/zinc/63/05/90/993630590.db2.gz NAIWNSFYCYQCMR-UHFFFAOYSA-N 0 2 323.784 0.954 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnc(C2CC2)o1)Cc1n[nH]c(=O)[n-]1 ZINC001399724127 993706476 /nfs/dbraw/zinc/70/64/76/993706476.db2.gz OZIIWWROQWNPJM-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cnc(C2CC2)o1)Cc1n[nH]c(=O)[n-]1 ZINC001399724127 993706483 /nfs/dbraw/zinc/70/64/83/993706483.db2.gz OZIIWWROQWNPJM-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN Cc1c(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)cnn1C ZINC001399906491 993909868 /nfs/dbraw/zinc/90/98/68/993909868.db2.gz MKEVFFFLZKJISL-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1c(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)cnn1C ZINC001399906491 993909877 /nfs/dbraw/zinc/90/98/77/993909877.db2.gz MKEVFFFLZKJISL-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN CCc1nnc(C[N@@H+]2CC[C@H](CNC(=O)Cc3c[nH]cn3)C2)o1 ZINC001399925697 993939009 /nfs/dbraw/zinc/93/90/09/993939009.db2.gz HJJVRIOVIREBFI-LLVKDONJSA-N 0 2 318.381 0.536 20 0 DCADLN CN1CC[N@H+](CC[S@@](=O)C(F)(F)F)C[C@H](C(=O)[O-])C1 ZINC001598618358 993939821 /nfs/dbraw/zinc/93/98/21/993939821.db2.gz BSDWWXPYFOZBTK-LILOVNGRSA-N 0 2 302.318 0.203 20 0 DCADLN CN1CC[N@@H+](CC[S@@](=O)C(F)(F)F)C[C@H](C(=O)[O-])C1 ZINC001598618358 993939833 /nfs/dbraw/zinc/93/98/33/993939833.db2.gz BSDWWXPYFOZBTK-LILOVNGRSA-N 0 2 302.318 0.203 20 0 DCADLN C[C@H]([NH2+]CC(=O)Nc1ccc(C(=O)[O-])cc1)c1nncn1C ZINC001593236460 994405294 /nfs/dbraw/zinc/40/52/94/994405294.db2.gz FASSCQCHADZDCP-VIFPVBQESA-N 0 2 303.322 0.803 20 0 DCADLN CO[C@@H](C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001400709229 994825959 /nfs/dbraw/zinc/82/59/59/994825959.db2.gz AXRIGNMBJAIQBH-WCQYABFASA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)[NH+](C)CC(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001400741085 994866230 /nfs/dbraw/zinc/86/62/30/994866230.db2.gz NOSIZZQSNLMPBU-HNNXBMFYSA-N 0 2 324.469 0.386 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001598746743 995602163 /nfs/dbraw/zinc/60/21/63/995602163.db2.gz SSMFSCADOBAIKF-MWLCHTKSSA-N 0 2 309.322 0.125 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NCc1nnn(C)n1 ZINC001507076348 1015958185 /nfs/dbraw/zinc/95/81/85/1015958185.db2.gz HSBLXVQQPYIPJU-XPUUQOCRSA-N 0 2 312.271 0.047 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C)CC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001598758043 995744359 /nfs/dbraw/zinc/74/43/59/995744359.db2.gz RRCUPKJVHVTRMC-CMPLNLGQSA-N 0 2 310.354 0.179 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C)CC[N@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001598758043 995744365 /nfs/dbraw/zinc/74/43/65/995744365.db2.gz RRCUPKJVHVTRMC-CMPLNLGQSA-N 0 2 310.354 0.179 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])N(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001598776502 995935071 /nfs/dbraw/zinc/93/50/71/995935071.db2.gz OSLLRBYQVRTHAC-GXSJLCMTSA-N 0 2 309.322 0.125 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])N(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001598776997 995940978 /nfs/dbraw/zinc/94/09/78/995940978.db2.gz XVQLSPBFXUHDBP-BTQIBKBOSA-N 0 2 321.333 0.378 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])N(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001598776997 995940980 /nfs/dbraw/zinc/94/09/80/995940980.db2.gz XVQLSPBFXUHDBP-BTQIBKBOSA-N 0 2 321.333 0.378 20 0 DCADLN C[C@H](Oc1ccccc1C[N@@H+]1CCO[C@@H](CC(N)=O)C1)C(=O)[O-] ZINC001593646384 995984489 /nfs/dbraw/zinc/98/44/89/995984489.db2.gz QUCXVSXPKFAVDK-AAEUAGOBSA-N 0 2 322.361 0.615 20 0 DCADLN C[C@H](Oc1ccccc1C[N@H+]1CCO[C@@H](CC(N)=O)C1)C(=O)[O-] ZINC001593646384 995984493 /nfs/dbraw/zinc/98/44/93/995984493.db2.gz QUCXVSXPKFAVDK-AAEUAGOBSA-N 0 2 322.361 0.615 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n1C ZINC001397533851 996052446 /nfs/dbraw/zinc/05/24/46/996052446.db2.gz CNUZFNQULHJIOU-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)n1C ZINC001397533851 996052452 /nfs/dbraw/zinc/05/24/52/996052452.db2.gz CNUZFNQULHJIOU-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@H](CCNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001397774104 996167104 /nfs/dbraw/zinc/16/71/04/996167104.db2.gz OQDKVFUDMMWWKW-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CCNC(=O)Cc1cc[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001397774104 996167106 /nfs/dbraw/zinc/16/71/06/996167106.db2.gz OQDKVFUDMMWWKW-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](c1ccc(S(C)(=O)=O)cc1)[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001593700169 996174802 /nfs/dbraw/zinc/17/48/02/996174802.db2.gz XMYQXMSYBOFWSN-IINYFYTJSA-N 0 2 313.375 0.673 20 0 DCADLN C[C@@H](c1ccc(S(C)(=O)=O)cc1)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001593700169 996174805 /nfs/dbraw/zinc/17/48/05/996174805.db2.gz XMYQXMSYBOFWSN-IINYFYTJSA-N 0 2 313.375 0.673 20 0 DCADLN Cc1cnc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)cn1 ZINC001502705689 1016028321 /nfs/dbraw/zinc/02/83/21/1016028321.db2.gz CBULZDSUSCFUCY-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cnc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001502705689 1016028333 /nfs/dbraw/zinc/02/83/33/1016028333.db2.gz CBULZDSUSCFUCY-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN CCCN(CCNC(=O)c1cn[nH]c1-c1cnn(C)c1)C(C)=O ZINC001398264024 996436063 /nfs/dbraw/zinc/43/60/63/996436063.db2.gz DSUIJCHMYROPOC-UHFFFAOYSA-N 0 2 318.381 0.799 20 0 DCADLN C[N@H+](CCNC(=O)CCCn1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001492871478 996500055 /nfs/dbraw/zinc/50/00/55/996500055.db2.gz NETMVXSZWCKSRG-UHFFFAOYSA-N 0 2 306.370 0.340 20 0 DCADLN C[N@@H+](CCNC(=O)CCCn1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001492871478 996500061 /nfs/dbraw/zinc/50/00/61/996500061.db2.gz NETMVXSZWCKSRG-UHFFFAOYSA-N 0 2 306.370 0.340 20 0 DCADLN COC(=O)C[N@H+](CCN1CCOCC1)CC1(CC(=O)[O-])CC1 ZINC001598862534 996686227 /nfs/dbraw/zinc/68/62/27/996686227.db2.gz BQKJDQXEZSKYOW-UHFFFAOYSA-N 0 2 314.382 0.049 20 0 DCADLN COC(=O)C[N@@H+](CCN1CCOCC1)CC1(CC(=O)[O-])CC1 ZINC001598862534 996686230 /nfs/dbraw/zinc/68/62/30/996686230.db2.gz BQKJDQXEZSKYOW-UHFFFAOYSA-N 0 2 314.382 0.049 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001510919493 1016067112 /nfs/dbraw/zinc/06/71/12/1016067112.db2.gz BHKLCYSIEQMZKR-IKQLYWNUSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001510919493 1016067115 /nfs/dbraw/zinc/06/71/15/1016067115.db2.gz BHKLCYSIEQMZKR-IKQLYWNUSA-N 0 2 314.279 0.836 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)N(C)C(=O)C(F)C(F)(F)F ZINC001404467005 997143071 /nfs/dbraw/zinc/14/30/71/997143071.db2.gz YHFIUTLQCFGYQM-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001404467005 997143075 /nfs/dbraw/zinc/14/30/75/997143075.db2.gz YHFIUTLQCFGYQM-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001510974662 1016096922 /nfs/dbraw/zinc/09/69/22/1016096922.db2.gz YBUHXTLRIVGGAS-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1cccnc1 ZINC001510974662 1016096930 /nfs/dbraw/zinc/09/69/30/1016096930.db2.gz YBUHXTLRIVGGAS-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN COC[C@@H](C)[N@H+](C)[C@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001399294127 997167756 /nfs/dbraw/zinc/16/77/56/997167756.db2.gz ISQRGXOGHOPQFD-PWSUYJOCSA-N 0 2 310.398 0.995 20 0 DCADLN COC[C@@H](C)[N@@H+](C)[C@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001399294127 997167768 /nfs/dbraw/zinc/16/77/68/997167768.db2.gz ISQRGXOGHOPQFD-PWSUYJOCSA-N 0 2 310.398 0.995 20 0 DCADLN COCc1csc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001599298634 997192099 /nfs/dbraw/zinc/19/20/99/997192099.db2.gz MSFWLKBKVGEIPJ-SNVBAGLBSA-N 0 2 309.347 0.974 20 0 DCADLN COC12CCC(C(=O)N3CC[NH+](CC(=O)[O-])CC3)(CC1)C(=O)C2 ZINC001599093217 997231441 /nfs/dbraw/zinc/23/14/41/997231441.db2.gz QVRINRWXONMBJV-UHFFFAOYSA-N 0 2 324.377 0.134 20 0 DCADLN C[C@]1(C2CC2)COCC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001593991578 997253309 /nfs/dbraw/zinc/25/33/09/997253309.db2.gz KAODESXTKKTRET-MRXNPFEDSA-N 0 2 310.394 0.811 20 0 DCADLN C[C@]1(C2CC2)COCC[N@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001593991578 997253316 /nfs/dbraw/zinc/25/33/16/997253316.db2.gz KAODESXTKKTRET-MRXNPFEDSA-N 0 2 310.394 0.811 20 0 DCADLN COCC1(O)CC[NH+](CC(=O)Nc2ccccc2C(=O)[O-])CC1 ZINC001599201636 997317980 /nfs/dbraw/zinc/31/79/80/997317980.db2.gz MBKRWWJALAPYOG-UHFFFAOYSA-N 0 2 322.361 0.797 20 0 DCADLN C[C@H](c1nncn1C)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001399487731 997430970 /nfs/dbraw/zinc/43/09/70/997430970.db2.gz YCXPQUSUQOKTPY-SFYZADRCSA-N 0 2 311.283 0.825 20 0 DCADLN C[C@H](c1nncn1C)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001399487731 997430987 /nfs/dbraw/zinc/43/09/87/997430987.db2.gz YCXPQUSUQOKTPY-SFYZADRCSA-N 0 2 311.283 0.825 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001503043596 1016150528 /nfs/dbraw/zinc/15/05/28/1016150528.db2.gz HJPRUSNVNRHZNT-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001503043596 1016150538 /nfs/dbraw/zinc/15/05/38/1016150538.db2.gz HJPRUSNVNRHZNT-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@@H]2C(N)=O)cc(C(=O)[O-])c1 ZINC001598931947 997778072 /nfs/dbraw/zinc/77/80/72/997778072.db2.gz DGXPASUCJSPKOJ-GFCCVEGCSA-N 0 2 306.318 0.621 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@@H]2C(N)=O)cc(C(=O)[O-])c1 ZINC001598931947 997778084 /nfs/dbraw/zinc/77/80/84/997778084.db2.gz DGXPASUCJSPKOJ-GFCCVEGCSA-N 0 2 306.318 0.621 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001467507837 1016176322 /nfs/dbraw/zinc/17/63/22/1016176322.db2.gz FVBCDVIQPSQFNC-BBBLOLIVSA-N 0 2 308.338 0.416 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@@H+]2C[C@H](O)[C@H](CO)C2)c1 ZINC001599450475 998086324 /nfs/dbraw/zinc/08/63/24/998086324.db2.gz GHNGDUJBOXWJNC-LEWSCRJBSA-N 0 2 311.334 0.115 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2C[C@H](O)[C@H](CO)C2)c1 ZINC001599450475 998086330 /nfs/dbraw/zinc/08/63/30/998086330.db2.gz GHNGDUJBOXWJNC-LEWSCRJBSA-N 0 2 311.334 0.115 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@H]2C(=O)NC[C@H](O)CC(=O)[O-])cc1 ZINC001599560912 998193215 /nfs/dbraw/zinc/19/32/15/998193215.db2.gz OOYIFIZQVKXDCE-OCCSQVGLSA-N 0 2 322.361 0.221 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@H]2C(=O)NC[C@H](O)CC(=O)[O-])cc1 ZINC001599560912 998193220 /nfs/dbraw/zinc/19/32/20/998193220.db2.gz OOYIFIZQVKXDCE-OCCSQVGLSA-N 0 2 322.361 0.221 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@@]2(C)C[C@@H](O)C[N@@H+]2C)c1O ZINC001599357844 998209602 /nfs/dbraw/zinc/20/96/02/998209602.db2.gz DNCBRRLZRPEYCE-RFAUZJTJSA-N 0 2 324.333 0.493 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@@]2(C)C[C@@H](O)C[N@H+]2C)c1O ZINC001599357844 998209613 /nfs/dbraw/zinc/20/96/13/998209613.db2.gz DNCBRRLZRPEYCE-RFAUZJTJSA-N 0 2 324.333 0.493 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@@H]2C[N@H+](C)CCO2)c1O ZINC001599359641 998238365 /nfs/dbraw/zinc/23/83/65/998238365.db2.gz YYAIKOICGXDWOE-NSHDSACASA-N 0 2 310.306 0.368 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@@H]2C[N@@H+](C)CCO2)c1O ZINC001599359641 998238379 /nfs/dbraw/zinc/23/83/79/998238379.db2.gz YYAIKOICGXDWOE-NSHDSACASA-N 0 2 310.306 0.368 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)C1CCC(C(=O)[O-])CC1 ZINC001594176799 998682049 /nfs/dbraw/zinc/68/20/49/998682049.db2.gz MBEBXMGXOKEDCS-ZSVAQUKISA-N 0 2 318.439 0.984 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)C1CCC(C(=O)[O-])CC1 ZINC001594176799 998682053 /nfs/dbraw/zinc/68/20/53/998682053.db2.gz MBEBXMGXOKEDCS-ZSVAQUKISA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H](N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C(C)(C)O ZINC001468227842 1016233873 /nfs/dbraw/zinc/23/38/73/1016233873.db2.gz WWPUYJNFUUIUOS-SECBINFHSA-N 0 2 320.349 0.498 20 0 DCADLN C[C@H](NC(=O)C[N@H+](C)[C@H]1CCSC1)c1nc(C(=O)[O-])n[nH]1 ZINC001573561121 1163500895 /nfs/dbraw/zinc/50/08/95/1163500895.db2.gz CEUVFDYYALTFFG-YUMQZZPRSA-N 0 2 313.383 0.117 20 0 DCADLN C[C@H](NC(=O)C[N@@H+](C)[C@H]1CCSC1)c1nc(C(=O)[O-])n[nH]1 ZINC001573561121 1163500912 /nfs/dbraw/zinc/50/09/12/1163500912.db2.gz CEUVFDYYALTFFG-YUMQZZPRSA-N 0 2 313.383 0.117 20 0 DCADLN CNC(=O)NCCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001469303463 1016327707 /nfs/dbraw/zinc/32/77/07/1016327707.db2.gz LJCHTAWTVUOJSL-UHFFFAOYSA-N 0 2 321.312 0.376 20 0 DCADLN CSCC(=O)NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001511548865 1016346327 /nfs/dbraw/zinc/34/63/27/1016346327.db2.gz LLIWZFUSRRMYFC-SVRRBLITSA-N 0 2 320.308 0.185 20 0 DCADLN CSCC(=O)NC[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001511548865 1016346339 /nfs/dbraw/zinc/34/63/39/1016346339.db2.gz LLIWZFUSRRMYFC-SVRRBLITSA-N 0 2 320.308 0.185 20 0 DCADLN C[C@@H](CCNC(=O)Cc1c[nH+]cn1C)[NH2+]Cc1nccn1C ZINC001418430951 1000213128 /nfs/dbraw/zinc/21/31/28/1000213128.db2.gz MYQXDFLZVOMJLC-LBPRGKRZSA-N 0 2 304.398 0.381 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@H+](C)CCNC(=O)c1n[nH]c(C)c1C ZINC001418448130 1000228470 /nfs/dbraw/zinc/22/84/70/1000228470.db2.gz HZEXKXHIGUFBDO-SNVBAGLBSA-N 0 2 309.414 0.603 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418501306 1000291246 /nfs/dbraw/zinc/29/12/46/1000291246.db2.gz IMWXFTKHBMINCF-JTQLQIEISA-N 0 2 307.354 0.530 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418501306 1000291250 /nfs/dbraw/zinc/29/12/50/1000291250.db2.gz IMWXFTKHBMINCF-JTQLQIEISA-N 0 2 307.354 0.530 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NC[C@@H](O)[C@H](C)O)=N1 ZINC001418669600 1000437427 /nfs/dbraw/zinc/43/74/27/1000437427.db2.gz AFYQBGLEPIVXAK-JOYOIKCWSA-N 0 2 323.324 0.497 20 0 DCADLN CNC(=O)NCC(=O)Nc1nccc(Br)c1O ZINC001418747978 1000490546 /nfs/dbraw/zinc/49/05/46/1000490546.db2.gz PFICNKZAALLOAM-UHFFFAOYSA-N 0 2 303.116 0.417 20 0 DCADLN Cn1cc(CN(CCNC(=O)C(F)C(F)(F)F)C2CC2)nn1 ZINC001418763412 1000500812 /nfs/dbraw/zinc/50/08/12/1000500812.db2.gz KNMBQGFGKSORJD-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN(CCNC(=O)[C@@H](F)C(F)(F)F)C2CC2)nn1 ZINC001418763412 1000500816 /nfs/dbraw/zinc/50/08/16/1000500816.db2.gz KNMBQGFGKSORJD-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN CC(C)(C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)F ZINC001418958016 1000646178 /nfs/dbraw/zinc/64/61/78/1000646178.db2.gz RSOHLNZUZZCXGF-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN Cc1nnc([C@@H](C)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001418970598 1000656055 /nfs/dbraw/zinc/65/60/55/1000656055.db2.gz FGIIATPYQBANJZ-XRGYYRRGSA-N 0 2 309.267 0.875 20 0 DCADLN CCO[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001419048890 1000722009 /nfs/dbraw/zinc/72/20/09/1000722009.db2.gz KAPPFTSWTATAPI-GVXVVHGQSA-N 0 2 321.381 0.111 20 0 DCADLN CC(C)NC(=O)CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001401898177 1000834018 /nfs/dbraw/zinc/83/40/18/1000834018.db2.gz XYVKZTZNIKKBSS-WPRPVWTQSA-N 0 2 313.295 0.602 20 0 DCADLN CC(C)NC(=O)CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001401898177 1000834023 /nfs/dbraw/zinc/83/40/23/1000834023.db2.gz XYVKZTZNIKKBSS-WPRPVWTQSA-N 0 2 313.295 0.602 20 0 DCADLN CC(C)(C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001401944259 1000886529 /nfs/dbraw/zinc/88/65/29/1000886529.db2.gz YIOOINDPERRGDC-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN CCC(=O)N(CCNC(=O)C(F)C(F)(F)F)CCOC ZINC001511856137 1016472274 /nfs/dbraw/zinc/47/22/74/1016472274.db2.gz VGLROSIOHGITPX-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCC(=O)N(CCNC(=O)[C@H](F)C(F)(F)F)CCOC ZINC001511856137 1016472280 /nfs/dbraw/zinc/47/22/80/1016472280.db2.gz VGLROSIOHGITPX-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCO[C@@H](C)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001403366516 1001887589 /nfs/dbraw/zinc/88/75/89/1001887589.db2.gz WBHKSGRSTSZKHH-VHSXEESVSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NCC[N@H+](C)Cc1cncc(F)c1 ZINC001403624378 1002226366 /nfs/dbraw/zinc/22/63/66/1002226366.db2.gz JBWLNLFCPOKEEO-UHFFFAOYSA-N 0 2 305.357 0.974 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCC[C@@H]1OC)Cc1n[nH]c(=O)[n-]1 ZINC001420405567 1002365251 /nfs/dbraw/zinc/36/52/51/1002365251.db2.gz PQVKSGIZNMSHQR-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCC[C@@H]1OC)Cc1n[nH]c(=O)[n-]1 ZINC001420405567 1002365266 /nfs/dbraw/zinc/36/52/66/1002365266.db2.gz PQVKSGIZNMSHQR-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001420406515 1002366264 /nfs/dbraw/zinc/36/62/64/1002366264.db2.gz BTQNUZPWJYLKCD-IONNQARKSA-N 0 2 322.369 0.838 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001425281745 1002510862 /nfs/dbraw/zinc/51/08/62/1002510862.db2.gz GGYKZZJBPFXRRP-HTRCEHHLSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001425281745 1002510868 /nfs/dbraw/zinc/51/08/68/1002510868.db2.gz GGYKZZJBPFXRRP-HTRCEHHLSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(COCC1CC1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001508201660 1016706843 /nfs/dbraw/zinc/70/68/43/1016706843.db2.gz XTSQZPNYXHMTHR-UHFFFAOYSA-N 0 2 321.381 0.185 20 0 DCADLN O=C(COCC1CC1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001508201660 1016706852 /nfs/dbraw/zinc/70/68/52/1016706852.db2.gz XTSQZPNYXHMTHR-UHFFFAOYSA-N 0 2 321.381 0.185 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2CCC[C@@H](F)C2)[nH]1 ZINC001472084750 1016723336 /nfs/dbraw/zinc/72/33/36/1016723336.db2.gz IIZDBSBIFYRDTN-RKDXNWHRSA-N 0 2 318.374 0.494 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+](C)C[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001420920735 1003131837 /nfs/dbraw/zinc/13/18/37/1003131837.db2.gz BAINZDZPWBVTJH-KBPBESRZSA-N 0 2 321.425 0.317 20 0 DCADLN O=C(CCCF)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001421066169 1003285208 /nfs/dbraw/zinc/28/52/08/1003285208.db2.gz KITQBWPMMIZUKK-UHFFFAOYSA-N 0 2 307.329 0.073 20 0 DCADLN CO[C@@H](C)C[N@H+](C)CCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001406131324 1003401764 /nfs/dbraw/zinc/40/17/64/1003401764.db2.gz SUZCLAHIXWQCAN-BFHYXJOUSA-N 0 2 308.426 0.949 20 0 DCADLN C[C@H](C(=O)N(C)CCN(C)Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001406133424 1003402640 /nfs/dbraw/zinc/40/26/40/1003402640.db2.gz ZJTPUNDFLNJLNX-MRVPVSSYSA-N 0 2 305.329 0.692 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001406133424 1003402648 /nfs/dbraw/zinc/40/26/48/1003402648.db2.gz ZJTPUNDFLNJLNX-MRVPVSSYSA-N 0 2 305.329 0.692 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001406133424 1003402654 /nfs/dbraw/zinc/40/26/54/1003402654.db2.gz ZJTPUNDFLNJLNX-MRVPVSSYSA-N 0 2 305.329 0.692 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccco1 ZINC001406586768 1003888184 /nfs/dbraw/zinc/88/81/84/1003888184.db2.gz QUTGCJJHJJSJMT-JTQLQIEISA-N 0 2 307.354 0.673 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccco1 ZINC001406586768 1003888197 /nfs/dbraw/zinc/88/81/97/1003888197.db2.gz QUTGCJJHJJSJMT-JTQLQIEISA-N 0 2 307.354 0.673 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cccnn1 ZINC001426688203 1003965917 /nfs/dbraw/zinc/96/59/17/1003965917.db2.gz SEJXRYCOEGPXSB-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cccnn1 ZINC001426688203 1003965923 /nfs/dbraw/zinc/96/59/23/1003965923.db2.gz SEJXRYCOEGPXSB-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN CCCc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001406675359 1003990627 /nfs/dbraw/zinc/99/06/27/1003990627.db2.gz FCPIBTZDZJJRDR-VIFPVBQESA-N 0 2 322.369 0.701 20 0 DCADLN CCCc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001406675359 1003990634 /nfs/dbraw/zinc/99/06/34/1003990634.db2.gz FCPIBTZDZJJRDR-VIFPVBQESA-N 0 2 322.369 0.701 20 0 DCADLN CO[C@@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001426834145 1004072602 /nfs/dbraw/zinc/07/26/02/1004072602.db2.gz AWBPUYCJNXZRLN-MAUMQABQSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001426834145 1004072608 /nfs/dbraw/zinc/07/26/08/1004072608.db2.gz AWBPUYCJNXZRLN-MAUMQABQSA-N 0 2 314.279 0.933 20 0 DCADLN Cc1nnccc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001428656193 1004093180 /nfs/dbraw/zinc/09/31/80/1004093180.db2.gz XLYGIJXYKOAWAF-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nnccc1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001428656193 1004093202 /nfs/dbraw/zinc/09/32/02/1004093202.db2.gz XLYGIJXYKOAWAF-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@@H](CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)n1cc[nH+]c1 ZINC001406887026 1004189257 /nfs/dbraw/zinc/18/92/57/1004189257.db2.gz ZWEOPKGUFWKMQV-WDEREUQCSA-N 0 2 319.369 0.049 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001428884965 1004241092 /nfs/dbraw/zinc/24/10/92/1004241092.db2.gz QMNIQPAQHJRJSN-SVRRBLITSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cn[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001428884965 1004241099 /nfs/dbraw/zinc/24/10/99/1004241099.db2.gz QMNIQPAQHJRJSN-SVRRBLITSA-N 0 2 323.250 0.283 20 0 DCADLN CCOCC(=O)N(C)C[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001427449762 1004393262 /nfs/dbraw/zinc/39/32/62/1004393262.db2.gz NXLUSILBLUJMJT-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N(C)C[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001427449762 1004393267 /nfs/dbraw/zinc/39/32/67/1004393267.db2.gz NXLUSILBLUJMJT-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN C[C@@H]1CC[C@H](CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001427681376 1004530994 /nfs/dbraw/zinc/53/09/94/1004530994.db2.gz AJCBYWAOQJLUMI-GMTAPVOTSA-N 0 2 309.370 0.967 20 0 DCADLN COCC(=O)N1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001407385022 1004612864 /nfs/dbraw/zinc/61/28/64/1004612864.db2.gz XRRWUPJDDOCUPB-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001407385022 1004612870 /nfs/dbraw/zinc/61/28/70/1004612870.db2.gz XRRWUPJDDOCUPB-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001427828042 1004632176 /nfs/dbraw/zinc/63/21/76/1004632176.db2.gz RGGBKNANEGWHJN-NXEZZACHSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccccc1 ZINC001427828042 1004632179 /nfs/dbraw/zinc/63/21/79/1004632179.db2.gz RGGBKNANEGWHJN-NXEZZACHSA-N 0 2 322.258 0.794 20 0 DCADLN COCCC(=O)N(C)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001429581345 1004681766 /nfs/dbraw/zinc/68/17/66/1004681766.db2.gz GPDLVRREBLIHNY-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N(C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001429581345 1004681759 /nfs/dbraw/zinc/68/17/59/1004681759.db2.gz GPDLVRREBLIHNY-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001408470163 1005212690 /nfs/dbraw/zinc/21/26/90/1005212690.db2.gz HSEBSWZIXNZKSL-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001408470163 1005212695 /nfs/dbraw/zinc/21/26/95/1005212695.db2.gz HSEBSWZIXNZKSL-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)NC[C@@H](O)C(F)(F)F ZINC001414246155 1005264484 /nfs/dbraw/zinc/26/44/84/1005264484.db2.gz INULAVXAUDMLNY-ZCFIWIBFSA-N 0 2 317.241 0.268 20 0 DCADLN CC(=O)N1CCO[C@@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001414422595 1005319067 /nfs/dbraw/zinc/31/90/67/1005319067.db2.gz FAMXFGWCBWMLQE-WPRPVWTQSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)N1CCO[C@@](C)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001414422595 1005319072 /nfs/dbraw/zinc/31/90/72/1005319072.db2.gz FAMXFGWCBWMLQE-WPRPVWTQSA-N 0 2 300.252 0.640 20 0 DCADLN CCO[C@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001414486607 1005342865 /nfs/dbraw/zinc/34/28/65/1005342865.db2.gz HMMZTRBEHUBNRA-RKDXNWHRSA-N 0 2 314.279 0.983 20 0 DCADLN CCO[C@H](C)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001414486607 1005342868 /nfs/dbraw/zinc/34/28/68/1005342868.db2.gz HMMZTRBEHUBNRA-RKDXNWHRSA-N 0 2 314.279 0.983 20 0 DCADLN CC1(C)C[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CO1 ZINC001414736073 1005392825 /nfs/dbraw/zinc/39/28/25/1005392825.db2.gz NXJNNYXPFMNOPC-VHSXEESVSA-N 0 2 309.370 0.967 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414930774 1005426163 /nfs/dbraw/zinc/42/61/63/1005426163.db2.gz YSAUQBXSXWTINP-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001414930774 1005426164 /nfs/dbraw/zinc/42/61/64/1005426164.db2.gz YSAUQBXSXWTINP-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001415011598 1005441682 /nfs/dbraw/zinc/44/16/82/1005441682.db2.gz LSGFKBCPVSJVQL-BKPPORCPSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001415011598 1005441684 /nfs/dbraw/zinc/44/16/84/1005441684.db2.gz LSGFKBCPVSJVQL-BKPPORCPSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1ccsc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001415104134 1005457731 /nfs/dbraw/zinc/45/77/31/1005457731.db2.gz HYNPQTIEDGKQRA-UHFFFAOYSA-N 0 2 307.379 0.838 20 0 DCADLN Cc1csc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC001415125467 1005464059 /nfs/dbraw/zinc/46/40/59/1005464059.db2.gz OQSADJJQUCTNAV-UHFFFAOYSA-N 0 2 307.379 0.838 20 0 DCADLN CCN(CCNC(=O)Cn1cccn1)C(=O)C(F)C(F)(F)F ZINC001415640427 1005581984 /nfs/dbraw/zinc/58/19/84/1005581984.db2.gz SAWNXIBPOLYTER-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CCN(CCNC(=O)Cn1cccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001415640427 1005581986 /nfs/dbraw/zinc/58/19/86/1005581986.db2.gz SAWNXIBPOLYTER-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001416092814 1005658817 /nfs/dbraw/zinc/65/88/17/1005658817.db2.gz ICUIAZFLIPKWSY-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H]2CCCO2)C1)[C@H](F)C(F)(F)F ZINC001416092814 1005658818 /nfs/dbraw/zinc/65/88/18/1005658818.db2.gz ICUIAZFLIPKWSY-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN CC1(C)CO[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001417578453 1005845843 /nfs/dbraw/zinc/84/58/43/1005845843.db2.gz GVMXAMJMUGONBG-SECBINFHSA-N 0 2 305.338 0.003 20 0 DCADLN O=C(NC[C@@H]1CCOC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417609464 1005851081 /nfs/dbraw/zinc/85/10/81/1005851081.db2.gz FDZPLQNDYOVRKV-VIFPVBQESA-N 0 2 304.306 0.033 20 0 DCADLN CC(C)[C@@H](CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417684348 1005867664 /nfs/dbraw/zinc/86/76/64/1005867664.db2.gz AUPGPJMZYBQSJC-LLVKDONJSA-N 0 2 306.322 0.013 20 0 DCADLN CC1(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCOCC1 ZINC001417687873 1005868570 /nfs/dbraw/zinc/86/85/70/1005868570.db2.gz FDTKFQSJDYIJRE-UHFFFAOYSA-N 0 2 318.333 0.565 20 0 DCADLN COc1cc(=O)[nH]cc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001417727148 1005877282 /nfs/dbraw/zinc/87/72/82/1005877282.db2.gz LBGKOSQWSPIZGL-MRVPVSSYSA-N 0 2 319.321 0.639 20 0 DCADLN O=C(c1ccc(CO)nc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001417727765 1005877411 /nfs/dbraw/zinc/87/74/11/1005877411.db2.gz YQXJHPGAWPLSDX-SNVBAGLBSA-N 0 2 303.322 0.417 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NC[C@H]1C[C@H]([NH2+]Cc2cnon2)C1 ZINC001417797152 1005892769 /nfs/dbraw/zinc/89/27/69/1005892769.db2.gz BVUXGHPMDDAVFK-HAQNSBGRSA-N 0 2 304.354 0.259 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@H](O)[C@H](CO)C1 ZINC001417934980 1005931315 /nfs/dbraw/zinc/93/13/15/1005931315.db2.gz WCBKLUGLSQEAKI-JQWIXIFHSA-N 0 2 303.318 0.003 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@@H](O)[C@H](CO)C1 ZINC001417934826 1005931655 /nfs/dbraw/zinc/93/16/55/1005931655.db2.gz VJBGSACIDBZQIE-JOYOIKCWSA-N 0 2 321.308 0.142 20 0 DCADLN O=C(N[C@H]1CCCOCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434788116 1005976558 /nfs/dbraw/zinc/97/65/58/1005976558.db2.gz IKVHBBRDBUBRMW-MNOVXSKESA-N 0 2 309.370 0.968 20 0 DCADLN C[C@@]1(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC(=O)N1 ZINC001434809418 1005995799 /nfs/dbraw/zinc/99/57/99/1005995799.db2.gz HOLNYXGHKMEQMJ-OTYXRUKQSA-N 0 2 322.369 0.068 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(C2CC2)n[nH]1 ZINC001438922735 1006233459 /nfs/dbraw/zinc/23/34/59/1006233459.db2.gz UTQRZNNBFVCGIV-UHFFFAOYSA-N 0 2 319.369 0.315 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(C2CC2)n[nH]1 ZINC001438922735 1006233469 /nfs/dbraw/zinc/23/34/69/1006233469.db2.gz UTQRZNNBFVCGIV-UHFFFAOYSA-N 0 2 319.369 0.315 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+][C@@H](C)CNC(=O)Cn2c[nH+]cc2C)[nH]1 ZINC001418257532 1006258193 /nfs/dbraw/zinc/25/81/93/1006258193.db2.gz JHYOCWISNDTBON-CMPLNLGQSA-N 0 2 319.413 0.728 20 0 DCADLN C[C@H](CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccn1 ZINC001436742516 1006317553 /nfs/dbraw/zinc/31/75/53/1006317553.db2.gz TVNCRAHGLYSCAG-MNOVXSKESA-N 0 2 319.369 0.049 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cnccn1 ZINC001452051144 1006572349 /nfs/dbraw/zinc/57/23/49/1006572349.db2.gz FSMNCSPXWQKZKR-VIFPVBQESA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1cnccn1 ZINC001452051144 1006572359 /nfs/dbraw/zinc/57/23/59/1006572359.db2.gz FSMNCSPXWQKZKR-VIFPVBQESA-N 0 2 322.262 0.907 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001452471472 1006859353 /nfs/dbraw/zinc/85/93/53/1006859353.db2.gz YNYVALIPRWZTMG-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)[nH]1 ZINC001452471472 1006859363 /nfs/dbraw/zinc/85/93/63/1006859363.db2.gz YNYVALIPRWZTMG-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN CC(C)(C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)F ZINC001439543692 1006984516 /nfs/dbraw/zinc/98/45/16/1006984516.db2.gz CRCFBPYNQITQDS-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN Cc1c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C(C)C ZINC001437540692 1007111539 /nfs/dbraw/zinc/11/15/39/1007111539.db2.gz BDFINWLQQNGDNV-UHFFFAOYSA-N 0 2 321.385 0.458 20 0 DCADLN Cc1c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C(C)C ZINC001437540692 1007111549 /nfs/dbraw/zinc/11/15/49/1007111549.db2.gz BDFINWLQQNGDNV-UHFFFAOYSA-N 0 2 321.385 0.458 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CC(=O)NC2CC2)C1 ZINC001439724683 1007157437 /nfs/dbraw/zinc/15/74/37/1007157437.db2.gz FXEYNNFSXRBPQB-JTQLQIEISA-N 0 2 311.279 0.308 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(CC(=O)NC2CC2)C1 ZINC001439724683 1007157441 /nfs/dbraw/zinc/15/74/41/1007157441.db2.gz FXEYNNFSXRBPQB-JTQLQIEISA-N 0 2 311.279 0.308 20 0 DCADLN COC[C@@H](C)[C@@H](C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001439726853 1007159386 /nfs/dbraw/zinc/15/93/86/1007159386.db2.gz ZVNQGRLEWIUWCH-NXEZZACHSA-N 0 2 311.386 0.072 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnccn1)C(F)C(F)(F)F ZINC001453165549 1007285082 /nfs/dbraw/zinc/28/50/82/1007285082.db2.gz JNYXJXKXYISTTH-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnccn1)[C@H](F)C(F)(F)F ZINC001453165549 1007285092 /nfs/dbraw/zinc/28/50/92/1007285092.db2.gz JNYXJXKXYISTTH-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCc1ccon1 ZINC001440242639 1007603826 /nfs/dbraw/zinc/60/38/26/1007603826.db2.gz VLDAFGRDUMDGFX-NSHDSACASA-N 0 2 320.353 0.164 20 0 DCADLN C[C@H](c1nnnn1C)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001438124355 1007651349 /nfs/dbraw/zinc/65/13/49/1007651349.db2.gz UVWNNEJIUKLDRK-SVRRBLITSA-N 0 2 324.282 0.220 20 0 DCADLN C[C@H](c1nnnn1C)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001438124355 1007651356 /nfs/dbraw/zinc/65/13/56/1007651356.db2.gz UVWNNEJIUKLDRK-SVRRBLITSA-N 0 2 324.282 0.220 20 0 DCADLN CC(C)(C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001438132956 1007659780 /nfs/dbraw/zinc/65/97/80/1007659780.db2.gz LCMKFHWWXDSSKK-UHFFFAOYSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCc2c[nH]nn2)CC[N@@H+]1Cc1ccns1 ZINC001440502291 1007842020 /nfs/dbraw/zinc/84/20/20/1007842020.db2.gz RWGJEMOEBBBMNX-MFKMUULPSA-N 0 2 320.422 0.973 20 0 DCADLN CO[C@H](C)CC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001453624918 1008621697 /nfs/dbraw/zinc/62/16/97/1008621697.db2.gz GDALYJNSZGLGOH-GMSGAONNSA-N 0 2 314.279 0.981 20 0 DCADLN CO[C@H](C)CC(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001453624918 1008621701 /nfs/dbraw/zinc/62/17/01/1008621701.db2.gz GDALYJNSZGLGOH-GMSGAONNSA-N 0 2 314.279 0.981 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001433432471 1008780199 /nfs/dbraw/zinc/78/01/99/1008780199.db2.gz FPMQPHAGTOIZKI-HTRCEHHLSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001433432471 1008780189 /nfs/dbraw/zinc/78/01/89/1008780189.db2.gz FPMQPHAGTOIZKI-HTRCEHHLSA-N 0 2 310.251 0.853 20 0 DCADLN CCOc1nc(C)ccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001454189833 1008989074 /nfs/dbraw/zinc/98/90/74/1008989074.db2.gz PKQPFGITUWKNGC-UHFFFAOYSA-N 0 2 304.306 0.920 20 0 DCADLN CCOc1nc(C)ccc1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001454189833 1008989087 /nfs/dbraw/zinc/98/90/87/1008989087.db2.gz PKQPFGITUWKNGC-UHFFFAOYSA-N 0 2 304.306 0.920 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001454316737 1009065650 /nfs/dbraw/zinc/06/56/50/1009065650.db2.gz UCUQSHGQHLZGMD-ZXFLCMHBSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC001454316737 1009065662 /nfs/dbraw/zinc/06/56/62/1009065662.db2.gz UCUQSHGQHLZGMD-ZXFLCMHBSA-N 0 2 322.262 0.698 20 0 DCADLN CN1CC[N@H+](C)C[C@@H]1CNC(=O)c1ccc(C[NH+]2CCCC2)o1 ZINC001433694641 1009083129 /nfs/dbraw/zinc/08/31/29/1009083129.db2.gz NNOLBWXQUPVVNG-AWEZNQCLSA-N 0 2 320.437 0.851 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001433742265 1009136572 /nfs/dbraw/zinc/13/65/72/1009136572.db2.gz XMVDUDCPAQZPST-WPRPVWTQSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001433742265 1009136580 /nfs/dbraw/zinc/13/65/80/1009136580.db2.gz XMVDUDCPAQZPST-WPRPVWTQSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1nnc(CCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001433941076 1009375725 /nfs/dbraw/zinc/37/57/25/1009375725.db2.gz BPFVLJQKJVMGRW-SECBINFHSA-N 0 2 306.326 0.541 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2CCN(CC(F)F)C2)S1 ZINC001455156275 1009529221 /nfs/dbraw/zinc/52/92/21/1009529221.db2.gz POSRYLQLCCDGSI-YUMQZZPRSA-N 0 2 320.365 0.246 20 0 DCADLN CCn1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)cn1 ZINC001455279678 1009629493 /nfs/dbraw/zinc/62/94/93/1009629493.db2.gz FQACTHLEOMNJLJ-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CCn1cc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001455279678 1009629498 /nfs/dbraw/zinc/62/94/98/1009629498.db2.gz FQACTHLEOMNJLJ-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@@H]1C[C@H]1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001442691977 1009633153 /nfs/dbraw/zinc/63/31/53/1009633153.db2.gz HWCWKDIKXFIDCV-RYUDHWBXSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@@H]1C[C@H]1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001442691977 1009633160 /nfs/dbraw/zinc/63/31/60/1009633160.db2.gz HWCWKDIKXFIDCV-RYUDHWBXSA-N 0 2 307.398 0.885 20 0 DCADLN CCc1ncc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)s1 ZINC001442766187 1009713116 /nfs/dbraw/zinc/71/31/16/1009713116.db2.gz VFLFQNGCGGLOGQ-UHFFFAOYSA-N 0 2 324.410 0.781 20 0 DCADLN CCc1ncc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)s1 ZINC001442766187 1009713126 /nfs/dbraw/zinc/71/31/26/1009713126.db2.gz VFLFQNGCGGLOGQ-UHFFFAOYSA-N 0 2 324.410 0.781 20 0 DCADLN COC(C)(C)[C@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434376481 1009858229 /nfs/dbraw/zinc/85/82/29/1009858229.db2.gz XFVBKDMRQGYRHA-VIFPVBQESA-N 0 2 320.349 0.810 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)COC(C)C ZINC001455637109 1009874099 /nfs/dbraw/zinc/87/40/99/1009874099.db2.gz MTUMQKBNUARZFC-UHFFFAOYSA-N 0 2 310.398 0.650 20 0 DCADLN COc1cc(Cl)ccc1S(=O)(=O)Nc1nnn(C)n1 ZINC001434613346 1010134821 /nfs/dbraw/zinc/13/48/21/1010134821.db2.gz QGMOYPRFFORGHZ-UHFFFAOYSA-N 0 2 303.731 0.673 20 0 DCADLN CCc1nnc([C@H](C)[NH2+]CCN(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001443110750 1010149545 /nfs/dbraw/zinc/14/95/45/1010149545.db2.gz VRVYOFUYKHPNRX-VIFPVBQESA-N 0 2 321.385 0.527 20 0 DCADLN Cc1ccc(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)cc1 ZINC001434675454 1010170660 /nfs/dbraw/zinc/17/06/60/1010170660.db2.gz IXLKGNLCXFXBCQ-UHFFFAOYSA-N 0 2 323.378 0.979 20 0 DCADLN C[C@H](CNC(=O)c1c[nH]nc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422585208 1010353109 /nfs/dbraw/zinc/35/31/09/1010353109.db2.gz OLUFSYLVBLMKOC-MRVPVSSYSA-N 0 2 319.369 0.361 20 0 DCADLN C[C@H](CNC(=O)c1c[nH]nc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422585208 1010353120 /nfs/dbraw/zinc/35/31/20/1010353120.db2.gz OLUFSYLVBLMKOC-MRVPVSSYSA-N 0 2 319.369 0.361 20 0 DCADLN O=C(CCCn1cccc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001443652572 1010564893 /nfs/dbraw/zinc/56/48/93/1010564893.db2.gz NNZQZMMANHHUAH-UHFFFAOYSA-N 0 2 318.381 0.340 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnn(C)c1 ZINC001508902994 1017095451 /nfs/dbraw/zinc/09/54/51/1017095451.db2.gz SQGNTSRWFVOQOG-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cnn(C)c1 ZINC001508902994 1017095461 /nfs/dbraw/zinc/09/54/61/1017095461.db2.gz SQGNTSRWFVOQOG-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN CC(C)n1cnc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422851338 1010672193 /nfs/dbraw/zinc/67/21/93/1010672193.db2.gz VWCHAKMFTQOTDE-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1cnc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422851338 1010672197 /nfs/dbraw/zinc/67/21/97/1010672197.db2.gz VWCHAKMFTQOTDE-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN Cc1nc(CC(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001422913857 1010733280 /nfs/dbraw/zinc/73/32/80/1010733280.db2.gz IROLLYSCWCIGSJ-QMMMGPOBSA-N 0 2 324.410 0.454 20 0 DCADLN Cc1nc(CC(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001422913857 1010733286 /nfs/dbraw/zinc/73/32/86/1010733286.db2.gz IROLLYSCWCIGSJ-QMMMGPOBSA-N 0 2 324.410 0.454 20 0 DCADLN CC(C)CC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001444208143 1010905932 /nfs/dbraw/zinc/90/59/32/1010905932.db2.gz GJVFUQBJGWZKJG-VXNVDRBHSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001444208143 1010905938 /nfs/dbraw/zinc/90/59/38/1010905938.db2.gz GJVFUQBJGWZKJG-VXNVDRBHSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)c1nccn1CC(=O)NC[C@H](CO)NCc1ccon1 ZINC001423282919 1011045107 /nfs/dbraw/zinc/04/51/07/1011045107.db2.gz FDBUCVYGDAPHMJ-CYBMUJFWSA-N 0 2 321.381 0.261 20 0 DCADLN C[C@H](C[NH2+]Cc1ccn(C)n1)N(C)C(=O)CCc1cnn[nH]1 ZINC001444674509 1011124456 /nfs/dbraw/zinc/12/44/56/1011124456.db2.gz KWHYSTBBANEQLP-LLVKDONJSA-N 0 2 305.386 0.108 20 0 DCADLN CCCCC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001533182977 1011223211 /nfs/dbraw/zinc/22/32/11/1011223211.db2.gz KHVXUXAWSMYOPS-IONNQARKSA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001533182977 1011223224 /nfs/dbraw/zinc/22/32/24/1011223224.db2.gz KHVXUXAWSMYOPS-IONNQARKSA-N 0 2 302.268 0.670 20 0 DCADLN O=C(NCC=CCNC(=O)c1ccccc1O)c1[nH]ncc1F ZINC001533382193 1011347275 /nfs/dbraw/zinc/34/72/75/1011347275.db2.gz LJDFSXZOGLSVLS-ARJAWSKDSA-N 0 2 318.308 0.970 20 0 DCADLN CCOC(=O)[C@@H](CC(=O)N[C@@H](C)c1nn(C)cc1O)C(C)=O ZINC001456788097 1011460572 /nfs/dbraw/zinc/46/05/72/1011460572.db2.gz OAIGJNFFHPKYDO-WPRPVWTQSA-N 0 2 311.338 0.461 20 0 DCADLN Cc1ccnc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001431804861 1011667189 /nfs/dbraw/zinc/66/71/89/1011667189.db2.gz XSMLSBUYDKEVMS-JGVFFNPUSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccnc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001431804861 1011667200 /nfs/dbraw/zinc/66/72/00/1011667200.db2.gz XSMLSBUYDKEVMS-JGVFFNPUSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1c(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)cnn1C ZINC001431834602 1011692161 /nfs/dbraw/zinc/69/21/61/1011692161.db2.gz CVDXRYUGRRVNLJ-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1c(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)cnn1C ZINC001431834602 1011692170 /nfs/dbraw/zinc/69/21/70/1011692170.db2.gz CVDXRYUGRRVNLJ-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccon1)C(F)C(F)(F)F ZINC001432037630 1011895356 /nfs/dbraw/zinc/89/53/56/1011895356.db2.gz PPYXLKOQYABRBF-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccon1)[C@@H](F)C(F)(F)F ZINC001432037630 1011895365 /nfs/dbraw/zinc/89/53/65/1011895365.db2.gz PPYXLKOQYABRBF-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001432044330 1011904974 /nfs/dbraw/zinc/90/49/74/1011904974.db2.gz WHGGNFIFIXNEKB-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001432044330 1011904985 /nfs/dbraw/zinc/90/49/85/1011904985.db2.gz WHGGNFIFIXNEKB-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN C[C@@H]1CN(C(=O)c2cc[nH]n2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001445579651 1011957357 /nfs/dbraw/zinc/95/73/57/1011957357.db2.gz JNHRKIRYLYQVIY-FTLITQJKSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001445579651 1011957370 /nfs/dbraw/zinc/95/73/70/1011957370.db2.gz JNHRKIRYLYQVIY-FTLITQJKSA-N 0 2 322.262 0.887 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@H]2CCCCO2)CC1 ZINC001535696079 1011962960 /nfs/dbraw/zinc/96/29/60/1011962960.db2.gz DFZUVXLJMWKNTO-CYBMUJFWSA-N 0 2 320.393 0.595 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)C(=O)C(F)C(F)(F)F ZINC001424102444 1011996801 /nfs/dbraw/zinc/99/68/01/1011996801.db2.gz GBZVXEOSRVIFRV-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001424102444 1011996808 /nfs/dbraw/zinc/99/68/08/1011996808.db2.gz GBZVXEOSRVIFRV-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccoc2)C[C@@H]1O)C(F)C(F)(F)F ZINC001445649074 1012060688 /nfs/dbraw/zinc/06/06/88/1012060688.db2.gz ZGCHTJCCDGDJQN-VGMNWLOBSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccoc2)C[C@@H]1O)[C@H](F)C(F)(F)F ZINC001445649074 1012060702 /nfs/dbraw/zinc/06/07/02/1012060702.db2.gz ZGCHTJCCDGDJQN-VGMNWLOBSA-N 0 2 324.230 0.482 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001536351373 1012093614 /nfs/dbraw/zinc/09/36/14/1012093614.db2.gz CPPAPFCEFBBGJL-BDAKNGLRSA-N 0 2 314.279 0.573 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001536351373 1012093629 /nfs/dbraw/zinc/09/36/29/1012093629.db2.gz CPPAPFCEFBBGJL-BDAKNGLRSA-N 0 2 314.279 0.573 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn(C)cn2)C1 ZINC001536392622 1012107762 /nfs/dbraw/zinc/10/77/62/1012107762.db2.gz KNNIINGDGOFPLQ-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cn(C)cn2)C1 ZINC001536392622 1012107771 /nfs/dbraw/zinc/10/77/71/1012107771.db2.gz KNNIINGDGOFPLQ-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC1CCOCC1 ZINC001445685336 1012112946 /nfs/dbraw/zinc/11/29/46/1012112946.db2.gz INVLDBPGHHRJOJ-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC1CCOCC1 ZINC001445685336 1012112958 /nfs/dbraw/zinc/11/29/58/1012112958.db2.gz INVLDBPGHHRJOJ-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CC(C)(C(=O)NCCc1n[nH]c(=S)o1)S(=O)(=O)C1CC1 ZINC001556284091 1012194798 /nfs/dbraw/zinc/19/47/98/1012194798.db2.gz RDERULYNEOTJMF-UHFFFAOYSA-N 0 2 319.408 0.373 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC2(CCC2)C1 ZINC001445855927 1012361846 /nfs/dbraw/zinc/36/18/46/1012361846.db2.gz PNFRRCUUYFCEJD-UHFFFAOYSA-N 0 2 300.318 0.892 20 0 DCADLN O=C(Cc1ncc[nH]1)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458799380 1012376049 /nfs/dbraw/zinc/37/60/49/1012376049.db2.gz KTOIXINQBYVKPJ-GMSGAONNSA-N 0 2 322.262 0.570 20 0 DCADLN C[C@@H](NC(=O)c1ccc2n[nH]c(=S)n2c1)C1=NN(C)CC1=O ZINC001558684104 1012378854 /nfs/dbraw/zinc/37/88/54/1012378854.db2.gz VRYJNTBLSPQHMA-SSDOTTSWSA-N 0 2 318.362 0.948 20 0 DCADLN CC[C@@H](C)C(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001424350285 1012416377 /nfs/dbraw/zinc/41/63/77/1012416377.db2.gz RVTWLIOJEHHMLN-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(CC(=O)N2CCC2)C1 ZINC001446364509 1012779250 /nfs/dbraw/zinc/77/92/50/1012779250.db2.gz YGCBJCYCDQRVRG-JTQLQIEISA-N 0 2 311.279 0.262 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CC(=O)N2CCC2)C1 ZINC001446364509 1012779234 /nfs/dbraw/zinc/77/92/34/1012779234.db2.gz YGCBJCYCDQRVRG-JTQLQIEISA-N 0 2 311.279 0.262 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001429837656 1012843810 /nfs/dbraw/zinc/84/38/10/1012843810.db2.gz CFNOZPMECYVWAO-FSPLSTOPSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnon2)C1)[C@H](F)C(F)(F)F ZINC001429837656 1012843826 /nfs/dbraw/zinc/84/38/26/1012843826.db2.gz CFNOZPMECYVWAO-FSPLSTOPSA-N 0 2 310.207 0.301 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001446609577 1012865523 /nfs/dbraw/zinc/86/55/23/1012865523.db2.gz AFSVZYOMHNLKEW-RKDXNWHRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001446609577 1012865542 /nfs/dbraw/zinc/86/55/42/1012865542.db2.gz AFSVZYOMHNLKEW-RKDXNWHRSA-N 0 2 322.262 0.983 20 0 DCADLN CC(C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)=C1CCCC1 ZINC001494540179 1017768680 /nfs/dbraw/zinc/76/86/80/1017768680.db2.gz BHAYPALRUORJMY-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN O=C(Cc1ccc[nH]1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480330469 1018437407 /nfs/dbraw/zinc/43/74/07/1018437407.db2.gz HKZNPPWUCCOSMW-LBPRGKRZSA-N 0 2 318.381 0.552 20 0 DCADLN O=C(Cc1ccc[nH]1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480330469 1018437412 /nfs/dbraw/zinc/43/74/12/1018437412.db2.gz HKZNPPWUCCOSMW-LBPRGKRZSA-N 0 2 318.381 0.552 20 0 DCADLN CCc1nc[nH]c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498221935 1018772693 /nfs/dbraw/zinc/77/26/93/1018772693.db2.gz BMHCUHJWOZEYIU-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN CCc1nc[nH]c1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001498221935 1018772708 /nfs/dbraw/zinc/77/27/08/1018772708.db2.gz BMHCUHJWOZEYIU-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCC(F)(F)F ZINC001498252788 1018833179 /nfs/dbraw/zinc/83/31/79/1018833179.db2.gz RVNUTRVFCNTLRO-ZETCQYMHSA-N 0 2 309.292 0.789 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCC(F)(F)F ZINC001498252788 1018833202 /nfs/dbraw/zinc/83/32/02/1018833202.db2.gz RVNUTRVFCNTLRO-ZETCQYMHSA-N 0 2 309.292 0.789 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H](C)c1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001493045703 1019038852 /nfs/dbraw/zinc/03/88/52/1019038852.db2.gz XGNRFQNQUMZYAV-LLVKDONJSA-N 0 2 318.381 0.647 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H](C)c1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001493045703 1019038865 /nfs/dbraw/zinc/03/88/65/1019038865.db2.gz XGNRFQNQUMZYAV-LLVKDONJSA-N 0 2 318.381 0.647 20 0 DCADLN CCn1ncc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001498409826 1019079521 /nfs/dbraw/zinc/07/95/21/1019079521.db2.gz QAGDMHAXQJPXIZ-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCn1ncc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001498409826 1019079533 /nfs/dbraw/zinc/07/95/33/1019079533.db2.gz QAGDMHAXQJPXIZ-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnns1 ZINC001496123630 1019088473 /nfs/dbraw/zinc/08/84/73/1019088473.db2.gz SHNHHRPAHXZBTL-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnns1 ZINC001496123630 1019088486 /nfs/dbraw/zinc/08/84/86/1019088486.db2.gz SHNHHRPAHXZBTL-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN CC(C)(C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001501546616 1019284507 /nfs/dbraw/zinc/28/45/07/1019284507.db2.gz LTLLBOUHABCZFM-RNFRBKRXSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001501546616 1019284525 /nfs/dbraw/zinc/28/45/25/1019284525.db2.gz LTLLBOUHABCZFM-RNFRBKRXSA-N 0 2 302.268 0.526 20 0 DCADLN Cc1cc(CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001502362354 1019832466 /nfs/dbraw/zinc/83/24/66/1019832466.db2.gz ZYQUQCRWOKSTCD-XCBNKYQSSA-N 0 2 324.278 0.782 20 0 DCADLN Cc1cc(CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001502362354 1019832483 /nfs/dbraw/zinc/83/24/83/1019832483.db2.gz ZYQUQCRWOKSTCD-XCBNKYQSSA-N 0 2 324.278 0.782 20 0 DCADLN [NH3+][C@H](CC(=O)NC[C@@H](Cc1ccncc1)C(=O)[O-])C(F)F ZINC001608249773 1170630757 /nfs/dbraw/zinc/63/07/57/1170630757.db2.gz XUPCBMCQWRDOOY-NXEZZACHSA-N 0 2 301.293 0.424 20 0 DCADLN COC[C@@H](CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)OC ZINC000272773044 210177213 /nfs/dbraw/zinc/17/72/13/210177213.db2.gz QLHYHDFHGAQZDP-MRVPVSSYSA-N 0 2 322.321 0.136 20 0 DCADLN C[C@H]1COCC[C@@H]1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000286815521 219179302 /nfs/dbraw/zinc/17/93/02/219179302.db2.gz LLJUNSFIKAQNGJ-DTWKUNHWSA-N 0 2 318.333 0.838 20 0 DCADLN COC(=O)CCCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000272641588 210044912 /nfs/dbraw/zinc/04/49/12/210044912.db2.gz XSLYKCURQYBGLU-UHFFFAOYSA-N 0 2 322.368 0.191 20 0 DCADLN CCc1cccc(S(=O)(=O)Nc2ncn(CC(=O)NC)n2)c1 ZINC000083577384 192374520 /nfs/dbraw/zinc/37/45/20/192374520.db2.gz BBCIKBGEAMTOPO-UHFFFAOYSA-N 0 2 323.378 0.387 20 0 DCADLN O=C(c1ccc(O)cc1F)N1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000331753107 234082457 /nfs/dbraw/zinc/08/24/57/234082457.db2.gz ZWKRRHDNDSXZIA-HNNXBMFYSA-N 0 2 320.324 0.790 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)no1 ZINC000180187059 199127457 /nfs/dbraw/zinc/12/74/57/199127457.db2.gz DHMDQDGBLLPLFE-UHFFFAOYSA-N 0 2 308.319 0.465 20 0 DCADLN O=C([O-])NCC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000285552085 304092237 /nfs/dbraw/zinc/09/22/37/304092237.db2.gz FBXKXDZJQIYKRR-CYBMUJFWSA-N 0 2 307.350 0.444 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC[C@@H](C(N)=O)C2)c1 ZINC000157070602 291226814 /nfs/dbraw/zinc/22/68/14/291226814.db2.gz AUEWBICDASOTBZ-RKFFSXRUSA-N 0 2 324.402 0.527 20 0 DCADLN C[C@@H]1CO[C@@H](CO)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000157218308 291227491 /nfs/dbraw/zinc/22/74/91/291227491.db2.gz SRRKOKINQDQABZ-DGCLKSJQSA-N 0 2 317.345 0.800 20 0 DCADLN COCCCOc1cccc(CNC(=O)c2nc(=O)[nH][nH]2)c1 ZINC000162551478 291243867 /nfs/dbraw/zinc/24/38/67/291243867.db2.gz NBYLUYIHJXIAGB-UHFFFAOYSA-N 0 2 306.322 0.443 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)CCC1CCC1 ZINC000312780278 291251772 /nfs/dbraw/zinc/25/17/72/291251772.db2.gz FUKJMLXVLZTDPD-LLVKDONJSA-N 0 2 301.368 0.515 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)CCC1CCC1 ZINC000312780278 291251773 /nfs/dbraw/zinc/25/17/73/291251773.db2.gz FUKJMLXVLZTDPD-LLVKDONJSA-N 0 2 301.368 0.515 20 0 DCADLN Cc1cn2c([nH+]1)[C@@H](CNC(=O)C(=O)NCCCC(=O)[O-])CCC2 ZINC000566240953 291286634 /nfs/dbraw/zinc/28/66/34/291286634.db2.gz OYOOPWXIMMFJAI-LLVKDONJSA-N 0 2 322.365 0.166 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC(=O)N[C@H](C)C2)c1 ZINC000424870908 240270070 /nfs/dbraw/zinc/27/00/70/240270070.db2.gz LLNGAXHNXUOBGS-LADRHHBVSA-N 0 2 310.375 0.150 20 0 DCADLN O=C(c1cnn2c1OCCC2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000567959806 291393742 /nfs/dbraw/zinc/39/37/42/291393742.db2.gz KMGNWUOWYKLRHZ-SECBINFHSA-N 0 2 318.337 0.509 20 0 DCADLN CCOC(=O)CN(CC(C)C)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275049660 212094614 /nfs/dbraw/zinc/09/46/14/212094614.db2.gz WUFUXBUZTLJPIB-UHFFFAOYSA-N 0 2 316.383 0.238 20 0 DCADLN COc1cccc([C@@H](O)CNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000275011058 212072631 /nfs/dbraw/zinc/07/26/31/212072631.db2.gz XDIUZXMRLZWKLW-JTQLQIEISA-N 0 2 324.362 0.049 20 0 DCADLN COC(=O)[C@@H]1COCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000135542891 291496762 /nfs/dbraw/zinc/49/67/62/291496762.db2.gz JARPXNYORBLNID-VIFPVBQESA-N 0 2 309.347 0.358 20 0 DCADLN CON(CC1CCOCC1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000282528362 217064657 /nfs/dbraw/zinc/06/46/57/217064657.db2.gz UUPNNYXVWOKYNN-UHFFFAOYSA-N 0 2 302.356 0.007 20 0 DCADLN COC(=O)[C@@H]1C[C@H](OC)CN1Cc1nc(=O)c2sccc2[nH]1 ZINC000116282385 291597609 /nfs/dbraw/zinc/59/76/09/291597609.db2.gz RFTRKUOAVDOGDF-WPRPVWTQSA-N 0 2 323.374 0.747 20 0 DCADLN NC(=O)C1(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CCC1 ZINC000573551707 291639342 /nfs/dbraw/zinc/63/93/42/291639342.db2.gz KXJMAWUUBYAMHH-UHFFFAOYSA-N 0 2 301.306 0.771 20 0 DCADLN COCC1(CC(=O)Nc2nnc(NS(C)(=O)=O)s2)CC1 ZINC000573849080 291658644 /nfs/dbraw/zinc/65/86/44/291658644.db2.gz CNOLSFBWLMZSHD-UHFFFAOYSA-N 0 2 320.396 0.665 20 0 DCADLN CCOc1ccc(-c2noc(CN3C(=O)CN(C)C3=O)n2)cn1 ZINC000273723057 211006439 /nfs/dbraw/zinc/00/64/39/211006439.db2.gz JSXWCYSAVRYHBJ-UHFFFAOYSA-N 0 2 317.305 0.924 20 0 DCADLN COCCC(C)(C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000273601941 210908637 /nfs/dbraw/zinc/90/86/37/210908637.db2.gz PEDYWJPWCMWGOK-UHFFFAOYSA-N 0 2 322.412 0.911 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000262081378 296335372 /nfs/dbraw/zinc/33/53/72/296335372.db2.gz FHHQVUVTIYBFPH-WOPDTQHZSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000262081378 296335374 /nfs/dbraw/zinc/33/53/74/296335374.db2.gz FHHQVUVTIYBFPH-WOPDTQHZSA-N 0 2 311.382 0.940 20 0 DCADLN CCNC(=O)CCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000339292053 253054095 /nfs/dbraw/zinc/05/40/95/253054095.db2.gz ZEQZAEYEOVTVGC-SNVBAGLBSA-N 0 2 309.370 0.523 20 0 DCADLN CS(=O)(=O)c1cccc(S(=O)(=O)Nc2nncs2)c1 ZINC000339410733 253081692 /nfs/dbraw/zinc/08/16/92/253081692.db2.gz KWFUCEIYOOPKMK-UHFFFAOYSA-N 0 2 319.389 0.742 20 0 DCADLN NC(=O)c1cnc(NS(=O)(=O)c2cncc(F)c2)s1 ZINC000340040615 253189981 /nfs/dbraw/zinc/18/99/81/253189981.db2.gz LQZWFNZBEXXZET-UHFFFAOYSA-N 0 2 302.312 0.577 20 0 DCADLN CN(C)C(=O)c1ccc(NS(=O)(=O)c2ccnn2C)cc1 ZINC000340076682 253196546 /nfs/dbraw/zinc/19/65/46/253196546.db2.gz OWYXURLTESKZRI-UHFFFAOYSA-N 0 2 308.363 0.923 20 0 DCADLN COC[C@H](CCO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000340556838 253275705 /nfs/dbraw/zinc/27/57/05/253275705.db2.gz MQEBQDHREPMSQL-NSHDSACASA-N 0 2 307.306 0.157 20 0 DCADLN CN1CCN(C(=O)C(=O)Nc2cccc(F)c2Cl)CC1=O ZINC000288649438 220321275 /nfs/dbraw/zinc/32/12/75/220321275.db2.gz GAFUAKBNPHMIJU-UHFFFAOYSA-N 0 2 313.716 0.718 20 0 DCADLN CC(C)C(=O)NCCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000346544385 254065851 /nfs/dbraw/zinc/06/58/51/254065851.db2.gz KFLJCFCRRRZVOU-UHFFFAOYSA-N 0 2 318.333 0.532 20 0 DCADLN O=C(Cn1cnnn1)N=c1nc(-c2ccccc2Cl)[nH]s1 ZINC000346941385 254116614 /nfs/dbraw/zinc/11/66/14/254116614.db2.gz LUPJNCBPYDQWJD-UHFFFAOYSA-N 0 2 321.753 0.906 20 0 DCADLN COCCOc1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)ccn1 ZINC000347979813 254208535 /nfs/dbraw/zinc/20/85/35/254208535.db2.gz RNXBZNYERFCKNT-UHFFFAOYSA-N 0 2 321.337 0.293 20 0 DCADLN CN(C(=O)N=c1nc(C(C)(C)C)[nH]s1)[C@H]1CCN(C)C1=O ZINC000349283666 254280010 /nfs/dbraw/zinc/28/00/10/254280010.db2.gz LBBBJJLVWDYJRF-QMMMGPOBSA-N 0 2 311.411 0.952 20 0 DCADLN NS(=O)(=O)c1ccc(NS(=O)(=O)c2ccoc2)cc1F ZINC000350969100 254330844 /nfs/dbraw/zinc/33/08/44/254330844.db2.gz DBHMMFRCAGRKEF-UHFFFAOYSA-N 0 2 320.323 0.867 20 0 DCADLN C[C@@H]1CCN(S(=O)(=O)Nc2ccc(F)cc2C(N)=O)C1 ZINC000349778109 254297348 /nfs/dbraw/zinc/29/73/48/254297348.db2.gz PJXAQBMOSNZNCV-MRVPVSSYSA-N 0 2 301.343 0.923 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1n[nH]c2ccc(F)cc21 ZINC000284862285 131116834 /nfs/dbraw/zinc/11/68/34/131116834.db2.gz IIZYEKRSDCBNGR-SSDOTTSWSA-N 0 2 314.342 0.920 20 0 DCADLN COC(=O)[C@@H](CNC(=O)C1=NN(c2ccccc2)CC1=O)OC ZINC000293980005 131787972 /nfs/dbraw/zinc/78/79/72/131787972.db2.gz KWJRKMNUWAUNJH-GFCCVEGCSA-N 0 2 319.317 0.496 20 0 DCADLN C[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@H](C(N)=O)O1 ZINC000122284023 132162242 /nfs/dbraw/zinc/16/22/42/132162242.db2.gz QSFAHIJZEFZWKH-VXNVDRBHSA-N 0 2 308.363 0.059 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])cs2)CC1 ZINC000020005493 395691239 /nfs/dbraw/zinc/69/12/39/395691239.db2.gz URZSOSUNUCKGHO-UHFFFAOYSA-N 0 2 304.393 0.773 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1cccc(OCC(N)=O)c1 ZINC000024431406 395692829 /nfs/dbraw/zinc/69/28/29/395692829.db2.gz RZIYRLJOERNZBM-UHFFFAOYSA-N 0 2 324.362 0.691 20 0 DCADLN COc1ccccc1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000028195795 395695869 /nfs/dbraw/zinc/69/58/69/395695869.db2.gz QWKBZAKOPNXGCN-UHFFFAOYSA-N 0 2 309.347 0.933 20 0 DCADLN COC(=O)CN(Cc1n[nH]c(=O)[nH]1)Cc1ccc(Cl)cc1 ZINC000091542675 395725696 /nfs/dbraw/zinc/72/56/96/395725696.db2.gz KAXIERZJJXXCEP-UHFFFAOYSA-N 0 2 310.741 0.927 20 0 DCADLN Cn1c2ccccc2n2c(SCc3n[nH]c(=O)[nH]3)nnc12 ZINC000091588023 395726674 /nfs/dbraw/zinc/72/66/74/395726674.db2.gz TWVRWVLUSOZRNE-UHFFFAOYSA-N 0 2 301.335 0.925 20 0 DCADLN COC(=O)COc1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000037996148 395730635 /nfs/dbraw/zinc/73/06/35/395730635.db2.gz POBLSDSBBYOJRP-UHFFFAOYSA-N 0 2 311.319 0.762 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(-n2cncn2)nc1 ZINC000040826530 395735170 /nfs/dbraw/zinc/73/51/70/395735170.db2.gz YQAFYFYRRUFZKP-UHFFFAOYSA-N 0 2 305.323 0.495 20 0 DCADLN CCOc1cc2c(cc1CNC(=O)c1nc(=O)[nH][nH]1)O[C@H](C)C2 ZINC000126523432 395913566 /nfs/dbraw/zinc/91/35/66/395913566.db2.gz YMOSBZLTNMVWGY-MRVPVSSYSA-N 0 2 318.333 0.750 20 0 DCADLN COc1ccc(NC(=O)CSc2n[nH]c(=O)[nH]2)c(OC)c1 ZINC000274863483 395982738 /nfs/dbraw/zinc/98/27/38/395982738.db2.gz BGLVHSMZNHEYCL-UHFFFAOYSA-N 0 2 310.335 0.846 20 0 DCADLN Cn1cc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)cn1 ZINC000065832471 395997825 /nfs/dbraw/zinc/99/78/25/395997825.db2.gz IOUIYOGELYGVJP-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc(OC)cc(OC)c2)c1O ZINC000277136227 396051627 /nfs/dbraw/zinc/05/16/27/396051627.db2.gz AEWYHCLCSSVAEH-NSHDSACASA-N 0 2 308.290 0.692 20 0 DCADLN COCCNc1ccccc1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000276610562 396014712 /nfs/dbraw/zinc/01/47/12/396014712.db2.gz IPDIXJQLLAOKBW-UHFFFAOYSA-N 0 2 323.378 0.887 20 0 DCADLN CN1CC[N@@H+](C)C[C@H]1CNC(=O)[C@H]1Cc2ccccc2C[NH2+]1 ZINC000261993922 396088462 /nfs/dbraw/zinc/08/84/62/396088462.db2.gz UDPXMGIFKOPDOZ-HZPDHXFCSA-N 0 2 302.422 0.063 20 0 DCADLN CCOC(=O)CCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000167499461 396089833 /nfs/dbraw/zinc/08/98/33/396089833.db2.gz UXOBVXKYSCJGFT-UHFFFAOYSA-N 0 2 305.290 0.713 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)oc(=O)n3C)c1O ZINC000277956621 396097002 /nfs/dbraw/zinc/09/70/02/396097002.db2.gz CVICYMZREGUHBN-SNVBAGLBSA-N 0 2 319.273 0.119 20 0 DCADLN COC(=O)C[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)CCO1 ZINC000178811617 396209886 /nfs/dbraw/zinc/20/98/86/396209886.db2.gz JLEBTXOBRURXCM-SECBINFHSA-N 0 2 323.374 0.749 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC(=O)N(C2CC2)C1 ZINC000279537967 396181974 /nfs/dbraw/zinc/18/19/74/396181974.db2.gz HXNFLBNHUCMUGH-UHFFFAOYSA-N 0 2 312.329 0.982 20 0 DCADLN CN(CCC(=O)[O-])C(=O)[C@@H](c1ccccc1)[NH+]1CCN(C)CC1 ZINC000262875023 396189317 /nfs/dbraw/zinc/18/93/17/396189317.db2.gz JZACEZNCPHHXHJ-MRXNPFEDSA-N 0 2 319.405 0.908 20 0 DCADLN CS(=O)(=O)CCCS(=O)(=O)c1nc2ccccc2[nH]1 ZINC000264089016 396254146 /nfs/dbraw/zinc/25/41/46/396254146.db2.gz DQLDVYJSLJTYDK-UHFFFAOYSA-N 0 2 302.377 0.771 20 0 DCADLN CC(=O)N[C@H](C)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000186662662 396354623 /nfs/dbraw/zinc/35/46/23/396354623.db2.gz MOXUEDIQFBNIHH-SNVBAGLBSA-N 0 2 317.349 0.525 20 0 DCADLN C[C@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@@H](C(N)=O)C1 ZINC000186975456 396361006 /nfs/dbraw/zinc/36/10/06/396361006.db2.gz DNQJRHHUGOGJPT-GZMMTYOYSA-N 0 2 317.349 0.400 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](O)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000269584175 396426662 /nfs/dbraw/zinc/42/66/62/396426662.db2.gz LLNQVHSNOJHYGA-SECBINFHSA-N 0 2 306.322 0.491 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CCOC2=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000291501506 396495115 /nfs/dbraw/zinc/49/51/15/396495115.db2.gz VBVMNEIGAGWMES-AWEZNQCLSA-N 0 2 318.289 0.180 20 0 DCADLN Cn1cc(C(=O)NCc2nnc(COc3ccccc3)[nH]2)nn1 ZINC000515712465 396508090 /nfs/dbraw/zinc/50/80/90/396508090.db2.gz YTOBKGLWAKOWQF-UHFFFAOYSA-N 0 2 313.321 0.442 20 0 DCADLN NS(=O)(=O)c1ccccc1CNC(=O)[C@@H](F)C(F)(F)F ZINC000290947632 396475961 /nfs/dbraw/zinc/47/59/61/396475961.db2.gz QJYQCTQTDJIZAQ-MRVPVSSYSA-N 0 2 314.260 0.851 20 0 DCADLN NS(=O)(=O)c1ccccc1CNC(=O)C(F)C(F)(F)F ZINC000290947632 396475963 /nfs/dbraw/zinc/47/59/63/396475963.db2.gz QJYQCTQTDJIZAQ-MRVPVSSYSA-N 0 2 314.260 0.851 20 0 DCADLN Cc1cc(N2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2=O)n(C)n1 ZINC000290937184 396475992 /nfs/dbraw/zinc/47/59/92/396475992.db2.gz VUPVHQYDAFUVSZ-IONNQARKSA-N 0 2 322.262 0.850 20 0 DCADLN Cc1cc(N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2=O)n(C)n1 ZINC000290937184 396475994 /nfs/dbraw/zinc/47/59/94/396475994.db2.gz VUPVHQYDAFUVSZ-IONNQARKSA-N 0 2 322.262 0.850 20 0 DCADLN CNC(=O)CCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000291837123 396515458 /nfs/dbraw/zinc/51/54/58/396515458.db2.gz FBIDLDSBRUMBAK-UHFFFAOYSA-N 0 2 302.334 0.834 20 0 DCADLN COC(=O)c1ccc(CS(=O)(=O)Nc2nc(C)n(C)n2)cc1 ZINC000292604785 396558505 /nfs/dbraw/zinc/55/85/05/396558505.db2.gz WYWDRVWKANUNSD-UHFFFAOYSA-N 0 2 324.362 0.852 20 0 DCADLN CSCC[C@@H](O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000561682450 396646088 /nfs/dbraw/zinc/64/60/88/396646088.db2.gz LERIOCPMPPXOFY-RKDXNWHRSA-N 0 2 300.384 0.330 20 0 DCADLN COc1ccc(C(=O)NCC(=O)NOCC2CC2)cc1OC ZINC000293795484 396633696 /nfs/dbraw/zinc/63/36/96/396633696.db2.gz OZANYLUIZDKMRT-UHFFFAOYSA-N 0 2 308.334 0.891 20 0 DCADLN C[N@H+]1CCN(C(=O)Nc2ccc3c(c2)OCCO3)[C@@H](C[NH3+])C1 ZINC000562743680 396677556 /nfs/dbraw/zinc/67/75/56/396677556.db2.gz OOHHLTWBSLBCMD-LBPRGKRZSA-N 0 2 306.366 0.564 20 0 DCADLN CC1(C)CCC[C@]1(O)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000294512039 396678942 /nfs/dbraw/zinc/67/89/42/396678942.db2.gz TVPSURUITJJHDC-LBPRGKRZSA-N 0 2 300.384 0.248 20 0 DCADLN C[C@@H](C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@H]1CCC(=O)N1 ZINC000625131050 396780344 /nfs/dbraw/zinc/78/03/44/396780344.db2.gz ZNKNZTXSEGXWBN-LDYMZIIASA-N 0 2 316.317 0.883 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cccc(F)c1F ZINC000625080879 396767022 /nfs/dbraw/zinc/76/70/22/396767022.db2.gz XKMRSWRECJPAOJ-UHFFFAOYSA-N 0 2 303.290 0.954 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000329664886 396848015 /nfs/dbraw/zinc/84/80/15/396848015.db2.gz QOQGIGOICPLFRJ-GXFFZTMASA-N 0 2 310.379 0.772 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329763619 396849762 /nfs/dbraw/zinc/84/97/62/396849762.db2.gz UTXQFGJSHUACFT-XQQFMLRXSA-N 0 2 315.329 0.873 20 0 DCADLN COc1ccc(C(=O)NCC(=O)NC2(C)COC2)cc1OC ZINC000634199344 396811720 /nfs/dbraw/zinc/81/17/20/396811720.db2.gz KRRWQJIYKOGWOL-UHFFFAOYSA-N 0 2 308.334 0.339 20 0 DCADLN Cc1ccc(S(=O)(=O)NCC(=O)NC2(C)COC2)cc1C ZINC000634199481 396812133 /nfs/dbraw/zinc/81/21/33/396812133.db2.gz MOGKEFYUWBIBBM-UHFFFAOYSA-N 0 2 312.391 0.487 20 0 DCADLN COC(=O)CC[N@H+]1CCN(C(=O)c2ccnc(C[NH3+])c2)[C@H](C)C1 ZINC000564837555 396877562 /nfs/dbraw/zinc/87/75/62/396877562.db2.gz SJEQDKZSYMFRAU-GFCCVEGCSA-N 0 2 320.393 0.250 20 0 DCADLN COCc1nc2n(n1)C[C@@H]([NH2+]Cc1c[nH+]c(N(C)C)n1C)CC2 ZINC000564879155 396889518 /nfs/dbraw/zinc/88/95/18/396889518.db2.gz XVGHPDLDEMEKEI-NSHDSACASA-N 0 2 319.413 0.329 20 0 DCADLN C[C@](O)(CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C1CC1 ZINC000611693088 396912787 /nfs/dbraw/zinc/91/27/87/396912787.db2.gz GGQGGTJKNQLYNG-HNNXBMFYSA-N 0 2 303.318 0.921 20 0 DCADLN C[C@@H](CC(C)(C)O)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000629996848 396909887 /nfs/dbraw/zinc/90/98/87/396909887.db2.gz KXRGBOXQROSADU-ZETCQYMHSA-N 0 2 306.322 0.715 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)C[C@@H](CC)OC)c[nH]1 ZINC000591497730 396926144 /nfs/dbraw/zinc/92/61/44/396926144.db2.gz XFDSAHBBVUDXFW-MRVPVSSYSA-N 0 2 305.356 0.753 20 0 DCADLN CCOC(=O)c1ncc(NS(=O)(=O)C[C@@H](CC)OC)[nH]1 ZINC000591497730 396926148 /nfs/dbraw/zinc/92/61/48/396926148.db2.gz XFDSAHBBVUDXFW-MRVPVSSYSA-N 0 2 305.356 0.753 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)NC[C@@H](C(=O)[O-])c1ccccc1 ZINC000630140418 396947373 /nfs/dbraw/zinc/94/73/73/396947373.db2.gz IWDSMVNHSBYEDF-KGLIPLIRSA-N 0 2 306.362 0.692 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)NC[C@@H](C(=O)[O-])c1ccccc1 ZINC000630140418 396947378 /nfs/dbraw/zinc/94/73/78/396947378.db2.gz IWDSMVNHSBYEDF-KGLIPLIRSA-N 0 2 306.362 0.692 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)NC[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177511 396954891 /nfs/dbraw/zinc/95/48/91/396954891.db2.gz KXXLYIYMVWXWOH-ZIAGYGMSSA-N 0 2 324.352 0.831 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)NC[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177511 396954896 /nfs/dbraw/zinc/95/48/96/396954896.db2.gz KXXLYIYMVWXWOH-ZIAGYGMSSA-N 0 2 324.352 0.831 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC000630183228 396955504 /nfs/dbraw/zinc/95/55/04/396955504.db2.gz UNIOOPBFWTZHKI-IAQYHMDHSA-N 0 2 309.366 0.928 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC000630183228 396955506 /nfs/dbraw/zinc/95/55/06/396955506.db2.gz UNIOOPBFWTZHKI-IAQYHMDHSA-N 0 2 309.366 0.928 20 0 DCADLN COCCC[N@@H+](C)CCNC(=O)[C@@H]1CCn2cc(C)[nH+]c2C1 ZINC000625807839 397008393 /nfs/dbraw/zinc/00/83/93/397008393.db2.gz YFUCPTRYVPLQNF-CQSZACIVSA-N 0 2 308.426 0.838 20 0 DCADLN [NH3+]Cc1cn([C@@H]2CCN(c3cc(NC4CC4)[nH+]cn3)C2)nn1 ZINC000630552320 397044519 /nfs/dbraw/zinc/04/45/19/397044519.db2.gz CTSCKCHBCYWRNU-GFCCVEGCSA-N 0 2 300.370 0.553 20 0 DCADLN COC(=O)c1cn([C@@H]2CCN(c3ccc(C(=O)[O-])c[nH+]3)C2)nn1 ZINC000592427137 397174100 /nfs/dbraw/zinc/17/41/00/397174100.db2.gz KCECGDSWLYTELB-SNVBAGLBSA-N 0 2 317.305 0.609 20 0 DCADLN CC[C@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@@H]1O ZINC000613096006 397191545 /nfs/dbraw/zinc/19/15/45/397191545.db2.gz CLTSVSAAVWMBHL-CABZTGNLSA-N 0 2 303.318 0.873 20 0 DCADLN O=C(N[C@H](CO)CC(F)F)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614004124 397359936 /nfs/dbraw/zinc/35/99/36/397359936.db2.gz IXWKEDAGMPBNDP-VIFPVBQESA-N 0 2 313.260 0.776 20 0 DCADLN CNS(=O)(=O)CCN(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000621279053 397362685 /nfs/dbraw/zinc/36/26/85/397362685.db2.gz GWTJDTLDVKQHTP-UHFFFAOYSA-N 0 2 316.408 0.378 20 0 DCADLN C[C@@H]1OCC[C@@]12CN(S(=O)(=O)NCC(F)(F)F)CCO2 ZINC000369128268 397432307 /nfs/dbraw/zinc/43/23/07/397432307.db2.gz RZNRFWQAXHIHDG-DTWKUNHWSA-N 0 2 318.317 0.263 20 0 DCADLN COc1cc(F)cc(CNC(=O)N2CC[N@H+](C)C[C@H]2C[NH3+])c1 ZINC000578729923 397473851 /nfs/dbraw/zinc/47/38/51/397473851.db2.gz AOJZFSWMOCKOBQ-CYBMUJFWSA-N 0 2 310.373 0.619 20 0 DCADLN O=C(Cc1csc2nccn12)NCCCc1n[nH]c(=O)[nH]1 ZINC000487949948 397480150 /nfs/dbraw/zinc/48/01/50/397480150.db2.gz RYVDLBBGNZMKFH-UHFFFAOYSA-N 0 2 306.351 0.511 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000579474933 397573431 /nfs/dbraw/zinc/57/34/31/397573431.db2.gz WZBHQQVHBDBDOQ-JTQLQIEISA-N 0 2 308.338 0.444 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@H]1CCCS1(=O)=O ZINC000579858091 397596340 /nfs/dbraw/zinc/59/63/40/397596340.db2.gz QQZDJRDZSDQRLT-SNVBAGLBSA-N 0 2 322.346 0.693 20 0 DCADLN C[C@H]1C(=O)N(C)CCN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000429500057 299687137 /nfs/dbraw/zinc/68/71/37/299687137.db2.gz FDCPXSMRTVWXNV-VIFPVBQESA-N 0 2 316.317 0.333 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc(-n3cnnn3)cc2)c[nH]1 ZINC000070069468 158047004 /nfs/dbraw/zinc/04/70/04/158047004.db2.gz FRMIKMYTBOIRNM-UHFFFAOYSA-N 0 2 305.323 0.495 20 0 DCADLN O=C(CCC1CCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092617363 158115786 /nfs/dbraw/zinc/11/57/86/158115786.db2.gz WPDZTCHZISRAIW-UHFFFAOYSA-N 0 2 307.398 0.713 20 0 DCADLN CCS(=O)(=O)N1CCN(c2cccc(C(=O)[O-])[nH+]2)[C@H](C)C1 ZINC000263403240 286667186 /nfs/dbraw/zinc/66/71/86/286667186.db2.gz JPONRHQAJQQGAK-SNVBAGLBSA-N 0 2 313.379 0.640 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000116600070 158166443 /nfs/dbraw/zinc/16/64/43/158166443.db2.gz PUKXSIAPSUUQEJ-UHFFFAOYSA-N 0 2 306.347 0.507 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000127650272 158275653 /nfs/dbraw/zinc/27/56/53/158275653.db2.gz RONAHEKDMQOAGZ-MRVPVSSYSA-N 0 2 318.317 0.658 20 0 DCADLN Cc1ccc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)cc1O ZINC000131650052 158304641 /nfs/dbraw/zinc/30/46/41/158304641.db2.gz CCWIGNQYBLGZGS-UHFFFAOYSA-N 0 2 312.285 0.878 20 0 DCADLN NS(=O)(=O)c1ccc(NC(=O)c2ccc3[nH]nnc3c2)cc1 ZINC000170224413 158373679 /nfs/dbraw/zinc/37/36/79/158373679.db2.gz DKTUIPUJIBVPJV-UHFFFAOYSA-N 0 2 317.330 0.858 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CCCN(C(=O)N3CCCC3)C2)n1 ZINC000171549452 158384602 /nfs/dbraw/zinc/38/46/02/158384602.db2.gz WUFWAWLCZXVJOU-LLVKDONJSA-N 0 2 306.370 0.979 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)C[C@@H]3CCOC3)CC2)[nH]1 ZINC000328876164 159036775 /nfs/dbraw/zinc/03/67/75/159036775.db2.gz ZPLSZWRCRUHDLF-SECBINFHSA-N 0 2 316.383 0.056 20 0 DCADLN CSc1nc(C(=O)NCc2n[nH]c(=O)[nH]2)c2ccccn21 ZINC000359278581 159261494 /nfs/dbraw/zinc/26/14/94/159261494.db2.gz SEWKHWRWLONRID-UHFFFAOYSA-N 0 2 304.335 0.810 20 0 DCADLN Cc1cc(C(=O)NS(=O)(=O)c2cnn(C)c2C)c(C)n1C ZINC000408489563 160119217 /nfs/dbraw/zinc/11/92/17/160119217.db2.gz UILWPTJEPUSCSO-UHFFFAOYSA-N 0 2 310.379 0.803 20 0 DCADLN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCC(F)(F)F ZINC000127686065 286978913 /nfs/dbraw/zinc/97/89/13/286978913.db2.gz MDLCNJAAEKSYEH-SSDOTTSWSA-N 0 2 304.290 0.411 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCCN(C)C1=O)c2=O ZINC000358389744 287207455 /nfs/dbraw/zinc/20/74/55/287207455.db2.gz AQQHGPFCOBGWGN-JTQLQIEISA-N 0 2 303.322 0.025 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@@H]1CCC[C@H]1O ZINC000331630783 415158888 /nfs/dbraw/zinc/15/88/88/415158888.db2.gz LGEARISZKBVVOR-CMPLNLGQSA-N 0 2 304.350 0.151 20 0 DCADLN CSC1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CC1 ZINC000331562133 415130598 /nfs/dbraw/zinc/13/05/98/415130598.db2.gz XEEBIOJZOPMADX-UHFFFAOYSA-N 0 2 308.410 0.744 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1ccc(C(N)=O)cc1 ZINC000007708349 415141745 /nfs/dbraw/zinc/14/17/45/415141745.db2.gz XCAZGHFEOUWPKW-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN C[C@@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@H]1CO ZINC000275988382 415403885 /nfs/dbraw/zinc/40/38/85/415403885.db2.gz LUVSAISPESRCEZ-XCBNKYQSSA-N 0 2 304.306 0.279 20 0 DCADLN CCN(C)C(=O)c1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000353145506 415451908 /nfs/dbraw/zinc/45/19/08/415451908.db2.gz XUBDWSBLMWVYAK-UHFFFAOYSA-N 0 2 318.337 0.924 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)n(C)n1 ZINC000333305500 415606763 /nfs/dbraw/zinc/60/67/63/415606763.db2.gz IFDQIOYDNWEFPT-SNVBAGLBSA-N 0 2 311.367 0.687 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)OCC(=O)N2 ZINC000343573746 415643475 /nfs/dbraw/zinc/64/34/75/415643475.db2.gz PGCJBVNYOMGJDQ-UHFFFAOYSA-N 0 2 317.305 0.204 20 0 DCADLN CCC(CC)NC(=O)[C@@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000353891596 415685918 /nfs/dbraw/zinc/68/59/18/415685918.db2.gz GUFQSWFIIWCRPC-MRVPVSSYSA-N 0 2 318.399 0.418 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H](C(N)=O)C(C)(C)C)c2=O ZINC000119228122 415739251 /nfs/dbraw/zinc/73/92/51/415739251.db2.gz GEGBJERYVXXXFK-JTQLQIEISA-N 0 2 305.338 0.304 20 0 DCADLN COCc1noc([C@H](C)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000344123393 415843731 /nfs/dbraw/zinc/84/37/31/415843731.db2.gz VQRKWHQBKCHRFB-IUCAKERBSA-N 0 2 308.342 0.980 20 0 DCADLN Cc1nnc([C@H]2CN([C@H](C)C(=O)NC(=O)NC(C)C)CCO2)[nH]1 ZINC000334035557 415788966 /nfs/dbraw/zinc/78/89/66/415788966.db2.gz PUXVCMYPFMFLOG-MWLCHTKSSA-N 0 2 324.385 0.109 20 0 DCADLN Cc1nc([C@H]2CN([C@H](C)C(=O)NC(=O)NC(C)C)CCO2)n[nH]1 ZINC000334035557 415788987 /nfs/dbraw/zinc/78/89/87/415788987.db2.gz PUXVCMYPFMFLOG-MWLCHTKSSA-N 0 2 324.385 0.109 20 0 DCADLN C[C@@H](C[S@](C)=O)NC(=O)Nc1ccn(CC(F)(F)F)n1 ZINC000334034245 415789302 /nfs/dbraw/zinc/78/93/02/415789302.db2.gz OVSKFHIAVVFRRB-SVWIBVJCSA-N 0 2 312.317 1.334 20 0 DCADLN COc1cccc(C(=O)NCC(=O)N2C[C@@H](C)[N@H+](C)C[C@H]2C)c1 ZINC000334034091 415789817 /nfs/dbraw/zinc/78/98/17/415789817.db2.gz MTAXLJCDKAPERL-CHWSQXEVSA-N 0 2 319.405 0.976 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cc2c(cc1F)CCC(=O)N2 ZINC000354331225 415791551 /nfs/dbraw/zinc/79/15/51/415791551.db2.gz CDALGXQIPUUDQC-UHFFFAOYSA-N 0 2 320.284 0.856 20 0 DCADLN O=C(Cc1cccc(OC(F)(F)F)c1)NCc1n[nH]c(=O)[nH]1 ZINC000127294244 415886202 /nfs/dbraw/zinc/88/62/02/415886202.db2.gz KRMHEONYRCTWHH-UHFFFAOYSA-N 0 2 316.239 0.856 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(C(=O)NC2CC2)cc1 ZINC000128654034 415901838 /nfs/dbraw/zinc/90/18/38/415901838.db2.gz GBHHMURFCYRCMF-UHFFFAOYSA-N 0 2 316.321 0.312 20 0 DCADLN CC1(C)OCC[C@@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000295845423 415902437 /nfs/dbraw/zinc/90/24/37/415902437.db2.gz JPEWNADKAALRKR-VIFPVBQESA-N 0 2 304.306 0.733 20 0 DCADLN CNC(=O)c1cccc(NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000337222614 415931639 /nfs/dbraw/zinc/93/16/39/415931639.db2.gz MAXSQOCPLULRJN-UHFFFAOYSA-N 0 2 307.335 0.601 20 0 DCADLN COCCn1cc(NS(=O)(=O)c2c(C)nn(C)c2C)cn1 ZINC000132770363 415936856 /nfs/dbraw/zinc/93/68/56/415936856.db2.gz WMBOBGJADWWUFD-UHFFFAOYSA-N 0 2 313.383 0.681 20 0 DCADLN Cc1noc(NC(=O)c2ccc(S(=O)(=O)N(C)C)o2)n1 ZINC000298747762 416026235 /nfs/dbraw/zinc/02/62/35/416026235.db2.gz IRKRQPSZIVYVJH-UHFFFAOYSA-N 0 2 300.296 0.474 20 0 DCADLN O=S(=O)(Nc1nc2ncccn2n1)c1ccc2c(c1)COC2 ZINC000357085639 416033066 /nfs/dbraw/zinc/03/30/66/416033066.db2.gz CKYQGUFSULNGHB-UHFFFAOYSA-N 0 2 317.330 0.955 20 0 DCADLN O=c1[nH]nc(CNc2cc(-c3ccccc3)nc3ncnn32)[nH]1 ZINC000301537279 416085276 /nfs/dbraw/zinc/08/52/76/416085276.db2.gz AXZOUNQGBWLBPI-UHFFFAOYSA-N 0 2 308.305 0.815 20 0 DCADLN CCN(CC)S(=O)(=O)CCNc1nc(CCOC)ns1 ZINC000426897180 287324500 /nfs/dbraw/zinc/32/45/00/287324500.db2.gz FLOOHENJICYXLB-UHFFFAOYSA-N 0 2 322.456 0.232 20 0 DCADLN CC(C)(C)CC[C@@H](CO)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000357676924 416115285 /nfs/dbraw/zinc/11/52/85/416115285.db2.gz RJEGSPZOTQYSQT-QMMMGPOBSA-N 0 2 302.400 0.906 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC(=O)NC1(C)C)c2=O ZINC000338700548 416128741 /nfs/dbraw/zinc/12/87/41/416128741.db2.gz PKUJHKVZJSIRQW-SNVBAGLBSA-N 0 2 317.349 0.461 20 0 DCADLN O=C(Nc1nc2ccccn2n1)N1CCC(F)(F)[C@H](CO)C1 ZINC000329600132 416180780 /nfs/dbraw/zinc/18/07/80/416180780.db2.gz DWJBBFQSQWEEBY-VIFPVBQESA-N 0 2 311.292 1.211 20 0 DCADLN C[C@@H](CN1CCOCC1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179431104 416187405 /nfs/dbraw/zinc/18/74/05/416187405.db2.gz VNQGGSIVRBUCHD-JTQLQIEISA-N 0 2 319.365 0.124 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCCC[C@H]1C(N)=O)c2=O ZINC000179536896 416188338 /nfs/dbraw/zinc/18/83/38/416188338.db2.gz IEYVXEDZZITCON-KCJUWKMLSA-N 0 2 317.349 0.448 20 0 DCADLN C[C@H](CNC(=O)c1c[nH]c2c(cnn2C)c1=O)Cn1cccn1 ZINC000179709872 416192857 /nfs/dbraw/zinc/19/28/57/416192857.db2.gz CBVMCHCCHPEFIB-SNVBAGLBSA-N 0 2 314.349 0.937 20 0 DCADLN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358355956 416228280 /nfs/dbraw/zinc/22/82/80/416228280.db2.gz QDWLAYHKWIKELH-KCJUWKMLSA-N 0 2 317.349 0.318 20 0 DCADLN C[C@@H](O)CN(C(=O)N=c1nc(C(C)(C)C)[nH]s1)[C@H](C)CO ZINC000331293832 416303818 /nfs/dbraw/zinc/30/38/18/416303818.db2.gz RBTYNIRFGIOAFW-RKDXNWHRSA-N 0 2 316.427 0.853 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nc3ncccn3n2)c(F)c1 ZINC000359152528 416308161 /nfs/dbraw/zinc/30/81/61/416308161.db2.gz IUVFVSHQIFYXHA-UHFFFAOYSA-N 0 2 323.309 1.073 20 0 DCADLN O=C(NCCc1ccncc1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000358942626 416295368 /nfs/dbraw/zinc/29/53/68/416295368.db2.gz NIUVNPDDRBIICN-UHFFFAOYSA-N 0 2 311.301 0.804 20 0 DCADLN Cc1n[nH]c(NC(=O)Cc2cccc(NS(C)(=O)=O)c2)n1 ZINC000264362954 416339497 /nfs/dbraw/zinc/33/94/97/416339497.db2.gz CMFHLASPCFKPAU-UHFFFAOYSA-N 0 2 309.351 0.666 20 0 DCADLN Cc1nn(C)c(C)c1C[C@H](C)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000359525935 416349653 /nfs/dbraw/zinc/34/96/53/416349653.db2.gz TVLZODURZKLFLX-VIFPVBQESA-N 0 2 320.397 0.788 20 0 DCADLN COCC[C@@H](COC)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000359523626 416349782 /nfs/dbraw/zinc/34/97/82/416349782.db2.gz YRMBYRRQYURVFF-VIFPVBQESA-N 0 2 308.338 0.455 20 0 DCADLN Cc1nnc([C@H](C)NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)[nH]1 ZINC000359970230 416367245 /nfs/dbraw/zinc/36/72/45/416367245.db2.gz LMWXYMGMQOCQNW-ZETCQYMHSA-N 0 2 314.305 0.947 20 0 DCADLN O=c1[nH]nc(CNc2nn3cc(-c4cccnc4)nc3s2)[nH]1 ZINC000360112514 416370986 /nfs/dbraw/zinc/37/09/86/416370986.db2.gz NCAOJRWZPJKOMJ-UHFFFAOYSA-N 0 2 314.334 1.289 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cn(-c2ccccc2Cl)nn1 ZINC000359817579 416361056 /nfs/dbraw/zinc/36/10/56/416361056.db2.gz NCAGUFKJFPVILT-UHFFFAOYSA-N 0 2 319.712 0.674 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cncn1-c1ccc(F)cc1 ZINC000359838133 416361969 /nfs/dbraw/zinc/36/19/69/416361969.db2.gz PDZFGBANADOBIL-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cc(OC)ncn2)cc1 ZINC000360935204 416416810 /nfs/dbraw/zinc/41/68/10/416416810.db2.gz UPUYSKBBXYCKMG-UHFFFAOYSA-N 0 2 322.346 0.646 20 0 DCADLN CCOC(=O)[C@H](CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000360594340 416398474 /nfs/dbraw/zinc/39/84/74/416398474.db2.gz IYRZWFIQNXVSDN-NSHDSACASA-N 0 2 319.317 0.232 20 0 DCADLN CN1CCC[C@@H](NC(=O)N=c2nc(C(C)(C)C)[nH]s2)C1=O ZINC000361720187 416484120 /nfs/dbraw/zinc/48/41/20/416484120.db2.gz IJXDMNYRXRFTQI-MRVPVSSYSA-N 0 2 311.411 1.000 20 0 DCADLN C[C@]1([NH2+]CC(=O)[O-])CCN(C(=O)c2cc(-c3ccco3)[nH]n2)C1 ZINC000435631257 416528939 /nfs/dbraw/zinc/52/89/39/416528939.db2.gz BFNVRNVQWGKWAF-HNNXBMFYSA-N 0 2 318.333 0.949 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)C[C@H](OC)C(C)C)cn1 ZINC000592383199 416542295 /nfs/dbraw/zinc/54/22/95/416542295.db2.gz MAAXHRZUUIQSGD-JTQLQIEISA-N 0 2 317.367 0.676 20 0 DCADLN CCc1cccc(S(=O)(=O)Nc2ncn(CC(=O)OC)n2)c1 ZINC000435197121 416513146 /nfs/dbraw/zinc/51/31/46/416513146.db2.gz PZEUOCGXNNLSEF-UHFFFAOYSA-N 0 2 324.362 0.814 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C/c1ccc(C)nc1 ZINC000493387538 416640516 /nfs/dbraw/zinc/64/05/16/416640516.db2.gz NWNFATUJVKXRSJ-AATRIKPKSA-N 0 2 322.346 0.787 20 0 DCADLN CCC(CC)(CNC(=O)N(C)CC[NH+]1CCOCC1)C(=O)[O-] ZINC000424424273 416643601 /nfs/dbraw/zinc/64/36/01/416643601.db2.gz JHLMCPBLXQXZMR-UHFFFAOYSA-N 0 2 315.414 0.851 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)NCCC(C)(C)C(=O)[O-] ZINC000424448140 416647019 /nfs/dbraw/zinc/64/70/19/416647019.db2.gz XGOGTQRYWNLZCB-UHFFFAOYSA-N 0 2 309.322 0.646 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)NCCC(C)(C)C(=O)[O-] ZINC000424513722 416658206 /nfs/dbraw/zinc/65/82/06/416658206.db2.gz DWCLKXWKAPDBLT-SNVBAGLBSA-N 0 2 310.354 0.005 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc3c(c2)COC3)c1C(N)=O ZINC000442629862 416700647 /nfs/dbraw/zinc/70/06/47/416700647.db2.gz SDVNVYPPYHNYKH-UHFFFAOYSA-N 0 2 322.346 0.350 20 0 DCADLN Cn1cc([C@@H]2CCN(S(=O)(=O)NCC(F)(F)F)C2)cn1 ZINC000442945967 416723157 /nfs/dbraw/zinc/72/31/57/416723157.db2.gz PTBAYRSHLSSZAW-MRVPVSSYSA-N 0 2 312.317 0.606 20 0 DCADLN COC(=O)[C@H]1CCN(S(=O)(=O)NCC(F)(F)F)[C@H]1C ZINC000443228462 416735539 /nfs/dbraw/zinc/73/55/39/416735539.db2.gz YSLJYJDUTUZVGH-BQBZGAKWSA-N 0 2 304.290 0.267 20 0 DCADLN CC[C@H](C)N(CC(=O)OC)S(=O)(=O)NCC(F)(F)F ZINC000443219589 416736748 /nfs/dbraw/zinc/73/67/48/416736748.db2.gz TUGHYRVPCRCKMJ-ZETCQYMHSA-N 0 2 306.306 0.657 20 0 DCADLN O=C1CCCN1[C@H]1CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000443206568 416737642 /nfs/dbraw/zinc/73/76/42/416737642.db2.gz XBKZYZLXDJFZQG-QMMMGPOBSA-N 0 2 315.317 0.080 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@@H+]([C@@H](C(=O)[O-])c2ccsc2)C1 ZINC000517382057 416803181 /nfs/dbraw/zinc/80/31/81/416803181.db2.gz RKZOYGRIQANGJS-WDEREUQCSA-N 0 2 318.420 0.887 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2ccsc2)C1 ZINC000517382057 416803189 /nfs/dbraw/zinc/80/31/89/416803189.db2.gz RKZOYGRIQANGJS-WDEREUQCSA-N 0 2 318.420 0.887 20 0 DCADLN CN(C)c1cc(NS(=O)(=O)c2cccc(C(N)=O)c2)ncn1 ZINC000430066864 417018571 /nfs/dbraw/zinc/01/85/71/417018571.db2.gz RRINDTRUMDKDEZ-UHFFFAOYSA-N 0 2 321.362 0.442 20 0 DCADLN O=C([O-])c1cn(CCNc2cc(N3CCCC3)nc[nH+]2)nn1 ZINC000521632814 417022009 /nfs/dbraw/zinc/02/20/09/417022009.db2.gz WVPQNHDJQHQFFQ-UHFFFAOYSA-N 0 2 303.326 0.479 20 0 DCADLN O=C([O-])c1cn(CCNc2cc(N3CCCC3)[nH+]cn2)nn1 ZINC000521632814 417022018 /nfs/dbraw/zinc/02/20/18/417022018.db2.gz WVPQNHDJQHQFFQ-UHFFFAOYSA-N 0 2 303.326 0.479 20 0 DCADLN CCOC(=O)c1csc(NS(=O)(=O)c2cnnn2C)n1 ZINC000616169816 416981044 /nfs/dbraw/zinc/98/10/44/416981044.db2.gz KOTDIOVLEGYQKW-UHFFFAOYSA-N 0 2 317.352 0.249 20 0 DCADLN CC(C)NC(=O)[C@@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616312014 417011033 /nfs/dbraw/zinc/01/10/33/417011033.db2.gz ZZUWJLYIQHVCMV-SECBINFHSA-N 0 2 318.333 0.673 20 0 DCADLN Cc1cc(C(=O)NCC[C@@H](C)CCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000295927168 225384166 /nfs/dbraw/zinc/38/41/66/225384166.db2.gz JOKPLDFYXZNGDA-MRVPVSSYSA-N 0 2 320.349 0.883 20 0 DCADLN C[C@H]1CN(c2ccc(CNC(=O)c3n[nH]c(=O)[n-]3)c[nH+]2)CCO1 ZINC000617018585 417153350 /nfs/dbraw/zinc/15/33/50/417153350.db2.gz OYQOGNGRCDUVRV-VIFPVBQESA-N 0 2 318.337 0.060 20 0 DCADLN O=C(Nc1ccc2c(c1)C(=O)NC2=O)c1c(=O)[nH][nH]c1C1CC1 ZINC000568747218 417170829 /nfs/dbraw/zinc/17/08/29/417170829.db2.gz FHZOKSLDPIIRAH-SNVBAGLBSA-N 0 2 312.285 0.021 20 0 DCADLN CC(=O)N1CCC[C@H](CNC(=O)c2cccc3[nH]nnc32)C1 ZINC000526022859 417176268 /nfs/dbraw/zinc/17/62/68/417176268.db2.gz NQZKMFDYESMCJX-LLVKDONJSA-N 0 2 301.350 0.946 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000569248290 417234347 /nfs/dbraw/zinc/23/43/47/417234347.db2.gz MHWKSFRKQFPUSL-NEPJUHHUSA-N 0 2 312.366 0.480 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000569248290 417234354 /nfs/dbraw/zinc/23/43/54/417234354.db2.gz MHWKSFRKQFPUSL-NEPJUHHUSA-N 0 2 312.366 0.480 20 0 DCADLN Cc1cc(C)n2[n-]c(=NC(=O)NCc3ccc(N)[nH+]c3)nc2n1 ZINC000433202561 417240957 /nfs/dbraw/zinc/24/09/57/417240957.db2.gz RNCFEQZLOBMLQK-UHFFFAOYSA-N 0 2 312.337 0.462 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCCn2cnc3ccccc32)[C@@H](C[NH3+])C1 ZINC000570501256 417358648 /nfs/dbraw/zinc/35/86/48/417358648.db2.gz OIQTWIVYSBITMA-ZDUSSCGKSA-N 0 2 316.409 0.321 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)CCOC2 ZINC000621894593 417338651 /nfs/dbraw/zinc/33/86/51/417338651.db2.gz UZYNKCXIBDLCCU-UHFFFAOYSA-N 0 2 302.334 0.946 20 0 DCADLN CO[C@@H]1CN(C(=O)N=c2nc(-c3ccccc3)[nH]s2)C[C@H]1O ZINC000454322091 417556153 /nfs/dbraw/zinc/55/61/53/417556153.db2.gz JOEPQIOVMLEEBB-GHMZBOCLSA-N 0 2 320.374 0.850 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)cn1 ZINC000574988055 417499700 /nfs/dbraw/zinc/49/97/00/417499700.db2.gz GDLOKWXPNHRYJP-VIFPVBQESA-N 0 2 301.306 0.918 20 0 DCADLN O=C(NCC12CC(C1)CO2)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000530272888 417561772 /nfs/dbraw/zinc/56/17/72/417561772.db2.gz GOZOPRXIPZGHQQ-UHFFFAOYSA-N 0 2 301.302 0.939 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)nn1C ZINC000577011788 417657048 /nfs/dbraw/zinc/65/70/48/417657048.db2.gz SJPKQYRMMHCUGD-UHFFFAOYSA-N 0 2 323.334 0.255 20 0 DCADLN Cc1nc(N2CCN(C(=O)CSCC(=O)[O-])CC2)cc[nH+]1 ZINC000580430477 417701915 /nfs/dbraw/zinc/70/19/15/417701915.db2.gz GWFLSFBOVJKSIY-UHFFFAOYSA-N 0 2 310.379 0.251 20 0 DCADLN CCn1ccc(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000651997724 417830325 /nfs/dbraw/zinc/83/03/25/417830325.db2.gz ZQIRXVZMJLXTIW-JTQLQIEISA-N 0 2 304.354 0.675 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)(C)C)[nH]2)[C@H](C)CO1 ZINC000652006345 417832178 /nfs/dbraw/zinc/83/21/78/417832178.db2.gz LNTUUDTXHXIJMB-GHMZBOCLSA-N 0 2 323.397 0.419 20 0 DCADLN Cc1ccc(NS(=O)(=O)c2cnnn2C)cc1C(=O)N(C)C ZINC000629291025 417772027 /nfs/dbraw/zinc/77/20/27/417772027.db2.gz XLJFPOUCZFVWMG-UHFFFAOYSA-N 0 2 323.378 0.626 20 0 DCADLN Cn1cnc(=NC(=O)N=c2ccn(C3CCSCC3)[nH]2)[nH]1 ZINC000640153806 417791545 /nfs/dbraw/zinc/79/15/45/417791545.db2.gz WRVDIIUEOXBDFT-UHFFFAOYSA-N 0 2 307.383 0.568 20 0 DCADLN CO[C@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1(C)C ZINC000651837228 417791622 /nfs/dbraw/zinc/79/16/22/417791622.db2.gz XQUNGPOAIMMZGS-NSHDSACASA-N 0 2 323.397 0.493 20 0 DCADLN CCC(C)(C)OCCNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651847796 417796478 /nfs/dbraw/zinc/79/64/78/417796478.db2.gz TXRHXLPYTRADFR-UHFFFAOYSA-N 0 2 311.386 0.541 20 0 DCADLN CO[C@@H]1C[C@@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C12CCC2 ZINC000651849022 417797562 /nfs/dbraw/zinc/79/75/62/417797562.db2.gz RAYDXYIEBGEZAJ-GHMZBOCLSA-N 0 2 321.381 0.293 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)Cc2cn3c([nH+]2)CCCC3)CCOC1 ZINC000652070404 417841196 /nfs/dbraw/zinc/84/11/96/417841196.db2.gz VWULEBIGOFHZTI-HNNXBMFYSA-N 0 2 307.350 0.512 20 0 DCADLN C[C@](O)(CNc1nc(NCCO)c2ccccc2[nH+]1)C(=O)[O-] ZINC000662878909 417937937 /nfs/dbraw/zinc/93/79/37/417937937.db2.gz AUGGCDWMFGVPNO-AWEZNQCLSA-N 0 2 306.322 0.282 20 0 DCADLN Cn1cc(N2CCN(c3[nH+]cccc3C(=O)[O-])CC2=O)cn1 ZINC000647451097 418010594 /nfs/dbraw/zinc/01/05/94/418010594.db2.gz LFKNPUSPKWCXPI-UHFFFAOYSA-N 0 2 301.306 0.367 20 0 DCADLN O=C([O-])c1ccc(N2CCN(CC(F)(F)F)C(=O)C2)[nH+]c1 ZINC000647455360 418012130 /nfs/dbraw/zinc/01/21/30/418012130.db2.gz YCADJTDCYOVGIX-UHFFFAOYSA-N 0 2 303.240 0.991 20 0 DCADLN C[C@]1(C[C@@H]2CCCN2c2ccc(C(=O)[O-])c[nH+]2)NC(=O)NC1=O ZINC000647454805 418012138 /nfs/dbraw/zinc/01/21/38/418012138.db2.gz AGFGCRVZNRRFSV-ZUZCIYMTSA-N 0 2 318.333 0.737 20 0 DCADLN C[C@H]1CCCc2ncc(C(=O)NCCCc3n[nH]c(=O)[nH]3)n21 ZINC000653020818 418013563 /nfs/dbraw/zinc/01/35/63/418013563.db2.gz KUKFPHHGHJIGAB-VIFPVBQESA-N 0 2 304.354 0.967 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000663095789 417988692 /nfs/dbraw/zinc/98/86/92/417988692.db2.gz WATBPHYRUCWGDB-OAHLLOKOSA-N 0 2 305.334 0.951 20 0 DCADLN O=C([O-])[C@@H](C(=O)NCc1ccc[nH+]c1N1CCOCC1)C1CC1 ZINC000663106800 417990553 /nfs/dbraw/zinc/99/05/53/417990553.db2.gz AWUOSKZBVXAIRB-CYBMUJFWSA-N 0 2 319.361 0.645 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccc(C(=O)[O-])nc2)C[C@H](C)O1 ZINC000653286444 418052947 /nfs/dbraw/zinc/05/29/47/418052947.db2.gz XNFHFDSSUMLWEK-TXEJJXNPSA-N 0 2 321.377 0.961 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccc(C(=O)[O-])nc2)C[C@H](C)O1 ZINC000653286444 418052950 /nfs/dbraw/zinc/05/29/50/418052950.db2.gz XNFHFDSSUMLWEK-TXEJJXNPSA-N 0 2 321.377 0.961 20 0 DCADLN COCc1nnc(CNC(=O)NCCc2cccc(O)c2)[nH]1 ZINC000663933867 418068167 /nfs/dbraw/zinc/06/81/67/418068167.db2.gz RNHDTQMJYFYLMI-UHFFFAOYSA-N 0 2 305.338 0.699 20 0 DCADLN O=c1cc(C[N@@H+]2CCC[C@H]([C@@H]3CC[NH2+]C3)C2)nc2cc[nH]n21 ZINC000647986834 418084587 /nfs/dbraw/zinc/08/45/87/418084587.db2.gz APSVJNKGILTKNB-OLZOCXBDSA-N 0 2 301.394 0.844 20 0 DCADLN C[C@H]1C[C@H](NC(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c2[nH+]ccn21 ZINC000659406523 418092757 /nfs/dbraw/zinc/09/27/57/418092757.db2.gz SMEJOXOLOKCQMB-BQVMBELUSA-N 0 2 320.349 0.632 20 0 DCADLN C[C@H](NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1)c1[nH+]ccn1C ZINC000659418458 418094626 /nfs/dbraw/zinc/09/46/26/418094626.db2.gz PAQVXWTZPZVRJY-IMSIIYSGSA-N 0 2 308.338 0.224 20 0 DCADLN C[C@H]1CN(c2cc(N3CCN(C)C(=O)C3)nc[nH+]2)C[C@]1(C)CO ZINC000664598442 418138367 /nfs/dbraw/zinc/13/83/67/418138367.db2.gz RJPYKUHKQYHKDD-BLLLJJGKSA-N 0 2 319.409 0.210 20 0 DCADLN CCCN(C)C(=O)[C@H]1CSCN1C(=O)c1n[nH]c(C)c1O ZINC000648231554 418120139 /nfs/dbraw/zinc/12/01/39/418120139.db2.gz NOPPPMLSWWCCBW-SECBINFHSA-N 0 2 312.395 0.807 20 0 DCADLN CC(=O)NCCN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000649234929 418214140 /nfs/dbraw/zinc/21/41/40/418214140.db2.gz VQTZAPCGAHFKDU-UHFFFAOYSA-N 0 2 304.306 0.238 20 0 DCADLN CN1C(=O)C[C@H](NC(=O)c2cc(F)c(O)c(Cl)c2)C1=O ZINC000655061651 418221235 /nfs/dbraw/zinc/22/12/35/418221235.db2.gz IVTZGFLLIGTLPF-QMMMGPOBSA-N 0 2 300.673 0.672 20 0 DCADLN CC(C)[C@@H](CNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000656093883 418327083 /nfs/dbraw/zinc/32/70/83/418327083.db2.gz YOLRRXWCRRGYKI-LLVKDONJSA-N 0 2 321.381 0.524 20 0 DCADLN CC(C)[C@@H](CNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000656093883 418327085 /nfs/dbraw/zinc/32/70/85/418327085.db2.gz YOLRRXWCRRGYKI-LLVKDONJSA-N 0 2 321.381 0.524 20 0 DCADLN Cc1cccc2[nH+]c(CNC(=O)C(=O)NC[C@H](C)C(=O)[O-])cn21 ZINC000655985842 418311690 /nfs/dbraw/zinc/31/16/90/418311690.db2.gz CVEYGVIMWIWAHW-VIFPVBQESA-N 0 2 318.333 0.096 20 0 DCADLN COCCOC1(CNc2cc[nH+]c(C(=O)[O-])c2)CCOCC1 ZINC000650770934 418323091 /nfs/dbraw/zinc/32/30/91/418323091.db2.gz HZWKUIRUBNXAFS-UHFFFAOYSA-N 0 2 310.350 0.826 20 0 DCADLN CCS(=O)(=O)N1CCC(Nc2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650765647 418323204 /nfs/dbraw/zinc/32/32/04/418323204.db2.gz ZOSWVORTODRJQJ-UHFFFAOYSA-N 0 2 313.379 0.428 20 0 DCADLN O=C([O-])c1cc(N2C[C@H](F)C[C@H]2C(=O)N2CCOCC2)cc[nH+]1 ZINC000650769973 418323745 /nfs/dbraw/zinc/32/37/45/418323745.db2.gz LDABMRORMIVRIA-MFKMUULPSA-N 0 2 323.324 0.555 20 0 DCADLN CCOc1ccc(CC(=O)N=c2ccc(C(=O)NC)n[nH]2)cc1 ZINC000650814002 418325179 /nfs/dbraw/zinc/32/51/79/418325179.db2.gz AQBBLTBWLWMFNP-UHFFFAOYSA-N 0 2 314.345 0.838 20 0 DCADLN CO[C@](C)(CO)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000655409564 418259586 /nfs/dbraw/zinc/25/95/86/418259586.db2.gz ZPZBVQPECTTXBN-AWEZNQCLSA-N 0 2 307.306 0.157 20 0 DCADLN O=C(NCCn1cc[nH+]c1)C(=O)N[C@H]1CCC[N@@H+]2CCCC[C@H]12 ZINC000656286686 418339539 /nfs/dbraw/zinc/33/95/39/418339539.db2.gz PBOMNTMOLFVOSZ-UONOGXRCSA-N 0 2 319.409 0.132 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)Nc1ccn3cc[nH+]c3c1)C2 ZINC000656603291 418365967 /nfs/dbraw/zinc/36/59/67/418365967.db2.gz DMJJNOIHEMABDL-MEBBXXQBSA-N 0 2 316.317 0.899 20 0 DCADLN COc1nn(C)cc1NS(=O)(=O)C[C@H](OC)[C@H]1CCOC1 ZINC000656629200 418366733 /nfs/dbraw/zinc/36/67/33/418366733.db2.gz UCMQCPVEQQRXPT-ONGXEEELSA-N 0 2 319.383 0.222 20 0 DCADLN COc1cnc(NS(=O)(=O)C[C@@H](OC)[C@@H]2CCOC2)nc1 ZINC000656737159 418375240 /nfs/dbraw/zinc/37/52/40/418375240.db2.gz GLHHFJASDCRMGR-MWLCHTKSSA-N 0 2 317.367 0.278 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cc(C)cc(C(N)=O)c2)nn1C ZINC000656733666 418375508 /nfs/dbraw/zinc/37/55/08/418375508.db2.gz CMZCYWBAZBDYAW-UHFFFAOYSA-N 0 2 309.351 0.332 20 0 DCADLN CCN(Cc1ccoc1)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651553282 418389828 /nfs/dbraw/zinc/38/98/28/418389828.db2.gz MGXUOJQFKOFNQW-UHFFFAOYSA-N 0 2 305.338 0.861 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@H](C)O1 ZINC000651564174 418391841 /nfs/dbraw/zinc/39/18/41/418391841.db2.gz XLHJROZXQGOWEG-QWRGUYRKSA-N 0 2 309.370 0.245 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@]2(C)CCCC[C@@H]2O)[nH]1 ZINC000651599684 418396584 /nfs/dbraw/zinc/39/65/84/418396584.db2.gz YJVFIFPYFCXCBD-XHDPSFHLSA-N 0 2 323.397 0.277 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)c3ccncc3)C2)[nH]1 ZINC000656993604 418399510 /nfs/dbraw/zinc/39/95/10/418399510.db2.gz KROCZZOKNSPKBH-VIFPVBQESA-N 0 2 309.351 0.474 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC[C@@]2(C)CCCO)[nH]1 ZINC000651662919 418401789 /nfs/dbraw/zinc/40/17/89/418401789.db2.gz RKLVSJOGYWDVAW-HNNXBMFYSA-N 0 2 323.397 0.373 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)CCC(=O)N2 ZINC000354312228 261203136 /nfs/dbraw/zinc/20/31/36/261203136.db2.gz NRIVDCCBNWXVGG-UHFFFAOYSA-N 0 2 302.294 0.717 20 0 DCADLN C[C@@H]1[C@@H](C)[S@@](=O)CCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358373909 261662811 /nfs/dbraw/zinc/66/28/11/261662811.db2.gz SKWVKBFNUQANJD-PIWWNVKXSA-N 0 2 322.390 0.655 20 0 DCADLN C[C@H](C[S@](C)=O)N(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000362405400 262030073 /nfs/dbraw/zinc/03/00/73/262030073.db2.gz WVUZZTXHACKVJY-CLTRCRFRSA-N 0 2 323.374 0.869 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1[C@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1(C)C ZINC000413591325 262218097 /nfs/dbraw/zinc/21/80/97/262218097.db2.gz UTIBPAHFCLIXJE-BDAKNGLRSA-N 0 2 310.354 0.740 20 0 DCADLN CCNc1nc(N2CC[C@H](NC(C)=O)C2)nc(NC(C)(C)C)[nH+]1 ZINC000420623316 262379183 /nfs/dbraw/zinc/37/91/83/262379183.db2.gz UWQNFZVHUGDGJV-NSHDSACASA-N 0 2 321.429 0.651 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N(C)[C@H]3COC[C@@H]3O)c[nH]c12 ZINC000359657244 271138332 /nfs/dbraw/zinc/13/83/32/271138332.db2.gz JQGYFFRVIHDVOK-STQMWFEESA-N 0 2 302.330 0.668 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)cc1 ZINC000442624568 271673329 /nfs/dbraw/zinc/67/33/29/271673329.db2.gz YOKJGGSZYNCPEA-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN COC(=O)NCCCC(=O)NS(=O)(=O)c1cccnc1 ZINC000491272124 272092749 /nfs/dbraw/zinc/09/27/49/272092749.db2.gz BCSURNFHRBCGRJ-UHFFFAOYSA-N 0 2 301.324 0.023 20 0 DCADLN Cn1nccc1C=CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493262138 272178090 /nfs/dbraw/zinc/17/80/90/272178090.db2.gz SVHNEZGCYWZTLW-LWTINBJPSA-N 0 2 302.338 0.663 20 0 DCADLN CC(C)OCCONC(=O)CNC(=O)/C=C/c1cccnc1 ZINC000493718001 272205561 /nfs/dbraw/zinc/20/55/61/272205561.db2.gz NNSZLPFZCGHDHD-AATRIKPKSA-N 0 2 307.350 0.684 20 0 DCADLN CCOC(=O)CCNC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000495122077 272239709 /nfs/dbraw/zinc/23/97/09/272239709.db2.gz RCYNNSKBSPBBGK-UHFFFAOYSA-N 0 2 305.338 0.976 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)C[C@H]3CNC(=O)O3)n[nH]2)cc1 ZINC000497232194 272377882 /nfs/dbraw/zinc/37/78/82/272377882.db2.gz KZHIKFSCEGSGSL-SNVBAGLBSA-N 0 2 322.346 0.662 20 0 DCADLN O=C([O-])[C@@H]1CCCCN1C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000547322569 288038869 /nfs/dbraw/zinc/03/88/69/288038869.db2.gz PXXNTAKZAXSZCR-NSHDSACASA-N 0 2 301.306 0.742 20 0 DCADLN CC(C)[C@H](C(=O)NC1(c2nn[nH]n2)CC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000348295003 280167961 /nfs/dbraw/zinc/16/79/61/280167961.db2.gz UZBKWCFNNZLIOC-IJLUTSLNSA-N 0 2 322.413 0.439 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCn2cnnc2C1 ZINC000279268078 280292338 /nfs/dbraw/zinc/29/23/38/280292338.db2.gz RJGUTIOONKYCDG-VIFPVBQESA-N 0 2 319.287 0.323 20 0 DCADLN CC1(CO)CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000408224399 281576540 /nfs/dbraw/zinc/57/65/40/281576540.db2.gz PAZZRPCPOHIYEC-UHFFFAOYSA-N 0 2 318.333 0.671 20 0 DCADLN CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H]1CCSC1 ZINC000163530061 288926995 /nfs/dbraw/zinc/92/69/95/288926995.db2.gz FSIIXBACGFKETF-QMMMGPOBSA-N 0 2 306.347 0.189 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1CCOCC(F)F)c1nc[nH]n1 ZINC001567384020 1160338164 /nfs/dbraw/zinc/33/81/64/1160338164.db2.gz VWJMIBVCUXZLRP-VIFPVBQESA-N 0 2 303.313 0.281 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N[C@@H]2COC[C@H]2O)=N1 ZINC000296772259 533858681 /nfs/dbraw/zinc/85/86/81/533858681.db2.gz CIPUXPLTEVWITI-ZWNOBZJWSA-N 0 2 321.308 0.515 20 0 DCADLN CCOCCN1CCOC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001717134721 1182689853 /nfs/dbraw/zinc/68/98/53/1182689853.db2.gz GFJVPZJKWXNAPT-UWVGGRQHSA-N 0 2 316.295 0.740 20 0 DCADLN CC(C)S(=O)(=O)CCNC(=O)N1CCC[C@@]12CCOC2=O ZINC000365727867 519786857 /nfs/dbraw/zinc/78/68/57/519786857.db2.gz STDWCZPHKPLWOD-ZDUSSCGKSA-N 0 2 318.395 0.301 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)Cc2cccc(C)c2)n1 ZINC000186594402 524076525 /nfs/dbraw/zinc/07/65/25/524076525.db2.gz DJQXPQIAIFCJQD-UHFFFAOYSA-N 0 2 323.378 0.274 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266845603 524097765 /nfs/dbraw/zinc/09/77/65/524097765.db2.gz NZVYCAGTEMJYNI-MRVPVSSYSA-N 0 2 304.306 0.511 20 0 DCADLN Cc1cc(C(=O)NCc2ccncc2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000157052315 524110319 /nfs/dbraw/zinc/11/03/19/524110319.db2.gz LOCBACRBNRHEAH-UHFFFAOYSA-N 0 2 311.301 0.657 20 0 DCADLN C[C@H]1CCC[C@H]1NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267658094 524222808 /nfs/dbraw/zinc/22/28/08/524222808.db2.gz WISSWOIGOPIEFY-OIBJUYFYSA-N 0 2 324.362 0.903 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC(=O)N[C@@H](C)C2)c1 ZINC000424870905 536916157 /nfs/dbraw/zinc/91/61/57/536916157.db2.gz LLNGAXHNXUOBGS-CWKPULSASA-N 0 2 310.375 0.150 20 0 DCADLN CCNC(=O)CN(C)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000084385912 545700134 /nfs/dbraw/zinc/70/01/34/545700134.db2.gz HJMGAVLCSNTSMB-UHFFFAOYSA-N 0 2 320.324 0.925 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1cc(F)cc(C(=O)[O-])c1 ZINC000357149264 546186481 /nfs/dbraw/zinc/18/64/81/546186481.db2.gz OYRQKVURRSVGDC-UHFFFAOYSA-N 0 2 313.310 0.736 20 0 DCADLN COCc1nnc(CNC(=O)Nc2cc(C)nnc2N(C)C)[nH]1 ZINC000667476050 546608229 /nfs/dbraw/zinc/60/82/29/546608229.db2.gz LCYZGGMRRBKKTB-UHFFFAOYSA-N 0 2 320.357 0.437 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000668253596 546716918 /nfs/dbraw/zinc/71/69/18/546716918.db2.gz HGMQACOUIKNJJM-SECBINFHSA-N 0 2 306.322 0.379 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000668253596 546716920 /nfs/dbraw/zinc/71/69/20/546716920.db2.gz HGMQACOUIKNJJM-SECBINFHSA-N 0 2 306.322 0.379 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)N[C@H]1CCc2ccc(O)cc21 ZINC000668555209 546783688 /nfs/dbraw/zinc/78/36/88/546783688.db2.gz XNFUECNOPFIPRR-AWEZNQCLSA-N 0 2 314.345 0.844 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cc(C(N)=O)sc1C ZINC000676076087 547730644 /nfs/dbraw/zinc/73/06/44/547730644.db2.gz GRBTZLUQYHSWCZ-UHFFFAOYSA-N 0 2 300.365 0.988 20 0 DCADLN Cn1nc2c(c1C(=O)NCCCc1n[nH]c(=O)[nH]1)CCCC2 ZINC000676051991 547730861 /nfs/dbraw/zinc/73/08/61/547730861.db2.gz BODKDYCLMAYLDJ-UHFFFAOYSA-N 0 2 304.354 0.485 20 0 DCADLN CC[C@H](C)NC(=O)[C@H]1CSCN1C(=O)c1n[nH]c(C)c1O ZINC000676706847 547806508 /nfs/dbraw/zinc/80/65/08/547806508.db2.gz DLTDMVPLVCDJPX-IONNQARKSA-N 0 2 312.395 0.854 20 0 DCADLN CC[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1n[nH]c(C)c1O ZINC000676706845 547806624 /nfs/dbraw/zinc/80/66/24/547806624.db2.gz DLTDMVPLVCDJPX-APPZFPTMSA-N 0 2 312.395 0.854 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(c3nc(C)cc(C)n3)CC2)c1O ZINC000677918252 547922026 /nfs/dbraw/zinc/92/20/26/547922026.db2.gz VFNIZULQAQLNJX-UHFFFAOYSA-N 0 2 316.365 0.793 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccnc(O[C@H]2CCOC2)c1 ZINC000680397953 548136902 /nfs/dbraw/zinc/13/69/02/548136902.db2.gz FDWRBXNAWPHBNL-VIFPVBQESA-N 0 2 305.294 0.003 20 0 DCADLN NC(=O)c1cc(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)co1 ZINC000680578328 548158262 /nfs/dbraw/zinc/15/82/62/548158262.db2.gz DLWOJPMXQDIVOJ-UHFFFAOYSA-N 0 2 314.257 0.974 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(-n3cncn3)cn2)cn1 ZINC000682902215 548437368 /nfs/dbraw/zinc/43/73/68/548437368.db2.gz ZYHVNYZFNDBWNV-UHFFFAOYSA-N 0 2 319.350 0.680 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCCC[C@@H](S(C)(=O)=O)C2)c1O ZINC000683521482 548494952 /nfs/dbraw/zinc/49/49/52/548494952.db2.gz NEEKBPIICRGSQK-SECBINFHSA-N 0 2 301.368 0.463 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000315483036 597056593 /nfs/dbraw/zinc/05/65/93/597056593.db2.gz ALHAUOSNDFGSHG-STQMWFEESA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])CNC(=O)C[NH+]1CCC(C(=O)c2ccc(O)cc2)CC1 ZINC000821529974 597791468 /nfs/dbraw/zinc/79/14/68/597791468.db2.gz UGYWMTRFQVBBEZ-UHFFFAOYSA-N 0 2 320.345 0.488 20 0 DCADLN CC(C)[N@H+](CC(=O)[O-])CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000820122418 598083079 /nfs/dbraw/zinc/08/30/79/598083079.db2.gz RTVCTYALHGMYNF-LBPRGKRZSA-N 0 2 313.398 0.299 20 0 DCADLN CC(C)[N@@H+](CC(=O)[O-])CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000820122418 598083082 /nfs/dbraw/zinc/08/30/82/598083082.db2.gz RTVCTYALHGMYNF-LBPRGKRZSA-N 0 2 313.398 0.299 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000736207850 600435011 /nfs/dbraw/zinc/43/50/11/600435011.db2.gz KAVDZBXXIGCIOF-IUCAKERBSA-N 0 2 312.288 0.619 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000736207850 600435012 /nfs/dbraw/zinc/43/50/12/600435012.db2.gz KAVDZBXXIGCIOF-IUCAKERBSA-N 0 2 312.288 0.619 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1ccc2c(c1)OCCO2 ZINC000738371158 598676583 /nfs/dbraw/zinc/67/65/83/598676583.db2.gz GBHWNDFGKNUWHV-UHFFFAOYSA-N 0 2 311.301 0.848 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000378695343 599778693 /nfs/dbraw/zinc/77/86/93/599778693.db2.gz FIBFVMNAHVNJLF-NSHDSACASA-N 0 2 318.289 0.673 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000378695343 599778694 /nfs/dbraw/zinc/77/86/94/599778694.db2.gz FIBFVMNAHVNJLF-NSHDSACASA-N 0 2 318.289 0.673 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CCC(CC(=O)[O-])CC2)CCO1 ZINC000736808209 599793608 /nfs/dbraw/zinc/79/36/08/599793608.db2.gz JBRPHNBMDAAMCX-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CCC(CC(=O)[O-])CC2)CCO1 ZINC000736808209 599793610 /nfs/dbraw/zinc/79/36/10/599793610.db2.gz JBRPHNBMDAAMCX-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2cccc(OCC(=O)[O-])c2)C1 ZINC000320829576 599833117 /nfs/dbraw/zinc/83/31/17/599833117.db2.gz UJKLJUIOMRLLFA-CYBMUJFWSA-N 0 2 323.349 0.602 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2cccc(OCC(=O)[O-])c2)C1 ZINC000320829576 599833119 /nfs/dbraw/zinc/83/31/19/599833119.db2.gz UJKLJUIOMRLLFA-CYBMUJFWSA-N 0 2 323.349 0.602 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2cc(C(=O)[O-])ccc2F)C1 ZINC000316856680 599833274 /nfs/dbraw/zinc/83/32/74/599833274.db2.gz SMXXUCDGGKSLOF-SNVBAGLBSA-N 0 2 311.313 0.976 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2cc(C(=O)[O-])ccc2F)C1 ZINC000316856680 599833276 /nfs/dbraw/zinc/83/32/76/599833276.db2.gz SMXXUCDGGKSLOF-SNVBAGLBSA-N 0 2 311.313 0.976 20 0 DCADLN CN1CC[NH+](C[C@H]2CN(Cc3occc3C(=O)[O-])CCO2)CC1 ZINC000737526382 599918376 /nfs/dbraw/zinc/91/83/76/599918376.db2.gz MWSMMYWHNMPZEW-ZDUSSCGKSA-N 0 2 323.393 0.426 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1CCOc1ccc(Cl)cc1 ZINC000071247374 599982733 /nfs/dbraw/zinc/98/27/33/599982733.db2.gz VDQPBJOZYLIVPZ-LBPRGKRZSA-N 0 2 312.753 0.994 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1CCOc1ccc(Cl)cc1 ZINC000071247374 599982735 /nfs/dbraw/zinc/98/27/35/599982735.db2.gz VDQPBJOZYLIVPZ-LBPRGKRZSA-N 0 2 312.753 0.994 20 0 DCADLN CCN(Cc1ccc2c(c1)OCCO2)C(=O)C[N@H+](C)CC(=O)[O-] ZINC000737105795 599998430 /nfs/dbraw/zinc/99/84/30/599998430.db2.gz FPEOEOPYJUWEKE-UHFFFAOYSA-N 0 2 322.361 0.823 20 0 DCADLN CCN(Cc1ccc2c(c1)OCCO2)C(=O)C[N@@H+](C)CC(=O)[O-] ZINC000737105795 599998431 /nfs/dbraw/zinc/99/84/31/599998431.db2.gz FPEOEOPYJUWEKE-UHFFFAOYSA-N 0 2 322.361 0.823 20 0 DCADLN CC(C)c1[nH+]c2c(n1C)CCN(CC(=O)NCCC(=O)[O-])C2 ZINC000736525593 599999415 /nfs/dbraw/zinc/99/94/15/599999415.db2.gz YSNCGGABZMHGLB-UHFFFAOYSA-N 0 2 308.382 0.493 20 0 DCADLN C[N@@H+](CC(=O)NCCC(=O)[O-])C[C@@H]1COc2ccccc2O1 ZINC000737394011 600042390 /nfs/dbraw/zinc/04/23/90/600042390.db2.gz HKOPICGHECAJLX-LLVKDONJSA-N 0 2 308.334 0.349 20 0 DCADLN C[N@H+](CC(=O)NCCC(=O)[O-])C[C@@H]1COc2ccccc2O1 ZINC000737394011 600042393 /nfs/dbraw/zinc/04/23/93/600042393.db2.gz HKOPICGHECAJLX-LLVKDONJSA-N 0 2 308.334 0.349 20 0 DCADLN C[N@H+](CCC(=O)NCC(=O)[O-])Cc1nccn1CC(F)(F)F ZINC000737412483 600160759 /nfs/dbraw/zinc/16/07/59/600160759.db2.gz OQSVXZXNKQPKAL-UHFFFAOYSA-N 0 2 322.287 0.468 20 0 DCADLN C[N@@H+](CCC(=O)NCC(=O)[O-])Cc1nccn1CC(F)(F)F ZINC000737412483 600160760 /nfs/dbraw/zinc/16/07/60/600160760.db2.gz OQSVXZXNKQPKAL-UHFFFAOYSA-N 0 2 322.287 0.468 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000737572664 600229632 /nfs/dbraw/zinc/22/96/32/600229632.db2.gz DTHJWQAGLPAAFO-WDEREUQCSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000737572664 600229633 /nfs/dbraw/zinc/22/96/33/600229633.db2.gz DTHJWQAGLPAAFO-WDEREUQCSA-N 0 2 300.355 0.239 20 0 DCADLN O=C([O-])CNC(=O)CC[N@@H+]1CCO[C@@H](c2cccc(F)c2)C1 ZINC000739807739 600298512 /nfs/dbraw/zinc/29/85/12/600298512.db2.gz VVNZZAIDGMPBDQ-CYBMUJFWSA-N 0 2 310.325 0.790 20 0 DCADLN O=C([O-])CNC(=O)CC[N@H+]1CCO[C@@H](c2cccc(F)c2)C1 ZINC000739807739 600298515 /nfs/dbraw/zinc/29/85/15/600298515.db2.gz VVNZZAIDGMPBDQ-CYBMUJFWSA-N 0 2 310.325 0.790 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2ccc(CNC(=O)[O-])cc2)CC1 ZINC000737518134 600364766 /nfs/dbraw/zinc/36/47/66/600364766.db2.gz NVFNZMGERBLVMG-UHFFFAOYSA-N 0 2 320.349 0.167 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+](C)C[C@@H](C)C(=O)[O-])cc1 ZINC000737923026 600374491 /nfs/dbraw/zinc/37/44/91/600374491.db2.gz POTRYTWVNBPTQP-SNVBAGLBSA-N 0 2 308.334 0.604 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+](C)C[C@@H](C)C(=O)[O-])cc1 ZINC000737923026 600374495 /nfs/dbraw/zinc/37/44/95/600374495.db2.gz POTRYTWVNBPTQP-SNVBAGLBSA-N 0 2 308.334 0.604 20 0 DCADLN C[N@H+](CCN1CCCC1=O)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000737442631 600542848 /nfs/dbraw/zinc/54/28/48/600542848.db2.gz ZEZMPLGNUBGVEG-UHFFFAOYSA-N 0 2 319.361 0.878 20 0 DCADLN C[N@@H+](CCN1CCCC1=O)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000737442631 600542849 /nfs/dbraw/zinc/54/28/49/600542849.db2.gz ZEZMPLGNUBGVEG-UHFFFAOYSA-N 0 2 319.361 0.878 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736880005 600546934 /nfs/dbraw/zinc/54/69/34/600546934.db2.gz SREXETWKPMTGKK-SMDDNHRTSA-N 0 2 313.398 0.156 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736880005 600546936 /nfs/dbraw/zinc/54/69/36/600546936.db2.gz SREXETWKPMTGKK-SMDDNHRTSA-N 0 2 313.398 0.156 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CCC(C(=O)[O-])CC1)[NH+]1CCOCC1 ZINC000736643441 600564450 /nfs/dbraw/zinc/56/44/50/600564450.db2.gz VLWWCJDHYUJJPQ-NWDGAFQWSA-N 0 2 313.398 0.602 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)CC1 ZINC000036170772 600703915 /nfs/dbraw/zinc/70/39/15/600703915.db2.gz SWVODXKGIUCZDW-UHFFFAOYSA-N 0 2 301.368 0.428 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2C[C@H](CC(=O)[O-])c3ccccc32)C1 ZINC000830960408 600968059 /nfs/dbraw/zinc/96/80/59/600968059.db2.gz MLOXXDLOLRIFFB-FZMZJTMJSA-N 0 2 304.346 0.922 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2C[C@H](CC(=O)[O-])c3ccccc32)C1 ZINC000830960408 600968061 /nfs/dbraw/zinc/96/80/61/600968061.db2.gz MLOXXDLOLRIFFB-FZMZJTMJSA-N 0 2 304.346 0.922 20 0 DCADLN CCc1nc(C2([NH2+]CCC(=O)N(C)CC(=O)[O-])CCCC2)no1 ZINC000737281340 601107494 /nfs/dbraw/zinc/10/74/94/601107494.db2.gz IPIVZQKJLUFWCY-UHFFFAOYSA-N 0 2 324.381 0.924 20 0 DCADLN O=C([O-])CCCc1nc(CN2CC[C@H]([NH+]3CCOCC3)C2)no1 ZINC000739696974 601109681 /nfs/dbraw/zinc/10/96/81/601109681.db2.gz CMVVDJXWBDVDNM-LBPRGKRZSA-N 0 2 324.381 0.383 20 0 DCADLN CO[C@H](C)c1noc(C[N@H+](C)CCC(=O)N(C)CC(=O)[O-])n1 ZINC000831505742 601380583 /nfs/dbraw/zinc/38/05/83/601380583.db2.gz UBQAVDATZJNPHE-SECBINFHSA-N 0 2 314.342 0.142 20 0 DCADLN CO[C@H](C)c1noc(C[N@@H+](C)CCC(=O)N(C)CC(=O)[O-])n1 ZINC000831505742 601380585 /nfs/dbraw/zinc/38/05/85/601380585.db2.gz UBQAVDATZJNPHE-SECBINFHSA-N 0 2 314.342 0.142 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@H+](CC(=O)NCCc2ccc(F)cc2)C1 ZINC000833108041 601437686 /nfs/dbraw/zinc/43/76/86/601437686.db2.gz ZXXMIOKLEPAXAO-HNNXBMFYSA-N 0 2 312.316 0.983 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@@H+](CC(=O)NCCc2ccc(F)cc2)C1 ZINC000833108041 601437688 /nfs/dbraw/zinc/43/76/88/601437688.db2.gz ZXXMIOKLEPAXAO-HNNXBMFYSA-N 0 2 312.316 0.983 20 0 DCADLN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@H+](CC(=O)[O-])C1CC1 ZINC000828252813 601461888 /nfs/dbraw/zinc/46/18/88/601461888.db2.gz YFQMQEGBVVSYIP-CMPLNLGQSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@@H+](CC(=O)[O-])C1CC1 ZINC000828252813 601461890 /nfs/dbraw/zinc/46/18/90/601461890.db2.gz YFQMQEGBVVSYIP-CMPLNLGQSA-N 0 2 311.382 0.940 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](CCn2cnc3ccccc3c2=O)C1 ZINC000833176403 601553680 /nfs/dbraw/zinc/55/36/80/601553680.db2.gz YRWYAGTZDSFMRM-OAHLLOKOSA-N 0 2 305.309 0.895 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](CCn2cnc3ccccc3c2=O)C1 ZINC000833176403 601553683 /nfs/dbraw/zinc/55/36/83/601553683.db2.gz YRWYAGTZDSFMRM-OAHLLOKOSA-N 0 2 305.309 0.895 20 0 DCADLN C[C@H](CS(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000827541982 601787101 /nfs/dbraw/zinc/78/71/01/601787101.db2.gz QPUORGNHXWXMGL-GHMZBOCLSA-N 0 2 301.368 0.571 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NC2CCN(C(=O)[O-])CC2)CCO1 ZINC000736813010 602153828 /nfs/dbraw/zinc/15/38/28/602153828.db2.gz XYNUQKQQKPGNAB-LLVKDONJSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NC2CCN(C(=O)[O-])CC2)CCO1 ZINC000736813010 602153831 /nfs/dbraw/zinc/15/38/31/602153831.db2.gz XYNUQKQQKPGNAB-LLVKDONJSA-N 0 2 314.386 0.149 20 0 DCADLN COc1cc(OC)cc([C@@H]([NH2+][C@H]2CCN(C)C2=O)C(=O)[O-])c1 ZINC000832050521 602174322 /nfs/dbraw/zinc/17/43/22/602174322.db2.gz QRYZZDUWQYISAU-QWHCGFSZSA-N 0 2 308.334 0.650 20 0 DCADLN C[C@@H](NC(=O)N1CCN(C(=O)[O-])CC1)[C@H](C)[NH+]1CCOCC1 ZINC000736646989 602638978 /nfs/dbraw/zinc/63/89/78/602638978.db2.gz ZNANCGGLZYJZMR-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN CCC[C@H](NC(=O)[O-])C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000826745301 603036481 /nfs/dbraw/zinc/03/64/81/603036481.db2.gz WPEVFCVWQBDRAG-STQMWFEESA-N 0 2 313.398 0.746 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)[C@@H](C)NC(=O)[O-])nc[nH+]1 ZINC000828593827 603092854 /nfs/dbraw/zinc/09/28/54/603092854.db2.gz KDMDNVIJKUPYAO-ZJUUUORDSA-N 0 2 322.369 0.259 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)[C@@H](C)NC(=O)[O-])[nH+]cn1 ZINC000828593827 603092861 /nfs/dbraw/zinc/09/28/61/603092861.db2.gz KDMDNVIJKUPYAO-ZJUUUORDSA-N 0 2 322.369 0.259 20 0 DCADLN O=C([O-])N1CCC[C@@H](NC(=O)NCCNc2cccc[nH+]2)C1 ZINC000739419270 603295324 /nfs/dbraw/zinc/29/53/24/603295324.db2.gz DFKVSECZGHFTGO-LLVKDONJSA-N 0 2 307.354 0.935 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)NCC(C)(C)NC(=O)[O-] ZINC000828315837 603452622 /nfs/dbraw/zinc/45/26/22/603452622.db2.gz HIXDWCWCUNQFFA-UHFFFAOYSA-N 0 2 302.375 0.006 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cn3ccccc3n2)[C@H](CNC(=O)[O-])C1 ZINC000828512899 603505064 /nfs/dbraw/zinc/50/50/64/603505064.db2.gz BJLBXXNNLHKTIM-LLVKDONJSA-N 0 2 317.349 0.358 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cn3ccccc3n2)[C@H](CNC(=O)[O-])C1 ZINC000828512899 603505065 /nfs/dbraw/zinc/50/50/65/603505065.db2.gz BJLBXXNNLHKTIM-LLVKDONJSA-N 0 2 317.349 0.358 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc3c2OCO3)[C@H](CNC(=O)[O-])C1 ZINC000828514206 603512434 /nfs/dbraw/zinc/51/24/34/603512434.db2.gz VOPAXWWSUCEVFO-SNVBAGLBSA-N 0 2 321.333 0.439 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc3c2OCO3)[C@H](CNC(=O)[O-])C1 ZINC000828514206 603512438 /nfs/dbraw/zinc/51/24/38/603512438.db2.gz VOPAXWWSUCEVFO-SNVBAGLBSA-N 0 2 321.333 0.439 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(F)c(F)c2)[C@H](CNC(=O)[O-])C1 ZINC000828513051 603522374 /nfs/dbraw/zinc/52/23/74/603522374.db2.gz FZSAARIYRVEDKQ-SNVBAGLBSA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(F)c(F)c2)[C@H](CNC(=O)[O-])C1 ZINC000828513051 603522375 /nfs/dbraw/zinc/52/23/75/603522375.db2.gz FZSAARIYRVEDKQ-SNVBAGLBSA-N 0 2 313.304 0.989 20 0 DCADLN CCCOCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001675441173 1175356373 /nfs/dbraw/zinc/35/63/73/1175356373.db2.gz HQXVBGKLFXPGSB-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001675441173 1175356381 /nfs/dbraw/zinc/35/63/81/1175356381.db2.gz HQXVBGKLFXPGSB-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)N[C@H]1CCc2[nH+]ccn2C1 ZINC000826110707 603702174 /nfs/dbraw/zinc/70/21/74/603702174.db2.gz UGOFEXJJBHMWDE-JBLDHEPKSA-N 0 2 322.365 0.818 20 0 DCADLN COCCS(=O)(=O)CCC[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000829017643 603795489 /nfs/dbraw/zinc/79/54/89/603795489.db2.gz CKPFCAOTHHLLQA-LLVKDONJSA-N 0 2 308.400 0.122 20 0 DCADLN COCCS(=O)(=O)CCC[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000829017643 603795492 /nfs/dbraw/zinc/79/54/92/603795492.db2.gz CKPFCAOTHHLLQA-LLVKDONJSA-N 0 2 308.400 0.122 20 0 DCADLN CC(C)(C(=O)N1CC(n2cc[nH+]c2)C1)N1CCN(C(=O)[O-])CC1 ZINC000823737864 603796934 /nfs/dbraw/zinc/79/69/34/603796934.db2.gz NUVWIAMBNLTXQW-UHFFFAOYSA-N 0 2 321.381 0.341 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+]([C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000827883379 603806893 /nfs/dbraw/zinc/80/68/93/603806893.db2.gz XPEUNZRJUCCMCN-BDAKNGLRSA-N 0 2 309.288 0.834 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+]([C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000827883379 603806894 /nfs/dbraw/zinc/80/68/94/603806894.db2.gz XPEUNZRJUCCMCN-BDAKNGLRSA-N 0 2 309.288 0.834 20 0 DCADLN C[C@@H](c1ccccn1)[N@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000825645247 603960955 /nfs/dbraw/zinc/96/09/55/603960955.db2.gz MXHYCXNPJSDYGQ-LBPRGKRZSA-N 0 2 306.366 0.897 20 0 DCADLN C[C@@H](c1ccccn1)[N@@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000825645247 603960960 /nfs/dbraw/zinc/96/09/60/603960960.db2.gz MXHYCXNPJSDYGQ-LBPRGKRZSA-N 0 2 306.366 0.897 20 0 DCADLN COC(=O)c1cn(C[N@@H+]2CCC[C@H]([C@H](C)NC(=O)[O-])C2)nn1 ZINC000828805293 604019277 /nfs/dbraw/zinc/01/92/77/604019277.db2.gz WADDTRYGVSZDPG-UWVGGRQHSA-N 0 2 311.342 0.390 20 0 DCADLN COC(=O)c1cn(C[N@H+]2CCC[C@H]([C@H](C)NC(=O)[O-])C2)nn1 ZINC000828805293 604019284 /nfs/dbraw/zinc/01/92/84/604019284.db2.gz WADDTRYGVSZDPG-UWVGGRQHSA-N 0 2 311.342 0.390 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)NCCOC2CCC2)CC1 ZINC000831692777 604076474 /nfs/dbraw/zinc/07/64/74/604076474.db2.gz BDMQSHDDJRSMFZ-UHFFFAOYSA-N 0 2 314.386 0.150 20 0 DCADLN CC(C)(CNC(=O)NCC[N@@H+]1CCOCC1(C)C)NC(=O)[O-] ZINC000823936758 604105226 /nfs/dbraw/zinc/10/52/26/604105226.db2.gz QEQFNLRZCSQHDV-UHFFFAOYSA-N 0 2 316.402 0.443 20 0 DCADLN CC(C)(CNC(=O)NCC[N@H+]1CCOCC1(C)C)NC(=O)[O-] ZINC000823936758 604105232 /nfs/dbraw/zinc/10/52/32/604105232.db2.gz QEQFNLRZCSQHDV-UHFFFAOYSA-N 0 2 316.402 0.443 20 0 DCADLN CCC(CC)(CNC(=O)NC[C@H]1C[N@H+](C)CCO1)NC(=O)[O-] ZINC000826534053 604116458 /nfs/dbraw/zinc/11/64/58/604116458.db2.gz XHUNQQBJTDEOJF-NSHDSACASA-N 0 2 316.402 0.443 20 0 DCADLN CCC(CC)(CNC(=O)NC[C@H]1C[N@@H+](C)CCO1)NC(=O)[O-] ZINC000826534053 604116463 /nfs/dbraw/zinc/11/64/63/604116463.db2.gz XHUNQQBJTDEOJF-NSHDSACASA-N 0 2 316.402 0.443 20 0 DCADLN O=C([O-])NC1(CC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)CCC1 ZINC000832194605 604195074 /nfs/dbraw/zinc/19/50/74/604195074.db2.gz XENVJYAHADXMSG-GFCCVEGCSA-N 0 2 311.382 0.500 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(Cl)c[nH]2)[C@H](CNC(=O)[O-])C1 ZINC000828507037 604409042 /nfs/dbraw/zinc/40/90/42/604409042.db2.gz MGABLZIFOBEISH-SECBINFHSA-N 0 2 300.746 0.692 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(Cl)c[nH]2)[C@H](CNC(=O)[O-])C1 ZINC000828507037 604409044 /nfs/dbraw/zinc/40/90/44/604409044.db2.gz MGABLZIFOBEISH-SECBINFHSA-N 0 2 300.746 0.692 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H]1CC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000827653340 604522367 /nfs/dbraw/zinc/52/23/67/604522367.db2.gz NRUHGUHTQRNULA-RWMBFGLXSA-N 0 2 313.398 0.744 20 0 DCADLN C[C@H](Oc1ccc(C[N@@H+]2CCO[C@@H](C(N)=O)C2)cc1)C(=O)[O-] ZINC000833538744 604622554 /nfs/dbraw/zinc/62/25/54/604622554.db2.gz FOTMHVQXVVSSTQ-GXFFZTMASA-N 0 2 308.334 0.225 20 0 DCADLN C[C@H](Oc1ccc(C[N@H+]2CCO[C@@H](C(N)=O)C2)cc1)C(=O)[O-] ZINC000833538744 604622558 /nfs/dbraw/zinc/62/25/58/604622558.db2.gz FOTMHVQXVVSSTQ-GXFFZTMASA-N 0 2 308.334 0.225 20 0 DCADLN C[N@H+](CC(=O)Nc1cccc(C(=O)[O-])c1)CC(C)(CO)CO ZINC000830681917 604648864 /nfs/dbraw/zinc/64/88/64/604648864.db2.gz JYBOCQHUVGSVEJ-UHFFFAOYSA-N 0 2 310.350 0.246 20 0 DCADLN C[N@@H+](CC(=O)Nc1cccc(C(=O)[O-])c1)CC(C)(CO)CO ZINC000830681917 604648866 /nfs/dbraw/zinc/64/88/66/604648866.db2.gz JYBOCQHUVGSVEJ-UHFFFAOYSA-N 0 2 310.350 0.246 20 0 DCADLN C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833632376 604701588 /nfs/dbraw/zinc/70/15/88/604701588.db2.gz VWFWMBWDCVXNGL-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833632376 604701590 /nfs/dbraw/zinc/70/15/90/604701590.db2.gz VWFWMBWDCVXNGL-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN O=C(C[C@H]1CN(C(=O)[O-])CCO1)NCc1cn2c([nH+]1)CCCC2 ZINC000831389184 604817314 /nfs/dbraw/zinc/81/73/14/604817314.db2.gz ZUXIAMZOBNRTAU-LBPRGKRZSA-N 0 2 322.365 0.605 20 0 DCADLN CC(C)n1nccc1C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000824535138 604836688 /nfs/dbraw/zinc/83/66/88/604836688.db2.gz USWXYZCVDRMDBU-UHFFFAOYSA-N 0 2 323.397 0.832 20 0 DCADLN Cc1[nH]c(C(=O)NC[C@H]2C[NH+]3CCN2CC3)c(C)c1C(=O)[O-] ZINC000833740397 604912786 /nfs/dbraw/zinc/91/27/86/604912786.db2.gz RNSMKUCTJVWVPA-NSHDSACASA-N 0 2 306.366 0.059 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)n1 ZINC000833327722 604935642 /nfs/dbraw/zinc/93/56/42/604935642.db2.gz XGQRUABBNRDICL-NSHDSACASA-N 0 2 305.334 0.327 20 0 DCADLN C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@@H+](C)[C@@H](C)C(N)=O ZINC000833408764 604972511 /nfs/dbraw/zinc/97/25/11/604972511.db2.gz UKIIEGMBNSYIFO-VHSXEESVSA-N 0 2 307.350 0.195 20 0 DCADLN C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+](C)[C@@H](C)C(N)=O ZINC000833408764 604972516 /nfs/dbraw/zinc/97/25/16/604972516.db2.gz UKIIEGMBNSYIFO-VHSXEESVSA-N 0 2 307.350 0.195 20 0 DCADLN CC(C)CN1C[C@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1=O ZINC000320544922 605077626 /nfs/dbraw/zinc/07/76/26/605077626.db2.gz CFVXOKYCPLQCJB-PWSUYJOCSA-N 0 2 322.365 0.026 20 0 DCADLN CC(C)CN1C[C@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1=O ZINC000320544922 605077632 /nfs/dbraw/zinc/07/76/32/605077632.db2.gz CFVXOKYCPLQCJB-PWSUYJOCSA-N 0 2 322.365 0.026 20 0 DCADLN C[C@@H]1OCCN(C(=O)C(C)(C)[NH+]2CCN(C(=O)[O-])CC2)[C@H]1C ZINC000826127827 605166392 /nfs/dbraw/zinc/16/63/92/605166392.db2.gz XYSUHCUUBNMKBM-RYUDHWBXSA-N 0 2 313.398 0.696 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000834212331 605761116 /nfs/dbraw/zinc/76/11/16/605761116.db2.gz TVJGRJRXDDUZFP-GRYCIOLGSA-N 0 2 306.366 0.998 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)NC(=O)[O-])C2)o1 ZINC000830624416 605812546 /nfs/dbraw/zinc/81/25/46/605812546.db2.gz ILQJGZORBWWTJQ-GHMZBOCLSA-N 0 2 310.354 0.672 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)NC(=O)[O-])C2)o1 ZINC000830624416 605812548 /nfs/dbraw/zinc/81/25/48/605812548.db2.gz ILQJGZORBWWTJQ-GHMZBOCLSA-N 0 2 310.354 0.672 20 0 DCADLN C[C@H](CNC(=O)[O-])CNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000833809377 605967936 /nfs/dbraw/zinc/96/79/36/605967936.db2.gz UCYQVUIIHYPXNR-NWDGAFQWSA-N 0 2 321.381 0.863 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](CCN2CCCS2(=O)=O)CCN1C(=O)[O-] ZINC000833829513 606044887 /nfs/dbraw/zinc/04/48/87/606044887.db2.gz RWZXQMYAAMEREJ-QWRGUYRKSA-N 0 2 305.400 0.095 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](CCN2CCCS2(=O)=O)CCN1C(=O)[O-] ZINC000833829513 606044892 /nfs/dbraw/zinc/04/48/92/606044892.db2.gz RWZXQMYAAMEREJ-QWRGUYRKSA-N 0 2 305.400 0.095 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(N[C@@H]2CCCC2(C)C)n(C)c1=O ZINC000822600017 606105836 /nfs/dbraw/zinc/10/58/36/606105836.db2.gz IQIUJECRUAAGAP-MRVPVSSYSA-N 0 2 319.369 0.255 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(-c2nn[nH]n2)c1 ZINC000823266034 606183029 /nfs/dbraw/zinc/18/30/29/606183029.db2.gz KOOCMHCOLKCRFU-UHFFFAOYSA-N 0 2 301.270 0.012 20 0 DCADLN O=c1[nH]nc(CCCNc2ccc(Cl)c(-c3nn[nH]n3)n2)[nH]1 ZINC000823665758 606471593 /nfs/dbraw/zinc/47/15/93/606471593.db2.gz VIEAZJJMGTYQDW-UHFFFAOYSA-N 0 2 321.732 0.784 20 0 DCADLN Cc1cc(C[C@H](C)NC(=O)c2ccc(-c3nn[nH]n3)nc2)n[nH]1 ZINC000822200232 607167624 /nfs/dbraw/zinc/16/76/24/607167624.db2.gz ZIAMABYVAWNILT-QMMMGPOBSA-N 0 2 312.337 0.654 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)c(NCCCc2n[nH]c(=O)[nH]2)n1 ZINC000822214970 607228156 /nfs/dbraw/zinc/22/81/56/607228156.db2.gz GSLNPPQITJPCNA-UHFFFAOYSA-N 0 2 301.314 0.439 20 0 DCADLN CC[C@@H]1CC[C@H](C)N1c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000825195847 608237424 /nfs/dbraw/zinc/23/74/24/608237424.db2.gz ZFBOTFSWGZVIEY-DTWKUNHWSA-N 0 2 319.369 0.031 20 0 DCADLN Cc1nnsc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027746683 660687777 /nfs/dbraw/zinc/68/77/77/660687777.db2.gz BBHDGBCHZIRSMV-MRVPVSSYSA-N 0 2 323.382 0.065 20 0 DCADLN Cc1occc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027744672 660689239 /nfs/dbraw/zinc/68/92/39/660689239.db2.gz ITIRPHWXVXNSAA-JTQLQIEISA-N 0 2 305.338 0.806 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1cncs1 ZINC001027776935 660718860 /nfs/dbraw/zinc/71/88/60/660718860.db2.gz BMVUJFMPKWXAKV-MRVPVSSYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001027813331 660773216 /nfs/dbraw/zinc/77/32/16/660773216.db2.gz RJYLGEYXZFRZOC-DCQANWLSSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001027813331 660773217 /nfs/dbraw/zinc/77/32/17/660773217.db2.gz RJYLGEYXZFRZOC-DCQANWLSSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1ccnc(C[N@@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990979 661022183 /nfs/dbraw/zinc/02/21/83/661022183.db2.gz UHXXTNYVMDSEAW-NSHDSACASA-N 0 2 301.354 0.298 20 0 DCADLN Cc1ccnc(C[N@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990979 661022184 /nfs/dbraw/zinc/02/21/84/661022184.db2.gz UHXXTNYVMDSEAW-NSHDSACASA-N 0 2 301.354 0.298 20 0 DCADLN CCc1cnc(CN2CCC[C@@H]2CNC(=O)c2cnn[nH]2)o1 ZINC001027991148 661022926 /nfs/dbraw/zinc/02/29/26/661022926.db2.gz WFZHLTPPKFGKQV-SNVBAGLBSA-N 0 2 304.354 0.750 20 0 DCADLN CCc1nnc([C@@H](C)[N@@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)o1 ZINC001027991762 661023606 /nfs/dbraw/zinc/02/36/06/661023606.db2.gz JNKANXYILDNAKA-ZJUUUORDSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@@H](C)[N@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)o1 ZINC001027991762 661023607 /nfs/dbraw/zinc/02/36/07/661023607.db2.gz JNKANXYILDNAKA-ZJUUUORDSA-N 0 2 319.369 0.706 20 0 DCADLN Cc1ccncc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980890088 661039868 /nfs/dbraw/zinc/03/98/68/661039868.db2.gz HQJQIHOVMVUPIS-UHFFFAOYSA-N 0 2 316.365 0.562 20 0 DCADLN CCc1ocnc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980875188 661035334 /nfs/dbraw/zinc/03/53/34/661035334.db2.gz NYWMZABOBBHZRI-UHFFFAOYSA-N 0 2 320.353 0.409 20 0 DCADLN CC(C)NC(=O)NNC(=O)c1csc(N2CCOCC2)n1 ZINC000054027244 661100185 /nfs/dbraw/zinc/10/01/85/661100185.db2.gz JZURJJNSWZFUPA-UHFFFAOYSA-N 0 2 313.383 0.332 20 0 DCADLN Cn1nncc1CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981206719 661102522 /nfs/dbraw/zinc/10/25/22/661102522.db2.gz CZPGBEDZXFELKI-SNVBAGLBSA-N 0 2 323.294 0.750 20 0 DCADLN Cn1nncc1CN1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000981206719 661102525 /nfs/dbraw/zinc/10/25/25/661102525.db2.gz CZPGBEDZXFELKI-SNVBAGLBSA-N 0 2 323.294 0.750 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000981270843 661122223 /nfs/dbraw/zinc/12/22/23/661122223.db2.gz OGRQLKXWJXDEJW-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN CO[C@@H](C)C[N@H+]1CCCN(C(=O)CCc2[nH+]ccn2C)CC1 ZINC000981668232 661192869 /nfs/dbraw/zinc/19/28/69/661192869.db2.gz IFKXECROYRCBFZ-AWEZNQCLSA-N 0 2 308.426 0.922 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)N2CCC[NH+](Cc3ccnn3C)CC2)C1 ZINC000981955674 661254097 /nfs/dbraw/zinc/25/40/97/661254097.db2.gz SSCULWNVRIZGLW-HNNXBMFYSA-N 0 2 319.453 0.796 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N2CCC[N@@H+]([C@@H]3CCN(C)C3=O)CC2)C1 ZINC000981957643 661255833 /nfs/dbraw/zinc/25/58/33/661255833.db2.gz ONZLETDKKLWVLB-HUUCEWRRSA-N 0 2 322.453 0.093 20 0 DCADLN Cc1ccncc1C[N@H+]1CCC[C@H]1CNC(=O)c1nc[nH]n1 ZINC001028211433 661279494 /nfs/dbraw/zinc/27/94/94/661279494.db2.gz QCKQFTYEWHORKO-ZDUSSCGKSA-N 0 2 300.366 0.903 20 0 DCADLN CCc1nocc1C[N@H+]1CCC[C@@H]1CNC(=O)c1nnc[nH]1 ZINC001028211664 661279667 /nfs/dbraw/zinc/27/96/67/661279667.db2.gz TXOZNQCCFFWVGT-LLVKDONJSA-N 0 2 304.354 0.750 20 0 DCADLN Cc1ncsc1C[N@H+]1CCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001028211285 661279684 /nfs/dbraw/zinc/27/96/84/661279684.db2.gz MGUFLZZDHYTXMH-SNVBAGLBSA-N 0 2 306.395 0.964 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001028213285 661281918 /nfs/dbraw/zinc/28/19/18/661281918.db2.gz VJSPHSUWMVVTNC-GFCCVEGCSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001028213285 661281920 /nfs/dbraw/zinc/28/19/20/661281920.db2.gz VJSPHSUWMVVTNC-GFCCVEGCSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1nccn1CC(=O)N[C@@H]1C[C@H]([NH2+]Cc2ncc(C)cn2)C1 ZINC001023091422 657497416 /nfs/dbraw/zinc/49/74/16/657497416.db2.gz ZGYUNRVULAKTRJ-OKILXGFUSA-N 0 2 314.393 0.727 20 0 DCADLN CCc1occc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038218390 657510937 /nfs/dbraw/zinc/51/09/37/657510937.db2.gz DQFNQZCEDTXDIO-SECBINFHSA-N 0 2 305.338 0.670 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccn1 ZINC001006786331 657535239 /nfs/dbraw/zinc/53/52/39/657535239.db2.gz CXFJFMLSYXTMGW-WDEREUQCSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC12CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970505567 657582267 /nfs/dbraw/zinc/58/22/67/657582267.db2.gz DFTLIKFOSWEQJK-ONGXEEELSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(c1cn[nH]c1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010453353 657585992 /nfs/dbraw/zinc/58/59/92/657585992.db2.gz HDGHKTJQLYDBQM-MRVPVSSYSA-N 0 2 308.235 0.595 20 0 DCADLN O=C(c1cn[nH]c1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010453353 657586002 /nfs/dbraw/zinc/58/60/02/657586002.db2.gz HDGHKTJQLYDBQM-MRVPVSSYSA-N 0 2 308.235 0.595 20 0 DCADLN CCc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001006795108 657808809 /nfs/dbraw/zinc/80/88/09/657808809.db2.gz FMBPOYLRFBYDBZ-JTQLQIEISA-N 0 2 319.369 0.190 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)on1 ZINC000972795622 658421656 /nfs/dbraw/zinc/42/16/56/658421656.db2.gz HQKBBERHCLZNRA-JTQLQIEISA-N 0 2 320.353 0.447 20 0 DCADLN CC(C)c1nnc(C[NH2+][C@H]2C[C@H](NC(=O)Cc3nc[nH]n3)C2)[nH]1 ZINC001020904142 658567505 /nfs/dbraw/zinc/56/75/05/658567505.db2.gz OIBMVCNVFAFJAJ-MGCOHNPYSA-N 0 2 318.385 0.026 20 0 DCADLN Cn1nccc1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024360794 658579442 /nfs/dbraw/zinc/57/94/42/658579442.db2.gz XFBJUQPPCJPACQ-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)N2CC[C@H]([N@@H+](C)Cc3cnnn3C)C2)C1 ZINC000972335922 658583618 /nfs/dbraw/zinc/58/36/18/658583618.db2.gz SHINNFJBOVBEEB-KBPBESRZSA-N 0 2 320.441 0.190 20 0 DCADLN O=C(NC1CN(C(=O)c2ccccc2O)C1)c1[nH]ncc1F ZINC000999184189 665592904 /nfs/dbraw/zinc/59/29/04/665592904.db2.gz LCJVRKHPAMYDKJ-UHFFFAOYSA-N 0 2 304.281 0.509 20 0 DCADLN COC1CC(C(=O)NC[C@H]2CCCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001024496824 658664705 /nfs/dbraw/zinc/66/47/05/658664705.db2.gz DCRYQTNFKRTMMZ-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN COC1CC(C(=O)NC[C@H]2CCCC[N@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001024496824 658664708 /nfs/dbraw/zinc/66/47/08/658664708.db2.gz DCRYQTNFKRTMMZ-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)Cn1ncnc1C[NH2+][C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001022869207 658710127 /nfs/dbraw/zinc/71/01/27/658710127.db2.gz QWHJJEJKXXOTCE-PHIMTYICSA-N 0 2 318.385 0.103 20 0 DCADLN CC(C)Cn1ncnc1C[NH2+][C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001022869207 658710134 /nfs/dbraw/zinc/71/01/34/658710134.db2.gz QWHJJEJKXXOTCE-PHIMTYICSA-N 0 2 318.385 0.103 20 0 DCADLN CN(Cc1cn(C)nn1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575557 658825276 /nfs/dbraw/zinc/82/52/76/658825276.db2.gz FGOCPYGCRJMMDY-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001011238781 658864293 /nfs/dbraw/zinc/86/42/93/658864293.db2.gz XBGHDUZABYJWOH-SBMIAAHKSA-N 0 2 324.274 0.594 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001011238781 658864299 /nfs/dbraw/zinc/86/42/99/658864299.db2.gz XBGHDUZABYJWOH-SBMIAAHKSA-N 0 2 324.274 0.594 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240593 658899896 /nfs/dbraw/zinc/89/98/96/658899896.db2.gz YWNQXUCARRHLLV-SECBINFHSA-N 0 2 322.262 0.903 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011240593 658899906 /nfs/dbraw/zinc/89/99/06/658899906.db2.gz YWNQXUCARRHLLV-SECBINFHSA-N 0 2 322.262 0.903 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973016852 658943257 /nfs/dbraw/zinc/94/32/57/658943257.db2.gz QRATVARUNLJXCM-NSHDSACASA-N 0 2 318.381 0.787 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973016852 658943259 /nfs/dbraw/zinc/94/32/59/658943259.db2.gz QRATVARUNLJXCM-NSHDSACASA-N 0 2 318.381 0.787 20 0 DCADLN O=C([O-])[C@@]1(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCSC1 ZINC000909350160 659123266 /nfs/dbraw/zinc/12/32/66/659123266.db2.gz AEULBZAZIZDHEU-CQSZACIVSA-N 0 2 318.358 0.957 20 0 DCADLN O=C(/C=C\C1CC1)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001042329020 659435053 /nfs/dbraw/zinc/43/50/53/659435053.db2.gz CSQDACKPUFPUFJ-UMBAGQNISA-N 0 2 324.274 0.543 20 0 DCADLN O=C(/C=C\C1CC1)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001042329020 659435054 /nfs/dbraw/zinc/43/50/54/659435054.db2.gz CSQDACKPUFPUFJ-UMBAGQNISA-N 0 2 324.274 0.543 20 0 DCADLN CC1(C)C[N@H+](CC(N)=O)C[C@H]1NC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC000974581735 659585106 /nfs/dbraw/zinc/58/51/06/659585106.db2.gz GQQANMOBTLCHRV-ZWNOBZJWSA-N 0 2 319.409 0.142 20 0 DCADLN CC1(C)C[N@H+](CCO)C[C@@H]1NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000974742123 659656230 /nfs/dbraw/zinc/65/62/30/659656230.db2.gz LUKMMOFDPFDZTA-OLZOCXBDSA-N 0 2 306.410 0.264 20 0 DCADLN Cc1nnc([C@H](C)N2C[C@@H](NC(=O)c3cnn[nH]3)C(C)(C)C2)[nH]1 ZINC000974788948 659670820 /nfs/dbraw/zinc/67/08/20/659670820.db2.gz XOJUIQCVVAJKSA-GZMMTYOYSA-N 0 2 318.385 0.433 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000974945931 659738323 /nfs/dbraw/zinc/73/83/23/659738323.db2.gz ULGYERXIMGXVDJ-QCNOEVLYSA-N 0 2 319.409 0.883 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000974945931 659738325 /nfs/dbraw/zinc/73/83/25/659738325.db2.gz ULGYERXIMGXVDJ-QCNOEVLYSA-N 0 2 319.409 0.883 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(F)ccc1F ZINC001038349155 659760001 /nfs/dbraw/zinc/76/00/01/659760001.db2.gz NHKSLZVJLCYHKI-SECBINFHSA-N 0 2 323.303 0.793 20 0 DCADLN O=C(CCc1cnn[nH]1)N1CC[C@H](C[NH2+]Cc2ncccn2)C1 ZINC001027074457 659786676 /nfs/dbraw/zinc/78/66/76/659786676.db2.gz YUTFPCUNICPBPW-GFCCVEGCSA-N 0 2 315.381 0.166 20 0 DCADLN O=C(CCc1cn[nH]n1)N1CC[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001027074295 659787198 /nfs/dbraw/zinc/78/71/98/659787198.db2.gz WPEPQBSSBPKYMJ-SNVBAGLBSA-N 0 2 321.410 0.227 20 0 DCADLN O=C(CCc1c[nH]nn1)N1CC[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001027074295 659787201 /nfs/dbraw/zinc/78/72/01/659787201.db2.gz WPEPQBSSBPKYMJ-SNVBAGLBSA-N 0 2 321.410 0.227 20 0 DCADLN CCc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)no1 ZINC001032740170 665756204 /nfs/dbraw/zinc/75/62/04/665756204.db2.gz HNJYXVJTDFNGKU-IUCAKERBSA-N 0 2 318.337 0.160 20 0 DCADLN O=C(COc1ccc([N+](=O)[O-])cc1)NNC(=O)c1ccccn1 ZINC000015341592 661371716 /nfs/dbraw/zinc/37/17/16/661371716.db2.gz USSRUJHOWXDUKG-UHFFFAOYSA-N 0 2 316.273 0.830 20 0 DCADLN Cc1ncc(C(=O)NC[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)C2)[nH]1 ZINC001028450895 661527060 /nfs/dbraw/zinc/52/70/60/661527060.db2.gz JSVIDVCLOPBQSW-LLVKDONJSA-N 0 2 303.370 0.397 20 0 DCADLN C[C@]1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CCCOC1 ZINC000983025966 661742224 /nfs/dbraw/zinc/74/22/24/661742224.db2.gz HTJQSKDVBDGSLB-HNNXBMFYSA-N 0 2 323.397 0.361 20 0 DCADLN Cc1nc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)s1 ZINC001038401222 661756399 /nfs/dbraw/zinc/75/63/99/661756399.db2.gz HXQJHFLNAKBGOV-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN C[C@@H](CN(C)C(=O)OC(C)(C)C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748418295 661990024 /nfs/dbraw/zinc/99/00/24/661990024.db2.gz HBZWTOOMQSQNJN-QMMMGPOBSA-N 0 2 313.358 0.630 20 0 DCADLN CC[C@@](C)(CC(=O)OC)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000748599818 662016378 /nfs/dbraw/zinc/01/63/78/662016378.db2.gz WYWRJMBTPUHGIJ-MADCSZMMSA-N 0 2 301.368 0.391 20 0 DCADLN O=C(c1ccc[nH]1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029210464 662050730 /nfs/dbraw/zinc/05/07/30/662050730.db2.gz HWXZKNNKJJZXJK-AOOOYVTPSA-N 0 2 302.338 0.327 20 0 DCADLN COC1CC(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)C1 ZINC001029350772 662114327 /nfs/dbraw/zinc/11/43/27/662114327.db2.gz CDMBOFBZRVOUFI-WSVSKBAQSA-N 0 2 321.381 0.111 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)[C@@H]1CCCOC1 ZINC000909539330 662330165 /nfs/dbraw/zinc/33/01/65/662330165.db2.gz PNPWIIWOFXNZMM-WZRBSPASSA-N 0 2 307.350 0.442 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)c3cccc4[nH+]ccn43)C[C@H]1COCC2 ZINC000909564789 662370096 /nfs/dbraw/zinc/37/00/96/662370096.db2.gz HRFMWAVTASOULN-MEDUHNTESA-N 0 2 315.329 0.898 20 0 DCADLN C[C@H]1[C@@H]([NH2+]Cc2cncn2C)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC000986215902 662571092 /nfs/dbraw/zinc/57/10/92/662571092.db2.gz UUIAONCDXAXJQD-JSGCOSHPSA-N 0 2 316.409 0.855 20 0 DCADLN O=C([O-])[C@H](CC(F)(F)F)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000909667062 662630888 /nfs/dbraw/zinc/63/08/88/662630888.db2.gz PYOTVCPNWNHWSY-YUMQZZPRSA-N 0 2 305.256 0.967 20 0 DCADLN C[C@H]1[C@H]([NH2+]Cc2cnn(C)c2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000987068194 662641137 /nfs/dbraw/zinc/64/11/37/662641137.db2.gz OACAJSKHHQEIDG-SMDDNHRTSA-N 0 2 302.382 0.465 20 0 DCADLN CO[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)C1CC1 ZINC000940959750 665889056 /nfs/dbraw/zinc/88/90/56/665889056.db2.gz YVNRMTQWILBESS-IWSPIJDZSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1NC(=O)C1CC1 ZINC000940959750 665889058 /nfs/dbraw/zinc/88/90/58/665889058.db2.gz YVNRMTQWILBESS-IWSPIJDZSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)C(F)F ZINC000940940715 665889459 /nfs/dbraw/zinc/88/94/59/665889459.db2.gz YZQXOSIFZBLWEL-HSUXUTPPSA-N 0 2 322.205 0.494 20 0 DCADLN CCC(CC)C(=O)N1C[C@@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@H]1C ZINC000988115273 662731983 /nfs/dbraw/zinc/73/19/83/662731983.db2.gz WBYGLURVOGSVFJ-PWSUYJOCSA-N 0 2 309.414 0.624 20 0 DCADLN Cn1cc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000937663642 662780178 /nfs/dbraw/zinc/78/01/78/662780178.db2.gz AZOKDFPLPCICNN-IUCAKERBSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N[C@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC000937663642 662780180 /nfs/dbraw/zinc/78/01/80/662780180.db2.gz AZOKDFPLPCICNN-IUCAKERBSA-N 0 2 322.262 0.651 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2C[C@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC000988631942 662808005 /nfs/dbraw/zinc/80/80/05/662808005.db2.gz IXNBMWNTCNFJEO-XVKPBYJWSA-N 0 2 319.369 0.243 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC000938064344 662859265 /nfs/dbraw/zinc/85/92/65/662859265.db2.gz MJSRTMOXPJIYKT-XHNCKOQMSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC000938064344 662859267 /nfs/dbraw/zinc/85/92/67/662859267.db2.gz MJSRTMOXPJIYKT-XHNCKOQMSA-N 0 2 312.263 0.640 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2nc3ncccn3n2)c1Cl ZINC000729811570 662910501 /nfs/dbraw/zinc/91/05/01/662910501.db2.gz QWQHUPBPDGUKTO-UHFFFAOYSA-N 0 2 313.730 0.312 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2scc3c2OCCO3)C(=O)N1C ZINC000899042188 663119150 /nfs/dbraw/zinc/11/91/50/663119150.db2.gz YNSOIUABIBRFAT-LURJTMIESA-N 0 2 311.319 0.447 20 0 DCADLN CO[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)C(C)C ZINC000941230008 665950741 /nfs/dbraw/zinc/95/07/41/665950741.db2.gz PCLIEPGLSDCBJN-HLTSFMKQSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1NC(=O)C(C)C ZINC000941230008 665950746 /nfs/dbraw/zinc/95/07/46/665950746.db2.gz PCLIEPGLSDCBJN-HLTSFMKQSA-N 0 2 314.279 0.885 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938213121 663343521 /nfs/dbraw/zinc/34/35/21/663343521.db2.gz NHCZSWRKNNTSHF-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938213121 663343524 /nfs/dbraw/zinc/34/35/24/663343524.db2.gz NHCZSWRKNNTSHF-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN O=C([O-])CN(C(=O)[C@H]1CCc2c[nH+]cn2C1)C1CCOCC1 ZINC000901573128 663353158 /nfs/dbraw/zinc/35/31/58/663353158.db2.gz NOEFAACBRGNSHH-NSHDSACASA-N 0 2 307.350 0.538 20 0 DCADLN Cn1ncc(NS(=O)(=O)N=S(C)(C)=O)c1C(F)(F)F ZINC000901661222 663361118 /nfs/dbraw/zinc/36/11/18/663361118.db2.gz FSAPSMQUDXJXDY-UHFFFAOYSA-N 0 2 320.318 0.823 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cnn(C)c2C)n(C)c1 ZINC000901897278 663373123 /nfs/dbraw/zinc/37/31/23/663373123.db2.gz WQCOAXPMOWSZJB-UHFFFAOYSA-N 0 2 312.351 0.654 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC000902667377 663426940 /nfs/dbraw/zinc/42/69/40/663426940.db2.gz HEWHOYIVNOASKO-VXGBXAGGSA-N 0 2 300.399 0.960 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC000902667377 663426939 /nfs/dbraw/zinc/42/69/39/663426939.db2.gz HEWHOYIVNOASKO-VXGBXAGGSA-N 0 2 300.399 0.960 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000907842829 663721535 /nfs/dbraw/zinc/72/15/35/663721535.db2.gz YFWJJZFBIVUVHJ-ZIAGYGMSSA-N 0 2 304.346 0.757 20 0 DCADLN C[C@H]1Cn2nc(C(=O)[O-])cc2CN1C(=O)Cc1c[nH+]cn1C ZINC000908438989 663755466 /nfs/dbraw/zinc/75/54/66/663755466.db2.gz MEYIJSAGLYHHDO-VIFPVBQESA-N 0 2 303.322 0.288 20 0 DCADLN O=C([O-])[C@@H]1CC(F)(F)CN1C(=O)NCCCn1cc[nH+]c1 ZINC000908737111 663767486 /nfs/dbraw/zinc/76/74/86/663767486.db2.gz HNQIBAKJMLYNAD-VIFPVBQESA-N 0 2 302.281 0.777 20 0 DCADLN CO[C@@H]1CCN(C(=O)NCCCCn2cc[nH+]c2)[C@H](C(=O)[O-])C1 ZINC000908862505 663772537 /nfs/dbraw/zinc/77/25/37/663772537.db2.gz NYTBQVKTQYEMPK-OLZOCXBDSA-N 0 2 324.381 0.937 20 0 DCADLN CSC[C@@H](NC(=O)NCc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC000909041411 663779006 /nfs/dbraw/zinc/77/90/06/663779006.db2.gz QMKOJEZONVJDHB-SNVBAGLBSA-N 0 2 308.363 0.950 20 0 DCADLN O=C([O-])[C@H](NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)C1CC1 ZINC000909082715 663780591 /nfs/dbraw/zinc/78/05/91/663780591.db2.gz PGHAOICRQCJSHX-WCQYABFASA-N 0 2 304.350 0.823 20 0 DCADLN C/C(=C/C(=O)N1CCC[C@](C)(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC000909839870 663811631 /nfs/dbraw/zinc/81/16/31/663811631.db2.gz HABYQIALBDGWNH-DDKJEQMHSA-N 0 2 310.394 0.978 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](C[C@@H]1CCCOC1)C(=O)[O-] ZINC000910248893 663859273 /nfs/dbraw/zinc/85/92/73/663859273.db2.gz ZQRCDZSBGCXARV-LOWDOPEQSA-N 0 2 321.377 0.910 20 0 DCADLN O=C([O-])[C@@H](CC1CCOCC1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000910449921 663885141 /nfs/dbraw/zinc/88/51/41/663885141.db2.gz BUAQXZNMHJYUNQ-TZMCWYRMSA-N 0 2 321.377 0.832 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC000910480921 663891187 /nfs/dbraw/zinc/89/11/87/663891187.db2.gz VFWXWUPFDPWCCK-CQSZACIVSA-N 0 2 324.406 0.710 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(c2cc(N3CCSCC3)nc[nH+]2)C1 ZINC000910480921 663891188 /nfs/dbraw/zinc/89/11/88/663891188.db2.gz VFWXWUPFDPWCCK-CQSZACIVSA-N 0 2 324.406 0.710 20 0 DCADLN C[C@H]1CCN(C(=O)Cn2cc(C(=O)[O-])cn2)C[C@H]1n1cc[nH+]c1 ZINC000910688012 663923927 /nfs/dbraw/zinc/92/39/27/663923927.db2.gz RAFCOBYJXPJZRM-WCQYABFASA-N 0 2 317.349 0.888 20 0 DCADLN C[C@@H]1CCN(C(=O)Cn2cc(C(=O)[O-])cn2)C[C@H]1n1cc[nH+]c1 ZINC000910688011 663923942 /nfs/dbraw/zinc/92/39/42/663923942.db2.gz RAFCOBYJXPJZRM-DGCLKSJQSA-N 0 2 317.349 0.888 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C(=O)[O-])c(C)n1 ZINC000910988538 663965626 /nfs/dbraw/zinc/96/56/26/663965626.db2.gz QUOKANMMBSEDTP-GFCCVEGCSA-N 0 2 321.377 0.929 20 0 DCADLN O=C([O-])c1cnc(CCNC(=O)[C@@H]2CCc3[nH+]ccn3C2)s1 ZINC000911001787 663969467 /nfs/dbraw/zinc/96/94/67/663969467.db2.gz QLXDOOUXGXLGEU-SECBINFHSA-N 0 2 320.374 0.959 20 0 DCADLN Cn1cc(C[C@H](CO)NC(=O)c2cc(F)c(O)c(F)c2)cn1 ZINC000911120618 663991740 /nfs/dbraw/zinc/99/17/40/663991740.db2.gz NVHZNZBHXWJOGH-SNVBAGLBSA-N 0 2 311.288 0.737 20 0 DCADLN CC(C)[C@@H](CNC(=O)c1cc(C(=O)[O-])ccn1)[NH+]1CCOCC1 ZINC000911152568 663993669 /nfs/dbraw/zinc/99/36/69/663993669.db2.gz ZSHVMLFARZDUOL-CQSZACIVSA-N 0 2 321.377 0.866 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(F)(F)F ZINC000911161111 663995345 /nfs/dbraw/zinc/99/53/45/663995345.db2.gz KGSBOMCFYAVNAZ-APPZFPTMSA-N 0 2 305.256 0.967 20 0 DCADLN C[C@]1(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CCCO1 ZINC000911171668 664001327 /nfs/dbraw/zinc/00/13/27/664001327.db2.gz JDYXHFSLZZEPMY-MRXNPFEDSA-N 0 2 319.361 0.998 20 0 DCADLN Cn1cc(CN(CCn2cc[nH+]c2)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])cn1 ZINC000911744164 664105199 /nfs/dbraw/zinc/10/51/99/664105199.db2.gz XGMSGTHZVGWGJA-OLZOCXBDSA-N 0 2 317.349 0.366 20 0 DCADLN C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)N1C(=O)C[C@H]1SC(=N)NC1=O ZINC000912004829 664131944 /nfs/dbraw/zinc/13/19/44/664131944.db2.gz CAZAKGPKTSQNSL-BZNPZCIMSA-N 0 2 312.395 0.009 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)Nc1nc(C)cc(C)n1 ZINC000912557552 664188143 /nfs/dbraw/zinc/18/81/43/664188143.db2.gz CVEQZYWNYUWTCD-UHFFFAOYSA-N 0 2 311.323 0.404 20 0 DCADLN COC(=O)c1n[nH]c2ccc(NS(=O)(=O)c3cn[nH]c3)cc21 ZINC000057117317 664425947 /nfs/dbraw/zinc/42/59/47/664425947.db2.gz OVVCSSYKILLRLA-UHFFFAOYSA-N 0 2 321.318 0.873 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(C(F)F)nc1 ZINC001030414244 664536287 /nfs/dbraw/zinc/53/62/87/664536287.db2.gz AKMWIUKHNVCOHK-UHFFFAOYSA-N 0 2 324.291 0.457 20 0 DCADLN O=C(CCc1ccsc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948764853 664545689 /nfs/dbraw/zinc/54/56/89/664545689.db2.gz KGVYDZHWFSCNMI-UHFFFAOYSA-N 0 2 321.406 0.849 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccoc1C1CC1 ZINC001030848778 664686100 /nfs/dbraw/zinc/68/61/00/664686100.db2.gz GWTOHTDMDMUAAV-UHFFFAOYSA-N 0 2 303.322 0.595 20 0 DCADLN Cc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)ccc1F ZINC001030879550 664693233 /nfs/dbraw/zinc/69/32/33/664693233.db2.gz PBEJPOBHCQIGIF-UHFFFAOYSA-N 0 2 305.313 0.572 20 0 DCADLN C[C@H]1C[C@H]1CC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030901275 664698808 /nfs/dbraw/zinc/69/88/08/664698808.db2.gz FOHOBQZANXCTAQ-QWRGUYRKSA-N 0 2 315.377 0.369 20 0 DCADLN C[C@@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccsc1 ZINC001030943479 664725290 /nfs/dbraw/zinc/72/52/90/664725290.db2.gz QOGYWYONCGEWEF-MRVPVSSYSA-N 0 2 307.379 0.676 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2occc2s1 ZINC001030945534 664726462 /nfs/dbraw/zinc/72/64/62/664726462.db2.gz RDXZPOYZLDVFRS-UHFFFAOYSA-N 0 2 319.346 0.932 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001007114831 664730401 /nfs/dbraw/zinc/73/04/01/664730401.db2.gz VIHDURZDHNIADY-RYUDHWBXSA-N 0 2 318.381 0.654 20 0 DCADLN COc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000730515312 664783712 /nfs/dbraw/zinc/78/37/12/664783712.db2.gz RPMHXUGEJDDJOK-UHFFFAOYSA-N 0 2 300.278 0.114 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCCc2occc21 ZINC000730522987 664785122 /nfs/dbraw/zinc/78/51/22/664785122.db2.gz CDXFCMVETYUWHQ-LLVKDONJSA-N 0 2 313.317 0.858 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1nnc(C2CC2)s1 ZINC000730528140 664785235 /nfs/dbraw/zinc/78/52/35/664785235.db2.gz AJEBYKBABLBPFE-UHFFFAOYSA-N 0 2 317.334 0.439 20 0 DCADLN COCc1ccccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730529635 664785519 /nfs/dbraw/zinc/78/55/19/664785519.db2.gz XTMDHMMEVPRVIV-UHFFFAOYSA-N 0 2 313.317 0.856 20 0 DCADLN CN(CCc1ccccn1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730534512 664789915 /nfs/dbraw/zinc/78/99/15/664789915.db2.gz CWBHFZRWYIGWRA-UHFFFAOYSA-N 0 2 312.333 0.167 20 0 DCADLN CCN(C[C@H]1CCCO1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730536481 664790493 /nfs/dbraw/zinc/79/04/93/664790493.db2.gz UVZZPOLTWOCXTE-SNVBAGLBSA-N 0 2 305.338 0.099 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)no1 ZINC000993008704 664791162 /nfs/dbraw/zinc/79/11/62/664791162.db2.gz QNHUOJUELVFJLU-NXEZZACHSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCCc1ccncc1 ZINC000730690272 664800469 /nfs/dbraw/zinc/80/04/69/664800469.db2.gz RAOHQEKKUUQPCQ-UHFFFAOYSA-N 0 2 313.317 0.642 20 0 DCADLN CC[C@]1(C(C)C)C[C@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031175835 664829739 /nfs/dbraw/zinc/82/97/39/664829739.db2.gz RDNKPOGHVNNBHJ-XHDPSFHLSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2ccncc21 ZINC001031187358 664851389 /nfs/dbraw/zinc/85/13/89/664851389.db2.gz BFRYQHTYFOXLTP-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cnco2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993645014 664988068 /nfs/dbraw/zinc/98/80/68/664988068.db2.gz PNACHNNWBUPWKH-BDAKNGLRSA-N 0 2 306.326 0.281 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)NC1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000993870669 665015882 /nfs/dbraw/zinc/01/58/82/665015882.db2.gz PAGOAXFTXUOPFV-AWEZNQCLSA-N 0 2 319.409 0.154 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cccnn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994055846 665033205 /nfs/dbraw/zinc/03/32/05/665033205.db2.gz HDEYOONQGOESDT-ZJUUUORDSA-N 0 2 317.353 0.083 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(F)cc(F)c1 ZINC001031356156 665109006 /nfs/dbraw/zinc/10/90/06/665109006.db2.gz JMHCZONULSMPJD-UHFFFAOYSA-N 0 2 309.276 0.403 20 0 DCADLN Cc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc(C)c1F ZINC001031403828 665136676 /nfs/dbraw/zinc/13/66/76/665136676.db2.gz KIFADUGVWKDWJH-UHFFFAOYSA-N 0 2 319.340 0.880 20 0 DCADLN Cc1ccccc1[C@H](C)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031425976 665145091 /nfs/dbraw/zinc/14/50/91/665145091.db2.gz OWFQVFQHCZLRCP-NSHDSACASA-N 0 2 315.377 0.923 20 0 DCADLN CC(C)c1ncc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031502136 665176551 /nfs/dbraw/zinc/17/65/51/665176551.db2.gz IFPAITKXXZJFCI-UHFFFAOYSA-N 0 2 322.394 0.704 20 0 DCADLN Cc1nc(NC(=O)Cn2c(=O)c3ccccc3[nH]c2=S)n[nH]1 ZINC000175370668 665323372 /nfs/dbraw/zinc/32/33/72/665323372.db2.gz PXEAEIWCMURDMN-UHFFFAOYSA-N 0 2 316.346 0.750 20 0 DCADLN CO[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001032545952 665332859 /nfs/dbraw/zinc/33/28/59/665332859.db2.gz NJRQIUIVRDOCFM-GMXVVIOVSA-N 0 2 321.381 0.111 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000354074662 666118033 /nfs/dbraw/zinc/11/80/33/666118033.db2.gz JEVQSQNBHLCINA-UHFFFAOYSA-N 0 2 304.310 0.534 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1cccs1 ZINC001002261441 666147626 /nfs/dbraw/zinc/14/76/26/666147626.db2.gz YQQKURVAJMYUEQ-UHFFFAOYSA-N 0 2 307.379 0.966 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC000942335130 666154483 /nfs/dbraw/zinc/15/44/83/666154483.db2.gz MJSRTMOXPJIYKT-IWSPIJDZSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC000942335130 666154485 /nfs/dbraw/zinc/15/44/85/666154485.db2.gz MJSRTMOXPJIYKT-IWSPIJDZSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccccc2O)C1)c1[nH]ncc1F ZINC000942351332 666158215 /nfs/dbraw/zinc/15/82/15/666158215.db2.gz SGCRIBUALLNWDO-SECBINFHSA-N 0 2 318.308 0.899 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002498544 666182443 /nfs/dbraw/zinc/18/24/43/666182443.db2.gz IQWZYVQWFVNCBY-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1(C2CC2)CC1 ZINC001003102518 666272111 /nfs/dbraw/zinc/27/21/11/666272111.db2.gz LCINQHYNRSYJMZ-UHFFFAOYSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccnn2C)CC[C@H]1NC(=O)c1nc[nH]n1 ZINC000943674543 666307133 /nfs/dbraw/zinc/30/71/33/666307133.db2.gz IVXHBUIJWRDCPR-CMPLNLGQSA-N 0 2 303.370 0.179 20 0 DCADLN Cc1ncccc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003524683 666322900 /nfs/dbraw/zinc/32/29/00/666322900.db2.gz VRQMVIKTXRILET-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC001003822389 666369571 /nfs/dbraw/zinc/36/95/71/666369571.db2.gz QNLKIGMTJNLBRW-QMMMGPOBSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ncccn1 ZINC001003822389 666369573 /nfs/dbraw/zinc/36/95/73/666369573.db2.gz QNLKIGMTJNLBRW-QMMMGPOBSA-N 0 2 320.246 0.565 20 0 DCADLN CN(C(=O)C[C@@H]1C=CCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032907235 666384396 /nfs/dbraw/zinc/38/43/96/666384396.db2.gz UVJSPBQKOASPJJ-VXGBXAGGSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)C[C@@H]1C=CCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032907235 666384397 /nfs/dbraw/zinc/38/43/97/666384397.db2.gz UVJSPBQKOASPJJ-VXGBXAGGSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1ccoc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032913545 666386679 /nfs/dbraw/zinc/38/66/79/666386679.db2.gz QBBFQHHCMWKNSF-SNVBAGLBSA-N 0 2 305.338 0.758 20 0 DCADLN Cc1cncc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001032978018 666416703 /nfs/dbraw/zinc/41/67/03/666416703.db2.gz XAIQVONMKBCRDH-LBPRGKRZSA-N 0 2 316.365 0.560 20 0 DCADLN Cc1[nH]ccc1C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032991597 666421811 /nfs/dbraw/zinc/42/18/11/666421811.db2.gz FHWYKGCSQRXKJH-JTQLQIEISA-N 0 2 304.354 0.493 20 0 DCADLN Cc1[nH]ccc1C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032991597 666421812 /nfs/dbraw/zinc/42/18/12/666421812.db2.gz FHWYKGCSQRXKJH-JTQLQIEISA-N 0 2 304.354 0.493 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1)C1CC1 ZINC000944433078 666427913 /nfs/dbraw/zinc/42/79/13/666427913.db2.gz LDUDUKMRKRUMAH-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1)C1CC1 ZINC000944433078 666427915 /nfs/dbraw/zinc/42/79/15/666427915.db2.gz LDUDUKMRKRUMAH-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN CN(C(=O)[C@@H]1CCCCO1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033018394 666428952 /nfs/dbraw/zinc/42/89/52/666428952.db2.gz YIOLSLNQLDMQHU-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN CN(C(=O)[C@@H]1CCCOCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033040792 666450881 /nfs/dbraw/zinc/45/08/81/666450881.db2.gz AEAKKUKAQRBPFV-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@@H]1CCCOCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033040792 666450883 /nfs/dbraw/zinc/45/08/83/666450883.db2.gz AEAKKUKAQRBPFV-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@H]1CC1(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033063784 666485298 /nfs/dbraw/zinc/48/52/98/666485298.db2.gz HYZQQWODDATSBA-HTQZYQBOSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C(=O)[C@H]1CC1(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033063784 666485299 /nfs/dbraw/zinc/48/52/99/666485299.db2.gz HYZQQWODDATSBA-HTQZYQBOSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C(=O)[C@H]1CC1(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033063784 666485300 /nfs/dbraw/zinc/48/53/00/666485300.db2.gz HYZQQWODDATSBA-HTQZYQBOSA-N 0 2 301.297 0.198 20 0 DCADLN C[C@H](O)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001033097687 666503858 /nfs/dbraw/zinc/50/38/58/666503858.db2.gz VWUVHCYOZKFLLZ-DZGCQCFKSA-N 0 2 320.437 0.675 20 0 DCADLN Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)n1C ZINC001032277382 666661919 /nfs/dbraw/zinc/66/19/19/666661919.db2.gz CCAVNRFFQKTMOJ-QWRGUYRKSA-N 0 2 316.365 0.256 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001033410118 666703298 /nfs/dbraw/zinc/70/32/98/666703298.db2.gz NXXVKWLDESJORJ-WDEREUQCSA-N 0 2 319.365 0.940 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001033410118 666703301 /nfs/dbraw/zinc/70/33/01/666703301.db2.gz NXXVKWLDESJORJ-WDEREUQCSA-N 0 2 319.365 0.940 20 0 DCADLN CCN(C(=O)c1cnsn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033765963 666823523 /nfs/dbraw/zinc/82/35/23/666823523.db2.gz XNUDOEXQFYKUSX-QMMMGPOBSA-N 0 2 323.382 0.098 20 0 DCADLN Cc1ncc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)s1 ZINC001032308291 666837734 /nfs/dbraw/zinc/83/77/34/666837734.db2.gz GKWOGQVBKSRTPT-IUCAKERBSA-N 0 2 320.378 0.374 20 0 DCADLN CCN(C(=O)c1[nH]ccc1C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033821002 666839201 /nfs/dbraw/zinc/83/92/01/666839201.db2.gz NVIYKIRHYIKOQM-NSHDSACASA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)c1[nH]ccc1C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033821002 666839202 /nfs/dbraw/zinc/83/92/02/666839202.db2.gz NVIYKIRHYIKOQM-NSHDSACASA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)[C@@H]1CC[N@H+](CCO)C1 ZINC001033876767 666849972 /nfs/dbraw/zinc/84/99/72/666849972.db2.gz WYAPJDUNBSUJPH-ZIAGYGMSSA-N 0 2 306.410 0.361 20 0 DCADLN O=C(NC[C@H]1CCCCCN1C(=O)c1ccn[nH]1)c1cn[nH]n1 ZINC001005457809 666868281 /nfs/dbraw/zinc/86/82/81/666868281.db2.gz AKLUGMVSCUDAIB-SNVBAGLBSA-N 0 2 317.353 0.343 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034149913 666938101 /nfs/dbraw/zinc/93/81/01/666938101.db2.gz DHQQMGFZJLFRPO-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CC1(F)F ZINC001034196633 666951725 /nfs/dbraw/zinc/95/17/25/666951725.db2.gz DJJZTGTXEKRPAG-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CC1(F)F ZINC001034196633 666951729 /nfs/dbraw/zinc/95/17/29/666951729.db2.gz DJJZTGTXEKRPAG-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN CS[C@H]1CCC[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000735264452 666970936 /nfs/dbraw/zinc/97/09/36/666970936.db2.gz MSTHQZSHXNPZAQ-UWVGGRQHSA-N 0 2 321.406 0.862 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034475929 667037976 /nfs/dbraw/zinc/03/79/76/667037976.db2.gz YKDSBJMBLBJDFU-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN Cc1n[nH]cc1C(=O)NN1C(=O)N[C@](C)(c2ccccc2)C1=O ZINC000735840733 667048531 /nfs/dbraw/zinc/04/85/31/667048531.db2.gz BIMVGKNZLVPGPX-OAHLLOKOSA-N 0 2 313.317 0.830 20 0 DCADLN CNC(=O)NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC000862639027 667060770 /nfs/dbraw/zinc/06/07/70/667060770.db2.gz YBFVXWVITOTWLQ-BQBZGAKWSA-N 0 2 301.240 0.043 20 0 DCADLN CNC(=O)NC[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC000862639027 667060773 /nfs/dbraw/zinc/06/07/73/667060773.db2.gz YBFVXWVITOTWLQ-BQBZGAKWSA-N 0 2 301.240 0.043 20 0 DCADLN CNC(=O)NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC000862639029 667061005 /nfs/dbraw/zinc/06/10/05/667061005.db2.gz YBFVXWVITOTWLQ-RNFRBKRXSA-N 0 2 301.240 0.043 20 0 DCADLN CNC(=O)NC[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC000862639029 667061010 /nfs/dbraw/zinc/06/10/10/667061010.db2.gz YBFVXWVITOTWLQ-RNFRBKRXSA-N 0 2 301.240 0.043 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034553449 667069173 /nfs/dbraw/zinc/06/91/73/667069173.db2.gz LOTCBOHSLQKFOJ-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034553449 667069174 /nfs/dbraw/zinc/06/91/74/667069174.db2.gz LOTCBOHSLQKFOJ-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN O=C(NN1CCS(=O)(=O)CC1)C(F)(F)c1ccccc1F ZINC000862733699 667076909 /nfs/dbraw/zinc/07/69/09/667076909.db2.gz JCHLMKVGPGZJPL-UHFFFAOYSA-N 0 2 322.308 0.679 20 0 DCADLN CCOC(=O)C1=CC[C@H](NC(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000862873237 667088651 /nfs/dbraw/zinc/08/86/51/667088651.db2.gz QSVAHCAWIIXYBE-DTWKUNHWSA-N 0 2 311.363 0.311 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@H]1CC=CCC1 ZINC000862948868 667099997 /nfs/dbraw/zinc/09/99/97/667099997.db2.gz RWLLPZSCKFYIAM-NSHDSACASA-N 0 2 301.350 0.934 20 0 DCADLN O=C([C@@H]1CCCOCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032377633 667123986 /nfs/dbraw/zinc/12/39/86/667123986.db2.gz FKENWWJFGQUNQD-WOPDTQHZSA-N 0 2 321.381 0.112 20 0 DCADLN CON(C)C(=O)[C@@H](C)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000863706998 667171562 /nfs/dbraw/zinc/17/15/62/667171562.db2.gz QATVMBNZQVDZBG-SNVBAGLBSA-N 0 2 318.333 0.716 20 0 DCADLN O=C([C@H]1CCCO1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035647682 667394298 /nfs/dbraw/zinc/39/42/98/667394298.db2.gz ZPADHRYKBRUTIL-LLVKDONJSA-N 0 2 321.381 0.114 20 0 DCADLN CC1(C)C[C@H]1C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035688826 667399169 /nfs/dbraw/zinc/39/91/69/667399169.db2.gz PGBZZBWTPYPKMJ-NSHDSACASA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]([NH3+])CCS(C)(=O)=O)[N@@H+]1CCCC[C@@H]1C ZINC000884188405 667493656 /nfs/dbraw/zinc/49/36/56/667493656.db2.gz HGYFHWYLGJKWPH-AVGNSLFASA-N 0 2 319.471 0.128 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn(CC3CC3)c2)C1=O ZINC000867919290 667517874 /nfs/dbraw/zinc/51/78/74/667517874.db2.gz JNYYSIBUFPLAFV-AWEZNQCLSA-N 0 2 305.338 0.658 20 0 DCADLN COCCN(CC1CC[NH+](C)CC1)C(=O)c1[nH]nc(C)c1[O-] ZINC000869764697 667678922 /nfs/dbraw/zinc/67/89/22/667678922.db2.gz WIXAJQNGRXVKKV-UHFFFAOYSA-N 0 2 310.398 0.854 20 0 DCADLN CCN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1nc(C)cs1 ZINC000739586808 667709010 /nfs/dbraw/zinc/70/90/10/667709010.db2.gz KFLICAABBFSDSW-UHFFFAOYSA-N 0 2 318.362 0.889 20 0 DCADLN O=C(Cc1cn2cc(F)ccc2[nH+]1)[N-]S(=O)(=O)CCCF ZINC000870221804 667722169 /nfs/dbraw/zinc/72/21/69/667722169.db2.gz NSAJLMWUTFREOV-UHFFFAOYSA-N 0 2 317.317 0.822 20 0 DCADLN CN(C(=O)C[C@H]1SC(=N)NC1=O)[C@H]1CCCCN(C)C1=O ZINC000870607421 667747064 /nfs/dbraw/zinc/74/70/64/667747064.db2.gz ZOKFFTXMSYZWTO-DTWKUNHWSA-N 0 2 312.395 0.012 20 0 DCADLN CO[C@@H]1CC[C@@H](C)N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000870716547 667753554 /nfs/dbraw/zinc/75/35/54/667753554.db2.gz WFJUHXGDHQNKNR-NXEZZACHSA-N 0 2 305.338 0.097 20 0 DCADLN Cn1nncc1[N-]S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886404774 667762737 /nfs/dbraw/zinc/76/27/37/667762737.db2.gz GXLZUKZGTLCSDU-UHFFFAOYSA-N 0 2 307.379 0.604 20 0 DCADLN Cn1nncc1[N-]S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886404774 667762738 /nfs/dbraw/zinc/76/27/38/667762738.db2.gz GXLZUKZGTLCSDU-UHFFFAOYSA-N 0 2 307.379 0.604 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]2CCC[C@@H]21 ZINC000741986210 667779057 /nfs/dbraw/zinc/77/90/57/667779057.db2.gz YYNWZZXDVXMVSM-PWSUYJOCSA-N 0 2 301.350 0.862 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2COc3ccccc3C2)S1 ZINC000742540643 667793151 /nfs/dbraw/zinc/79/31/51/667793151.db2.gz OKDGMMOSXVQTEG-GXSJLCMTSA-N 0 2 305.359 0.663 20 0 DCADLN Cc1noc([C@H]2CCCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)n1 ZINC000742568229 667793550 /nfs/dbraw/zinc/79/35/50/667793550.db2.gz XZHWHLSIRPXGJN-DTWKUNHWSA-N 0 2 323.378 0.640 20 0 DCADLN C[C@@H](O)[C@@H](O)CNC(=O)c1cc(Br)c(F)cc1O ZINC000871136860 667794821 /nfs/dbraw/zinc/79/48/21/667794821.db2.gz CSNAZHXZPRBBHS-FWOIEVBISA-N 0 2 322.130 0.765 20 0 DCADLN CN(CC(=O)OC(C)(C)C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742608973 667795200 /nfs/dbraw/zinc/79/52/00/667795200.db2.gz LAKFCAQDUKKVNA-ZETCQYMHSA-N 0 2 301.368 0.343 20 0 DCADLN CC(C)(C)OC(=O)CCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000744801267 667832940 /nfs/dbraw/zinc/83/29/40/667832940.db2.gz CKXZCDXHHQHFTC-MRVPVSSYSA-N 0 2 315.395 0.781 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCN(Cc3ccco3)CC2)S1 ZINC000745423219 667847821 /nfs/dbraw/zinc/84/78/21/667847821.db2.gz RSOQSHQFEWMNGQ-NSHDSACASA-N 0 2 322.390 0.480 20 0 DCADLN O=C(CNC(=O)OCc1ccccc1)NCc1n[nH]c(=O)[nH]1 ZINC000748057792 667917388 /nfs/dbraw/zinc/91/73/88/667917388.db2.gz SGJCVKPWQBQACJ-UHFFFAOYSA-N 0 2 305.294 0.053 20 0 DCADLN CN1CCN(C(=O)[C@@H](c2ccccc2)[NH+](C)C)CC[N@H+](C)CC1 ZINC000888989461 667946017 /nfs/dbraw/zinc/94/60/17/667946017.db2.gz MFZWSAPTIOKALV-QGZVFWFLSA-N 0 2 318.465 0.995 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1CCCNC(=O)C1 ZINC000752797645 668022319 /nfs/dbraw/zinc/02/23/19/668022319.db2.gz REHJXHROTKDNJU-UHFFFAOYSA-N 0 2 318.308 0.679 20 0 DCADLN CCOC(=O)[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(C)(C)C ZINC000753308206 668028550 /nfs/dbraw/zinc/02/85/50/668028550.db2.gz AYJLBZSSRWNBPI-IONNQARKSA-N 0 2 315.395 0.637 20 0 DCADLN CC(C)[C@H](O)C(C)(C)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000754135840 668041975 /nfs/dbraw/zinc/04/19/75/668041975.db2.gz BVCOIEULOPKDAY-LBPRGKRZSA-N 0 2 321.381 0.231 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2CSCCS2)S1 ZINC000755499769 668061551 /nfs/dbraw/zinc/06/15/51/668061551.db2.gz URVLHHLLKOQNMD-NKWVEPMBSA-N 0 2 305.450 0.508 20 0 DCADLN COc1cc2c(cc1OC)[C@@H](C)[N@H+](CC(=O)NCC(=O)[O-])CC2 ZINC000873649904 668097562 /nfs/dbraw/zinc/09/75/62/668097562.db2.gz XVESMFFLDZFQJG-SNVBAGLBSA-N 0 2 322.361 0.824 20 0 DCADLN COc1cc2c(cc1OC)[C@@H](C)[N@@H+](CC(=O)NCC(=O)[O-])CC2 ZINC000873649904 668097565 /nfs/dbraw/zinc/09/75/65/668097565.db2.gz XVESMFFLDZFQJG-SNVBAGLBSA-N 0 2 322.361 0.824 20 0 DCADLN O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000874396717 668179802 /nfs/dbraw/zinc/17/98/02/668179802.db2.gz KAIOTOPREBFZOV-SDDRHHMPSA-N 0 2 304.350 0.823 20 0 DCADLN C[C@H]1c2nncn2CCN1C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000759338811 668216335 /nfs/dbraw/zinc/21/63/35/668216335.db2.gz QBHUDKVGPGVVRO-ZETCQYMHSA-N 0 2 319.287 0.638 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@@H](O)c1ccco1 ZINC000760447007 668273005 /nfs/dbraw/zinc/27/30/05/668273005.db2.gz STTJKZILQSLCLU-SSDOTTSWSA-N 0 2 308.256 0.886 20 0 DCADLN Cc1ccc([C@H](O)CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000762339558 668357428 /nfs/dbraw/zinc/35/74/28/668357428.db2.gz VYILWKOWFCJKQA-MNOVXSKESA-N 0 2 307.375 0.701 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](O)c2cccc(F)c2)S1 ZINC000762392638 668359478 /nfs/dbraw/zinc/35/94/78/668359478.db2.gz KBRUWMJHMALCCL-VHSXEESVSA-N 0 2 311.338 0.532 20 0 DCADLN O=C(CCCc1nn[n-]n1)N[C@H]1CCC[N@@H+]2CCSC[C@@H]12 ZINC000928075454 668549782 /nfs/dbraw/zinc/54/97/82/668549782.db2.gz CUPAYYCVDNMNQJ-QWRGUYRKSA-N 0 2 310.427 0.218 20 0 DCADLN O=C(CCCc1nn[n-]n1)N[C@H]1CCC[N@H+]2CCSC[C@@H]12 ZINC000928075454 668549784 /nfs/dbraw/zinc/54/97/84/668549784.db2.gz CUPAYYCVDNMNQJ-QWRGUYRKSA-N 0 2 310.427 0.218 20 0 DCADLN CCOC(=O)[C@H](COC)NC(=O)c1c(O)cc(F)cc1F ZINC000928303698 668574647 /nfs/dbraw/zinc/57/46/47/668574647.db2.gz UMBJKHPYMXJMNO-VIFPVBQESA-N 0 2 303.261 0.978 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCOC[C@H]1CCO ZINC000769050207 668631279 /nfs/dbraw/zinc/63/12/79/668631279.db2.gz QXMDSFMJMUJNNS-CYBMUJFWSA-N 0 2 317.345 0.801 20 0 DCADLN NC(=O)CONC(=O)CSCC(=O)Nc1ccc(F)cc1 ZINC000772935155 668789416 /nfs/dbraw/zinc/78/94/16/668789416.db2.gz DEZBVURSCYWZRS-UHFFFAOYSA-N 0 2 315.326 0.031 20 0 DCADLN Cc1ccccc1C(=O)NCC(=O)NCCc1n[nH]c(=S)o1 ZINC000773087052 668792957 /nfs/dbraw/zinc/79/29/57/668792957.db2.gz MSDAQFOPTBFCNR-UHFFFAOYSA-N 0 2 320.374 0.755 20 0 DCADLN CC[N@@H+]1CCO[C@@H](C(=O)OCC(=O)[N-]OCc2ccccc2)C1 ZINC000805477715 668793804 /nfs/dbraw/zinc/79/38/04/668793804.db2.gz NZXSHXBQGDYDCI-CQSZACIVSA-N 0 2 322.361 0.498 20 0 DCADLN CC[N@H+]1CCO[C@@H](C(=O)OCC(=O)[N-]OCc2ccccc2)C1 ZINC000805477715 668793806 /nfs/dbraw/zinc/79/38/06/668793806.db2.gz NZXSHXBQGDYDCI-CQSZACIVSA-N 0 2 322.361 0.498 20 0 DCADLN Cc1ccsc1CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000773676134 668810924 /nfs/dbraw/zinc/81/09/24/668810924.db2.gz PTTBHTDIZRPXNC-UHFFFAOYSA-N 0 2 317.374 0.800 20 0 DCADLN Cc1ccc(-n2cc(C(=O)OCc3n[nH]c(=O)[nH]3)nn2)cc1 ZINC000774914930 668850718 /nfs/dbraw/zinc/85/07/18/668850718.db2.gz SIRQAOPDICAYQR-UHFFFAOYSA-N 0 2 300.278 0.756 20 0 DCADLN O=C(CCc1ccc2c(c1)OCCO2)OCc1n[nH]c(=O)[nH]1 ZINC000774909257 668850973 /nfs/dbraw/zinc/85/09/73/668850973.db2.gz LXVPRNYGFXIJEK-UHFFFAOYSA-N 0 2 305.290 0.958 20 0 DCADLN CC(C)(C)C(=O)N1CCC[C@H](C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000774928238 668851318 /nfs/dbraw/zinc/85/13/18/668851318.db2.gz ZZHGZSWJPVGWPH-VIFPVBQESA-N 0 2 310.354 0.838 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C)CCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000775361722 668861298 /nfs/dbraw/zinc/86/12/98/668861298.db2.gz FLJGHHLKDDCSFW-CIUDSAMLSA-N 0 2 313.379 0.343 20 0 DCADLN CCNS(=O)(=O)CCNC(=O)c1cccc(Cl)c1O ZINC000775728183 668866987 /nfs/dbraw/zinc/86/69/87/668866987.db2.gz FJJCSRITQBNZQH-UHFFFAOYSA-N 0 2 306.771 0.715 20 0 DCADLN NS(=O)(=O)[C@H]1CCCN(C(=O)c2cccc(Cl)c2O)C1 ZINC000775750852 668867204 /nfs/dbraw/zinc/86/72/04/668867204.db2.gz VBUAPCZYDAHCBU-QMMMGPOBSA-N 0 2 318.782 0.939 20 0 DCADLN CN1C(=O)CN(NC(=O)c2coc(-c3ccccc3)n2)C1=O ZINC000776243187 668874055 /nfs/dbraw/zinc/87/40/55/668874055.db2.gz UWLSTZJGOYLBFL-UHFFFAOYSA-N 0 2 300.274 0.880 20 0 DCADLN O=C(Nc1ccc(C[NH+]2CCOCC2)cc1)c1cc(=O)[nH][n-]1 ZINC000777521120 668888276 /nfs/dbraw/zinc/88/82/76/668888276.db2.gz NESWEBDYIZFVGJ-UHFFFAOYSA-N 0 2 302.334 0.333 20 0 DCADLN CN1CCc2cc(C(=O)NCCCc3n[nH]c(=O)[nH]3)ccc21 ZINC000779381020 668910446 /nfs/dbraw/zinc/91/04/46/668910446.db2.gz JNGUHUSGMCZSIT-UHFFFAOYSA-N 0 2 301.350 0.865 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ncn(-c2ccccc2)n1 ZINC000779539979 668912544 /nfs/dbraw/zinc/91/25/44/668912544.db2.gz LEGIMDHGPLLABX-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN Cc1ccc([C@H](O)C[NH+]2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC000878808805 668931327 /nfs/dbraw/zinc/93/13/27/668931327.db2.gz GZNKCYPBGHSATR-OAHLLOKOSA-N 0 2 301.394 0.964 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@@H]1CCCCO1 ZINC000780313364 668978190 /nfs/dbraw/zinc/97/81/90/668978190.db2.gz PDXOZSQBOFSKSH-JTQLQIEISA-N 0 2 305.338 0.147 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)NCCCNc1cccc[nH+]1 ZINC000780579621 668996914 /nfs/dbraw/zinc/99/69/14/668996914.db2.gz OTQHRBUZNGOUFU-UHFFFAOYSA-N 0 2 310.354 0.626 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CCCCS1 ZINC000784110250 669190075 /nfs/dbraw/zinc/19/00/75/669190075.db2.gz FGJYRYUZIBRENL-SECBINFHSA-N 0 2 307.379 0.473 20 0 DCADLN C[C@@H]1C[C@H](C)[C@@H](C)N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000789687475 669505253 /nfs/dbraw/zinc/50/52/53/669505253.db2.gz BFNDDOAEOPIGQT-OUAUKWLOSA-N 0 2 303.366 0.964 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@H]1CC[S@](=O)C1 ZINC000789964839 669524709 /nfs/dbraw/zinc/52/47/09/669524709.db2.gz LFQUBQIWYNNRPC-VQXVYSPMSA-N 0 2 314.329 0.327 20 0 DCADLN CN(C)[S@](C)(=O)=NS(=O)(=O)Nc1ccc(F)cc1O ZINC000882709745 669572162 /nfs/dbraw/zinc/57/21/62/669572162.db2.gz WSBMMDRLOBPAOY-GOSISDBHSA-N 0 2 311.360 0.762 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cc3nccnc3cc2F)C1=O ZINC000792292209 669660376 /nfs/dbraw/zinc/66/03/76/669660376.db2.gz XDKHXRBOOYPKEF-UHFFFAOYSA-N 0 2 303.253 0.308 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc([C@@H]3CCCOC3)[nH]n2)S1 ZINC000792535415 669673075 /nfs/dbraw/zinc/67/30/75/669673075.db2.gz SOEFFQHGNLDIQR-APPZFPTMSA-N 0 2 323.378 0.799 20 0 DCADLN CC(C)OC(=O)CC[C@@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000792597905 669675289 /nfs/dbraw/zinc/67/52/89/669675289.db2.gz JMKHMYQRBFOFIJ-BDAKNGLRSA-N 0 2 315.395 0.779 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H]1CCN(c2ccccc2)C1=O ZINC000883414303 669681486 /nfs/dbraw/zinc/68/14/86/669681486.db2.gz SHPGQRNDRUQDEQ-CYBMUJFWSA-N 0 2 320.345 0.742 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H]1CCN(c2ccccc2)C1=O ZINC000883414303 669681489 /nfs/dbraw/zinc/68/14/89/669681489.db2.gz SHPGQRNDRUQDEQ-CYBMUJFWSA-N 0 2 320.345 0.742 20 0 DCADLN Cn1cnc(C=CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC000935844215 669783311 /nfs/dbraw/zinc/78/33/11/669783311.db2.gz GVJSFJJFXJRHBJ-LWTINBJPSA-N 0 2 302.338 0.663 20 0 DCADLN Cn1ccc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000950087381 669932368 /nfs/dbraw/zinc/93/23/68/669932368.db2.gz KTRQORBYPUNAJB-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)NC[C@@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)n1 ZINC000950087381 669932370 /nfs/dbraw/zinc/93/23/70/669932370.db2.gz KTRQORBYPUNAJB-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000950189950 669967839 /nfs/dbraw/zinc/96/78/39/669967839.db2.gz DYKFFCLLUTXEAM-JGVFFNPUSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)n1 ZINC000950189950 669967840 /nfs/dbraw/zinc/96/78/40/669967840.db2.gz DYKFFCLLUTXEAM-JGVFFNPUSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(c1cc(Cl)ccc1O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000798148120 669988274 /nfs/dbraw/zinc/98/82/74/669988274.db2.gz NUTGQIFRXOFIBR-UHFFFAOYSA-N 0 2 323.740 0.683 20 0 DCADLN CN(C)C(=O)COC(=O)c1nn(-c2ccccc2F)cc1O ZINC000801379104 670126715 /nfs/dbraw/zinc/12/67/15/670126715.db2.gz ZEVCZTDYVHLCRR-UHFFFAOYSA-N 0 2 307.281 0.962 20 0 DCADLN CCOC1CC(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000802943001 670226817 /nfs/dbraw/zinc/22/68/17/670226817.db2.gz ZUNTVJWXTQJVAW-UHFFFAOYSA-N 0 2 306.322 0.430 20 0 DCADLN O=C(Nc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)ccn1)C1CC1 ZINC000803903996 670276462 /nfs/dbraw/zinc/27/64/62/670276462.db2.gz XQWLIULPWGHRHV-UHFFFAOYSA-N 0 2 303.278 0.611 20 0 DCADLN COCCc1ccnc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000804390054 670292941 /nfs/dbraw/zinc/29/29/41/670292941.db2.gz UXKNPWRMVVIUFE-SECBINFHSA-N 0 2 308.363 0.765 20 0 DCADLN O=C([C@H]1CC[C@@H]2C[C@@H]2CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949417194 670336930 /nfs/dbraw/zinc/33/69/30/670336930.db2.gz UABZHJAFAAXGKB-CLLJXQQHSA-N 0 2 319.409 0.981 20 0 DCADLN COc1cncc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000808515515 670413246 /nfs/dbraw/zinc/41/32/46/670413246.db2.gz IQXZPMWVKJBXSN-UHFFFAOYSA-N 0 2 315.289 0.218 20 0 DCADLN O=C([C@@H]1Cc2ccccc21)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949958786 670471502 /nfs/dbraw/zinc/47/15/02/670471502.db2.gz VHBDTWMDWVAOBZ-CYBMUJFWSA-N 0 2 313.361 0.494 20 0 DCADLN CCS(=O)(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810273168 670478092 /nfs/dbraw/zinc/47/80/92/670478092.db2.gz KMEWJRNYGZLSRS-ZETCQYMHSA-N 0 2 306.281 0.381 20 0 DCADLN CCS(=O)(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000810273168 670478096 /nfs/dbraw/zinc/47/80/96/670478096.db2.gz KMEWJRNYGZLSRS-ZETCQYMHSA-N 0 2 306.281 0.381 20 0 DCADLN O=C(NCCCN1CCCS1(=O)=O)C(F)C(F)(F)F ZINC000810312294 670479373 /nfs/dbraw/zinc/47/93/73/670479373.db2.gz MHJLYTJXSQHULO-SSDOTTSWSA-N 0 2 306.281 0.429 20 0 DCADLN O=C(NCCCN1CCCS1(=O)=O)[C@@H](F)C(F)(F)F ZINC000810312294 670479375 /nfs/dbraw/zinc/47/93/75/670479375.db2.gz MHJLYTJXSQHULO-SSDOTTSWSA-N 0 2 306.281 0.429 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC000810515785 670488167 /nfs/dbraw/zinc/48/81/67/670488167.db2.gz UWNUODCJWIZZTA-RNJXMRFFSA-N 0 2 320.308 0.721 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC000810515785 670488169 /nfs/dbraw/zinc/48/81/69/670488169.db2.gz UWNUODCJWIZZTA-RNJXMRFFSA-N 0 2 320.308 0.721 20 0 DCADLN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC000811522816 670532644 /nfs/dbraw/zinc/53/26/44/670532644.db2.gz GOMPSNGDCCVSDA-HTQZYQBOSA-N 0 2 320.308 0.817 20 0 DCADLN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC000811522816 670532646 /nfs/dbraw/zinc/53/26/46/670532646.db2.gz GOMPSNGDCCVSDA-HTQZYQBOSA-N 0 2 320.308 0.817 20 0 DCADLN CC1(C)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H]1C1CC1 ZINC000812270048 670570587 /nfs/dbraw/zinc/57/05/87/670570587.db2.gz ZRQNDHVGYDNWOF-LBPRGKRZSA-N 0 2 301.350 0.718 20 0 DCADLN CCc1nnc(C)cc1C(=O)NN1C(=O)N[C@](C)(CC)C1=O ZINC000813547087 670635132 /nfs/dbraw/zinc/63/51/32/670635132.db2.gz OCUMQSXYSAAYQT-CQSZACIVSA-N 0 2 305.338 0.713 20 0 DCADLN CCCc1nnc(SCC(=O)NOCCCOC)n1N ZINC000813609139 670638751 /nfs/dbraw/zinc/63/87/51/670638751.db2.gz BDVMFUOYFXOGBF-UHFFFAOYSA-N 0 2 303.388 0.121 20 0 DCADLN NS(=O)(=O)NC[C@@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000854841364 670640719 /nfs/dbraw/zinc/64/07/19/670640719.db2.gz XUGQNGKGUHARTN-NKWVEPMBSA-N 0 2 321.296 0.061 20 0 DCADLN NS(=O)(=O)NC[C@@H]1CCCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000854841364 670640721 /nfs/dbraw/zinc/64/07/21/670640721.db2.gz XUGQNGKGUHARTN-NKWVEPMBSA-N 0 2 321.296 0.061 20 0 DCADLN NS(=O)(=O)NC[C@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000854841366 670640773 /nfs/dbraw/zinc/64/07/73/670640773.db2.gz XUGQNGKGUHARTN-RQJHMYQMSA-N 0 2 321.296 0.061 20 0 DCADLN NS(=O)(=O)NC[C@H]1CCCCN1C(=O)[C@H](F)C(F)(F)F ZINC000854841366 670640774 /nfs/dbraw/zinc/64/07/74/670640774.db2.gz XUGQNGKGUHARTN-RQJHMYQMSA-N 0 2 321.296 0.061 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c2ncnn21 ZINC000855327969 670697890 /nfs/dbraw/zinc/69/78/90/670697890.db2.gz NXBQIGQBOVBGGV-RNFRBKRXSA-N 0 2 319.287 0.857 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NC[C@H](C)C(=O)[O-])c(N(C)C)[nH+]1 ZINC000848599519 670702103 /nfs/dbraw/zinc/70/21/03/670702103.db2.gz LLPCTSDCMLEXSK-QMMMGPOBSA-N 0 2 308.338 0.231 20 0 DCADLN C[C@H]1c2ccccc2C[C@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855566038 670730562 /nfs/dbraw/zinc/73/05/62/670730562.db2.gz FTRWCAPWUOSDIU-IINYFYTJSA-N 0 2 323.356 0.916 20 0 DCADLN O=C(NCCn1cc(Cn2cc(Cl)cn2)nn1)C(F)(F)F ZINC000849140978 670759910 /nfs/dbraw/zinc/75/99/10/670759910.db2.gz FYSTTZXFQIJMBL-UHFFFAOYSA-N 0 2 322.678 0.855 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000849365016 670781262 /nfs/dbraw/zinc/78/12/62/670781262.db2.gz VTNNSXUHPCVNFB-HNNXBMFYSA-N 0 2 303.318 0.978 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2CCc3cccnc32)S1 ZINC000857970018 670965115 /nfs/dbraw/zinc/96/51/15/670965115.db2.gz CCUYVVVYTYSDCN-VHSXEESVSA-N 0 2 304.375 0.784 20 0 DCADLN NC(=O)C1(NC(=O)c2ccc(CNC(=O)C(F)(F)F)o2)CC1 ZINC000860032579 671170935 /nfs/dbraw/zinc/17/09/35/671170935.db2.gz QROCMRNOTFLAQT-UHFFFAOYSA-N 0 2 319.239 0.206 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000832362807 671716160 /nfs/dbraw/zinc/71/61/60/671716160.db2.gz FFUVAOQZMRPUFH-GUBZILKMSA-N 0 2 324.406 0.712 20 0 DCADLN O=C([O-])N[C@H]1CC(=O)N(C[N@@H+]2CC[C@H](Oc3ccccn3)C2)C1 ZINC000834157986 671751788 /nfs/dbraw/zinc/75/17/88/671751788.db2.gz NTIXQBDGSORXFJ-RYUDHWBXSA-N 0 2 320.349 0.361 20 0 DCADLN O=C([O-])N[C@H]1CC(=O)N(C[N@H+]2CC[C@H](Oc3ccccn3)C2)C1 ZINC000834157986 671751789 /nfs/dbraw/zinc/75/17/89/671751789.db2.gz NTIXQBDGSORXFJ-RYUDHWBXSA-N 0 2 320.349 0.361 20 0 DCADLN CN(C[C@H](O)CO)C(=O)c1cc(Br)cc(F)c1O ZINC000867153070 671811386 /nfs/dbraw/zinc/81/13/86/671811386.db2.gz AZMPPKFDTIKAEA-ZETCQYMHSA-N 0 2 322.130 0.719 20 0 DCADLN CC(C)(C)OC(=O)C1CN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000836813767 671833661 /nfs/dbraw/zinc/83/36/61/671833661.db2.gz PVODZGIMJULQNL-QMMMGPOBSA-N 0 2 313.379 0.343 20 0 DCADLN O=C(C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1)C1CCSCC1 ZINC000843306749 672081318 /nfs/dbraw/zinc/08/13/18/672081318.db2.gz WHEIXCRTADGPKJ-UHFFFAOYSA-N 0 2 324.406 0.929 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NC1(CF)CCOCC1 ZINC000867332905 672119419 /nfs/dbraw/zinc/11/94/19/672119419.db2.gz FTIHRYOMBBNYRV-QGZVFWFLSA-N 0 2 302.393 0.457 20 0 DCADLN O=C([C@@H](O)c1ccccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843876885 672126845 /nfs/dbraw/zinc/12/68/45/672126845.db2.gz ATQGULPUKHZKFV-ONGXEEELSA-N 0 2 303.322 0.345 20 0 DCADLN Cc1[nH]c(C=O)cc1C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843875857 672126885 /nfs/dbraw/zinc/12/68/85/672126885.db2.gz UJCHOMWQRIFAQR-UHFFFAOYSA-N 0 2 303.322 0.979 20 0 DCADLN CC(C)(C)N1CC[C@@H](NC(=O)C[C@H]2SC(=N)NC2=O)C1=O ZINC000843942439 672130219 /nfs/dbraw/zinc/13/02/19/672130219.db2.gz SJMYQZRXHZTKBB-HTQZYQBOSA-N 0 2 312.395 0.058 20 0 DCADLN CC1(CC(F)F)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000844010999 672137301 /nfs/dbraw/zinc/13/73/01/672137301.db2.gz VWVKMESJZXVOEC-UHFFFAOYSA-N 0 2 311.292 0.575 20 0 DCADLN C[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C2(CCC2)CO1 ZINC000844922092 672210286 /nfs/dbraw/zinc/21/02/86/672210286.db2.gz LJNOHQPYRFDTDY-JTQLQIEISA-N 0 2 317.349 0.241 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)C1(n2cnnn2)CCCCC1 ZINC000845953035 672284886 /nfs/dbraw/zinc/28/48/86/672284886.db2.gz IUHXFKKZTSYFRF-UHFFFAOYSA-N 0 2 323.382 0.363 20 0 DCADLN CCCOCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001745992967 1158239959 /nfs/dbraw/zinc/23/99/59/1158239959.db2.gz WABBMGNQWQSPMY-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN CCCOCC(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001745992967 1158239964 /nfs/dbraw/zinc/23/99/64/1158239964.db2.gz WABBMGNQWQSPMY-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN Cc1ccsc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038008088 693984072 /nfs/dbraw/zinc/98/40/72/693984072.db2.gz JGVWUZCZRQPGHV-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001038276119 694033955 /nfs/dbraw/zinc/03/39/55/694033955.db2.gz MEOHDVNGNMRZBN-MROQNXINSA-N 0 2 317.393 0.803 20 0 DCADLN Cc1cccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1F ZINC001038284953 694035690 /nfs/dbraw/zinc/03/56/90/694035690.db2.gz BLMOUIOAYKOEEC-JTQLQIEISA-N 0 2 319.340 0.962 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@@H]1C(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957059025 686948942 /nfs/dbraw/zinc/94/89/42/686948942.db2.gz MNWQVFUKPFJOBO-VXGBXAGGSA-N 0 2 307.398 0.835 20 0 DCADLN C[C@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@H]1CCCO1 ZINC000957463165 687065455 /nfs/dbraw/zinc/06/54/55/687065455.db2.gz YCHHUOUTNMQGNQ-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)cn1 ZINC000958255842 687483480 /nfs/dbraw/zinc/48/34/80/687483480.db2.gz ZFXWHOJKRFBUFK-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)cn1 ZINC000958255842 687483482 /nfs/dbraw/zinc/48/34/82/687483482.db2.gz ZFXWHOJKRFBUFK-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN CN(C(=O)C1CC1)[C@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000958380872 687603790 /nfs/dbraw/zinc/60/37/90/687603790.db2.gz ONQKTPMCDDPQBG-XHNCKOQMSA-N 0 2 312.263 0.327 20 0 DCADLN CN(C(=O)C1CC1)[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC000958380872 687603794 /nfs/dbraw/zinc/60/37/94/687603794.db2.gz ONQKTPMCDDPQBG-XHNCKOQMSA-N 0 2 312.263 0.327 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001038602824 694128211 /nfs/dbraw/zinc/12/82/11/694128211.db2.gz OPPBAMGFOIYKBU-UHTWSYAYSA-N 0 2 315.377 0.369 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)on1 ZINC001038771736 694187408 /nfs/dbraw/zinc/18/74/08/694187408.db2.gz HVQPUDUUPWQOKP-VIFPVBQESA-N 0 2 320.353 0.626 20 0 DCADLN O=C(N[C@@H]1Cc2ccccc2[C@H]1[NH2+]Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC000960241032 688332621 /nfs/dbraw/zinc/33/26/21/688332621.db2.gz BZJDFWPYTDQACZ-TZMCWYRMSA-N 0 2 313.361 0.792 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)C1CC=CC1 ZINC000962023531 688791588 /nfs/dbraw/zinc/79/15/88/688791588.db2.gz VHXQUAOYCRFLLG-UTLUCORTSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O)C1CC=CC1 ZINC000962023531 688791591 /nfs/dbraw/zinc/79/15/91/688791591.db2.gz VHXQUAOYCRFLLG-UTLUCORTSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)cc1F ZINC001038981856 694261156 /nfs/dbraw/zinc/26/11/56/694261156.db2.gz LDGQTVIEOYTMIC-VIFPVBQESA-N 0 2 323.303 0.793 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(F)cc(F)c1 ZINC001038999387 694265143 /nfs/dbraw/zinc/26/51/43/694265143.db2.gz ICJHPPYAAFYIBS-LLVKDONJSA-N 0 2 323.303 0.793 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccoc1 ZINC000965135239 689362651 /nfs/dbraw/zinc/36/26/51/689362651.db2.gz XKQWBTZHVSHEDB-MWLCHTKSSA-N 0 2 305.338 0.744 20 0 DCADLN CC(=O)N1CCN(C2CN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC000967168843 689946940 /nfs/dbraw/zinc/94/69/40/689946940.db2.gz MRJVAVHBLYGPFM-JTQLQIEISA-N 0 2 311.279 0.262 20 0 DCADLN CC(=O)N1CCN(C2CN(C(=O)[C@H](F)C(F)(F)F)C2)CC1 ZINC000967168843 689946943 /nfs/dbraw/zinc/94/69/43/689946943.db2.gz MRJVAVHBLYGPFM-JTQLQIEISA-N 0 2 311.279 0.262 20 0 DCADLN CC(=O)N1CC(N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC000967217273 689961226 /nfs/dbraw/zinc/96/12/26/689961226.db2.gz FXPLXVLTJMCMBC-JTQLQIEISA-N 0 2 311.279 0.262 20 0 DCADLN CC(=O)N1CC(N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)C1 ZINC000967217273 689961228 /nfs/dbraw/zinc/96/12/28/689961228.db2.gz FXPLXVLTJMCMBC-JTQLQIEISA-N 0 2 311.279 0.262 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cnoc1 ZINC000967971577 690139163 /nfs/dbraw/zinc/13/91/63/690139163.db2.gz UHJGXXAEDLYFHU-GXSJLCMTSA-N 0 2 320.353 0.068 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cnoc1 ZINC000967971577 690139164 /nfs/dbraw/zinc/13/91/64/690139164.db2.gz UHJGXXAEDLYFHU-GXSJLCMTSA-N 0 2 320.353 0.068 20 0 DCADLN Cc1cccnc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007033680 690621932 /nfs/dbraw/zinc/62/19/32/690621932.db2.gz HGPHWCAUKOKHIE-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1nnccc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007817103 690754571 /nfs/dbraw/zinc/75/45/71/690754571.db2.gz XXHAYYYGZFGZDY-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN O=C(C[C@@H]1CCCOC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009555965 691059295 /nfs/dbraw/zinc/05/92/95/691059295.db2.gz YIBZZIIMGUESLJ-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001010148360 691176184 /nfs/dbraw/zinc/17/61/84/691176184.db2.gz YZXXRYXYYVQBHM-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(Cl)c[nH]1 ZINC001010164622 691179418 /nfs/dbraw/zinc/17/94/18/691179418.db2.gz FBUJDCHOTKJYTB-MRVPVSSYSA-N 0 2 310.745 0.496 20 0 DCADLN CCc1cc(C(=O)N2CC[C@@H](NC(=O)c3cnn[nH]3)[C@H]2C)[nH]n1 ZINC001011522476 691557729 /nfs/dbraw/zinc/55/77/29/691557729.db2.gz OUMFKEPADJNTQQ-PSASIEDQSA-N 0 2 317.353 0.123 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1occ2c1CCC2 ZINC001015404744 692288884 /nfs/dbraw/zinc/28/88/84/692288884.db2.gz QOTZKMCTICKQCI-JTQLQIEISA-N 0 2 317.349 0.596 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC2(CCC2)C1 ZINC001015568609 692348233 /nfs/dbraw/zinc/34/82/33/692348233.db2.gz LZISSSQGEWRZOI-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(F)c1 ZINC001015751635 692428576 /nfs/dbraw/zinc/42/85/76/692428576.db2.gz GPFWTYBPZPUCLI-JTQLQIEISA-N 0 2 319.340 0.962 20 0 DCADLN CCCc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001015989170 692536753 /nfs/dbraw/zinc/53/67/53/692536753.db2.gz NJLKTXGOVODMJN-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)cn1 ZINC001016039094 692556137 /nfs/dbraw/zinc/55/61/37/692556137.db2.gz CWWZHDGTEZJKHF-SECBINFHSA-N 0 2 322.756 0.563 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cc1F ZINC001016151061 692582196 /nfs/dbraw/zinc/58/21/96/692582196.db2.gz VKAZNVYYKCNRKA-SECBINFHSA-N 0 2 323.303 0.793 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(CF)cc1 ZINC001016365435 692664633 /nfs/dbraw/zinc/66/46/33/692664633.db2.gz UVLPRXWRODDMFJ-GFCCVEGCSA-N 0 2 319.340 0.984 20 0 DCADLN Cc1cc(C(=O)N2CC=C(CNC(=O)c3cn[nH]n3)CC2)n[nH]1 ZINC001017562010 692937991 /nfs/dbraw/zinc/93/79/91/692937991.db2.gz JEEYHZCYRGFDCC-UHFFFAOYSA-N 0 2 315.337 0.039 20 0 DCADLN C[C@@H](C(N)=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](F)C(F)(F)F ZINC001017570721 692941188 /nfs/dbraw/zinc/94/11/88/692941188.db2.gz GOAYTWCXNOPUKF-MAUMQABQSA-N 0 2 311.279 0.436 20 0 DCADLN C[C@@H](C(N)=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001017570721 692941183 /nfs/dbraw/zinc/94/11/83/692941183.db2.gz GOAYTWCXNOPUKF-MAUMQABQSA-N 0 2 311.279 0.436 20 0 DCADLN Cc1ncsc1C[NH2+][C@H]1CCN(C(=O)[C@@H]2C[N@@H+](C)CCO2)C1 ZINC001018663587 693186193 /nfs/dbraw/zinc/18/61/93/693186193.db2.gz ICPCNXLNVNWJFV-STQMWFEESA-N 0 2 324.450 0.473 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1CCCC1 ZINC001073510127 694733909 /nfs/dbraw/zinc/73/39/09/694733909.db2.gz FWYHJEWMURTKCJ-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(c1ccco1)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075496946 694954380 /nfs/dbraw/zinc/95/43/80/694954380.db2.gz UAMDOZOAMHTKEO-VHSXEESVSA-N 0 2 303.322 0.450 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001076856941 695077754 /nfs/dbraw/zinc/07/77/54/695077754.db2.gz HSEBSWZIXNZKSL-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001076856941 695077758 /nfs/dbraw/zinc/07/77/58/695077758.db2.gz HSEBSWZIXNZKSL-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076856741 695077905 /nfs/dbraw/zinc/07/79/05/695077905.db2.gz CNORITIUXOECSX-YIZRAAEISA-N 0 2 316.295 0.915 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001076856741 695077908 /nfs/dbraw/zinc/07/79/08/695077908.db2.gz CNORITIUXOECSX-YIZRAAEISA-N 0 2 316.295 0.915 20 0 DCADLN CC(C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O)=C1CCCC1 ZINC001076865521 695080644 /nfs/dbraw/zinc/08/06/44/695080644.db2.gz KGSKPCXBEWNSBB-VXGBXAGGSA-N 0 2 321.381 0.062 20 0 DCADLN CN(C(=O)CC(C1CC1)C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001446425168 1159409642 /nfs/dbraw/zinc/40/96/42/1159409642.db2.gz URXHOAIWDCHXPK-UHFFFAOYSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@]1(NC(=O)Cc2ccco2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446557137 1159472247 /nfs/dbraw/zinc/47/22/47/1159472247.db2.gz ZGXYISNMMDWVPI-AWEZNQCLSA-N 0 2 305.338 0.427 20 0 DCADLN C[C@]1(NC(=O)Cc2ccco2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446557137 1159472251 /nfs/dbraw/zinc/47/22/51/1159472251.db2.gz ZGXYISNMMDWVPI-AWEZNQCLSA-N 0 2 305.338 0.427 20 0 DCADLN CCCOCC(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001566606575 1160091596 /nfs/dbraw/zinc/09/15/96/1160091596.db2.gz OUYZJTKOGAULJV-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN CN(C(=O)C(=O)C(C)(C)C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001567906199 1160526398 /nfs/dbraw/zinc/52/63/98/1160526398.db2.gz IDQCJCSHWZZMPD-SECBINFHSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)[C@@H](C)C(=O)[O-] ZINC001573569444 1163505668 /nfs/dbraw/zinc/50/56/68/1163505668.db2.gz YCNHYUBQPGPXJL-LPWJVIDDSA-N 0 2 314.382 0.092 20 0 DCADLN O=C([O-])C1=C(C[N@H+]2CC[C@@H]2CO)NC(=O)N[C@@H]1c1ccco1 ZINC001574435121 1163723571 /nfs/dbraw/zinc/72/35/71/1163723571.db2.gz KVEJQEUEZRVSBG-PRHODGIISA-N 0 2 307.306 0.039 20 0 DCADLN O=C([O-])C1=C(C[N@@H+]2CC[C@@H]2CO)NC(=O)N[C@@H]1c1ccco1 ZINC001574435121 1163723578 /nfs/dbraw/zinc/72/35/78/1163723578.db2.gz KVEJQEUEZRVSBG-PRHODGIISA-N 0 2 307.306 0.039 20 0 DCADLN Cc1nnccc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001575311400 1163969508 /nfs/dbraw/zinc/96/95/08/1163969508.db2.gz KGCFOXSRPICEOA-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1nnccc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001575311400 1163969514 /nfs/dbraw/zinc/96/95/14/1163969514.db2.gz KGCFOXSRPICEOA-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN CCOC(=O)CCCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001578245629 1164963267 /nfs/dbraw/zinc/96/32/67/1164963267.db2.gz ZKNBCTFAFMSFSG-UHFFFAOYSA-N 0 2 317.349 0.860 20 0 DCADLN CO[C@@H](CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C1CC1 ZINC001579592686 1165355465 /nfs/dbraw/zinc/35/54/65/1165355465.db2.gz PKOXBFNQSJOUBP-JTQLQIEISA-N 0 2 318.337 0.431 20 0 DCADLN CO[C@H]1CC[C@@H](NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001579613356 1165359993 /nfs/dbraw/zinc/35/99/93/1165359993.db2.gz UCTOCNLHEOJOJK-BDAKNGLRSA-N 0 2 318.337 0.573 20 0 DCADLN [NH3+][C@H](C(=O)NCc1nn[n-]n1)c1ccccc1Br ZINC001580115116 1165509087 /nfs/dbraw/zinc/50/90/87/1165509087.db2.gz MCAZSOUQPDKYDS-VIFPVBQESA-N 0 2 311.143 0.278 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CCOC[C@H]1C ZINC001580600798 1165651223 /nfs/dbraw/zinc/65/12/23/1165651223.db2.gz IODVDMVIPNQSAO-SCZZXKLOSA-N 0 2 318.337 0.431 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCOC[C@@H]1C ZINC001580600799 1165651672 /nfs/dbraw/zinc/65/16/72/1165651672.db2.gz IODVDMVIPNQSAO-WCBMZHEXSA-N 0 2 318.337 0.431 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC[C@@H](O)C1CC1 ZINC001580605866 1165654097 /nfs/dbraw/zinc/65/40/97/1165654097.db2.gz NCZYWDAYTPSBGT-SNVBAGLBSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)Nc1c[nH]ccc1=O ZINC001580609514 1165655451 /nfs/dbraw/zinc/65/54/51/1165655451.db2.gz ZCBMZFYTQGHSQG-UHFFFAOYSA-N 0 2 313.277 0.216 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CC/C=C/c2ccccc2)c1=O ZINC001581753719 1165867177 /nfs/dbraw/zinc/86/71/77/1165867177.db2.gz POWGLIICTZWSRE-WEVVVXLNSA-N 0 2 324.344 0.831 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cc(F)cc(-c2nn[nH]n2)c1 ZINC001582558100 1166044034 /nfs/dbraw/zinc/04/40/34/1166044034.db2.gz HCIXLPTYTYDZMJ-UHFFFAOYSA-N 0 2 319.260 0.151 20 0 DCADLN C[C@@](CNC(=O)CC(N)=O)(NC(=O)[C@H]1CCC1(C)C)C1CC1 ZINC001582656841 1166063216 /nfs/dbraw/zinc/06/32/16/1166063216.db2.gz VFXRUBQXMOODQO-BZNIZROVSA-N 0 2 309.410 0.699 20 0 DCADLN COC[C@H](C)C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001583148957 1166213918 /nfs/dbraw/zinc/21/39/18/1166213918.db2.gz YWTOJIGTHMGMCN-DXMIZCBPSA-N 0 2 314.279 0.958 20 0 DCADLN COC[C@H](C)C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001583148957 1166213924 /nfs/dbraw/zinc/21/39/24/1166213924.db2.gz YWTOJIGTHMGMCN-DXMIZCBPSA-N 0 2 314.279 0.958 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)NC/C=C\CNC(=O)CCc1[nH]cc[nH+]1 ZINC001583152835 1166214569 /nfs/dbraw/zinc/21/45/69/1166214569.db2.gz RJPZEJLONGHDLF-XQJDBVBESA-N 0 2 319.409 0.225 20 0 DCADLN CC(C)(NC(=O)c1ccc(-c2nn[nH]n2)c(O)c1)c1cn[nH]n1 ZINC001589319273 1166647527 /nfs/dbraw/zinc/64/75/27/1166647527.db2.gz IQADBJJBASCUQY-UHFFFAOYSA-N 0 2 314.309 0.356 20 0 DCADLN CC(C)(NC(=O)c1ccc(-c2nn[nH]n2)c(O)c1)c1c[nH]nn1 ZINC001589319273 1166647531 /nfs/dbraw/zinc/64/75/31/1166647531.db2.gz IQADBJJBASCUQY-UHFFFAOYSA-N 0 2 314.309 0.356 20 0 DCADLN CC(C)OC[C@H]([NH2+]Cc1cccc(-c2nn[nH]n2)n1)C(=O)[O-] ZINC001589750039 1166684247 /nfs/dbraw/zinc/68/42/47/1166684247.db2.gz RKMXEEBGGYMPHQ-NSHDSACASA-N 0 2 306.326 0.230 20 0 DCADLN CCCCC[C@@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590588366 1166947178 /nfs/dbraw/zinc/94/71/78/1166947178.db2.gz IYXCLTOLXMAILZ-SECBINFHSA-N 0 2 321.385 0.645 20 0 DCADLN CN(c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C1CCCCC1 ZINC001591154761 1167120222 /nfs/dbraw/zinc/12/02/22/1167120222.db2.gz JATGHIBHAURIHQ-UHFFFAOYSA-N 0 2 319.369 0.033 20 0 DCADLN CO[C@H](C)c1noc(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)n1 ZINC001591324994 1167215084 /nfs/dbraw/zinc/21/50/84/1167215084.db2.gz IFWPFJQVJOFFJT-MRVPVSSYSA-N 0 2 317.309 0.476 20 0 DCADLN CO[C@@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)CC(C)C ZINC001591329070 1167216428 /nfs/dbraw/zinc/21/64/28/1167216428.db2.gz ABACHSBVTZHDJG-MRVPVSSYSA-N 0 2 323.357 0.139 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CC3(CCC3)C(=O)O2)c1 ZINC001591836614 1167391028 /nfs/dbraw/zinc/39/10/28/1167391028.db2.gz DHHCMFNQACYDMR-SNVBAGLBSA-N 0 2 315.333 0.823 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@H]2C[C@H]21 ZINC001591835243 1167391465 /nfs/dbraw/zinc/39/14/65/1167391465.db2.gz QWXFAEIZTUHDIF-WCBMZHEXSA-N 0 2 300.322 0.900 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2nncs2)ccc1-c1nn[nH]n1 ZINC001592067698 1167448505 /nfs/dbraw/zinc/44/85/05/1167448505.db2.gz POPAMYGWYUNQBU-UHFFFAOYSA-N 0 2 323.363 0.827 20 0 DCADLN Cc1ccc(Sc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)s1 ZINC001592196137 1167476139 /nfs/dbraw/zinc/47/61/39/1167476139.db2.gz BSXLDTIJBYDXCR-UHFFFAOYSA-N 0 2 322.375 0.775 20 0 DCADLN Cc1ccn(CC(=O)N[C@H](C)C(C)C)c(=O)c1-c1nn[nH]n1 ZINC001592265338 1167480512 /nfs/dbraw/zinc/48/05/12/1167480512.db2.gz QRAHVBPZLXNLLM-SNVBAGLBSA-N 0 2 304.354 0.498 20 0 DCADLN Cc1ccn(CC[C@H]2COC(C)(C)O2)c(=O)c1-c1nn[nH]n1 ZINC001592265601 1167481120 /nfs/dbraw/zinc/48/11/20/1167481120.db2.gz BXNRCXNQPUUWMR-JTQLQIEISA-N 0 2 305.338 0.878 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H](C)c1cnn(C)c1 ZINC001592498836 1167539325 /nfs/dbraw/zinc/53/93/25/1167539325.db2.gz FXHCHKJXWKYXOP-ZETCQYMHSA-N 0 2 301.310 0.993 20 0 DCADLN Cn1c(=O)[nH]c(NCC[C@@H]2CC[C@H]3C[C@H]32)c(-c2nn[nH]n2)c1=O ZINC001592546748 1167552271 /nfs/dbraw/zinc/55/22/71/1167552271.db2.gz SDXPSGXZWMPIHL-CIUDSAMLSA-N 0 2 317.353 0.514 20 0 DCADLN O=c1[nH]nc(CNc2ncc(-c3nn[nH]n3)c3ccsc32)[nH]1 ZINC001593489518 1167873003 /nfs/dbraw/zinc/87/30/03/1167873003.db2.gz ADSWRGFXMYLPEB-UHFFFAOYSA-N 0 2 315.322 0.912 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cnc(Cl)c(F)c1 ZINC001593489183 1167873310 /nfs/dbraw/zinc/87/33/10/1167873310.db2.gz CRWGEIGITSUIGR-UHFFFAOYSA-N 0 2 323.675 0.365 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCO[C@H](CCF)C1 ZINC001593492944 1167875090 /nfs/dbraw/zinc/87/50/90/1167875090.db2.gz REQLBZHJJGRFAL-SNVBAGLBSA-N 0 2 308.317 0.046 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1C[C@H]1C[C@]13CCOC3)CCC2 ZINC001593491870 1167876621 /nfs/dbraw/zinc/87/66/21/1167876621.db2.gz AJFKZNCQHHDJGN-BZNIZROVSA-N 0 2 313.361 0.944 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1CCc1c(F)cccc1F ZINC001593493187 1167877776 /nfs/dbraw/zinc/87/77/76/1167877776.db2.gz BAENKWBPWAGQHZ-UHFFFAOYSA-N 0 2 304.260 0.944 20 0 DCADLN c1nnc([C@@H](Nc2cc(-c3nn[nH]n3)ncn2)c2ccccc2)[nH]1 ZINC001593571157 1167946979 /nfs/dbraw/zinc/94/69/79/1167946979.db2.gz QJLAQKFXGKDCKX-LBPRGKRZSA-N 0 2 320.320 0.976 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@H]2[N@@H+]1Cc1nnc2n1CCOC2 ZINC001594341239 1167965593 /nfs/dbraw/zinc/96/55/93/1167965593.db2.gz SQRNRRYRUOYIHL-WISYIIOYSA-N 0 2 322.365 0.403 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@H]2[N@H+]1Cc1nnc2n1CCOC2 ZINC001594341239 1167965600 /nfs/dbraw/zinc/96/56/00/1167965600.db2.gz SQRNRRYRUOYIHL-WISYIIOYSA-N 0 2 322.365 0.403 20 0 DCADLN COC(=O)CNC(=O)C[N@@H+]1CCc2cccc(C(=O)[O-])c2C1 ZINC001598864090 1168050722 /nfs/dbraw/zinc/05/07/22/1168050722.db2.gz AFOHVAAHIVGLMQ-UHFFFAOYSA-N 0 2 306.318 0.032 20 0 DCADLN COC(=O)CNC(=O)C[N@H+]1CCc2cccc(C(=O)[O-])c2C1 ZINC001598864090 1168050732 /nfs/dbraw/zinc/05/07/32/1168050732.db2.gz AFOHVAAHIVGLMQ-UHFFFAOYSA-N 0 2 306.318 0.032 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@H+](C)CC2=CCCOC2)n1 ZINC001598925171 1168061865 /nfs/dbraw/zinc/06/18/65/1168061865.db2.gz RKXJBLDFEPBJQE-UHFFFAOYSA-N 0 2 309.322 0.604 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@@H+](C)CC2=CCCOC2)n1 ZINC001598925171 1168061871 /nfs/dbraw/zinc/06/18/71/1168061871.db2.gz RKXJBLDFEPBJQE-UHFFFAOYSA-N 0 2 309.322 0.604 20 0 DCADLN Cc1ccn(C[C@@H](C)C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001600596994 1168207303 /nfs/dbraw/zinc/20/73/03/1168207303.db2.gz AANBPVNRARGWNC-PWSUYJOCSA-N 0 2 305.338 0.294 20 0 DCADLN Cc1nccc([C@H]2CCC[N@H+](Cc3cn(CC(=O)[O-])nn3)C2)n1 ZINC001600748797 1168275394 /nfs/dbraw/zinc/27/53/94/1168275394.db2.gz JEQNSEBMYXVXTH-LBPRGKRZSA-N 0 2 316.365 0.841 20 0 DCADLN Cc1nccc([C@H]2CCC[N@@H+](Cc3cn(CC(=O)[O-])nn3)C2)n1 ZINC001600748797 1168275399 /nfs/dbraw/zinc/27/53/99/1168275399.db2.gz JEQNSEBMYXVXTH-LBPRGKRZSA-N 0 2 316.365 0.841 20 0 DCADLN Cc1nc(NC2(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CCC2)cc[nH+]1 ZINC001600752361 1168276411 /nfs/dbraw/zinc/27/64/11/1168276411.db2.gz GLRFVBNAAOASOU-GHMZBOCLSA-N 0 2 304.350 0.957 20 0 DCADLN Cc1ncsc1C=CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600761188 1168281504 /nfs/dbraw/zinc/28/15/04/1168281504.db2.gz YCTUHUFLCQPZSD-MXQLGCADSA-N 0 2 306.347 1.000 20 0 DCADLN Cc1ncsc1C=CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600761188 1168281510 /nfs/dbraw/zinc/28/15/10/1168281510.db2.gz YCTUHUFLCQPZSD-MXQLGCADSA-N 0 2 306.347 1.000 20 0 DCADLN Cc1noc(C[N@@H+](C)CCCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001600812503 1168342335 /nfs/dbraw/zinc/34/23/35/1168342335.db2.gz HVVHXBIREQSMJK-WDEREUQCSA-N 0 2 310.354 0.379 20 0 DCADLN Cc1noc(C[N@H+](C)CCCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001600812503 1168342340 /nfs/dbraw/zinc/34/23/40/1168342340.db2.gz HVVHXBIREQSMJK-WDEREUQCSA-N 0 2 310.354 0.379 20 0 DCADLN Cn1c(=O)sc2cc(C[N@@H+]3C[C@H](O)C[C@H]3C(=O)[O-])ccc21 ZINC001600899535 1168499594 /nfs/dbraw/zinc/49/95/94/1168499594.db2.gz YLSUXVBWPVBJLZ-KOLCDFICSA-N 0 2 308.359 0.620 20 0 DCADLN Cn1c(=O)sc2cc(C[N@H+]3C[C@H](O)C[C@H]3C(=O)[O-])ccc21 ZINC001600899535 1168499596 /nfs/dbraw/zinc/49/95/96/1168499596.db2.gz YLSUXVBWPVBJLZ-KOLCDFICSA-N 0 2 308.359 0.620 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])C1 ZINC001600984523 1168543833 /nfs/dbraw/zinc/54/38/33/1168543833.db2.gz NAVMTOOBPXRTCU-GRYCIOLGSA-N 0 2 322.365 0.409 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC001601000928 1168556677 /nfs/dbraw/zinc/55/66/77/1168556677.db2.gz UQGQJPPKHLQUFQ-NSHDSACASA-N 0 2 322.365 0.055 20 0 DCADLN Cn1[nH]c(C[N@@H+]2C[C@H](C3CCCCC3)C[C@H]2C(=O)[O-])nc1=O ZINC001601009681 1168560977 /nfs/dbraw/zinc/56/09/77/1168560977.db2.gz BTWVSLFJGGRWAD-NEPJUHHUSA-N 0 2 308.382 0.964 20 0 DCADLN Cn1[nH]c(C[N@H+]2C[C@H](C3CCCCC3)C[C@H]2C(=O)[O-])nc1=O ZINC001601009681 1168560985 /nfs/dbraw/zinc/56/09/85/1168560985.db2.gz BTWVSLFJGGRWAD-NEPJUHHUSA-N 0 2 308.382 0.964 20 0 DCADLN Cn1nccc1CN1CC[NH+](Cc2cncc(C(=O)[O-])c2)CC1 ZINC001601039707 1168575269 /nfs/dbraw/zinc/57/52/69/1168575269.db2.gz PQNWOIXKLZIBFZ-UHFFFAOYSA-N 0 2 315.377 0.831 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)N[C@H](C(=O)[O-])c1ccsc1 ZINC001601231645 1168671298 /nfs/dbraw/zinc/67/12/98/1168671298.db2.gz NAVFIHNOMSMFBC-AORQRIRUSA-N 0 2 310.375 0.878 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1c1ccc(NC(=O)CN2CC[NH2+]CC2=O)cc1 ZINC001601316501 1168704217 /nfs/dbraw/zinc/70/42/17/1168704217.db2.gz LGIGKXOKOKMENT-QWHCGFSZSA-N 0 2 317.345 0.245 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc(O)c(F)c1 ZINC001601360524 1168718607 /nfs/dbraw/zinc/71/86/07/1168718607.db2.gz FIROLCZOIBMIGG-NSHDSACASA-N 0 2 307.281 0.540 20 0 DCADLN O=C([O-])c1cnn(CC(=O)OCCc2cn3c([nH+]2)CCCC3)c1 ZINC001601381089 1168725679 /nfs/dbraw/zinc/72/56/79/1168725679.db2.gz WIFPFRDSGMETOJ-UHFFFAOYSA-N 0 2 318.333 0.900 20 0 DCADLN O=C([O-])[C@H](CCC1OCCO1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001601415955 1168738499 /nfs/dbraw/zinc/73/84/99/1168738499.db2.gz VVPFZHJZFKAKHT-JQWIXIFHSA-N 0 2 323.349 0.168 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc(Cl)ncc1F ZINC001601426160 1168742969 /nfs/dbraw/zinc/74/29/69/1168742969.db2.gz SWKOBWKKWUPNPQ-SECBINFHSA-N 0 2 312.688 0.954 20 0 DCADLN O=C([O-])c1ccc(NC(=O)C(=O)NCCCn2cc[nH+]c2)cc1 ZINC001601501136 1168767102 /nfs/dbraw/zinc/76/71/02/1168767102.db2.gz YHYDRXDCBGZPJU-UHFFFAOYSA-N 0 2 316.317 0.726 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001601568359 1168784763 /nfs/dbraw/zinc/78/47/63/1168784763.db2.gz NTJSSFYDGBPMEF-YPMHNXCESA-N 0 2 319.292 0.664 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001601568359 1168784769 /nfs/dbraw/zinc/78/47/69/1168784769.db2.gz NTJSSFYDGBPMEF-YPMHNXCESA-N 0 2 319.292 0.664 20 0 DCADLN O=C([O-])[C@H]1CCCN1C(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001601624284 1168795771 /nfs/dbraw/zinc/79/57/71/1168795771.db2.gz LILIXNKFZLMYNH-SNVBAGLBSA-N 0 2 302.290 0.348 20 0 DCADLN O=C([O-])[C@@H](CC1CCOCC1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001601642963 1168799515 /nfs/dbraw/zinc/79/95/15/1168799515.db2.gz MPVOXOJKBLHHGN-IJLUTSLNSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@@H](CC1CCOCC1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001601642963 1168799520 /nfs/dbraw/zinc/79/95/20/1168799520.db2.gz MPVOXOJKBLHHGN-IJLUTSLNSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001601650345 1168804142 /nfs/dbraw/zinc/80/41/42/1168804142.db2.gz PODOMLGLBIJVIZ-CHWSQXEVSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001601650345 1168804145 /nfs/dbraw/zinc/80/41/45/1168804145.db2.gz PODOMLGLBIJVIZ-CHWSQXEVSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])C12CCC(C(=O)N3CC[NH+](CCO)CC3)(CC1)CC2 ZINC001601729832 1168837726 /nfs/dbraw/zinc/83/77/26/1168837726.db2.gz CEIZKNJQKIMLFU-UHFFFAOYSA-N 0 2 310.394 0.548 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)[C@H]3CCn4c[nH+]cc4C3)C2)C1 ZINC001601738679 1168841844 /nfs/dbraw/zinc/84/18/44/1168841844.db2.gz HGPYJGUUGCTEJX-ZUZCIYMTSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])CSCCNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC001602100436 1168995259 /nfs/dbraw/zinc/99/52/59/1168995259.db2.gz UPZLFUXTMIILHJ-NSHDSACASA-N 0 2 324.406 0.777 20 0 DCADLN O=C([O-])c1cc(-n2cc(C3CC4(C3)OCCO4)nn2)cc[nH+]1 ZINC001602238388 1169038985 /nfs/dbraw/zinc/03/89/85/1169038985.db2.gz NBNSKTQRNLOQRA-UHFFFAOYSA-N 0 2 302.290 0.981 20 0 DCADLN O=C([O-])c1csc(C[NH2+]Cc2nnc3n2CCNC3=O)c1 ZINC001602561808 1169118773 /nfs/dbraw/zinc/11/87/73/1169118773.db2.gz IDKSAZBGVQNKRR-UHFFFAOYSA-N 0 2 307.335 0.071 20 0 DCADLN O=C([O-])c1cccc(OCC[N@@H+]2CCC(=O)NCC23COC3)c1 ZINC001602647274 1169144024 /nfs/dbraw/zinc/14/40/24/1169144024.db2.gz ZWEZEOVRVRCZGF-UHFFFAOYSA-N 0 2 320.345 0.355 20 0 DCADLN O=C([O-])c1cccc(OCC[N@H+]2CCC(=O)NCC23COC3)c1 ZINC001602647274 1169144031 /nfs/dbraw/zinc/14/40/31/1169144031.db2.gz ZWEZEOVRVRCZGF-UHFFFAOYSA-N 0 2 320.345 0.355 20 0 DCADLN C/C(=C\C(=O)N1CCSC[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001602951121 1169219646 /nfs/dbraw/zinc/21/96/46/1169219646.db2.gz FHWPRYUBACAWDP-OBIHZWKSSA-N 0 2 314.407 0.294 20 0 DCADLN CC(C)(C(=O)[O-])n1cc(NC(=O)N2CCn3c[nH+]cc3C2)cn1 ZINC001603282560 1169287447 /nfs/dbraw/zinc/28/74/47/1169287447.db2.gz DNGPBSGPQPNLCK-UHFFFAOYSA-N 0 2 318.337 0.947 20 0 DCADLN CC(C)(C)OC(=O)C[N@@H+](CCC(=O)[O-])CCN1CCCC1=O ZINC001603372555 1169301934 /nfs/dbraw/zinc/30/19/34/1169301934.db2.gz AGGBJLMJFDDAHK-UHFFFAOYSA-N 0 2 314.382 0.727 20 0 DCADLN CC(C)(C)OC(=O)C[N@H+](CCC(=O)[O-])CCN1CCCC1=O ZINC001603372555 1169301944 /nfs/dbraw/zinc/30/19/44/1169301944.db2.gz AGGBJLMJFDDAHK-UHFFFAOYSA-N 0 2 314.382 0.727 20 0 DCADLN CC(C)Cn1nccc1CN(CC[NH+]1CCOCC1)CC(=O)[O-] ZINC001603866520 1169417704 /nfs/dbraw/zinc/41/77/04/1169417704.db2.gz SCWPLQUMBQWZMK-UHFFFAOYSA-N 0 2 324.425 0.758 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001604242258 1169531280 /nfs/dbraw/zinc/53/12/80/1169531280.db2.gz XJRICULBPSECIJ-YMTOWFKASA-N 0 2 306.322 0.420 20 0 DCADLN CCc1n[nH]c(NC(=O)c2ccc(F)c(-c3nn[nH]n3)c2)n1 ZINC001604543441 1169612272 /nfs/dbraw/zinc/61/22/72/1169612272.db2.gz UQHJXZBRPRGMJK-UHFFFAOYSA-N 0 2 302.273 0.939 20 0 DCADLN CCn1nnc(C)c1Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001604624223 1169634325 /nfs/dbraw/zinc/63/43/25/1169634325.db2.gz VMZGKEDCVWPRQG-UHFFFAOYSA-N 0 2 300.326 0.305 20 0 DCADLN C[C@@H]([NH2+]CC(=O)N1CCO[C@H](C)C1)c1ncc(C(=O)[O-])s1 ZINC001604768537 1169675476 /nfs/dbraw/zinc/67/54/76/1169675476.db2.gz DPSFYXFTUNAVNI-RKDXNWHRSA-N 0 2 313.379 0.739 20 0 DCADLN CN(Cc1n[nH]c(=O)o1)C[C@@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC001604824216 1169697904 /nfs/dbraw/zinc/69/79/04/1169697904.db2.gz VYZMUZLFDPBZES-SNVBAGLBSA-N 0 2 317.309 0.121 20 0 DCADLN CO[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CC[C@@H]1C ZINC001605036159 1169789548 /nfs/dbraw/zinc/78/95/48/1169789548.db2.gz FTJVSMXNOUNLFE-JQWIXIFHSA-N 0 2 304.354 0.343 20 0 DCADLN CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001605180458 1169857735 /nfs/dbraw/zinc/85/77/35/1169857735.db2.gz XFGZABWKDFSLBO-SECBINFHSA-N 0 2 317.367 0.478 20 0 DCADLN CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001605180458 1169857744 /nfs/dbraw/zinc/85/77/44/1169857744.db2.gz XFGZABWKDFSLBO-SECBINFHSA-N 0 2 317.367 0.478 20 0 DCADLN CCC[C@H](NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001605358536 1169902657 /nfs/dbraw/zinc/90/26/57/1169902657.db2.gz MUFVSXPKWRAJBJ-AGIUHOORSA-N 0 2 314.382 0.236 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)N[C@@H](C)CF ZINC001605457466 1169928790 /nfs/dbraw/zinc/92/87/90/1169928790.db2.gz PHOJTTGPPCDNDR-ZETCQYMHSA-N 0 2 322.344 0.991 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CC(=O)CC(C)(C)C)c(=O)n1C ZINC001605463114 1169930612 /nfs/dbraw/zinc/93/06/12/1169930612.db2.gz PRYNWXMROAIILJ-UHFFFAOYSA-N 0 2 320.353 0.041 20 0 DCADLN CC[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001605474351 1169933579 /nfs/dbraw/zinc/93/35/79/1169933579.db2.gz GPIDZAKHBOVWPA-SNVBAGLBSA-N 0 2 316.354 0.896 20 0 DCADLN CC[N@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001605474351 1169933585 /nfs/dbraw/zinc/93/35/85/1169933585.db2.gz GPIDZAKHBOVWPA-SNVBAGLBSA-N 0 2 316.354 0.896 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCCO[C@H](C)C1 ZINC001605506642 1169941793 /nfs/dbraw/zinc/94/17/93/1169941793.db2.gz JFZOLYJMNYCGNP-SECBINFHSA-N 0 2 318.337 0.527 20 0 DCADLN CCOC(=O)[C@H]1C[NH2+]CCN1C(=O)c1ccc(/C=C/C(=O)[O-])o1 ZINC001605530805 1169948942 /nfs/dbraw/zinc/94/89/42/1169948942.db2.gz GBGJMOKAMYDKKQ-DUMNWFOQSA-N 0 2 322.317 0.355 20 0 DCADLN CCOC(=O)c1c(C)onc1C[NH2+][C@@]1(CC(=O)[O-])CCOC1 ZINC001605564467 1169960648 /nfs/dbraw/zinc/96/06/48/1169960648.db2.gz XBAHVGDZFOCBDZ-CQSZACIVSA-N 0 2 312.322 0.883 20 0 DCADLN Cc1cn2c(n1)CN(Cn1cccc(-c3nn[nH]n3)c1=O)CC2 ZINC001605971148 1170039242 /nfs/dbraw/zinc/03/92/42/1170039242.db2.gz ZFMMIOCPUMNXNW-UHFFFAOYSA-N 0 2 312.337 0.007 20 0 DCADLN C[N@H+](CC(=O)Nc1nc(CC(=O)[O-])cs1)[C@H]1CCCOC1 ZINC001606084316 1170072493 /nfs/dbraw/zinc/07/24/93/1170072493.db2.gz CTYOSOSHKZXRRY-JTQLQIEISA-N 0 2 313.379 0.820 20 0 DCADLN C[N@@H+](CC(=O)Nc1nc(CC(=O)[O-])cs1)[C@H]1CCCOC1 ZINC001606084316 1170072500 /nfs/dbraw/zinc/07/25/00/1170072500.db2.gz CTYOSOSHKZXRRY-JTQLQIEISA-N 0 2 313.379 0.820 20 0 DCADLN Cc1nn(CC[C@@H]2CCCCO2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114436 1170079976 /nfs/dbraw/zinc/07/99/76/1170079976.db2.gz OJHMBHLBEORIGV-NSHDSACASA-N 0 2 304.354 0.999 20 0 DCADLN CN(CCNC(=O)CCn1cc[nH+]c1)c1ncc(C(=O)[O-])cn1 ZINC001606129772 1170085968 /nfs/dbraw/zinc/08/59/68/1170085968.db2.gz RTNQGUSFWZWIHV-UHFFFAOYSA-N 0 2 318.337 0.014 20 0 DCADLN Cc1nsc(C)c1Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001606139103 1170092275 /nfs/dbraw/zinc/09/22/75/1170092275.db2.gz AEHDIZJJRAQTHO-UHFFFAOYSA-N 0 2 305.323 0.251 20 0 DCADLN Cn1c(=O)[nH]c(N2CCCC(C)(C)CC2)c(-c2nn[nH]n2)c1=O ZINC001606201755 1170109413 /nfs/dbraw/zinc/10/94/13/1170109413.db2.gz FVVCRUMECTZVEO-UHFFFAOYSA-N 0 2 319.369 0.270 20 0 DCADLN C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(F)ccc1C(=O)[O-] ZINC001606219191 1170119830 /nfs/dbraw/zinc/11/98/30/1170119830.db2.gz SPWVXINFTOSMII-SECBINFHSA-N 0 2 302.327 0.506 20 0 DCADLN C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(F)ccc1C(=O)[O-] ZINC001606219191 1170119831 /nfs/dbraw/zinc/11/98/31/1170119831.db2.gz SPWVXINFTOSMII-SECBINFHSA-N 0 2 302.327 0.506 20 0 DCADLN CN1CC[N@H+](CCCS(=O)(=O)C(C)(C)C)C[C@H](C(=O)[O-])C1 ZINC001606240875 1170132854 /nfs/dbraw/zinc/13/28/54/1170132854.db2.gz HFZQHBHZOYIOKK-GFCCVEGCSA-N 0 2 320.455 0.538 20 0 DCADLN CN1CC[N@@H+](CCCS(=O)(=O)C(C)(C)C)C[C@H](C(=O)[O-])C1 ZINC001606240875 1170132855 /nfs/dbraw/zinc/13/28/55/1170132855.db2.gz HFZQHBHZOYIOKK-GFCCVEGCSA-N 0 2 320.455 0.538 20 0 DCADLN O=C(Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2)C1(Cl)CC1 ZINC001606614971 1170243954 /nfs/dbraw/zinc/24/39/54/1170243954.db2.gz BSBYPFGZSMKHAI-UHFFFAOYSA-N 0 2 319.752 0.858 20 0 DCADLN COC[C@@H](C(N)=O)[N@H+](C)Cc1cc(C(=O)[O-])cc(C(=O)OC)c1 ZINC001606937141 1170334196 /nfs/dbraw/zinc/33/41/96/1170334196.db2.gz NCYPJCGBBLCTBZ-LBPRGKRZSA-N 0 2 324.333 0.104 20 0 DCADLN COC[C@@H](C(N)=O)[N@@H+](C)Cc1cc(C(=O)[O-])cc(C(=O)OC)c1 ZINC001606937141 1170334203 /nfs/dbraw/zinc/33/42/03/1170334203.db2.gz NCYPJCGBBLCTBZ-LBPRGKRZSA-N 0 2 324.333 0.104 20 0 DCADLN O=c1c(-c2nn[nH]n2)cnc2ncn(CC3CC4(CCC4)C3)n21 ZINC001607089582 1170387433 /nfs/dbraw/zinc/38/74/33/1170387433.db2.gz BDGHJNNTUNCIMJ-UHFFFAOYSA-N 0 2 312.337 0.651 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@@H+]1CCO[C@@H](CC(N)=O)C1 ZINC001607215395 1170415465 /nfs/dbraw/zinc/41/54/65/1170415465.db2.gz AHHVGUZYXAPYNE-LBPRGKRZSA-N 0 2 308.334 0.470 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@H+]1CCO[C@@H](CC(N)=O)C1 ZINC001607215395 1170415470 /nfs/dbraw/zinc/41/54/70/1170415470.db2.gz AHHVGUZYXAPYNE-LBPRGKRZSA-N 0 2 308.334 0.470 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)[N@@H+]1CC[C@@H](O)[C@@H](O)C1 ZINC001607740982 1170502509 /nfs/dbraw/zinc/50/25/09/1170502509.db2.gz RMYCSUDLGUBTMC-HONMWMINSA-N 0 2 322.361 0.448 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)[N@H+]1CC[C@@H](O)[C@@H](O)C1 ZINC001607740982 1170502512 /nfs/dbraw/zinc/50/25/12/1170502512.db2.gz RMYCSUDLGUBTMC-HONMWMINSA-N 0 2 322.361 0.448 20 0 DCADLN Cc1ccn2ncc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c2n1 ZINC001607892478 1170518219 /nfs/dbraw/zinc/51/82/19/1170518219.db2.gz BBMUROSMSYZSFO-LLVKDONJSA-N 0 2 314.305 0.187 20 0 DCADLN Cc1ccn2ncc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c2n1 ZINC001607892478 1170518225 /nfs/dbraw/zinc/51/82/25/1170518225.db2.gz BBMUROSMSYZSFO-LLVKDONJSA-N 0 2 314.305 0.187 20 0 DCADLN Cc1nc2sccn2c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001607975442 1170536457 /nfs/dbraw/zinc/53/64/57/1170536457.db2.gz GZYDXNOQYADBRL-VIFPVBQESA-N 0 2 319.346 0.853 20 0 DCADLN Cc1[nH+]cc2n1C[C@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)[O-])CC2 ZINC001607987262 1170540498 /nfs/dbraw/zinc/54/04/98/1170540498.db2.gz HHNVFUOUHVEOMC-MFKMUULPSA-N 0 2 317.349 0.289 20 0 DCADLN Cc1noc2ncc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])cc12 ZINC001608028927 1170552700 /nfs/dbraw/zinc/55/27/00/1170552700.db2.gz KCHULHZKTCWAKM-LLVKDONJSA-N 0 2 315.289 0.611 20 0 DCADLN Cn1c(C[NH+]2CCCCC2)nnc1N1CCO[C@H](CC(=O)[O-])C1 ZINC001608088308 1170568329 /nfs/dbraw/zinc/56/83/29/1170568329.db2.gz YWWYXRLOIBMEJN-GFCCVEGCSA-N 0 2 323.397 0.481 20 0 DCADLN Cn1ccc([C@@H](NC(=O)[C@@H]2CCc3[nH+]ccn3C2)C(=O)[O-])n1 ZINC001608125895 1170582841 /nfs/dbraw/zinc/58/28/41/1170582841.db2.gz YSLSSVBOHGKEKM-BXKDBHETSA-N 0 2 303.322 0.121 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@H](C[C@@H]1CCCOC1)C(=O)[O-] ZINC001608132362 1170586148 /nfs/dbraw/zinc/58/61/48/1170586148.db2.gz DQEBPNFZWMCICT-NWDGAFQWSA-N 0 2 309.366 0.739 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@@H](C[C@@H]1CCCOC1)C(=O)[O-] ZINC001608132363 1170586696 /nfs/dbraw/zinc/58/66/96/1170586696.db2.gz DQEBPNFZWMCICT-RYUDHWBXSA-N 0 2 309.366 0.739 20 0 DCADLN Cn1[nH]c(C[NH2+][C@@H](C(=O)[O-])c2ccc(Cl)c(F)c2)nc1=O ZINC001608148110 1170596546 /nfs/dbraw/zinc/59/65/46/1170596546.db2.gz IZJDLRSBRRMPBE-SNVBAGLBSA-N 0 2 314.704 0.816 20 0 DCADLN [NH3+]CC(F)(F)CNS(=O)(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001608252370 1170632448 /nfs/dbraw/zinc/63/24/48/1170632448.db2.gz LPXMWJTZXOBEFG-SFYZADRCSA-N 0 2 300.327 0.143 20 0 DCADLN NS(=O)(=O)[C@H]1CC[N@H+](Cc2cccc(C(=O)[O-])c2Cl)C1 ZINC001608259643 1170635035 /nfs/dbraw/zinc/63/50/35/1170635035.db2.gz OENWMIKKDOWDTD-VIFPVBQESA-N 0 2 318.782 0.901 20 0 DCADLN NS(=O)(=O)[C@H]1CC[N@@H+](Cc2cccc(C(=O)[O-])c2Cl)C1 ZINC001608259643 1170635037 /nfs/dbraw/zinc/63/50/37/1170635037.db2.gz OENWMIKKDOWDTD-VIFPVBQESA-N 0 2 318.782 0.901 20 0 DCADLN O=C([O-])[C@@H]([NH2+]CC(=O)Nc1ccon1)c1ccc2c(c1)CCO2 ZINC001608341553 1170655536 /nfs/dbraw/zinc/65/55/36/1170655536.db2.gz YCANONDEWJKBJW-AWEZNQCLSA-N 0 2 317.301 0.964 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)c1ccc(F)cc1 ZINC001608403652 1170670271 /nfs/dbraw/zinc/67/02/71/1170670271.db2.gz SMTWSHDUZCFHLY-NSHDSACASA-N 0 2 305.265 0.475 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)CN(C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC001608603528 1170714538 /nfs/dbraw/zinc/71/45/38/1170714538.db2.gz FLTRKOXKXUBUKP-GXSJLCMTSA-N 0 2 304.306 0.634 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCCn2cc[nH+]c2)oc1Cl ZINC001608861549 1170766648 /nfs/dbraw/zinc/76/66/48/1170766648.db2.gz ZHVFQRGDUHLFIT-UHFFFAOYSA-N 0 2 319.726 0.806 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@@H+]3CC[C@@H](c4nn[nH]n4)C3)nc2c1 ZINC001608916102 1170771689 /nfs/dbraw/zinc/77/16/89/1170771689.db2.gz GVEMDHAWENVTPT-SECBINFHSA-N 0 2 313.321 0.764 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@H+]3CC[C@@H](c4nn[nH]n4)C3)nc2c1 ZINC001608916102 1170771693 /nfs/dbraw/zinc/77/16/93/1170771693.db2.gz GVEMDHAWENVTPT-SECBINFHSA-N 0 2 313.321 0.764 20 0 DCADLN CCc1nn(Cn2cccn2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001609255429 1170901168 /nfs/dbraw/zinc/90/11/68/1170901168.db2.gz VNKOCFISUKYGIB-UHFFFAOYSA-N 0 2 300.326 0.251 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CC[C@@H](Oc2ccncc2)C1)C(=O)[O-] ZINC001609716325 1171073352 /nfs/dbraw/zinc/07/33/52/1171073352.db2.gz DJEXQSXKBLLALD-HIFRSBDPSA-N 0 2 321.377 0.760 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CC[C@@H](Oc2ccncc2)C1)C(=O)[O-] ZINC001609716325 1171073358 /nfs/dbraw/zinc/07/33/58/1171073358.db2.gz DJEXQSXKBLLALD-HIFRSBDPSA-N 0 2 321.377 0.760 20 0 DCADLN C[C@@]1(C(=O)[O-])CCCN(C(=O)C(=O)NCCCn2cc[nH+]c2)C1 ZINC001609813756 1171092160 /nfs/dbraw/zinc/09/21/60/1171092160.db2.gz FMGQOYDPUBQQAB-OAHLLOKOSA-N 0 2 322.365 0.103 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCn1ccccc1=O ZINC001610170773 1171184683 /nfs/dbraw/zinc/18/46/83/1171184683.db2.gz XTPCTGZQKKGFDI-RYUDHWBXSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCn1ccccc1=O ZINC001610170773 1171184685 /nfs/dbraw/zinc/18/46/85/1171184685.db2.gz XTPCTGZQKKGFDI-RYUDHWBXSA-N 0 2 308.334 0.187 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@@H+]2CC[C@@H](NC(N)=O)C2)c(C)c1C(=O)[O-] ZINC001610396931 1171231192 /nfs/dbraw/zinc/23/11/92/1171231192.db2.gz LIKJIPRGGMFYQU-NXEZZACHSA-N 0 2 322.365 0.644 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@H+]2CC[C@@H](NC(N)=O)C2)c(C)c1C(=O)[O-] ZINC001610396931 1171231195 /nfs/dbraw/zinc/23/11/95/1171231195.db2.gz LIKJIPRGGMFYQU-NXEZZACHSA-N 0 2 322.365 0.644 20 0 DCADLN Cn1c[nH+]cc1CCNS(=O)(=O)c1cccc(CC(=O)[O-])c1 ZINC001610611646 1171267049 /nfs/dbraw/zinc/26/70/49/1171267049.db2.gz ONSFSMFXWRKVBQ-UHFFFAOYSA-N 0 2 323.374 0.568 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1csc2cncn21 ZINC001610682456 1171290427 /nfs/dbraw/zinc/29/04/27/1171290427.db2.gz WAIWIWIUPXBCIO-MRVPVSSYSA-N 0 2 305.319 0.476 20 0 DCADLN O=S(=O)(Nc1ccc(N2CCOCC2)nc1)c1cn[nH]c1 ZINC000040827857 1171498941 /nfs/dbraw/zinc/49/89/41/1171498941.db2.gz LXRHLBPYWKBBMD-UHFFFAOYSA-N 0 2 309.351 0.442 20 0 DCADLN Cn1cc(-c2nc(C(=O)Nn3ccc(=O)[nH]c3=O)cs2)cn1 ZINC001630858214 1171583870 /nfs/dbraw/zinc/58/38/70/1171583870.db2.gz ISMMQDKZNNBHHW-UHFFFAOYSA-N 0 2 318.318 0.190 20 0 DCADLN O=C(Nc1c[nH]ccc1=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001632518038 1171618025 /nfs/dbraw/zinc/61/80/25/1171618025.db2.gz HXJLMAFZTSUVGR-UHFFFAOYSA-N 0 2 313.273 0.207 20 0 DCADLN O=C(CCCn1cncn1)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000054151808 1171828126 /nfs/dbraw/zinc/82/81/26/1171828126.db2.gz GHWBWBVPEWNVMO-UHFFFAOYSA-N 0 2 317.353 0.121 20 0 DCADLN COCC(=O)NCCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001645768303 1172132730 /nfs/dbraw/zinc/13/27/30/1172132730.db2.gz HGCHTZOOONCEQL-UHFFFAOYSA-N 0 2 319.321 0.269 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1noc(C(F)F)n1 ZINC001647233838 1172753511 /nfs/dbraw/zinc/75/35/11/1172753511.db2.gz ZCSSVCQWDWTIOB-IUYQGCFVSA-N 0 2 319.293 0.741 20 0 DCADLN CN(C)C(=O)N[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001647344482 1172827236 /nfs/dbraw/zinc/82/72/36/1172827236.db2.gz UYNCIAJEASCKIQ-JTQLQIEISA-N 0 2 309.370 0.354 20 0 DCADLN CN(C)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001647344482 1172827241 /nfs/dbraw/zinc/82/72/41/1172827241.db2.gz UYNCIAJEASCKIQ-JTQLQIEISA-N 0 2 309.370 0.354 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1nnc([C@H]2CCCO2)o1 ZINC001649774983 1173216139 /nfs/dbraw/zinc/21/61/39/1173216139.db2.gz HDIQDIXEHFQWMT-MRVPVSSYSA-N 0 2 313.339 0.938 20 0 DCADLN C[C@@H](C(=O)NCC(=O)NCC[NH+]1CCCCC1)n1cc[nH+]c1 ZINC001650024590 1173387164 /nfs/dbraw/zinc/38/71/64/1173387164.db2.gz PUDVEKYLWAGXEU-ZDUSSCGKSA-N 0 2 307.398 0.162 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)NCCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001686699971 1176195979 /nfs/dbraw/zinc/19/59/79/1176195979.db2.gz NYIBXLJWIYSQSM-LBPRGKRZSA-N 0 2 321.425 0.402 20 0 DCADLN Cc1nccc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001687026439 1176267015 /nfs/dbraw/zinc/26/70/15/1176267015.db2.gz OSOPOGFBQOEKSF-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN Cc1nccc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001687026439 1176267019 /nfs/dbraw/zinc/26/70/19/1176267019.db2.gz OSOPOGFBQOEKSF-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN O=C(NC1CN(CCOCC(F)F)C1)C(F)C(F)(F)F ZINC001692197913 1177443870 /nfs/dbraw/zinc/44/38/70/1177443870.db2.gz CYCRCMLQYOVBCT-QMMMGPOBSA-N 0 2 308.222 0.969 20 0 DCADLN O=C(NC1CN(CCOCC(F)F)C1)[C@H](F)C(F)(F)F ZINC001692197913 1177443872 /nfs/dbraw/zinc/44/38/72/1177443872.db2.gz CYCRCMLQYOVBCT-QMMMGPOBSA-N 0 2 308.222 0.969 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccco1 ZINC001699436073 1178137675 /nfs/dbraw/zinc/13/76/75/1178137675.db2.gz HJZKAXSZHIJEMB-PORFMDCZSA-N 0 2 305.338 0.753 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccco1 ZINC001699436073 1178137677 /nfs/dbraw/zinc/13/76/77/1178137677.db2.gz HJZKAXSZHIJEMB-PORFMDCZSA-N 0 2 305.338 0.753 20 0 DCADLN CN(CCOCC[NH2+]CCF)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001701037925 1178892874 /nfs/dbraw/zinc/89/28/74/1178892874.db2.gz RFLBJPZFTNTVAJ-ZDUSSCGKSA-N 0 2 312.389 0.480 20 0 DCADLN COc1cccnc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001703217878 1179400721 /nfs/dbraw/zinc/40/07/21/1179400721.db2.gz HYYQRXKVWDRKPA-SECBINFHSA-N 0 2 323.246 0.837 20 0 DCADLN COc1cccnc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703217878 1179400723 /nfs/dbraw/zinc/40/07/23/1179400723.db2.gz HYYQRXKVWDRKPA-SECBINFHSA-N 0 2 323.246 0.837 20 0 DCADLN CC/C=C(\C)C(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001713072538 1180664678 /nfs/dbraw/zinc/66/46/78/1180664678.db2.gz GPNUYFBXPDOOFL-UUILKARUSA-N 0 2 322.413 0.100 20 0 DCADLN CCOCCN1CCOC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001717134721 1182689851 /nfs/dbraw/zinc/68/98/51/1182689851.db2.gz GFJVPZJKWXNAPT-UWVGGRQHSA-N 0 2 316.295 0.740 20 0 DCADLN Cc1oncc1C[NH2+]CCC[C@@H](C)NC(=O)[C@H]1C[N@H+](C)CCO1 ZINC001717664602 1182943036 /nfs/dbraw/zinc/94/30/36/1182943036.db2.gz YTSVDQWVOOOKKK-IUODEOHRSA-N 0 2 324.425 0.688 20 0 DCADLN Cn1nncc1C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001721847093 1184075947 /nfs/dbraw/zinc/07/59/47/1184075947.db2.gz XKYICYDWWCEJRE-KZUAUGPASA-N 0 2 323.250 0.118 20 0 DCADLN Cn1nncc1C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001721847093 1184075954 /nfs/dbraw/zinc/07/59/54/1184075954.db2.gz XKYICYDWWCEJRE-KZUAUGPASA-N 0 2 323.250 0.118 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cncc(F)c1 ZINC001736713595 1187058821 /nfs/dbraw/zinc/05/88/21/1187058821.db2.gz REYVWBRNOFVXTQ-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cncc(F)c1 ZINC001736713595 1187058822 /nfs/dbraw/zinc/05/88/22/1187058822.db2.gz REYVWBRNOFVXTQ-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cncc(F)c1 ZINC001736713594 1187059356 /nfs/dbraw/zinc/05/93/56/1187059356.db2.gz REYVWBRNOFVXTQ-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cncc(F)c1 ZINC001736713594 1187059358 /nfs/dbraw/zinc/05/93/58/1187059358.db2.gz REYVWBRNOFVXTQ-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(CCOCC(F)F)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001736765350 1187094005 /nfs/dbraw/zinc/09/40/05/1187094005.db2.gz PIUGEDBOUAEFAX-QMMMGPOBSA-N 0 2 324.221 0.791 20 0 DCADLN O=C(CCOCC(F)F)NCCNC(=O)C(F)C(F)(F)F ZINC001736765350 1187094001 /nfs/dbraw/zinc/09/40/01/1187094001.db2.gz PIUGEDBOUAEFAX-QMMMGPOBSA-N 0 2 324.221 0.791 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001736784945 1187104135 /nfs/dbraw/zinc/10/41/35/1187104135.db2.gz DXEZRCXFPQIQJB-HRDYMLBCSA-N 0 2 314.279 0.934 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736784945 1187104138 /nfs/dbraw/zinc/10/41/38/1187104138.db2.gz DXEZRCXFPQIQJB-HRDYMLBCSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1ncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c(C)n1 ZINC001736785334 1187105102 /nfs/dbraw/zinc/10/51/02/1187105102.db2.gz LVZMRZPZSWIPNS-VIFPVBQESA-N 0 2 322.262 0.840 20 0 DCADLN Cc1ncc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c(C)n1 ZINC001736785334 1187105105 /nfs/dbraw/zinc/10/51/05/1187105105.db2.gz LVZMRZPZSWIPNS-VIFPVBQESA-N 0 2 322.262 0.840 20 0 DCADLN CC[C@@H](C)OCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001740525884 1187950590 /nfs/dbraw/zinc/95/05/90/1187950590.db2.gz LCMKGLLQSMNRIE-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](C)OCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001740525884 1187950595 /nfs/dbraw/zinc/95/05/95/1187950595.db2.gz LCMKGLLQSMNRIE-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@H]1CCN(C(=O)CSc2n[nH]c(=O)[n-]2)C[C@H]1n1cc[nH+]c1 ZINC000288284543 1188226674 /nfs/dbraw/zinc/22/66/74/1188226674.db2.gz GYRICXFCGZLZFM-VHSXEESVSA-N 0 2 322.394 0.496 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758191125 1189774976 /nfs/dbraw/zinc/77/49/76/1189774976.db2.gz DTMOSVMSDDFIFA-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758191125 1189774981 /nfs/dbraw/zinc/77/49/81/1189774981.db2.gz DTMOSVMSDDFIFA-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001758419709 1189879851 /nfs/dbraw/zinc/87/98/51/1189879851.db2.gz KHAOYNVRXQQRDN-GXTWGEPZSA-N 0 2 322.409 0.650 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H]1CCCO1)C(F)C(F)(F)F ZINC001759645568 1190245059 /nfs/dbraw/zinc/24/50/59/1190245059.db2.gz JRIAYXJHQVJYAN-XOINZRLQSA-N 0 2 312.263 0.854 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H]1CCCO1)[C@H](F)C(F)(F)F ZINC001759645568 1190245062 /nfs/dbraw/zinc/24/50/62/1190245062.db2.gz JRIAYXJHQVJYAN-XOINZRLQSA-N 0 2 312.263 0.854 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@@H]1CCC[C@H](O)C1 ZINC001771609710 1190450070 /nfs/dbraw/zinc/45/00/70/1190450070.db2.gz XNHPTAMIINEWGD-NEPJUHHUSA-N 0 2 318.377 0.541 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C1CCOCC1 ZINC001771919700 1190556196 /nfs/dbraw/zinc/55/61/96/1190556196.db2.gz VALSNEQOKYAZKD-WCQYABFASA-N 0 2 307.350 0.442 20 0 DCADLN CC[C@H](C)C[C@@H]([NH3+])C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001772224228 1190634860 /nfs/dbraw/zinc/63/48/60/1190634860.db2.gz KOPXRRQEBMYBNS-DZGCQCFKSA-N 0 2 323.441 0.917 20 0 DCADLN C[C@H](CNC(=O)[C@H]1[NH2+]CCc2occc21)C[NH+]1CCN(C)CC1 ZINC001772226596 1190635645 /nfs/dbraw/zinc/63/56/45/1190635645.db2.gz JTFHBPOZYOYTST-CJNGLKHVSA-N 0 2 320.437 0.466 20 0 DCADLN CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)CCCc1cn[nH]n1 ZINC001576468425 1164354750 /nfs/dbraw/zinc/35/47/50/1164354750.db2.gz VLDQNDJQUCTJHP-AWEZNQCLSA-N 0 2 309.414 0.745 20 0 DCADLN CN(C(=O)c1ccc(Cl)o1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042481872 751680825 /nfs/dbraw/zinc/68/08/25/751680825.db2.gz XVRYWMSFXFNSGD-UHFFFAOYSA-N 0 2 311.729 0.713 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C2C[NH+](CC3CCOCC3)C2)c1[O-] ZINC001042619922 751786458 /nfs/dbraw/zinc/78/64/58/751786458.db2.gz RDJZTNRIWQOLTC-UHFFFAOYSA-N 0 2 308.382 0.607 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C2C[NH+](CCC[C@H]3CCOC3)C2)c1[O-] ZINC001042619448 751786843 /nfs/dbraw/zinc/78/68/43/751786843.db2.gz DOIWXBYFOOWLRY-LBPRGKRZSA-N 0 2 322.409 0.997 20 0 DCADLN Cc1ccn(C)c1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042656427 751811976 /nfs/dbraw/zinc/81/19/76/751811976.db2.gz HHSOLTQHTSFZIH-UHFFFAOYSA-N 0 2 304.354 0.114 20 0 DCADLN CN(C(=O)[C@@H]1CCC[C@H](F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042708372 751854480 /nfs/dbraw/zinc/85/44/80/751854480.db2.gz HBGWXQPUEYIQON-ZJUUUORDSA-N 0 2 311.361 0.681 20 0 DCADLN CN(C(=O)C[C@H]1C=CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043055488 752054452 /nfs/dbraw/zinc/05/44/52/752054452.db2.gz LTOVUJARRRVQHV-NSHDSACASA-N 0 2 305.382 0.899 20 0 DCADLN O=C1[N-]OC[C@H]1Nc1nc[nH+]c2cc(N3CCOCC3)ccc21 ZINC001167514433 747350979 /nfs/dbraw/zinc/35/09/79/747350979.db2.gz ZJXMTZCQRPKYMZ-CYBMUJFWSA-N 0 2 315.333 0.308 20 0 DCADLN CC[C@H](C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377092 752188862 /nfs/dbraw/zinc/18/88/62/752188862.db2.gz RQRCRLNSJTYHDS-YUMQZZPRSA-N 0 2 314.279 0.622 20 0 DCADLN CC[C@H](C)C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043377092 752188865 /nfs/dbraw/zinc/18/88/65/752188865.db2.gz RQRCRLNSJTYHDS-YUMQZZPRSA-N 0 2 314.279 0.622 20 0 DCADLN CC(F)(F)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043378346 752203963 /nfs/dbraw/zinc/20/39/63/752203963.db2.gz WZPDSUPUZCTZDY-RXMQYKEDSA-N 0 2 322.205 0.232 20 0 DCADLN CC(F)(F)C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043378346 752203969 /nfs/dbraw/zinc/20/39/69/752203969.db2.gz WZPDSUPUZCTZDY-RXMQYKEDSA-N 0 2 322.205 0.232 20 0 DCADLN CCOc1cc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)ncn1 ZINC001059079225 748708465 /nfs/dbraw/zinc/70/84/65/748708465.db2.gz DTOJXZGHOPCHNM-NSHDSACASA-N 0 2 316.365 0.854 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ncc[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087566321 748978539 /nfs/dbraw/zinc/97/85/39/748978539.db2.gz FXAOJFCQNJPWQP-DTWKUNHWSA-N 0 2 305.342 0.016 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ncc[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087566321 748978543 /nfs/dbraw/zinc/97/85/43/748978543.db2.gz FXAOJFCQNJPWQP-DTWKUNHWSA-N 0 2 305.342 0.016 20 0 DCADLN CCCCC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149188789 748993734 /nfs/dbraw/zinc/99/37/34/748993734.db2.gz KPQFUGVAIWIHJF-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H]2CCCC[NH+]2CC)CC[N@@H+]1CC(=O)NC ZINC001087840181 749078522 /nfs/dbraw/zinc/07/85/22/749078522.db2.gz LEJKZAIFOSYQBW-ZNMIVQPWSA-N 0 2 324.469 0.576 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067626256 749342735 /nfs/dbraw/zinc/34/27/35/749342735.db2.gz JRAGWLPIWHWTBP-UHFFFAOYSA-N 0 2 323.441 0.599 20 0 DCADLN C[C@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)n1cccc1 ZINC001088527668 749550652 /nfs/dbraw/zinc/55/06/52/749550652.db2.gz XIFZROCDZDGBSN-UTUOFQBUSA-N 0 2 318.381 0.652 20 0 DCADLN C[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)n1cccc1 ZINC001088527668 749550656 /nfs/dbraw/zinc/55/06/56/749550656.db2.gz XIFZROCDZDGBSN-UTUOFQBUSA-N 0 2 318.381 0.652 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccc(F)cn2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088536386 749559582 /nfs/dbraw/zinc/55/95/82/749559582.db2.gz SFTRAZOQHUHOSJ-SCZZXKLOSA-N 0 2 320.328 0.437 20 0 DCADLN Cc1nnc(CN2C[C@H](NC(=O)c3cnn[nH]3)CC[C@@H]2C)[nH]1 ZINC001071631381 762007684 /nfs/dbraw/zinc/00/76/84/762007684.db2.gz MDNFVEWDYFMVLZ-WCBMZHEXSA-N 0 2 304.358 0.014 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cncn2C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071655480 762030320 /nfs/dbraw/zinc/03/03/20/762030320.db2.gz NCBIYQYKIILDPW-UWVGGRQHSA-N 0 2 319.369 0.027 20 0 DCADLN CC[C@H](C)CC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001212184907 750639230 /nfs/dbraw/zinc/63/92/30/750639230.db2.gz IMWNZTVNUUWWLD-HBNTYKKESA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H]1CCC[C@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043691057 752350890 /nfs/dbraw/zinc/35/08/90/752350890.db2.gz HJSNWLCWIUCSIU-CMPLNLGQSA-N 0 2 323.397 0.358 20 0 DCADLN Cn1nnc(CNC[C@@H]2CCCN2C(=O)C(F)C(F)(F)F)n1 ZINC001045047551 753020074 /nfs/dbraw/zinc/02/00/74/753020074.db2.gz GPCXOGSEEHSNEN-CBAPKCEASA-N 0 2 324.282 0.191 20 0 DCADLN CC(=O)N[C@@H](C)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001078610357 753312328 /nfs/dbraw/zinc/31/23/28/753312328.db2.gz REILLUNXLWZABE-VIFPVBQESA-N 0 2 304.354 0.455 20 0 DCADLN C[C@@]1(NC(=O)C2CC(F)(F)C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046233714 753576028 /nfs/dbraw/zinc/57/60/28/753576028.db2.gz SAASLBNNYROATB-GFCCVEGCSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@]1(NC(=O)C2CC(F)(F)C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046233714 753576034 /nfs/dbraw/zinc/57/60/34/753576034.db2.gz SAASLBNNYROATB-GFCCVEGCSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1nc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001046719715 753905371 /nfs/dbraw/zinc/90/53/71/753905371.db2.gz OGBIIEXMIWQJRY-AWEZNQCLSA-N 0 2 320.353 0.510 20 0 DCADLN CCc1cc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001046782569 753945092 /nfs/dbraw/zinc/94/50/92/753945092.db2.gz CGULJMBFUBOJHI-AWEZNQCLSA-N 0 2 320.353 0.455 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CN(C(=O)C2CC2)C[C@@H]1O ZINC001048958581 755041367 /nfs/dbraw/zinc/04/13/67/755041367.db2.gz ZKQOPULRNHWGSK-XHNCKOQMSA-N 0 2 312.263 0.327 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CN(C(=O)C2CC2)C[C@@H]1O ZINC001048958581 755041368 /nfs/dbraw/zinc/04/13/68/755041368.db2.gz ZKQOPULRNHWGSK-XHNCKOQMSA-N 0 2 312.263 0.327 20 0 DCADLN O=C(c1ccon1)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049362792 755235729 /nfs/dbraw/zinc/23/57/29/755235729.db2.gz YOMRCGNQKLIQPE-WDEREUQCSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1ccon1)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049362792 755235732 /nfs/dbraw/zinc/23/57/32/755235732.db2.gz YOMRCGNQKLIQPE-WDEREUQCSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1ccon1)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049362786 755235799 /nfs/dbraw/zinc/23/57/99/755235799.db2.gz YOMRCGNQKLIQPE-GHMZBOCLSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1ccon1)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049362786 755235801 /nfs/dbraw/zinc/23/58/01/755235801.db2.gz YOMRCGNQKLIQPE-GHMZBOCLSA-N 0 2 318.337 0.377 20 0 DCADLN CCN(C(=O)COC)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001079215125 755750770 /nfs/dbraw/zinc/75/07/70/755750770.db2.gz SLODESBEZGNTCA-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN CCN(C(=O)COC)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001079215125 755750772 /nfs/dbraw/zinc/75/07/72/755750772.db2.gz SLODESBEZGNTCA-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN Cc1conc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001079579716 755915778 /nfs/dbraw/zinc/91/57/78/755915778.db2.gz VYJKSJCLRQFMDE-LDYMZIIASA-N 0 2 319.365 0.970 20 0 DCADLN Cc1conc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001079579716 755915780 /nfs/dbraw/zinc/91/57/80/755915780.db2.gz VYJKSJCLRQFMDE-LDYMZIIASA-N 0 2 319.365 0.970 20 0 DCADLN CC(=O)NC[C@@H]1Cc2ccccc2CN1Cc1n[nH]c(=O)[nH]1 ZINC001054030843 756342615 /nfs/dbraw/zinc/34/26/15/756342615.db2.gz SXZMCSPIRVWPGP-ZDUSSCGKSA-N 0 2 301.350 0.573 20 0 DCADLN Cc1cnc(C[NH2+][C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]2C)o1 ZINC001054578965 756548043 /nfs/dbraw/zinc/54/80/43/756548043.db2.gz FMPOKYYOAICJNI-GZMMTYOYSA-N 0 2 319.365 0.970 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@]12C[C@H]1CCC2 ZINC001081963243 756880885 /nfs/dbraw/zinc/88/08/85/756880885.db2.gz OBWBOWXKAKJPOD-UYUMYWFVSA-N 0 2 321.381 0.016 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@]1(C)C=CCC1 ZINC001082034916 756911937 /nfs/dbraw/zinc/91/19/37/756911937.db2.gz LHBQLCRHABWCQO-UEKVPHQBSA-N 0 2 321.381 0.182 20 0 DCADLN O=C(c1ccccc1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084159718 757700097 /nfs/dbraw/zinc/70/00/97/757700097.db2.gz JGCQAKABNMXRQN-CHWSQXEVSA-N 0 2 313.361 0.857 20 0 DCADLN CC(F)(F)CC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084638587 757943128 /nfs/dbraw/zinc/94/31/28/757943128.db2.gz IWNATTZOBAWBQE-RKDXNWHRSA-N 0 2 315.324 0.588 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC001050795026 758074789 /nfs/dbraw/zinc/07/47/89/758074789.db2.gz QHLINMDVTIYEPC-LBPRGKRZSA-N 0 2 317.349 0.141 20 0 DCADLN Cc1ocnc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085508603 758971924 /nfs/dbraw/zinc/97/19/24/758971924.db2.gz CXPGLPMEIWVEQM-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN CCc1ocnc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085522643 758997945 /nfs/dbraw/zinc/99/79/45/758997945.db2.gz RUHBJCJSJMIFJF-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccns1 ZINC001085624285 759135515 /nfs/dbraw/zinc/13/55/15/759135515.db2.gz UUXLYRJSDUUXLD-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN O=C(NCCN(CCO)C(=O)[C@H](F)C(F)(F)F)C(F)F ZINC001057830282 759573781 /nfs/dbraw/zinc/57/37/81/759573781.db2.gz CEGADDUHPRHUBD-YFKPBYRVSA-N 0 2 310.194 0.089 20 0 DCADLN O=C(NCCN(CCO)C(=O)C(F)C(F)(F)F)C(F)F ZINC001057830282 759573772 /nfs/dbraw/zinc/57/37/72/759573772.db2.gz CEGADDUHPRHUBD-YFKPBYRVSA-N 0 2 310.194 0.089 20 0 DCADLN CC[C@H](F)C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001058388563 759948941 /nfs/dbraw/zinc/94/89/41/759948941.db2.gz ITNXIQAVLSAMGU-YUMQZZPRSA-N 0 2 320.258 0.572 20 0 DCADLN CC[C@H](F)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058388563 759948935 /nfs/dbraw/zinc/94/89/35/759948935.db2.gz ITNXIQAVLSAMGU-YUMQZZPRSA-N 0 2 320.258 0.572 20 0 DCADLN Cc1[nH+]ccn1CCCNC(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC001123486759 767985954 /nfs/dbraw/zinc/98/59/54/767985954.db2.gz IBWCPVRQTJWBIN-HIFRSBDPSA-N 0 2 322.457 0.515 20 0 DCADLN C[C@]1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)CCOC1 ZINC001098104774 761058860 /nfs/dbraw/zinc/05/88/60/761058860.db2.gz CFOQESQLNBRDNZ-OZWUEAAUSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001071093898 761617512 /nfs/dbraw/zinc/61/75/12/761617512.db2.gz HJWFEXMPZGQIPU-RNFRBKRXSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2nc[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001071093898 761617518 /nfs/dbraw/zinc/61/75/18/761617518.db2.gz HJWFEXMPZGQIPU-RNFRBKRXSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C(C)(F)F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071299539 761768452 /nfs/dbraw/zinc/76/84/52/761768452.db2.gz LGCNSMVAJVJWOZ-JGVFFNPUSA-N 0 2 303.313 0.635 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cccn2C)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071359519 761794483 /nfs/dbraw/zinc/79/44/83/761794483.db2.gz VKEGQWLWGCRPPC-QWRGUYRKSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cccn2C)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071359519 761794489 /nfs/dbraw/zinc/79/44/89/761794489.db2.gz VKEGQWLWGCRPPC-QWRGUYRKSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cn(C)cn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071434542 761853885 /nfs/dbraw/zinc/85/38/85/761853885.db2.gz IXKIXBSRKFWFMR-ZJUUUORDSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1cc(=O)n2nc(NC(=O)c3ncc4n3CCCC4)[nH]c2n1 ZINC001129409680 762931341 /nfs/dbraw/zinc/93/13/41/762931341.db2.gz ZCMDRVWIMHSTMW-UHFFFAOYSA-N 0 2 313.321 0.511 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)COCC2CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131864359 764093280 /nfs/dbraw/zinc/09/32/80/764093280.db2.gz BBOHCRBDCLWIMD-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)COCC2CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131864359 764093289 /nfs/dbraw/zinc/09/32/89/764093289.db2.gz BBOHCRBDCLWIMD-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)[N-]OCc1ccccc1 ZINC001117053885 765967307 /nfs/dbraw/zinc/96/73/07/765967307.db2.gz KHJXKQNZZVLAPA-GFCCVEGCSA-N 0 2 302.334 0.636 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1ns[nH]c1=O ZINC001136044775 766221761 /nfs/dbraw/zinc/22/17/61/766221761.db2.gz WFVDZTCDFMOSAP-UHFFFAOYSA-N 0 2 323.359 0.814 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC001118254638 766268852 /nfs/dbraw/zinc/26/88/52/766268852.db2.gz GHBRLDDKGQGKRE-UHFFFAOYSA-N 0 2 319.361 0.099 20 0 DCADLN CCOC(=O)c1[nH]ccc1C[N@H+](C)CCN1CC[NH+](C)CC1 ZINC001144340667 766866577 /nfs/dbraw/zinc/86/65/77/766866577.db2.gz NAAVCJOFCHSTOF-UHFFFAOYSA-N 0 2 308.426 0.871 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H](O)c1cccc(Cl)c1 ZINC001144833210 767057427 /nfs/dbraw/zinc/05/74/27/767057427.db2.gz KKQSMOITCXUCPD-GMSGAONNSA-N 0 2 300.723 0.799 20 0 DCADLN CCc1noc(C[NH2+]C2CC(CNC(=O)c3nnc[nH]3)C2)n1 ZINC001091289011 767085906 /nfs/dbraw/zinc/08/59/06/767085906.db2.gz HWNBALRRPIWSSJ-UHFFFAOYSA-N 0 2 305.342 0.048 20 0 DCADLN C[C@H](CN(C)C(=O)Cc1c[nH+]c[nH]1)Nc1ncnc2nc[nH]c21 ZINC001120712425 767156501 /nfs/dbraw/zinc/15/65/01/767156501.db2.gz NCLAPHLUYIGFKG-SECBINFHSA-N 0 2 314.353 0.578 20 0 DCADLN CC(C)C(=O)N1CCC(OC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001093506877 768589177 /nfs/dbraw/zinc/58/91/77/768589177.db2.gz MJJSPZXSOXAIRZ-UHFFFAOYSA-N 0 2 323.397 0.358 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)COC1CCCC1 ZINC001230900163 768904699 /nfs/dbraw/zinc/90/46/99/768904699.db2.gz QKBCTIIUVHFQSM-LLVKDONJSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCC(F)(F)F ZINC001231410261 769261372 /nfs/dbraw/zinc/26/13/72/769261372.db2.gz DWZPHLKHKQIMOU-MRVPVSSYSA-N 0 2 321.303 0.886 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[NH2+][C@H]1CCC[C@@H](C(=O)OC)C1 ZINC001172595020 769486066 /nfs/dbraw/zinc/48/60/66/769486066.db2.gz QEPCTOLIILKPKT-VWYCJHECSA-N 0 2 301.339 0.714 20 0 DCADLN COC(=O)c1n[nH]c(C(=O)OC)c1OC1=C(C)[C@@H](C)OC1=O ZINC001234191262 769579502 /nfs/dbraw/zinc/57/95/02/769579502.db2.gz YGNMSRWVYDAMRO-ZCFIWIBFSA-N 0 2 310.262 0.581 20 0 DCADLN CC[N@H+](CCn1cccn1)[C@H](C)CNC(=O)c1n[nH]c(C)c1[O-] ZINC001152834703 769651920 /nfs/dbraw/zinc/65/19/20/769651920.db2.gz DHYMLLDKRQGUMU-LLVKDONJSA-N 0 2 320.397 0.761 20 0 DCADLN CC[N@@H+](CCn1cccn1)[C@H](C)CNC(=O)c1n[nH]c(C)c1[O-] ZINC001152834703 769651925 /nfs/dbraw/zinc/65/19/25/769651925.db2.gz DHYMLLDKRQGUMU-LLVKDONJSA-N 0 2 320.397 0.761 20 0 DCADLN COc1cc(NS(=O)(=O)c2cncc(N)c2)c(OC)nn1 ZINC001174352260 769750493 /nfs/dbraw/zinc/75/04/93/769750493.db2.gz QHOVIWMNTFUYGQ-UHFFFAOYSA-N 0 2 311.323 0.272 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCC(=O)C(F)(F)C1 ZINC001153856283 769964518 /nfs/dbraw/zinc/96/45/18/769964518.db2.gz UBAYRRYQTPHLKN-UHFFFAOYSA-N 0 2 307.256 0.973 20 0 DCADLN C/C=C/C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OCC ZINC001153942800 770012643 /nfs/dbraw/zinc/01/26/43/770012643.db2.gz FFUPPGPTKCSNIZ-AFRAPRFESA-N 0 2 313.379 0.557 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H](O)c1ccc2c(c1)CCO2 ZINC001182661335 771532111 /nfs/dbraw/zinc/53/21/11/771532111.db2.gz DBCPQXYLTQYMBJ-JOYOIKCWSA-N 0 2 308.315 0.080 20 0 DCADLN O=C(Nc1cccnc1OC1COC1)C(CO)C(F)(F)F ZINC001183280258 771626853 /nfs/dbraw/zinc/62/68/53/771626853.db2.gz PJMNZHWKTIVPGQ-MRVPVSSYSA-N 0 2 306.240 0.969 20 0 DCADLN O=C(Nc1cccnc1OC1COC1)[C@@H](CO)C(F)(F)F ZINC001183280258 771626856 /nfs/dbraw/zinc/62/68/56/771626856.db2.gz PJMNZHWKTIVPGQ-MRVPVSSYSA-N 0 2 306.240 0.969 20 0 DCADLN CN(C)C(=O)CCCC(=O)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000072844665 771695303 /nfs/dbraw/zinc/69/53/03/771695303.db2.gz TYXCNHBJDKLQBL-UHFFFAOYSA-N 0 2 321.381 0.308 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cccnc2Cl)ccn1 ZINC001184836515 771833756 /nfs/dbraw/zinc/83/37/56/771833756.db2.gz XVPKUHGIUCIMCS-UHFFFAOYSA-N 0 2 312.738 0.452 20 0 DCADLN CCSCCO[C@@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001205342293 772002089 /nfs/dbraw/zinc/00/20/89/772002089.db2.gz GWHPNAOOYLJPGP-NSHDSACASA-N 0 2 313.441 0.345 20 0 DCADLN CC(=O)c1cccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)c1 ZINC001189201468 772435123 /nfs/dbraw/zinc/43/51/23/772435123.db2.gz YYTGVMYMZUTCKS-UHFFFAOYSA-N 0 2 320.330 0.579 20 0 DCADLN COc1ccc(-n2cc(C(=O)NCc3n[nH]c(=O)[nH]3)cn2)cc1 ZINC001189735097 772527136 /nfs/dbraw/zinc/52/71/36/772527136.db2.gz IVSWABLAXNSIET-UHFFFAOYSA-N 0 2 314.305 0.635 20 0 DCADLN COCC[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001190272100 772613102 /nfs/dbraw/zinc/61/31/02/772613102.db2.gz SDBDVPRDBBOEBM-GRYCIOLGSA-N 0 2 323.397 0.216 20 0 DCADLN COCC[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001190272100 772613104 /nfs/dbraw/zinc/61/31/04/772613104.db2.gz SDBDVPRDBBOEBM-GRYCIOLGSA-N 0 2 323.397 0.216 20 0 DCADLN CN1CCOc2cc(NS(=O)(=O)c3cncc(N)c3)cnc21 ZINC001203489029 772908568 /nfs/dbraw/zinc/90/85/68/772908568.db2.gz RFZNEDMWBUSEBF-UHFFFAOYSA-N 0 2 321.362 0.688 20 0 DCADLN C[C@]1(CO)CCN(C(=O)c2ccc(F)c(F)c2O)C[C@H]1O ZINC001192797909 772961945 /nfs/dbraw/zinc/96/19/45/772961945.db2.gz WHQOLRZKVDKCJM-QMTHXVAHSA-N 0 2 301.289 0.876 20 0 DCADLN CC(=O)Nc1cc(NS(=O)(=O)C[C@@H]2CCCO2)ncn1 ZINC001193206351 773024900 /nfs/dbraw/zinc/02/49/00/773024900.db2.gz CHFSTHYONMXGFD-VIFPVBQESA-N 0 2 300.340 0.356 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206378841 773071329 /nfs/dbraw/zinc/07/13/29/773071329.db2.gz PKNNTFLAZPVTTE-MXWKQRLJSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206378841 773071333 /nfs/dbraw/zinc/07/13/33/773071333.db2.gz PKNNTFLAZPVTTE-MXWKQRLJSA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2nc(OC)cnc2Cl)[nH]n1 ZINC001194284180 773175802 /nfs/dbraw/zinc/17/58/02/773175802.db2.gz UBWJTCKBOSMLAV-UHFFFAOYSA-N 0 2 311.685 0.901 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2nc(OC)cnc2Cl)n[nH]1 ZINC001194284180 773175803 /nfs/dbraw/zinc/17/58/03/773175803.db2.gz UBWJTCKBOSMLAV-UHFFFAOYSA-N 0 2 311.685 0.901 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208289415 773424509 /nfs/dbraw/zinc/42/45/09/773424509.db2.gz SDLNBBHTTLGRQQ-GMTAPVOTSA-N 0 2 311.386 0.262 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208289415 773424511 /nfs/dbraw/zinc/42/45/11/773424511.db2.gz SDLNBBHTTLGRQQ-GMTAPVOTSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1c(Br)n[nH]c1C(=O)N=c1ncnc2[nH][nH]cc1-2 ZINC001195591837 773439624 /nfs/dbraw/zinc/43/96/24/773439624.db2.gz QFNHXTNTKIZXBX-UHFFFAOYSA-N 0 2 322.126 0.773 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cnc2c(c1)COCC2 ZINC001195930992 773504509 /nfs/dbraw/zinc/50/45/09/773504509.db2.gz WSSUPUREKRXMAU-UHFFFAOYSA-N 0 2 300.336 0.459 20 0 DCADLN O=S(=O)([O-])CCCC[NH+]1CCN(C[C@@H]2CCC=CO2)CC1 ZINC001208883826 773536672 /nfs/dbraw/zinc/53/66/72/773536672.db2.gz YSQQTOCHPYILKC-AWEZNQCLSA-N 0 2 318.439 0.965 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ccnc(C(N)=O)c2)c(F)c1 ZINC001196353711 773583271 /nfs/dbraw/zinc/58/32/71/773583271.db2.gz ZYADHKRAHMHBQL-UHFFFAOYSA-N 0 2 309.322 0.851 20 0 DCADLN C[C@H](CC(F)F)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001196558149 773617287 /nfs/dbraw/zinc/61/72/87/773617287.db2.gz RLMBMBCASZILIG-SECBINFHSA-N 0 2 317.340 0.836 20 0 DCADLN Cn1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(F)C(F)(F)F)nn1 ZINC001114311664 773680073 /nfs/dbraw/zinc/68/00/73/773680073.db2.gz RCEAVYXDGCFAPN-JLIMGVALSA-N 0 2 321.278 0.262 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ncn(C)n2)ccc1O ZINC001197200347 773713692 /nfs/dbraw/zinc/71/36/92/773713692.db2.gz FZMVXZPRUKURIA-UHFFFAOYSA-N 0 2 312.307 0.108 20 0 DCADLN CCCCOCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)Cn2ccnc2C)C1 ZINC001198678750 773964306 /nfs/dbraw/zinc/96/43/06/773964306.db2.gz DBSSGIGLGYVEGZ-HUUCEWRRSA-N 0 2 324.425 0.170 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(OCC(F)(F)F)ccn1 ZINC001199026925 774016148 /nfs/dbraw/zinc/01/61/48/774016148.db2.gz CWEXDBLBEDUPFU-UHFFFAOYSA-N 0 2 317.227 0.776 20 0 DCADLN COCCOc1ccnc(C(=O)Nc2noc3nccnc23)n1 ZINC001199189222 774051167 /nfs/dbraw/zinc/05/11/67/774051167.db2.gz CGCADNGRBIQMCY-UHFFFAOYSA-N 0 2 316.277 0.685 20 0 DCADLN O=c1cc(I)nc(OC[C@H]2C[C@@H](O)C2)[nH]1 ZINC001218433312 774225161 /nfs/dbraw/zinc/22/51/61/774225161.db2.gz JKKLBXUTOYAINL-OLQVQODUSA-N 0 2 322.102 0.937 20 0 DCADLN COC(=O)c1cc(OC)ccc1NC(=O)[C@@H]([NH3+])Cc1c[nH+]c[nH]1 ZINC001218817972 774310563 /nfs/dbraw/zinc/31/05/63/774310563.db2.gz KUGBWDRIRHHMSP-LBPRGKRZSA-N 0 2 318.333 0.713 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1cccc(C)c1F)C(=O)OC ZINC001200845936 774416195 /nfs/dbraw/zinc/41/61/95/774416195.db2.gz KTKGHFVGGLXQFF-UHFFFAOYSA-N 0 2 319.310 0.127 20 0 DCADLN O=C(CCc1cnn[nH]1)N[C@@H]1C[N@@H+](Cc2ccccc2)C[C@@H]1O ZINC001220389354 774791279 /nfs/dbraw/zinc/79/12/79/774791279.db2.gz FYIYQEYMHCIWRG-CABCVRRESA-N 0 2 315.377 0.099 20 0 DCADLN COCC(=O)N(C)CCCN(C)C(=O)C(F)C(F)(F)F ZINC001066635142 774929426 /nfs/dbraw/zinc/92/94/26/774929426.db2.gz NYHOFDWKUYMPFR-VIFPVBQESA-N 0 2 302.268 0.840 20 0 DCADLN COCC(=O)N(C)CCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001066635142 774929432 /nfs/dbraw/zinc/92/94/32/774929432.db2.gz NYHOFDWKUYMPFR-VIFPVBQESA-N 0 2 302.268 0.840 20 0 DCADLN O=C(CC[C@H]1CCOC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226857326 775885887 /nfs/dbraw/zinc/88/58/87/775885887.db2.gz AOBVXOCNXIVXNV-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CCOC(=O)[C@H]1C[C@@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)C1 ZINC001226886814 775890584 /nfs/dbraw/zinc/89/05/84/775890584.db2.gz TYTAKUAMAXHOFB-OLQVQODUSA-N 0 2 322.277 0.257 20 0 DCADLN CCOC(=O)[C@@H](Oc1[nH]c(=O)nc2c(=O)[nH]c(=O)[nH]c12)C1CC1 ZINC001226886537 775891337 /nfs/dbraw/zinc/89/13/37/775891337.db2.gz PBULOFORDOAOPT-QMMMGPOBSA-N 0 2 322.277 0.257 20 0 DCADLN CC(C)[N@@H+]1CCC[C@@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226886943 775892172 /nfs/dbraw/zinc/89/21/72/775892172.db2.gz WNMPSRDSKFZQFZ-MRVPVSSYSA-N 0 2 321.337 0.788 20 0 DCADLN CC(C)[N@H+]1CCC[C@@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226886943 775892177 /nfs/dbraw/zinc/89/21/77/775892177.db2.gz WNMPSRDSKFZQFZ-MRVPVSSYSA-N 0 2 321.337 0.788 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[NH2+]C[C@](C)(F)C2)oc1C(=O)[O-] ZINC001600295160 1168158501 /nfs/dbraw/zinc/15/85/01/1168158501.db2.gz QKXAKMNTOTWXMH-LBPRGKRZSA-N 0 2 320.342 0.608 20 0 DCADLN CC1SC(C(=O)N2CC[N@@H+](CCC(=O)[O-])C[C@H]2C)=NC1=O ZINC001600865736 1168492395 /nfs/dbraw/zinc/49/23/95/1168492395.db2.gz QEYJIIBIPFRGBJ-MRVPVSSYSA-N 0 2 313.379 0.778 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CC12CCSCC2 ZINC001601662866 1168808168 /nfs/dbraw/zinc/80/81/68/1168808168.db2.gz LONKZHPRZPJVDV-WDEREUQCSA-N 0 2 309.391 0.986 20 0 DCADLN CC(C)c1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001480932394 939421885 /nfs/dbraw/zinc/42/18/85/939421885.db2.gz YRNPSXIRKGXQKW-UHFFFAOYSA-N 0 2 321.385 0.229 20 0 DCADLN CC(C)c1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001480932394 939421886 /nfs/dbraw/zinc/42/18/86/939421886.db2.gz YRNPSXIRKGXQKW-UHFFFAOYSA-N 0 2 321.385 0.229 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1nncn1C ZINC001480975951 939507264 /nfs/dbraw/zinc/50/72/64/939507264.db2.gz OSHDNOROTAROGK-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1nncn1C ZINC001480975951 939507267 /nfs/dbraw/zinc/50/72/67/939507267.db2.gz OSHDNOROTAROGK-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN CCOCCCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001268159063 939969017 /nfs/dbraw/zinc/96/90/17/939969017.db2.gz HSBDZWZSBTWEOU-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CN(C(=O)C[C@](C)(O)C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481723019 940088085 /nfs/dbraw/zinc/08/80/85/940088085.db2.gz PZCJGTSXNWYAAA-ABAIWWIYSA-N 0 2 323.397 0.094 20 0 DCADLN CN(C(=O)C[C@](C)(O)C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481723019 940088088 /nfs/dbraw/zinc/08/80/88/940088088.db2.gz PZCJGTSXNWYAAA-ABAIWWIYSA-N 0 2 323.397 0.094 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)C[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001482103484 940257650 /nfs/dbraw/zinc/25/76/50/940257650.db2.gz UTPSUVRWBVVBCR-IYSWYEEDSA-N 0 2 313.255 0.253 20 0 DCADLN CC1(C)CC[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363709823 940909300 /nfs/dbraw/zinc/90/93/00/940909300.db2.gz ZESCWMWMRNRCQT-MRVPVSSYSA-N 0 2 300.384 0.402 20 0 DCADLN NC(=O)[C@H]1CC12CN(C(=O)c1csc3c1[nH]cnc3=O)C2 ZINC001270703092 940968739 /nfs/dbraw/zinc/96/87/39/940968739.db2.gz DLJOFAWTQGESLB-SSDOTTSWSA-N 0 2 304.331 0.344 20 0 DCADLN Cc1cc(Cn2nnnc2N2CCO[C@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001600222486 970779002 /nfs/dbraw/zinc/77/90/02/970779002.db2.gz MCPUDMGTMCCKPC-LBPRGKRZSA-N 0 2 318.337 0.023 20 0 DCADLN CN1C[C@@]2(F)CN(C(=O)CC(=O)c3ccccc3)C[C@@]2(F)C1=O ZINC001271007585 941239292 /nfs/dbraw/zinc/23/92/92/941239292.db2.gz BZLWEWUCQSKLDO-HZPDHXFCSA-N 0 2 322.311 0.990 20 0 DCADLN COCC[C@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409061894 941301782 /nfs/dbraw/zinc/30/17/82/941301782.db2.gz XUYRJQUBRDBPBD-CBAPKCEASA-N 0 2 314.279 0.886 20 0 DCADLN COCC[C@H](C)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409061894 941301784 /nfs/dbraw/zinc/30/17/84/941301784.db2.gz XUYRJQUBRDBPBD-CBAPKCEASA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H](F)C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001272008929 941515107 /nfs/dbraw/zinc/51/51/07/941515107.db2.gz IXQODCHULSRHOR-LURJTMIESA-N 0 2 309.257 0.553 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N[C@@H]2C[N@@H+](CC(C)C)C[C@@H]2O)c[nH+]1 ZINC001083563250 941943637 /nfs/dbraw/zinc/94/36/37/941943637.db2.gz GLCKGOFMHCVFTI-KGLIPLIRSA-N 0 2 308.426 0.744 20 0 DCADLN C[C@@H](CNC(=O)[C@@]1(C)CCCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409636528 942111534 /nfs/dbraw/zinc/11/15/34/942111534.db2.gz CHILWBZSNQTLES-HZMBPMFUSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)[C@@]1(C)CCCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409636528 942111536 /nfs/dbraw/zinc/11/15/36/942111536.db2.gz CHILWBZSNQTLES-HZMBPMFUSA-N 0 2 311.386 0.264 20 0 DCADLN CS(=O)(=O)C[C@H]1CCCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001412988697 942177917 /nfs/dbraw/zinc/17/79/17/942177917.db2.gz CDKZEVVVYLJDSJ-GFCCVEGCSA-N 0 2 324.406 0.422 20 0 DCADLN CS[C@H](C)CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483327738 942270220 /nfs/dbraw/zinc/27/02/20/942270220.db2.gz LLJXJTMRPXIILQ-DTWKUNHWSA-N 0 2 301.416 0.588 20 0 DCADLN CS[C@H](C)CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483327738 942270223 /nfs/dbraw/zinc/27/02/23/942270223.db2.gz LLJXJTMRPXIILQ-DTWKUNHWSA-N 0 2 301.416 0.588 20 0 DCADLN C[C@@H]([NH2+]C[C@@H](NC(=O)CCn1cc[nH+]c1)C1CC1)C(=O)N(C)C ZINC001483578277 942437921 /nfs/dbraw/zinc/43/79/21/942437921.db2.gz BVSKEFVJTCAFSS-TZMCWYRMSA-N 0 2 321.425 0.234 20 0 DCADLN CCc1nc(CNCc2nnc(CS(C)(=O)=O)[nH]2)cs1 ZINC001413161124 942537863 /nfs/dbraw/zinc/53/78/63/942537863.db2.gz KAHAYYPCFULKPJ-UHFFFAOYSA-N 0 2 315.424 0.658 20 0 DCADLN C[C@H]1CCn2cc(C(=O)NCc3nc(O)cc(=O)[nH]3)nc2C1 ZINC001413344181 942910442 /nfs/dbraw/zinc/91/04/42/942910442.db2.gz QFMAQOVWUORPSM-QMMMGPOBSA-N 0 2 303.322 0.597 20 0 DCADLN CCc1nc(CNS(=O)(=O)c2cc(OC)ccc2O)n[nH]1 ZINC001413361914 942925703 /nfs/dbraw/zinc/92/57/03/942925703.db2.gz UFUYVORSPIKTOT-UHFFFAOYSA-N 0 2 312.351 0.560 20 0 DCADLN COCC(=O)N(C)C1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001413538248 943056613 /nfs/dbraw/zinc/05/66/13/943056613.db2.gz GQUUTRXMSUPIFU-QXUHLLMWSA-N 0 2 300.252 0.639 20 0 DCADLN COCC(=O)N(C)C1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001413538248 943056621 /nfs/dbraw/zinc/05/66/21/943056621.db2.gz GQUUTRXMSUPIFU-QXUHLLMWSA-N 0 2 300.252 0.639 20 0 DCADLN C[C@H]1Cc2n[nH]cc2CN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC001413549093 943064649 /nfs/dbraw/zinc/06/46/49/943064649.db2.gz CRIQUEPFOGXLAX-OIBJUYFYSA-N 0 2 307.379 0.487 20 0 DCADLN C[N@H+](CC(N)=O)Cc1ccccc1CNC(=O)Cc1c[nH]cn1 ZINC001484558081 943452491 /nfs/dbraw/zinc/45/24/91/943452491.db2.gz ZAKOILMZXSAEKB-UHFFFAOYSA-N 0 2 315.377 0.186 20 0 DCADLN O=C(c1nn(-c2ccccc2)cc1[O-])N1CC([NH2+]C2COC2)C1 ZINC001413734015 943613654 /nfs/dbraw/zinc/61/36/54/943613654.db2.gz SHFRXKAFPCVTFE-UHFFFAOYSA-N 0 2 314.345 0.391 20 0 DCADLN CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)Cn2cc[nH+]c2C)C[C@@H]1C ZINC001484986909 943645563 /nfs/dbraw/zinc/64/55/63/943645563.db2.gz VUDCMSXQEOJBOG-GXTWGEPZSA-N 0 2 321.425 0.297 20 0 DCADLN O=C(Cc1ccc(=O)[nH]c1)NCCNC(=O)C(F)C(F)(F)F ZINC001491354269 943935500 /nfs/dbraw/zinc/93/55/00/943935500.db2.gz YKVNDUPBEMDVBQ-SNVBAGLBSA-N 0 2 323.246 0.463 20 0 DCADLN O=C(Cc1ccc(=O)[nH]c1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491354269 943935503 /nfs/dbraw/zinc/93/55/03/943935503.db2.gz YKVNDUPBEMDVBQ-SNVBAGLBSA-N 0 2 323.246 0.463 20 0 DCADLN CN(C[C@H](O)c1cccnc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC001413778163 944085397 /nfs/dbraw/zinc/08/53/97/944085397.db2.gz VKGQRDIHIQABPM-UWVGGRQHSA-N 0 2 308.363 0.130 20 0 DCADLN CC(C)COCCC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001276792230 944300508 /nfs/dbraw/zinc/30/05/08/944300508.db2.gz FHQMKIGFWPLZSZ-LLVKDONJSA-N 0 2 311.386 0.264 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001281257982 944313485 /nfs/dbraw/zinc/31/34/85/944313485.db2.gz DECQLCXOKNVKRH-DTHNXCEOSA-N 0 2 314.279 0.789 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001281257982 944313490 /nfs/dbraw/zinc/31/34/90/944313490.db2.gz DECQLCXOKNVKRH-DTHNXCEOSA-N 0 2 314.279 0.789 20 0 DCADLN NC(=O)c1cn2c(n1)CN(C(=O)c1ccc(O)c(F)c1F)CC2 ZINC001276858999 944331449 /nfs/dbraw/zinc/33/14/49/944331449.db2.gz VCERHRAPJGDWOV-UHFFFAOYSA-N 0 2 322.271 0.622 20 0 DCADLN CC(C)c1nnc([C@@H](C)NC(=O)CC[C@@H]2NC(=O)NC2=O)[nH]1 ZINC001319817251 945672571 /nfs/dbraw/zinc/67/25/71/945672571.db2.gz PDLLSDWVLKIDDF-SFYZADRCSA-N 0 2 308.342 0.094 20 0 DCADLN Cc1ccnc(C[NH2+]CC[C@@H](C)NC(=O)CCc2cnn[nH]2)n1 ZINC001320552507 945922866 /nfs/dbraw/zinc/92/28/66/945922866.db2.gz HOHORVGCJAYTTF-GFCCVEGCSA-N 0 2 317.397 0.520 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001410323164 946187663 /nfs/dbraw/zinc/18/76/63/946187663.db2.gz QBMQDMNKGJGGNR-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001410323164 946187671 /nfs/dbraw/zinc/18/76/71/946187671.db2.gz QBMQDMNKGJGGNR-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN Cc1nn(C)cc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001410382540 946283888 /nfs/dbraw/zinc/28/38/88/946283888.db2.gz DTJCXQLKWNOIKC-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1nn(C)cc1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001410382540 946283893 /nfs/dbraw/zinc/28/38/93/946283893.db2.gz DTJCXQLKWNOIKC-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN CCCc1cnc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)s1 ZINC001255778428 946547568 /nfs/dbraw/zinc/54/75/68/946547568.db2.gz CCHUPRHCJYATAF-UHFFFAOYSA-N 0 2 310.339 0.174 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccnnc1)C(F)C(F)(F)F ZINC001410573932 946582319 /nfs/dbraw/zinc/58/23/19/946582319.db2.gz NAAJTAJHUICRHT-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccnnc1)[C@@H](F)C(F)(F)F ZINC001410573932 946582325 /nfs/dbraw/zinc/58/23/25/946582325.db2.gz NAAJTAJHUICRHT-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc(F)c(F)c2)S1 ZINC001259092000 946913510 /nfs/dbraw/zinc/91/35/10/946913510.db2.gz OLAALXAWYSIHFN-QMMMGPOBSA-N 0 2 308.287 0.552 20 0 DCADLN COC(=O)C1(NS(=O)(=O)CCc2ccc(F)cc2)COC1 ZINC001259763133 946981913 /nfs/dbraw/zinc/98/19/13/946981913.db2.gz RGSJUSMSAXWGPD-UHFFFAOYSA-N 0 2 317.338 0.230 20 0 DCADLN COC(=O)C1(NS(=O)(=O)CCc2cccc(F)c2)COC1 ZINC001259776141 946982473 /nfs/dbraw/zinc/98/24/73/946982473.db2.gz AMIXFBNXCUGWOV-UHFFFAOYSA-N 0 2 317.338 0.230 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3nn(CCO)cc3c2)cn1 ZINC001259826510 946990698 /nfs/dbraw/zinc/99/06/98/946990698.db2.gz WWMHJNLJMMHCOY-UHFFFAOYSA-N 0 2 321.362 0.563 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc3c(c2)CCC3)S1 ZINC001259862508 947002588 /nfs/dbraw/zinc/00/25/88/947002588.db2.gz UUJSMNYAOQKXFI-NSHDSACASA-N 0 2 312.372 0.763 20 0 DCADLN CC(C)OCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001410889578 947088646 /nfs/dbraw/zinc/08/86/46/947088646.db2.gz DCRMQZVYBSRLQL-JTQLQIEISA-N 0 2 314.279 0.981 20 0 DCADLN CC(C)OCC(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001410889578 947088658 /nfs/dbraw/zinc/08/86/58/947088658.db2.gz DCRMQZVYBSRLQL-JTQLQIEISA-N 0 2 314.279 0.981 20 0 DCADLN O=S(=O)(Nc1ccnn1Cc1ccccn1)c1cn[nH]c1 ZINC001260955354 947156720 /nfs/dbraw/zinc/15/67/20/947156720.db2.gz YZQCITMQHSGTJI-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN NC(=O)c1cccc(SCc2cc(=O)n3[nH]c(N)nc3n2)c1 ZINC001323916475 947254468 /nfs/dbraw/zinc/25/44/68/947254468.db2.gz JKQDUMVUQZFEAJ-UHFFFAOYSA-N 0 2 316.346 0.391 20 0 DCADLN NC(=O)c1ccccc1CCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001324966558 947592893 /nfs/dbraw/zinc/59/28/93/947592893.db2.gz KLCZHUUSPSPELL-UHFFFAOYSA-N 0 2 317.349 0.291 20 0 DCADLN C/C(=C\C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001326617606 948219031 /nfs/dbraw/zinc/21/90/31/948219031.db2.gz QVQNEKCAIQFZIA-JXPAYYINSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001487978120 948400207 /nfs/dbraw/zinc/40/02/07/948400207.db2.gz RIVQZDBPDHGOIQ-IUCAKERBSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)C1=CCCC1 ZINC001487978120 948400214 /nfs/dbraw/zinc/40/02/14/948400214.db2.gz RIVQZDBPDHGOIQ-IUCAKERBSA-N 0 2 312.263 0.590 20 0 DCADLN CO[C@@H](CS(=O)(=O)NNc1nncc(N)c1Cl)C1CC1 ZINC001328379020 948865236 /nfs/dbraw/zinc/86/52/36/948865236.db2.gz JZBORADZIXPGDU-QMMMGPOBSA-N 0 2 321.790 0.384 20 0 DCADLN CCn1cc(C[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])nn1 ZINC001264977851 949132310 /nfs/dbraw/zinc/13/23/10/949132310.db2.gz WYBPSBDXEIXXDL-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CCn1cc(C[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])nn1 ZINC001264977851 949132323 /nfs/dbraw/zinc/13/23/23/949132323.db2.gz WYBPSBDXEIXXDL-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CN(C)[S@@](C)(=O)=NS(=O)(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001364797885 949959235 /nfs/dbraw/zinc/95/92/35/949959235.db2.gz WBQNMWWTAZEOQV-HOGDKLEQSA-N 0 2 321.428 0.196 20 0 DCADLN Cc1nc([C@H]2CCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)no1 ZINC001364844597 950056962 /nfs/dbraw/zinc/05/69/62/950056962.db2.gz YLJLSSAJRLTAJZ-JGVFFNPUSA-N 0 2 309.351 0.250 20 0 DCADLN C[C@H](NC(=O)[C@]1(C)C=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001330037984 950078304 /nfs/dbraw/zinc/07/83/04/950078304.db2.gz IUKRUNUJOCIUFI-ZUZCIYMTSA-N 0 2 305.382 0.803 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cc(F)ccc2OC(F)F)n1 ZINC001364915138 950181807 /nfs/dbraw/zinc/18/18/07/950181807.db2.gz ODBYRANUAYEBAQ-UHFFFAOYSA-N 0 2 323.256 0.751 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CS[C@]2(C)CCC(=O)N12)c1nn(C)cc1O ZINC001365119580 950553139 /nfs/dbraw/zinc/55/31/39/950553139.db2.gz JWVMANYHYOSPSN-UINNMSKDSA-N 0 2 324.406 0.757 20 0 DCADLN CCN(CC(C)C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365440158 951130009 /nfs/dbraw/zinc/13/00/09/951130009.db2.gz WUCPFAKTMURPPI-UHFFFAOYSA-N 0 2 317.415 0.537 20 0 DCADLN C[C@@H](CNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C)C(=O)[O-] ZINC001331509044 951148756 /nfs/dbraw/zinc/14/87/56/951148756.db2.gz HTNIJTRDECIVIZ-GWCFXTLKSA-N 0 2 319.361 0.126 20 0 DCADLN C[C@@H](CNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C)C(=O)[O-] ZINC001331509044 951148763 /nfs/dbraw/zinc/14/87/63/951148763.db2.gz HTNIJTRDECIVIZ-GWCFXTLKSA-N 0 2 319.361 0.126 20 0 DCADLN CCOc1ccncc1S(=O)(=O)Nc1nnn(C(C)C)n1 ZINC001365465033 951156759 /nfs/dbraw/zinc/15/67/59/951156759.db2.gz FAEMDYIIZPABCW-UHFFFAOYSA-N 0 2 312.355 0.849 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[N@H+](C)CC1(C)C ZINC001365467306 951161329 /nfs/dbraw/zinc/16/13/29/951161329.db2.gz PIMFHWIIGFVABB-JTQLQIEISA-N 0 2 311.473 0.669 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[N@@H+](C)CC1(C)C ZINC001365467306 951161334 /nfs/dbraw/zinc/16/13/34/951161334.db2.gz PIMFHWIIGFVABB-JTQLQIEISA-N 0 2 311.473 0.669 20 0 DCADLN Cc1cc(C)c(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC001365624191 951339327 /nfs/dbraw/zinc/33/93/27/951339327.db2.gz APYDVOXALNCUOE-UHFFFAOYSA-N 0 2 305.338 0.678 20 0 DCADLN O=C(CNS(=O)(=O)N=S1(=O)CCCC1)NC1CCCC1 ZINC001365632242 951351150 /nfs/dbraw/zinc/35/11/50/951351150.db2.gz SBHSVGWVVSZHNZ-UHFFFAOYSA-N 0 2 323.440 0.141 20 0 DCADLN CCCCN(CC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365671134 951412679 /nfs/dbraw/zinc/41/26/79/951412679.db2.gz XXGZIKCJMGNFEA-UHFFFAOYSA-N 0 2 317.415 0.681 20 0 DCADLN C[C@H]1C[C@H](NC(=O)C(=O)C(C)(C)C)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001281657149 951583973 /nfs/dbraw/zinc/58/39/73/951583973.db2.gz BSLGJVRZBWQKKI-VHSXEESVSA-N 0 2 323.397 0.595 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001411946988 952560496 /nfs/dbraw/zinc/56/04/96/952560496.db2.gz OQNIMELVEPEFDR-MWLCHTKSSA-N 0 2 318.333 0.109 20 0 DCADLN O=C(NC[C@@H]1[C@H]2CN(C(=O)C(F)F)C[C@H]21)C(F)C(F)(F)F ZINC001412101721 952643767 /nfs/dbraw/zinc/64/37/67/952643767.db2.gz VCCZNRTXEOFVRD-YTLHQDLWSA-N 0 2 318.217 0.973 20 0 DCADLN O=C(NC[C@@H]1[C@H]2CN(C(=O)C(F)F)C[C@H]21)[C@H](F)C(F)(F)F ZINC001412101721 952643770 /nfs/dbraw/zinc/64/37/70/952643770.db2.gz VCCZNRTXEOFVRD-YTLHQDLWSA-N 0 2 318.217 0.973 20 0 DCADLN CC1(C)OCC[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412143412 952663345 /nfs/dbraw/zinc/66/33/45/952663345.db2.gz AOAHJQFYOQGRCK-NSHDSACASA-N 0 2 318.333 0.564 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001412211180 952697198 /nfs/dbraw/zinc/69/71/98/952697198.db2.gz QHCKGHPJKKKNMI-XVYDVKMFSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001412211180 952697201 /nfs/dbraw/zinc/69/72/01/952697201.db2.gz QHCKGHPJKKKNMI-XVYDVKMFSA-N 0 2 322.262 0.935 20 0 DCADLN COC1(CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCC1 ZINC001412241710 952712035 /nfs/dbraw/zinc/71/20/35/952712035.db2.gz FBXYMVYMPUPOMV-UHFFFAOYSA-N 0 2 318.333 0.565 20 0 DCADLN CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2c[nH+]cn2C)n1 ZINC001412325842 952749430 /nfs/dbraw/zinc/74/94/30/952749430.db2.gz GCWCBSBGLJCVHE-VIFPVBQESA-N 0 2 320.353 0.525 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnc2[nH]ccc2c1 ZINC001412560629 952898358 /nfs/dbraw/zinc/89/83/58/952898358.db2.gz AELWZUSZBFADSI-UHFFFAOYSA-N 0 2 309.289 0.538 20 0 DCADLN C[C@H]1CCC[C@]1(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412681816 952990139 /nfs/dbraw/zinc/99/01/39/952990139.db2.gz AAXMXQZHGVMQRI-ZANVPECISA-N 0 2 314.411 0.792 20 0 DCADLN C[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)(C)C ZINC001412685008 952992694 /nfs/dbraw/zinc/99/26/94/952992694.db2.gz IWKHUMKGPIHEJE-MRVPVSSYSA-N 0 2 302.400 0.648 20 0 DCADLN CC(C)CN1C[C@@H](C(=O)N[C@@H](C)c2nn(C)cc2O)CC1=O ZINC001412759572 953076998 /nfs/dbraw/zinc/07/69/98/953076998.db2.gz VIOHJIMPMWJJPH-QWRGUYRKSA-N 0 2 308.382 0.807 20 0 DCADLN C/C(=C\C(=O)NCc1ccc(C(=O)[O-])o1)C[NH+]1CCOCC1 ZINC001339508126 953099074 /nfs/dbraw/zinc/09/90/74/953099074.db2.gz BWEDYGRKVRAYPJ-DHZHZOJOSA-N 0 2 308.334 0.873 20 0 DCADLN O=C([O-])c1cc(C(=O)NCCc2cn3c([nH+]2)CCCC3)[nH]n1 ZINC001594766046 953532270 /nfs/dbraw/zinc/53/22/70/953532270.db2.gz YKCOFTUVDWCJGK-UHFFFAOYSA-N 0 2 303.322 0.613 20 0 DCADLN C[C@@H]1CCN(C(=O)C(=O)N(C)CCC(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC001589332793 953957550 /nfs/dbraw/zinc/95/75/50/953957550.db2.gz QKNZQTZAHABQBU-VXGBXAGGSA-N 0 2 322.365 0.226 20 0 DCADLN C[C@H]1CCN(C(=O)C(=O)N(C)CCC(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC001589332792 953957604 /nfs/dbraw/zinc/95/76/04/953957604.db2.gz QKNZQTZAHABQBU-RYUDHWBXSA-N 0 2 322.365 0.226 20 0 DCADLN O=C(Cc1cncs1)NCCNC(=O)C(F)C(F)(F)F ZINC001283056734 953963625 /nfs/dbraw/zinc/96/36/25/953963625.db2.gz FERXLXHXUJHKNZ-QMMMGPOBSA-N 0 2 313.276 0.818 20 0 DCADLN O=C(Cc1cncs1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001283056734 953963630 /nfs/dbraw/zinc/96/36/30/953963630.db2.gz FERXLXHXUJHKNZ-QMMMGPOBSA-N 0 2 313.276 0.818 20 0 DCADLN C[C@H]1OCC[C@@]12C[N@H+](Cc1cc(C(=O)[O-])nn1C)C[C@@H](C)O2 ZINC001589399086 954399579 /nfs/dbraw/zinc/39/95/79/954399579.db2.gz MJIAZQNOVZQVAB-UEKVPHQBSA-N 0 2 309.366 0.887 20 0 DCADLN C[C@H]1OCC[C@@]12C[N@@H+](Cc1cc(C(=O)[O-])nn1C)C[C@@H](C)O2 ZINC001589399086 954399591 /nfs/dbraw/zinc/39/95/91/954399591.db2.gz MJIAZQNOVZQVAB-UEKVPHQBSA-N 0 2 309.366 0.887 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C)C(=O)[O-] ZINC001589400435 954420361 /nfs/dbraw/zinc/42/03/61/954420361.db2.gz QJRCDPWHGIOGOY-UPJWGTAASA-N 0 2 315.414 0.752 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C)C(=O)[O-] ZINC001589400435 954420367 /nfs/dbraw/zinc/42/03/67/954420367.db2.gz QJRCDPWHGIOGOY-UPJWGTAASA-N 0 2 315.414 0.752 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@@H](C)SC)Cc1n[nH]c(=O)[n-]1 ZINC001366609515 954509449 /nfs/dbraw/zinc/50/94/49/954509449.db2.gz YAWIORNMPWVEMH-SECBINFHSA-N 0 2 301.416 0.590 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@@H](C)SC)Cc1n[nH]c(=O)[n-]1 ZINC001366609515 954509454 /nfs/dbraw/zinc/50/94/54/954509454.db2.gz YAWIORNMPWVEMH-SECBINFHSA-N 0 2 301.416 0.590 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366613096 954516488 /nfs/dbraw/zinc/51/64/88/954516488.db2.gz NJWYGYCLCLMQQY-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366613096 954516498 /nfs/dbraw/zinc/51/64/98/954516498.db2.gz NJWYGYCLCLMQQY-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN O=C([O-])C1=NO[C@H](C(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC001594891091 954525783 /nfs/dbraw/zinc/52/57/83/954525783.db2.gz MBOJJMKRCVRDKR-ZDUSSCGKSA-N 0 2 303.318 0.348 20 0 DCADLN O=C([O-])C1=NO[C@@H](C(=O)NCc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001594892369 954545008 /nfs/dbraw/zinc/54/50/08/954545008.db2.gz SXEASZUMGPRNTN-CYBMUJFWSA-N 0 2 314.301 0.718 20 0 DCADLN CCC[N@H+](CCNC(=O)[C@H]1CCC[NH+]1C)Cc1cnnn1CC ZINC001366709774 954691095 /nfs/dbraw/zinc/69/10/95/954691095.db2.gz VLNFOLBHEZAUJN-OAHLLOKOSA-N 0 2 322.457 0.720 20 0 DCADLN O=C([O-])C1CCC(O)(C[NH+]2CCC(c3n[nH]c(=O)[nH]3)CC2)CC1 ZINC001594912448 954716980 /nfs/dbraw/zinc/71/69/80/954716980.db2.gz XBUXJQBNCWOCPN-UHFFFAOYSA-N 0 2 324.381 0.696 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@]1(C(=O)[O-])CCCO1 ZINC001593788419 954742782 /nfs/dbraw/zinc/74/27/82/954742782.db2.gz QZHHVVFFXKJOQW-CJNGLKHVSA-N 0 2 304.346 0.923 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@]1(C(=O)[O-])CCCO1 ZINC001593788419 954742793 /nfs/dbraw/zinc/74/27/93/954742793.db2.gz QZHHVVFFXKJOQW-CJNGLKHVSA-N 0 2 304.346 0.923 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](C)CC(=O)[O-] ZINC001603002712 972049369 /nfs/dbraw/zinc/04/93/69/972049369.db2.gz GMYVSAWDIKXZJG-ZYHUDNBSSA-N 0 2 324.381 0.463 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](C)CC(=O)[O-] ZINC001603002712 972049375 /nfs/dbraw/zinc/04/93/75/972049375.db2.gz GMYVSAWDIKXZJG-ZYHUDNBSSA-N 0 2 324.381 0.463 20 0 DCADLN CCOCCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001284258060 954962136 /nfs/dbraw/zinc/96/21/36/954962136.db2.gz XZOPTRXAWHELTJ-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCCC(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001284258060 954962145 /nfs/dbraw/zinc/96/21/45/954962145.db2.gz XZOPTRXAWHELTJ-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@H](CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001366972389 955139325 /nfs/dbraw/zinc/13/93/25/955139325.db2.gz BFHXYHWJWDQTAE-SSDOTTSWSA-N 0 2 321.303 0.647 20 0 DCADLN O=C([O-])/C=C/c1ccc(C[NH+]2CCN(c3nccnn3)CC2)o1 ZINC001350601658 955144714 /nfs/dbraw/zinc/14/47/14/955144714.db2.gz ZUSKBTMXOXDBEZ-ONEGZZNKSA-N 0 2 315.333 0.885 20 0 DCADLN O=C(CCC1CCOCC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366978959 955149834 /nfs/dbraw/zinc/14/98/34/955149834.db2.gz UPGBKKUCBAXLSW-UHFFFAOYSA-N 0 2 323.397 0.265 20 0 DCADLN Cc1nnsc1C[N@H+]1CC[C@@H](NC(=O)C[NH+](C)C(C)C)C1 ZINC001367037411 955244024 /nfs/dbraw/zinc/24/40/24/955244024.db2.gz FHNOEQHNSMWKLK-GFCCVEGCSA-N 0 2 311.455 0.877 20 0 DCADLN CN(CCCNC(=O)Cc1c[nH]c[nH+]1)C(=O)[C@@H]1CCCC[N@H+]1C ZINC001351959639 955475602 /nfs/dbraw/zinc/47/56/02/955475602.db2.gz ZREISQUCYCKDKJ-AWEZNQCLSA-N 0 2 321.425 0.401 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC001593863180 955562359 /nfs/dbraw/zinc/56/23/59/955562359.db2.gz DGYMJZRQQHVXBO-UWVGGRQHSA-N 0 2 311.338 0.357 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC001593863180 955562373 /nfs/dbraw/zinc/56/23/73/955562373.db2.gz DGYMJZRQQHVXBO-UWVGGRQHSA-N 0 2 311.338 0.357 20 0 DCADLN Cn1cc(C[NH+]2CCN(Cc3cc(C(=O)[O-])nn3C)CC2)cn1 ZINC001594542533 955663574 /nfs/dbraw/zinc/66/35/74/955663574.db2.gz AFGSQOLZDWYSJR-UHFFFAOYSA-N 0 2 318.381 0.170 20 0 DCADLN CC(C)[C@H](C(=O)[O-])C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001353926401 956230567 /nfs/dbraw/zinc/23/05/67/956230567.db2.gz PMSJEPTUJZOODW-AWEZNQCLSA-N 0 2 320.393 0.520 20 0 DCADLN C/C(=C\C(=O)N[C@@H](CC(=O)[O-])C(F)(F)F)C[NH+]1CCOCC1 ZINC001354071371 956274304 /nfs/dbraw/zinc/27/43/04/956274304.db2.gz KDHZYBXPEBCNMS-ZKXNXJMVSA-N 0 2 324.299 0.787 20 0 DCADLN O=C(NCCC1=CCN(C(=O)c2ccn[nH]2)CC1)c1cn[nH]n1 ZINC001288722191 956682983 /nfs/dbraw/zinc/68/29/83/956682983.db2.gz URRMEVYILSSEHP-UHFFFAOYSA-N 0 2 315.337 0.120 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(Cc2c[nH+]c3c(C)cccn23)CCO1 ZINC001593983582 956733776 /nfs/dbraw/zinc/73/37/76/956733776.db2.gz KBHGOCDHHPIDRZ-MRXNPFEDSA-N 0 2 319.361 0.945 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC001593998124 956910597 /nfs/dbraw/zinc/91/05/97/956910597.db2.gz QYDBLYWZGJPKHN-UHFFFAOYSA-N 0 2 319.317 0.751 20 0 DCADLN O=C([O-])c1cc(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)[nH]n1 ZINC001594735118 957062894 /nfs/dbraw/zinc/06/28/94/957062894.db2.gz RYXLLHNTIPOBMO-SECBINFHSA-N 0 2 301.306 0.512 20 0 DCADLN Cc1cc(=O)c(C(=O)NCCNC(=O)C(F)C(F)(F)F)c[nH]1 ZINC001292843402 957171593 /nfs/dbraw/zinc/17/15/93/957171593.db2.gz IQMCZEFGNPQPLM-VIFPVBQESA-N 0 2 323.246 0.430 20 0 DCADLN Cc1cc(=O)c(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c[nH]1 ZINC001292843402 957171602 /nfs/dbraw/zinc/17/16/02/957171602.db2.gz IQMCZEFGNPQPLM-VIFPVBQESA-N 0 2 323.246 0.430 20 0 DCADLN CC1=C(C)C(=O)N(CCC(=O)Nc2ccc3nn[nH]c3c2)C1=O ZINC001294386803 957521695 /nfs/dbraw/zinc/52/16/95/957521695.db2.gz MGUIQCWBGJPEDI-UHFFFAOYSA-N 0 2 313.317 0.992 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367503210 957908803 /nfs/dbraw/zinc/90/88/03/957908803.db2.gz WMMYKLHYIYIRED-NSHDSACASA-N 0 2 313.402 0.462 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367503210 957908817 /nfs/dbraw/zinc/90/88/17/957908817.db2.gz WMMYKLHYIYIRED-NSHDSACASA-N 0 2 313.402 0.462 20 0 DCADLN COC[C@@H](C)[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367515372 957943351 /nfs/dbraw/zinc/94/33/51/957943351.db2.gz SVXQXXIZPNIXNV-GHMZBOCLSA-N 0 2 313.402 0.319 20 0 DCADLN COC[C@@H](C)[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367515372 957943369 /nfs/dbraw/zinc/94/33/69/957943369.db2.gz SVXQXXIZPNIXNV-GHMZBOCLSA-N 0 2 313.402 0.319 20 0 DCADLN CSCCONC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001297358492 958233090 /nfs/dbraw/zinc/23/30/90/958233090.db2.gz FHSNXMHAXIJUIT-UHFFFAOYSA-N 0 2 310.335 0.291 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1CCCc2cccnc21 ZINC001361704473 958236050 /nfs/dbraw/zinc/23/60/50/958236050.db2.gz HDGQEVJSDOIBBX-LBPRGKRZSA-N 0 2 324.344 0.660 20 0 DCADLN Cc1ccn2cnnc2c1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361707748 958239184 /nfs/dbraw/zinc/23/91/84/958239184.db2.gz JPTMCYKJHASCPV-UHFFFAOYSA-N 0 2 324.304 0.061 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001603227106 972343966 /nfs/dbraw/zinc/34/39/66/972343966.db2.gz HFXMHZFKGPIGIS-AVGNSLFASA-N 0 2 312.414 0.127 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@H+]1C ZINC001603227106 972343968 /nfs/dbraw/zinc/34/39/68/972343968.db2.gz HFXMHZFKGPIGIS-AVGNSLFASA-N 0 2 312.414 0.127 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCN(C(=O)c2cccc(Cl)c2O)C1 ZINC001361954880 958538206 /nfs/dbraw/zinc/53/82/06/958538206.db2.gz ANUGCPUAYZCQGT-MRVPVSSYSA-N 0 2 318.782 0.796 20 0 DCADLN C/C(=C\C(=O)N1C[C@H](C)C[C@H](C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001588480657 958589624 /nfs/dbraw/zinc/58/96/24/958589624.db2.gz SOEDYEDYGQTNTC-SUHDFKPZSA-N 0 2 310.394 0.834 20 0 DCADLN C[C@]1(CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCCO1 ZINC001362099989 958737436 /nfs/dbraw/zinc/73/74/36/958737436.db2.gz CBSPOBYGMBSUMN-OAHLLOKOSA-N 0 2 318.333 0.565 20 0 DCADLN C[C@@]1(O)CCCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362222959 958957011 /nfs/dbraw/zinc/95/70/11/958957011.db2.gz RMHQISLGPZTVBE-OAHLLOKOSA-N 0 2 318.333 0.253 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(C)(C)O ZINC001376905262 959107269 /nfs/dbraw/zinc/10/72/69/959107269.db2.gz TYBRFWUKOVKGSL-SVRRBLITSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CC(C)(C)O ZINC001376905262 959107279 /nfs/dbraw/zinc/10/72/79/959107279.db2.gz TYBRFWUKOVKGSL-SVRRBLITSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC001588583441 959276767 /nfs/dbraw/zinc/27/67/67/959276767.db2.gz BILJIYMASUPFLV-LLVKDONJSA-N 0 2 319.361 0.733 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc([C@H]2CCC(=O)N2)cc1 ZINC001362416953 959314203 /nfs/dbraw/zinc/31/42/03/959314203.db2.gz SYTRGFBNTXMAJD-SNVBAGLBSA-N 0 2 301.306 0.391 20 0 DCADLN CC(C)CCC(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001377062483 959410810 /nfs/dbraw/zinc/41/08/10/959410810.db2.gz RNBIZUYINSKABY-NSHDSACASA-N 0 2 323.397 0.406 20 0 DCADLN CCOCC(=O)N(C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001491970663 959457408 /nfs/dbraw/zinc/45/74/08/959457408.db2.gz VLGHJDNKBLOLJW-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N(C)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001491970663 959457416 /nfs/dbraw/zinc/45/74/16/959457416.db2.gz VLGHJDNKBLOLJW-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc(F)c(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377173161 959622229 /nfs/dbraw/zinc/62/22/29/959622229.db2.gz YWBVSFVKYZGUKD-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc(F)c(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377173161 959622239 /nfs/dbraw/zinc/62/22/39/959622239.db2.gz YWBVSFVKYZGUKD-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001368435031 959859469 /nfs/dbraw/zinc/85/94/69/959859469.db2.gz PRROBYPGVKPFRE-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001492384715 959900472 /nfs/dbraw/zinc/90/04/72/959900472.db2.gz NBPSQFSBPIPSBB-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001492384715 959900477 /nfs/dbraw/zinc/90/04/77/959900477.db2.gz NBPSQFSBPIPSBB-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1cc(-c2ccc(F)cc2)n[nH]1 ZINC001301192001 959996609 /nfs/dbraw/zinc/99/66/09/959996609.db2.gz MVBHMXLNJNQWIW-UHFFFAOYSA-N 0 2 316.296 0.756 20 0 DCADLN C[C@@](O)(CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377379151 959998076 /nfs/dbraw/zinc/99/80/76/959998076.db2.gz GYUOXFXQMLHZCK-XHDPSFHLSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@](O)(CC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377379151 959998081 /nfs/dbraw/zinc/99/80/81/959998081.db2.gz GYUOXFXQMLHZCK-XHDPSFHLSA-N 0 2 323.397 0.142 20 0 DCADLN Cn1c(=O)oc2c1cccc2C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001301574704 960003314 /nfs/dbraw/zinc/00/33/14/960003314.db2.gz MWYFRTJMZHJGMZ-UHFFFAOYSA-N 0 2 316.273 0.263 20 0 DCADLN O=C(CCc1ccco1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377383489 960006013 /nfs/dbraw/zinc/00/60/13/960006013.db2.gz XMVAYJJPQQIBAO-NSHDSACASA-N 0 2 319.365 0.817 20 0 DCADLN O=C(CCc1ccco1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377383489 960006022 /nfs/dbraw/zinc/00/60/22/960006022.db2.gz XMVAYJJPQQIBAO-NSHDSACASA-N 0 2 319.365 0.817 20 0 DCADLN O=C(NC[C@@H]1C=CCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001303084397 960040629 /nfs/dbraw/zinc/04/06/29/960040629.db2.gz VDHYKHPAVTVYNW-SNVBAGLBSA-N 0 2 300.318 0.962 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](c3nnc[nH]3)C2)S1 ZINC001362853719 960090594 /nfs/dbraw/zinc/09/05/94/960090594.db2.gz VURKBWQROZTYMD-SFYZADRCSA-N 0 2 308.367 0.067 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2CCC[C@@H](c3nnc[nH]3)C2)S1 ZINC001362853719 960090603 /nfs/dbraw/zinc/09/06/03/960090603.db2.gz VURKBWQROZTYMD-SFYZADRCSA-N 0 2 308.367 0.067 20 0 DCADLN CC[C@H](NC(C)=O)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001362969617 960238111 /nfs/dbraw/zinc/23/81/11/960238111.db2.gz FYUKSVGUXNXGJO-JTQLQIEISA-N 0 2 310.354 0.112 20 0 DCADLN C[C@H](CCO)N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363004278 960286687 /nfs/dbraw/zinc/28/66/87/960286687.db2.gz UQGRIZPDAZUJDX-SECBINFHSA-N 0 2 306.322 0.109 20 0 DCADLN COC(=O)c1oc(C(=O)NCC2=NC(=O)CC(=O)N2)cc1C ZINC001363036326 960327838 /nfs/dbraw/zinc/32/78/38/960327838.db2.gz HFSLHFBXOIGGIX-UHFFFAOYSA-N 0 2 307.262 0.506 20 0 DCADLN COC(=O)c1oc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1C ZINC001363036326 960327851 /nfs/dbraw/zinc/32/78/51/960327851.db2.gz HFSLHFBXOIGGIX-UHFFFAOYSA-N 0 2 307.262 0.506 20 0 DCADLN COc1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001363057301 960352898 /nfs/dbraw/zinc/35/28/98/960352898.db2.gz VRDSBIFSEYJCFO-QMMMGPOBSA-N 0 2 304.310 0.329 20 0 DCADLN CC(C)N(C[C@H]1CCC(=O)N1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC001363068532 960367481 /nfs/dbraw/zinc/36/74/81/960367481.db2.gz OGIJNUPMJMOPKH-BDAKNGLRSA-N 0 2 312.395 0.058 20 0 DCADLN CCCN(C(=O)C[N@H+]1CC[C@@H](C)C1)[C@@H]1CC[NH+](CC(N)=O)C1 ZINC001377656804 960400010 /nfs/dbraw/zinc/40/00/10/960400010.db2.gz PKYGDRYRVBOREX-ZIAGYGMSSA-N 0 2 310.442 0.126 20 0 DCADLN COCc1nc(C)c(C(=O)NCc2nc(O)cc(=O)[nH]2)s1 ZINC001363150284 960488235 /nfs/dbraw/zinc/48/82/35/960488235.db2.gz NCMPWPLLWJOWRZ-UHFFFAOYSA-N 0 2 310.335 0.729 20 0 DCADLN COCc1nc(C)c(C(=O)NCC2=NC(=O)CC(=O)N2)s1 ZINC001363150284 960488241 /nfs/dbraw/zinc/48/82/41/960488241.db2.gz NCMPWPLLWJOWRZ-UHFFFAOYSA-N 0 2 310.335 0.729 20 0 DCADLN O=C(CCc1nn[nH]n1)N1CCN[C@@H](c2ccc(O)cc2)C1 ZINC001363168064 960523023 /nfs/dbraw/zinc/52/30/23/960523023.db2.gz LVYLWQKHFHKKFN-GFCCVEGCSA-N 0 2 302.338 0.011 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@@H]1CCOC(=O)N1 ZINC001363181701 960548823 /nfs/dbraw/zinc/54/88/23/960548823.db2.gz XJRSHBOJCNBONZ-VIFPVBQESA-N 0 2 303.278 0.614 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)[C@@H]2CCCc3n[nH]nc32)n1 ZINC001363211066 960613978 /nfs/dbraw/zinc/61/39/78/960613978.db2.gz MJVQGNOAYFNVHA-SSDOTTSWSA-N 0 2 304.310 0.763 20 0 DCADLN CC1(C)CCN(Cc2cc(=O)n3[nH]ccc3n2)CC[S@@]1=O ZINC001363244703 960688545 /nfs/dbraw/zinc/68/85/45/960688545.db2.gz PCCUDWHFKIGIDO-NRFANRHFSA-N 0 2 308.407 0.756 20 0 DCADLN CC[C@@H](C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001377864684 960844652 /nfs/dbraw/zinc/84/46/52/960844652.db2.gz ILAFDQGJQFMHFP-SNVBAGLBSA-N 0 2 303.366 0.369 20 0 DCADLN Cc1cncc(-c2nc(C[N@@H+]3CC[C@@](O)(C(=O)[O-])C3)no2)c1 ZINC001571084661 961035924 /nfs/dbraw/zinc/03/59/24/961035924.db2.gz FEOQYZMQNHDPOT-AWEZNQCLSA-N 0 2 304.306 0.461 20 0 DCADLN Cc1cncc(-c2nc(C[N@H+]3CC[C@@](O)(C(=O)[O-])C3)no2)c1 ZINC001571084661 961035944 /nfs/dbraw/zinc/03/59/44/961035944.db2.gz FEOQYZMQNHDPOT-AWEZNQCLSA-N 0 2 304.306 0.461 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccccn1 ZINC001363386631 961041829 /nfs/dbraw/zinc/04/18/29/961041829.db2.gz LUHLXVPISYFPQF-NSHDSACASA-N 0 2 312.333 0.734 20 0 DCADLN Cc1nn(C)cc1CCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571088353 961094911 /nfs/dbraw/zinc/09/49/11/961094911.db2.gz XDBHZPPHUYIMDM-GFCCVEGCSA-N 0 2 305.338 0.196 20 0 DCADLN Cc1nn(C)cc1CCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571088353 961094932 /nfs/dbraw/zinc/09/49/32/961094932.db2.gz XDBHZPPHUYIMDM-GFCCVEGCSA-N 0 2 305.338 0.196 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1n[nH]cc1F ZINC001377992380 961136794 /nfs/dbraw/zinc/13/67/94/961136794.db2.gz SHMQTGUFBLAEAY-MHTLYPKNSA-N 0 2 314.214 0.684 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1n[nH]cc1F ZINC001377992380 961136802 /nfs/dbraw/zinc/13/68/02/961136802.db2.gz SHMQTGUFBLAEAY-MHTLYPKNSA-N 0 2 314.214 0.684 20 0 DCADLN Cc1ccc(C(N)=O)c(NC(=O)CC2SC(=N)NC2=O)c1 ZINC001363462907 961210881 /nfs/dbraw/zinc/21/08/81/961210881.db2.gz HJKQXWFZYWDOTC-SECBINFHSA-N 0 2 306.347 0.589 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1cc2c(cc1O)CCCC2 ZINC001363481062 961243326 /nfs/dbraw/zinc/24/33/26/961243326.db2.gz DCLVQXULLSTZJQ-UHFFFAOYSA-N 0 2 302.334 0.811 20 0 DCADLN NC(=O)[C@@H]1CCO[C@@H]1C1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC001549038427 1013240507 /nfs/dbraw/zinc/24/05/07/1013240507.db2.gz VTNWTSXVUHLBHK-TZMCWYRMSA-N 0 2 319.361 0.887 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H]1CCC(=O)N1 ZINC001363507551 961303856 /nfs/dbraw/zinc/30/38/56/961303856.db2.gz FFBOMWRGHBQWAB-WDEREUQCSA-N 0 2 315.333 0.189 20 0 DCADLN CNC(=O)c1cccc(N(C)C(=O)CC2SC(=N)NC2=O)c1 ZINC001363525083 961363514 /nfs/dbraw/zinc/36/35/14/961363514.db2.gz CBLJQAYQWOVFSZ-JTQLQIEISA-N 0 2 320.374 0.565 20 0 DCADLN NC(=O)CCC(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001363562283 961450085 /nfs/dbraw/zinc/45/00/85/961450085.db2.gz PBJQKFJUVNFHOU-SNVBAGLBSA-N 0 2 303.322 0.176 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@H]1C[C@@H](C)[C@H](C(=O)[O-])O1)[NH+]1CCOCC1 ZINC001571124127 961483136 /nfs/dbraw/zinc/48/31/36/961483136.db2.gz ZMOJUPILXSDHRQ-NZEXEKPDSA-N 0 2 314.382 0.090 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2c(c1)CCOC2 ZINC001571125561 961490332 /nfs/dbraw/zinc/49/03/32/961490332.db2.gz KCGUJQGQHQWPHM-CQSZACIVSA-N 0 2 315.329 0.908 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2c(c1)CCOC2 ZINC001571125561 961490343 /nfs/dbraw/zinc/49/03/43/961490343.db2.gz KCGUJQGQHQWPHM-CQSZACIVSA-N 0 2 315.329 0.908 20 0 DCADLN C[C@H](O)C[NH+]1CCN(C(=O)c2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC001332180715 961516565 /nfs/dbraw/zinc/51/65/65/961516565.db2.gz WVXOQTYMRLXYEB-MZBZXASESA-N 0 2 308.334 0.516 20 0 DCADLN Nc1nc(C2CC2)ncc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571128784 961527913 /nfs/dbraw/zinc/52/79/13/961527913.db2.gz RGZYSBVGNDRJOU-SNVBAGLBSA-N 0 2 316.321 0.085 20 0 DCADLN Nc1nc(C2CC2)ncc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571128784 961527926 /nfs/dbraw/zinc/52/79/26/961527926.db2.gz RGZYSBVGNDRJOU-SNVBAGLBSA-N 0 2 316.321 0.085 20 0 DCADLN COC(=O)C12CCC(CC1)N2C(=O)C[C@H]1SC(=N)NC1=O ZINC001363602494 961532026 /nfs/dbraw/zinc/53/20/26/961532026.db2.gz ZYMWIXZDSXYLLV-LPATWVRLSA-N 0 2 311.363 0.239 20 0 DCADLN CCC[C@@H](OC)C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378160844 961559147 /nfs/dbraw/zinc/55/91/47/961559147.db2.gz NEWVLEUVJDRROB-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN Cc1cc(CC(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)no1 ZINC001363631473 961584507 /nfs/dbraw/zinc/58/45/07/961584507.db2.gz ZETRIADIOBPVDJ-UHFFFAOYSA-N 0 2 306.322 0.736 20 0 DCADLN CC(=O)NCC(C)(C)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001363663981 961653106 /nfs/dbraw/zinc/65/31/06/961653106.db2.gz SAPSHTCHSHJOLV-UHFFFAOYSA-N 0 2 324.381 0.359 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@@H](C)c2nn(C)cc2O)ccn1 ZINC001363670974 961666239 /nfs/dbraw/zinc/66/62/39/961666239.db2.gz ZMNBVCAGQIBGAY-QMMMGPOBSA-N 0 2 304.306 0.798 20 0 DCADLN Cc1nc(CC(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001378210416 961670567 /nfs/dbraw/zinc/67/05/67/961670567.db2.gz CWVKGAIHZJEXFC-MRVPVSSYSA-N 0 2 324.410 0.454 20 0 DCADLN Cc1nc(CC(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001378210416 961670576 /nfs/dbraw/zinc/67/05/76/961670576.db2.gz CWVKGAIHZJEXFC-MRVPVSSYSA-N 0 2 324.410 0.454 20 0 DCADLN COc1ccccc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363699941 961719847 /nfs/dbraw/zinc/71/98/47/961719847.db2.gz APZFCEYSAUWGOZ-UHFFFAOYSA-N 0 2 324.362 0.288 20 0 DCADLN CCOC(=O)c1n[nH]c(CNC(=O)c2cccc(F)c2O)n1 ZINC001363707936 961733115 /nfs/dbraw/zinc/73/31/15/961733115.db2.gz LOSQGLNALHDTNM-UHFFFAOYSA-N 0 2 308.269 0.756 20 0 DCADLN COC(=O)c1[nH]c(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])cc1C ZINC001571157698 961882327 /nfs/dbraw/zinc/88/23/27/961882327.db2.gz AJSGPOIIXDLEFH-NSHDSACASA-N 0 2 323.349 0.275 20 0 DCADLN COC(=O)c1[nH]c(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])cc1C ZINC001571157698 961882350 /nfs/dbraw/zinc/88/23/50/961882350.db2.gz AJSGPOIIXDLEFH-NSHDSACASA-N 0 2 323.349 0.275 20 0 DCADLN O=C([O-])c1ccc(F)c(C[N@H+]2CCN3CCOC[C@]3(CO)C2)c1 ZINC001571166642 962003574 /nfs/dbraw/zinc/00/35/74/962003574.db2.gz YWKRIYZSFGEWAM-MRXNPFEDSA-N 0 2 324.352 0.403 20 0 DCADLN O=C([O-])c1ccc(F)c(C[N@@H+]2CCN3CCOC[C@]3(CO)C2)c1 ZINC001571166642 962003598 /nfs/dbraw/zinc/00/35/98/962003598.db2.gz YWKRIYZSFGEWAM-MRXNPFEDSA-N 0 2 324.352 0.403 20 0 DCADLN COCC(=O)NC[C@@]1(C)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001379891687 962227567 /nfs/dbraw/zinc/22/75/67/962227567.db2.gz OCAWSXLUCCNNQC-GXSJLCMTSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NC[C@@]1(C)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001379891687 962227587 /nfs/dbraw/zinc/22/75/87/962227587.db2.gz OCAWSXLUCCNNQC-GXSJLCMTSA-N 0 2 314.279 0.888 20 0 DCADLN COC(=O)c1coc(CNS(=O)(=O)N=S(C)(C)=O)c1 ZINC001364019506 962302517 /nfs/dbraw/zinc/30/25/17/962302517.db2.gz FROWPIMPOWYDOI-UHFFFAOYSA-N 0 2 310.353 0.128 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H](C)CN(C)C(=O)Cn2c[nH+]cc2C)[nH]1 ZINC001379191742 962312024 /nfs/dbraw/zinc/31/20/24/962312024.db2.gz QKXUOOMZGMVFPH-PWSUYJOCSA-N 0 2 319.413 0.816 20 0 DCADLN C[C@@H](CF)NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC001364070573 962414965 /nfs/dbraw/zinc/41/49/65/962414965.db2.gz KNBUNWGHYDCBRP-YFKPBYRVSA-N 0 2 302.287 0.072 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](c3ncccn3)C2)S1 ZINC001364107407 962486640 /nfs/dbraw/zinc/48/66/40/962486640.db2.gz AXSSRKPUEWEEQT-ZJUUUORDSA-N 0 2 319.390 0.739 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)CCNC2=O ZINC001364139943 962543880 /nfs/dbraw/zinc/54/38/80/962543880.db2.gz BONWKFWTRMFEHJ-UHFFFAOYSA-N 0 2 302.294 0.118 20 0 DCADLN O=C([O-])[C@]1(N2CC[NH+](CCOCC(F)F)CC2)CCOC1 ZINC001351663331 962614875 /nfs/dbraw/zinc/61/48/75/962614875.db2.gz WRDHQAZQBAKYNJ-ZDUSSCGKSA-N 0 2 308.325 0.129 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc(Br)c[nH]1 ZINC001364209742 962675433 /nfs/dbraw/zinc/67/54/33/962675433.db2.gz YYPBBCDPBZSIKW-UHFFFAOYSA-N 0 2 313.111 0.908 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cc(Br)c[nH]1 ZINC001364209742 962675448 /nfs/dbraw/zinc/67/54/48/962675448.db2.gz YYPBBCDPBZSIKW-UHFFFAOYSA-N 0 2 313.111 0.908 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001379365080 962736385 /nfs/dbraw/zinc/73/63/85/962736385.db2.gz JPZWIFQJVCTTFD-APPZFPTMSA-N 0 2 314.279 0.839 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001379365080 962736401 /nfs/dbraw/zinc/73/64/01/962736401.db2.gz JPZWIFQJVCTTFD-APPZFPTMSA-N 0 2 314.279 0.839 20 0 DCADLN CCc1cc(C)nc(NCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001364246387 962744483 /nfs/dbraw/zinc/74/44/83/962744483.db2.gz IEBNQGJRVYQMQH-UHFFFAOYSA-N 0 2 310.383 0.622 20 0 DCADLN CN(C(=O)CCCC(F)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380233219 962899814 /nfs/dbraw/zinc/89/98/14/962899814.db2.gz NOKHAUUXCOAHBH-UHFFFAOYSA-N 0 2 321.303 0.886 20 0 DCADLN Cc1ccoc1CC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380359077 963100973 /nfs/dbraw/zinc/10/09/73/963100973.db2.gz OVIYZTXSLOTVLH-HNNXBMFYSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccoc1CC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380359077 963100981 /nfs/dbraw/zinc/10/09/81/963100981.db2.gz OVIYZTXSLOTVLH-HNNXBMFYSA-N 0 2 319.365 0.735 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccon1 ZINC001379702746 963403767 /nfs/dbraw/zinc/40/37/67/963403767.db2.gz APMWPTWDEZYCJV-SECBINFHSA-N 0 2 308.342 0.068 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccon1 ZINC001379702746 963403770 /nfs/dbraw/zinc/40/37/70/963403770.db2.gz APMWPTWDEZYCJV-SECBINFHSA-N 0 2 308.342 0.068 20 0 DCADLN O=C([O-])COCC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000312012951 963528724 /nfs/dbraw/zinc/52/87/24/963528724.db2.gz JGBAWJQBSSLTFO-CQSZACIVSA-N 0 2 322.361 0.105 20 0 DCADLN O=C([O-])COCC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000312012951 963528730 /nfs/dbraw/zinc/52/87/30/963528730.db2.gz JGBAWJQBSSLTFO-CQSZACIVSA-N 0 2 322.361 0.105 20 0 DCADLN COc1ccc(O)c(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000314082345 963707860 /nfs/dbraw/zinc/70/78/60/963707860.db2.gz FPNGBFZFSHLHCY-LLVKDONJSA-N 0 2 305.290 0.550 20 0 DCADLN COc1ccc(O)c(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000314082345 963707865 /nfs/dbraw/zinc/70/78/65/963707865.db2.gz FPNGBFZFSHLHCY-LLVKDONJSA-N 0 2 305.290 0.550 20 0 DCADLN COCc1ccccc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314166067 963719371 /nfs/dbraw/zinc/71/93/71/963719371.db2.gz UKKHHGCVBWUAFK-CYBMUJFWSA-N 0 2 303.318 0.982 20 0 DCADLN COCc1ccccc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314166067 963719378 /nfs/dbraw/zinc/71/93/78/963719378.db2.gz UKKHHGCVBWUAFK-CYBMUJFWSA-N 0 2 303.318 0.982 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447528717 1013453991 /nfs/dbraw/zinc/45/39/91/1013453991.db2.gz KGYSSKPASWAFKH-BBVRLYRLSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001447528717 1013454000 /nfs/dbraw/zinc/45/40/00/1013454000.db2.gz KGYSSKPASWAFKH-BBVRLYRLSA-N 0 2 323.250 0.093 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@]1(C)CCCOC1 ZINC001375134768 964038717 /nfs/dbraw/zinc/03/87/17/964038717.db2.gz QBKFSVZOECUVNW-HZMBPMFUSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@]1(C)CCCOC1 ZINC001375134768 964038733 /nfs/dbraw/zinc/03/87/33/964038733.db2.gz QBKFSVZOECUVNW-HZMBPMFUSA-N 0 2 311.386 0.264 20 0 DCADLN CSC[C@@H](C)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368934715 964151383 /nfs/dbraw/zinc/15/13/83/964151383.db2.gz QSUWKBLIFGMMRW-BDAKNGLRSA-N 0 2 301.416 0.446 20 0 DCADLN CSC[C@@H](C)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368934715 964151385 /nfs/dbraw/zinc/15/13/85/964151385.db2.gz QSUWKBLIFGMMRW-BDAKNGLRSA-N 0 2 301.416 0.446 20 0 DCADLN Cn1ncc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001373628001 964387587 /nfs/dbraw/zinc/38/75/87/964387587.db2.gz MKKGDKCZKPRPCL-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncc(CN2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001373628001 964387599 /nfs/dbraw/zinc/38/75/99/964387599.db2.gz MKKGDKCZKPRPCL-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN Cc1cscc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001374267869 965207299 /nfs/dbraw/zinc/20/72/99/965207299.db2.gz MNPHQASJVPBQFP-UHFFFAOYSA-N 0 2 307.379 0.838 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376114856 965215992 /nfs/dbraw/zinc/21/59/92/965215992.db2.gz JATAJYUBUNOAJD-MNOVXSKESA-N 0 2 311.386 0.262 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376114850 965216973 /nfs/dbraw/zinc/21/69/73/965216973.db2.gz JATAJYUBUNOAJD-GHMZBOCLSA-N 0 2 311.386 0.262 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(Cl)c[nH]1 ZINC001374464651 965416705 /nfs/dbraw/zinc/41/67/05/965416705.db2.gz OULBDNGJVJFVIZ-UHFFFAOYSA-N 0 2 312.761 0.696 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(Cl)c[nH]1 ZINC001374464651 965416711 /nfs/dbraw/zinc/41/67/11/965416711.db2.gz OULBDNGJVJFVIZ-UHFFFAOYSA-N 0 2 312.761 0.696 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001447873011 1013613221 /nfs/dbraw/zinc/61/32/21/1013613221.db2.gz OSGPOWKDDINETP-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001447873011 1013613229 /nfs/dbraw/zinc/61/32/29/1013613229.db2.gz OSGPOWKDDINETP-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CN(C)C(=O)c1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001370470575 966161893 /nfs/dbraw/zinc/16/18/93/966161893.db2.gz PONQXTLXBIROBX-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001370470575 966161898 /nfs/dbraw/zinc/16/18/98/966161898.db2.gz PONQXTLXBIROBX-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001370644579 966323311 /nfs/dbraw/zinc/32/33/11/966323311.db2.gz QILCGERRGRMOGC-NXEZZACHSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccccc1 ZINC001370644579 966323320 /nfs/dbraw/zinc/32/33/20/966323320.db2.gz QILCGERRGRMOGC-NXEZZACHSA-N 0 2 322.258 0.794 20 0 DCADLN CCn1nncc1C[N@H+](C)[C@@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001381503960 966470431 /nfs/dbraw/zinc/47/04/31/966470431.db2.gz ACQOIOVHOIUJHQ-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN CCn1nncc1C[N@@H+](C)[C@@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001381503960 966470436 /nfs/dbraw/zinc/47/04/36/966470436.db2.gz ACQOIOVHOIUJHQ-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN O=C(NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCCC1 ZINC001381629664 966596888 /nfs/dbraw/zinc/59/68/88/966596888.db2.gz RRDYRHPUQAICDB-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001371141239 966753634 /nfs/dbraw/zinc/75/36/34/966753634.db2.gz DJOSVQBFKIIKEK-SVRRBLITSA-N 0 2 308.342 0.584 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001371677227 967231291 /nfs/dbraw/zinc/23/12/91/967231291.db2.gz JEAUHKGFQUWXDW-RBXMUDONSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001371677227 967231300 /nfs/dbraw/zinc/23/13/00/967231300.db2.gz JEAUHKGFQUWXDW-RBXMUDONSA-N 0 2 314.279 0.933 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001371764470 967318431 /nfs/dbraw/zinc/31/84/31/967318431.db2.gz JOWDBRRTEUCOBV-VDTYLAMSSA-N 0 2 313.255 0.395 20 0 DCADLN COCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001371928185 967457900 /nfs/dbraw/zinc/45/79/00/967457900.db2.gz HSUGGSZOQOEKNM-VEVYYDQMSA-N 0 2 312.263 0.781 20 0 DCADLN COCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2 ZINC001371928185 967457909 /nfs/dbraw/zinc/45/79/09/967457909.db2.gz HSUGGSZOQOEKNM-VEVYYDQMSA-N 0 2 312.263 0.781 20 0 DCADLN CC[N@H+](CCNC(=O)C1C=CC=CC=C1)Cc1n[nH]c(=O)[n-]1 ZINC001372324872 967898952 /nfs/dbraw/zinc/89/89/52/967898952.db2.gz ONRUJCQSCADRIO-UHFFFAOYSA-N 0 2 303.366 0.747 20 0 DCADLN CC[N@@H+](CCNC(=O)C1C=CC=CC=C1)Cc1n[nH]c(=O)[n-]1 ZINC001372324872 967898964 /nfs/dbraw/zinc/89/89/64/967898964.db2.gz ONRUJCQSCADRIO-UHFFFAOYSA-N 0 2 303.366 0.747 20 0 DCADLN COc1cccnc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448120252 1013766022 /nfs/dbraw/zinc/76/60/22/1013766022.db2.gz NAWQXINRFIOESS-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1cccnc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448120252 1013766027 /nfs/dbraw/zinc/76/60/27/1013766027.db2.gz NAWQXINRFIOESS-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN Cn1ncnc1CN1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001372617059 968181178 /nfs/dbraw/zinc/18/11/78/968181178.db2.gz RRTFPVGXJRJVJA-WPRPVWTQSA-N 0 2 323.294 0.654 20 0 DCADLN CCn1ccc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001372872010 968482557 /nfs/dbraw/zinc/48/25/57/968482557.db2.gz LQOMDFRUBFXZCL-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1ccc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001372872010 968482560 /nfs/dbraw/zinc/48/25/60/968482560.db2.gz LQOMDFRUBFXZCL-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CC[C@@H](C)[C@H](OC)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373520115 969183158 /nfs/dbraw/zinc/18/31/58/969183158.db2.gz UPUXETXDXKCUAA-SKDRFNHKSA-N 0 2 311.386 0.119 20 0 DCADLN C[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001373535639 969204293 /nfs/dbraw/zinc/20/42/93/969204293.db2.gz BGVZPHWDVVANFV-SSDOTTSWSA-N 0 2 303.313 0.350 20 0 DCADLN COCC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)CC1 ZINC001448378116 1013889995 /nfs/dbraw/zinc/88/99/95/1013889995.db2.gz AKLYFKRWYOHXBP-SNVBAGLBSA-N 0 2 323.397 0.264 20 0 DCADLN C=CC(=O)N(CC)CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001448459609 1013919160 /nfs/dbraw/zinc/91/91/60/1013919160.db2.gz SAMWLEYNPLWQJK-SNVBAGLBSA-N 0 2 307.354 0.251 20 0 DCADLN C[C@H](C(=O)[O-])N(C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C1CC1 ZINC001609705725 970476773 /nfs/dbraw/zinc/47/67/73/970476773.db2.gz GOFAVQVTUDYOGU-SECBINFHSA-N 0 2 316.317 0.737 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001431329622 1013999981 /nfs/dbraw/zinc/99/99/81/1013999981.db2.gz YDUXWVYFHPSHIJ-SECBINFHSA-N 0 2 324.278 0.851 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001431329622 1013999987 /nfs/dbraw/zinc/99/99/87/1013999987.db2.gz YDUXWVYFHPSHIJ-SECBINFHSA-N 0 2 324.278 0.851 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cn1cnc2ccccc21 ZINC000320625410 970686377 /nfs/dbraw/zinc/68/63/77/970686377.db2.gz FGOLDMQPNOYEHQ-GFCCVEGCSA-N 0 2 313.317 0.572 20 0 DCADLN O=C([O-])[C@@H](C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1)C1CC1 ZINC001605901429 972736023 /nfs/dbraw/zinc/73/60/23/972736023.db2.gz PJNODJAHLYGNGZ-CYBMUJFWSA-N 0 2 322.365 0.265 20 0 DCADLN COC(=O)CC[C@H](NC(=O)C(C)(C)n1c[nH+]c(C)c1)C(=O)[O-] ZINC001604317545 972981629 /nfs/dbraw/zinc/98/16/29/972981629.db2.gz AEQXHNMLDMHBHQ-JTQLQIEISA-N 0 2 311.338 0.449 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@H+]2CCC[C@@](O)(C(F)(F)F)CC2)C1 ZINC001605978629 973013749 /nfs/dbraw/zinc/01/37/49/973013749.db2.gz ZCWZCLPBIYKIIZ-SKDRFNHKSA-N 0 2 324.299 0.656 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@@H+]2CCC[C@@](O)(C(F)(F)F)CC2)C1 ZINC001605978629 973013756 /nfs/dbraw/zinc/01/37/56/973013756.db2.gz ZCWZCLPBIYKIIZ-SKDRFNHKSA-N 0 2 324.299 0.656 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CC1(O)CCC1 ZINC001448917013 1014095054 /nfs/dbraw/zinc/09/50/54/1014095054.db2.gz GVJAOKJITSSTKP-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)CC1(O)CCC1 ZINC001448917013 1014095059 /nfs/dbraw/zinc/09/50/59/1014095059.db2.gz GVJAOKJITSSTKP-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN Cc1cnc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)cn1 ZINC001448917298 1014095495 /nfs/dbraw/zinc/09/54/95/1014095495.db2.gz HWIGBCDZHDOYRT-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cnc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001448917298 1014095496 /nfs/dbraw/zinc/09/54/96/1014095496.db2.gz HWIGBCDZHDOYRT-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cc(C(=O)[O-])nn2C)C[C@]2(CCCOC2)O1 ZINC001603461068 973295914 /nfs/dbraw/zinc/29/59/14/973295914.db2.gz LBNLFCXLIGOFED-ABAIWWIYSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cc(C(=O)[O-])nn2C)C[C@]2(CCCOC2)O1 ZINC001603461068 973295925 /nfs/dbraw/zinc/29/59/25/973295925.db2.gz LBNLFCXLIGOFED-ABAIWWIYSA-N 0 2 309.366 0.888 20 0 DCADLN Cc1csc([C@@H]2CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C2)n1 ZINC001605380222 973336872 /nfs/dbraw/zinc/33/68/72/973336872.db2.gz DQGBMCFNBARCQU-SNVBAGLBSA-N 0 2 318.420 0.740 20 0 DCADLN Cc1csc([C@@H]2CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C2)n1 ZINC001605380222 973336880 /nfs/dbraw/zinc/33/68/80/973336880.db2.gz DQGBMCFNBARCQU-SNVBAGLBSA-N 0 2 318.420 0.740 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(C(=O)C[C@@H](C)n2cc[nH+]c2)CCO1 ZINC001604550640 973559425 /nfs/dbraw/zinc/55/94/25/973559425.db2.gz KAISAVDWGCMXNR-BXUZGUMPSA-N 0 2 311.338 0.163 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)NCC1(C(=O)[O-])CCC1 ZINC001603628469 973946840 /nfs/dbraw/zinc/94/68/40/973946840.db2.gz MXXDIHFTPQYUOV-LBPRGKRZSA-N 0 2 313.398 0.651 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)NCC1(C(=O)[O-])CCC1 ZINC001603628469 973946845 /nfs/dbraw/zinc/94/68/45/973946845.db2.gz MXXDIHFTPQYUOV-LBPRGKRZSA-N 0 2 313.398 0.651 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cccc(C(=O)[O-])c2)C1 ZINC001605631788 973978610 /nfs/dbraw/zinc/97/86/10/973978610.db2.gz IRWTUVFBPLUAEA-LBPRGKRZSA-N 0 2 305.334 0.064 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cccc(C(=O)[O-])c2)C1 ZINC001605631788 973978620 /nfs/dbraw/zinc/97/86/20/973978620.db2.gz IRWTUVFBPLUAEA-LBPRGKRZSA-N 0 2 305.334 0.064 20 0 DCADLN CC[C@@H](OC)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001527977275 1014155804 /nfs/dbraw/zinc/15/58/04/1014155804.db2.gz MCZKNKLSLPKDGE-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@@H](OC)C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001527977275 1014155808 /nfs/dbraw/zinc/15/58/08/1014155808.db2.gz MCZKNKLSLPKDGE-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN C[C@@H]1CN(c2ccc(C(=O)[O-])c[nH+]2)C[C@@H]1C(=O)N1CCOCC1 ZINC001549176516 1014163500 /nfs/dbraw/zinc/16/35/00/1014163500.db2.gz LJIHYYXDFBQPGO-YPMHNXCESA-N 0 2 319.361 0.711 20 0 DCADLN O=C([O-])[C@]1([NH2+]CC(=O)N2CCC(c3ccccc3)=N2)CCOC1 ZINC001605698963 974122801 /nfs/dbraw/zinc/12/28/01/974122801.db2.gz WIRJHEBWDZGWCD-INIZCTEOSA-N 0 2 317.345 0.456 20 0 DCADLN CCC[C@@H](OC)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001522689181 1014300912 /nfs/dbraw/zinc/30/09/12/1014300912.db2.gz RGXUKGGAQWBODO-SFYZADRCSA-N 0 2 302.268 0.934 20 0 DCADLN CCC[C@@H](OC)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001522689181 1014300925 /nfs/dbraw/zinc/30/09/25/1014300925.db2.gz RGXUKGGAQWBODO-SFYZADRCSA-N 0 2 302.268 0.934 20 0 DCADLN C[NH+](C)[C@H]1CN(Cc2c[nH+]c3n2CCC3)C[C@H]1n1ccnn1 ZINC001549645174 1014374349 /nfs/dbraw/zinc/37/43/49/1014374349.db2.gz CBBHLUJIHHKFCY-UONOGXRCSA-N 0 2 301.398 0.408 20 0 DCADLN CCN(CCNC(=O)[C@H](C)OC)C(=O)C(F)C(F)(F)F ZINC001449318896 1014406293 /nfs/dbraw/zinc/40/62/93/1014406293.db2.gz ONIXNGROYTZCQP-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(CCNC(=O)[C@H](C)OC)C(=O)[C@H](F)C(F)(F)F ZINC001449318896 1014406300 /nfs/dbraw/zinc/40/63/00/1014406300.db2.gz ONIXNGROYTZCQP-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)cnn1 ZINC001522893498 1014456983 /nfs/dbraw/zinc/45/69/83/1014456983.db2.gz XRTGXZGVZXGPLH-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)cnn1 ZINC001522893498 1014456984 /nfs/dbraw/zinc/45/69/84/1014456984.db2.gz XRTGXZGVZXGPLH-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN C[NH+](C)Cc1csc(CNS(=O)(=O)CCCC(=O)[O-])n1 ZINC000722385204 978139863 /nfs/dbraw/zinc/13/98/63/978139863.db2.gz FMOXZNXKGOGEIO-UHFFFAOYSA-N 0 2 321.424 0.489 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@@H+]1C ZINC001593004022 980220892 /nfs/dbraw/zinc/22/08/92/980220892.db2.gz XSEZBHXCGQRUED-REWJHTLYSA-N 0 2 311.426 0.628 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@H+]1C ZINC001593004022 980220894 /nfs/dbraw/zinc/22/08/94/980220894.db2.gz XSEZBHXCGQRUED-REWJHTLYSA-N 0 2 311.426 0.628 20 0 DCADLN C[C@]1(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)CCOC1 ZINC001530486089 1014769182 /nfs/dbraw/zinc/76/91/82/1014769182.db2.gz WYHXUXBRJWXGDE-KWQFWETISA-N 0 2 312.263 0.640 20 0 DCADLN C[C@]1(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)CCOC1 ZINC001530486089 1014769193 /nfs/dbraw/zinc/76/91/93/1014769193.db2.gz WYHXUXBRJWXGDE-KWQFWETISA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)Cc1cc[nH]n1 ZINC001449950103 1014807712 /nfs/dbraw/zinc/80/77/12/1014807712.db2.gz OHRPXKRRTBBOEQ-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)Cc1cc[nH]n1 ZINC001449950103 1014807718 /nfs/dbraw/zinc/80/77/18/1014807718.db2.gz OHRPXKRRTBBOEQ-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+]1CCCN(C(C)=O)CC1)C(=O)[O-] ZINC001594894526 982323408 /nfs/dbraw/zinc/32/34/08/982323408.db2.gz UCMBFAGTUOZYKW-BXUZGUMPSA-N 0 2 313.398 0.156 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+]1CCCN(C(C)=O)CC1)C(=O)[O-] ZINC001594894526 982323417 /nfs/dbraw/zinc/32/34/17/982323417.db2.gz UCMBFAGTUOZYKW-BXUZGUMPSA-N 0 2 313.398 0.156 20 0 DCADLN CCCN(CCC)C(=O)C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001595873196 982862455 /nfs/dbraw/zinc/86/24/55/982862455.db2.gz OMYOXKZQYZBTHU-GFCCVEGCSA-N 0 2 313.398 0.300 20 0 DCADLN CCCN(CCC)C(=O)C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001595873196 982862470 /nfs/dbraw/zinc/86/24/70/982862470.db2.gz OMYOXKZQYZBTHU-GFCCVEGCSA-N 0 2 313.398 0.300 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCC[N@@H+]1Cc1cccc(C(=O)[O-])n1 ZINC001574281271 983207704 /nfs/dbraw/zinc/20/77/04/983207704.db2.gz ZMNQLEFCPHBEOS-NSHDSACASA-N 0 2 313.379 0.423 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCC[N@H+]1Cc1cccc(C(=O)[O-])n1 ZINC001574281271 983207712 /nfs/dbraw/zinc/20/77/12/983207712.db2.gz ZMNQLEFCPHBEOS-NSHDSACASA-N 0 2 313.379 0.423 20 0 DCADLN CCn1ccc(C[N@H+](CC)CCNC(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001596332681 983771007 /nfs/dbraw/zinc/77/10/07/983771007.db2.gz KWNXMNARDIVSJC-QWHCGFSZSA-N 0 2 308.382 0.562 20 0 DCADLN CCn1ccc(C[N@@H+](CC)CCNC(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001596332681 983771009 /nfs/dbraw/zinc/77/10/09/983771009.db2.gz KWNXMNARDIVSJC-QWHCGFSZSA-N 0 2 308.382 0.562 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2cc(C(=O)[O-])ccc2F)CC1 ZINC001596427565 984084325 /nfs/dbraw/zinc/08/43/25/984084325.db2.gz HNOPGSMFYIXULF-UHFFFAOYSA-N 0 2 323.324 0.627 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)C[C@@H]1C ZINC001596436402 984118996 /nfs/dbraw/zinc/11/89/96/984118996.db2.gz PIXOEHJKMRVIFT-NSHDSACASA-N 0 2 304.412 0.597 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)C[C@@H]1C ZINC001596436402 984119000 /nfs/dbraw/zinc/11/90/00/984119000.db2.gz PIXOEHJKMRVIFT-NSHDSACASA-N 0 2 304.412 0.597 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cocn1 ZINC001524934737 1015075332 /nfs/dbraw/zinc/07/53/32/1015075332.db2.gz WIPACSOVSRTCST-ATRFCDNQSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cocn1 ZINC001524934737 1015075342 /nfs/dbraw/zinc/07/53/42/1015075342.db2.gz WIPACSOVSRTCST-ATRFCDNQSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001525011098 1015089810 /nfs/dbraw/zinc/08/98/10/1015089810.db2.gz BZMHOOREYUNVNM-FSDSQADBSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001525011098 1015089822 /nfs/dbraw/zinc/08/98/22/1015089822.db2.gz BZMHOOREYUNVNM-FSDSQADBSA-N 0 2 308.235 0.687 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001531242970 1015118963 /nfs/dbraw/zinc/11/89/63/1015118963.db2.gz ZHBVJWUJBHCSDT-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001531242970 1015118966 /nfs/dbraw/zinc/11/89/66/1015118966.db2.gz ZHBVJWUJBHCSDT-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2cn(C)nc2C)n[nH]1 ZINC001551676834 1015175655 /nfs/dbraw/zinc/17/56/55/1015175655.db2.gz UTDXZJAKPMYVKX-YPMHNXCESA-N 0 2 318.381 0.800 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)C2(O)CCCC2)n[nH]1 ZINC001551678239 1015176690 /nfs/dbraw/zinc/17/66/90/1015176690.db2.gz XAEVDTPWQHHHPD-NWDGAFQWSA-N 0 2 308.382 0.749 20 0 DCADLN COCC(=O)NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001385826136 986613054 /nfs/dbraw/zinc/61/30/54/986613054.db2.gz VNZMNDPUXPIIQJ-IONNQARKSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001385826136 986613056 /nfs/dbraw/zinc/61/30/56/986613056.db2.gz VNZMNDPUXPIIQJ-IONNQARKSA-N 0 2 300.252 0.544 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCc2nc(F)ccc21 ZINC001532092545 1015265419 /nfs/dbraw/zinc/26/54/19/1015265419.db2.gz NXNJKWPNABYFBV-UHFFFAOYSA-N 0 2 314.280 0.195 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386292433 987045406 /nfs/dbraw/zinc/04/54/06/987045406.db2.gz FHVKFYUGHYZVQY-XAVMHZPKSA-N 0 2 314.279 0.667 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001386292433 987045416 /nfs/dbraw/zinc/04/54/16/987045416.db2.gz FHVKFYUGHYZVQY-XAVMHZPKSA-N 0 2 314.279 0.667 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001386326501 987075148 /nfs/dbraw/zinc/07/51/48/987075148.db2.gz YLEORFXFGBBUPM-BBVRLYRLSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001386326501 987075160 /nfs/dbraw/zinc/07/51/60/987075160.db2.gz YLEORFXFGBBUPM-BBVRLYRLSA-N 0 2 323.250 0.093 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001386701407 987320933 /nfs/dbraw/zinc/32/09/33/987320933.db2.gz IQZILXDMHOPRAJ-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001386701407 987320943 /nfs/dbraw/zinc/32/09/43/987320943.db2.gz IQZILXDMHOPRAJ-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CNC(=O)c1cnc[nH]c1=O)NC(=O)C(F)C(F)(F)F ZINC001386890805 987482799 /nfs/dbraw/zinc/48/27/99/987482799.db2.gz YVMPMDQSMJQFGC-FSPLSTOPSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)c1cnc[nH]c1=O)NC(=O)[C@H](F)C(F)(F)F ZINC001386890805 987482805 /nfs/dbraw/zinc/48/28/05/987482805.db2.gz YVMPMDQSMJQFGC-FSPLSTOPSA-N 0 2 324.234 0.317 20 0 DCADLN CCc1[nH]c(C[N@@H+]2CC[C@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597383966 987587124 /nfs/dbraw/zinc/58/71/24/987587124.db2.gz IGBHNONUBDXFSV-VIFPVBQESA-N 0 2 301.368 0.138 20 0 DCADLN CCc1[nH]c(C[N@H+]2CC[C@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597383966 987587130 /nfs/dbraw/zinc/58/71/30/987587130.db2.gz IGBHNONUBDXFSV-VIFPVBQESA-N 0 2 301.368 0.138 20 0 DCADLN COCC(=O)N1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001387362628 987788257 /nfs/dbraw/zinc/78/82/57/987788257.db2.gz RDNGDXSISNQGKN-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001387362628 987788260 /nfs/dbraw/zinc/78/82/60/987788260.db2.gz RDNGDXSISNQGKN-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN COCC1(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001387797802 988161692 /nfs/dbraw/zinc/16/16/92/988161692.db2.gz XUONGMOTJQZNMN-QMMMGPOBSA-N 0 2 314.279 0.888 20 0 DCADLN COCC1(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001387797802 988161699 /nfs/dbraw/zinc/16/16/99/988161699.db2.gz XUONGMOTJQZNMN-QMMMGPOBSA-N 0 2 314.279 0.888 20 0 DCADLN CC[C@@H](C(=O)NC[C@H](C)CNC(=O)Cc1c[nH+]cn1C)[NH+](C)C ZINC001388469829 988343708 /nfs/dbraw/zinc/34/37/08/988343708.db2.gz RPZIUGWKSWGHMX-OCCSQVGLSA-N 0 2 323.441 0.171 20 0 DCADLN CC1(C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001389089440 988811955 /nfs/dbraw/zinc/81/19/55/988811955.db2.gz BJSFBCYDBJEAAG-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)CCC1 ZINC001389089440 988811963 /nfs/dbraw/zinc/81/19/63/988811963.db2.gz BJSFBCYDBJEAAG-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(Cc1cnoc1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001389232313 989007491 /nfs/dbraw/zinc/00/74/91/989007491.db2.gz IIFLBAHEGNWBPO-VIFPVBQESA-N 0 2 309.219 0.445 20 0 DCADLN O=C(Cc1cnoc1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001389232313 989007502 /nfs/dbraw/zinc/00/75/02/989007502.db2.gz IIFLBAHEGNWBPO-VIFPVBQESA-N 0 2 309.219 0.445 20 0 DCADLN COC[C@H](C)CC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389405526 989207992 /nfs/dbraw/zinc/20/79/92/989207992.db2.gz WRALSEDKALNSSJ-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CCc1oc(C[N@@H+]2CCC[C@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597800022 989388204 /nfs/dbraw/zinc/38/82/04/989388204.db2.gz VHGOFOFGRAQSAG-JTQLQIEISA-N 0 2 316.379 0.793 20 0 DCADLN CCc1oc(C[N@H+]2CCC[C@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597800022 989388213 /nfs/dbraw/zinc/38/82/13/989388213.db2.gz VHGOFOFGRAQSAG-JTQLQIEISA-N 0 2 316.379 0.793 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ncc[nH]1 ZINC001389863780 989645452 /nfs/dbraw/zinc/64/54/52/989645452.db2.gz GWBJNUIQTUUZDK-LURJTMIESA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1ncc[nH]1 ZINC001389863780 989645454 /nfs/dbraw/zinc/64/54/54/989645454.db2.gz GWBJNUIQTUUZDK-LURJTMIESA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC[C@H](Cc2ccccc2)O1 ZINC001552716179 1015506599 /nfs/dbraw/zinc/50/65/99/1015506599.db2.gz VZZFMUFLWLVVGZ-VXGBXAGGSA-N 0 2 302.334 0.917 20 0 DCADLN CCn1nc(C)c(C[N@@H+]2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001597933953 989901537 /nfs/dbraw/zinc/90/15/37/989901537.db2.gz XBJWEXIQRPEMSE-LLVKDONJSA-N 0 2 319.369 0.733 20 0 DCADLN CCn1nc(C)c(C[N@H+]2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001597933953 989901543 /nfs/dbraw/zinc/90/15/43/989901543.db2.gz XBJWEXIQRPEMSE-LLVKDONJSA-N 0 2 319.369 0.733 20 0 DCADLN CNC(=O)[C@@H](C)[N@@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001391306653 990734359 /nfs/dbraw/zinc/73/43/59/990734359.db2.gz RMXODQNNKFHGFL-MNOVXSKESA-N 0 2 323.397 0.000 20 0 DCADLN CNC(=O)[C@@H](C)[N@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001391306653 990734366 /nfs/dbraw/zinc/73/43/66/990734366.db2.gz RMXODQNNKFHGFL-MNOVXSKESA-N 0 2 323.397 0.000 20 0 DCADLN CN(C)S(=O)(=O)[C@@H]1CCC[N@H+](Cc2oncc2C(=O)[O-])C1 ZINC001598259621 991082471 /nfs/dbraw/zinc/08/24/71/991082471.db2.gz MSFYRSAQIYMQTQ-SECBINFHSA-N 0 2 317.367 0.229 20 0 DCADLN CN(C)S(=O)(=O)[C@@H]1CCC[N@@H+](Cc2oncc2C(=O)[O-])C1 ZINC001598259621 991082475 /nfs/dbraw/zinc/08/24/75/991082475.db2.gz MSFYRSAQIYMQTQ-SECBINFHSA-N 0 2 317.367 0.229 20 0 DCADLN COc1cc[nH+]c(CN2CC(NC(=O)[C@H]3C[C@H]3C(=O)[O-])C2)c1 ZINC001599721057 991538803 /nfs/dbraw/zinc/53/88/03/991538803.db2.gz DISOEBZGRJXDKT-QWHCGFSZSA-N 0 2 305.334 0.111 20 0 DCADLN CN(CC[NH+](C)CC(=O)N(C)C1CC1)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001392267341 991675627 /nfs/dbraw/zinc/67/56/27/991675627.db2.gz ZEZAPYHXTPSQBP-AWEZNQCLSA-N 0 2 310.442 0.092 20 0 DCADLN CN(CCCNC(=O)[C@H]1C[C@H]1C(=O)[O-])C(=O)CCc1[nH]cc[nH+]1 ZINC001598478975 992119492 /nfs/dbraw/zinc/11/94/92/992119492.db2.gz FQINQNHZJOEAES-WDEREUQCSA-N 0 2 322.365 0.028 20 0 DCADLN COc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001392676418 992248345 /nfs/dbraw/zinc/24/83/45/992248345.db2.gz GRCZUMAPXVNYJA-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001392676418 992248352 /nfs/dbraw/zinc/24/83/52/992248352.db2.gz GRCZUMAPXVNYJA-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN C[N@H+](Cc1csnn1)C1CCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001598536814 992849373 /nfs/dbraw/zinc/84/93/73/992849373.db2.gz NBNVWMJGTWZEEU-RYUDHWBXSA-N 0 2 324.406 0.682 20 0 DCADLN C[N@@H+](Cc1csnn1)C1CCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001598536814 992849377 /nfs/dbraw/zinc/84/93/77/992849377.db2.gz NBNVWMJGTWZEEU-RYUDHWBXSA-N 0 2 324.406 0.682 20 0 DCADLN C[N@H+](Cc1csnn1)C1CCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001598536813 992849514 /nfs/dbraw/zinc/84/95/14/992849514.db2.gz NBNVWMJGTWZEEU-NWDGAFQWSA-N 0 2 324.406 0.682 20 0 DCADLN C[N@@H+](Cc1csnn1)C1CCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001598536813 992849522 /nfs/dbraw/zinc/84/95/22/992849522.db2.gz NBNVWMJGTWZEEU-NWDGAFQWSA-N 0 2 324.406 0.682 20 0 DCADLN CN(c1nc(CN2CC[NH+](CC(=O)[O-])CC2)cs1)C1CC1 ZINC001598547173 992959509 /nfs/dbraw/zinc/95/95/09/992959509.db2.gz VYILKMMEHWXOKZ-UHFFFAOYSA-N 0 2 310.423 0.944 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001598573049 993229887 /nfs/dbraw/zinc/22/98/87/993229887.db2.gz NHNMZUWYNYNPQZ-AOOOYVTPSA-N 0 2 311.363 0.247 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001598573049 993229896 /nfs/dbraw/zinc/22/98/96/993229896.db2.gz NHNMZUWYNYNPQZ-AOOOYVTPSA-N 0 2 311.363 0.247 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)CCS(C)(=O)=O ZINC001394061068 993445558 /nfs/dbraw/zinc/44/55/58/993445558.db2.gz JKXCEJBHZYHJAU-HTQZYQBOSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)CCS(C)(=O)=O ZINC001394061068 993445561 /nfs/dbraw/zinc/44/55/61/993445561.db2.gz JKXCEJBHZYHJAU-HTQZYQBOSA-N 0 2 322.324 0.368 20 0 DCADLN COc1ccc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001492827098 993509010 /nfs/dbraw/zinc/50/90/10/993509010.db2.gz MRRDOUIOLYFPRA-UHFFFAOYSA-N 0 2 319.365 0.310 20 0 DCADLN COc1ccc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001492827098 993509012 /nfs/dbraw/zinc/50/90/12/993509012.db2.gz MRRDOUIOLYFPRA-UHFFFAOYSA-N 0 2 319.365 0.310 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1)[NH+]1CCOCC1 ZINC001593070027 993616771 /nfs/dbraw/zinc/61/67/71/993616771.db2.gz DEAWJOQAGVJHQG-YVECIDJPSA-N 0 2 313.398 0.458 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCOC[C@@H]1C)Cc1n[nH]c(=O)[n-]1 ZINC001399770062 993754774 /nfs/dbraw/zinc/75/47/74/993754774.db2.gz WYDHNECSGBYPQH-QWRGUYRKSA-N 0 2 311.386 0.121 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCOC[C@@H]1C)Cc1n[nH]c(=O)[n-]1 ZINC001399770062 993754781 /nfs/dbraw/zinc/75/47/81/993754781.db2.gz WYDHNECSGBYPQH-QWRGUYRKSA-N 0 2 311.386 0.121 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)c2ccccc2O)C[C@@H](C(=O)[O-])C1 ZINC001598619915 993983798 /nfs/dbraw/zinc/98/37/98/993983798.db2.gz PXPONRLYLKTHKH-JTQLQIEISA-N 0 2 314.363 0.029 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)c2ccccc2O)C[C@@H](C(=O)[O-])C1 ZINC001598619915 993983809 /nfs/dbraw/zinc/98/38/09/993983809.db2.gz PXPONRLYLKTHKH-JTQLQIEISA-N 0 2 314.363 0.029 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@@H](O)C[N@@H+](C)CC[C@H](C)F)c1[O-] ZINC001394771435 994130875 /nfs/dbraw/zinc/13/08/75/994130875.db2.gz QFDHQXKHRCCHPQ-ONGXEEELSA-N 0 2 316.377 0.537 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@@H](O)C[N@H+](C)CC[C@H](C)F)c1[O-] ZINC001394771435 994130878 /nfs/dbraw/zinc/13/08/78/994130878.db2.gz QFDHQXKHRCCHPQ-ONGXEEELSA-N 0 2 316.377 0.537 20 0 DCADLN O=C(N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C1(CCF)CC1 ZINC001400098650 994155280 /nfs/dbraw/zinc/15/52/80/994155280.db2.gz KZRGUZBEGMXAJJ-PHIMTYICSA-N 0 2 323.372 0.825 20 0 DCADLN Cc1nc(C)n(CCN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001400344597 994428561 /nfs/dbraw/zinc/42/85/61/994428561.db2.gz CQVVMXNPRFOMEK-JTQLQIEISA-N 0 2 323.294 0.596 20 0 DCADLN Cc1nc(C)n(CCN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001400344597 994428574 /nfs/dbraw/zinc/42/85/74/994428574.db2.gz CQVVMXNPRFOMEK-JTQLQIEISA-N 0 2 323.294 0.596 20 0 DCADLN Cc1nccn1CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCOC(C)C ZINC001400592427 994684907 /nfs/dbraw/zinc/68/49/07/994684907.db2.gz NVJHYNLKORRXHV-GJZGRUSLSA-N 0 2 306.410 0.902 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001395589912 994784553 /nfs/dbraw/zinc/78/45/53/994784553.db2.gz JHTCFFAATDKGQO-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001395589912 994784561 /nfs/dbraw/zinc/78/45/61/994784561.db2.gz JHTCFFAATDKGQO-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN CNc1cc(CNC(=O)N2CCO[C@@H](CC(=O)[O-])C2)cc[nH+]1 ZINC001598675852 994842485 /nfs/dbraw/zinc/84/24/85/994842485.db2.gz IHTJWJKMNFQKNN-NSHDSACASA-N 0 2 308.338 0.508 20 0 DCADLN CN(C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001396062839 995180592 /nfs/dbraw/zinc/18/05/92/995180592.db2.gz GONIGRNLALFRPB-WDSKDSINSA-N 0 2 324.221 0.430 20 0 DCADLN CN(C[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)C(F)F ZINC001396062839 995180596 /nfs/dbraw/zinc/18/05/96/995180596.db2.gz GONIGRNLALFRPB-WDSKDSINSA-N 0 2 324.221 0.430 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCC(=O)OC(C)C ZINC001598749174 995628962 /nfs/dbraw/zinc/62/89/62/995628962.db2.gz FPHIXTXCQDYYJF-GHMZBOCLSA-N 0 2 301.339 0.666 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCC(=O)OC(C)C ZINC001598749174 995628965 /nfs/dbraw/zinc/62/89/65/995628965.db2.gz FPHIXTXCQDYYJF-GHMZBOCLSA-N 0 2 301.339 0.666 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)C[N@@H+]([C@@H](C)c2ncc(C(=O)[O-])s2)C1 ZINC001598757501 995737696 /nfs/dbraw/zinc/73/76/96/995737696.db2.gz PDDXVKSNJHXVJR-DJLDLDEBSA-N 0 2 314.363 0.758 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)C[N@H+]([C@@H](C)c2ncc(C(=O)[O-])s2)C1 ZINC001598757501 995737701 /nfs/dbraw/zinc/73/77/01/995737701.db2.gz PDDXVKSNJHXVJR-DJLDLDEBSA-N 0 2 314.363 0.758 20 0 DCADLN O=C(N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001397016080 995744708 /nfs/dbraw/zinc/74/47/08/995744708.db2.gz VLOIRCOIZMHAGU-IUCAKERBSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001397016080 995744716 /nfs/dbraw/zinc/74/47/16/995744716.db2.gz VLOIRCOIZMHAGU-IUCAKERBSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@@H](OC[C@H]1CCCO1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593607993 995791399 /nfs/dbraw/zinc/79/13/99/995791399.db2.gz ASHXVUXKQNSYKR-YUSALJHKSA-N 0 2 311.338 0.106 20 0 DCADLN C[C@@H](OC[C@H]1CCCO1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593607993 995791404 /nfs/dbraw/zinc/79/14/04/995791404.db2.gz ASHXVUXKQNSYKR-YUSALJHKSA-N 0 2 311.338 0.106 20 0 DCADLN CCn1c(Br)ncc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001465724727 1016021888 /nfs/dbraw/zinc/02/18/88/1016021888.db2.gz BQRDZPXLLHWGLP-UHFFFAOYSA-N 0 2 315.131 0.419 20 0 DCADLN O=C(Cc1cnoc1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398755861 996674129 /nfs/dbraw/zinc/67/41/29/996674129.db2.gz BSJVJJSJYWRYRG-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN O=C(Cc1cnoc1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398755861 996674134 /nfs/dbraw/zinc/67/41/34/996674134.db2.gz BSJVJJSJYWRYRG-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN COC(=O)C[NH+]1CCC(Nc2cnn(CC(=O)[O-])c2C)CC1 ZINC001598863950 996699228 /nfs/dbraw/zinc/69/92/28/996699228.db2.gz RGQCFMJIYXDCBH-UHFFFAOYSA-N 0 2 310.354 0.325 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)[NH2+]Cc2nnc(C3CC3)o2)c1[O-] ZINC001398912853 996745240 /nfs/dbraw/zinc/74/52/40/996745240.db2.gz DVNVUPJJWSGPBW-ZETCQYMHSA-N 0 2 320.353 0.592 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001398988205 996797906 /nfs/dbraw/zinc/79/79/06/996797906.db2.gz GQAXRMHIJBKMPQ-BDAKNGLRSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001398988205 996797914 /nfs/dbraw/zinc/79/79/14/996797914.db2.gz GQAXRMHIJBKMPQ-BDAKNGLRSA-N 0 2 324.278 0.945 20 0 DCADLN O=C(Cc1cccnc1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404218128 996822877 /nfs/dbraw/zinc/82/28/77/996822877.db2.gz HTCAORIQLXSLNR-UHFFFAOYSA-N 0 2 316.365 0.229 20 0 DCADLN O=C(Cc1cccnc1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404218128 996822884 /nfs/dbraw/zinc/82/28/84/996822884.db2.gz HTCAORIQLXSLNR-UHFFFAOYSA-N 0 2 316.365 0.229 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCc2ccncc21 ZINC001466605269 1016093790 /nfs/dbraw/zinc/09/37/90/1016093790.db2.gz LODKVZPRWZDZAR-UHFFFAOYSA-N 0 2 323.312 0.864 20 0 DCADLN C[C@@H](CO)CCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001466654697 1016098830 /nfs/dbraw/zinc/09/88/30/1016098830.db2.gz GFFXPZQISQGXKZ-SNVBAGLBSA-N 0 2 320.349 0.405 20 0 DCADLN COCc1ncsc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001599305312 997303929 /nfs/dbraw/zinc/30/39/29/997303929.db2.gz OPHIBVYTCMFQGT-QMMMGPOBSA-N 0 2 310.335 0.438 20 0 DCADLN COCc1ncsc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001599305312 997303937 /nfs/dbraw/zinc/30/39/37/997303937.db2.gz OPHIBVYTCMFQGT-QMMMGPOBSA-N 0 2 310.335 0.438 20 0 DCADLN C[C@H](c1nncn1C)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404761998 997442982 /nfs/dbraw/zinc/44/29/82/997442982.db2.gz AACOMRFXSHGNMD-HTRCEHHLSA-N 0 2 309.267 0.577 20 0 DCADLN C[C@H](c1nncn1C)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404761998 997442987 /nfs/dbraw/zinc/44/29/87/997442987.db2.gz AACOMRFXSHGNMD-HTRCEHHLSA-N 0 2 309.267 0.577 20 0 DCADLN O=C(C[C@@H]1CCCCO1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404873431 997551836 /nfs/dbraw/zinc/55/18/36/997551836.db2.gz HBFOGWVUHPVYJR-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001404985393 997647638 /nfs/dbraw/zinc/64/76/38/997647638.db2.gz KDCIIPGPBFJUCB-SRVKXCTJSA-N 0 2 323.397 0.357 20 0 DCADLN CCO[C@H](CC)C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405087340 997773625 /nfs/dbraw/zinc/77/36/25/997773625.db2.gz IXTSCUOQRWBOFX-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405090714 997778140 /nfs/dbraw/zinc/77/81/40/997778140.db2.gz PIAFIZDORLQCCY-JQWIXIFHSA-N 0 2 323.397 0.358 20 0 DCADLN COc1cc(OC)cc([C@H]([NH2+][C@@H]2C(=O)NCC2(C)C)C(=O)[O-])c1 ZINC001599453373 998145023 /nfs/dbraw/zinc/14/50/23/998145023.db2.gz PUPMLPJCXMZVPW-QWHCGFSZSA-N 0 2 322.361 0.944 20 0 DCADLN CCOC(=O)[C@@H]1CSCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC001467755002 1016196262 /nfs/dbraw/zinc/19/62/62/1016196262.db2.gz UZLYBRQCNUGEEA-NKWVEPMBSA-N 0 2 317.392 0.007 20 0 DCADLN COC[C@@](C)(CC(=O)[O-])NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC001599147400 998236159 /nfs/dbraw/zinc/23/61/59/998236159.db2.gz KCEPJQXVZSKWCC-SWLSCSKDSA-N 0 2 324.381 0.786 20 0 DCADLN COC[C@@](C)(CC(=O)[O-])NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC001599147400 998236171 /nfs/dbraw/zinc/23/61/71/998236171.db2.gz KCEPJQXVZSKWCC-SWLSCSKDSA-N 0 2 324.381 0.786 20 0 DCADLN COC(=O)c1ccccc1C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001599002980 998834050 /nfs/dbraw/zinc/83/40/50/998834050.db2.gz PKGQPYLRPNCCIW-ZDUSSCGKSA-N 0 2 320.345 0.638 20 0 DCADLN COC(=O)c1ccccc1C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001599002980 998834056 /nfs/dbraw/zinc/83/40/56/998834056.db2.gz PKGQPYLRPNCCIW-ZDUSSCGKSA-N 0 2 320.345 0.638 20 0 DCADLN COC(=O)c1coc([C@@H](C)[NH+]2CCC([C@H](O)C(=O)[O-])CC2)n1 ZINC001599013898 998922538 /nfs/dbraw/zinc/92/25/38/998922538.db2.gz GIVIEHYSAJFQON-KCJUWKMLSA-N 0 2 312.322 0.680 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccoc1CC(=O)[O-] ZINC001594251163 998966261 /nfs/dbraw/zinc/96/62/61/998966261.db2.gz SVBBEGPLPABCNP-NWDGAFQWSA-N 0 2 322.361 0.842 20 0 DCADLN COC(CN(CCC(=O)[O-])C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)OC ZINC001599045801 999149726 /nfs/dbraw/zinc/14/97/26/999149726.db2.gz UXWSWRVTVJVPNH-NXEZZACHSA-N 0 2 311.338 0.435 20 0 DCADLN C[C@@H]1CCn2ncc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])c2C1 ZINC001594452183 999652001 /nfs/dbraw/zinc/65/20/01/999652001.db2.gz LXGOMSFHEQAZIQ-ZYHUDNBSSA-N 0 2 317.349 0.545 20 0 DCADLN CCCn1nccc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001418545895 1000333953 /nfs/dbraw/zinc/33/39/53/1000333953.db2.gz UMWCPAHHSXAVEZ-UHFFFAOYSA-N 0 2 321.385 0.369 20 0 DCADLN CCCn1nccc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001418545895 1000333957 /nfs/dbraw/zinc/33/39/57/1000333957.db2.gz UMWCPAHHSXAVEZ-UHFFFAOYSA-N 0 2 321.385 0.369 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1ccccn1 ZINC001401383993 1000397832 /nfs/dbraw/zinc/39/78/32/1000397832.db2.gz JLDSYPMSIPVSTN-UHFFFAOYSA-N 0 2 318.381 0.428 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1ccccn1 ZINC001401383993 1000397836 /nfs/dbraw/zinc/39/78/36/1000397836.db2.gz JLDSYPMSIPVSTN-UHFFFAOYSA-N 0 2 318.381 0.428 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CCC(O)CC1 ZINC001418762208 1000502061 /nfs/dbraw/zinc/50/20/61/1000502061.db2.gz PYATWQGOHWNGSV-UHFFFAOYSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CCC(O)CC1 ZINC001418762208 1000502067 /nfs/dbraw/zinc/50/20/67/1000502067.db2.gz PYATWQGOHWNGSV-UHFFFAOYSA-N 0 2 323.397 0.142 20 0 DCADLN CC[C@@H](CO)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001418910107 1000609785 /nfs/dbraw/zinc/60/97/85/1000609785.db2.gz CQTIPJUDKXFTMJ-NWDGAFQWSA-N 0 2 304.350 0.929 20 0 DCADLN CCc1noc([C@H](C)N(C)C[C@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001401752272 1000688917 /nfs/dbraw/zinc/68/89/17/1000688917.db2.gz JWONLADUSMEPGG-IUCAKERBSA-N 0 2 307.358 0.561 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2cnn(C)n2)C1 ZINC001419106065 1000777869 /nfs/dbraw/zinc/77/78/69/1000777869.db2.gz HYWRWRPTLQLSDT-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(Cc2cnn(C)n2)C1 ZINC001419106065 1000777874 /nfs/dbraw/zinc/77/78/74/1000777874.db2.gz HYWRWRPTLQLSDT-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(COCC1CCCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419158621 1000830419 /nfs/dbraw/zinc/83/04/19/1000830419.db2.gz FYAOESAODBEJGJ-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NCC1([NH2+]Cc2cnns2)CC1 ZINC001401985765 1000926722 /nfs/dbraw/zinc/92/67/22/1000926722.db2.gz LUIWCJHJYGBWGI-UHFFFAOYSA-N 0 2 306.395 0.482 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc(C(F)(F)F)n[nH]1 ZINC001470583043 1016461868 /nfs/dbraw/zinc/46/18/68/1016461868.db2.gz MKKYVYASFYLDLT-UHFFFAOYSA-N 0 2 303.200 0.560 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402172429 1001081922 /nfs/dbraw/zinc/08/19/22/1001081922.db2.gz FBPJSYNGSICGIN-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H](C[NH2+]Cc1n[nH]c(=O)[n-]1)N(C)C(=O)C(F)C(F)(F)F ZINC001419685286 1001219783 /nfs/dbraw/zinc/21/97/83/1001219783.db2.gz RGYSLVUKKOJBIF-CAHLUQPWSA-N 0 2 313.255 0.347 20 0 DCADLN C[C@H](C[NH2+]Cc1n[nH]c(=O)[n-]1)N(C)C(=O)C(F)C(F)(F)F ZINC001419685295 1001219997 /nfs/dbraw/zinc/21/99/97/1001219997.db2.gz RGYSLVUKKOJBIF-VDTYLAMSSA-N 0 2 313.255 0.347 20 0 DCADLN COC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@H]1CCCOC1 ZINC001419726538 1001254145 /nfs/dbraw/zinc/25/41/45/1001254145.db2.gz HKOCBKXVCZBRDH-LPEHRKFASA-N 0 2 315.395 0.101 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402736928 1001485607 /nfs/dbraw/zinc/48/56/07/1001485607.db2.gz QTCDFUWKCBGBJT-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402736928 1001485608 /nfs/dbraw/zinc/48/56/08/1001485608.db2.gz QTCDFUWKCBGBJT-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN COc1ccc(C(=O)N2CCOC[C@H]2c2nn[nH]n2)c(O)c1 ZINC001470932579 1016500416 /nfs/dbraw/zinc/50/04/16/1016500416.db2.gz WSRWSWYTWXOGSQ-JTQLQIEISA-N 0 2 305.294 0.128 20 0 DCADLN CCc1noc(C[NH2+][C@H](C)[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001402969940 1001609739 /nfs/dbraw/zinc/60/97/39/1001609739.db2.gz PIMNPLFRHAZVLH-HTQZYQBOSA-N 0 2 322.369 0.666 20 0 DCADLN C[C@H](CNC(=O)C1CCC(F)CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403169237 1001704959 /nfs/dbraw/zinc/70/49/59/1001704959.db2.gz NHRNNSYIIGVXNG-KPPDAEKUSA-N 0 2 313.377 0.975 20 0 DCADLN C[C@H](CNC(=O)C1CCC(F)CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403169237 1001704960 /nfs/dbraw/zinc/70/49/60/1001704960.db2.gz NHRNNSYIIGVXNG-KPPDAEKUSA-N 0 2 313.377 0.975 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@H](c3ncccn3)C2)S1 ZINC001420060811 1001794489 /nfs/dbraw/zinc/79/44/89/1001794489.db2.gz XJTUPHMHUGIRBS-DTWKUNHWSA-N 0 2 305.363 0.349 20 0 DCADLN COc1nccc(C[N@H+](C)CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001420214290 1002055681 /nfs/dbraw/zinc/05/56/81/1002055681.db2.gz KPXOLGODJDCDMV-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN COc1nccc(C[N@@H+](C)CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001420214290 1002055688 /nfs/dbraw/zinc/05/56/88/1002055688.db2.gz KPXOLGODJDCDMV-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN COc1cncc(CN(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001403562091 1002142408 /nfs/dbraw/zinc/14/24/08/1002142408.db2.gz GYDRLTWWLHISQW-JTQLQIEISA-N 0 2 324.278 0.934 20 0 DCADLN CO[C@@H]1CCC[C@@H](C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001403661972 1002299816 /nfs/dbraw/zinc/29/98/16/1002299816.db2.gz CRYLWLOZEZIGJE-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@@H]1CCC[C@@H](C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001403661972 1002299825 /nfs/dbraw/zinc/29/98/25/1002299825.db2.gz CRYLWLOZEZIGJE-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CCc1noc(C)c1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001420448948 1002432883 /nfs/dbraw/zinc/43/28/83/1002432883.db2.gz RGWBUECSVQFRJR-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN CCc1noc(C)c1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001420448948 1002432887 /nfs/dbraw/zinc/43/28/87/1002432887.db2.gz RGWBUECSVQFRJR-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN C[C@@H](C[NH2+]Cc1cncn1C)NC(=O)CCc1[nH+]ccn1C ZINC001420449195 1002433144 /nfs/dbraw/zinc/43/31/44/1002433144.db2.gz XUFOYWDHQPJCFY-LBPRGKRZSA-N 0 2 304.398 0.381 20 0 DCADLN C[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C1C[NH+](C[C@H]2CCCCO2)C1 ZINC001425255632 1002483401 /nfs/dbraw/zinc/48/34/01/1002483401.db2.gz QYJGYIGCKDWQJY-TZMCWYRMSA-N 0 2 306.410 0.958 20 0 DCADLN CN(C)C(=O)C[NH2+]C[C@H](NC(=O)c1[nH]ncc1F)C(C)(C)C ZINC001403981977 1002843928 /nfs/dbraw/zinc/84/39/28/1002843928.db2.gz GGKZMWHZFFZPMN-JTQLQIEISA-N 0 2 313.377 0.371 20 0 DCADLN CCCN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(CC(N)=O)C1 ZINC001420804423 1002965666 /nfs/dbraw/zinc/96/56/66/1002965666.db2.gz MLUPHVDIZCKVGH-PSASIEDQSA-N 0 2 313.295 0.685 20 0 DCADLN CCC(C)(C)OCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472081569 1016722122 /nfs/dbraw/zinc/72/21/22/1016722122.db2.gz DFCKKCHYLRHUDW-UHFFFAOYSA-N 0 2 318.399 0.171 20 0 DCADLN CCn1nc(C)c(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001421030829 1003249676 /nfs/dbraw/zinc/24/96/76/1003249676.db2.gz OKZVFOKYGQUGIZ-SNVBAGLBSA-N 0 2 323.294 0.807 20 0 DCADLN CCn1nc(C)c(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001421030829 1003249684 /nfs/dbraw/zinc/24/96/84/1003249684.db2.gz OKZVFOKYGQUGIZ-SNVBAGLBSA-N 0 2 323.294 0.807 20 0 DCADLN CCCc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001406153702 1003421889 /nfs/dbraw/zinc/42/18/89/1003421889.db2.gz JBNOJHVBEGNMOX-UHFFFAOYSA-N 0 2 322.369 0.655 20 0 DCADLN CCCc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001406153702 1003421894 /nfs/dbraw/zinc/42/18/94/1003421894.db2.gz JBNOJHVBEGNMOX-UHFFFAOYSA-N 0 2 322.369 0.655 20 0 DCADLN CCO[C@H](C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001406611613 1003923480 /nfs/dbraw/zinc/92/34/80/1003923480.db2.gz NXSGLOLOAYWHOX-SKDRFNHKSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@H](C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001406611613 1003923484 /nfs/dbraw/zinc/92/34/84/1003923484.db2.gz NXSGLOLOAYWHOX-SKDRFNHKSA-N 0 2 311.386 0.262 20 0 DCADLN CCc1oncc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406704336 1004020510 /nfs/dbraw/zinc/02/05/10/1004020510.db2.gz POCFSXOZFVLZSY-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1oncc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406704336 1004020515 /nfs/dbraw/zinc/02/05/15/1004020515.db2.gz POCFSXOZFVLZSY-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCOCC(=O)NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001426821861 1004061752 /nfs/dbraw/zinc/06/17/52/1004061752.db2.gz ONCGUWOGNLHBAL-KHQFGBGNSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NC[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001426821861 1004061760 /nfs/dbraw/zinc/06/17/60/1004061760.db2.gz ONCGUWOGNLHBAL-KHQFGBGNSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)NC(=O)COCC(F)F ZINC001428742810 1004143290 /nfs/dbraw/zinc/14/32/90/1004143290.db2.gz SQORCQAYPSSHEJ-QMMMGPOBSA-N 0 2 318.324 0.163 20 0 DCADLN O=C(CCCF)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001428929874 1004274299 /nfs/dbraw/zinc/27/42/99/1004274299.db2.gz LESQMXJNBBJGBW-FJXKBIBVSA-N 0 2 318.242 0.324 20 0 DCADLN O=C(CCCF)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001428929874 1004274309 /nfs/dbraw/zinc/27/43/09/1004274309.db2.gz LESQMXJNBBJGBW-FJXKBIBVSA-N 0 2 318.242 0.324 20 0 DCADLN CC(C)CC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001428932629 1004275131 /nfs/dbraw/zinc/27/51/31/1004275131.db2.gz QTPMWSQXYAZXJD-WEDXCCLWSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)CC(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001428932629 1004275134 /nfs/dbraw/zinc/27/51/34/1004275134.db2.gz QTPMWSQXYAZXJD-WEDXCCLWSA-N 0 2 314.279 0.621 20 0 DCADLN COCCC(=O)N(C)C[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001428976189 1004300998 /nfs/dbraw/zinc/30/09/98/1004300998.db2.gz VFUHBFGTLYCTON-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N(C)C[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001428976189 1004301006 /nfs/dbraw/zinc/30/10/06/1004301006.db2.gz VFUHBFGTLYCTON-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001427456609 1004397978 /nfs/dbraw/zinc/39/79/78/1004397978.db2.gz KPVROUWQMKNVPJ-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnn(C)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001427456609 1004397983 /nfs/dbraw/zinc/39/79/83/1004397983.db2.gz KPVROUWQMKNVPJ-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN CO[C@@H]1CCC[C@H]1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427586959 1004476526 /nfs/dbraw/zinc/47/65/26/1004476526.db2.gz FWBVAFZHBGBSEY-HBNTYKKESA-N 0 2 309.370 0.967 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN([C@@H]2CCCNC2=O)CC1 ZINC001472960532 1016892286 /nfs/dbraw/zinc/89/22/86/1016892286.db2.gz QFJQDOUSDINCQX-RKDXNWHRSA-N 0 2 311.279 0.310 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCN([C@@H]2CCCNC2=O)CC1 ZINC001472960532 1016892303 /nfs/dbraw/zinc/89/23/03/1016892303.db2.gz QFJQDOUSDINCQX-RKDXNWHRSA-N 0 2 311.279 0.310 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cncnc1 ZINC001506022559 1016902124 /nfs/dbraw/zinc/90/21/24/1016902124.db2.gz JTWKTJZRMDIGGW-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1cncnc1 ZINC001506022559 1016902132 /nfs/dbraw/zinc/90/21/32/1016902132.db2.gz JTWKTJZRMDIGGW-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN Cc1nnccc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001408250419 1005126386 /nfs/dbraw/zinc/12/63/86/1005126386.db2.gz DZZUCRAGVBHZHD-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nnccc1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001408250419 1005126389 /nfs/dbraw/zinc/12/63/89/1005126389.db2.gz DZZUCRAGVBHZHD-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN O=S(=O)(N[C@@H]1COC[C@H]1O)c1onc(C2CC2)c1Cl ZINC001414270087 1005271727 /nfs/dbraw/zinc/27/17/27/1005271727.db2.gz VSFBLHCAHSBRBX-RNFRBKRXSA-N 0 2 308.743 0.243 20 0 DCADLN O=C(NCC[C@H]1CCOC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414582758 1005365290 /nfs/dbraw/zinc/36/52/90/1005365290.db2.gz NAHIZBUMSZOSIO-QWRGUYRKSA-N 0 2 309.370 0.826 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001414779190 1005400859 /nfs/dbraw/zinc/40/08/59/1005400859.db2.gz CGYUWTXEKKHOLD-BDAKNGLRSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001414779190 1005400862 /nfs/dbraw/zinc/40/08/62/1005400862.db2.gz CGYUWTXEKKHOLD-BDAKNGLRSA-N 0 2 322.262 0.651 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001414939594 1005427993 /nfs/dbraw/zinc/42/79/93/1005427993.db2.gz XRMXCJAZRQPFKO-ZDUSSCGKSA-N 0 2 321.425 0.022 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC001415012202 1005441549 /nfs/dbraw/zinc/44/15/49/1005441549.db2.gz RACNOYUJDUSALG-GJMOJQLCSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ncccn1 ZINC001415012202 1005441551 /nfs/dbraw/zinc/44/15/51/1005441551.db2.gz RACNOYUJDUSALG-GJMOJQLCSA-N 0 2 320.246 0.754 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001415182936 1005476887 /nfs/dbraw/zinc/47/68/87/1005476887.db2.gz QBMQDMNKGJGGNR-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001415182936 1005476889 /nfs/dbraw/zinc/47/68/89/1005476889.db2.gz QBMQDMNKGJGGNR-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN O=C(Cc1cnoc1)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416117909 1005662945 /nfs/dbraw/zinc/66/29/45/1005662945.db2.gz LEKVPNAUTWAFBM-JTQLQIEISA-N 0 2 323.246 0.692 20 0 DCADLN O=C(Cc1cnoc1)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001416117909 1005662946 /nfs/dbraw/zinc/66/29/46/1005662946.db2.gz LEKVPNAUTWAFBM-JTQLQIEISA-N 0 2 323.246 0.692 20 0 DCADLN CC[C@H](CNC(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001416219134 1005672938 /nfs/dbraw/zinc/67/29/38/1005672938.db2.gz OMQNDMJVQMNQNP-RNFRBKRXSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)c1ncc[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001416219134 1005672939 /nfs/dbraw/zinc/67/29/39/1005672939.db2.gz OMQNDMJVQMNQNP-RNFRBKRXSA-N 0 2 310.251 0.935 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC(C(F)F)C1 ZINC001417677907 1005866145 /nfs/dbraw/zinc/86/61/45/1005866145.db2.gz YDZVIKSMPQPHIU-UHFFFAOYSA-N 0 2 310.260 0.603 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C[C@@H]1CCNC1=O ZINC001417723838 1005876526 /nfs/dbraw/zinc/87/65/26/1005876526.db2.gz BYBWCJHYFGIBML-JTQLQIEISA-N 0 2 315.333 0.950 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2cncc(F)c2)c1 ZINC001417730470 1005877832 /nfs/dbraw/zinc/87/78/32/1005877832.db2.gz JIMCADOQNPBNNW-UHFFFAOYSA-N 0 2 311.294 0.826 20 0 DCADLN CC(C)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[NH+]2CC[C@H](C)C2)C1 ZINC001417910685 1005922531 /nfs/dbraw/zinc/92/25/31/1005922531.db2.gz DHIXRRZBHXLLRA-LSDHHAIUSA-N 0 2 324.469 0.433 20 0 DCADLN O=C(C[NH+]1CCCC1)N[C@@H]1CCC[N@H+](CCNC(=O)C2CC2)C1 ZINC001417913751 1005923151 /nfs/dbraw/zinc/92/31/51/1005923151.db2.gz ADWBSFPRZPEKCU-OAHLLOKOSA-N 0 2 322.453 0.189 20 0 DCADLN O=C(N[C@@H]1CCn2ccnc21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434808218 1005994770 /nfs/dbraw/zinc/99/47/70/1005994770.db2.gz WVCCXKIZKCZMGA-NXEZZACHSA-N 0 2 317.353 0.741 20 0 DCADLN CCn1ncnc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001434862498 1006057973 /nfs/dbraw/zinc/05/79/73/1006057973.db2.gz WIYNWOFUYLIOCH-JTQLQIEISA-N 0 2 323.294 0.843 20 0 DCADLN CCn1ncnc1CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001434862498 1006057986 /nfs/dbraw/zinc/05/79/86/1006057986.db2.gz WIYNWOFUYLIOCH-JTQLQIEISA-N 0 2 323.294 0.843 20 0 DCADLN CCC(CC)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451352351 1006113748 /nfs/dbraw/zinc/11/37/48/1006113748.db2.gz SFZAIVSMMRGPLJ-RKDXNWHRSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001451352351 1006113754 /nfs/dbraw/zinc/11/37/54/1006113754.db2.gz SFZAIVSMMRGPLJ-RKDXNWHRSA-N 0 2 316.295 0.916 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCOCC1 ZINC001438867491 1006176689 /nfs/dbraw/zinc/17/66/89/1006176689.db2.gz QTVZHNVRHDYTCD-LLVKDONJSA-N 0 2 311.386 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCOCC1 ZINC001438867491 1006176697 /nfs/dbraw/zinc/17/66/97/1006176697.db2.gz QTVZHNVRHDYTCD-LLVKDONJSA-N 0 2 311.386 0.217 20 0 DCADLN CC(C)C[C@@H](CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001418367695 1006434486 /nfs/dbraw/zinc/43/44/86/1006434486.db2.gz MRPVNZQNTWHPFJ-NSHDSACASA-N 0 2 320.349 0.403 20 0 DCADLN CC(C)(F)C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452225075 1006669801 /nfs/dbraw/zinc/66/98/01/1006669801.db2.gz NHAAKQLQIVUAGC-NTSWFWBYSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001452225075 1006669807 /nfs/dbraw/zinc/66/98/07/1006669807.db2.gz NHAAKQLQIVUAGC-NTSWFWBYSA-N 0 2 306.231 0.228 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1C ZINC001439303485 1006672686 /nfs/dbraw/zinc/67/26/86/1006672686.db2.gz SVYPJPBNSUKHSM-SECBINFHSA-N 0 2 306.370 0.408 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1C ZINC001439303485 1006672689 /nfs/dbraw/zinc/67/26/89/1006672689.db2.gz SVYPJPBNSUKHSM-SECBINFHSA-N 0 2 306.370 0.408 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001439410272 1006834139 /nfs/dbraw/zinc/83/41/39/1006834139.db2.gz QUOBCJMEXXKTFW-VIFPVBQESA-N 0 2 320.397 0.716 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001439410272 1006834155 /nfs/dbraw/zinc/83/41/55/1006834155.db2.gz QUOBCJMEXXKTFW-VIFPVBQESA-N 0 2 320.397 0.716 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C[NH+]1CCCC1)C(=O)Cn1cc[nH+]c1 ZINC001452860888 1007120375 /nfs/dbraw/zinc/12/03/75/1007120375.db2.gz JUATXGFUNUIZPJ-AWEZNQCLSA-N 0 2 319.409 0.038 20 0 DCADLN CCCNC(=O)[C@@H](C)[NH+]1CC(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001438115880 1007646991 /nfs/dbraw/zinc/64/69/91/1007646991.db2.gz WBGNXFCLUDKXSJ-SNVBAGLBSA-N 0 2 323.397 0.000 20 0 DCADLN C[C@H](NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1nc[nH]n1 ZINC001475162839 1017071581 /nfs/dbraw/zinc/07/15/81/1017071581.db2.gz YIAGLDLXUBNXKR-ULCDLSAGSA-N 0 2 309.417 0.596 20 0 DCADLN CCCc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001440954023 1008139241 /nfs/dbraw/zinc/13/92/41/1008139241.db2.gz FKVFOOFCFZPHJI-VIFPVBQESA-N 0 2 321.385 0.436 20 0 DCADLN CCCc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001440954023 1008139243 /nfs/dbraw/zinc/13/92/43/1008139243.db2.gz FKVFOOFCFZPHJI-VIFPVBQESA-N 0 2 321.385 0.436 20 0 DCADLN CN(C)C(=O)CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001441026878 1008183749 /nfs/dbraw/zinc/18/37/49/1008183749.db2.gz ZSQRRMXTSXGBHY-PSASIEDQSA-N 0 2 313.295 0.556 20 0 DCADLN NS(=O)(=O)c1cccc(C[N@@H+]2CCC[C@@H](NC(=O)[O-])C2)c1 ZINC001574281032 1163682001 /nfs/dbraw/zinc/68/20/01/1163682001.db2.gz UGDAHEFYMWHSTQ-LLVKDONJSA-N 0 2 313.379 0.566 20 0 DCADLN NS(=O)(=O)c1cccc(C[N@H+]2CCC[C@@H](NC(=O)[O-])C2)c1 ZINC001574281032 1163682007 /nfs/dbraw/zinc/68/20/07/1163682007.db2.gz UGDAHEFYMWHSTQ-LLVKDONJSA-N 0 2 313.379 0.566 20 0 DCADLN C[C@H](NC(=O)CCC(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441197637 1008265826 /nfs/dbraw/zinc/26/58/26/1008265826.db2.gz ZOLXAYXYPRTJIO-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN Cc1cnc([C@H](C)[NH2+][C@@H](CO)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001441684818 1008458294 /nfs/dbraw/zinc/45/82/94/1008458294.db2.gz NNRLLQNQLSDJQN-VHSXEESVSA-N 0 2 323.353 0.162 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cccnn1 ZINC001453527188 1008558028 /nfs/dbraw/zinc/55/80/28/1008558028.db2.gz LRYOVEAAMFFLLU-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1cccnn1 ZINC001453527188 1008558036 /nfs/dbraw/zinc/55/80/36/1008558036.db2.gz LRYOVEAAMFFLLU-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN CC(C)(CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC001433426476 1008774528 /nfs/dbraw/zinc/77/45/28/1008774528.db2.gz ZMAZDBQIGRMAHG-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN CNc1cc(C(=O)NCC2=NC(=O)CC(=O)N2)cc(Cl)n1 ZINC001454187404 1008985945 /nfs/dbraw/zinc/98/59/45/1008985945.db2.gz BTHWQCFGCKGPKY-UHFFFAOYSA-N 0 2 309.713 0.908 20 0 DCADLN CNc1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc(Cl)n1 ZINC001454187404 1008985949 /nfs/dbraw/zinc/98/59/49/1008985949.db2.gz BTHWQCFGCKGPKY-UHFFFAOYSA-N 0 2 309.713 0.908 20 0 DCADLN COc1ccncc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001433631491 1009023255 /nfs/dbraw/zinc/02/32/55/1009023255.db2.gz XRDKIUFCPDDGSC-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001454333744 1009079204 /nfs/dbraw/zinc/07/92/04/1009079204.db2.gz LSGFKBCPVSJVQL-ZKWXMUAHSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001454333744 1009079220 /nfs/dbraw/zinc/07/92/20/1009079220.db2.gz LSGFKBCPVSJVQL-ZKWXMUAHSA-N 0 2 322.262 0.996 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)Cc1cnns1 ZINC001442415030 1009291443 /nfs/dbraw/zinc/29/14/43/1009291443.db2.gz SOGYBJFLYBVWIP-ZETCQYMHSA-N 0 2 300.281 0.987 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1cnns1 ZINC001442415030 1009291457 /nfs/dbraw/zinc/29/14/57/1009291457.db2.gz SOGYBJFLYBVWIP-ZETCQYMHSA-N 0 2 300.281 0.987 20 0 DCADLN CC(=O)N1CCC(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001433943420 1009380582 /nfs/dbraw/zinc/38/05/82/1009380582.db2.gz NZTWYYZJOYPRAM-GFCCVEGCSA-N 0 2 321.381 0.475 20 0 DCADLN CCOC(=O)[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001433944837 1009382438 /nfs/dbraw/zinc/38/24/38/1009382438.db2.gz ZARBSKPLXHLKSY-OPRDCNLKSA-N 0 2 308.338 0.416 20 0 DCADLN Cc1noc(C2CC2)c1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442481760 1009391156 /nfs/dbraw/zinc/39/11/56/1009391156.db2.gz HNXZJTDMTHUXMZ-UHFFFAOYSA-N 0 2 320.353 0.546 20 0 DCADLN Cc1noc(C2CC2)c1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442481760 1009391170 /nfs/dbraw/zinc/39/11/70/1009391170.db2.gz HNXZJTDMTHUXMZ-UHFFFAOYSA-N 0 2 320.353 0.546 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2CCN(CC(F)F)C2)S1 ZINC001455156263 1009530734 /nfs/dbraw/zinc/53/07/34/1009530734.db2.gz POSRYLQLCCDGSI-HTQZYQBOSA-N 0 2 320.365 0.246 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1ccco1 ZINC001422143700 1009545724 /nfs/dbraw/zinc/54/57/24/1009545724.db2.gz QHXBFDSUKITSRI-UHFFFAOYSA-N 0 2 307.354 0.626 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1ccco1 ZINC001422143700 1009545733 /nfs/dbraw/zinc/54/57/33/1009545733.db2.gz QHXBFDSUKITSRI-UHFFFAOYSA-N 0 2 307.354 0.626 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)O1 ZINC001442674390 1009606363 /nfs/dbraw/zinc/60/63/63/1009606363.db2.gz FTIHFYZARPMIDX-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)O1 ZINC001442674390 1009606368 /nfs/dbraw/zinc/60/63/68/1009606368.db2.gz FTIHFYZARPMIDX-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN COC(=O)Cc1occ(C)c1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001434230912 1009681649 /nfs/dbraw/zinc/68/16/49/1009681649.db2.gz GCEWZKLVIOHHNY-UHFFFAOYSA-N 0 2 321.289 0.435 20 0 DCADLN CN(C)C(=O)c1cccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001434233021 1009683742 /nfs/dbraw/zinc/68/37/42/1009683742.db2.gz WHXZLJWFCUBIDA-UHFFFAOYSA-N 0 2 316.317 0.520 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1nnc[nH]1)Cc1ccc(F)cn1 ZINC001442745286 1009691727 /nfs/dbraw/zinc/69/17/27/1009691727.db2.gz ZTSXWDBJGWUIFT-UHFFFAOYSA-N 0 2 306.345 0.520 20 0 DCADLN O=C([O-])c1c(C[N@H+]2CC[C@@H](O)[C@@H](O)C2)occ1C(F)(F)F ZINC001574406290 1163714953 /nfs/dbraw/zinc/71/49/53/1163714953.db2.gz YCSBOARILPAHKS-SFYZADRCSA-N 0 2 309.240 0.924 20 0 DCADLN O=C([O-])c1c(C[N@@H+]2CC[C@@H](O)[C@@H](O)C2)occ1C(F)(F)F ZINC001574406290 1163714960 /nfs/dbraw/zinc/71/49/60/1163714960.db2.gz YCSBOARILPAHKS-SFYZADRCSA-N 0 2 309.240 0.924 20 0 DCADLN C[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CCCC1 ZINC001434386596 1009878618 /nfs/dbraw/zinc/87/86/18/1009878618.db2.gz YPOFXSMDOGAYLF-SECBINFHSA-N 0 2 314.411 0.792 20 0 DCADLN C[C@@H]1C(=O)CCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434401833 1009907167 /nfs/dbraw/zinc/90/71/67/1009907167.db2.gz MGJKMCKDLXSKFM-SECBINFHSA-N 0 2 316.317 0.460 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)N(C)C(=O)C(F)C(F)(F)F ZINC001442910199 1009925072 /nfs/dbraw/zinc/92/50/72/1009925072.db2.gz RDBUWLHYCSSPLQ-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001442910199 1009925081 /nfs/dbraw/zinc/92/50/81/1009925081.db2.gz RDBUWLHYCSSPLQ-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cn(-c3ccccc3)nn2)S1 ZINC001434421500 1009934175 /nfs/dbraw/zinc/93/41/75/1009934175.db2.gz DATPKEOGQOVEKZ-SECBINFHSA-N 0 2 316.346 0.762 20 0 DCADLN Cc1ncoc1C[NH2+][C@H](C)[C@H](C)NC(=O)CCc1cnn[nH]1 ZINC001422377265 1009978387 /nfs/dbraw/zinc/97/83/87/1009978387.db2.gz MVQLHPZDYGVULH-ZJUUUORDSA-N 0 2 306.370 0.717 20 0 DCADLN CCCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)COC ZINC001455810331 1009981318 /nfs/dbraw/zinc/98/13/18/1009981318.db2.gz RTCUWZXFHVWHFU-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCCN(CCNC(=O)C(F)C(F)(F)F)C(=O)COC ZINC001455810331 1009981311 /nfs/dbraw/zinc/98/13/11/1009981311.db2.gz RTCUWZXFHVWHFU-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CON(Cc1ccc(C)o1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001455973680 1010059761 /nfs/dbraw/zinc/05/97/61/1010059761.db2.gz FLZZIKKODWHQQR-UHFFFAOYSA-N 0 2 317.305 0.563 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NC[C@H](O)c1ccco1 ZINC001434550260 1010081149 /nfs/dbraw/zinc/08/11/49/1010081149.db2.gz IIDSALPSPLTMBG-VIFPVBQESA-N 0 2 308.381 0.409 20 0 DCADLN COCC(=O)N1CCC(N(C)C(=O)C(F)C(F)(F)F)CC1 ZINC001443558974 1010494747 /nfs/dbraw/zinc/49/47/47/1010494747.db2.gz XLPXDIZBNLOTDH-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N1CCC(N(C)C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001443558974 1010494750 /nfs/dbraw/zinc/49/47/50/1010494750.db2.gz XLPXDIZBNLOTDH-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cncn1C ZINC001508898932 1017091417 /nfs/dbraw/zinc/09/14/17/1017091417.db2.gz IPJHPNBHHPQTBJ-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cncn1C ZINC001508898932 1017091432 /nfs/dbraw/zinc/09/14/32/1017091432.db2.gz IPJHPNBHHPQTBJ-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001443626143 1010548736 /nfs/dbraw/zinc/54/87/36/1010548736.db2.gz AZMOOMPGGIUIAB-SNVBAGLBSA-N 0 2 319.365 0.845 20 0 DCADLN C[C@@H](CN(C)C(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001443734676 1010616977 /nfs/dbraw/zinc/61/69/77/1010616977.db2.gz KBOLUKGTLACRAT-WCBMZHEXSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@@H](CN(C)C(=O)Cn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001443734676 1010616984 /nfs/dbraw/zinc/61/69/84/1010616984.db2.gz KBOLUKGTLACRAT-WCBMZHEXSA-N 0 2 324.278 0.747 20 0 DCADLN Cc1cccc(CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001423108032 1010894846 /nfs/dbraw/zinc/89/48/46/1010894846.db2.gz HGNSYHZPZWQUNA-CYBMUJFWSA-N 0 2 315.377 0.752 20 0 DCADLN O=C(CCc1nccs1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423169339 1010938848 /nfs/dbraw/zinc/93/88/48/1010938848.db2.gz DYOIIMBHCFFXPN-SECBINFHSA-N 0 2 322.394 0.290 20 0 DCADLN CN1CC2(CN(Cc3ccc(S(C)(=O)=O)s3)C2)OC1=O ZINC001423282388 1011045651 /nfs/dbraw/zinc/04/56/51/1011045651.db2.gz CMGLNPWLGVRGEZ-UHFFFAOYSA-N 0 2 316.404 0.788 20 0 DCADLN C[C@@H](C[NH2+]Cc1cnn(C)c1)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001444611940 1011094551 /nfs/dbraw/zinc/09/45/51/1011094551.db2.gz JYBBFHXEHSKOQD-LBPRGKRZSA-N 0 2 304.398 0.713 20 0 DCADLN CCc1cncc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001445008913 1011309606 /nfs/dbraw/zinc/30/96/06/1011309606.db2.gz XGXOPIXBGNKLAM-UHFFFAOYSA-N 0 2 318.381 0.672 20 0 DCADLN CCc1cncc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001445008913 1011309616 /nfs/dbraw/zinc/30/96/16/1011309616.db2.gz XGXOPIXBGNKLAM-UHFFFAOYSA-N 0 2 318.381 0.672 20 0 DCADLN C[C@H](NC(=O)C1CC2(C1)CS(=O)(=O)C2)c1nn(C)cc1O ZINC001456790173 1011462951 /nfs/dbraw/zinc/46/29/51/1011462951.db2.gz RTWSAYOVKJFLJU-QMMMGPOBSA-N 0 2 313.379 0.128 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001431656048 1011508314 /nfs/dbraw/zinc/50/83/14/1011508314.db2.gz OSGPOWKDDINETP-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001431656048 1011508320 /nfs/dbraw/zinc/50/83/20/1011508320.db2.gz OSGPOWKDDINETP-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1n[nH]cc1F)NC(=O)C(F)C(F)(F)F ZINC001431837001 1011694146 /nfs/dbraw/zinc/69/41/46/1011694146.db2.gz YEBVGFYGPASQBB-FFWSUHOLSA-N 0 2 314.214 0.684 20 0 DCADLN C[C@@H](CNC(=O)c1n[nH]cc1F)NC(=O)[C@H](F)C(F)(F)F ZINC001431837001 1011694156 /nfs/dbraw/zinc/69/41/56/1011694156.db2.gz YEBVGFYGPASQBB-FFWSUHOLSA-N 0 2 314.214 0.684 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)NC[C@H](O)C(F)(F)F ZINC001424020589 1011858602 /nfs/dbraw/zinc/85/86/02/1011858602.db2.gz INULAVXAUDMLNY-LURJTMIESA-N 0 2 317.241 0.268 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001432044334 1011905752 /nfs/dbraw/zinc/90/57/52/1011905752.db2.gz WHGGNFIFIXNEKB-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001432044334 1011905765 /nfs/dbraw/zinc/90/57/65/1011905765.db2.gz WHGGNFIFIXNEKB-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN C[Si](C)(CNC(=O)C[C@H]1SC(=N)NC1=O)c1ccccc1 ZINC001556144618 1012187349 /nfs/dbraw/zinc/18/73/49/1012187349.db2.gz SXTBHAOZKYWAOM-LLVKDONJSA-N 0 2 321.478 0.814 20 0 DCADLN CCOC(OCC)[C@@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001558877910 1012392709 /nfs/dbraw/zinc/39/27/09/1012392709.db2.gz LVJJKOJMXVVDJP-HTQZYQBOSA-N 0 2 303.384 0.447 20 0 DCADLN CC[C@H](OC)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432379888 1012403806 /nfs/dbraw/zinc/40/38/06/1012403806.db2.gz OBTUFSLUEQSXCQ-DTWKUNHWSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@H](OC)C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432379888 1012403816 /nfs/dbraw/zinc/40/38/16/1012403816.db2.gz OBTUFSLUEQSXCQ-DTWKUNHWSA-N 0 2 314.279 0.981 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001445916850 1012419569 /nfs/dbraw/zinc/41/95/69/1012419569.db2.gz AJZBNUOQBWWUSR-BBVRLYRLSA-N 0 2 323.250 0.330 20 0 DCADLN CS(=O)(=O)[C@@H]1CCCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001460012444 1012847082 /nfs/dbraw/zinc/84/70/82/1012847082.db2.gz YDVXRAMAFUMJIG-GFCCVEGCSA-N 0 2 324.406 0.422 20 0 DCADLN CCOCC(=O)NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001429935585 1012966904 /nfs/dbraw/zinc/96/69/04/1012966904.db2.gz WDRQFTWDAPUSDC-PSASIEDQSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001429935585 1012966915 /nfs/dbraw/zinc/96/69/15/1012966915.db2.gz WDRQFTWDAPUSDC-PSASIEDQSA-N 0 2 314.279 0.934 20 0 DCADLN CCOc1ccc(S(=O)(=O)Nc2nnn(C(C)C)n2)cn1 ZINC001475703559 1017141422 /nfs/dbraw/zinc/14/14/22/1017141422.db2.gz PQNRYGHQLRGLST-UHFFFAOYSA-N 0 2 312.355 0.849 20 0 DCADLN NC(=O)[C@H]1CC[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001478805084 1017391737 /nfs/dbraw/zinc/39/17/37/1017391737.db2.gz FEFHMSOQLAZRHE-LPEHRKFASA-N 0 2 322.369 0.053 20 0 DCADLN CON1CCC(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001478841728 1017396278 /nfs/dbraw/zinc/39/62/78/1017396278.db2.gz CVMPJBXINCCVSQ-JTQLQIEISA-N 0 2 324.385 0.425 20 0 DCADLN C[C@@H](NC(=O)[C@@]1(C)CCCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506665387 1017451330 /nfs/dbraw/zinc/45/13/30/1017451330.db2.gz AQCPRNGVZFTUSK-BMIGLBTASA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](CN(C)C(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001510506158 1017677065 /nfs/dbraw/zinc/67/70/65/1017677065.db2.gz YTEAWLORFXFMFQ-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN CC[C@@H](OC)C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001479884790 1017938421 /nfs/dbraw/zinc/93/84/21/1017938421.db2.gz MBGMUAFAYNLLGR-LLVKDONJSA-N 0 2 311.386 0.358 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001499672696 1018031573 /nfs/dbraw/zinc/03/15/73/1018031573.db2.gz OHLPBQVVXGZNIH-SECBINFHSA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001499672696 1018031588 /nfs/dbraw/zinc/03/15/88/1018031588.db2.gz OHLPBQVVXGZNIH-SECBINFHSA-N 0 2 309.267 0.358 20 0 DCADLN CCOCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494800875 1018039190 /nfs/dbraw/zinc/03/91/90/1018039190.db2.gz GHKWVPIUSQUBMR-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCOCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494800875 1018039202 /nfs/dbraw/zinc/03/92/02/1018039202.db2.gz GHKWVPIUSQUBMR-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCN(C(=O)Cc1ccc[nH]1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494924819 1018154053 /nfs/dbraw/zinc/15/40/53/1018154053.db2.gz NDFMVPLJJGBVNU-LBPRGKRZSA-N 0 2 318.381 0.504 20 0 DCADLN CCN(C(=O)Cc1ccc[nH]1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494924819 1018154058 /nfs/dbraw/zinc/15/40/58/1018154058.db2.gz NDFMVPLJJGBVNU-LBPRGKRZSA-N 0 2 318.381 0.504 20 0 DCADLN O=C([C@H]1[C@@H]2CCCC[C@@H]21)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001480345849 1018450252 /nfs/dbraw/zinc/45/02/52/1018450252.db2.gz VWVZORNJNVDARB-PJXYFTJBSA-N 0 2 305.382 0.591 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498224156 1018775575 /nfs/dbraw/zinc/77/55/75/1018775575.db2.gz UCZSRRSMOSSTMU-SECBINFHSA-N 0 2 308.235 0.180 20 0 DCADLN Cc1cnn(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001498224197 1018776457 /nfs/dbraw/zinc/77/64/57/1018776457.db2.gz UNNXAIAOYVSADA-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN Cc1cnn(CC(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC001498224197 1018776471 /nfs/dbraw/zinc/77/64/71/1018776471.db2.gz UNNXAIAOYVSADA-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n(C)n1 ZINC001495882112 1018818517 /nfs/dbraw/zinc/81/85/17/1018818517.db2.gz BBRLDEDERUGTHW-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)n(C)n1 ZINC001495882112 1018818536 /nfs/dbraw/zinc/81/85/36/1018818536.db2.gz BBRLDEDERUGTHW-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCNC(=O)Cc1ccn(C)n1)C(=O)C(F)C(F)(F)F ZINC001496018058 1018972230 /nfs/dbraw/zinc/97/22/30/1018972230.db2.gz NHDYQCLKDWQTCI-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)Cc1ccn(C)n1)C(=O)[C@H](F)C(F)(F)F ZINC001496018058 1018972256 /nfs/dbraw/zinc/97/22/56/1018972256.db2.gz NHDYQCLKDWQTCI-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)Cc1ccn(C)n1)C(=O)C(F)C(F)(F)F ZINC001496018059 1018972688 /nfs/dbraw/zinc/97/26/88/1018972688.db2.gz NHDYQCLKDWQTCI-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)Cc1ccn(C)n1)C(=O)[C@@H](F)C(F)(F)F ZINC001496018059 1018972700 /nfs/dbraw/zinc/97/27/00/1018972700.db2.gz NHDYQCLKDWQTCI-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CCC[C@@H](C)NC(=O)C[N@H+](C)CCNC(=O)c1n[nH]c(C)c1C ZINC001480849679 1018985994 /nfs/dbraw/zinc/98/59/94/1018985994.db2.gz JCTVYNYEHXCJEU-LLVKDONJSA-N 0 2 323.441 0.993 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)o1 ZINC001493093153 1019093598 /nfs/dbraw/zinc/09/35/98/1019093598.db2.gz LMHYNUXBOUXGND-LLVKDONJSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)o1 ZINC001493093153 1019093609 /nfs/dbraw/zinc/09/36/09/1019093609.db2.gz LMHYNUXBOUXGND-LLVKDONJSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1nnc([C@@H](C)N(CCNC(=O)c2cnn[nH]2)C2CC2)[nH]1 ZINC001493386233 1019380289 /nfs/dbraw/zinc/38/02/89/1019380289.db2.gz WGDXABRJUFMTJG-MRVPVSSYSA-N 0 2 304.358 0.187 20 0 DCADLN CCN(CCNC(=O)c1cnon1)C(=O)[C@H](F)C(F)(F)F ZINC001496920763 1019763253 /nfs/dbraw/zinc/76/32/53/1019763253.db2.gz ZFZYRDAESPSGAC-ZETCQYMHSA-N 0 2 312.223 0.548 20 0 DCADLN CCN(CCNC(=O)c1cnon1)C(=O)C(F)C(F)(F)F ZINC001496920763 1019763237 /nfs/dbraw/zinc/76/32/37/1019763237.db2.gz ZFZYRDAESPSGAC-ZETCQYMHSA-N 0 2 312.223 0.548 20 0 DCADLN COCCC1(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCCC1 ZINC001494516075 1020268857 /nfs/dbraw/zinc/26/88/57/1020268857.db2.gz ZUYAUXQQTZUPQD-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1[nH]c(C[N@@H+]2CC[C@@H](CS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001607494002 1170467185 /nfs/dbraw/zinc/46/71/85/1170467185.db2.gz JJUFKAZWLGVJQB-SNVBAGLBSA-N 0 2 300.380 0.888 20 0 DCADLN Cc1[nH]c(C[N@H+]2CC[C@@H](CS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001607494002 1170467189 /nfs/dbraw/zinc/46/71/89/1170467189.db2.gz JJUFKAZWLGVJQB-SNVBAGLBSA-N 0 2 300.380 0.888 20 0 DCADLN Cc1oc(S(=O)(=O)NCCc2c[nH+]cn2C)cc1C(=O)[O-] ZINC001608057122 1170561729 /nfs/dbraw/zinc/56/17/29/1170561729.db2.gz VYPYWJDTZAMXPH-UHFFFAOYSA-N 0 2 313.335 0.541 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnc3onc(C)c3c2)C1=O ZINC000298155722 529540261 /nfs/dbraw/zinc/54/02/61/529540261.db2.gz QHDOLMCJVLGVIO-CQSZACIVSA-N 0 2 317.305 0.897 20 0 DCADLN CNC(=O)N[C@H]1CCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000331866769 529890292 /nfs/dbraw/zinc/89/02/92/529890292.db2.gz JQFFPBFKMBTASL-QMMMGPOBSA-N 0 2 307.379 0.900 20 0 DCADLN CC(C)CN(CC(C)C)C(=O)C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000043704656 545649448 /nfs/dbraw/zinc/64/94/48/545649448.db2.gz KDCRLMKFKPOFKV-ZDUSSCGKSA-N 0 2 300.399 0.912 20 0 DCADLN CC(C)CN(CC(C)C)C(=O)C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000043704656 545649455 /nfs/dbraw/zinc/64/94/55/545649455.db2.gz KDCRLMKFKPOFKV-ZDUSSCGKSA-N 0 2 300.399 0.912 20 0 DCADLN COC(=O)CN(CC(=O)OC)C(=O)c1cc(F)c(O)c(F)c1 ZINC000272641652 210046453 /nfs/dbraw/zinc/04/64/53/210046453.db2.gz XUFBZUCINVIWNK-UHFFFAOYSA-N 0 2 317.244 0.459 20 0 DCADLN COC[C@@H](CO)NC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000280023821 215318594 /nfs/dbraw/zinc/31/85/94/215318594.db2.gz OAJCAERLNDWIPV-LLVKDONJSA-N 0 2 323.324 0.763 20 0 DCADLN O=c1nc(CN2CCC[C@H](OCCO)C2)[nH]c2ccsc21 ZINC000172299731 198074037 /nfs/dbraw/zinc/07/40/37/198074037.db2.gz UCYKZNSQUCQOAH-JTQLQIEISA-N 0 2 309.391 0.958 20 0 DCADLN COC(=O)[C@H](C)N(C)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000281146977 216110958 /nfs/dbraw/zinc/11/09/58/216110958.db2.gz IWARCAQYIVOMPQ-ZETCQYMHSA-N 0 2 320.305 0.378 20 0 DCADLN Cn1cc(C(=O)NCc2n[nH]c(=O)[nH]2)c(-c2cccs2)n1 ZINC000158504793 291231623 /nfs/dbraw/zinc/23/16/23/291231623.db2.gz RSYDGMVRJRTKBH-UHFFFAOYSA-N 0 2 304.335 0.490 20 0 DCADLN CCn1cc(C(=O)NN2CC(=O)N(C)C2=O)c(C(F)(F)F)n1 ZINC000281376624 216259514 /nfs/dbraw/zinc/25/95/14/216259514.db2.gz UGZDXACQDHQQCD-UHFFFAOYSA-N 0 2 319.243 0.461 20 0 DCADLN CN1CC[N@H+](C)[C@@H](CNc2cc(C(C)(C)C)nc(C(=O)[O-])n2)C1 ZINC000566291340 291291168 /nfs/dbraw/zinc/29/11/68/291291168.db2.gz PJXKGZPAIOVHBK-NSHDSACASA-N 0 2 321.425 0.552 20 0 DCADLN CN1CC[N@@H+](C)[C@@H](CNc2cc(C(C)(C)C)nc(C(=O)[O-])n2)C1 ZINC000566291340 291291170 /nfs/dbraw/zinc/29/11/70/291291170.db2.gz PJXKGZPAIOVHBK-NSHDSACASA-N 0 2 321.425 0.552 20 0 DCADLN CO[C@H]1C[C@@H](C(=O)[O-])[N@H+](Cc2cc(-c3cnn(C)c3)no2)C1 ZINC000566361645 291297120 /nfs/dbraw/zinc/29/71/20/291297120.db2.gz JPJOBBSRMVXZHI-GWCFXTLKSA-N 0 2 306.322 0.749 20 0 DCADLN CO[C@H]1C[C@@H](C(=O)[O-])[N@@H+](Cc2cc(-c3cnn(C)c3)no2)C1 ZINC000566361645 291297121 /nfs/dbraw/zinc/29/71/21/291297121.db2.gz JPJOBBSRMVXZHI-GWCFXTLKSA-N 0 2 306.322 0.749 20 0 DCADLN O=C(c1cc(F)cc2n[nH]nc21)N1CC[C@H](c2nc[nH]n2)C1 ZINC000567606606 291364126 /nfs/dbraw/zinc/36/41/26/291364126.db2.gz YAAGDPPLWNTMMM-ZETCQYMHSA-N 0 2 301.285 0.845 20 0 DCADLN C[C@H](C(=O)[O-])N(C(=O)c1ccc(-n2cc[nH+]c2)nn1)C1CC1 ZINC000567862844 291385429 /nfs/dbraw/zinc/38/54/29/291385429.db2.gz XRHASVNHONQZQR-SECBINFHSA-N 0 2 301.306 0.740 20 0 DCADLN CC(C)[C@@H]1OCC[C@H]1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275106594 212124161 /nfs/dbraw/zinc/12/41/61/212124161.db2.gz JOGXZAINBGVPGF-WPRPVWTQSA-N 0 2 300.384 0.367 20 0 DCADLN COC[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)c1ccc(C)o1 ZINC000275078693 212109266 /nfs/dbraw/zinc/10/92/66/212109266.db2.gz DBSUNEYLTBLTIL-MRVPVSSYSA-N 0 2 312.351 0.595 20 0 DCADLN CN(C)C(=O)[C@H]1CCCN1C(=O)c1cc(-n2cccn2)ccn1 ZINC000265440552 204613660 /nfs/dbraw/zinc/61/36/60/204613660.db2.gz KAMHMEQSBZSXTD-CQSZACIVSA-N 0 2 313.361 0.960 20 0 DCADLN CC(C)[C@@H](NC(=O)c1cncnc1)c1nc(-c2nc[nH]n2)no1 ZINC000273724765 211007815 /nfs/dbraw/zinc/00/78/15/211007815.db2.gz NBBVCNXYJIJSII-SECBINFHSA-N 0 2 314.309 0.772 20 0 DCADLN COCCC(C)(C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000338868250 253003473 /nfs/dbraw/zinc/00/34/73/253003473.db2.gz XFIBJBMINUGVBU-UHFFFAOYSA-N 0 2 306.322 0.981 20 0 DCADLN CC1(C)CCC[C@@]1(O)CNS(=O)(=O)NCC(F)(F)F ZINC000339019363 253022529 /nfs/dbraw/zinc/02/25/29/253022529.db2.gz DXEBUTIOWZKCEW-SECBINFHSA-N 0 2 304.334 0.914 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)CCCCF)C2)[nH]1 ZINC000338991952 253019741 /nfs/dbraw/zinc/01/97/41/253019741.db2.gz FHXOCMWUPBXDNF-VIFPVBQESA-N 0 2 306.363 0.769 20 0 DCADLN O=C(CCCNC(=O)C1CC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000339294061 253055230 /nfs/dbraw/zinc/05/52/30/253055230.db2.gz SFLZHAPIRIDARE-NSHDSACASA-N 0 2 321.381 0.523 20 0 DCADLN C[C@H](C(=O)N=c1nc(-c2cccnc2)[nH]s1)n1cncn1 ZINC000339597762 253111624 /nfs/dbraw/zinc/11/16/24/253111624.db2.gz FAIAMVHGIAQCDY-MRVPVSSYSA-N 0 2 301.335 0.813 20 0 DCADLN NS(=O)(=O)c1cccc(S(=O)(=O)Nc2nccs2)c1 ZINC000340036612 253189536 /nfs/dbraw/zinc/18/95/36/253189536.db2.gz QCDHGAPKEFADCB-UHFFFAOYSA-N 0 2 319.389 0.591 20 0 DCADLN COC[C@@H](CCO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000340556836 253276100 /nfs/dbraw/zinc/27/61/00/253276100.db2.gz MQEBQDHREPMSQL-LLVKDONJSA-N 0 2 307.306 0.157 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CC(COc2ccccc2)C1 ZINC000288643346 220316831 /nfs/dbraw/zinc/31/68/31/220316831.db2.gz SCOGSBONPYIJPD-UHFFFAOYSA-N 0 2 320.374 0.728 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2CC[C@H](O)C2)s1 ZINC000346218414 254023997 /nfs/dbraw/zinc/02/39/97/254023997.db2.gz HRJUPDSBLCQWHO-RITPCOANSA-N 0 2 306.369 0.009 20 0 DCADLN C[C@@H](CO)NC(=O)c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000346762370 254094135 /nfs/dbraw/zinc/09/41/35/254094135.db2.gz JNJCVRJYSYKCRE-VIFPVBQESA-N 0 2 324.362 0.321 20 0 DCADLN COC(=O)c1ccsc1NC(=O)C(=O)NCc1cn[nH]c1C ZINC000347345431 254150291 /nfs/dbraw/zinc/15/02/91/254150291.db2.gz WJPVHQOTLIGQQF-UHFFFAOYSA-N 0 2 322.346 0.821 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccn([C@H](C)COC)n2)c1O ZINC000348596567 254246650 /nfs/dbraw/zinc/24/66/50/254246650.db2.gz FAKXJAFGYACVAR-PSASIEDQSA-N 0 2 310.310 0.078 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCOCC(F)F ZINC000350784456 254326221 /nfs/dbraw/zinc/32/62/21/254326221.db2.gz SXZQHGLELDZUAZ-UHFFFAOYSA-N 0 2 314.292 0.271 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H]1Cc2ccccc2O1 ZINC000350918596 254329734 /nfs/dbraw/zinc/32/97/34/254329734.db2.gz MEOFYQPNUAVGFF-SECBINFHSA-N 0 2 306.347 0.722 20 0 DCADLN COC(=O)C[C@H]1CSCC[N@@H+]1C[C@H](O)C[NH+](C)C1CC1 ZINC000351523065 254343365 /nfs/dbraw/zinc/34/33/65/254343365.db2.gz MZPZODOOTKFDMM-QWHCGFSZSA-N 0 2 302.440 0.422 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2CCC(=O)C2)s1 ZINC000565014867 288807399 /nfs/dbraw/zinc/80/73/99/288807399.db2.gz IEIYLNVLJNIHES-RXMQYKEDSA-N 0 2 304.353 0.217 20 0 DCADLN COc1cc(OC)cc([C@@H](NC(=O)C(=O)[O-])c2[nH+]ccn2C)c1 ZINC000274205595 130216148 /nfs/dbraw/zinc/21/61/48/130216148.db2.gz VHCLPCAFPPCSKQ-GFCCVEGCSA-N 0 2 319.317 0.728 20 0 DCADLN CC[N@@H+]1CCCN(C(=O)c2cn[nH]c2-c2cnn(C)c2)CC1 ZINC000275644408 130354251 /nfs/dbraw/zinc/35/42/51/130354251.db2.gz WUHLKSWYHFDUSP-UHFFFAOYSA-N 0 2 302.382 0.978 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000285373212 131161286 /nfs/dbraw/zinc/16/12/86/131161286.db2.gz XJORBNJBIKNXGP-ZCFIWIBFSA-N 0 2 321.380 0.148 20 0 DCADLN C[C@@H]1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C[C@H]1C(N)=O ZINC000285412333 131166028 /nfs/dbraw/zinc/16/60/28/131166028.db2.gz HMVKTTBLLUUBQT-ZYHUDNBSSA-N 0 2 314.345 0.771 20 0 DCADLN Cc1cc(C(=O)NCCC2(O)CCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000285619636 131187195 /nfs/dbraw/zinc/18/71/95/131187195.db2.gz BQCLXILVKAFUIU-UHFFFAOYSA-N 0 2 318.333 0.779 20 0 DCADLN CNS(=O)(=O)c1ccc(S(=O)(=O)Nc2cn[nH]c2)cc1 ZINC000035764649 395721969 /nfs/dbraw/zinc/72/19/69/395721969.db2.gz DBROCGLUKAWTSB-UHFFFAOYSA-N 0 2 316.364 0.119 20 0 DCADLN O=c1[nH]nc(CN2CCN(c3ncnc4sccc43)CC2)[nH]1 ZINC000091597053 395726413 /nfs/dbraw/zinc/72/64/13/395726413.db2.gz GCDPQQYNZVEVHF-UHFFFAOYSA-N 0 2 317.378 0.425 20 0 DCADLN CN(C[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(=O)OC(C)(C)C ZINC000091587713 395726415 /nfs/dbraw/zinc/72/64/15/395726415.db2.gz XNYQNSRZHUQFLC-SNVBAGLBSA-N 0 2 311.386 0.929 20 0 DCADLN CN(C[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(=O)OC(C)(C)C ZINC000091587713 395726416 /nfs/dbraw/zinc/72/64/16/395726416.db2.gz XNYQNSRZHUQFLC-SNVBAGLBSA-N 0 2 311.386 0.929 20 0 DCADLN CC[C@@H]1CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C[C@H]1O ZINC000270551251 395782940 /nfs/dbraw/zinc/78/29/40/395782940.db2.gz HMKJNXZFGRAYRN-PSASIEDQSA-N 0 2 318.333 0.587 20 0 DCADLN O=C(Cn1ccccc1=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000103522288 395774367 /nfs/dbraw/zinc/77/43/67/395774367.db2.gz BTXUVRDLKWRFBC-UHFFFAOYSA-N 0 2 312.285 0.830 20 0 DCADLN COCC(=O)N1CCN(CC(=O)NOCc2ccccc2)CC1 ZINC000270833277 395813242 /nfs/dbraw/zinc/81/32/42/395813242.db2.gz UCYNNNSYOJUZOB-UHFFFAOYSA-N 0 2 321.377 0.025 20 0 DCADLN COCC(=O)N1CC[NH+](CC(=O)[N-]OCc2ccccc2)CC1 ZINC000270833277 395813245 /nfs/dbraw/zinc/81/32/45/395813245.db2.gz UCYNNNSYOJUZOB-UHFFFAOYSA-N 0 2 321.377 0.025 20 0 DCADLN CC1(C)[C@H](NS(=O)(=O)NCC(F)(F)F)[C@H]2CCO[C@@H]21 ZINC000195297182 395823562 /nfs/dbraw/zinc/82/35/62/395823562.db2.gz AHNZRONLOZTQIW-PRJMDXOYSA-N 0 2 302.318 0.786 20 0 DCADLN CN(CCS(C)(=O)=O)CC(=O)NOCc1ccccc1 ZINC000119387651 395870696 /nfs/dbraw/zinc/87/06/96/395870696.db2.gz NTDYWHQAGSPFIY-UHFFFAOYSA-N 0 2 300.380 0.211 20 0 DCADLN CCc1nc(NS(=O)(=O)c2ccc(C(=O)OC)o2)no1 ZINC000272269269 395880479 /nfs/dbraw/zinc/88/04/79/395880479.db2.gz KGARGACLSBFOFC-UHFFFAOYSA-N 0 2 301.280 0.812 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCc1ccc2c(c1)OCO2 ZINC000274862133 395982931 /nfs/dbraw/zinc/98/29/31/395982931.db2.gz AXGATMNRGOYISO-UHFFFAOYSA-N 0 2 308.319 0.235 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2ccc3c(c2)C(=O)NC3=O)n1 ZINC000068215983 396049186 /nfs/dbraw/zinc/04/91/86/396049186.db2.gz PHBZJXQJZQOYCE-UHFFFAOYSA-N 0 2 306.303 0.105 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(c2cc(N3CCOCC3)nc[nH+]2)C1 ZINC000263249940 396209528 /nfs/dbraw/zinc/20/95/28/396209528.db2.gz SRKGGGNDOXZAPJ-NEPJUHHUSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(c2cc(N3CCOCC3)[nH+]cn2)C1 ZINC000263249940 396209530 /nfs/dbraw/zinc/20/95/30/396209530.db2.gz SRKGGGNDOXZAPJ-NEPJUHHUSA-N 0 2 306.366 0.860 20 0 DCADLN CCc1[nH+]c2ccccc2n1CC(=O)NC[C@@](C)(O)C(=O)[O-] ZINC000262533255 396151843 /nfs/dbraw/zinc/15/18/43/396151843.db2.gz PZCLQIWBRJOUIM-OAHLLOKOSA-N 0 2 305.334 0.551 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N(C)Cc1nc[nH]n1 ZINC000279103621 396153399 /nfs/dbraw/zinc/15/33/99/396153399.db2.gz UDFSKEIGTRVQQE-QMMMGPOBSA-N 0 2 307.276 0.466 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N(C)Cc1nnc[nH]1 ZINC000279103621 396153402 /nfs/dbraw/zinc/15/34/02/396153402.db2.gz UDFSKEIGTRVQQE-QMMMGPOBSA-N 0 2 307.276 0.466 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)NCCc2cn3ccccc3[nH+]2)C1 ZINC000262908557 396191391 /nfs/dbraw/zinc/19/13/91/396191391.db2.gz DLSFUMSDGXDSEW-NSHDSACASA-N 0 2 302.334 0.993 20 0 DCADLN COC(=O)[C@@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000264056640 396252377 /nfs/dbraw/zinc/25/23/77/396252377.db2.gz ZMOBTANRGXIMPE-VIFPVBQESA-N 0 2 318.289 0.214 20 0 DCADLN COc1ccccc1S(=O)(=O)Nc1nc2ncccn2n1 ZINC000078701197 396252512 /nfs/dbraw/zinc/25/25/12/396252512.db2.gz BLTRTXTZRRJUFV-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccnc1N1CCOCC1 ZINC000264299709 396268778 /nfs/dbraw/zinc/26/87/78/396268778.db2.gz DBAHRHQYYRCMOA-UHFFFAOYSA-N 0 2 323.378 0.453 20 0 DCADLN C[C@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)C(=O)NCCF ZINC000282247360 396274966 /nfs/dbraw/zinc/27/49/66/396274966.db2.gz ITVWWIJKKLOYOU-LURJTMIESA-N 0 2 315.267 0.034 20 0 DCADLN COC(=O)c1cn([C@H]2CCN(c3cccc(C(=O)[O-])[nH+]3)C2)nn1 ZINC000263411780 396216241 /nfs/dbraw/zinc/21/62/41/396216241.db2.gz MNNAPMBAPYBNOA-VIFPVBQESA-N 0 2 317.305 0.609 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nc(N(C)C)n[nH]2)c(C)c1 ZINC000285178567 396348011 /nfs/dbraw/zinc/34/80/11/396348011.db2.gz UPKWRXGARKGRIF-UHFFFAOYSA-N 0 2 311.367 0.989 20 0 DCADLN C[C@@](O)(C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CC1 ZINC000285881759 396359868 /nfs/dbraw/zinc/35/98/68/396359868.db2.gz CHCNHJHTYPRUOF-VIFPVBQESA-N 0 2 306.369 0.009 20 0 DCADLN C[C@H]1CCCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000186431620 396349815 /nfs/dbraw/zinc/34/98/15/396349815.db2.gz JMIBBQAMHOOECO-VIFPVBQESA-N 0 2 302.334 0.874 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)CCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000269577623 396426136 /nfs/dbraw/zinc/42/61/36/396426136.db2.gz JRHFBUTWKFHMLM-ZETCQYMHSA-N 0 2 306.322 0.493 20 0 DCADLN CC[C@H](SC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000269666983 396435075 /nfs/dbraw/zinc/43/50/75/396435075.db2.gz LWQQXTWYSIDECL-YFKPBYRVSA-N 0 2 310.426 0.990 20 0 DCADLN CS(=O)(=O)CCCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289024772 396452847 /nfs/dbraw/zinc/45/28/47/396452847.db2.gz KMBBJOLNAWQXOS-UHFFFAOYSA-N 0 2 324.362 0.528 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289017953 396453188 /nfs/dbraw/zinc/45/31/88/396453188.db2.gz JVVYUQQTBFVTFM-VHSXEESVSA-N 0 2 302.290 0.513 20 0 DCADLN NC(=O)NCCCCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289046447 396453887 /nfs/dbraw/zinc/45/38/87/396453887.db2.gz MNSRZUGIPNHUEB-UHFFFAOYSA-N 0 2 318.337 0.542 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H]1CCc2c1cccc2O ZINC000289120175 396454935 /nfs/dbraw/zinc/45/49/35/396454935.db2.gz NWWZHKHFLMAARO-VIFPVBQESA-N 0 2 306.347 0.699 20 0 DCADLN CN(CCCN(C)C(=O)C(=O)c1ccc(O)cc1)CC[NH+](C)C ZINC000290652400 396469050 /nfs/dbraw/zinc/46/90/50/396469050.db2.gz NPEKMCAEAZNCOY-UHFFFAOYSA-N 0 2 321.421 0.917 20 0 DCADLN COc1cncc(S(=O)(=O)Nc2cc(N(C)C)ncn2)c1 ZINC000290928689 396475799 /nfs/dbraw/zinc/47/57/99/396475799.db2.gz JMSPTUOCVLFFEW-UHFFFAOYSA-N 0 2 309.351 0.747 20 0 DCADLN CCN(C[C@H](C)OC)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000291291864 396483228 /nfs/dbraw/zinc/48/32/28/396483228.db2.gz PQJMSVMYQGNZAD-QMMMGPOBSA-N 0 2 306.322 0.933 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)NC[C@H]2C[NH+](CC(C)C)CCO2)C1 ZINC000372677264 396536355 /nfs/dbraw/zinc/53/63/55/396536355.db2.gz YUZRHPQDGWGYFQ-GJZGRUSLSA-N 0 2 313.442 0.180 20 0 DCADLN C[C@@H]1CCC[C@@]1(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000293965828 396645236 /nfs/dbraw/zinc/64/52/36/396645236.db2.gz BCVJHQAILONWEL-ANRSDYALSA-N 0 2 318.333 0.717 20 0 DCADLN CC(=O)Nc1nc(C)c(S(=O)(=O)Nc2cnn(C)c2)s1 ZINC000589260670 396710917 /nfs/dbraw/zinc/71/09/17/396710917.db2.gz WDBHIQPKJCGPLD-UHFFFAOYSA-N 0 2 315.380 0.944 20 0 DCADLN CC(C)c1nc(N2CCO[C@H](CC(=O)[O-])C2)nc(N(C)C)[nH+]1 ZINC000563214202 396714893 /nfs/dbraw/zinc/71/48/93/396714893.db2.gz MUXIEFAMDGGSRO-SNVBAGLBSA-N 0 2 309.370 0.741 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)COC2CCOCC2)s[nH]1 ZINC000634153982 396797588 /nfs/dbraw/zinc/79/75/88/396797588.db2.gz QAZXSWYBIDLFNH-UHFFFAOYSA-N 0 2 315.395 0.976 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CN2CCOCC2)s[nH]1 ZINC000634151592 396797676 /nfs/dbraw/zinc/79/76/76/396797676.db2.gz DYBPNIYHRPDTFF-UHFFFAOYSA-N 0 2 300.384 0.112 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CN2CSCC2=O)s[nH]1 ZINC000634153847 396797695 /nfs/dbraw/zinc/79/76/95/396797695.db2.gz RUDPMGKBRSYCMY-UHFFFAOYSA-N 0 2 316.408 0.313 20 0 DCADLN O=C(CN1CSCC1=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000590388961 396769930 /nfs/dbraw/zinc/76/99/30/396769930.db2.gz ZISLBAHMEGJGRE-UHFFFAOYSA-N 0 2 320.330 0.501 20 0 DCADLN O=C(c1cc(F)c(F)c(O)c1F)N1CCC(O)(CO)CC1 ZINC000313635941 396771477 /nfs/dbraw/zinc/77/14/77/396771477.db2.gz VFLAOJLLXYRLKL-UHFFFAOYSA-N 0 2 305.252 0.769 20 0 DCADLN NC(=O)c1cn(C2CCN(c3cc[nH+]c(C(=O)[O-])c3)CC2)nn1 ZINC000629768417 396826576 /nfs/dbraw/zinc/82/65/76/396826576.db2.gz VIEMPSFKWGXAGU-UHFFFAOYSA-N 0 2 316.321 0.312 20 0 DCADLN CC(=O)NC[C@@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000629859474 396859112 /nfs/dbraw/zinc/85/91/12/396859112.db2.gz SLWNBEIJEMEDFY-VIFPVBQESA-N 0 2 313.383 0.120 20 0 DCADLN CC[C@@]1(O)CCCN(C(=O)[C@H]2CC(=O)N(c3cnn(C)c3)C2)C1 ZINC000634196523 396812206 /nfs/dbraw/zinc/81/22/06/396812206.db2.gz AKXXGWMHUQWEBK-BLLLJJGKSA-N 0 2 320.393 0.537 20 0 DCADLN COC(=O)c1sc(C)cc1NS(=O)(=O)c1cnnn1C ZINC000600657107 396906812 /nfs/dbraw/zinc/90/68/12/396906812.db2.gz IRCLSQGHGMXGPK-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2cnnn2C)ccc1C ZINC000600652604 396904976 /nfs/dbraw/zinc/90/49/76/396904976.db2.gz WIFWMTGBACGBAO-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN Cc1c(NS(=O)(=O)C[C@@]2(OC(C)C)CCOC2)nnn1C ZINC000634793681 396919111 /nfs/dbraw/zinc/91/91/11/396919111.db2.gz VOTPQXLBTUEJSU-GFCCVEGCSA-N 0 2 318.399 0.449 20 0 DCADLN COC(=O)C1(NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCC1 ZINC000597417386 396968755 /nfs/dbraw/zinc/96/87/55/396968755.db2.gz BWYSTSXFASPWSB-UHFFFAOYSA-N 0 2 317.301 0.855 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000571759544 396983920 /nfs/dbraw/zinc/98/39/20/396983920.db2.gz WTBWSVAYQBCCLQ-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H](C(=O)NC1CCCCC1)[N@H+]1CCN2C(=O)[C@@H]([NH3+])C[C@@H]2C1 ZINC000572257860 397039733 /nfs/dbraw/zinc/03/97/33/397039733.db2.gz CBZCZGOLOOHWHN-BNOWGMLFSA-N 0 2 308.426 0.068 20 0 DCADLN CCNC(=O)CN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000600960382 396972459 /nfs/dbraw/zinc/97/24/59/396972459.db2.gz WXNHKKIELDIFJH-UHFFFAOYSA-N 0 2 304.306 0.238 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000377896448 397161134 /nfs/dbraw/zinc/16/11/34/397161134.db2.gz CBFSDDXFGOOHHT-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000377896448 397161138 /nfs/dbraw/zinc/16/11/38/397161138.db2.gz CBFSDDXFGOOHHT-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)C[C@H](OC)C(C)C)nn1 ZINC000592384150 397164724 /nfs/dbraw/zinc/16/47/24/397164724.db2.gz JNGCAZZBPXRCCZ-JTQLQIEISA-N 0 2 317.367 0.676 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@H]3C[C@@H](O)C3)=N2)cc1 ZINC000612638766 397110191 /nfs/dbraw/zinc/11/01/91/397110191.db2.gz WCLTYTPGVPPJIK-JGZJWPJOSA-N 0 2 303.318 0.840 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@]2(C)CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000574562861 397279540 /nfs/dbraw/zinc/27/95/40/397279540.db2.gz YWKNGEZEOZOOJK-HNNXBMFYSA-N 0 2 318.333 0.731 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@H](O)C1CC1 ZINC000613596777 397285096 /nfs/dbraw/zinc/28/50/96/397285096.db2.gz KRYROPCFJJZFGO-CQSZACIVSA-N 0 2 302.334 0.771 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2COCC[C@H]2O)c1 ZINC000601881455 397259922 /nfs/dbraw/zinc/25/99/22/397259922.db2.gz NGVDHJQORREAPL-SQHYZVFZSA-N 0 2 313.375 0.069 20 0 DCADLN CCS(=O)(=O)N(C)CCCNc1[nH+]cccc1C(=O)[O-] ZINC000613474495 397264383 /nfs/dbraw/zinc/26/43/83/397264383.db2.gz GNBRMOHMDGNOCS-UHFFFAOYSA-N 0 2 301.368 0.863 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CC(=O)N(C2CC2)C1 ZINC000614217525 397402258 /nfs/dbraw/zinc/40/22/58/397402258.db2.gz RZDCYFKNFMTCDD-UHFFFAOYSA-N 0 2 314.301 0.434 20 0 DCADLN CCOC(=O)Cn1ccc(=NC(=O)N=c2cc(C)c(C)n[nH]2)[nH]1 ZINC000594070792 397499235 /nfs/dbraw/zinc/49/92/35/397499235.db2.gz GUKRTJSMOSOAOV-UHFFFAOYSA-N 0 2 318.337 0.341 20 0 DCADLN CC(C)(C)OC(=O)NCCC(=O)NCCc1n[nH]c(=S)o1 ZINC000495518466 397543769 /nfs/dbraw/zinc/54/37/69/397543769.db2.gz ZAFXXNNOKFOGGN-UHFFFAOYSA-N 0 2 316.383 0.932 20 0 DCADLN CC(C)(C)OC(=O)NCCC(=O)NCCC1N=NC(=S)O1 ZINC000495518466 397543774 /nfs/dbraw/zinc/54/37/74/397543774.db2.gz ZAFXXNNOKFOGGN-UHFFFAOYSA-N 0 2 316.383 0.932 20 0 DCADLN CC(C)[C@@H](CNC(=O)[C@@H]1CCCC[N@@H+]1C)[NH+]1CCN(C)CC1 ZINC000606371355 397679562 /nfs/dbraw/zinc/67/95/62/397679562.db2.gz NLOLXQDCFWWXND-JKSUJKDBSA-N 0 2 310.486 0.859 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2cnn(C)c2Br)n1 ZINC001647413157 1172870179 /nfs/dbraw/zinc/87/01/79/1172870179.db2.gz SFKLRAHFLDSXKU-UHFFFAOYSA-N 0 2 321.160 0.112 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)c1cnn(C)c1 ZINC000119282239 158186234 /nfs/dbraw/zinc/18/62/34/158186234.db2.gz HEDLCEUYORRYAJ-MRVPVSSYSA-N 0 2 300.322 0.898 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)N2CCOCC2)[nH]1 ZINC000329403256 159089697 /nfs/dbraw/zinc/08/96/97/159089697.db2.gz CBXHHOPNAIMTMQ-LLVKDONJSA-N 0 2 309.370 0.930 20 0 DCADLN Cc1[nH+]ccn1CC[N@@H+]1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000330366255 159163125 /nfs/dbraw/zinc/16/31/25/159163125.db2.gz MUQHSOGPPPNCBV-ZDUSSCGKSA-N 0 2 300.428 0.453 20 0 DCADLN Cc1nc([C@H]2CCCN2S(=O)(=O)NCC(F)(F)F)no1 ZINC000367035227 159306856 /nfs/dbraw/zinc/30/68/56/159306856.db2.gz IOKXWRZALOSQAD-SSDOTTSWSA-N 0 2 314.289 0.912 20 0 DCADLN C[C@@H]1CN(C(=O)N=c2nc(C(C)(C)C)[nH]s2)C[C@@H](CO)O1 ZINC000408277801 160074911 /nfs/dbraw/zinc/07/49/11/160074911.db2.gz BQLPDTNOLJNWSI-BDAKNGLRSA-N 0 2 314.411 0.871 20 0 DCADLN CC[C@@H]1CCCN1S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000408417610 287057696 /nfs/dbraw/zinc/05/76/96/287057696.db2.gz ZEIIPIWOTRFBNT-MRVPVSSYSA-N 0 2 324.362 0.999 20 0 DCADLN Cc1c(C(=O)NN2C(=O)NC3(CCCCC3)C2=O)cnn1C ZINC000011404889 415148433 /nfs/dbraw/zinc/14/84/33/415148433.db2.gz GCDURKBYZFAREB-UHFFFAOYSA-N 0 2 305.338 0.628 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2cccc(F)c2)cn1 ZINC000055641182 415334240 /nfs/dbraw/zinc/33/42/40/415334240.db2.gz BHCBDIHADUZLMV-UHFFFAOYSA-N 0 2 312.326 0.569 20 0 DCADLN CS(=O)(=O)N1CC(CNc2nc(C(F)(F)F)ns2)C1 ZINC000343010678 415405417 /nfs/dbraw/zinc/40/54/17/415405417.db2.gz CUVJELASQOFWEX-UHFFFAOYSA-N 0 2 316.330 0.282 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)sc1C ZINC000074175137 415426411 /nfs/dbraw/zinc/42/64/11/415426411.db2.gz KBSGZPRAWUMODJ-UHFFFAOYSA-N 0 2 314.392 0.998 20 0 DCADLN COC[C@H]1C[C@@H](OC)CN1S(=O)(=O)NCC(F)(F)F ZINC000343177058 415486957 /nfs/dbraw/zinc/48/69/57/415486957.db2.gz QROVTWAAOYDOIE-HTQZYQBOSA-N 0 2 306.306 0.119 20 0 DCADLN COCc1ncc(C(=O)N=c2nc(C(C)(C)C)[nH]s2)c(N)n1 ZINC000353150818 415454473 /nfs/dbraw/zinc/45/44/73/415454473.db2.gz KHMGBVDIZMELTR-UHFFFAOYSA-N 0 2 322.394 1.028 20 0 DCADLN CN(C)C(=O)COc1ccc(NC(=O)C(N)C(F)(F)F)cc1 ZINC000353172174 415460770 /nfs/dbraw/zinc/46/07/70/415460770.db2.gz SLWQKWOIALFFCQ-LLVKDONJSA-N 0 2 319.283 0.982 20 0 DCADLN CN(C)C(=O)COc1ccc(NC(=O)[C@@H](N)C(F)(F)F)cc1 ZINC000353172174 415460777 /nfs/dbraw/zinc/46/07/77/415460777.db2.gz SLWQKWOIALFFCQ-LLVKDONJSA-N 0 2 319.283 0.982 20 0 DCADLN COc1ccc(SCCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000085382918 415504930 /nfs/dbraw/zinc/50/49/30/415504930.db2.gz SUDBAYWGXQTHHT-UHFFFAOYSA-N 0 2 308.363 0.905 20 0 DCADLN CCC[C@@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(N)=O ZINC000343475862 415611430 /nfs/dbraw/zinc/61/14/30/415611430.db2.gz MJWNDEVBHPBLBO-LLVKDONJSA-N 0 2 302.334 0.962 20 0 DCADLN CC[C@@H](C)[N@H+](C)CCNC(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC000285820995 415619102 /nfs/dbraw/zinc/61/91/02/415619102.db2.gz BUSRBDMILSDSAV-CQSZACIVSA-N 0 2 323.441 0.578 20 0 DCADLN Cc1csc(=O)n1CCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000343555094 415635332 /nfs/dbraw/zinc/63/53/32/415635332.db2.gz NRTBTSKTWUJWRD-UHFFFAOYSA-N 0 2 311.367 0.181 20 0 DCADLN CCNc1nc(N(C)[C@H]2COC[C@@H]2O)nc(NC(C)(C)C)[nH+]1 ZINC000343631797 415663911 /nfs/dbraw/zinc/66/39/11/415663911.db2.gz OCCMLDYRUASXLF-UWVGGRQHSA-N 0 2 310.402 0.132 20 0 DCADLN O=C(N=c1cc2n([nH]1)CCCC2)N=c1nc2n([nH]1)CCCC2 ZINC000333700347 415717938 /nfs/dbraw/zinc/71/79/38/415717938.db2.gz RJVHCSZAXRSZGA-UHFFFAOYSA-N 0 2 301.354 0.635 20 0 DCADLN CN(CCn1cccn1)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119242120 415741556 /nfs/dbraw/zinc/74/15/56/415741556.db2.gz HCCJBJVUEYFKCP-UHFFFAOYSA-N 0 2 300.322 0.643 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccccc1O[C@@H]1CCOC1 ZINC000354116834 415760130 /nfs/dbraw/zinc/76/01/30/415760130.db2.gz ZNLGALZUSKPTGX-SECBINFHSA-N 0 2 319.321 1.000 20 0 DCADLN C[C@H]1CN(C(=O)NC[C@H]2CCCO2)CC[N@@H+]1C1CC[NH+](C)CC1 ZINC000354150465 415772017 /nfs/dbraw/zinc/77/20/17/415772017.db2.gz RSQJRVNLHYUGSL-GOEBONIOSA-N 0 2 324.469 0.975 20 0 DCADLN CC(C)NC(=O)NC(=O)[C@@H](C)[N@@H+]1CCCc2c(cnn2C)C1 ZINC000334030759 415787624 /nfs/dbraw/zinc/78/76/24/415787624.db2.gz KSPYGHXNRNQLAQ-LLVKDONJSA-N 0 2 307.398 0.791 20 0 DCADLN CC(C)NC(=O)NC(=O)[C@@H](C)N1CCCc2c(cnn2C)C1 ZINC000334030759 415787642 /nfs/dbraw/zinc/78/76/42/415787642.db2.gz KSPYGHXNRNQLAQ-LLVKDONJSA-N 0 2 307.398 0.791 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)Nc1cccc2c1OCC(=O)N2 ZINC000290536825 415818932 /nfs/dbraw/zinc/81/89/32/415818932.db2.gz OVGDPKDGVXNMTG-QMMMGPOBSA-N 0 2 300.336 0.794 20 0 DCADLN CCc1ncc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)cn1 ZINC000127103191 415883360 /nfs/dbraw/zinc/88/33/60/415883360.db2.gz CSNJUHNODLGTSF-UHFFFAOYSA-N 0 2 312.289 0.216 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cncc(F)c2)cn1C ZINC000337312924 415940496 /nfs/dbraw/zinc/94/04/96/415940496.db2.gz XGEJWIHSTRSGPK-UHFFFAOYSA-N 0 2 312.326 0.720 20 0 DCADLN O=C(NC[C@@H]1CC[C@@H](O)C1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000356634911 415966492 /nfs/dbraw/zinc/96/64/92/415966492.db2.gz MYNCRHZHPWTFSR-VXNVDRBHSA-N 0 2 304.306 0.327 20 0 DCADLN Cn1nnc(CNS(=O)(=O)c2c(Cl)ccc(F)c2F)n1 ZINC000344753934 415982163 /nfs/dbraw/zinc/98/21/63/415982163.db2.gz OEMHUJNFTXUBKA-UHFFFAOYSA-N 0 2 323.712 0.620 20 0 DCADLN CCc1n[nH]c(C(=O)NCc2n[nH]c(=O)[nH]2)c1Br ZINC000345261912 416069080 /nfs/dbraw/zinc/06/90/80/416069080.db2.gz VMXCGSDGRRBWTB-UHFFFAOYSA-N 0 2 315.131 0.488 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)c(C)c1 ZINC000344884110 416002463 /nfs/dbraw/zinc/00/24/63/416002463.db2.gz BGZGLRODDMYJEA-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN Cc1ccccc1C[C@H](CO)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000357014111 416020207 /nfs/dbraw/zinc/02/02/07/416020207.db2.gz VBCIIPQQQWYHPB-LLVKDONJSA-N 0 2 322.390 0.631 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2c[nH]nc21 ZINC000357098198 416034929 /nfs/dbraw/zinc/03/49/29/416034929.db2.gz BBLXHWMNWANCLA-LBPRGKRZSA-N 0 2 313.317 0.800 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2c[nH]nc21 ZINC000357098198 416034931 /nfs/dbraw/zinc/03/49/31/416034931.db2.gz BBLXHWMNWANCLA-LBPRGKRZSA-N 0 2 313.317 0.800 20 0 DCADLN COCCOc1cncc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000338395740 416092151 /nfs/dbraw/zinc/09/21/51/416092151.db2.gz ZFEVLJVPSZLIOI-UHFFFAOYSA-N 0 2 321.337 0.293 20 0 DCADLN C[C@H]1C[C@H](C)CN(C(=O)C[N@H+](C)C2CC[NH+](CCO)CC2)C1 ZINC000357653542 416111239 /nfs/dbraw/zinc/11/12/39/416111239.db2.gz XJPAQDBJPSBXDX-GJZGRUSLSA-N 0 2 311.470 0.879 20 0 DCADLN O=C1NC[C@H]2CN(Cc3nc(=O)c4sccc4[nH]3)CCN12 ZINC000175927758 416117272 /nfs/dbraw/zinc/11/72/72/416117272.db2.gz UKPHMPJAKMKZIV-QMMMGPOBSA-N 0 2 305.363 0.194 20 0 DCADLN C[C@H](CNC(=O)c1c[nH]c2c(cnn2C)c1=O)N1CCOCC1 ZINC000179421958 416187245 /nfs/dbraw/zinc/18/72/45/416187245.db2.gz MJVNERLOGVMPPB-SNVBAGLBSA-N 0 2 319.365 0.124 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1c[nH]nc1C ZINC000341776159 416234164 /nfs/dbraw/zinc/23/41/64/416234164.db2.gz NBXWFDWNYBNKNY-UHFFFAOYSA-N 0 2 302.337 0.762 20 0 DCADLN O=C(CCCNC(=O)c1ccsc1)NCc1n[nH]c(=O)[nH]1 ZINC000358355436 416227845 /nfs/dbraw/zinc/22/78/45/416227845.db2.gz LSSCYIUNHXLTAV-UHFFFAOYSA-N 0 2 309.351 0.398 20 0 DCADLN COc1cccc(CO[C@@H](C)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000358354306 416227856 /nfs/dbraw/zinc/22/78/56/416227856.db2.gz JVWDCGNMUKYOGB-VIFPVBQESA-N 0 2 306.322 0.740 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)NC(=O)CO2 ZINC000359524963 416349359 /nfs/dbraw/zinc/34/93/59/416349359.db2.gz LLMRMFWERDTCJQ-UHFFFAOYSA-N 0 2 317.305 0.204 20 0 DCADLN CC(C)COC[C@H](O)CNS(=O)(=O)NCC(F)(F)F ZINC000195281873 416325756 /nfs/dbraw/zinc/32/57/56/416325756.db2.gz WUGFBQGVJBKFKH-MRVPVSSYSA-N 0 2 308.322 0.006 20 0 DCADLN CC(C)S(=O)(=O)c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000359833596 416361497 /nfs/dbraw/zinc/36/14/97/416361497.db2.gz QYFDMNVTALWNBF-UHFFFAOYSA-N 0 2 324.362 0.622 20 0 DCADLN CC(C)[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)(C)O ZINC000360433466 416389501 /nfs/dbraw/zinc/38/95/01/416389501.db2.gz KQGVGAUMGBTWHW-SNVBAGLBSA-N 0 2 320.349 0.961 20 0 DCADLN O=C(CNC(=O)C1=NN(c2ccccc2)CC1=O)NCC(F)F ZINC000361387433 416433702 /nfs/dbraw/zinc/43/37/02/416433702.db2.gz XKVAGKYYZOPECL-UHFFFAOYSA-N 0 2 324.287 0.689 20 0 DCADLN CC(=O)N1CC[C@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)C1 ZINC000534435607 416504497 /nfs/dbraw/zinc/50/44/97/416504497.db2.gz ABWONINRHABMQE-NSHDSACASA-N 0 2 317.374 0.829 20 0 DCADLN CC(C)(C)n1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC000584174916 416505522 /nfs/dbraw/zinc/50/55/22/416505522.db2.gz ZVIZUZYJTLZIFW-SECBINFHSA-N 0 2 319.369 0.877 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N[C@@H](C)C(N)=O)=N1 ZINC000438900945 416602992 /nfs/dbraw/zinc/60/29/92/416602992.db2.gz GVMRGDHBKPQPMI-VIFPVBQESA-N 0 2 302.334 0.744 20 0 DCADLN O=C(N[C@@H]1CNC(=O)C1)c1cc(F)cc(Br)c1O ZINC000436855267 416570888 /nfs/dbraw/zinc/57/08/88/416570888.db2.gz VFVLEYQFDFHKTR-LURJTMIESA-N 0 2 317.114 0.912 20 0 DCADLN CN(CC(N)=O)C(=O)c1cc(F)cc(Br)c1O ZINC000436846532 416572667 /nfs/dbraw/zinc/57/26/67/416572667.db2.gz HKTJNWIELIXYQC-UHFFFAOYSA-N 0 2 305.103 0.851 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2CCC[C@H](O)C2)s1 ZINC000439471074 416614452 /nfs/dbraw/zinc/61/44/52/416614452.db2.gz QPIMGKQNUMJVEB-BQBZGAKWSA-N 0 2 320.396 0.399 20 0 DCADLN CO[C@@H]1C[C@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1(C)C ZINC000440005627 416623696 /nfs/dbraw/zinc/62/36/96/416623696.db2.gz VEIYTZLCVSQRGQ-VHSXEESVSA-N 0 2 318.333 0.979 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C/c1cncc(C)c1 ZINC000493287748 416640355 /nfs/dbraw/zinc/64/03/55/416640355.db2.gz FRDMUMTVQBIORX-ONEGZZNKSA-N 0 2 322.346 0.787 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NCCC(C)(C)C(=O)[O-] ZINC000424418483 416643128 /nfs/dbraw/zinc/64/31/28/416643128.db2.gz WORJHNKWTKFCGC-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NCCC(C)(C)C(=O)[O-] ZINC000424418483 416643130 /nfs/dbraw/zinc/64/31/30/416643130.db2.gz WORJHNKWTKFCGC-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@H]2COCCO2)c1 ZINC000424587635 416665495 /nfs/dbraw/zinc/66/54/95/416665495.db2.gz AKCZIVWKVFEMAE-QKVFXAPYSA-N 0 2 313.375 0.334 20 0 DCADLN COc1cc(NS(=O)(=O)c2ccc3c(c2)N(C)CC3)nn1C ZINC000548477316 416689879 /nfs/dbraw/zinc/68/98/79/416689879.db2.gz WGAUQJHHIXBASK-UHFFFAOYSA-N 0 2 322.390 1.222 20 0 DCADLN C[NH+]1C[C@@H]2CCCN(C(=O)NCC[N@@H+]3CCOCC3(C)C)[C@@H]2C1 ZINC000425664661 416718961 /nfs/dbraw/zinc/71/89/61/416718961.db2.gz PRJKKAOJKCXNKL-LSDHHAIUSA-N 0 2 324.469 0.833 20 0 DCADLN COCCOCCN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614944710 416728881 /nfs/dbraw/zinc/72/88/81/416728881.db2.gz IQVPQLWUSHUHBY-UHFFFAOYSA-N 0 2 321.333 0.765 20 0 DCADLN CCC[C@@H](CNS(=O)(=O)NCC(F)(F)F)C(=O)OCC ZINC000443220026 416736687 /nfs/dbraw/zinc/73/66/87/416736687.db2.gz WJTYLGKGXGJDSI-QMMMGPOBSA-N 0 2 320.333 0.952 20 0 DCADLN CC[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CC[NH+](C)[C@H](C)C2)CCO1 ZINC000426066581 416738945 /nfs/dbraw/zinc/73/89/45/416738945.db2.gz KUAHIEHDZOXEOY-QLFBSQMISA-N 0 2 312.458 0.879 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NC[C@H](C)C(=O)OC)cn1 ZINC000427129714 416802765 /nfs/dbraw/zinc/80/27/65/416802765.db2.gz ZXWBICJXSLHUBU-VIFPVBQESA-N 0 2 305.338 0.832 20 0 DCADLN CCOC(=O)[C@@H](C)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000428150028 416882001 /nfs/dbraw/zinc/88/20/01/416882001.db2.gz IMRDMFRPWITDSF-VIFPVBQESA-N 0 2 319.317 0.959 20 0 DCADLN Cc1nn(CC(=O)NCc2n[nH]c(=O)[nH]2)cc1-c1ccccc1 ZINC000444270756 416826830 /nfs/dbraw/zinc/82/68/30/416826830.db2.gz WEWWPIPDNZRUCX-UHFFFAOYSA-N 0 2 312.333 0.999 20 0 DCADLN Cc1cccc([C@@H](CN=c2nn[n-]n2C)[NH+]2CCOCC2)c1 ZINC000518065884 416836023 /nfs/dbraw/zinc/83/60/23/416836023.db2.gz OKXPMAUJGJUPOJ-CQSZACIVSA-N 0 2 302.382 0.426 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H](CO)[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000427818094 416850333 /nfs/dbraw/zinc/85/03/33/416850333.db2.gz HDRBQYSMDUWRRM-DTWKUNHWSA-N 0 2 318.333 0.587 20 0 DCADLN COc1ccc([C@H](CN=c2nn[n-]n2C)[NH+]2CCOCC2)cc1 ZINC000519356755 416900657 /nfs/dbraw/zinc/90/06/57/416900657.db2.gz UUGIFOSCHKTFGG-AWEZNQCLSA-N 0 2 318.381 0.126 20 0 DCADLN COC(=O)c1sc(NS(=O)(=O)c2cnnn2C)nc1C ZINC000616169839 416979364 /nfs/dbraw/zinc/97/93/64/416979364.db2.gz OITHXPMDKUXFIH-UHFFFAOYSA-N 0 2 317.352 0.167 20 0 DCADLN O=S(=O)(Nc1cnn(CCF)c1)c1cnn2c1OCCC2 ZINC000641694501 416979498 /nfs/dbraw/zinc/97/94/98/416979498.db2.gz GFVPOTPCMIZWRL-UHFFFAOYSA-N 0 2 315.330 0.632 20 0 DCADLN CO[C@@H](CNC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+])c1ccccc1 ZINC000521236457 416999945 /nfs/dbraw/zinc/99/99/45/416999945.db2.gz ZBFZHNVDVSYTSY-CABCVRRESA-N 0 2 306.410 0.658 20 0 DCADLN CN(C)c1ccc(S(=O)(=O)Nc2nc3ccccn3n2)cn1 ZINC000448545088 417083951 /nfs/dbraw/zinc/08/39/51/417083951.db2.gz XVEOFXTUYCZYJW-UHFFFAOYSA-N 0 2 318.362 0.991 20 0 DCADLN O=C([O-])[C@H]1COCC[N@@H+]1CCC(=O)N1CCc2sccc2C1 ZINC000643054368 417150423 /nfs/dbraw/zinc/15/04/23/417150423.db2.gz QASWNMMVWIAOLF-GFCCVEGCSA-N 0 2 324.402 0.808 20 0 DCADLN O=C([O-])[C@H]1COCC[N@H+]1CCC(=O)N1CCc2sccc2C1 ZINC000643054368 417150431 /nfs/dbraw/zinc/15/04/31/417150431.db2.gz QASWNMMVWIAOLF-GFCCVEGCSA-N 0 2 324.402 0.808 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)s1 ZINC000437192783 287361451 /nfs/dbraw/zinc/36/14/51/287361451.db2.gz FJDRAVSYFJZACZ-UHFFFAOYSA-N 0 2 323.363 0.623 20 0 DCADLN COC(=O)C[C@@]1(NC(=O)c2cccc3[nH]nnc32)CCOC1 ZINC000525872949 417156972 /nfs/dbraw/zinc/15/69/72/417156972.db2.gz GSFPRSFPBXANRS-AWEZNQCLSA-N 0 2 304.306 0.410 20 0 DCADLN NC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cccc2[nH]nnc21 ZINC000526116650 417185226 /nfs/dbraw/zinc/18/52/26/417185226.db2.gz UZIJFULRIGYAIS-CYBMUJFWSA-N 0 2 309.329 0.784 20 0 DCADLN CC(C)c1nc(S(=O)(=O)Nc2nc3ccccn3n2)cn1C ZINC000414448482 417278833 /nfs/dbraw/zinc/27/88/33/417278833.db2.gz AIKXMCSVZGGGEB-UHFFFAOYSA-N 0 2 320.378 1.387 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000433815266 417290569 /nfs/dbraw/zinc/29/05/69/417290569.db2.gz LAEHXNGEXLCDER-UHFFFAOYSA-N 0 2 317.348 0.431 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ncoc1-c1ccon1 ZINC000621894575 417336611 /nfs/dbraw/zinc/33/66/11/417336611.db2.gz UPXVNMROSUXTBB-UHFFFAOYSA-N 0 2 304.266 0.516 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cnc2n1CCCCC2 ZINC000621894412 417337907 /nfs/dbraw/zinc/33/79/07/417337907.db2.gz OUMHCKOQASOFFG-UHFFFAOYSA-N 0 2 304.354 0.796 20 0 DCADLN CNC(=O)NC[C@@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2)CCO1 ZINC000530207594 417550424 /nfs/dbraw/zinc/55/04/24/417550424.db2.gz RGBPDNUJDUGLSF-CYBMUJFWSA-N 0 2 307.350 0.515 20 0 DCADLN CNC(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2)CCO1 ZINC000530207594 417550430 /nfs/dbraw/zinc/55/04/30/417550430.db2.gz RGBPDNUJDUGLSF-CYBMUJFWSA-N 0 2 307.350 0.515 20 0 DCADLN CCN(CC(F)(F)F)C(=O)C[N@H+](CCOC)CC(=O)[O-] ZINC000635014719 417555768 /nfs/dbraw/zinc/55/57/68/417555768.db2.gz FHSVVUJCTRMCTN-UHFFFAOYSA-N 0 2 300.277 0.430 20 0 DCADLN CCN(CC(F)(F)F)C(=O)C[N@@H+](CCOC)CC(=O)[O-] ZINC000635014719 417555772 /nfs/dbraw/zinc/55/57/72/417555772.db2.gz FHSVVUJCTRMCTN-UHFFFAOYSA-N 0 2 300.277 0.430 20 0 DCADLN CC(=O)Nc1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)ccn1 ZINC000530269084 417560382 /nfs/dbraw/zinc/56/03/82/417560382.db2.gz ZRXOWZVJVPKDDR-UHFFFAOYSA-N 0 2 304.310 0.226 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2c(N)noc2C)cc1 ZINC000575120500 417511494 /nfs/dbraw/zinc/51/14/94/417511494.db2.gz DRNVOTHZUWAPRI-UHFFFAOYSA-N 0 2 310.335 0.726 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000635514683 417684747 /nfs/dbraw/zinc/68/47/47/417684747.db2.gz MARGYCYAXSPBHI-IAQYHMDHSA-N 0 2 322.365 0.331 20 0 DCADLN CNC(=O)NCC(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000576908778 417645805 /nfs/dbraw/zinc/64/58/05/417645805.db2.gz SALHMDXVGPSXBM-UHFFFAOYSA-N 0 2 304.310 0.353 20 0 DCADLN CC(=O)N1CC[C@@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000531875746 417646719 /nfs/dbraw/zinc/64/67/19/417646719.db2.gz LGDXJYPOTIIKFS-GFCCVEGCSA-N 0 2 316.317 0.381 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000456892215 417669238 /nfs/dbraw/zinc/66/92/38/417669238.db2.gz UISVXCVEYWPFQK-MNOVXSKESA-N 0 2 314.345 0.962 20 0 DCADLN CS(=O)(=O)C1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000456967401 417672791 /nfs/dbraw/zinc/67/27/91/417672791.db2.gz HTHIQRFYVYLCKY-UHFFFAOYSA-N 0 2 321.358 0.447 20 0 DCADLN CSc1nc(=NC(=O)NCC[N@@H+]2CCOC[C@@H]2C)s[n-]1 ZINC000532410945 417677978 /nfs/dbraw/zinc/67/79/78/417677978.db2.gz XDGFXKOQHWORMO-QMMMGPOBSA-N 0 2 317.440 0.524 20 0 DCADLN CSc1nc(=NC(=O)NCC[N@H+]2CCOC[C@@H]2C)s[n-]1 ZINC000532410945 417677984 /nfs/dbraw/zinc/67/79/84/417677984.db2.gz XDGFXKOQHWORMO-QMMMGPOBSA-N 0 2 317.440 0.524 20 0 DCADLN COCCN([C@@H]1CCOC1)S(=O)(=O)NCC(F)(F)F ZINC000443197809 287414455 /nfs/dbraw/zinc/41/44/55/287414455.db2.gz NVARGGQGUWVUOE-MRVPVSSYSA-N 0 2 306.306 0.120 20 0 DCADLN CCC[C@H](C)[C@H](CO)NC(=O)C(=O)N=c1ccc(C2CC2)n[nH]1 ZINC000651963614 417824936 /nfs/dbraw/zinc/82/49/36/417824936.db2.gz FAHNIYOJZZCESQ-GWCFXTLKSA-N 0 2 320.393 0.628 20 0 DCADLN CC[C@H](NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000657178456 417830727 /nfs/dbraw/zinc/83/07/27/417830727.db2.gz RZVKLNQTYVPLSH-JTQLQIEISA-N 0 2 324.362 0.903 20 0 DCADLN Cc1[nH+]ccn1CC[N@H+]1CCC[C@@H]1CNC(=O)c1cnn(C)c1 ZINC000639972958 417771611 /nfs/dbraw/zinc/77/16/11/417771611.db2.gz GOHHKPOKVUWHRK-OAHLLOKOSA-N 0 2 316.409 0.819 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cnn(CC(F)(F)F)c1 ZINC000629290714 417772330 /nfs/dbraw/zinc/77/23/30/417772330.db2.gz VRGMICQYMZJDIS-UHFFFAOYSA-N 0 2 310.261 0.375 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cc(C(N)=O)sc2C)n1C ZINC000629288872 417772780 /nfs/dbraw/zinc/77/27/80/417772780.db2.gz HBXYGEJESQLYEG-UHFFFAOYSA-N 0 2 314.392 0.998 20 0 DCADLN CC(=O)Nc1ncccc1NS(=O)(=O)c1cnc(C)n1C ZINC000629350122 417786479 /nfs/dbraw/zinc/78/64/79/417786479.db2.gz GSNKDCSZQJNNOS-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N2CCCC[C@H]2C)[nH]1 ZINC000657104465 417811988 /nfs/dbraw/zinc/81/19/88/417811988.db2.gz QIRHXSWIJLMOHJ-SECBINFHSA-N 0 2 303.388 0.160 20 0 DCADLN Cc1nc(=NC(=O)C(=O)N[C@H](C)[C@H]2CC3CCC2CC3)[nH]n1C ZINC000652335504 417886156 /nfs/dbraw/zinc/88/61/56/417886156.db2.gz MJTFNZQKJNKACQ-SARFZWSYSA-N 0 2 319.409 0.815 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000646803466 417916403 /nfs/dbraw/zinc/91/64/03/417916403.db2.gz YCRNBJCMLGOGNP-JTQLQIEISA-N 0 2 319.365 0.294 20 0 DCADLN Cc1cc(NC(=O)c2nc(COC[C@@H]3CCOC3)no2)no1 ZINC000647441295 418010387 /nfs/dbraw/zinc/01/03/87/418010387.db2.gz HZCLCJVNBRAPNW-SECBINFHSA-N 0 2 308.294 0.593 20 0 DCADLN O=C([O-])C1(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)CCC1 ZINC000663110777 417992216 /nfs/dbraw/zinc/99/22/16/417992216.db2.gz VRIWJQLAPNAOLW-UHFFFAOYSA-N 0 2 319.361 0.789 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccc(C(=O)[O-])nc2)[C@H](C)CO1 ZINC000663126686 417993720 /nfs/dbraw/zinc/99/37/20/417993720.db2.gz JDKFKZIXXZKPBN-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C(=O)[O-])nc2)[C@H](C)CO1 ZINC000663126686 417993723 /nfs/dbraw/zinc/99/37/23/417993723.db2.gz JDKFKZIXXZKPBN-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC000653304068 418055945 /nfs/dbraw/zinc/05/59/45/418055945.db2.gz BIQGTDDWMGILQQ-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CC[C@@H](C(=O)[O-])N(C)C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000653344441 418059789 /nfs/dbraw/zinc/05/97/89/418059789.db2.gz XKNXNKZUUUMGQS-ZDUSSCGKSA-N 0 2 320.393 0.525 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCN(C)C(=O)C3)nc[nH+]2)C[C@@]1(C)CO ZINC000664598450 418137566 /nfs/dbraw/zinc/13/75/66/418137566.db2.gz RJPYKUHKQYHKDD-WBMJQRKESA-N 0 2 319.409 0.210 20 0 DCADLN CCCn1cc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)nn1 ZINC000648887971 418169739 /nfs/dbraw/zinc/16/97/39/418169739.db2.gz APDTVCZGBYLGBF-UHFFFAOYSA-N 0 2 305.342 0.532 20 0 DCADLN CC(C)(C)n1cnc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000648893016 418171304 /nfs/dbraw/zinc/17/13/04/418171304.db2.gz BSNNGVGVYOAOIO-SECBINFHSA-N 0 2 319.369 0.877 20 0 DCADLN Cc1nc(N2CCN(c3cncc(C(=O)[O-])n3)CC2)cc[nH+]1 ZINC000649393018 418250169 /nfs/dbraw/zinc/25/01/69/418250169.db2.gz UKLNZKOOOYJPBK-UHFFFAOYSA-N 0 2 300.322 0.600 20 0 DCADLN C[C@H](OCCc1ccccc1)C(=O)N=c1cc(C(N)=O)[nH][nH]1 ZINC000649178726 418206072 /nfs/dbraw/zinc/20/60/72/418206072.db2.gz CQSJPEROHLTWKS-JTQLQIEISA-N 0 2 302.334 0.517 20 0 DCADLN CC(C)[C@@H](CNC(=O)N(C)[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000655896916 418298099 /nfs/dbraw/zinc/29/80/99/418298099.db2.gz JLPYWIIYQVJNBA-CHWSQXEVSA-N 0 2 315.414 0.705 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cnc3n2[C@H](C)CCC3)[nH]n1 ZINC000650819460 418327031 /nfs/dbraw/zinc/32/70/31/418327031.db2.gz UYWOADZQSSKNSE-SECBINFHSA-N 0 2 314.349 0.604 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CN(S(=O)(=O)C2(C)CC2)C[C@H]1C(=O)[O-] ZINC000650425076 418309690 /nfs/dbraw/zinc/30/96/90/418309690.db2.gz WDPPBTNXZHRFCD-NXEZZACHSA-N 0 2 313.379 0.402 20 0 DCADLN O=C([O-])c1cc(N[C@H]2CCC(=O)N[C@H]2[C@@H]2CCCO2)cc[nH+]1 ZINC000650771010 418323673 /nfs/dbraw/zinc/32/36/73/418323673.db2.gz KOMKLJSNWAOPSL-VHRBIJSZSA-N 0 2 305.334 0.440 20 0 DCADLN COCCCNC(=O)C[N@@H+]1CCC[C@H]([NH+]2CCOCC2)CC1 ZINC000660468222 418262045 /nfs/dbraw/zinc/26/20/45/418262045.db2.gz KXNGDTGSJUSUIH-HNNXBMFYSA-N 0 2 313.442 0.326 20 0 DCADLN COc1cncc(NS(=O)(=O)C[C@H](OC)[C@H]2CCOC2)n1 ZINC000656734741 418374987 /nfs/dbraw/zinc/37/49/87/418374987.db2.gz HRMDFTPEOHCDPT-UWVGGRQHSA-N 0 2 317.367 0.278 20 0 DCADLN O=C([O-])C12CC(C1)C[N@@H+]2CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000656759680 418375415 /nfs/dbraw/zinc/37/54/15/418375415.db2.gz DAIRFNRIERHBEE-UHFFFAOYSA-N 0 2 321.358 0.558 20 0 DCADLN O=C([O-])C12CC(C1)C[N@H+]2CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000656759680 418375417 /nfs/dbraw/zinc/37/54/17/418375417.db2.gz DAIRFNRIERHBEE-UHFFFAOYSA-N 0 2 321.358 0.558 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCN(c3ccccc3)CC2)C1=O ZINC000662205924 418389329 /nfs/dbraw/zinc/38/93/29/418389329.db2.gz OCHNJWQTGGGMTO-AWEZNQCLSA-N 0 2 303.362 0.494 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H](C)c2cccc(O)c2)[nH]1 ZINC000651603297 418396536 /nfs/dbraw/zinc/39/65/36/418396536.db2.gz UJWTWZZYSFAYQE-JTQLQIEISA-N 0 2 317.349 0.802 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1C[C@H]2CCCC[C@@H]2C1 ZINC000651650000 418400969 /nfs/dbraw/zinc/40/09/69/418400969.db2.gz QBRQYCBGJPFIOO-VXGBXAGGSA-N 0 2 317.393 0.623 20 0 DCADLN CO[C@H]1CCC[C@@H]1CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651653949 418401185 /nfs/dbraw/zinc/40/11/85/418401185.db2.gz YJLXWEBNYOPGKG-MNOVXSKESA-N 0 2 309.370 0.151 20 0 DCADLN CC[N@@H+]1C[C@@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@H]1C ZINC000651716811 418405941 /nfs/dbraw/zinc/40/59/41/418405941.db2.gz ITCOOZCSZJRQIX-VXGBXAGGSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@H+]1C[C@@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@H]1C ZINC000651716811 418405943 /nfs/dbraw/zinc/40/59/43/418405943.db2.gz ITCOOZCSZJRQIX-VXGBXAGGSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@@H+]1C[C@@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[n-]2)C[C@H]1C ZINC000651716811 418405945 /nfs/dbraw/zinc/40/59/45/418405945.db2.gz ITCOOZCSZJRQIX-VXGBXAGGSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@H+]1C[C@@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[n-]2)C[C@H]1C ZINC000651716811 418405948 /nfs/dbraw/zinc/40/59/48/418405948.db2.gz ITCOOZCSZJRQIX-VXGBXAGGSA-N 0 2 322.413 0.161 20 0 DCADLN Cc1ccc2c(c1)nc(CNC(=O)N1CCOC[C@@H](O)C1)n2C ZINC000298045184 227800805 /nfs/dbraw/zinc/80/08/05/227800805.db2.gz RZUVCYOMHGUZSE-LBPRGKRZSA-N 0 2 318.377 0.784 20 0 DCADLN O=C(NC[C@@H]1COCCO1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354412296 261215956 /nfs/dbraw/zinc/21/59/56/261215956.db2.gz KIVDHLDHLICXKG-GFCCVEGCSA-N 0 2 321.308 0.862 20 0 DCADLN CCOC[C@@H](O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354614757 261245738 /nfs/dbraw/zinc/24/57/38/261245738.db2.gz ZUFVOZWLQIWSAS-LBPRGKRZSA-N 0 2 323.324 0.844 20 0 DCADLN NC(=O)[C@H]1CC[C@@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)O1 ZINC000356013642 261382959 /nfs/dbraw/zinc/38/29/59/261382959.db2.gz ZTJLMUGLOHGMKO-ZJUUUORDSA-N 0 2 317.305 0.149 20 0 DCADLN COC(=O)[C@H]1C[C@@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000412749534 262194159 /nfs/dbraw/zinc/19/41/59/262194159.db2.gz ATXXQTCTZODBRH-WRXNHJIOSA-N 0 2 318.289 0.117 20 0 DCADLN O=C(N[C@H]1CNC(=O)C1)c1cc(F)cc(Br)c1O ZINC000436855270 271612096 /nfs/dbraw/zinc/61/20/96/271612096.db2.gz VFVLEYQFDFHKTR-ZCFIWIBFSA-N 0 2 317.114 0.912 20 0 DCADLN CCSc1nccnc1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000437582472 271620989 /nfs/dbraw/zinc/62/09/89/271620989.db2.gz VDGGKESTJZTLHZ-UHFFFAOYSA-N 0 2 308.367 0.775 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ncc(C(N)=O)s2)cn1 ZINC000442594568 271672397 /nfs/dbraw/zinc/67/23/97/271672397.db2.gz CYXQRVLMGFVOFY-UHFFFAOYSA-N 0 2 314.348 0.446 20 0 DCADLN COC[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@@H]1CCCO1 ZINC000443217201 271701868 /nfs/dbraw/zinc/70/18/68/271701868.db2.gz RMCMJRWYWJDAIF-SFYZADRCSA-N 0 2 306.306 0.167 20 0 DCADLN O=C(C=Cc1cnccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493566456 272196486 /nfs/dbraw/zinc/19/64/86/272196486.db2.gz YSMKXIBDJXQBIU-XOULXFPDSA-N 0 2 300.322 0.720 20 0 DCADLN CCCC(=O)NCC(=O)NOC[C@H](C)NC(=O)OC(C)(C)C ZINC000495012002 272235637 /nfs/dbraw/zinc/23/56/37/272235637.db2.gz MSHCPBUKUNPSGP-JTQLQIEISA-N 0 2 317.386 0.864 20 0 DCADLN COCCN(CC(=O)NO[C@@H]1CCOC1)C(=O)OC(C)(C)C ZINC000495047134 272236509 /nfs/dbraw/zinc/23/65/09/272236509.db2.gz LDLKCHLHXRVUSF-LLVKDONJSA-N 0 2 318.370 0.707 20 0 DCADLN CCONC(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000495302684 272245870 /nfs/dbraw/zinc/24/58/70/272245870.db2.gz UDNOGSIUEJDTQM-JTQLQIEISA-N 0 2 315.370 0.570 20 0 DCADLN C[C@H](CNC(=O)OC(C)(C)C)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000495826353 272275382 /nfs/dbraw/zinc/27/53/82/272275382.db2.gz VXWWTPDKCMMQPL-SSDOTTSWSA-N 0 2 300.315 0.714 20 0 DCADLN COC(=O)c1csc(NC(=O)C(=O)NCc2cn[nH]c2C)c1 ZINC000547648945 288064747 /nfs/dbraw/zinc/06/47/47/288064747.db2.gz LORBAYJABQAQNZ-UHFFFAOYSA-N 0 2 322.346 0.821 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000547970758 288097115 /nfs/dbraw/zinc/09/71/15/288097115.db2.gz YMRAIXDCJAVGGN-LLVKDONJSA-N 0 2 321.358 0.875 20 0 DCADLN Cc1[nH]ncc1CNC(=O)[C@]1(O)CC[N@@H+](Cc2ccccc2)C1 ZINC000548302017 288129908 /nfs/dbraw/zinc/12/99/08/288129908.db2.gz GLKOBLXAHOXHHF-KRWDZBQOSA-N 0 2 314.389 0.971 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)N[C@@H]1COC[C@H]1O ZINC000556516797 288378404 /nfs/dbraw/zinc/37/84/04/288378404.db2.gz CBZSQNXCICNDIN-NXEZZACHSA-N 0 2 306.347 0.508 20 0 DCADLN CN1CCO[C@H]2CN(C(=O)c3cc(F)c(F)c(O)c3F)C[C@H]21 ZINC000408205687 290118969 /nfs/dbraw/zinc/11/89/69/290118969.db2.gz YLRIZWPPBOCGSX-ZJUUUORDSA-N 0 2 316.279 0.965 20 0 DCADLN CO[C@](C)(CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000291194445 294768861 /nfs/dbraw/zinc/76/88/61/294768861.db2.gz JRQIMXHOWKLMSF-OAHLLOKOSA-N 0 2 318.333 0.981 20 0 DCADLN C[C@H]1OCC[C@@H]1S(=O)(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000328835933 300608808 /nfs/dbraw/zinc/60/88/08/300608808.db2.gz MLVSSSBOHXLVHS-SCZZXKLOSA-N 0 2 316.383 0.197 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)C[C@@H](C)n1cc[nH+]c1)C(=O)[O-] ZINC000580439482 337675560 /nfs/dbraw/zinc/67/55/60/337675560.db2.gz HCYKOYUEMYRTMU-NEPJUHHUSA-N 0 2 324.381 0.566 20 0 DCADLN COC(=O)CC[C@H](C(=O)OC)[NH+]1CCC(CC(=O)[O-])CC1 ZINC001598846265 1159776699 /nfs/dbraw/zinc/77/66/99/1159776699.db2.gz CFADDLQEPHCIEA-LLVKDONJSA-N 0 2 301.339 0.668 20 0 DCADLN NC(=O)[C@H](F)C1CC[NH+](Cc2nc(C(=O)[O-])cs2)CC1 ZINC001601139917 1159780078 /nfs/dbraw/zinc/78/00/78/1159780078.db2.gz ZMRYJNKMBHRYHS-SNVBAGLBSA-N 0 2 301.343 0.877 20 0 DCADLN COCCS(=O)(=O)Nc1ccc(C(=O)N(C)C)cc1OC ZINC000267690595 521768251 /nfs/dbraw/zinc/76/82/51/521768251.db2.gz QPDQZXVKPZIFDE-UHFFFAOYSA-N 0 2 316.379 0.785 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cnn(CC(F)F)c2)no1 ZINC000188156897 522406561 /nfs/dbraw/zinc/40/65/61/522406561.db2.gz KVOZCCBHSOVAGJ-UHFFFAOYSA-N 0 2 307.282 0.894 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnc3ccccc3n2)C1=O ZINC000267614296 523603551 /nfs/dbraw/zinc/60/35/51/523603551.db2.gz XMNQSTAECDMCTA-HNNXBMFYSA-N 0 2 313.317 0.995 20 0 DCADLN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)NOCc1ccccc1 ZINC000368811756 523810065 /nfs/dbraw/zinc/81/00/65/523810065.db2.gz ZPIINNAZWUWYAK-ZFWWWQNUSA-N 0 2 322.361 0.233 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCSC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266947653 524155883 /nfs/dbraw/zinc/15/58/83/524155883.db2.gz WXWAQUOUWRDPCS-ZETCQYMHSA-N 0 2 306.347 0.980 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N3CCC[C@@](O)(CO)C3)c[nH]c12 ZINC000332498514 534776837 /nfs/dbraw/zinc/77/68/37/534776837.db2.gz CMXJNNPFTRIHIS-KRWDZBQOSA-N 0 2 316.357 0.796 20 0 DCADLN CS[C@@H]1CCC[C@@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000187105966 525827645 /nfs/dbraw/zinc/82/76/45/525827645.db2.gz IKLSOIDICZDGEV-HTQZYQBOSA-N 0 2 302.425 0.981 20 0 DCADLN C[C@H](C(=O)N1CCN(c2ccc(F)cc2)CC1)[N@H+](C)CC(=O)[O-] ZINC000130141584 525981880 /nfs/dbraw/zinc/98/18/80/525981880.db2.gz CBWQQSRQWBCMCE-GFCCVEGCSA-N 0 2 323.368 0.879 20 0 DCADLN C[C@H](C(=O)N1CCN(c2ccc(F)cc2)CC1)[N@@H+](C)CC(=O)[O-] ZINC000130141584 525981883 /nfs/dbraw/zinc/98/18/83/525981883.db2.gz CBWQQSRQWBCMCE-GFCCVEGCSA-N 0 2 323.368 0.879 20 0 DCADLN CO[C@@H]1CC[C@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)C1 ZINC000666974288 546537532 /nfs/dbraw/zinc/53/75/32/546537532.db2.gz MPGMVBVNMRGRLK-NKWVEPMBSA-N 0 2 320.396 0.663 20 0 DCADLN CC(C)(C)n1nnc(C(=O)Nc2n[nH]c(C(F)(F)F)n2)n1 ZINC000667014558 546543868 /nfs/dbraw/zinc/54/38/68/546543868.db2.gz XOYMFVTXLUJJGD-UHFFFAOYSA-N 0 2 304.236 0.817 20 0 DCADLN CCC[N@@H+]1CC[C@H](NC(=O)C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000668946564 546888752 /nfs/dbraw/zinc/88/87/52/546888752.db2.gz ARFGHVNSQAWOHX-STQMWFEESA-N 0 2 319.409 0.504 20 0 DCADLN COc1ccc(NS(=O)(=O)c2c(C)cnn2C)c(OC)n1 ZINC000671659180 547280056 /nfs/dbraw/zinc/28/00/56/547280056.db2.gz OGNXWFUBTXBFMW-UHFFFAOYSA-N 0 2 312.351 0.942 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000673949621 547545188 /nfs/dbraw/zinc/54/51/88/547545188.db2.gz RBJIWGYIWBZBAF-VIFPVBQESA-N 0 2 301.306 0.180 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1csc(-c2ncccn2)n1 ZINC000673949892 547545239 /nfs/dbraw/zinc/54/52/39/547545239.db2.gz ZFBVDAYOXKZYLB-UHFFFAOYSA-N 0 2 303.307 0.354 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N(C)Cc1ccc(OC)cc1 ZINC000676229979 547746732 /nfs/dbraw/zinc/74/67/32/547746732.db2.gz GXNGEKVEEHVUNR-UHFFFAOYSA-N 0 2 324.377 0.687 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N(C)Cc1ccc(OC)cc1 ZINC000676229979 547746733 /nfs/dbraw/zinc/74/67/33/547746733.db2.gz GXNGEKVEEHVUNR-UHFFFAOYSA-N 0 2 324.377 0.687 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1ccccc1)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676230991 547747005 /nfs/dbraw/zinc/74/70/05/547747005.db2.gz AWDWBBRPDQXAHZ-GXTWGEPZSA-N 0 2 306.362 0.819 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1ccccc1)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676230991 547747009 /nfs/dbraw/zinc/74/70/09/547747009.db2.gz AWDWBBRPDQXAHZ-GXTWGEPZSA-N 0 2 306.362 0.819 20 0 DCADLN Cc1ccccc1C[C@@H](C)NC(=O)C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231658 547747029 /nfs/dbraw/zinc/74/70/29/547747029.db2.gz KDGYCBIOYPVAMT-HIFRSBDPSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1ccccc1C[C@@H](C)NC(=O)C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231658 547747031 /nfs/dbraw/zinc/74/70/31/547747031.db2.gz KDGYCBIOYPVAMT-HIFRSBDPSA-N 0 2 320.389 0.828 20 0 DCADLN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)c2n[nH]c(C)c2O)C1 ZINC000676696386 547805479 /nfs/dbraw/zinc/80/54/79/547805479.db2.gz XUTPQJRTAGQJKX-KOLCDFICSA-N 0 2 324.381 0.427 20 0 DCADLN CCc1cnc(NS(=O)(=O)c2cc(C(=O)NC)n(C)c2)o1 ZINC000679204049 548033788 /nfs/dbraw/zinc/03/37/88/548033788.db2.gz NTMLYBFRNDJALD-UHFFFAOYSA-N 0 2 312.351 0.736 20 0 DCADLN O=C(NCc1nn[nH]n1)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000680196362 548121855 /nfs/dbraw/zinc/12/18/55/548121855.db2.gz LDOLMVODQQYDFN-AWEZNQCLSA-N 0 2 316.365 0.014 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCC[C@H](c2cc[nH]n2)C1 ZINC000681551368 548261613 /nfs/dbraw/zinc/26/16/13/548261613.db2.gz JLIWYCVVKPHHTL-QMMMGPOBSA-N 0 2 308.367 0.732 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC(Oc3cnccn3)CC2)c1O ZINC000683877693 548529258 /nfs/dbraw/zinc/52/92/58/548529258.db2.gz HZCUAKRGSPLOOU-UHFFFAOYSA-N 0 2 303.322 0.897 20 0 DCADLN Cn1cc2c(n1)CCC[C@H]2C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000684998239 548670849 /nfs/dbraw/zinc/67/08/49/548670849.db2.gz DJWIVOLVXWDVCA-SECBINFHSA-N 0 2 304.354 0.413 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)c1cc2n(n1)CCO2 ZINC000685696927 548729951 /nfs/dbraw/zinc/72/99/51/548729951.db2.gz UXSUASXIJVQLML-UHFFFAOYSA-N 0 2 313.273 0.871 20 0 DCADLN C[C@@H](CNC(=O)N1CCC[C@H](CC(=O)[O-])C1)[NH+]1CCOCC1 ZINC000818008705 597056089 /nfs/dbraw/zinc/05/60/89/597056089.db2.gz JYOVCNAXYSZIPT-QWHCGFSZSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])CSCC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000819539689 597143801 /nfs/dbraw/zinc/14/38/01/597143801.db2.gz JTKFHRKCPTUDSL-NSHDSACASA-N 0 2 302.396 0.127 20 0 DCADLN O=S(=O)(Nc1nncs1)c1cccc(-c2nn[nH]n2)c1 ZINC000823589406 607319996 /nfs/dbraw/zinc/31/99/96/607319996.db2.gz YKSLIRDLKOABGF-UHFFFAOYSA-N 0 2 309.336 0.519 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000821426426 598172786 /nfs/dbraw/zinc/17/27/86/598172786.db2.gz UWKGVWXUPCKWAH-UHFFFAOYSA-N 0 2 316.317 0.726 20 0 DCADLN Cn1ccnc1CN1CCN(c2cc(C(=O)[O-])cc[nH+]2)CC1 ZINC000821304806 598220077 /nfs/dbraw/zinc/22/00/77/598220077.db2.gz GMASXEUPKAIZDL-UHFFFAOYSA-N 0 2 301.350 0.836 20 0 DCADLN COc1ccc([C@@H]([NH2+]CC(=O)N2CCO[C@H](C)C2)C(=O)[O-])cc1 ZINC000737974287 599863231 /nfs/dbraw/zinc/86/32/31/599863231.db2.gz UKIUMHLNEOVGQX-IAQYHMDHSA-N 0 2 322.361 0.658 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000311748580 600060476 /nfs/dbraw/zinc/06/04/76/600060476.db2.gz CLXODUBJBHWDJG-QLFBSQMISA-N 0 2 318.373 0.724 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000311748580 600060477 /nfs/dbraw/zinc/06/04/77/600060477.db2.gz CLXODUBJBHWDJG-QLFBSQMISA-N 0 2 318.373 0.724 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)CCc2ccc(C(=O)[O-])cc2)C1 ZINC000737530756 600066923 /nfs/dbraw/zinc/06/69/23/600066923.db2.gz WONFGTVYMPQCLP-AWEZNQCLSA-N 0 2 306.362 0.764 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)CCc2ccc(C(=O)[O-])cc2)C1 ZINC000737530756 600066926 /nfs/dbraw/zinc/06/69/26/600066926.db2.gz WONFGTVYMPQCLP-AWEZNQCLSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H](C(=O)[O-])n1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000736227845 600120071 /nfs/dbraw/zinc/12/00/71/600120071.db2.gz ZKBNRJSYXWRUNY-DGCLKSJQSA-N 0 2 317.349 0.892 20 0 DCADLN C[C@H](C(=O)[O-])n1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000736227845 600120073 /nfs/dbraw/zinc/12/00/73/600120073.db2.gz ZKBNRJSYXWRUNY-DGCLKSJQSA-N 0 2 317.349 0.892 20 0 DCADLN C[C@@H](c1ccccc1)N(C)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736715905 600141870 /nfs/dbraw/zinc/14/18/70/600141870.db2.gz CZCJHCGEAXJXAV-YUTCNCBUSA-N 0 2 306.362 0.726 20 0 DCADLN C[C@@H](c1ccccc1)N(C)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736715905 600141871 /nfs/dbraw/zinc/14/18/71/600141871.db2.gz CZCJHCGEAXJXAV-YUTCNCBUSA-N 0 2 306.362 0.726 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(F)c(C(=O)[O-])c2)CC1 ZINC000737114778 600306137 /nfs/dbraw/zinc/30/61/37/600306137.db2.gz GATQDIMZAKJWMI-UHFFFAOYSA-N 0 2 323.324 0.627 20 0 DCADLN Cc1nc(C)n(C[C@H]2CCC[N@H+](Cn3cnc(C(=O)[O-])n3)C2)n1 ZINC000738850111 600427064 /nfs/dbraw/zinc/42/70/64/600427064.db2.gz LTABNYDJZAFAIU-LBPRGKRZSA-N 0 2 319.369 0.554 20 0 DCADLN Cc1nc(C)n(C[C@H]2CCC[N@@H+](Cn3cnc(C(=O)[O-])n3)C2)n1 ZINC000738850111 600427065 /nfs/dbraw/zinc/42/70/65/600427065.db2.gz LTABNYDJZAFAIU-LBPRGKRZSA-N 0 2 319.369 0.554 20 0 DCADLN CN1CC[C@@H]([N@H+](C)CC(=O)NC2(C(=O)[O-])CCCCC2)C1=O ZINC000737515639 600438407 /nfs/dbraw/zinc/43/84/07/600438407.db2.gz ZDJZVOSPKMVLOT-LLVKDONJSA-N 0 2 311.382 0.053 20 0 DCADLN CN1CC[C@@H]([N@@H+](C)CC(=O)NC2(C(=O)[O-])CCCCC2)C1=O ZINC000737515639 600438409 /nfs/dbraw/zinc/43/84/09/600438409.db2.gz ZDJZVOSPKMVLOT-LLVKDONJSA-N 0 2 311.382 0.053 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@H+]3CCC[C@@H]3CO)nc2c1 ZINC000740187634 600489898 /nfs/dbraw/zinc/48/98/98/600489898.db2.gz CJCZLLAFRYCNCP-SNVBAGLBSA-N 0 2 303.318 0.990 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@@H+]3CCC[C@@H]3CO)nc2c1 ZINC000740187634 600489901 /nfs/dbraw/zinc/48/99/01/600489901.db2.gz CJCZLLAFRYCNCP-SNVBAGLBSA-N 0 2 303.318 0.990 20 0 DCADLN O=C([O-])CCCS(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000738584892 600498514 /nfs/dbraw/zinc/49/85/14/600498514.db2.gz MSVNNCDCEGBRJP-LLVKDONJSA-N 0 2 301.368 0.715 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CCC[C@@H](OCCO)C2)c(C)c1C(=O)[O-] ZINC000738153994 600627717 /nfs/dbraw/zinc/62/77/17/600627717.db2.gz QNWQQUGIAZZLHO-GFCCVEGCSA-N 0 2 324.377 0.986 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CCC[C@@H](OCCO)C2)c(C)c1C(=O)[O-] ZINC000738153994 600627719 /nfs/dbraw/zinc/62/77/19/600627719.db2.gz QNWQQUGIAZZLHO-GFCCVEGCSA-N 0 2 324.377 0.986 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@H+]3CC[C@H](CO)C3)nc2c1 ZINC000833325259 601012704 /nfs/dbraw/zinc/01/27/04/601012704.db2.gz MTJORAUVLWDKOV-VIFPVBQESA-N 0 2 303.318 0.848 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@@H+]3CC[C@H](CO)C3)nc2c1 ZINC000833325259 601012710 /nfs/dbraw/zinc/01/27/10/601012710.db2.gz MTJORAUVLWDKOV-VIFPVBQESA-N 0 2 303.318 0.848 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN([C@H](C(=O)[O-])c2ccccc2)CC1 ZINC000832730147 601027202 /nfs/dbraw/zinc/02/72/02/601027202.db2.gz NMCSIAQIGPARCS-LHSJRXKWSA-N 0 2 310.419 0.808 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000737923623 601033211 /nfs/dbraw/zinc/03/32/11/601033211.db2.gz ZRJFBUOZQOMELD-LBPRGKRZSA-N 0 2 320.345 0.748 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000737923623 601033216 /nfs/dbraw/zinc/03/32/16/601033216.db2.gz ZRJFBUOZQOMELD-LBPRGKRZSA-N 0 2 320.345 0.748 20 0 DCADLN NC(=O)NC1CC[NH+](CC(=O)Nc2ccccc2C(=O)[O-])CC1 ZINC000833086108 601044387 /nfs/dbraw/zinc/04/43/87/601044387.db2.gz XSTGKQGUCUVINW-UHFFFAOYSA-N 0 2 320.349 0.456 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCN2CCCC[C@@H]2C1 ZINC000825977966 601170713 /nfs/dbraw/zinc/17/07/13/601170713.db2.gz TYKGVHWRGMMJKU-UKRRQHHQSA-N 0 2 323.437 0.868 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCN2CCCC[C@@H]2C1 ZINC000825977966 601170714 /nfs/dbraw/zinc/17/07/14/601170714.db2.gz TYKGVHWRGMMJKU-UKRRQHHQSA-N 0 2 323.437 0.868 20 0 DCADLN C[C@@H](NC(=O)c1ccnc(C(=O)[O-])c1)[C@H](C)[NH+]1CCOCC1 ZINC000827710243 601284378 /nfs/dbraw/zinc/28/43/78/601284378.db2.gz PBGRFOFXWURQDK-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN O=C([O-])C[C@@H]1C[N@H+](Cc2cc(=O)n3ccccc3n2)CCO1 ZINC000387521256 601571378 /nfs/dbraw/zinc/57/13/78/601571378.db2.gz QCWHCBNDCNUTCG-GFCCVEGCSA-N 0 2 303.318 0.370 20 0 DCADLN O=C([O-])C[C@@H]1C[N@@H+](Cc2cc(=O)n3ccccc3n2)CCO1 ZINC000387521256 601571380 /nfs/dbraw/zinc/57/13/80/601571380.db2.gz QCWHCBNDCNUTCG-GFCCVEGCSA-N 0 2 303.318 0.370 20 0 DCADLN COCc1ccccc1CNC(=O)C[N@H+](CC(=O)[O-])C1CC1 ZINC000831822182 601580064 /nfs/dbraw/zinc/58/00/64/601580064.db2.gz VHGYMJNNXUGBNC-UHFFFAOYSA-N 0 2 306.362 0.998 20 0 DCADLN COCc1ccccc1CNC(=O)C[N@@H+](CC(=O)[O-])C1CC1 ZINC000831822182 601580066 /nfs/dbraw/zinc/58/00/66/601580066.db2.gz VHGYMJNNXUGBNC-UHFFFAOYSA-N 0 2 306.362 0.998 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2ccccc2CC(=O)[O-])CC1 ZINC000818482129 601943389 /nfs/dbraw/zinc/94/33/89/601943389.db2.gz GPDYOOLJKJSZOC-UHFFFAOYSA-N 0 2 305.334 0.026 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000736370831 601955626 /nfs/dbraw/zinc/95/56/26/601955626.db2.gz QOFSGQJJXZOBTK-NSHDSACASA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000736370831 601955628 /nfs/dbraw/zinc/95/56/28/601955628.db2.gz QOFSGQJJXZOBTK-NSHDSACASA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CCSC[C@H]1CCO)C(=O)[O-] ZINC000826785701 601958820 /nfs/dbraw/zinc/95/88/20/601958820.db2.gz BIBCSQZYZOVGPF-VXGBXAGGSA-N 0 2 318.439 0.402 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CCSC[C@H]1CCO)C(=O)[O-] ZINC000826785701 601958823 /nfs/dbraw/zinc/95/88/23/601958823.db2.gz BIBCSQZYZOVGPF-VXGBXAGGSA-N 0 2 318.439 0.402 20 0 DCADLN C[C@@H](CC(=O)[O-])C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000827282143 602117823 /nfs/dbraw/zinc/11/78/23/602117823.db2.gz QNHPKLCDUVRTAR-SMDDNHRTSA-N 0 2 316.423 0.421 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000736413912 603014033 /nfs/dbraw/zinc/01/40/33/603014033.db2.gz DWVCWVBVGKISSH-CHWSQXEVSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@H](c1cccnc1)[NH+]1CCN(C(=O)CCNC(=O)[O-])CC1 ZINC000736726393 603230417 /nfs/dbraw/zinc/23/04/17/603230417.db2.gz UILBPQKOJOEMOB-GFCCVEGCSA-N 0 2 306.366 0.945 20 0 DCADLN O=C([O-])N1CCC[C@@H](Nc2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000739928853 603233646 /nfs/dbraw/zinc/23/36/46/603233646.db2.gz XQZOHZIYRZVDRQ-NEPJUHHUSA-N 0 2 321.381 0.992 20 0 DCADLN O=C([O-])N1CCC[C@@H](Nc2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000739928853 603233648 /nfs/dbraw/zinc/23/36/48/603233648.db2.gz XQZOHZIYRZVDRQ-NEPJUHHUSA-N 0 2 321.381 0.992 20 0 DCADLN COc1ncccc1C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000829664791 603519402 /nfs/dbraw/zinc/51/94/02/603519402.db2.gz PSQSPFITOFVJAB-JTQLQIEISA-N 0 2 308.338 0.114 20 0 DCADLN COc1ncccc1C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000829664791 603519410 /nfs/dbraw/zinc/51/94/10/603519410.db2.gz PSQSPFITOFVJAB-JTQLQIEISA-N 0 2 308.338 0.114 20 0 DCADLN COc1ccnc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000829649849 603519833 /nfs/dbraw/zinc/51/98/33/603519833.db2.gz LRVPEYQHXJIWOF-JTQLQIEISA-N 0 2 308.338 0.114 20 0 DCADLN COc1ccnc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000829649849 603519837 /nfs/dbraw/zinc/51/98/37/603519837.db2.gz LRVPEYQHXJIWOF-JTQLQIEISA-N 0 2 308.338 0.114 20 0 DCADLN COc1ncccc1C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000829664792 603520237 /nfs/dbraw/zinc/52/02/37/603520237.db2.gz PSQSPFITOFVJAB-SNVBAGLBSA-N 0 2 308.338 0.114 20 0 DCADLN COc1ncccc1C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000829664792 603520239 /nfs/dbraw/zinc/52/02/39/603520239.db2.gz PSQSPFITOFVJAB-SNVBAGLBSA-N 0 2 308.338 0.114 20 0 DCADLN Cn1ncc2c1nc(C[N@H+](C)[C@H]1CCN(C(=O)[O-])C1)[nH]c2=O ZINC000828417102 603534965 /nfs/dbraw/zinc/53/49/65/603534965.db2.gz VIPKGDAAUPKHFQ-QMMMGPOBSA-N 0 2 306.326 0.253 20 0 DCADLN Cn1ncc2c1nc(C[N@@H+](C)[C@H]1CCN(C(=O)[O-])C1)[nH]c2=O ZINC000828417102 603534966 /nfs/dbraw/zinc/53/49/66/603534966.db2.gz VIPKGDAAUPKHFQ-QMMMGPOBSA-N 0 2 306.326 0.253 20 0 DCADLN Cc1[nH]c2ccc(NC(=O)[C@H]3C[C@H](O)CN3C(=O)[O-])cc2[nH+]1 ZINC000830786291 603642479 /nfs/dbraw/zinc/64/24/79/603642479.db2.gz ZWZWWJIXLCIYRQ-JOYOIKCWSA-N 0 2 304.306 0.923 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)CNC(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000825068136 603659826 /nfs/dbraw/zinc/65/98/26/603659826.db2.gz YDZQRGATZATNQW-GHMZBOCLSA-N 0 2 301.387 0.506 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)N(C)CC[NH+]2CCN(C(=O)[O-])CC2)O1 ZINC000826660268 603659885 /nfs/dbraw/zinc/65/98/85/603659885.db2.gz JWSWNVYRGRGHIW-OLZOCXBDSA-N 0 2 313.398 0.698 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)NC[C@H]2CCCS2)CC1 ZINC000831689139 603687859 /nfs/dbraw/zinc/68/78/59/603687859.db2.gz LADCLWIBFRKLCE-LLVKDONJSA-N 0 2 316.427 0.477 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000831689411 603694393 /nfs/dbraw/zinc/69/43/93/603694393.db2.gz QRBBYLXEEYIHFM-KGLIPLIRSA-N 0 2 319.361 0.232 20 0 DCADLN C[C@@H](C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1)c1cccnc1 ZINC000823654912 603752676 /nfs/dbraw/zinc/75/26/76/603752676.db2.gz NNCBVWZYLNEHNH-CYBMUJFWSA-N 0 2 320.393 0.939 20 0 DCADLN CO[C@H](CNC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)C(C)C ZINC000828843220 604077331 /nfs/dbraw/zinc/07/73/31/604077331.db2.gz MJNDTHQTUHRXMG-GFCCVEGCSA-N 0 2 316.402 0.252 20 0 DCADLN Cc1cc(NC(=O)C[N@H+]2CCC[C@@H]2CN(C)C(=O)[O-])n(C)n1 ZINC000830104031 604103951 /nfs/dbraw/zinc/10/39/51/604103951.db2.gz RXKQZMZIZYXINP-LLVKDONJSA-N 0 2 309.370 0.741 20 0 DCADLN Cc1cc(NC(=O)C[N@@H+]2CCC[C@@H]2CN(C)C(=O)[O-])n(C)n1 ZINC000830104031 604103953 /nfs/dbraw/zinc/10/39/53/604103953.db2.gz RXKQZMZIZYXINP-LLVKDONJSA-N 0 2 309.370 0.741 20 0 DCADLN CN(CCNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC000828323897 604111655 /nfs/dbraw/zinc/11/16/55/604111655.db2.gz HKZYNYZFABOBIL-LBPRGKRZSA-N 0 2 314.386 0.102 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@@H]1CNC(=O)[O-] ZINC000826093827 604112586 /nfs/dbraw/zinc/11/25/86/604112586.db2.gz MOPXWCGHHNGLMQ-NWDGAFQWSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@@H]1CNC(=O)[O-] ZINC000826093827 604112588 /nfs/dbraw/zinc/11/25/88/604112588.db2.gz MOPXWCGHHNGLMQ-NWDGAFQWSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000824830798 604195853 /nfs/dbraw/zinc/19/58/53/604195853.db2.gz FIGGEQHJNMHBIT-CHWSQXEVSA-N 0 2 313.398 0.556 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N1C[C@@H](C)[C@@H]([NH+]2CCOCC2)C1 ZINC000824823450 604197254 /nfs/dbraw/zinc/19/72/54/604197254.db2.gz GCRXFVXETNQHRR-UPJWGTAASA-N 0 2 313.398 0.411 20 0 DCADLN C[C@]1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CCN(C(=O)[O-])C1 ZINC000825656940 604344811 /nfs/dbraw/zinc/34/48/11/604344811.db2.gz NKIDYTSXLSXDQQ-WFASDCNBSA-N 0 2 311.382 0.310 20 0 DCADLN C[C@@H](C(=O)NC1CCCC1)N1CC[NH+](CCNC(=O)[O-])CC1 ZINC000823685910 604362557 /nfs/dbraw/zinc/36/25/57/604362557.db2.gz NQYZGAMTFDWIOB-LBPRGKRZSA-N 0 2 312.414 0.319 20 0 DCADLN C[N@@H+]1CCN(C(=O)CSC(F)(F)F)[C@H](CNC(=O)[O-])C1 ZINC000828501014 604398324 /nfs/dbraw/zinc/39/83/24/604398324.db2.gz STOFYZOUYSZBBJ-SSDOTTSWSA-N 0 2 315.317 0.650 20 0 DCADLN C[N@H+]1CCN(C(=O)CSC(F)(F)F)[C@H](CNC(=O)[O-])C1 ZINC000828501014 604398328 /nfs/dbraw/zinc/39/83/28/604398328.db2.gz STOFYZOUYSZBBJ-SSDOTTSWSA-N 0 2 315.317 0.650 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC000833001695 604447303 /nfs/dbraw/zinc/44/73/03/604447303.db2.gz QVWIVYNSVNJXAI-QWRGUYRKSA-N 0 2 305.334 0.886 20 0 DCADLN O=C([O-])c1csc(NC(=O)C(=O)NCCn2cc[nH+]c2)c1 ZINC000833144009 604472337 /nfs/dbraw/zinc/47/23/37/604472337.db2.gz NXQWKFPMSUXVDY-UHFFFAOYSA-N 0 2 308.319 0.398 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC[C@H]1CC(=O)[O-] ZINC000827460920 604529159 /nfs/dbraw/zinc/52/91/59/604529159.db2.gz GROSRFYBRSPWJA-OLZOCXBDSA-N 0 2 312.414 0.271 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+](C)CC(C)(CO)CO ZINC000832914378 604649836 /nfs/dbraw/zinc/64/98/36/604649836.db2.gz CCPWEUPCTCFANK-UHFFFAOYSA-N 0 2 324.377 0.554 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+](C)CC(C)(CO)CO ZINC000832914378 604649840 /nfs/dbraw/zinc/64/98/40/604649840.db2.gz CCPWEUPCTCFANK-UHFFFAOYSA-N 0 2 324.377 0.554 20 0 DCADLN C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+](C)[C@H]1CCNC1=O ZINC000833404185 604787681 /nfs/dbraw/zinc/78/76/81/604787681.db2.gz IVJLOOLPHWMOGQ-GWCFXTLKSA-N 0 2 319.361 0.210 20 0 DCADLN C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@@H+](C)[C@H]1CCNC1=O ZINC000833404185 604787685 /nfs/dbraw/zinc/78/76/85/604787685.db2.gz IVJLOOLPHWMOGQ-GWCFXTLKSA-N 0 2 319.361 0.210 20 0 DCADLN CCNC(=O)NC(=O)C[N@@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000317417290 604848394 /nfs/dbraw/zinc/84/83/94/604848394.db2.gz PXWYFTKJVIMGHY-UHFFFAOYSA-N 0 2 305.334 0.589 20 0 DCADLN CCNC(=O)NC(=O)C[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000317417290 604848398 /nfs/dbraw/zinc/84/83/98/604848398.db2.gz PXWYFTKJVIMGHY-UHFFFAOYSA-N 0 2 305.334 0.589 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)[C@H](COC(C)C)C(=O)[O-] ZINC000833656597 604920846 /nfs/dbraw/zinc/92/08/46/604920846.db2.gz FLCIINXCRGOXFG-NXEZZACHSA-N 0 2 303.359 0.031 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)[C@H](COC(C)C)C(=O)[O-] ZINC000833656597 604920848 /nfs/dbraw/zinc/92/08/48/604920848.db2.gz FLCIINXCRGOXFG-NXEZZACHSA-N 0 2 303.359 0.031 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCc2n[nH]cc2C1 ZINC000833405328 605015329 /nfs/dbraw/zinc/01/53/29/605015329.db2.gz ZEBKRYVHYMUACE-SNVBAGLBSA-N 0 2 306.366 0.480 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCc2n[nH]cc2C1 ZINC000833405328 605015330 /nfs/dbraw/zinc/01/53/30/605015330.db2.gz ZEBKRYVHYMUACE-SNVBAGLBSA-N 0 2 306.366 0.480 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000828312480 605294091 /nfs/dbraw/zinc/29/40/91/605294091.db2.gz MSWKMIPZWBYGGW-GFCCVEGCSA-N 0 2 309.370 0.961 20 0 DCADLN C[C@H](NC(=O)c1ccc(NC(=O)[O-])cc1O)[C@@H]1C[N@H+](C)CCO1 ZINC000825464973 605296921 /nfs/dbraw/zinc/29/69/21/605296921.db2.gz HARYGKHNGKSMDB-ZANVPECISA-N 0 2 323.349 0.931 20 0 DCADLN C[C@H](NC(=O)c1ccc(NC(=O)[O-])cc1O)[C@@H]1C[N@@H+](C)CCO1 ZINC000825464973 605296922 /nfs/dbraw/zinc/29/69/22/605296922.db2.gz HARYGKHNGKSMDB-ZANVPECISA-N 0 2 323.349 0.931 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccccc1 ZINC000833434227 605380276 /nfs/dbraw/zinc/38/02/76/605380276.db2.gz RVOWLRNHOCOYMV-CQSZACIVSA-N 0 2 322.361 0.860 20 0 DCADLN O=C(CC[C@@H]1CCCN1C(=O)[O-])NC[C@@H]1C[NH+]2CCN1CC2 ZINC000833996828 605529884 /nfs/dbraw/zinc/52/98/84/605529884.db2.gz YABSKRBCAQVBOM-QWHCGFSZSA-N 0 2 310.398 0.025 20 0 DCADLN Cn1cccc1C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)[O-])C2)CC1 ZINC000833952156 605581359 /nfs/dbraw/zinc/58/13/59/605581359.db2.gz HUWJOPDDUOUQJR-GFCCVEGCSA-N 0 2 306.366 0.535 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@@H](NC(=O)[O-])C(C)(C)C)[NH+]1CCOCC1 ZINC000825452946 605702980 /nfs/dbraw/zinc/70/29/80/605702980.db2.gz FYMCPJKUURKPGS-QJPTWQEYSA-N 0 2 315.414 0.894 20 0 DCADLN CC(C)(CC(=O)[O-])CC(=O)N1CC[NH+](CCn2cncn2)CC1 ZINC000833451491 605710800 /nfs/dbraw/zinc/71/08/00/605710800.db2.gz LDZGZIZTJDMXQD-UHFFFAOYSA-N 0 2 323.397 0.313 20 0 DCADLN O=C([O-])N1CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC000834112847 605753072 /nfs/dbraw/zinc/75/30/72/605753072.db2.gz HCJUHTOYNRGQBU-UHFFFAOYSA-N 0 2 301.306 0.703 20 0 DCADLN CCC(CC)(CNC(=O)[O-])C(=O)N1CC[NH+](CCO)CC1 ZINC000833842066 605847897 /nfs/dbraw/zinc/84/78/97/605847897.db2.gz QZUAWUIISKWCKO-UHFFFAOYSA-N 0 2 301.387 0.197 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2ccc(F)cc2C(=O)[O-])CC1 ZINC000833698011 605894030 /nfs/dbraw/zinc/89/40/30/605894030.db2.gz VIDDJKMMNDJDSW-UHFFFAOYSA-N 0 2 309.297 0.236 20 0 DCADLN O=C([O-])NCCCCC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000834234243 605900075 /nfs/dbraw/zinc/90/00/75/605900075.db2.gz LGSOWSWEWSJPNN-ZDUSSCGKSA-N 0 2 313.398 0.748 20 0 DCADLN Cc1occc1CNC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000833938627 605966221 /nfs/dbraw/zinc/96/62/21/605966221.db2.gz KWCQGYGVURMHNY-LBPRGKRZSA-N 0 2 310.354 0.681 20 0 DCADLN Cc1occc1CNC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000833938627 605966222 /nfs/dbraw/zinc/96/62/22/605966222.db2.gz KWCQGYGVURMHNY-LBPRGKRZSA-N 0 2 310.354 0.681 20 0 DCADLN O=C([O-])N[C@@H]1CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834185233 605988965 /nfs/dbraw/zinc/98/89/65/605988965.db2.gz MCEWKQBXFFSKSR-VXGBXAGGSA-N 0 2 319.365 0.712 20 0 DCADLN O=C(CCC1CCN(C(=O)[O-])CC1)NC[C@@H]1C[NH+]2CCN1CC2 ZINC000833992577 606049237 /nfs/dbraw/zinc/04/92/37/606049237.db2.gz XYIJNJVDDALBFI-CQSZACIVSA-N 0 2 324.425 0.273 20 0 DCADLN CC[C@H]1CN(C(=O)NCCn2cc[nH+]c2)CC[C@@H]1NC(=O)[O-] ZINC000833849779 606098684 /nfs/dbraw/zinc/09/86/84/606098684.db2.gz TVSPOMVXDXPPLW-RYUDHWBXSA-N 0 2 309.370 0.961 20 0 DCADLN O=C(CCc1ccc(-c2nn[nH]n2)cc1)OCCc1cnn[nH]1 ZINC000822978355 606180483 /nfs/dbraw/zinc/18/04/83/606180483.db2.gz HPSFRSLFYGSYLL-UHFFFAOYSA-N 0 2 313.321 0.703 20 0 DCADLN O=C(N[C@H]1CCCc2cn[nH]c21)c1ccc(-c2nn[nH]n2)cn1 ZINC000823150660 607097610 /nfs/dbraw/zinc/09/76/10/607097610.db2.gz RLSRQXKQBMOHTL-JTQLQIEISA-N 0 2 310.321 0.792 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cccc(-c3nn[nH]n3)c2)no1 ZINC000826327356 608107931 /nfs/dbraw/zinc/10/79/31/608107931.db2.gz DYPSJHGQGBSNAY-UHFFFAOYSA-N 0 2 307.295 0.359 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccc(CF)cc2)c1=O ZINC000826357078 609267931 /nfs/dbraw/zinc/26/79/31/609267931.db2.gz QGVBDLKNDUFWMX-UHFFFAOYSA-N 0 2 316.296 0.245 20 0 DCADLN CN(C(=O)c1cc[nH]n1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646821 665406312 /nfs/dbraw/zinc/40/63/12/665406312.db2.gz LZVXFZZGYKIZNP-VXNVDRBHSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1ccn[nH]1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646821 665406314 /nfs/dbraw/zinc/40/63/14/665406314.db2.gz LZVXFZZGYKIZNP-VXNVDRBHSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC000977996936 660468902 /nfs/dbraw/zinc/46/89/02/660468902.db2.gz VSKUNLDBQFFLEU-HTRCEHHLSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC000977996936 660468903 /nfs/dbraw/zinc/46/89/03/660468903.db2.gz VSKUNLDBQFFLEU-HTRCEHHLSA-N 0 2 323.250 0.378 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001027719449 660651443 /nfs/dbraw/zinc/65/14/43/660651443.db2.gz HVNNNIJVMDITQW-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001027719449 660651445 /nfs/dbraw/zinc/65/14/45/660651445.db2.gz HVNNNIJVMDITQW-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1F ZINC001009936657 660690307 /nfs/dbraw/zinc/69/03/07/660690307.db2.gz YGDCOMXWYALADM-VIFPVBQESA-N 0 2 305.313 0.654 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)cn1 ZINC001027842436 660827537 /nfs/dbraw/zinc/82/75/37/660827537.db2.gz VAPAFFGSKIVDGR-JTQLQIEISA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1C[C@@H]1C(F)F ZINC001027857292 660847246 /nfs/dbraw/zinc/84/72/46/660847246.db2.gz GAVDDIVPHZVXTG-VGMNWLOBSA-N 0 2 315.324 0.492 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1C[C@@H]1C(F)F ZINC001027857292 660847247 /nfs/dbraw/zinc/84/72/47/660847247.db2.gz GAVDDIVPHZVXTG-VGMNWLOBSA-N 0 2 315.324 0.492 20 0 DCADLN O=C(CCc1ccco1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980696852 660989671 /nfs/dbraw/zinc/98/96/71/660989671.db2.gz OSRVIWUQLOCNCU-UHFFFAOYSA-N 0 2 319.365 0.770 20 0 DCADLN O=C(c1ccccc1O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980730587 660995236 /nfs/dbraw/zinc/99/52/36/660995236.db2.gz IRBDKCQXCQLFJI-UHFFFAOYSA-N 0 2 317.349 0.564 20 0 DCADLN O=C(C[C@@H]1CCCO1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980757427 661002859 /nfs/dbraw/zinc/00/28/59/661002859.db2.gz VGEAWIRMPSEHNL-NSHDSACASA-N 0 2 309.370 0.114 20 0 DCADLN Cc1cc(CC(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)no1 ZINC000980859414 661031470 /nfs/dbraw/zinc/03/14/70/661031470.db2.gz UZCFAKPHGKWGFT-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN CCc1n[nH]cc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027989398 661021315 /nfs/dbraw/zinc/02/13/15/661021315.db2.gz HFHCAVDJVBTBDL-VIFPVBQESA-N 0 2 319.369 0.190 20 0 DCADLN CCc1n[nH]cc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027989398 661021318 /nfs/dbraw/zinc/02/13/18/661021318.db2.gz HFHCAVDJVBTBDL-VIFPVBQESA-N 0 2 319.369 0.190 20 0 DCADLN O=C(C1CC(F)(F)C1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980948258 661052527 /nfs/dbraw/zinc/05/25/27/661052527.db2.gz YBPKZPJFVZHXPO-UHFFFAOYSA-N 0 2 315.324 0.590 20 0 DCADLN O=C(c1ccco1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981586126 661175653 /nfs/dbraw/zinc/17/56/53/661175653.db2.gz IZEZETRJVZYCIH-UHFFFAOYSA-N 0 2 317.349 0.842 20 0 DCADLN O=C(c1ccco1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981586126 661175654 /nfs/dbraw/zinc/17/56/54/661175654.db2.gz IZEZETRJVZYCIH-UHFFFAOYSA-N 0 2 317.349 0.842 20 0 DCADLN C[C@H]1CCC[N@H+]1CC(=O)N1CCC[NH+](CC(=O)N2CCC2)CC1 ZINC000981953276 661254151 /nfs/dbraw/zinc/25/41/51/661254151.db2.gz LJWZGBCZHSERPZ-HNNXBMFYSA-N 0 2 322.453 0.237 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]1C1CC1 ZINC001028192574 661269357 /nfs/dbraw/zinc/26/93/57/661269357.db2.gz NXTYTQDJALFXIR-SDDRHHMPSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]1C1CC1 ZINC001028192574 661269358 /nfs/dbraw/zinc/26/93/58/661269358.db2.gz NXTYTQDJALFXIR-SDDRHHMPSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2)C1(CF)CC1 ZINC000982004766 661271079 /nfs/dbraw/zinc/27/10/79/661271079.db2.gz PPLVJLSDEQWMLN-UHFFFAOYSA-N 0 2 323.372 0.684 20 0 DCADLN O=C(N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2)C1(CF)CC1 ZINC000982004766 661271080 /nfs/dbraw/zinc/27/10/80/661271080.db2.gz PPLVJLSDEQWMLN-UHFFFAOYSA-N 0 2 323.372 0.684 20 0 DCADLN Cc1ncc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1C ZINC000998166224 665410425 /nfs/dbraw/zinc/41/04/25/665410425.db2.gz HKSVRORNQLCTCC-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ncc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1C ZINC000998166224 665410426 /nfs/dbraw/zinc/41/04/26/665410426.db2.gz HKSVRORNQLCTCC-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccccc2O)C1)c1[nH]ncc1F ZINC000938670440 665412883 /nfs/dbraw/zinc/41/28/83/665412883.db2.gz SGCRIBUALLNWDO-VIFPVBQESA-N 0 2 318.308 0.899 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032612885 665421922 /nfs/dbraw/zinc/42/19/22/665421922.db2.gz GHCFVSUQMHIPFD-NOOOWODRSA-N 0 2 305.382 0.732 20 0 DCADLN C[C@]1(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)CCOC1 ZINC000998430148 665454030 /nfs/dbraw/zinc/45/40/30/665454030.db2.gz UJOHRNBBPWBBNR-KWQFWETISA-N 0 2 312.263 0.640 20 0 DCADLN C[C@]1(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)CCOC1 ZINC000998430148 665454031 /nfs/dbraw/zinc/45/40/31/665454031.db2.gz UJOHRNBBPWBBNR-KWQFWETISA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NCCn1cc[nH+]c1)N1CC[C@@H]2[C@@H]1CCC[N@H+]2CCO ZINC000891558294 657481283 /nfs/dbraw/zinc/48/12/83/657481283.db2.gz FDLLZAOMVPRNPQ-KGLIPLIRSA-N 0 2 307.398 0.124 20 0 DCADLN C[C@H]([C@H](C)N=c1nn[n-]n1Cc1ccccc1)[NH+]1CCOCC1 ZINC000892342359 657566124 /nfs/dbraw/zinc/56/61/24/657566124.db2.gz MIEQOGDWMCTGHK-UONOGXRCSA-N 0 2 316.409 0.664 20 0 DCADLN O=C(C[NH+]1CC(CNC(=O)c2cnn[n-]2)C1)Nc1ccccc1 ZINC001031805785 665525257 /nfs/dbraw/zinc/52/52/57/665525257.db2.gz SSVARGBXLVGJAM-UHFFFAOYSA-N 0 2 314.349 0.105 20 0 DCADLN CCc1nc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC000969518002 657948092 /nfs/dbraw/zinc/94/80/92/657948092.db2.gz SAEHSWGJQALKIN-MRVPVSSYSA-N 0 2 320.353 0.311 20 0 DCADLN C[C@@H](NC(=O)c1ccc(F)cn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969533468 657957141 /nfs/dbraw/zinc/95/71/41/657957141.db2.gz XVTYMGMJBACQNX-MRVPVSSYSA-N 0 2 320.328 0.295 20 0 DCADLN COc1nscc1C[NH+]1CC(CNC(=O)c2cnn[n-]2)C1 ZINC001031809283 665542875 /nfs/dbraw/zinc/54/28/75/665542875.db2.gz MISIOXLCCWKDDO-UHFFFAOYSA-N 0 2 308.367 0.132 20 0 DCADLN Cc1noc([C@@H]2CCCCN2C(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC000804858595 658070484 /nfs/dbraw/zinc/07/04/84/658070484.db2.gz CUZPICLNHGOEKN-IUCAKERBSA-N 0 2 323.378 0.988 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)=C1CCCC1 ZINC001010549004 658226988 /nfs/dbraw/zinc/22/69/88/658226988.db2.gz XPKRZJPJQYZPJH-SNVBAGLBSA-N 0 2 309.345 0.998 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970032067 658380011 /nfs/dbraw/zinc/38/00/11/658380011.db2.gz NYLUKEZTWSBMGH-QMMMGPOBSA-N 0 2 319.369 0.046 20 0 DCADLN Cc1nn(C)cc1C[NH2+][C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC000939412426 665576435 /nfs/dbraw/zinc/57/64/35/665576435.db2.gz GXGLGVHMXMFVND-BXUZGUMPSA-N 0 2 316.409 0.631 20 0 DCADLN CC(C)(C)c1noc(C[NH2+][C@H]2C[C@H](NC(=O)c3nnc[nH]3)C2)n1 ZINC001020782737 658462130 /nfs/dbraw/zinc/46/21/30/658462130.db2.gz YPPXHEOHSBIBJL-KYZUINATSA-N 0 2 319.369 0.536 20 0 DCADLN CC(C)=CC(=O)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972230504 658464437 /nfs/dbraw/zinc/46/44/37/658464437.db2.gz MGTZEBYLVLKCLY-OAHLLOKOSA-N 0 2 321.381 0.280 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccn[nH]1 ZINC001024346959 658578931 /nfs/dbraw/zinc/57/89/31/658578931.db2.gz VQZRWEBGKMPTCX-VIFPVBQESA-N 0 2 305.342 0.018 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1COC2 ZINC001024449145 658626412 /nfs/dbraw/zinc/62/64/12/658626412.db2.gz ADISSLCRDFTJAD-RWSFTLGLSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1COC2 ZINC001024449145 658626416 /nfs/dbraw/zinc/62/64/16/658626416.db2.gz ADISSLCRDFTJAD-RWSFTLGLSA-N 0 2 321.381 0.018 20 0 DCADLN Cc1cc(C[NH2+]C[C@H]2CCN(C(=O)Cc3c[nH+]cn3C)C2)on1 ZINC001027170896 659056945 /nfs/dbraw/zinc/05/69/45/659056945.db2.gz QEKZUIVPCJSCLX-CYBMUJFWSA-N 0 2 317.393 0.897 20 0 DCADLN C[C@H]1CCCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949930938 659244489 /nfs/dbraw/zinc/24/44/89/659244489.db2.gz UCZJQZJODJQJSK-NWDGAFQWSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1cc(C[NH2+]C[C@@H]2CCN(C(=O)[C@H]3C[N@@H+](C)CCO3)C2)no1 ZINC001026749263 659505031 /nfs/dbraw/zinc/50/50/31/659505031.db2.gz DUGCFZBWPKYRNB-DZGCQCFKSA-N 0 2 322.409 0.252 20 0 DCADLN Cc1conc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000977426994 659699324 /nfs/dbraw/zinc/69/93/24/659699324.db2.gz SDYBJTBNCLPKEE-VIFPVBQESA-N 0 2 320.353 0.447 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000975001709 659757150 /nfs/dbraw/zinc/75/71/50/659757150.db2.gz BXCMVDNGKBQOMI-SNVBAGLBSA-N 0 2 320.353 0.447 20 0 DCADLN CO[C@H](CS(=O)(=O)NCC(F)(F)CO)c1ccccc1 ZINC000295070631 659766809 /nfs/dbraw/zinc/76/68/09/659766809.db2.gz CYUQDLAHKQDRRC-LLVKDONJSA-N 0 2 309.334 0.921 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000982847654 661697511 /nfs/dbraw/zinc/69/75/11/661697511.db2.gz JZPUWHAAKXGGOA-CBAPKCEASA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000982847654 661697514 /nfs/dbraw/zinc/69/75/14/661697514.db2.gz JZPUWHAAKXGGOA-CBAPKCEASA-N 0 2 322.262 0.888 20 0 DCADLN O=C(c1cccnc1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029189873 662038109 /nfs/dbraw/zinc/03/81/09/662038109.db2.gz SBGMROMMAMMXTD-TXEJJXNPSA-N 0 2 314.349 0.394 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029275703 662075820 /nfs/dbraw/zinc/07/58/20/662075820.db2.gz MFGDVYPMKPIZMG-OUAUKWLOSA-N 0 2 305.382 0.732 20 0 DCADLN CC1(C)C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029275702 662075973 /nfs/dbraw/zinc/07/59/73/662075973.db2.gz MFGDVYPMKPIZMG-AXFHLTTASA-N 0 2 305.382 0.732 20 0 DCADLN Cn1cncc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000950892191 662162752 /nfs/dbraw/zinc/16/27/52/662162752.db2.gz RVTQXGMOURSODZ-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000950892191 662162754 /nfs/dbraw/zinc/16/27/54/662162754.db2.gz RVTQXGMOURSODZ-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN O=C([C@@H]1CC12CC2)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029489680 662323344 /nfs/dbraw/zinc/32/33/44/662323344.db2.gz NBXPRMPAWADJKG-AXFHLTTASA-N 0 2 303.366 0.486 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C2CC2)C1)C(F)C(F)(F)F ZINC001040069398 662353374 /nfs/dbraw/zinc/35/33/74/662353374.db2.gz KAQVKNIEDZOUCL-KWQFWETISA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C2CC2)C1)[C@H](F)C(F)(F)F ZINC001040069398 662353375 /nfs/dbraw/zinc/35/33/75/662353375.db2.gz KAQVKNIEDZOUCL-KWQFWETISA-N 0 2 312.263 0.376 20 0 DCADLN O=C([O-])C[C@@H]1COCCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000909617769 662481608 /nfs/dbraw/zinc/48/16/08/662481608.db2.gz XLAPXTMUWWXMOZ-GFCCVEGCSA-N 0 2 316.317 0.583 20 0 DCADLN COCC[C@H](NC(=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)[O-] ZINC000909623380 662483419 /nfs/dbraw/zinc/48/34/19/662483419.db2.gz FMLGZSHPPNCGJN-LBPRGKRZSA-N 0 2 305.334 0.791 20 0 DCADLN COC[C@H](NC(=O)[C@H](C(C)C)[NH+]1C[C@@H](C)O[C@H](C)C1)C(=O)[O-] ZINC000909661347 662543524 /nfs/dbraw/zinc/54/35/24/662543524.db2.gz HHZCISXQZBEGTI-NDBYEHHHSA-N 0 2 316.398 0.336 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([NH2+]Cc3ccon3)[C@@H]2C)c1[O-] ZINC000986155710 662562257 /nfs/dbraw/zinc/56/22/57/662562257.db2.gz CKIZPSCVHACTOX-GXSJLCMTSA-N 0 2 305.338 0.805 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2CCN(C(=O)C[N@@H+](C)C3CCC3)[C@@H]2C)o1 ZINC000986352070 662585075 /nfs/dbraw/zinc/58/50/75/662585075.db2.gz FNXRKBJCNAIGAT-RISCZKNCSA-N 0 2 321.425 0.941 20 0 DCADLN O=C([O-])[C@H](CC(F)(F)F)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000909671465 662688542 /nfs/dbraw/zinc/68/85/42/662688542.db2.gz PLUKWVXWJFXYQA-APPZFPTMSA-N 0 2 305.256 0.967 20 0 DCADLN C[C@@H]1C[C@H]([NH2+]Cc2nc(=O)n(C)[nH]2)CN1C(=O)/C=C\C1CC1 ZINC000988465866 662788388 /nfs/dbraw/zinc/78/83/88/662788388.db2.gz YWJKUOPIDJHGTL-TTXFHXEYSA-N 0 2 305.382 0.154 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CCN1C(=O)C(F)C(F)(F)F ZINC000988876422 662869531 /nfs/dbraw/zinc/86/95/31/662869531.db2.gz KMNBZEIMFUKSLV-GKROBHDKSA-N 0 2 323.250 0.424 20 0 DCADLN CCn1cnc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000989493263 662953726 /nfs/dbraw/zinc/95/37/26/662953726.db2.gz XRMADANOZZXGIE-UHFFFAOYSA-N 0 2 319.369 0.075 20 0 DCADLN CC(F)(F)C(=O)N[C@]12CCC[C@@H]1N(Cc1n[nH]c(=O)[nH]1)CC2 ZINC000989906659 662986555 /nfs/dbraw/zinc/98/65/55/662986555.db2.gz UMYOPCHCOOHQSA-SDBXPKJASA-N 0 2 315.324 0.779 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccccn1 ZINC000990065297 662999566 /nfs/dbraw/zinc/99/95/66/662999566.db2.gz UIBYEAAOBJPZBO-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccccn1 ZINC000990065297 662999567 /nfs/dbraw/zinc/99/95/67/662999567.db2.gz UIBYEAAOBJPZBO-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnns1 ZINC000990350968 663036571 /nfs/dbraw/zinc/03/65/71/663036571.db2.gz OWGDNDXKPDWAJP-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnns1 ZINC000990350968 663036572 /nfs/dbraw/zinc/03/65/72/663036572.db2.gz OWGDNDXKPDWAJP-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN O=C(C[C@H]1CCOC1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990575499 663068418 /nfs/dbraw/zinc/06/84/18/663068418.db2.gz CIYLAPXZVOPZCK-GMSGAONNSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(C[C@H]1CCOC1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990575499 663068419 /nfs/dbraw/zinc/06/84/19/663068419.db2.gz CIYLAPXZVOPZCK-GMSGAONNSA-N 0 2 312.263 0.640 20 0 DCADLN COC(=O)c1ccc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)cc1F ZINC000899033974 663119793 /nfs/dbraw/zinc/11/97/93/663119793.db2.gz SCRYLHQIIXSDCH-ZETCQYMHSA-N 0 2 323.280 0.540 20 0 DCADLN O=C(CC1CCCCO1)NC1CN(C(=O)C2CC3CCC2O3)C1 ZINC000990771356 663133913 /nfs/dbraw/zinc/13/39/13/663133913.db2.gz WBECEWXAGBHECB-TUVASFSCSA-N 0 2 322.405 0.840 20 0 DCADLN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000899410629 663143513 /nfs/dbraw/zinc/14/35/13/663143513.db2.gz CIQAUXGNDJRCLC-ZNSHCXBVSA-N 0 2 301.350 0.480 20 0 DCADLN CCN1C(=O)[C@H]2CN(c3[nH+]cccc3C(=O)[O-])CCN2C1=O ZINC000900989124 663299240 /nfs/dbraw/zinc/29/92/40/663299240.db2.gz UEULIGJGLAOGCO-SNVBAGLBSA-N 0 2 304.306 0.253 20 0 DCADLN O=C([O-])CC1(NC(=O)[C@H]2CCc3c[nH+]cn3C2)CCOCC1 ZINC000902045795 663380323 /nfs/dbraw/zinc/38/03/23/663380323.db2.gz VGEIRAPWVTYXFH-NSHDSACASA-N 0 2 307.350 0.586 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000902343461 663408082 /nfs/dbraw/zinc/40/80/82/663408082.db2.gz YLMWXNZHAJSIHK-SNVBAGLBSA-N 0 2 309.391 0.972 20 0 DCADLN CO[C@H](Cn1c(=O)c2ccccc2c(=O)n1C)[C@H]1CCOC1 ZINC000902612064 663422158 /nfs/dbraw/zinc/42/21/58/663422158.db2.gz NQRSDGOETLHFHL-SMDDNHRTSA-N 0 2 304.346 0.752 20 0 DCADLN NC(=NOC[C@@H]1CNC(=O)C1)[C@H]1CCC(=O)N(CC2CCC2)C1 ZINC000902613434 663422183 /nfs/dbraw/zinc/42/21/83/663422183.db2.gz NSTKCNWABKZSOF-STQMWFEESA-N 0 2 322.409 0.660 20 0 DCADLN COc1ccc(CNC(=O)CON=C(N)CN(C)C)cc1OC ZINC000902612702 663422265 /nfs/dbraw/zinc/42/22/65/663422265.db2.gz MFOHGOHYBDGFKT-UHFFFAOYSA-N 0 2 324.381 0.380 20 0 DCADLN Cc1cc(C)c(C(=O)N2CCN(C(=O)C(=O)[O-])CC2)c(C)[nH+]1 ZINC000902770134 663432578 /nfs/dbraw/zinc/43/25/78/663432578.db2.gz DQEULQCIBXIGLX-UHFFFAOYSA-N 0 2 305.334 0.376 20 0 DCADLN O=C(c1nc[nH]n1)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000941290952 665964729 /nfs/dbraw/zinc/96/47/29/665964729.db2.gz PJWXOSMDOLQVFD-SSDOTTSWSA-N 0 2 323.250 0.380 20 0 DCADLN O=C(c1nc[nH]n1)N1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000941290952 665964730 /nfs/dbraw/zinc/96/47/30/665964730.db2.gz PJWXOSMDOLQVFD-SSDOTTSWSA-N 0 2 323.250 0.380 20 0 DCADLN CC(=O)NC[C@@H]1C[N@@H+]([C@@H](CCc2ccccc2)C(=O)[O-])CCO1 ZINC000903891354 663490360 /nfs/dbraw/zinc/49/03/60/663490360.db2.gz NEXBALLAPCVUGH-CVEARBPZSA-N 0 2 320.389 0.909 20 0 DCADLN CC(=O)NC[C@@H]1C[N@H+]([C@@H](CCc2ccccc2)C(=O)[O-])CCO1 ZINC000903891354 663490362 /nfs/dbraw/zinc/49/03/62/663490362.db2.gz NEXBALLAPCVUGH-CVEARBPZSA-N 0 2 320.389 0.909 20 0 DCADLN CO[C@H]1CCN(C(=O)NCCCn2cc[nH+]c2)[C@@H](C(=O)[O-])C1 ZINC000908738507 663767508 /nfs/dbraw/zinc/76/75/08/663767508.db2.gz AOAAOWOTWAPSRX-NWDGAFQWSA-N 0 2 310.354 0.547 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N[C@@H](CC1CC1)C(=O)[O-] ZINC000908937342 663775165 /nfs/dbraw/zinc/77/51/65/663775165.db2.gz SIDWLCLJNVFTBS-LBPRGKRZSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N[C@@H](CC1CC1)C(=O)[O-] ZINC000908937342 663775166 /nfs/dbraw/zinc/77/51/66/663775166.db2.gz SIDWLCLJNVFTBS-LBPRGKRZSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000908986120 663777898 /nfs/dbraw/zinc/77/78/98/663777898.db2.gz CYVCNUSMIUDUPP-STQMWFEESA-N 0 2 321.377 0.928 20 0 DCADLN C/C(=C\C(=O)N1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC000909678388 663792809 /nfs/dbraw/zinc/79/28/09/663792809.db2.gz LUMYZEZQPJFIOI-HEVNJNCYSA-N 0 2 322.405 0.977 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000909715163 663796285 /nfs/dbraw/zinc/79/62/85/663796285.db2.gz YXQQQRFLIAETPP-MLGOLLRUSA-N 0 2 321.377 0.785 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C1CCOCC1 ZINC000910016414 663835682 /nfs/dbraw/zinc/83/56/82/663835682.db2.gz TXCCVIRUSVVCCW-WCQYABFASA-N 0 2 307.350 0.442 20 0 DCADLN C/C(=C/C(=O)N1C[C@@H](C)[C@](C)(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC000910260095 663857838 /nfs/dbraw/zinc/85/78/38/663857838.db2.gz HPBIYIVRBCISAV-UHQRNEECSA-N 0 2 310.394 0.834 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@H](C[C@H]1CCCOC1)C(=O)[O-] ZINC000910238220 663858369 /nfs/dbraw/zinc/85/83/69/663858369.db2.gz YWAJCNIHCYEJJO-DGCLKSJQSA-N 0 2 309.366 0.739 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC[C@]1(C(=O)[O-])CCCOC1 ZINC000910309453 663867466 /nfs/dbraw/zinc/86/74/66/663867466.db2.gz MNNLNQJYRYUWPV-UEKVPHQBSA-N 0 2 307.350 0.521 20 0 DCADLN C/C(=C/C(=O)N1C[C@@H]2CCC[C@]2(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC000910419660 663881426 /nfs/dbraw/zinc/88/14/26/663881426.db2.gz ZOYDVKLIJXSJHF-GBLSNTLBSA-N 0 2 322.405 0.978 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(NCC3(C(=O)[O-])CC3)[nH+]cn2)C1 ZINC000910429589 663882284 /nfs/dbraw/zinc/88/22/84/663882284.db2.gz KJHCVLOZYGBFBU-GHMZBOCLSA-N 0 2 306.366 0.960 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(NCC3(C(=O)[O-])CC3)nc[nH+]2)C1 ZINC000910429589 663882286 /nfs/dbraw/zinc/88/22/86/663882286.db2.gz KJHCVLOZYGBFBU-GHMZBOCLSA-N 0 2 306.366 0.960 20 0 DCADLN CC[C@@H](C(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])[N@@H+]1CCO[C@@H](CC)C1 ZINC000910442217 663883734 /nfs/dbraw/zinc/88/37/34/663883734.db2.gz RHVNMIQRGYHLNH-CYDGBPFRSA-N 0 2 316.373 0.899 20 0 DCADLN CC[C@@H](C(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])[N@H+]1CCO[C@@H](CC)C1 ZINC000910442217 663883737 /nfs/dbraw/zinc/88/37/37/663883737.db2.gz RHVNMIQRGYHLNH-CYDGBPFRSA-N 0 2 316.373 0.899 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000910447646 663884340 /nfs/dbraw/zinc/88/43/40/663884340.db2.gz DXINAQOBGZYYAD-XHDPSFHLSA-N 0 2 324.356 0.688 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000910447646 663884342 /nfs/dbraw/zinc/88/43/42/663884342.db2.gz DXINAQOBGZYYAD-XHDPSFHLSA-N 0 2 324.356 0.688 20 0 DCADLN O=C([O-])[C@H](CC1CCOCC1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000910454052 663886094 /nfs/dbraw/zinc/88/60/94/663886094.db2.gz QCNFRMBIXFPWBA-OLZOCXBDSA-N 0 2 321.377 0.832 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(NC[C@@H]3C[C@@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000910470818 663889069 /nfs/dbraw/zinc/88/90/69/663889069.db2.gz VFPPJXLQWCEJLP-WYUUTHIRSA-N 0 2 306.366 0.816 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(NC[C@@H]3C[C@@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000910470818 663889071 /nfs/dbraw/zinc/88/90/71/663889071.db2.gz VFPPJXLQWCEJLP-WYUUTHIRSA-N 0 2 306.366 0.816 20 0 DCADLN Cn1ncc2c1nc[nH+]c2N1C[C@@H]2COCC[C@]2(C(=O)[O-])C1 ZINC000910477837 663890243 /nfs/dbraw/zinc/89/02/43/663890243.db2.gz IYINIQNWHRAOIP-OTYXRUKQSA-N 0 2 303.322 0.291 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000910590205 663910327 /nfs/dbraw/zinc/91/03/27/663910327.db2.gz LCEIISOSMFQVSI-SDDRHHMPSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000910594931 663910952 /nfs/dbraw/zinc/91/09/52/663910952.db2.gz XRGQLSOHOSXBRV-KGYLQXTDSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)cn1 ZINC000910978907 663964090 /nfs/dbraw/zinc/96/40/90/663964090.db2.gz BEOUVSQUWHLFOA-LLVKDONJSA-N 0 2 300.318 0.855 20 0 DCADLN CC(C)(CNC(=O)c1cc(C(=O)[O-])ccn1)[NH+]1CCOCC1 ZINC000911094970 663981308 /nfs/dbraw/zinc/98/13/08/663981308.db2.gz AVKGXPKAMSKECC-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000911351645 664034237 /nfs/dbraw/zinc/03/42/37/664034237.db2.gz KTFSYDXNNNLOBO-CMPLNLGQSA-N 0 2 321.377 0.927 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)c1csc(C(=O)[O-])n1 ZINC000911372970 664044786 /nfs/dbraw/zinc/04/47/86/664044786.db2.gz PFVDWAPEVIQVHW-UHFFFAOYSA-N 0 2 313.379 0.682 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000911430401 664050992 /nfs/dbraw/zinc/05/09/92/664050992.db2.gz NFAFENZOMXEXOY-LLVKDONJSA-N 0 2 316.317 0.807 20 0 DCADLN Cc1c(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)cnn1C(C)(C)C ZINC000912821498 664232852 /nfs/dbraw/zinc/23/28/52/664232852.db2.gz CTTHPESHDOAEJC-SECBINFHSA-N 0 2 307.354 0.874 20 0 DCADLN CN1CC[C@@H](C(=O)Nc2nccc(Br)c2O)C1=O ZINC000913026691 664255977 /nfs/dbraw/zinc/25/59/77/664255977.db2.gz ZQALEJVTKPCLQQ-LURJTMIESA-N 0 2 314.139 0.967 20 0 DCADLN CC[C@@H]1OCCC[C@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030422378 664539343 /nfs/dbraw/zinc/53/93/43/664539343.db2.gz CSAKQOQOHKVJTA-MNOVXSKESA-N 0 2 309.370 0.016 20 0 DCADLN CCc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000948909537 664635071 /nfs/dbraw/zinc/63/50/71/664635071.db2.gz RRPBMHJKNLCYFM-UHFFFAOYSA-N 0 2 305.338 0.624 20 0 DCADLN CS(=O)(=O)[N-]c1ccc(C(=O)NC23CC[NH+](CC2)C3)cn1 ZINC000913636806 664708723 /nfs/dbraw/zinc/70/87/23/664708723.db2.gz VKYQCQUAGWRIDZ-UHFFFAOYSA-N 0 2 310.379 0.031 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@@H]1c1ccccc1 ZINC001031062316 664782729 /nfs/dbraw/zinc/78/27/29/664782729.db2.gz NZPFZSLWGBQFOR-OLZOCXBDSA-N 0 2 313.361 0.614 20 0 DCADLN CC[C@@H](C)c1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001031066747 664783751 /nfs/dbraw/zinc/78/37/51/664783751.db2.gz AJRCQQZNEYOBJK-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN CCC(CC)N(CCOC)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730530264 664785725 /nfs/dbraw/zinc/78/57/25/664785725.db2.gz WCHAQSAUZZGYCK-UHFFFAOYSA-N 0 2 321.381 0.735 20 0 DCADLN COc1ccccc1COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730657851 664799227 /nfs/dbraw/zinc/79/92/27/664799227.db2.gz COJKCYGGAAVYEP-UHFFFAOYSA-N 0 2 314.301 0.823 20 0 DCADLN Cc1ncc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000730658109 664799417 /nfs/dbraw/zinc/79/94/17/664799417.db2.gz PZMHMXAXRVVKNF-UHFFFAOYSA-N 0 2 305.319 0.580 20 0 DCADLN CCCc1noc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000730658377 664799642 /nfs/dbraw/zinc/79/96/42/664799642.db2.gz WNTKDYZSGZTRPY-UHFFFAOYSA-N 0 2 318.293 0.150 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C2CC=CC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993169155 664855870 /nfs/dbraw/zinc/85/58/70/664855870.db2.gz HOVIGPJWOHFTEY-JQWIXIFHSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C2CC=CC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993169155 664855875 /nfs/dbraw/zinc/85/58/75/664855875.db2.gz HOVIGPJWOHFTEY-JQWIXIFHSA-N 0 2 305.382 0.946 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2sccc2[nH]1 ZINC001031198047 664863213 /nfs/dbraw/zinc/86/32/13/664863213.db2.gz PYVYTCAAJAHIQG-UHFFFAOYSA-N 0 2 318.362 0.667 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(Cl)c1 ZINC001031296386 664969603 /nfs/dbraw/zinc/96/96/03/664969603.db2.gz DXIUANOTMGTFRY-UHFFFAOYSA-N 0 2 307.741 0.778 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2nccs2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994064376 665034206 /nfs/dbraw/zinc/03/42/06/665034206.db2.gz VHJKSFLWNFOQDO-RKDXNWHRSA-N 0 2 322.394 0.750 20 0 DCADLN CC[C@@](COC)(NS(=O)(=O)CC1(F)CCC1)C(=O)OC ZINC000916202765 665063498 /nfs/dbraw/zinc/06/34/98/665063498.db2.gz CSNXLAWZWRVTOW-LBPRGKRZSA-N 0 2 311.375 0.766 20 0 DCADLN CC(C)[C@H]1OCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031723743 665330577 /nfs/dbraw/zinc/33/05/77/665330577.db2.gz UBPZNUGIHJIXEC-WCQYABFASA-N 0 2 323.397 0.119 20 0 DCADLN Cc1c[nH]nc1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001001794770 666103082 /nfs/dbraw/zinc/10/30/82/666103082.db2.gz WHAGZZUZFBFFBO-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN Cc1c[nH]nc1C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001001794770 666103083 /nfs/dbraw/zinc/10/30/83/666103083.db2.gz WHAGZZUZFBFFBO-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3cnn[nH]3)[C@@H](C)C2)nn1C ZINC000942215725 666131960 /nfs/dbraw/zinc/13/19/60/666131960.db2.gz HFTDELMVTFPDMI-GXFFZTMASA-N 0 2 317.397 0.487 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCOC1 ZINC001002431149 666170884 /nfs/dbraw/zinc/17/08/84/666170884.db2.gz GYUGMQSPPABMGU-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN CCn1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)cn1 ZINC001002494271 666183498 /nfs/dbraw/zinc/18/34/98/666183498.db2.gz JIUFFZLFJVBSRQ-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccncc1F ZINC001002513072 666184386 /nfs/dbraw/zinc/18/43/86/666184386.db2.gz BGJYGFMBHIXWBS-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1cnccc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002755938 666216108 /nfs/dbraw/zinc/21/61/08/666216108.db2.gz KKKCXSSGHFXZHK-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(NCC1C[NH+](Cc2ccc3c(c2)COC3)C1)c1ncn[nH]1 ZINC001032060691 666243197 /nfs/dbraw/zinc/24/31/97/666243197.db2.gz YMEAHXXUPMMLGL-UHFFFAOYSA-N 0 2 313.361 0.697 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001002947057 666250008 /nfs/dbraw/zinc/25/00/08/666250008.db2.gz WSTUFLPKGWGURG-VXGBXAGGSA-N 0 2 323.397 0.549 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)N[C@]12C[C@H]1COC21CCC1 ZINC000922102457 666252636 /nfs/dbraw/zinc/25/26/36/666252636.db2.gz LTMHEMWMQYSXFG-CMPLNLGQSA-N 0 2 320.436 0.794 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCC1(F)F ZINC001003123509 666275041 /nfs/dbraw/zinc/27/50/41/666275041.db2.gz LJNWPCCSRMZNOA-SECBINFHSA-N 0 2 315.324 0.636 20 0 DCADLN CC(C)c1nnc(CN2CCC(NC(=O)c3cnn[nH]3)CC2)[nH]1 ZINC001003232158 666286111 /nfs/dbraw/zinc/28/61/11/666286111.db2.gz ZGHZOXVZOYKHDM-UHFFFAOYSA-N 0 2 318.385 0.441 20 0 DCADLN CCCc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001032093384 666301297 /nfs/dbraw/zinc/30/12/97/666301297.db2.gz VBFBJWHSWSWZCN-UHFFFAOYSA-N 0 2 320.353 0.313 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cnoc1C1CC1 ZINC001032096908 666307899 /nfs/dbraw/zinc/30/78/99/666307899.db2.gz IUVORQWNDYPUAV-UHFFFAOYSA-N 0 2 318.337 0.238 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001003475682 666317028 /nfs/dbraw/zinc/31/70/28/666317028.db2.gz SZXGRHLSHYJUOM-URLYPYJESA-N 0 2 305.382 0.637 20 0 DCADLN CC[C@@H]1C[C@@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001032110076 666332376 /nfs/dbraw/zinc/33/23/76/666332376.db2.gz DPCLZDKUMHXUSI-NWDGAFQWSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccco1 ZINC001003610752 666334125 /nfs/dbraw/zinc/33/41/25/666334125.db2.gz QNCBWPBCPGOQII-SNVBAGLBSA-N 0 2 319.365 0.988 20 0 DCADLN CN(C(=O)c1cccs1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032880136 666368866 /nfs/dbraw/zinc/36/88/66/666368866.db2.gz MXOKGLXNLMBALJ-VIFPVBQESA-N 0 2 307.379 0.918 20 0 DCADLN Cc1occc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032897285 666378676 /nfs/dbraw/zinc/37/86/76/666378676.db2.gz SOZBRRLMNSVKMQ-SNVBAGLBSA-N 0 2 305.338 0.758 20 0 DCADLN C[C@@H](C(N)=O)[NH+]1CC(CNC(=O)c2cccc(C[NH+](C)C)c2)C1 ZINC001032207238 666442744 /nfs/dbraw/zinc/44/27/44/666442744.db2.gz IVPPSDIFJUPLEQ-LBPRGKRZSA-N 0 2 318.421 0.284 20 0 DCADLN O=S(=O)(Nc1nc2ncccn2n1)c1ccc(Cl)nc1 ZINC000073840471 666580582 /nfs/dbraw/zinc/58/05/82/666580582.db2.gz IHOJBCCUNIVNIT-UHFFFAOYSA-N 0 2 310.726 0.974 20 0 DCADLN CC(C)[NH+]1CCO[C@@H](C(=O)N(C)[C@@H]2CC[N@@H+](CCF)C2)C1 ZINC001033358094 666690704 /nfs/dbraw/zinc/69/07/04/666690704.db2.gz NNAGXCHEOYBQPL-ZIAGYGMSSA-N 0 2 301.406 0.598 20 0 DCADLN CN(C(=O)C[C@@H]1CCCO1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005138942 666702452 /nfs/dbraw/zinc/70/24/52/666702452.db2.gz YWTBWWCXLPCMIA-LBPRGKRZSA-N 0 2 323.397 0.502 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)N(C)[C@H]2CC[N@@H+](Cc3cnnn3C)C2)C1 ZINC001033421573 666711276 /nfs/dbraw/zinc/71/12/76/666711276.db2.gz ZWPJMEKDAUSXBS-KBPBESRZSA-N 0 2 320.441 0.190 20 0 DCADLN CN(C(=O)Cc1ccco1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033517163 666741588 /nfs/dbraw/zinc/74/15/88/666741588.db2.gz KDIVICIPIWHSFX-SNVBAGLBSA-N 0 2 305.338 0.379 20 0 DCADLN CN(C(=O)Cc1ccco1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033517163 666741589 /nfs/dbraw/zinc/74/15/89/666741589.db2.gz KDIVICIPIWHSFX-SNVBAGLBSA-N 0 2 305.338 0.379 20 0 DCADLN CN(C(=O)[C@@]1(C)CCCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033544660 666753499 /nfs/dbraw/zinc/75/34/99/666753499.db2.gz LMKLVOIDLDFGMD-NHYWBVRUSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@@]1(C)CCCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033544660 666753500 /nfs/dbraw/zinc/75/35/00/666753500.db2.gz LMKLVOIDLDFGMD-NHYWBVRUSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)C(C1CC1)C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033565629 666754214 /nfs/dbraw/zinc/75/42/14/666754214.db2.gz AFMOXQVNDKEWEZ-GFCCVEGCSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)C(C1CC1)C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033565629 666754217 /nfs/dbraw/zinc/75/42/17/666754217.db2.gz AFMOXQVNDKEWEZ-GFCCVEGCSA-N 0 2 319.409 0.979 20 0 DCADLN CCN(C(=O)C1CCOCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033730970 666808786 /nfs/dbraw/zinc/80/87/86/666808786.db2.gz OUIUTVQRQBORQI-LBPRGKRZSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)C1CCOCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033730970 666808788 /nfs/dbraw/zinc/80/87/88/666808788.db2.gz OUIUTVQRQBORQI-LBPRGKRZSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)C1=COCCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033781387 666825829 /nfs/dbraw/zinc/82/58/29/666825829.db2.gz XYGKLEAARQIMQK-LBPRGKRZSA-N 0 2 321.381 0.627 20 0 DCADLN CCN(C(=O)C1=COCCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033781387 666825831 /nfs/dbraw/zinc/82/58/31/666825831.db2.gz XYGKLEAARQIMQK-LBPRGKRZSA-N 0 2 321.381 0.627 20 0 DCADLN CCN(C(=O)c1n[nH]cc1C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033823791 666835990 /nfs/dbraw/zinc/83/59/90/666835990.db2.gz SWTSVNLQGUELAE-JTQLQIEISA-N 0 2 319.369 0.278 20 0 DCADLN CCN(C(=O)[C@H]1CC1(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033824882 666836074 /nfs/dbraw/zinc/83/60/74/666836074.db2.gz GAGQWLIRJPNGHG-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)[C@H]1CC1(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033824882 666836076 /nfs/dbraw/zinc/83/60/76/666836076.db2.gz GAGQWLIRJPNGHG-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)[C@H]1CC1(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033824882 666836079 /nfs/dbraw/zinc/83/60/79/666836079.db2.gz GAGQWLIRJPNGHG-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCOC1 ZINC001034126092 666922147 /nfs/dbraw/zinc/92/21/47/666922147.db2.gz SVTGSWHODYYQQB-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCOC1 ZINC001034126092 666922150 /nfs/dbraw/zinc/92/21/50/666922150.db2.gz SVTGSWHODYYQQB-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN COC[C@@H](CO)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861465234 666931057 /nfs/dbraw/zinc/93/10/57/666931057.db2.gz UGSQIUKIOWSXOL-SSDOTTSWSA-N 0 2 324.255 0.195 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034187598 666945852 /nfs/dbraw/zinc/94/58/52/666945852.db2.gz PFUNYTKUOFWFEQ-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034187598 666945856 /nfs/dbraw/zinc/94/58/56/666945856.db2.gz PFUNYTKUOFWFEQ-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034201623 666953862 /nfs/dbraw/zinc/95/38/62/666953862.db2.gz XVFUZVSQAZRANS-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034201623 666953863 /nfs/dbraw/zinc/95/38/63/666953863.db2.gz XVFUZVSQAZRANS-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cccnn1 ZINC001034455310 667035725 /nfs/dbraw/zinc/03/57/25/667035725.db2.gz KZCMOWAIBFXPNM-SNVBAGLBSA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cccnn1 ZINC001034455310 667035726 /nfs/dbraw/zinc/03/57/26/667035726.db2.gz KZCMOWAIBFXPNM-SNVBAGLBSA-N 0 2 317.353 0.085 20 0 DCADLN C[C@H]1C[C@H](C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001005611612 667106082 /nfs/dbraw/zinc/10/60/82/667106082.db2.gz MVWFRPJBVCODDR-QWRGUYRKSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1cc[nH]c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032384705 667142059 /nfs/dbraw/zinc/14/20/59/667142059.db2.gz XXLQPKUCYJKDCU-UWVGGRQHSA-N 0 2 302.338 0.246 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCCOC(C)C)c1=O ZINC000736714938 667254166 /nfs/dbraw/zinc/25/41/66/667254166.db2.gz XBYCPQKBYFWGBR-UHFFFAOYSA-N 0 2 308.342 0.025 20 0 DCADLN CNC(=O)C[N@H+]1CCC2(C1)CCN(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001035231994 667277119 /nfs/dbraw/zinc/27/71/19/667277119.db2.gz URXJKIGCAKEQFY-UHFFFAOYSA-N 0 2 319.409 0.013 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)[C@]12C[C@H]1CCC2 ZINC001035455489 667340567 /nfs/dbraw/zinc/34/05/67/667340567.db2.gz JDNQYJGAASZHNB-JRPNMDOOSA-N 0 2 321.381 0.018 20 0 DCADLN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2cnns2)C1 ZINC000866179169 667367442 /nfs/dbraw/zinc/36/74/42/667367442.db2.gz JUVSQBWSNFCKJU-SECBINFHSA-N 0 2 308.285 0.821 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)[C@@H]1CC12CCC2 ZINC001035532271 667370713 /nfs/dbraw/zinc/37/07/13/667370713.db2.gz XBNIXDIWWQQPMP-QWRGUYRKSA-N 0 2 321.381 0.018 20 0 DCADLN CC[C@@H](OC)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035663661 667396132 /nfs/dbraw/zinc/39/61/32/667396132.db2.gz DDNFMDLLGDLSGF-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(/C=C\C1CC1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035678414 667397840 /nfs/dbraw/zinc/39/78/40/667397840.db2.gz CCZKTCXCEOZWNE-ARJAWSKDSA-N 0 2 317.393 0.901 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]([C@@H]2CCCO2)C1 ZINC000923159107 667465643 /nfs/dbraw/zinc/46/56/43/667465643.db2.gz NQAAYYPKSWUNTN-UPJWGTAASA-N 0 2 307.350 0.754 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]([C@H]2CCCO2)C1 ZINC000923159106 667465721 /nfs/dbraw/zinc/46/57/21/667465721.db2.gz NQAAYYPKSWUNTN-JHJVBQTASA-N 0 2 307.350 0.754 20 0 DCADLN C[C@H](CNC(=O)[C@@H]([NH3+])CCS(C)(=O)=O)[N@@H+]1CCCC[C@@H]1C ZINC000884188406 667493226 /nfs/dbraw/zinc/49/32/26/667493226.db2.gz HGYFHWYLGJKWPH-XQQFMLRXSA-N 0 2 319.471 0.128 20 0 DCADLN CN(C(=O)[C@@]1(C)CCOC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006196222 667578402 /nfs/dbraw/zinc/57/84/02/667578402.db2.gz IRNOIRCSLIDCGU-HNNXBMFYSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(N[C@H]1CCOC1=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000869098412 667627023 /nfs/dbraw/zinc/62/70/23/667627023.db2.gz GGQGHAHJVUAEFN-ZETCQYMHSA-N 0 2 320.223 0.503 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@@H](CN2CCOCC2)C1 ZINC000870063097 667703472 /nfs/dbraw/zinc/70/34/72/667703472.db2.gz TZWVBBVTYYAMLM-VHSXEESVSA-N 0 2 314.279 0.446 20 0 DCADLN COc1ccnc(OC)c1NS(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000886430160 667766302 /nfs/dbraw/zinc/76/63/02/667766302.db2.gz FUULMIOGFZTMRX-MRVPVSSYSA-N 0 2 317.367 0.317 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2CCCc3[nH]c(=O)ccc32)S1 ZINC000742512437 667792937 /nfs/dbraw/zinc/79/29/37/667792937.db2.gz HABMOQHWZHSWCO-VHSXEESVSA-N 0 2 320.374 0.837 20 0 DCADLN CCC[C@@](C)(NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000742571485 667793626 /nfs/dbraw/zinc/79/36/26/667793626.db2.gz OETMASVLNPYZTQ-JMCQJSRRSA-N 0 2 301.368 0.391 20 0 DCADLN CCN(CC)C(=O)CCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742542703 667793631 /nfs/dbraw/zinc/79/36/31/667793631.db2.gz ZEJDLOPEPYLWFA-SECBINFHSA-N 0 2 314.411 0.308 20 0 DCADLN CCc1nc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)cs1 ZINC000742617595 667795061 /nfs/dbraw/zinc/79/50/61/667795061.db2.gz MWSAJIIUZWXWSW-QMMMGPOBSA-N 0 2 312.420 0.921 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC(=O)Nc2cccc(F)c2)S1 ZINC000742602678 667795120 /nfs/dbraw/zinc/79/51/20/667795120.db2.gz TVWVGPXYUPDQJP-VIFPVBQESA-N 0 2 324.337 0.437 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](C3OCCO3)C2)S1 ZINC000742617414 667795292 /nfs/dbraw/zinc/79/52/92/667795292.db2.gz RCCDFOOBNSDMKY-RKDXNWHRSA-N 0 2 313.379 0.154 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCN2CCCCC2=O)S1 ZINC000742643618 667795619 /nfs/dbraw/zinc/79/56/19/667795619.db2.gz QOEDDKKRRHJBNO-SECBINFHSA-N 0 2 312.395 0.062 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nc3ccccc3[nH]2)S1 ZINC000742666035 667796409 /nfs/dbraw/zinc/79/64/09/667796409.db2.gz ZZSYPPHDXDBXQH-SECBINFHSA-N 0 2 303.347 0.736 20 0 DCADLN Cc1nc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)sc1C ZINC000744256662 667824355 /nfs/dbraw/zinc/82/43/55/667824355.db2.gz UQDZIEDSNWBQSI-UHFFFAOYSA-N 0 2 319.346 0.888 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCC(=O)C1CCCC1 ZINC000744986602 667838162 /nfs/dbraw/zinc/83/81/62/667838162.db2.gz GPJGGTCORVCYDO-UHFFFAOYSA-N 0 2 304.306 0.374 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1cccc(Cl)n1 ZINC000744986893 667838241 /nfs/dbraw/zinc/83/82/41/667838241.db2.gz JPYMECUSPVNUFO-UHFFFAOYSA-N 0 2 319.708 0.863 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(NC(N)=O)c2)S1 ZINC000745421442 667847120 /nfs/dbraw/zinc/84/71/20/667847120.db2.gz NKRIGONKDMNGIH-MRVPVSSYSA-N 0 2 307.335 0.672 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2Cc3ccccc3O2)S1 ZINC000745435080 667847807 /nfs/dbraw/zinc/84/78/07/667847807.db2.gz KOVCDEVFKLQKPQ-ONGXEEELSA-N 0 2 305.359 0.663 20 0 DCADLN O=C([N-]CC1C[NH+](C[C@@H](O)c2ccccn2)C1)C(F)(F)F ZINC000871992146 667864017 /nfs/dbraw/zinc/86/40/17/667864017.db2.gz SKNCHNANTSOAPH-LLVKDONJSA-N 0 2 303.284 0.725 20 0 DCADLN CN1CCn2nc(NS(=O)(=O)C[C@H]3CCCO3)cc2C1 ZINC000872411636 667894186 /nfs/dbraw/zinc/89/41/86/667894186.db2.gz MXNIJVYQTYLSPL-LLVKDONJSA-N 0 2 300.384 0.249 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(c2cc(F)ccc2F)=NO1 ZINC000748056672 667917714 /nfs/dbraw/zinc/91/77/14/667917714.db2.gz CYWQBJTXVIVISO-SNVBAGLBSA-N 0 2 323.259 0.598 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H](C(F)(F)F)C1 ZINC000888010119 667938385 /nfs/dbraw/zinc/93/83/85/667938385.db2.gz STUXKJKKARODCU-ZETCQYMHSA-N 0 2 315.255 0.482 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CC[C@@H]2CO)o1)C(F)(F)F ZINC000889160552 667953685 /nfs/dbraw/zinc/95/36/85/667953685.db2.gz YJUHOBUEGWXWFE-SSDOTTSWSA-N 0 2 306.240 0.665 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCOC1CCC1 ZINC000750771811 667987319 /nfs/dbraw/zinc/98/73/19/667987319.db2.gz OLCYSIZPZQPPHC-UHFFFAOYSA-N 0 2 305.334 0.986 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC(=O)OC2CCCCC2)S1 ZINC000752715523 668021199 /nfs/dbraw/zinc/02/11/99/668021199.db2.gz VYWSLTXNIPCZRI-SECBINFHSA-N 0 2 313.379 0.535 20 0 DCADLN CO[C@@H]1Cc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc2C1 ZINC000752970585 668024125 /nfs/dbraw/zinc/02/41/25/668024125.db2.gz UURQTDIHUTVFFG-LLVKDONJSA-N 0 2 303.322 0.946 20 0 DCADLN CNS(=O)(=O)CCCOC(=O)c1cc(F)c(O)c(F)c1 ZINC000759541748 668224545 /nfs/dbraw/zinc/22/45/45/668224545.db2.gz SVNAOAYEFYUCFR-UHFFFAOYSA-N 0 2 309.290 0.767 20 0 DCADLN O=C(COC(=O)c1cc(F)c(O)c(F)c1)NC(=O)NC1CC1 ZINC000759547066 668225053 /nfs/dbraw/zinc/22/50/53/668225053.db2.gz VTXMRWOYHPSBMH-UHFFFAOYSA-N 0 2 314.244 0.815 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N(CCCO)Cc2ccccc2)S1 ZINC000759607841 668228685 /nfs/dbraw/zinc/22/86/85/668228685.db2.gz HRHUCKMZVCUWOL-LBPRGKRZSA-N 0 2 321.402 0.954 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@H](O)c1ccccn1 ZINC000760459027 668272832 /nfs/dbraw/zinc/27/28/32/668272832.db2.gz FLHNHOGRTKKUMK-VIFPVBQESA-N 0 2 319.283 0.688 20 0 DCADLN CCOC(=O)C[C@@H](C)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762246322 668353670 /nfs/dbraw/zinc/35/36/70/668353670.db2.gz WRDFKLQGLRNXCW-SFYZADRCSA-N 0 2 301.368 0.248 20 0 DCADLN C[C@H]1C[C@@H](c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)CCO1 ZINC000762285013 668355010 /nfs/dbraw/zinc/35/50/10/668355010.db2.gz RXRBHYMCWRJQFM-IUCAKERBSA-N 0 2 316.321 0.785 20 0 DCADLN CCNS(=O)(=O)CCNC(=O)c1cc(F)c(O)c(F)c1 ZINC000762602483 668368916 /nfs/dbraw/zinc/36/89/16/668368916.db2.gz LPWZCXSOWQDSFU-UHFFFAOYSA-N 0 2 308.306 0.340 20 0 DCADLN CO[N-]C(=O)CNC(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000927208825 668453832 /nfs/dbraw/zinc/45/38/32/668453832.db2.gz UEHBVLGFLOSLDC-UHFFFAOYSA-N 0 2 310.354 0.526 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cccc2nonc21 ZINC000765062151 668474197 /nfs/dbraw/zinc/47/41/97/668474197.db2.gz VQGYQHMATWIPIE-UHFFFAOYSA-N 0 2 309.307 0.212 20 0 DCADLN CC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@H](O)C(F)(F)F ZINC000765941090 668514316 /nfs/dbraw/zinc/51/43/16/668514316.db2.gz INWASASPUCUIIJ-BFHQHQDPSA-N 0 2 313.301 0.361 20 0 DCADLN Cn1nccc1[C@H]1CCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000928376304 668578484 /nfs/dbraw/zinc/57/84/84/668578484.db2.gz ZPDAUPBPTBUSFM-NXEZZACHSA-N 0 2 307.379 0.640 20 0 DCADLN CO[C@]1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCSC1 ZINC000928496505 668590980 /nfs/dbraw/zinc/59/09/80/668590980.db2.gz BRVFXIXGSCDYMK-CPCISQLKSA-N 0 2 303.409 0.181 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2CCc3cc(O)ccc3C2)S1 ZINC000768830444 668623833 /nfs/dbraw/zinc/62/38/33/668623833.db2.gz BXCNTAMJERFGST-CMPLNLGQSA-N 0 2 319.386 0.922 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCS[C@@H]3COCC[C@H]32)S1 ZINC000769343658 668644316 /nfs/dbraw/zinc/64/43/16/668644316.db2.gz KIRBZMVIPUPRNR-HRDYMLBCSA-N 0 2 315.420 0.276 20 0 DCADLN Cc1ccc(-c2nnn(CC(=O)OCc3n[nH]c(=O)[nH]3)n2)cc1 ZINC000774894107 668849877 /nfs/dbraw/zinc/84/98/77/668849877.db2.gz BIIYYXNHPSDAPU-UHFFFAOYSA-N 0 2 315.293 0.216 20 0 DCADLN NC(=O)c1cccc(OCCC(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774968226 668852808 /nfs/dbraw/zinc/85/28/08/668852808.db2.gz SVNHKKRDAXKUMH-UHFFFAOYSA-N 0 2 306.278 0.122 20 0 DCADLN Cc1cn2c(ncc(C(=O)OCc3n[nH]c(=O)[nH]3)c2=O)s1 ZINC000775891940 668868872 /nfs/dbraw/zinc/86/88/72/668868872.db2.gz UKOXSTWKWDENKK-UHFFFAOYSA-N 0 2 307.291 0.245 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccccc1-n1cnnn1 ZINC000776537707 668877327 /nfs/dbraw/zinc/87/73/27/668877327.db2.gz QRLQKINOYPIGSS-UHFFFAOYSA-N 0 2 317.334 0.307 20 0 DCADLN Cn1ncc(C(=O)NCCc2n[nH]c(=S)o2)c1-n1cccc1 ZINC000776542603 668877482 /nfs/dbraw/zinc/87/74/82/668877482.db2.gz TVASLBZYQRADPU-UHFFFAOYSA-N 0 2 318.362 0.855 20 0 DCADLN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)Nc1noc(C)n1 ZINC000778084440 668894018 /nfs/dbraw/zinc/89/40/18/668894018.db2.gz GIWVXNOKZHMIJN-UHFFFAOYSA-N 0 2 315.311 0.387 20 0 DCADLN c1nnc(CN2CC[NH+](C[C@@H]3CCC4(CCOCC4)O3)CC2)[nH]1 ZINC000878810818 668932999 /nfs/dbraw/zinc/93/29/99/668932999.db2.gz KJZURMZYFSQSOS-AWEZNQCLSA-N 0 2 321.425 0.651 20 0 DCADLN C[C@@]1(C(=O)[O-])CCCN1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000780512637 668991090 /nfs/dbraw/zinc/99/10/90/668991090.db2.gz XXJWNRNPUBMWIH-HNNXBMFYSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@]1(C(=O)[O-])CCCN1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000780512637 668991094 /nfs/dbraw/zinc/99/10/94/668991094.db2.gz XXJWNRNPUBMWIH-HNNXBMFYSA-N 0 2 313.398 0.746 20 0 DCADLN CC(C)OCC[C@@H](O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881423253 669341158 /nfs/dbraw/zinc/34/11/58/669341158.db2.gz QMVSYZGWNFPMCU-SNVBAGLBSA-N 0 2 324.303 0.633 20 0 DCADLN CC(C)OCC[C@H](O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881423252 669341681 /nfs/dbraw/zinc/34/16/81/669341681.db2.gz QMVSYZGWNFPMCU-JTQLQIEISA-N 0 2 324.303 0.633 20 0 DCADLN Cc1cccc(C[C@@H](CO)NC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000787395917 669372337 /nfs/dbraw/zinc/37/23/37/669372337.db2.gz ZHAWHDWXMZIKRU-RYUDHWBXSA-N 0 2 321.402 0.571 20 0 DCADLN CC(C)[C@@H](CNC(=O)C[C@H]1SC(=N)NC1=O)c1ccnn1C ZINC000788536475 669445914 /nfs/dbraw/zinc/44/59/14/669445914.db2.gz BQZRUOREKQGZMM-MWLCHTKSSA-N 0 2 323.422 0.832 20 0 DCADLN O=C(Cn1ccc2ccccc2c1=O)OCc1n[nH]c(=O)[nH]1 ZINC000790724112 669570240 /nfs/dbraw/zinc/57/02/40/669570240.db2.gz NZTOKXABKAPLTE-UHFFFAOYSA-N 0 2 300.274 0.569 20 0 DCADLN Cc1ccc(O)c(NS(=O)(=O)N=[S@@](C)(=O)N(C)C)c1 ZINC000882708887 669571345 /nfs/dbraw/zinc/57/13/45/669571345.db2.gz KGUUGPWZFHRHQJ-GOSISDBHSA-N 0 2 307.397 0.932 20 0 DCADLN CN(C)[S@@](C)(=O)=NS(=O)(=O)Nc1ccc(F)cc1O ZINC000882709746 669571930 /nfs/dbraw/zinc/57/19/30/669571930.db2.gz WSBMMDRLOBPAOY-SFHVURJKSA-N 0 2 311.360 0.762 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)NC1CCCCC1 ZINC000883413968 669681590 /nfs/dbraw/zinc/68/15/90/669681590.db2.gz HSYWHALIVOTNEQ-NSHDSACASA-N 0 2 314.382 0.774 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)NC1CCCCC1 ZINC000883413968 669681591 /nfs/dbraw/zinc/68/15/91/669681591.db2.gz HSYWHALIVOTNEQ-NSHDSACASA-N 0 2 314.382 0.774 20 0 DCADLN CC(C)(CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(F)(F)F ZINC000800997637 670108262 /nfs/dbraw/zinc/10/82/62/670108262.db2.gz VICWMWJDYDGZDI-UHFFFAOYSA-N 0 2 317.271 0.776 20 0 DCADLN Cc1nonc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000950837425 670137438 /nfs/dbraw/zinc/13/74/38/670137438.db2.gz XVNCEVOUUAWDBL-HTRCEHHLSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000950837425 670137441 /nfs/dbraw/zinc/13/74/41/670137441.db2.gz XVNCEVOUUAWDBL-HTRCEHHLSA-N 0 2 324.234 0.609 20 0 DCADLN O=C([C@H](F)c1ccccc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950881130 670146552 /nfs/dbraw/zinc/14/65/52/670146552.db2.gz VHOSRKMRORXRRZ-CYBMUJFWSA-N 0 2 319.340 0.865 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@](F)(CO)C1 ZINC000802330356 670174541 /nfs/dbraw/zinc/17/45/41/670174541.db2.gz WBRZIZKPYJOYQJ-SNVBAGLBSA-N 0 2 300.252 0.376 20 0 DCADLN CCS(=O)(=O)CC[N@@H+](C)CCCN1CC[NH+](C(C)C)CC1 ZINC000192779390 670187810 /nfs/dbraw/zinc/18/78/10/670187810.db2.gz PZCMTRCNJCNYAT-UHFFFAOYSA-N 0 2 319.515 0.769 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnc(CC3CC3)nc2)S1 ZINC000803559321 670253560 /nfs/dbraw/zinc/25/35/60/670253560.db2.gz UQFWFEAJVVVUJL-VIFPVBQESA-N 0 2 305.363 0.924 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)C(F)(F)C2(O)CCCC2)C1=O ZINC000806308465 670306455 /nfs/dbraw/zinc/30/64/55/670306455.db2.gz BAYKYOSQRUFEBY-NSHDSACASA-N 0 2 319.308 0.679 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)N[C@@H](c2nnn[n-]2)c2ccccc2)C1 ZINC000806545729 670321301 /nfs/dbraw/zinc/32/13/01/670321301.db2.gz DIEODMRBWRGQNH-QWHCGFSZSA-N 0 2 316.365 0.126 20 0 DCADLN CC[N@H+]1CCO[C@H](C(=O)N[C@@H](c2nnn[n-]2)c2ccccc2)C1 ZINC000806545729 670321303 /nfs/dbraw/zinc/32/13/03/670321303.db2.gz DIEODMRBWRGQNH-QWHCGFSZSA-N 0 2 316.365 0.126 20 0 DCADLN CCN(C(=O)C1CC1)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC000949558017 670370785 /nfs/dbraw/zinc/37/07/85/670370785.db2.gz RBTLCUIUTCEYRA-UHFFFAOYSA-N 0 2 315.377 0.465 20 0 DCADLN CCN(C(=O)c1occc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949678747 670402671 /nfs/dbraw/zinc/40/26/71/670402671.db2.gz URLDKJTZSIPTII-UHFFFAOYSA-N 0 2 305.338 0.758 20 0 DCADLN CCN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949851488 670448160 /nfs/dbraw/zinc/44/81/60/670448160.db2.gz WAQCKWUCDBCNBL-PJXYFTJBSA-N 0 2 305.382 0.589 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@H]1C1CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952444527 670494460 /nfs/dbraw/zinc/49/44/60/670494460.db2.gz VGTVBROZCNQMDB-QWHCGFSZSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(C(F)C(F)(F)F)N(CCO)CCN1CCOCC1 ZINC000810754361 670496039 /nfs/dbraw/zinc/49/60/39/670496039.db2.gz YTAHLABQDZTMFW-VIFPVBQESA-N 0 2 302.268 0.040 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N(CCO)CCN1CCOCC1 ZINC000810754361 670496040 /nfs/dbraw/zinc/49/60/40/670496040.db2.gz YTAHLABQDZTMFW-VIFPVBQESA-N 0 2 302.268 0.040 20 0 DCADLN COc1c[nH+]ccc1N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000846941785 670503416 /nfs/dbraw/zinc/50/34/16/670503416.db2.gz WYXVJBMSVKLUHF-UHFFFAOYSA-N 0 2 301.350 0.705 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@]23OCCC[C@H]2C3(F)F)S1 ZINC000855785650 670756183 /nfs/dbraw/zinc/75/61/83/670756183.db2.gz ADIVXUMAACSTQN-AMXGMDGSSA-N 0 2 319.333 0.473 20 0 DCADLN NC(=O)C[C@H](NC(=O)C(F)C(F)(F)F)C1CCOCC1 ZINC000815746956 670760028 /nfs/dbraw/zinc/76/00/28/670760028.db2.gz AYHVPFADVYVKNF-CBAPKCEASA-N 0 2 300.252 0.674 20 0 DCADLN NC(=O)C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CCOCC1 ZINC000815746956 670760033 /nfs/dbraw/zinc/76/00/33/670760033.db2.gz AYHVPFADVYVKNF-CBAPKCEASA-N 0 2 300.252 0.674 20 0 DCADLN COC(=O)CCN(CC(OC)OC)C(=O)C(F)C(F)(F)F ZINC000816027834 670781242 /nfs/dbraw/zinc/78/12/42/670781242.db2.gz NMEBGWAXEWKECL-SECBINFHSA-N 0 2 319.251 0.897 20 0 DCADLN COC(=O)CCN(CC(OC)OC)C(=O)[C@@H](F)C(F)(F)F ZINC000816027834 670781247 /nfs/dbraw/zinc/78/12/47/670781247.db2.gz NMEBGWAXEWKECL-SECBINFHSA-N 0 2 319.251 0.897 20 0 DCADLN CC1(C)CCC(O)(CNC(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC000856070645 670783431 /nfs/dbraw/zinc/78/34/31/670783431.db2.gz TYHISXPSPJUMMK-SECBINFHSA-N 0 2 313.423 0.990 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCO[C@@H]1CC1(F)F ZINC000849726917 670843320 /nfs/dbraw/zinc/84/33/20/670843320.db2.gz QYLWVRLVSZISDE-MRVPVSSYSA-N 0 2 314.248 0.039 20 0 DCADLN C[NH+](C)Cc1c2ccccc2oc1C(=O)Nn1c(=O)[n-][nH]c1=O ZINC000816730776 670849739 /nfs/dbraw/zinc/84/97/39/670849739.db2.gz PAFQRUKWQXYHHC-UHFFFAOYSA-N 0 2 317.305 0.881 20 0 DCADLN O=C(Nn1c(=O)[n-][nH]c1=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000816731311 670851340 /nfs/dbraw/zinc/85/13/40/670851340.db2.gz LTILNNSZORDOAG-UHFFFAOYSA-N 0 2 300.278 0.318 20 0 DCADLN Cc1nc([C@](C)(NC(=O)C[C@H]2SC(=N)NC2=O)C2CC2)no1 ZINC000817624039 670927044 /nfs/dbraw/zinc/92/70/44/670927044.db2.gz REJBNCBHGSSNRR-AMIZOPFISA-N 0 2 323.378 0.676 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2C[C@H](CO)c3ccccc32)S1 ZINC000817791171 670937293 /nfs/dbraw/zinc/93/72/93/670937293.db2.gz VGJRETTZXDIXER-KCJUWKMLSA-N 0 2 305.359 0.666 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOC[C@@H]2CC2CCC2)S1 ZINC000819307276 671110770 /nfs/dbraw/zinc/11/07/70/671110770.db2.gz SVZSYWDUWRJCFZ-WDEREUQCSA-N 0 2 311.407 0.960 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc2c(cn1)OCCO2 ZINC000819500700 671122706 /nfs/dbraw/zinc/12/27/06/671122706.db2.gz YWUMAVXACIHLON-UHFFFAOYSA-N 0 2 308.319 0.497 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)N3CC[C@H](C(=O)[O-])C3)ccc2[nH+]1 ZINC000821136240 671256221 /nfs/dbraw/zinc/25/62/21/671256221.db2.gz NFZKSDFKBVABEG-JTQLQIEISA-N 0 2 316.317 0.514 20 0 DCADLN Cc1onc(CC(=O)NOCC(F)(F)F)c1-c1nn[nH]n1 ZINC000826348654 671486558 /nfs/dbraw/zinc/48/65/58/671486558.db2.gz XLYBPDANMULOEU-UHFFFAOYSA-N 0 2 306.204 0.316 20 0 DCADLN CCOC(=O)[C@@H]1CN(C(=O)C(F)C(F)(F)F)CC12COC2 ZINC000833099761 671745833 /nfs/dbraw/zinc/74/58/33/671745833.db2.gz DSKQSTCXERXZJG-JGVFFNPUSA-N 0 2 313.247 0.925 20 0 DCADLN CCOC(=O)[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CC12COC2 ZINC000833099761 671745834 /nfs/dbraw/zinc/74/58/34/671745834.db2.gz DSKQSTCXERXZJG-JGVFFNPUSA-N 0 2 313.247 0.925 20 0 DCADLN CC(C)NC(=O)CN1CC[NH+](Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC000833502751 671747728 /nfs/dbraw/zinc/74/77/28/671747728.db2.gz VJOVZDTXEWMSGP-UHFFFAOYSA-N 0 2 308.382 0.355 20 0 DCADLN CC(C)[C@@H](CO)O[N-]C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000836897867 671837953 /nfs/dbraw/zinc/83/79/53/671837953.db2.gz YRTQCLVYDYTBDH-CQSZACIVSA-N 0 2 322.409 0.268 20 0 DCADLN C[C@H]1CCC[C@]1(O)CNS(=O)(=O)N=S1(=O)CCCC1 ZINC000867313581 672050416 /nfs/dbraw/zinc/05/04/16/672050416.db2.gz PLCCFSWWTWFZAW-QWRGUYRKSA-N 0 2 310.441 0.634 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCOC[C@H]1C1CCC1 ZINC000843697369 672116892 /nfs/dbraw/zinc/11/68/92/672116892.db2.gz GEYQNSRLNFWMIR-LBPRGKRZSA-N 0 2 317.349 0.099 20 0 DCADLN O=C([C@@H](O)c1ccccn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843876282 672126837 /nfs/dbraw/zinc/12/68/37/672126837.db2.gz YMJGTTZSHGMRCO-NSHDSACASA-N 0 2 303.322 0.345 20 0 DCADLN Cc1cc(OCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC000843878367 672126866 /nfs/dbraw/zinc/12/68/66/672126866.db2.gz NAZNJHKBOQDVIM-VIFPVBQESA-N 0 2 307.310 0.592 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)N[C@@H]1CCCC(F)(F)[C@H]1O ZINC000867406039 672299639 /nfs/dbraw/zinc/29/96/39/672299639.db2.gz FZCVHLQEVGRFMW-PUERIAAYSA-N 0 2 320.383 0.487 20 0 DCADLN CS(=O)(=O)N1CC[C@H](NC(=O)c2cccc(Cl)c2O)C1 ZINC000846405885 672311475 /nfs/dbraw/zinc/31/14/75/672311475.db2.gz OAKUKFMJKJSJCT-QMMMGPOBSA-N 0 2 318.782 0.809 20 0 DCADLN O=C([O-])c1n[nH+]c2ccccc2c1N1CC[C@H](O)[C@H](CO)C1 ZINC000396808576 685271965 /nfs/dbraw/zinc/27/19/65/685271965.db2.gz NIEXUGRTGCDPRT-CABZTGNLSA-N 0 2 303.318 0.508 20 0 DCADLN CCc1nncn1CCNC(=O)NNC(=O)c1ccc(C)o1 ZINC000084162289 685085566 /nfs/dbraw/zinc/08/55/66/685085566.db2.gz HGYUIVMISXDKQW-UHFFFAOYSA-N 0 2 306.326 0.386 20 0 DCADLN CS(=O)(=O)c1cc(S(=O)(=O)Nc2cn[nH]c2)ccc1F ZINC000090230831 685101748 /nfs/dbraw/zinc/10/17/48/685101748.db2.gz FWFXOXCHWGPECS-UHFFFAOYSA-N 0 2 319.339 0.753 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC000388558834 685255230 /nfs/dbraw/zinc/25/52/30/685255230.db2.gz GESLCKUYSFHOJQ-UHFFFAOYSA-N 0 2 313.310 0.736 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCOCC1 ZINC001038203899 694013567 /nfs/dbraw/zinc/01/35/67/694013567.db2.gz LGPHLOFMWXGSDU-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(NC(=O)c2cn[nH]n2)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000957186755 686990345 /nfs/dbraw/zinc/99/03/45/686990345.db2.gz UNMBYIDXZMHNHN-OIBJUYFYSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)c1ccco1 ZINC000957354087 687040211 /nfs/dbraw/zinc/04/02/11/687040211.db2.gz MKQUQLJHZMEUCY-LLVKDONJSA-N 0 2 319.365 0.941 20 0 DCADLN O=C(CCc1nccs1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957697402 687165000 /nfs/dbraw/zinc/16/50/00/687165000.db2.gz OQZZOKPDOSJDDX-UHFFFAOYSA-N 0 2 322.394 0.244 20 0 DCADLN COC1CCC(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001038641463 694143972 /nfs/dbraw/zinc/14/39/72/694143972.db2.gz DUDKZAYWXWAVMI-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc[nH]c1C1CC1 ZINC001038651180 694147359 /nfs/dbraw/zinc/14/73/59/694147359.db2.gz IUSPIWRTLOSCAN-JTQLQIEISA-N 0 2 316.365 0.720 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)[nH]1 ZINC001038763390 694184886 /nfs/dbraw/zinc/18/48/86/694184886.db2.gz WBPIHKJKVVUZCJ-SNVBAGLBSA-N 0 2 304.354 0.460 20 0 DCADLN CC[C@H](C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cnnn1C)[NH+](C)C ZINC000960716488 688441698 /nfs/dbraw/zinc/44/16/98/688441698.db2.gz IUTXOYONLRSUIY-DZGCQCFKSA-N 0 2 322.457 0.578 20 0 DCADLN O=C(CC1CC1)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000961152056 688554576 /nfs/dbraw/zinc/55/45/76/688554576.db2.gz HCXLYVSXTAZIQW-WEDXCCLWSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(CC1CC1)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC000961152056 688554577 /nfs/dbraw/zinc/55/45/77/688554577.db2.gz HCXLYVSXTAZIQW-WEDXCCLWSA-N 0 2 312.263 0.375 20 0 DCADLN CCCc1nc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001038918676 694239462 /nfs/dbraw/zinc/23/94/62/694239462.db2.gz XVDLCJDCRPYENF-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cccnc1 ZINC000964551732 689260272 /nfs/dbraw/zinc/26/02/72/689260272.db2.gz UQCIQRLNKPHLNF-CMPLNLGQSA-N 0 2 316.365 0.546 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000965851120 689566711 /nfs/dbraw/zinc/56/67/11/689566711.db2.gz IJCLBROYPMADJR-SCZZXKLOSA-N 0 2 320.353 0.447 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ncc[nH]1 ZINC000968854051 690406289 /nfs/dbraw/zinc/40/62/89/690406289.db2.gz VWDAKAYSOPCSQK-SNVBAGLBSA-N 0 2 319.369 0.408 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ncc[nH]1 ZINC000968854051 690406290 /nfs/dbraw/zinc/40/62/90/690406290.db2.gz VWDAKAYSOPCSQK-SNVBAGLBSA-N 0 2 319.369 0.408 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccn1 ZINC001006569321 690556494 /nfs/dbraw/zinc/55/64/94/690556494.db2.gz CCYSBBRARYPROT-SNVBAGLBSA-N 0 2 302.338 0.300 20 0 DCADLN Cc1ccnc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001007246489 690648515 /nfs/dbraw/zinc/64/85/15/690648515.db2.gz SPQBZFFTHZBWIK-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007530151 690694981 /nfs/dbraw/zinc/69/49/81/690694981.db2.gz QQJHZUMEMHGXBI-WZRBSPASSA-N 0 2 323.397 0.406 20 0 DCADLN CC1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC=CC1 ZINC001008431045 690863367 /nfs/dbraw/zinc/86/33/67/690863367.db2.gz WBRTWBUMHCZTGN-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@]1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCOC1 ZINC001008999444 690970922 /nfs/dbraw/zinc/97/09/22/690970922.db2.gz BLNPDCUUZAUVBQ-QMTHXVAHSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1F ZINC001010418136 691269078 /nfs/dbraw/zinc/26/90/78/691269078.db2.gz JHUWCUQJMJCDGY-MRVPVSSYSA-N 0 2 306.301 0.049 20 0 DCADLN CO[C@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010562391 691313114 /nfs/dbraw/zinc/31/31/14/691313114.db2.gz RFWFYRJFOSMJPZ-HTQZYQBOSA-N 0 2 300.252 0.593 20 0 DCADLN CO[C@H](C)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010562391 691313118 /nfs/dbraw/zinc/31/31/18/691313118.db2.gz RFWFYRJFOSMJPZ-HTQZYQBOSA-N 0 2 300.252 0.593 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)cn3)C2)c1[O-] ZINC001010638257 691332981 /nfs/dbraw/zinc/33/29/81/691332981.db2.gz JUPBEKMNEDYLEL-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)c1[O-] ZINC001010638257 691332985 /nfs/dbraw/zinc/33/29/85/691332985.db2.gz JUPBEKMNEDYLEL-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011239893 691492063 /nfs/dbraw/zinc/49/20/63/691492063.db2.gz IKIVJWYRAWMUHS-MRVPVSSYSA-N 0 2 323.250 0.298 20 0 DCADLN CCc1cc(C(=O)N2CC[C@H](NC(=O)c3ncn[nH]3)[C@@H]2C)[nH]n1 ZINC001011523570 691558450 /nfs/dbraw/zinc/55/84/50/691558450.db2.gz VFRMAYBMSZQIJT-WPRPVWTQSA-N 0 2 317.353 0.123 20 0 DCADLN CCc1cnccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015020038 692159435 /nfs/dbraw/zinc/15/94/35/692159435.db2.gz SSIMLQVQEKOFFJ-NSHDSACASA-N 0 2 316.365 0.472 20 0 DCADLN CCc1nnc([C@H](C)N2CC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001015066509 692179203 /nfs/dbraw/zinc/17/92/03/692179203.db2.gz JLNODNRFRACEQE-DTWKUNHWSA-N 0 2 304.358 0.051 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ocnc1C1CC1 ZINC001015703582 692405528 /nfs/dbraw/zinc/40/55/28/692405528.db2.gz KBBHZJIFRKHCEZ-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN CCn1cccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016261932 692625623 /nfs/dbraw/zinc/62/56/23/692625623.db2.gz BHGNVMDCKBEMEJ-SNVBAGLBSA-N 0 2 304.354 0.336 20 0 DCADLN COC[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnn[nH]1 ZINC001017966389 693020093 /nfs/dbraw/zinc/02/00/93/693020093.db2.gz VRRFNSPJSHBKDM-FPMFFAJLSA-N 0 2 307.398 0.447 20 0 DCADLN CCCC(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074144360 694826813 /nfs/dbraw/zinc/82/68/13/694826813.db2.gz OVIOAMLVTFSJEU-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN CNC(=O)CC(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076857155 695077483 /nfs/dbraw/zinc/07/74/83/695077483.db2.gz LOCRYANIDXVLRN-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CNC(=O)CC(=O)N[C@@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001076857155 695077487 /nfs/dbraw/zinc/07/74/87/695077487.db2.gz LOCRYANIDXVLRN-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NCC(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076856629 695077612 /nfs/dbraw/zinc/07/76/12/695077612.db2.gz ATORMNLDDDBULH-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NCC(=O)N[C@@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001076856629 695077614 /nfs/dbraw/zinc/07/76/14/695077614.db2.gz ATORMNLDDDBULH-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CC/C=C(\C)C(=O)NC1(CO)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001570488945 1162527995 /nfs/dbraw/zinc/52/79/95/1162527995.db2.gz VCFCWRLQIBDYHV-NYYWCZLTSA-N 0 2 323.397 0.310 20 0 DCADLN CC(=O)N1Cc2ccc(S(=O)(=O)Nc3ncn(C)n3)cc2C1 ZINC001771796168 1159111691 /nfs/dbraw/zinc/11/16/91/1159111691.db2.gz NCTUQMMGTAPZCW-UHFFFAOYSA-N 0 2 321.362 0.478 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](C(=O)[O-])[C@@H](O)c1ccncc1 ZINC001573551342 1163489406 /nfs/dbraw/zinc/48/94/06/1163489406.db2.gz SFOXPVZCAGPLGG-ICCXJUOJSA-N 0 2 318.333 0.286 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](C(=O)[O-])[C@@H](O)c1ccncc1 ZINC001573551342 1163489432 /nfs/dbraw/zinc/48/94/32/1163489432.db2.gz SFOXPVZCAGPLGG-ICCXJUOJSA-N 0 2 318.333 0.286 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)c1nc(C(=O)[O-])n[nH]1 ZINC001573559810 1163498394 /nfs/dbraw/zinc/49/83/94/1163498394.db2.gz JXPHKBYHQNYLNI-SFYZADRCSA-N 0 2 304.310 0.139 20 0 DCADLN C[C@@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)c1nc(C(=O)[O-])n[nH]1 ZINC001573561568 1163499903 /nfs/dbraw/zinc/49/99/03/1163499903.db2.gz HUFWGNXLZIBLKU-MRVPVSSYSA-N 0 2 318.337 0.456 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CC([C@@H](F)C(=O)[O-])C1)[NH+]1CCOCC1 ZINC001573560894 1163500040 /nfs/dbraw/zinc/50/00/40/1163500040.db2.gz ABOBLWAYVXNKRU-HOSYDEDBSA-N 0 2 317.361 0.160 20 0 DCADLN CC[N@@H+]1CC[C@@H]1C(=O)N[C@H](CC(C)C)c1nc(C(=O)[O-])n[nH]1 ZINC001573777675 1163550794 /nfs/dbraw/zinc/55/07/94/1163550794.db2.gz GMUYWMRVDPKGOO-NXEZZACHSA-N 0 2 309.370 0.801 20 0 DCADLN CC[N@H+]1CC[C@@H]1C(=O)N[C@H](CC(C)C)c1nc(C(=O)[O-])n[nH]1 ZINC001573777675 1163550799 /nfs/dbraw/zinc/55/07/99/1163550799.db2.gz GMUYWMRVDPKGOO-NXEZZACHSA-N 0 2 309.370 0.801 20 0 DCADLN CCO[C@H]1[C@H](C(=O)NC2CN(c3ccc(C(=O)[O-])c[nH+]3)C2)[C@@H]1C ZINC001573795065 1163557166 /nfs/dbraw/zinc/55/71/66/1163557166.db2.gz DOFHRLQCDHHAQO-CUOATXAZSA-N 0 2 319.361 0.756 20 0 DCADLN CCn1nnc(C)c1C[NH+]1CCC(C(=O)[O-])([S@](C)=O)CC1 ZINC001573849969 1163567358 /nfs/dbraw/zinc/56/73/58/1163567358.db2.gz BALBDHLGVNXTTQ-NRFANRHFSA-N 0 2 314.411 0.404 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ncsc1NC(=O)[O-] ZINC001574220703 1163668485 /nfs/dbraw/zinc/66/84/85/1163668485.db2.gz GCYJHHIEZRELQP-LURJTMIESA-N 0 2 311.323 0.430 20 0 DCADLN Cn1nccc1[C@@H]1c2[nH]cnc2CC[N@H+]1C[C@@H]1C[C@H]1C(=O)[O-] ZINC001574228134 1163670898 /nfs/dbraw/zinc/67/08/98/1163670898.db2.gz GUWQBGQIBCYAMU-IMSIIYSGSA-N 0 2 301.350 0.811 20 0 DCADLN Cn1nccc1[C@@H]1c2[nH]cnc2CC[N@@H+]1C[C@@H]1C[C@H]1C(=O)[O-] ZINC001574228134 1163670905 /nfs/dbraw/zinc/67/09/05/1163670905.db2.gz GUWQBGQIBCYAMU-IMSIIYSGSA-N 0 2 301.350 0.811 20 0 DCADLN O=C([O-])c1ccc(N2CC(NC(=O)N[C@H]3C[C@@H](CO)C3)C2)[nH+]c1 ZINC001574311542 1163695575 /nfs/dbraw/zinc/69/55/75/1163695575.db2.gz KCIPNJBPNZVXCT-JGZJWPJOSA-N 0 2 320.349 0.039 20 0 DCADLN CN(C)[S@](C)(=O)=NC(=O)C[N@H+]1[C@H](C(=O)[O-])C[C@@H]2CCC[C@@H]21 ZINC001574550191 1163731408 /nfs/dbraw/zinc/73/14/08/1163731408.db2.gz FIYRSMNCDYIWIH-BQGCFYQRSA-N 0 2 317.411 0.415 20 0 DCADLN CN(C)[S@](C)(=O)=NC(=O)C[N@@H+]1[C@H](C(=O)[O-])C[C@@H]2CCC[C@@H]21 ZINC001574550191 1163731414 /nfs/dbraw/zinc/73/14/14/1163731414.db2.gz FIYRSMNCDYIWIH-BQGCFYQRSA-N 0 2 317.411 0.415 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCNC(=O)C(C)(C)F)cn1 ZINC001574806974 1163755915 /nfs/dbraw/zinc/75/59/15/1163755915.db2.gz GUINCIVLPRYFIU-UHFFFAOYSA-N 0 2 322.344 0.404 20 0 DCADLN C[C@H](NC(=O)NCc1nc(-c2nn[nH]n2)cs1)c1nnc[nH]1 ZINC001575298968 1163965678 /nfs/dbraw/zinc/96/56/78/1163965678.db2.gz XVPJNTPIJHQGIO-YFKPBYRVSA-N 0 2 320.342 0.002 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cccnn1 ZINC001575902189 1164180680 /nfs/dbraw/zinc/18/06/80/1164180680.db2.gz QYNUYPDJGBAJLR-QMMMGPOBSA-N 0 2 308.235 0.613 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](N)c1c(F)cccc1F)c1nn[nH]n1 ZINC001575971745 1164197610 /nfs/dbraw/zinc/19/76/10/1164197610.db2.gz GVRLUAYWUQLAQB-HQJQHLMTSA-N 0 2 310.308 0.740 20 0 DCADLN CC[C@H](C)NC(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001576654552 1164424723 /nfs/dbraw/zinc/42/47/23/1164424723.db2.gz IHGZIVSQPUXEPO-VIFPVBQESA-N 0 2 316.365 0.432 20 0 DCADLN O=C(COCC(F)F)N1CC[C@H](CC[NH2+]Cc2c[nH]nn2)C1 ZINC001577952132 1164858323 /nfs/dbraw/zinc/85/83/23/1164858323.db2.gz LSAQPKXWLXNUQT-JTQLQIEISA-N 0 2 317.340 0.415 20 0 DCADLN O=S(=O)(N[C@H](CO)c1c[nH]nn1)c1c(F)cccc1F ZINC001578048531 1164908131 /nfs/dbraw/zinc/90/81/31/1164908131.db2.gz OZTHVUOGJUAUDQ-SECBINFHSA-N 0 2 304.278 0.095 20 0 DCADLN CC[C@@H]1CC[C@@H](C)N(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001578427894 1165013230 /nfs/dbraw/zinc/01/32/30/1165013230.db2.gz PXCHYEHMIWFQAT-RKDXNWHRSA-N 0 2 319.369 0.269 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1cc(N)n(C)n1 ZINC001578502324 1165034304 /nfs/dbraw/zinc/03/43/04/1165034304.db2.gz PBTZSKDONIUWBT-UHFFFAOYSA-N 0 2 318.362 0.985 20 0 DCADLN Cc1cc(C[NH3+])oc1C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001579595961 1165355701 /nfs/dbraw/zinc/35/57/01/1165355701.db2.gz NFOYOALCLSRLRJ-SNVBAGLBSA-N 0 2 304.354 0.655 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC(C(C)(C)O)C1 ZINC001580597007 1165649818 /nfs/dbraw/zinc/64/98/18/1165649818.db2.gz BSKYAFUGTDLKFS-UHFFFAOYSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@@](C)(O)C1 ZINC001580598237 1165650229 /nfs/dbraw/zinc/65/02/29/1165650229.db2.gz TZOGHBSZTXRJGO-CQSZACIVSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](C)c1ncon1 ZINC001580604243 1165653437 /nfs/dbraw/zinc/65/34/37/1165653437.db2.gz ZYAQJYZMPQALLE-ZCFIWIBFSA-N 0 2 316.281 0.150 20 0 DCADLN O=C(NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)[C@H]1C[NH2+]Cc2ccccc21 ZINC001580686701 1165687604 /nfs/dbraw/zinc/68/76/04/1165687604.db2.gz LXMBBEAQXRABFA-UXLLHSPISA-N 0 2 315.417 0.853 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCC1)c1sccc1-c1nn[nH]n1 ZINC001582245819 1165991159 /nfs/dbraw/zinc/99/11/59/1165991159.db2.gz DMXFFKHSOUZBCK-UHFFFAOYSA-N 0 2 317.338 0.251 20 0 DCADLN C[C@@](CNC(=O)C=C1CCC1)(NC(=O)Cn1cncn1)C1CC1 ZINC001582662874 1166063615 /nfs/dbraw/zinc/06/36/15/1166063615.db2.gz CUYFOIMETMUXKF-INIZCTEOSA-N 0 2 317.393 0.790 20 0 DCADLN Cc1cc2cn[nH]c2c(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)c1 ZINC001586804480 1166499514 /nfs/dbraw/zinc/49/95/14/1166499514.db2.gz HLQQHEJZSQXJAW-LLVKDONJSA-N 0 2 313.321 0.598 20 0 DCADLN Cc1cc2c[nH]nc2c(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)c1 ZINC001586804480 1166499521 /nfs/dbraw/zinc/49/95/21/1166499521.db2.gz HLQQHEJZSQXJAW-LLVKDONJSA-N 0 2 313.321 0.598 20 0 DCADLN C[C@H]1CC[C@@H]([NH2+]Cc2ccc(-c3nn[nH]n3)o2)CS1(=O)=O ZINC001590236419 1166825121 /nfs/dbraw/zinc/82/51/21/1166825121.db2.gz JFKVXWIUBDEHTF-DTWKUNHWSA-N 0 2 311.367 0.515 20 0 DCADLN C/C=C\C[C@H](CO)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001590351863 1166882463 /nfs/dbraw/zinc/88/24/63/1166882463.db2.gz IKHRCKDBCFGNIK-ZBJFTSOASA-N 0 2 318.337 0.333 20 0 DCADLN CCC(C)(C)[C@@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590373191 1166892100 /nfs/dbraw/zinc/89/21/00/1166892100.db2.gz KFRNUNOEMGOYKG-SSDOTTSWSA-N 0 2 307.358 0.903 20 0 DCADLN CCC[C@@H](NC(=O)c1cccc(-c2nn[nH]n2)n1)c1nn[nH]n1 ZINC001590560113 1166944920 /nfs/dbraw/zinc/94/49/20/1166944920.db2.gz GMHCWJHKACHCRZ-SSDOTTSWSA-N 0 2 314.313 0.046 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCOC(C)(C)C)c1=O ZINC001590950242 1167056443 /nfs/dbraw/zinc/05/64/43/1167056443.db2.gz YBBUXTUSCNHKDJ-UHFFFAOYSA-N 0 2 308.342 0.025 20 0 DCADLN CSCc1n[nH]c(CNc2cccnc2-c2nn[nH]n2)n1 ZINC001591701792 1167358008 /nfs/dbraw/zinc/35/80/08/1167358008.db2.gz LCDJXDZUORKOBO-UHFFFAOYSA-N 0 2 303.355 0.855 20 0 DCADLN CSCc1nnc(CNc2cccnc2-c2nn[nH]n2)[nH]1 ZINC001591701792 1167358014 /nfs/dbraw/zinc/35/80/14/1167358014.db2.gz LCDJXDZUORKOBO-UHFFFAOYSA-N 0 2 303.355 0.855 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CCCC(C)(C)C)c(=O)n1C ZINC001591793962 1167380441 /nfs/dbraw/zinc/38/04/41/1167380441.db2.gz QXDORJKIHACEPH-UHFFFAOYSA-N 0 2 306.370 0.862 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CCSC(C)(C)C)c(=O)n1C ZINC001591794098 1167380634 /nfs/dbraw/zinc/38/06/34/1167380634.db2.gz YMOAJSPNJTYTAW-UHFFFAOYSA-N 0 2 324.410 0.567 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CCC(C)(C)C2CC2)c(=O)n1C ZINC001591793542 1167380828 /nfs/dbraw/zinc/38/08/28/1167380828.db2.gz AQYOBVMORAUZBZ-UHFFFAOYSA-N 0 2 318.381 0.862 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)NCCF ZINC001591787176 1167381248 /nfs/dbraw/zinc/38/12/48/1167381248.db2.gz NEJXVFUQKKLZEN-UHFFFAOYSA-N 0 2 308.317 0.602 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(COC(=O)N2CCCC2)c1 ZINC001591836638 1167390813 /nfs/dbraw/zinc/39/08/13/1167390813.db2.gz FIAJACHMJFIQNI-UHFFFAOYSA-N 0 2 304.310 0.527 20 0 DCADLN Cc1ccn(Cc2noc3c2COCC3)c(=O)c1-c1nn[nH]n1 ZINC001592265895 1167479829 /nfs/dbraw/zinc/47/98/29/1167479829.db2.gz OJVFMIOEUITDET-UHFFFAOYSA-N 0 2 314.305 0.446 20 0 DCADLN Cc1ccn(CN2CC[C@@H](C)[C@@H](F)C2)c(=O)c1-c1nn[nH]n1 ZINC001592266959 1167480270 /nfs/dbraw/zinc/48/02/70/1167480270.db2.gz KQGXJLNRAZVOFH-KOLCDFICSA-N 0 2 306.345 0.974 20 0 DCADLN Cc1ccn(CN2CCCOC[C@@H]2C)c(=O)c1-c1nn[nH]n1 ZINC001592266485 1167480785 /nfs/dbraw/zinc/48/07/85/1167480785.db2.gz CYXBEYBYSXBNNP-NSHDSACASA-N 0 2 304.354 0.405 20 0 DCADLN Cc1ccn(CN2CC[C@H](C)[C@H](F)C2)c(=O)c1-c1nn[nH]n1 ZINC001592266958 1167480863 /nfs/dbraw/zinc/48/08/63/1167480863.db2.gz KQGXJLNRAZVOFH-GXSJLCMTSA-N 0 2 306.345 0.974 20 0 DCADLN Cc1nc([C@H](C)NC(=O)Nc2cccc(-c3nn[nH]n3)n2)n[nH]1 ZINC001592440979 1167524362 /nfs/dbraw/zinc/52/43/62/1167524362.db2.gz JYOOIOXPAHTPEG-LURJTMIESA-N 0 2 314.313 0.571 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC(n2cncn2)C1 ZINC001592496801 1167539527 /nfs/dbraw/zinc/53/95/27/1167539527.db2.gz LCJVTCCIEUNBFF-UHFFFAOYSA-N 0 2 300.282 0.057 20 0 DCADLN Cn1c(=O)[nH]c(NCC[C@H]2CCCS2)c(-c2nn[nH]n2)c1=O ZINC001592545484 1167550785 /nfs/dbraw/zinc/55/07/85/1167550785.db2.gz ABZIRYVVMABILA-SSDOTTSWSA-N 0 2 323.382 0.364 20 0 DCADLN Cn1c(=O)[nH]c(N[C@H]2CCCC2(C)C)c(-c2nn[nH]n2)c1=O ZINC001592546910 1167553491 /nfs/dbraw/zinc/55/34/91/1167553491.db2.gz VVQJALZKAPMSKY-ZETCQYMHSA-N 0 2 305.342 0.657 20 0 DCADLN Nc1c(-c2nn[nH]n2)cnn1C(=O)c1cc(F)cc(F)c1O ZINC001592752726 1167632328 /nfs/dbraw/zinc/63/23/28/1167632328.db2.gz AHGNHHUDYCSXFP-UHFFFAOYSA-N 0 2 307.220 0.318 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)NCC1CCCCC1 ZINC001592941900 1167669567 /nfs/dbraw/zinc/66/95/67/1167669567.db2.gz YMHMRQHWVLMJGH-UHFFFAOYSA-N 0 2 317.353 0.120 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CN1CCCCC1)CCC2 ZINC001593492784 1167875522 /nfs/dbraw/zinc/87/55/22/1167875522.db2.gz XMQXIPZDCZTVJO-UHFFFAOYSA-N 0 2 300.366 0.961 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1Cc1nncs1)CCC2 ZINC001593491099 1167877628 /nfs/dbraw/zinc/87/76/28/1167877628.db2.gz WTWRGTWWCWOIEJ-UHFFFAOYSA-N 0 2 301.335 0.417 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1nc(C2CCCC2)no1 ZINC001593495598 1167879551 /nfs/dbraw/zinc/87/95/51/1167879551.db2.gz KNIKGOAKZRCDGF-UHFFFAOYSA-N 0 2 314.309 0.512 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1occc1C(=O)[O-] ZINC001598864296 1168052435 /nfs/dbraw/zinc/05/24/35/1168052435.db2.gz FLDYCFJSNDPZOG-SNVBAGLBSA-N 0 2 310.306 0.231 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1occc1C(=O)[O-] ZINC001598864296 1168052442 /nfs/dbraw/zinc/05/24/42/1168052442.db2.gz FLDYCFJSNDPZOG-SNVBAGLBSA-N 0 2 310.306 0.231 20 0 DCADLN Cc1cc(NC(=O)[C@@H](C)[NH+]2CCC([C@@H](O)C(=O)[O-])CC2)on1 ZINC001600249419 1168149615 /nfs/dbraw/zinc/14/96/15/1168149615.db2.gz GJAOFNIGBAMNAJ-BXKDBHETSA-N 0 2 311.338 0.468 20 0 DCADLN Cc1ccc(NCC2(S(=O)(=O)N(C)C)CC2)[nH+]c1C(=O)[O-] ZINC001600458776 1168187740 /nfs/dbraw/zinc/18/77/40/1168187740.db2.gz AHOYWIVJPPDDBO-UHFFFAOYSA-N 0 2 313.379 0.924 20 0 DCADLN Cc1nc(NCCOCCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])cc[nH+]1 ZINC001600753145 1168277312 /nfs/dbraw/zinc/27/73/12/1168277312.db2.gz SVQROPMIDRZWBK-NEPJUHHUSA-N 0 2 322.365 0.393 20 0 DCADLN CC1SC(C(=O)N2CC[N@H+](CCC(=O)[O-])C[C@H]2C)=NC1=O ZINC001600865736 1168492390 /nfs/dbraw/zinc/49/23/90/1168492390.db2.gz QEYJIIBIPFRGBJ-MRVPVSSYSA-N 0 2 313.379 0.778 20 0 DCADLN Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)C1 ZINC001600986013 1168546059 /nfs/dbraw/zinc/54/60/59/1168546059.db2.gz XBOCDNRXKQWILT-XQQFMLRXSA-N 0 2 321.377 0.833 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)Cc1cccc(C(=O)[O-])c1 ZINC001600993750 1168549950 /nfs/dbraw/zinc/54/99/50/1168549950.db2.gz VBVJIWYSNFWKMF-GFCCVEGCSA-N 0 2 303.318 0.511 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC001601000027 1168556255 /nfs/dbraw/zinc/55/62/55/1168556255.db2.gz YIMSCANLMNWYRD-UHFFFAOYSA-N 0 2 302.290 0.417 20 0 DCADLN Cn1ncc(Cl)c1C[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001601028007 1168571956 /nfs/dbraw/zinc/57/19/56/1168571956.db2.gz VGKSHZPCHQLLHZ-QMMMGPOBSA-N 0 2 310.745 0.810 20 0 DCADLN Cn1ncc(Cl)c1C[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001601028007 1168571966 /nfs/dbraw/zinc/57/19/66/1168571966.db2.gz VGKSHZPCHQLLHZ-QMMMGPOBSA-N 0 2 310.745 0.810 20 0 DCADLN Cn1ncc2c1nc[nH+]c2NCCCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001601030631 1168572908 /nfs/dbraw/zinc/57/29/08/1168572908.db2.gz GKMRSCCVAAPHTQ-DTWKUNHWSA-N 0 2 318.337 0.002 20 0 DCADLN [NH3+][C@@H](CC(=O)NCCOc1ccc(C(=O)[O-])cc1)C(F)F ZINC001601171813 1168619821 /nfs/dbraw/zinc/61/98/21/1168619821.db2.gz KOWZGDBVTMIFAG-JTQLQIEISA-N 0 2 302.277 0.862 20 0 DCADLN O=C([O-])C1(C2(O)CCN(C(=O)CCn3cc[nH+]c3)CC2)CCC1 ZINC001601299296 1168694121 /nfs/dbraw/zinc/69/41/21/1168694121.db2.gz AIDQJSLVPQDACC-UHFFFAOYSA-N 0 2 321.377 0.882 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)COc1ccc(O)cc1 ZINC001601343809 1168714073 /nfs/dbraw/zinc/71/40/73/1168714073.db2.gz VATBARSTJUPZDB-GFCCVEGCSA-N 0 2 305.290 0.306 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)COc1ccc(O)cc1 ZINC001601343809 1168714085 /nfs/dbraw/zinc/71/40/85/1168714085.db2.gz VATBARSTJUPZDB-GFCCVEGCSA-N 0 2 305.290 0.306 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cnc2nccnc2c1 ZINC001601425868 1168742250 /nfs/dbraw/zinc/74/22/50/1168742250.db2.gz MBHDVMZWBLSVNK-LLVKDONJSA-N 0 2 312.289 0.105 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2c1OCO2 ZINC001601565443 1168783030 /nfs/dbraw/zinc/78/30/30/1168783030.db2.gz ZRUJZMKVKFFVMK-JTQLQIEISA-N 0 2 303.274 0.564 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2c1OCO2 ZINC001601565443 1168783033 /nfs/dbraw/zinc/78/30/33/1168783033.db2.gz ZRUJZMKVKFFVMK-JTQLQIEISA-N 0 2 303.274 0.564 20 0 DCADLN O=C(N[C@@]1(C(=O)[O-])C[C@@H](O)C1)c1ccc(-n2cc[nH+]c2)cc1 ZINC001601578308 1168790021 /nfs/dbraw/zinc/79/00/21/1168790021.db2.gz MNRTVPUARKCTQT-JNSHFYNHSA-N 0 2 301.302 0.580 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC001601683809 1168815138 /nfs/dbraw/zinc/81/51/38/1168815138.db2.gz RPTFTFPCDMJKAX-WCQYABFASA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)[C@@H]3CCc4c[nH+]cn4C3)C2)C1 ZINC001601738655 1168841987 /nfs/dbraw/zinc/84/19/87/1168841987.db2.gz GURXMXLAFGKRJA-MEBBXXQBSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)[C@@H]3CCn4cc[nH+]c4C3)C2)C1 ZINC001601740257 1168844755 /nfs/dbraw/zinc/84/47/55/1168844755.db2.gz UFSJSKRDZMWMCB-BMIGLBTASA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])CCCCC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC001601982136 1168952689 /nfs/dbraw/zinc/95/26/89/1168952689.db2.gz HDRJIJMXIUBBGD-UHFFFAOYSA-N 0 2 324.381 0.799 20 0 DCADLN O=C([O-])COCC(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC001602076695 1168988770 /nfs/dbraw/zinc/98/87/70/1168988770.db2.gz HVKOEHVHQAEMOZ-UHFFFAOYSA-N 0 2 308.334 0.138 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@]12CCO[C@H]1CCCC2 ZINC001602168915 1169014101 /nfs/dbraw/zinc/01/41/01/1169014101.db2.gz FDNVLFAOCRZJDH-TYNCELHUSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@]12CCO[C@H]1CCCC2 ZINC001602168915 1169014111 /nfs/dbraw/zinc/01/41/11/1169014111.db2.gz FDNVLFAOCRZJDH-TYNCELHUSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1Cc1cnn(Cc2ccccc2)c1 ZINC001602192805 1169021558 /nfs/dbraw/zinc/02/15/58/1169021558.db2.gz UXRKAPGUQMDTBL-CABCVRRESA-N 0 2 301.346 0.951 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1Cc1cnn(Cc2ccccc2)c1 ZINC001602192805 1169021568 /nfs/dbraw/zinc/02/15/68/1169021568.db2.gz UXRKAPGUQMDTBL-CABCVRRESA-N 0 2 301.346 0.951 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@@H+]2CCC[C@@H]([C@H]3CCOC3)C2)n1 ZINC001602313403 1169055398 /nfs/dbraw/zinc/05/53/98/1169055398.db2.gz FWPIFCTUMNHUNT-NEPJUHHUSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@H+]2CCC[C@@H]([C@H]3CCOC3)C2)n1 ZINC001602313403 1169055413 /nfs/dbraw/zinc/05/54/13/1169055413.db2.gz FWPIFCTUMNHUNT-NEPJUHHUSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])c1cn(CC[NH2+][C@@H](c2ncc[nH]2)c2cccnc2)nn1 ZINC001602505676 1169101233 /nfs/dbraw/zinc/10/12/33/1169101233.db2.gz RFWNIOAWXBIKNH-GFCCVEGCSA-N 0 2 313.321 0.474 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCCN(C(=O)C3CC3)CC2)cn1 ZINC001602520813 1169104423 /nfs/dbraw/zinc/10/44/23/1169104423.db2.gz ZSJTWZNJFYCLIZ-UHFFFAOYSA-N 0 2 304.350 0.619 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCCN(C(=O)C3CC3)CC2)cn1 ZINC001602520813 1169104429 /nfs/dbraw/zinc/10/44/29/1169104429.db2.gz ZSJTWZNJFYCLIZ-UHFFFAOYSA-N 0 2 304.350 0.619 20 0 DCADLN O=C([O-])c1cnc(CN2CC[NH+](Cc3cn[nH]c3)CC2)cn1 ZINC001602520725 1169104980 /nfs/dbraw/zinc/10/49/80/1169104980.db2.gz WERGNYXKGNNGKJ-UHFFFAOYSA-N 0 2 302.338 0.216 20 0 DCADLN C/C(=C\C(=O)N1CC(F)(F)C[C@@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001602951737 1169220063 /nfs/dbraw/zinc/22/00/63/1169220063.db2.gz XOUCDRIGWLDRIQ-CDCCAWJDSA-N 0 2 318.320 0.586 20 0 DCADLN C[C@@H](C(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC001603141425 1169254763 /nfs/dbraw/zinc/25/47/63/1169254763.db2.gz PWLZOVKCDSUSLG-VHSXEESVSA-N 0 2 304.310 0.207 20 0 DCADLN CC(C)CNC(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001603295412 1169288089 /nfs/dbraw/zinc/28/80/89/1169288089.db2.gz VWKJKKSOHMNVCY-UHFFFAOYSA-N 0 2 316.365 0.289 20 0 DCADLN CC(C)(C)n1cnc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001603568020 1169327713 /nfs/dbraw/zinc/32/77/13/1169327713.db2.gz OAGWRZJNHMXPSR-SECBINFHSA-N 0 2 306.326 0.113 20 0 DCADLN CC(C)(CNC(=O)Cc1ccc(C(=O)[O-])nc1)[NH+]1CCOCC1 ZINC001603627722 1169335996 /nfs/dbraw/zinc/33/59/96/1169335996.db2.gz XCDBKVBYBCGBRB-UHFFFAOYSA-N 0 2 321.377 0.549 20 0 DCADLN C[C@@H]1CCC[C@@H](CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)O1 ZINC001603885648 1169420305 /nfs/dbraw/zinc/42/03/05/1169420305.db2.gz DZJCBBYLUCUACQ-SFYZADRCSA-N 0 2 321.341 0.036 20 0 DCADLN C[C@@H](C[N@@H+](Cc1ccccc1)Cn1ncn(C)c1=O)C(=O)[O-] ZINC001604166208 1169510938 /nfs/dbraw/zinc/51/09/38/1169510938.db2.gz XPDXTSMCWLJTAW-LBPRGKRZSA-N 0 2 304.350 0.762 20 0 DCADLN C[C@@H](C[N@H+](Cc1ccccc1)Cn1ncn(C)c1=O)C(=O)[O-] ZINC001604166208 1169510946 /nfs/dbraw/zinc/51/09/46/1169510946.db2.gz XPDXTSMCWLJTAW-LBPRGKRZSA-N 0 2 304.350 0.762 20 0 DCADLN CCCc1nc(C)c(Cn2cnc(-c3nn[nH]n3)cc2=O)o1 ZINC001604246390 1169532066 /nfs/dbraw/zinc/53/20/66/1169532066.db2.gz IQCPPLUZPRJJQQ-UHFFFAOYSA-N 0 2 301.310 0.721 20 0 DCADLN CCCc1nc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)no1 ZINC001604248279 1169532764 /nfs/dbraw/zinc/53/27/64/1169532764.db2.gz ZPDBWMYCBPVFKI-UHFFFAOYSA-N 0 2 316.325 0.424 20 0 DCADLN CCc1nn(CCC[C@@H](C)O)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604579676 1169622514 /nfs/dbraw/zinc/62/25/14/1169622514.db2.gz AGGHLQKHOBYTFW-SECBINFHSA-N 0 2 306.370 0.709 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](Cn2cc(C[NH+]3CCC(O)CC3)nn2)O1 ZINC001604614004 1169630863 /nfs/dbraw/zinc/63/08/63/1169630863.db2.gz UCSOHVUEGJAAAL-CYBMUJFWSA-N 0 2 322.365 0.382 20 0 DCADLN CO[C@H](Cn1cc(C)cc(-c2nn[nH]n2)c1=O)[C@@H]1CCOC1 ZINC001605012654 1169779396 /nfs/dbraw/zinc/77/93/96/1169779396.db2.gz QAHJKRJXYSQQMP-ZYHUDNBSSA-N 0 2 305.338 0.388 20 0 DCADLN CC[N@H+](CC(=O)N1CCC(C(=O)[O-])CC1)Cc1cnn(C)c1 ZINC001605411409 1169913754 /nfs/dbraw/zinc/91/37/54/1169913754.db2.gz JKJQQCVZNYRJJM-UHFFFAOYSA-N 0 2 308.382 0.565 20 0 DCADLN CC[N@@H+](CC(=O)N1CCC(C(=O)[O-])CC1)Cc1cnn(C)c1 ZINC001605411409 1169913758 /nfs/dbraw/zinc/91/37/58/1169913758.db2.gz JKJQQCVZNYRJJM-UHFFFAOYSA-N 0 2 308.382 0.565 20 0 DCADLN CCN1C(=O)[C@@H]2CN(c3ccc(C)c(C(=O)[O-])[nH+]3)CCN2C1=O ZINC001605465021 1169930229 /nfs/dbraw/zinc/93/02/29/1169930229.db2.gz SVACQWCWNJWUIH-JTQLQIEISA-N 0 2 318.333 0.561 20 0 DCADLN Cc1csc(=O)n1CCn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001605510758 1169943981 /nfs/dbraw/zinc/94/39/81/1169943981.db2.gz HBDRJELTZHWDGX-UHFFFAOYSA-N 0 2 318.362 0.569 20 0 DCADLN CCc1[nH]c(=O)c(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1C ZINC001605856609 1170014031 /nfs/dbraw/zinc/01/40/31/1170014031.db2.gz UWQJVGDLGLZTRQ-LBPRGKRZSA-N 0 2 318.333 0.738 20 0 DCADLN CCn1nc2c(cc1=O)CN(c1cc(CC(=O)[O-])cc[nH+]1)CC2 ZINC001605940528 1170030750 /nfs/dbraw/zinc/03/07/50/1170030750.db2.gz MYBKYNONXQRVPJ-UHFFFAOYSA-N 0 2 314.345 0.848 20 0 DCADLN Cc1ccn(CC(=O)OCC(F)(F)F)c(=O)c1-c1nn[nH]n1 ZINC001605948929 1170032033 /nfs/dbraw/zinc/03/20/33/1170032033.db2.gz JDJADEFOAJESPJ-UHFFFAOYSA-N 0 2 317.227 0.442 20 0 DCADLN CN(C(=O)[C@H]1C[C@H]1C(=O)[O-])C1C[NH+](CCc2ccns2)C1 ZINC001605956609 1170035612 /nfs/dbraw/zinc/03/56/12/1170035612.db2.gz KIJKSWDIPJCMKK-NWDGAFQWSA-N 0 2 309.391 0.549 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)c2cc(-c3nn[nH]n3)oc2C)n[nH]1 ZINC001606119965 1170083106 /nfs/dbraw/zinc/08/31/06/1170083106.db2.gz USINZCARWHZPIK-RXMQYKEDSA-N 0 2 302.298 0.686 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2ccc(C(N)=O)s2)C[C@@]1(C)C(=O)[O-] ZINC001606205548 1170112448 /nfs/dbraw/zinc/11/24/48/1170112448.db2.gz PVHBXGGQFXFBHS-CPFSXVBKSA-N 0 2 312.347 0.551 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2ccc(C(N)=O)s2)C[C@@]1(C)C(=O)[O-] ZINC001606205548 1170112452 /nfs/dbraw/zinc/11/24/52/1170112452.db2.gz PVHBXGGQFXFBHS-CPFSXVBKSA-N 0 2 312.347 0.551 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)NC2(C(=O)[O-])CCOCC2)[nH]n1 ZINC001606227337 1170125249 /nfs/dbraw/zinc/12/52/49/1170125249.db2.gz OBPXTJADYREMCU-LBPRGKRZSA-N 0 2 322.365 0.540 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)NC2(C(=O)[O-])CCOCC2)[nH]n1 ZINC001606227337 1170125253 /nfs/dbraw/zinc/12/52/53/1170125253.db2.gz OBPXTJADYREMCU-LBPRGKRZSA-N 0 2 322.365 0.540 20 0 DCADLN Cn1nncc1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001606286545 1170149557 /nfs/dbraw/zinc/14/95/57/1170149557.db2.gz RZUMJDQZSLCPDY-UHFFFAOYSA-N 0 2 312.337 0.084 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@H](C(=O)[O-])C1 ZINC001606402339 1170184706 /nfs/dbraw/zinc/18/47/06/1170184706.db2.gz CFVRSMVTDURGAR-KOLCDFICSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@H](C(=O)[O-])C1 ZINC001606402339 1170184709 /nfs/dbraw/zinc/18/47/09/1170184709.db2.gz CFVRSMVTDURGAR-KOLCDFICSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)c1ccc(C[NH+]2CC(c3cc(C(=O)[O-])n[nH]3)C2)[nH]1 ZINC001606585524 1170237786 /nfs/dbraw/zinc/23/77/86/1170237786.db2.gz CVHWPQYUAGPFEP-UHFFFAOYSA-N 0 2 304.306 0.822 20 0 DCADLN CO[C@@H](CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])C(F)(F)F ZINC001606670127 1170257256 /nfs/dbraw/zinc/25/72/56/1170257256.db2.gz NTKKTCBQCLSMTN-SFYZADRCSA-N 0 2 309.244 0.420 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@H+](Cc2ncc(C3OCCO3)s2)C1 ZINC001606705429 1170270035 /nfs/dbraw/zinc/27/00/35/1170270035.db2.gz FKJKBFZATGBEMG-ZDUSSCGKSA-N 0 2 314.363 0.864 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@@H+](Cc2ncc(C3OCCO3)s2)C1 ZINC001606705429 1170270041 /nfs/dbraw/zinc/27/00/41/1170270041.db2.gz FKJKBFZATGBEMG-ZDUSSCGKSA-N 0 2 314.363 0.864 20 0 DCADLN COCC1([NH2+]Cc2noc(CCCC(=O)[O-])n2)CCOCC1 ZINC001606842200 1170309286 /nfs/dbraw/zinc/30/92/86/1170309286.db2.gz BLPIDMPIILUBDB-UHFFFAOYSA-N 0 2 313.354 0.762 20 0 DCADLN COCC[N@H+](CC(=O)N(C)C)Cc1ccccc1OCC(=O)[O-] ZINC001606881380 1170322145 /nfs/dbraw/zinc/32/21/45/1170322145.db2.gz VIPKHRSRIFYHCS-UHFFFAOYSA-N 0 2 324.377 0.687 20 0 DCADLN COCC[N@@H+](CC(=O)N(C)C)Cc1ccccc1OCC(=O)[O-] ZINC001606881380 1170322153 /nfs/dbraw/zinc/32/21/53/1170322153.db2.gz VIPKHRSRIFYHCS-UHFFFAOYSA-N 0 2 324.377 0.687 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1ncnn1-c1ccccc1 ZINC001607090087 1170385921 /nfs/dbraw/zinc/38/59/21/1170385921.db2.gz UVGSYYOGZQUPFR-UHFFFAOYSA-N 0 2 321.304 0.052 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1nnc2n1CCCCC2 ZINC001607091970 1170389668 /nfs/dbraw/zinc/38/96/68/1170389668.db2.gz OIDZWBBKYWMAJR-UHFFFAOYSA-N 0 2 312.337 0.395 20 0 DCADLN c1ccc(C[NH+]2CCN(c3ccnc(-c4nn[n-]n4)n3)CC2)cc1 ZINC001607129279 1170406832 /nfs/dbraw/zinc/40/68/32/1170406832.db2.gz PZYFJJLVCJCPAF-UHFFFAOYSA-N 0 2 322.376 0.979 20 0 DCADLN c1ccc(-c2nnc(CNc3ccnc(-c4nn[nH]n4)n3)[nH]2)cc1 ZINC001607124288 1170406871 /nfs/dbraw/zinc/40/68/71/1170406871.db2.gz CCQUVZDGVCKVHL-UHFFFAOYSA-N 0 2 320.320 0.476 20 0 DCADLN COc1cccc([C@H]2CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC[NH2+]2)c1 ZINC001607320403 1170427031 /nfs/dbraw/zinc/42/70/31/1170427031.db2.gz ZYLYIMGSRHUITL-BFHYXJOUSA-N 0 2 304.346 0.889 20 0 DCADLN CS(=O)(=O)N1CCC[N@H+](Cc2ccc(C(=O)[O-])[nH]2)CC1 ZINC001607371918 1170441110 /nfs/dbraw/zinc/44/11/10/1170441110.db2.gz KPDQBAFSVKBCKF-UHFFFAOYSA-N 0 2 301.368 0.180 20 0 DCADLN CS(=O)(=O)N1CCC[N@@H+](Cc2ccc(C(=O)[O-])[nH]2)CC1 ZINC001607371918 1170441113 /nfs/dbraw/zinc/44/11/13/1170441113.db2.gz KPDQBAFSVKBCKF-UHFFFAOYSA-N 0 2 301.368 0.180 20 0 DCADLN Cc1nc(CC[NH+]2CCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)cs1 ZINC001607954642 1170533170 /nfs/dbraw/zinc/53/31/70/1170533170.db2.gz MNVCXFKJDSHEKK-STQMWFEESA-N 0 2 323.418 0.859 20 0 DCADLN Cc1ncc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1C(=O)[O-] ZINC001607981915 1170538993 /nfs/dbraw/zinc/53/89/93/1170538993.db2.gz ZEJOENJLIUQDIS-JTQLQIEISA-N 0 2 322.365 0.930 20 0 DCADLN Cc1nccc(CCC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001607986571 1170539822 /nfs/dbraw/zinc/53/98/22/1170539822.db2.gz GIRIXGAMJMSSAP-GFCCVEGCSA-N 0 2 303.322 0.184 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(C(=O)C2=NC(=O)C(C)S2)CC1 ZINC001608060024 1170562686 /nfs/dbraw/zinc/56/26/86/1170562686.db2.gz ZKSMIODOSDUDPT-QMMMGPOBSA-N 0 2 313.379 0.778 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](Cc1cncs1)C(=O)[O-] ZINC001608137210 1170588833 /nfs/dbraw/zinc/58/88/33/1170588833.db2.gz ZSQVHOJCCZGZEF-GMTAPVOTSA-N 0 2 320.374 0.792 20 0 DCADLN NC(=O)[C@H]([NH2+][C@H](Cc1cncs1)C(=O)[O-])c1ccccc1 ZINC001608227779 1170620351 /nfs/dbraw/zinc/62/03/51/1170620351.db2.gz DGNLUESOXLMMPN-VXGBXAGGSA-N 0 2 305.359 0.955 20 0 DCADLN NC(=O)c1cn(CCCCNc2cc(CC(=O)[O-])cc[nH+]2)nn1 ZINC001608247092 1170629861 /nfs/dbraw/zinc/62/98/61/1170629861.db2.gz UBSIEPYHTATWDF-UHFFFAOYSA-N 0 2 318.337 0.291 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)NCC[N@H+]1C[C@H]3CC[C@@H](C1)O3)C2 ZINC001608445666 1170680547 /nfs/dbraw/zinc/68/05/47/1170680547.db2.gz WZCNYNRFRFIPQQ-CRQFQMBKSA-N 0 2 323.393 0.402 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)NCC[N@@H+]1C[C@H]3CC[C@@H](C1)O3)C2 ZINC001608445666 1170680552 /nfs/dbraw/zinc/68/05/52/1170680552.db2.gz WZCNYNRFRFIPQQ-CRQFQMBKSA-N 0 2 323.393 0.402 20 0 DCADLN O=C([O-])c1c(F)cccc1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC001608455316 1170683167 /nfs/dbraw/zinc/68/31/67/1170683167.db2.gz NBLLRABQESIWNN-UHFFFAOYSA-N 0 2 320.280 0.475 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@@H](c1ccccc1)[NH+]1CCSCC1 ZINC001608485185 1170688054 /nfs/dbraw/zinc/68/80/54/1170688054.db2.gz BGRRGDKFKADVEH-QWHCGFSZSA-N 0 2 324.402 0.338 20 0 DCADLN O=C([O-])[C@@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccc(F)c1 ZINC001608540197 1170699663 /nfs/dbraw/zinc/69/96/63/1170699663.db2.gz PCURMVGGPUZIFD-ZIAGYGMSSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])[C@@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccc(F)c1 ZINC001608540197 1170699669 /nfs/dbraw/zinc/69/96/69/1170699669.db2.gz PCURMVGGPUZIFD-ZIAGYGMSSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2cc(C3CC3)no2)C1 ZINC001608610008 1170718232 /nfs/dbraw/zinc/71/82/32/1170718232.db2.gz HAMKCOLYPZBMKT-STQMWFEESA-N 0 2 319.361 0.821 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H]2C(=O)N([C@H]3CCn4cc[nH+]c4C3)C(=O)N2C1 ZINC001608621064 1170723717 /nfs/dbraw/zinc/72/37/17/1170723717.db2.gz YPLZPMDHIAUHKS-VWYCJHECSA-N 0 2 318.333 0.325 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]2C[C@H](NS(=O)(=O)CCn3cc[nH+]c3)[C@@H]2C1 ZINC001608651492 1170732347 /nfs/dbraw/zinc/73/23/47/1170732347.db2.gz ANIDIVDMKCLNLQ-KXNHARMFSA-N 0 2 313.379 0.302 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCCN1c1cc(NC2CC2)[nH+]cn1 ZINC001608750960 1170749716 /nfs/dbraw/zinc/74/97/16/1170749716.db2.gz KPAMKVXTGHYJOR-SNVBAGLBSA-N 0 2 305.338 0.221 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCCN1c1cc(NC2CC2)nc[nH+]1 ZINC001608750960 1170749719 /nfs/dbraw/zinc/74/97/19/1170749719.db2.gz KPAMKVXTGHYJOR-SNVBAGLBSA-N 0 2 305.338 0.221 20 0 DCADLN O=C([O-])c1ccc(CS(=O)(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC001608890484 1170769151 /nfs/dbraw/zinc/76/91/51/1170769151.db2.gz LUCITMJXLZIKKU-UHFFFAOYSA-N 0 2 321.358 0.927 20 0 DCADLN CN(CCCn1cnc(-c2nn[nH]n2)cc1=O)c1ccccc1 ZINC001609275735 1170906558 /nfs/dbraw/zinc/90/65/58/1170906558.db2.gz GDKQRNILBMSSFC-UHFFFAOYSA-N 0 2 311.349 0.950 20 0 DCADLN O=C1[C@@H](NCc2ccc(-c3nn[nH]n3)o2)C[C@H]2CCCCN12 ZINC001609586868 1171032101 /nfs/dbraw/zinc/03/21/01/1171032101.db2.gz WBRGIARKAQFKIU-KOLCDFICSA-N 0 2 302.338 0.703 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1cnnn1-c1ccccc1 ZINC001609604108 1171040208 /nfs/dbraw/zinc/04/02/08/1171040208.db2.gz VITZFJDQXBJGAC-UHFFFAOYSA-N 0 2 320.316 0.657 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1cnn(-c2ccccc2)n1 ZINC001609604717 1171040587 /nfs/dbraw/zinc/04/05/87/1171040587.db2.gz IXQPJHNNXXJCGW-UHFFFAOYSA-N 0 2 321.304 0.052 20 0 DCADLN CC(C)Cn1nccc1C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001609703829 1171070518 /nfs/dbraw/zinc/07/05/18/1171070518.db2.gz NWFZSURDPULJGR-ZDUSSCGKSA-N 0 2 308.382 0.704 20 0 DCADLN CC(C)Cn1nccc1C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001609703829 1171070523 /nfs/dbraw/zinc/07/05/23/1171070523.db2.gz NWFZSURDPULJGR-ZDUSSCGKSA-N 0 2 308.382 0.704 20 0 DCADLN C[C@@H](CS(=O)(=O)N[C@H]1C[N@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001609749222 1171077990 /nfs/dbraw/zinc/07/79/90/1171077990.db2.gz FQFYIKCJPSBUTE-GWCFXTLKSA-N 0 2 312.391 0.813 20 0 DCADLN C[C@@H](CS(=O)(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001609749222 1171077993 /nfs/dbraw/zinc/07/79/93/1171077993.db2.gz FQFYIKCJPSBUTE-GWCFXTLKSA-N 0 2 312.391 0.813 20 0 DCADLN C[C@H]([NH2+]CCC(=O)N(C)CC(=O)[O-])c1nc(C(C)(C)C)no1 ZINC001609775057 1171081639 /nfs/dbraw/zinc/08/16/39/1171081639.db2.gz AJBURWYIOIHUCE-VIFPVBQESA-N 0 2 312.370 0.951 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)Nc1ccc(C(=O)[O-])nn1 ZINC001609818905 1171095013 /nfs/dbraw/zinc/09/50/13/1171095013.db2.gz NLZXJEHENFRLKI-UHFFFAOYSA-N 0 2 323.353 0.407 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)Nc1ccc(C(=O)[O-])nn1 ZINC001609818905 1171095016 /nfs/dbraw/zinc/09/50/16/1171095016.db2.gz NLZXJEHENFRLKI-UHFFFAOYSA-N 0 2 323.353 0.407 20 0 DCADLN CCOCOc1ccc(C[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC001610037392 1171144818 /nfs/dbraw/zinc/14/48/18/1171144818.db2.gz WKHPXRGUKNLCMI-CQSZACIVSA-N 0 2 322.361 0.835 20 0 DCADLN CCOCOc1ccc(C[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC001610037392 1171144821 /nfs/dbraw/zinc/14/48/21/1171144821.db2.gz WKHPXRGUKNLCMI-CQSZACIVSA-N 0 2 322.361 0.835 20 0 DCADLN COC(=O)[C@]1(C)CCC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001610192658 1171193447 /nfs/dbraw/zinc/19/34/47/1171193447.db2.gz RIKAGDSACWPHHD-HNNXBMFYSA-N 0 2 312.366 0.337 20 0 DCADLN COC(=O)[C@]1(C)CCC[N@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001610192658 1171193451 /nfs/dbraw/zinc/19/34/51/1171193451.db2.gz RIKAGDSACWPHHD-HNNXBMFYSA-N 0 2 312.366 0.337 20 0 DCADLN COCCC[N@@H+](Cc1ccncc1)CN1C[C@H](C(=O)[O-])CC1=O ZINC001610255123 1171210083 /nfs/dbraw/zinc/21/00/83/1171210083.db2.gz KWUHINXJBBDRFB-CQSZACIVSA-N 0 2 321.377 0.811 20 0 DCADLN COCCC[N@H+](Cc1ccncc1)CN1C[C@H](C(=O)[O-])CC1=O ZINC001610255123 1171210091 /nfs/dbraw/zinc/21/00/91/1171210091.db2.gz KWUHINXJBBDRFB-CQSZACIVSA-N 0 2 321.377 0.811 20 0 DCADLN COc1cc2c(cc1C[N@@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-])OCO2 ZINC001610308664 1171218373 /nfs/dbraw/zinc/21/83/73/1171218373.db2.gz YOEBFZUASZBVBK-QMTHXVAHSA-N 0 2 309.318 0.834 20 0 DCADLN COc1cc2c(cc1C[N@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-])OCO2 ZINC001610308664 1171218377 /nfs/dbraw/zinc/21/83/77/1171218377.db2.gz YOEBFZUASZBVBK-QMTHXVAHSA-N 0 2 309.318 0.834 20 0 DCADLN CS(=O)(=O)[C@H]1CCC[N@H+](Cc2oncc2C(=O)[O-])CC1 ZINC001610373339 1171226392 /nfs/dbraw/zinc/22/63/92/1171226392.db2.gz SOTYJIIFCRMMQK-VIFPVBQESA-N 0 2 302.352 0.772 20 0 DCADLN CS(=O)(=O)[C@H]1CCC[N@@H+](Cc2oncc2C(=O)[O-])CC1 ZINC001610373339 1171226397 /nfs/dbraw/zinc/22/63/97/1171226397.db2.gz SOTYJIIFCRMMQK-VIFPVBQESA-N 0 2 302.352 0.772 20 0 DCADLN Cc1c(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cnn1CC(C)C ZINC001610406598 1171231531 /nfs/dbraw/zinc/23/15/31/1171231531.db2.gz VCAGXQHCAYJNGB-ZDUSSCGKSA-N 0 2 319.365 0.927 20 0 DCADLN Cc1c(Cl)c(C(=O)[O-])nn1C[N@@H+]1CC[C@]2(CNC(=O)C2)C1 ZINC001610414152 1171233073 /nfs/dbraw/zinc/23/30/73/1171233073.db2.gz XVIOOZZYEALHFK-ZDUSSCGKSA-N 0 2 312.757 0.713 20 0 DCADLN Cc1c(Cl)c(C(=O)[O-])nn1C[N@H+]1CC[C@]2(CNC(=O)C2)C1 ZINC001610414152 1171233079 /nfs/dbraw/zinc/23/30/79/1171233079.db2.gz XVIOOZZYEALHFK-ZDUSSCGKSA-N 0 2 312.757 0.713 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCC[N@H+]1CC(=O)NCCC1=CCCCC1 ZINC001610663737 1171285889 /nfs/dbraw/zinc/28/58/89/1171285889.db2.gz KMYGNKZXHZHTRH-UKRRQHHQSA-N 0 2 310.394 0.903 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCC[N@@H+]1CC(=O)NCCC1=CCCCC1 ZINC001610663737 1171285884 /nfs/dbraw/zinc/28/58/84/1171285884.db2.gz KMYGNKZXHZHTRH-UKRRQHHQSA-N 0 2 310.394 0.903 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2nccnc2c1 ZINC001610682328 1171290250 /nfs/dbraw/zinc/29/02/50/1171290250.db2.gz URXNVCCPLJLIKY-ZDUSSCGKSA-N 0 2 311.301 0.710 20 0 DCADLN O=C([O-])C[C@@]1([NH2+]Cc2ncn(-c3ccccc3)n2)CCOC1 ZINC001610759541 1171313244 /nfs/dbraw/zinc/31/32/44/1171313244.db2.gz UJAMJLZDXZQZCL-HNNXBMFYSA-N 0 2 302.334 0.991 20 0 DCADLN O=C([O-])c1sccc1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC001610877022 1171347991 /nfs/dbraw/zinc/34/79/91/1171347991.db2.gz RGQSMSCJBIZHMU-UHFFFAOYSA-N 0 2 313.360 0.847 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001611128722 1171352396 /nfs/dbraw/zinc/35/23/96/1171352396.db2.gz IJIQHUNULWEEQN-UHFFFAOYSA-N 0 2 323.268 0.605 20 0 DCADLN CS(=O)(=O)C1(C(=O)NCCc2n[nH]c(=S)o2)CCCC1 ZINC001625689319 1171477299 /nfs/dbraw/zinc/47/72/99/1171477299.db2.gz JZQBHMMAQVBBBL-UHFFFAOYSA-N 0 2 319.408 0.374 20 0 DCADLN CCn1[nH]cc(-c2nnc(N3CCC[C@H](C(N)=O)C3)n2C)c1=O ZINC001649216642 1171516531 /nfs/dbraw/zinc/51/65/31/1171516531.db2.gz DWKZFGJSVDZMRN-VIFPVBQESA-N 0 2 319.369 0.106 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cc3cc[nH]c(=O)c3s2)C(=O)N1C ZINC001633414033 1171641288 /nfs/dbraw/zinc/64/12/88/1171641288.db2.gz ATRLPQYMTAACBA-LURJTMIESA-N 0 2 320.330 0.517 20 0 DCADLN COCCOc1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)ccn1 ZINC001634158039 1171663222 /nfs/dbraw/zinc/66/32/22/1171663222.db2.gz VSYSFQODMNSLBR-UHFFFAOYSA-N 0 2 320.305 0.238 20 0 DCADLN COCCOc1cc(C(=O)NCC2=NC(=O)CC(=O)N2)ccn1 ZINC001634158039 1171663227 /nfs/dbraw/zinc/66/32/27/1171663227.db2.gz VSYSFQODMNSLBR-UHFFFAOYSA-N 0 2 320.305 0.238 20 0 DCADLN CC(C)=CCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001634253468 1171667849 /nfs/dbraw/zinc/66/78/49/1171667849.db2.gz XFFQJMWWBSJEFD-UHFFFAOYSA-N 0 2 301.372 0.115 20 0 DCADLN CN(CCCNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccn1 ZINC001636576985 1171741849 /nfs/dbraw/zinc/74/18/49/1171741849.db2.gz QQXKSLRWIHSNKD-JTQLQIEISA-N 0 2 321.406 0.581 20 0 DCADLN O=S(=O)(Nc1ncccn1)c1cnn(C2CCOCC2)c1 ZINC001645676967 1172098411 /nfs/dbraw/zinc/09/84/11/1172098411.db2.gz YMVDINWMKVXHHT-UHFFFAOYSA-N 0 2 309.351 0.825 20 0 DCADLN CCNC(=O)C[N@H+](CC)Cc1c(C)nn(CCC(=O)[O-])c1C ZINC001646228380 1172296355 /nfs/dbraw/zinc/29/63/55/1172296355.db2.gz UUBZGRCEMAWEJT-UHFFFAOYSA-N 0 2 310.398 0.933 20 0 DCADLN CCNC(=O)C[N@@H+](CC)Cc1c(C)nn(CCC(=O)[O-])c1C ZINC001646228380 1172296359 /nfs/dbraw/zinc/29/63/59/1172296359.db2.gz UUBZGRCEMAWEJT-UHFFFAOYSA-N 0 2 310.398 0.933 20 0 DCADLN CCn1c(-c2n[nH]c(Cl)n2)nnc1N1CCN(C)C(=O)C1 ZINC001646700182 1172480894 /nfs/dbraw/zinc/48/08/94/1172480894.db2.gz PKSDBODYQXCOSF-UHFFFAOYSA-N 0 2 310.749 0.015 20 0 DCADLN NS(=O)(=O)CC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001649810160 1173238071 /nfs/dbraw/zinc/23/80/71/1173238071.db2.gz JGEYDZSJGJLYKN-SSDOTTSWSA-N 0 2 306.281 0.414 20 0 DCADLN NS(=O)(=O)CC1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001649810160 1173238073 /nfs/dbraw/zinc/23/80/73/1173238073.db2.gz JGEYDZSJGJLYKN-SSDOTTSWSA-N 0 2 306.281 0.414 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)N2CC[C@H](c3nnc[nH]3)C2)cc1=O ZINC001650064975 1173417492 /nfs/dbraw/zinc/41/74/92/1173417492.db2.gz ULMUVJAFQWBJIS-NSHDSACASA-N 0 2 318.381 0.509 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)N2CC[C@H](c3nc[nH]n3)C2)cc1=O ZINC001650064975 1173417497 /nfs/dbraw/zinc/41/74/97/1173417497.db2.gz ULMUVJAFQWBJIS-NSHDSACASA-N 0 2 318.381 0.509 20 0 DCADLN O=c1[nH]nc(CNc2ncnc3c2nnn3Cc2ccccc2)[nH]1 ZINC001655235797 1173817103 /nfs/dbraw/zinc/81/71/03/1173817103.db2.gz HEGDRXZEZGGLNB-UHFFFAOYSA-N 0 2 323.320 0.705 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2nonc2C)c1[O-] ZINC001668779276 1174837474 /nfs/dbraw/zinc/83/74/74/1174837474.db2.gz HTWXGNADCNOCJP-ARJAWSKDSA-N 0 2 306.326 0.191 20 0 DCADLN O=C(COCC1CC1)NCCCNC(=O)C(F)C(F)(F)F ZINC001686901541 1176243787 /nfs/dbraw/zinc/24/37/87/1176243787.db2.gz KUVAXPIRFZOUKF-SNVBAGLBSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(COCC1CC1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686901541 1176243790 /nfs/dbraw/zinc/24/37/90/1176243790.db2.gz KUVAXPIRFZOUKF-SNVBAGLBSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@]1(C(=O)NCCCNC(=O)C(F)C(F)(F)F)CCOC1 ZINC001687051868 1176269146 /nfs/dbraw/zinc/26/91/46/1176269146.db2.gz VWDDWSUPFFTNJQ-KWQFWETISA-N 0 2 314.279 0.936 20 0 DCADLN C[C@]1(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)CCOC1 ZINC001687051868 1176269150 /nfs/dbraw/zinc/26/91/50/1176269150.db2.gz VWDDWSUPFFTNJQ-KWQFWETISA-N 0 2 314.279 0.936 20 0 DCADLN CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001700874198 1178786006 /nfs/dbraw/zinc/78/60/06/1178786006.db2.gz CYDJACOWYIEEBY-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001700874198 1178786010 /nfs/dbraw/zinc/78/60/10/1178786010.db2.gz CYDJACOWYIEEBY-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CCc1nn(C)cc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001703217632 1179400677 /nfs/dbraw/zinc/40/06/77/1179400677.db2.gz HFZBQSCJFFCHPU-VIFPVBQESA-N 0 2 324.278 0.729 20 0 DCADLN CCc1nn(C)cc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001703217632 1179400679 /nfs/dbraw/zinc/40/06/79/1179400679.db2.gz HFZBQSCJFFCHPU-VIFPVBQESA-N 0 2 324.278 0.729 20 0 DCADLN COCCCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001714607189 1181435912 /nfs/dbraw/zinc/43/59/12/1181435912.db2.gz SSJVXBGAEBVLRJ-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN COCCCCC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001714607189 1181435917 /nfs/dbraw/zinc/43/59/17/1181435917.db2.gz SSJVXBGAEBVLRJ-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CCN(CC[NH2+]Cc1nncs1)C(=O)C[N@H+](C)C1CCC1 ZINC001715738659 1181968032 /nfs/dbraw/zinc/96/80/32/1181968032.db2.gz XEKSGORKLNFADK-UHFFFAOYSA-N 0 2 311.455 0.961 20 0 DCADLN Cc1noc(C[NH2+]CCCN(C)C(=O)c2n[nH]c(C)c2[O-])n1 ZINC001723005147 1184245250 /nfs/dbraw/zinc/24/52/50/1184245250.db2.gz QTFHYVJIMSXJKL-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN C[N@@H+](CC(=O)NCCNC(=O)CCn1cc[nH+]c1)CC(C)(C)C ZINC001736839348 1187131942 /nfs/dbraw/zinc/13/19/42/1187131942.db2.gz OPCUPXPASPZLKB-UHFFFAOYSA-N 0 2 323.441 0.484 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCCNC(=O)C2CC2)cn1 ZINC001736866373 1187145330 /nfs/dbraw/zinc/14/53/30/1187145330.db2.gz WVOJUWJDLUQBCA-UHFFFAOYSA-N 0 2 316.365 0.456 20 0 DCADLN Cc1nocc1C(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001737185251 1187274715 /nfs/dbraw/zinc/27/47/15/1187274715.db2.gz VNBGHNYSZNZBGB-UHFFFAOYSA-N 0 2 319.365 0.836 20 0 DCADLN Cc1c[nH]nc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001742858674 1188068284 /nfs/dbraw/zinc/06/82/84/1188068284.db2.gz MWACLMMGSGGKAN-QMMMGPOBSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1c[nH]nc1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001742858674 1188068286 /nfs/dbraw/zinc/06/82/86/1188068286.db2.gz MWACLMMGSGGKAN-QMMMGPOBSA-N 0 2 310.251 0.855 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001753096404 1188496496 /nfs/dbraw/zinc/49/64/96/1188496496.db2.gz XJXGDLGTZZNLFN-QRGHLMKCSA-N 0 2 317.349 0.897 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001753096404 1188496498 /nfs/dbraw/zinc/49/64/98/1188496498.db2.gz XJXGDLGTZZNLFN-QRGHLMKCSA-N 0 2 317.349 0.897 20 0 DCADLN CN(C(=O)C=Cc1ccc[nH]1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753435694 1188595351 /nfs/dbraw/zinc/59/53/51/1188595351.db2.gz WTYHCANYTQDISZ-RXNFCKPNSA-N 0 2 316.365 0.585 20 0 DCADLN CN(C(=O)C=Cc1ccc[nH]1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753435694 1188595353 /nfs/dbraw/zinc/59/53/53/1188595353.db2.gz WTYHCANYTQDISZ-RXNFCKPNSA-N 0 2 316.365 0.585 20 0 DCADLN O=C(CCOCC1CC1)NCCNC(=O)C(F)C(F)(F)F ZINC001757994302 1189666288 /nfs/dbraw/zinc/66/62/88/1189666288.db2.gz IETAPXAKYXRYNI-JTQLQIEISA-N 0 2 314.279 0.936 20 0 DCADLN O=C(CCOCC1CC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001757994302 1189666294 /nfs/dbraw/zinc/66/62/94/1189666294.db2.gz IETAPXAKYXRYNI-JTQLQIEISA-N 0 2 314.279 0.936 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001758028130 1189687262 /nfs/dbraw/zinc/68/72/62/1189687262.db2.gz WADQWSAFXMTKQT-LURJTMIESA-N 0 2 312.223 0.170 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001758028130 1189687265 /nfs/dbraw/zinc/68/72/65/1189687265.db2.gz WADQWSAFXMTKQT-LURJTMIESA-N 0 2 312.223 0.170 20 0 DCADLN CC(C)[C@@H](CNC(=O)c1ccoc1CC(=O)[O-])[NH+]1CCOCC1 ZINC001771921474 1190557555 /nfs/dbraw/zinc/55/75/55/1190557555.db2.gz QRJYTFJCMBKTFH-CYBMUJFWSA-N 0 2 324.377 0.993 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1cccnn1 ZINC001575902189 1164180685 /nfs/dbraw/zinc/18/06/85/1164180685.db2.gz QYNUYPDJGBAJLR-QMMMGPOBSA-N 0 2 308.235 0.613 20 0 DCADLN CN(C(=O)c1cc(Cl)c[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042474074 751676450 /nfs/dbraw/zinc/67/64/50/751676450.db2.gz REUDKIWUCJMVNZ-UHFFFAOYSA-N 0 2 310.745 0.448 20 0 DCADLN Cc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001042484289 751683062 /nfs/dbraw/zinc/68/30/62/751683062.db2.gz WNMYVFQVHLOHQW-UHFFFAOYSA-N 0 2 302.338 0.170 20 0 DCADLN CN(C(=O)[C@H]1CCC[C@@H](F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042708371 751854280 /nfs/dbraw/zinc/85/42/80/751854280.db2.gz HBGWXQPUEYIQON-VHSXEESVSA-N 0 2 311.361 0.681 20 0 DCADLN Cc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)nc1C ZINC001043360532 752194784 /nfs/dbraw/zinc/19/47/84/752194784.db2.gz UOBDDKBATZQANT-UHFFFAOYSA-N 0 2 316.365 0.479 20 0 DCADLN CC(C)OCCO[C@@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001222669158 748172868 /nfs/dbraw/zinc/17/28/68/748172868.db2.gz BTQPYYDJTIIKMT-LBPRGKRZSA-N 0 2 311.400 0.017 20 0 DCADLN C[C@@H](O)CC(=O)Oc1nc(I)cc(=O)[nH]1 ZINC001228947503 749574202 /nfs/dbraw/zinc/57/42/02/749574202.db2.gz YZIUNZYQAKZELJ-SCSAIBSYSA-N 0 2 324.074 0.463 20 0 DCADLN COC[C@@H](C)[C@H](C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112944558 762039133 /nfs/dbraw/zinc/03/91/33/762039133.db2.gz HAYAGIJQVJMVAP-MNOVXSKESA-N 0 2 311.386 0.073 20 0 DCADLN Cc1[nH]nc2nc(C)cc(C(=O)NCc3nc(O)cc(=O)[nH]3)c12 ZINC001168428943 750818917 /nfs/dbraw/zinc/81/89/17/750818917.db2.gz AMVCGAHYXRZLTQ-UHFFFAOYSA-N 0 2 314.305 0.706 20 0 DCADLN C[C@]1(CNC(=O)CCC2CC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107830384 752391347 /nfs/dbraw/zinc/39/13/47/752391347.db2.gz MBRYCKRZFVLLQR-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN C/C=C(/C)C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107852140 752420238 /nfs/dbraw/zinc/42/02/38/752420238.db2.gz FLZQPYMSCWMGDT-PXTDYPQFSA-N 0 2 309.370 0.184 20 0 DCADLN CN(C(=O)[C@@H](F)c1ccccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043983594 752504697 /nfs/dbraw/zinc/50/46/97/752504697.db2.gz ZKWSRDQBWYAENE-ZDUSSCGKSA-N 0 2 319.340 0.864 20 0 DCADLN CC[C@H](F)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC001078018184 752984725 /nfs/dbraw/zinc/98/47/25/752984725.db2.gz RSDCRGORFCFPSA-NJZAAPMLSA-N 0 2 324.400 0.671 20 0 DCADLN CC1(NC(=O)c2cncs2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045379163 753169313 /nfs/dbraw/zinc/16/93/13/753169313.db2.gz PRADOEJWRAUYQZ-UHFFFAOYSA-N 0 2 322.394 0.751 20 0 DCADLN O=C(c1ncc[nH]1)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045773706 753326398 /nfs/dbraw/zinc/32/63/98/753326398.db2.gz YYBDHFWWANOLDP-ZJUUUORDSA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1ncc[nH]1)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045773706 753326400 /nfs/dbraw/zinc/32/64/00/753326400.db2.gz YYBDHFWWANOLDP-ZJUUUORDSA-N 0 2 317.353 0.113 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ncccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071920066 762229068 /nfs/dbraw/zinc/22/90/68/762229068.db2.gz LYPJKVVNZPWKHX-ZJUUUORDSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c(C)[nH]1 ZINC001046660355 753855372 /nfs/dbraw/zinc/85/53/72/753855372.db2.gz IVZWKWPINYLHLR-HNNXBMFYSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c(C)[nH]1 ZINC001046660355 753855376 /nfs/dbraw/zinc/85/53/76/753855376.db2.gz IVZWKWPINYLHLR-HNNXBMFYSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001072080525 762344481 /nfs/dbraw/zinc/34/44/81/762344481.db2.gz WZGZESPKPFCVOZ-QWRGUYRKSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001072080525 762344489 /nfs/dbraw/zinc/34/44/89/762344489.db2.gz WZGZESPKPFCVOZ-QWRGUYRKSA-N 0 2 318.381 0.930 20 0 DCADLN COCC[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001111430095 754951074 /nfs/dbraw/zinc/95/10/74/754951074.db2.gz KAQFSPKDAJQDRY-KKOKHZNYSA-N 0 2 323.397 0.404 20 0 DCADLN C[NH+](C)C1(C(=O)N2C[C@@H]3C[N@H+](Cc4cnon4)C[C@@H]3C2)CCC1 ZINC001048860082 754982975 /nfs/dbraw/zinc/98/29/75/754982975.db2.gz GGDRBYSPMAWOOD-BETUJISGSA-N 0 2 319.409 0.444 20 0 DCADLN COCC(=O)NC1(CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001063305442 755146113 /nfs/dbraw/zinc/14/61/13/755146113.db2.gz JWMPUDKADPSPEI-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN COCC(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CCC1 ZINC001063305442 755146117 /nfs/dbraw/zinc/14/61/17/755146117.db2.gz JWMPUDKADPSPEI-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CCC1)c1cnon1 ZINC001064170497 755336517 /nfs/dbraw/zinc/33/65/17/755336517.db2.gz NJZSEAJRNXJWIU-ZETCQYMHSA-N 0 2 324.234 0.739 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CCC1)c1cnon1 ZINC001064170497 755336523 /nfs/dbraw/zinc/33/65/23/755336523.db2.gz NJZSEAJRNXJWIU-ZETCQYMHSA-N 0 2 324.234 0.739 20 0 DCADLN CCOC1CC(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)C1 ZINC001079656552 755947481 /nfs/dbraw/zinc/94/74/81/755947481.db2.gz WYLLVCNNZLFUMP-HBIQZDMRSA-N 0 2 323.397 0.262 20 0 DCADLN CCOC1CC(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)C1 ZINC001079656552 755947482 /nfs/dbraw/zinc/94/74/82/755947482.db2.gz WYLLVCNNZLFUMP-HBIQZDMRSA-N 0 2 323.397 0.262 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001079900942 756033281 /nfs/dbraw/zinc/03/32/81/756033281.db2.gz CIJQBLOZSQCURB-SECBINFHSA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001079900942 756033286 /nfs/dbraw/zinc/03/32/86/756033286.db2.gz CIJQBLOZSQCURB-SECBINFHSA-N 0 2 322.262 0.983 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@]1(C)CCCOC1 ZINC001080683266 756300628 /nfs/dbraw/zinc/30/06/28/756300628.db2.gz OALRWYHZODLQKD-UEKVPHQBSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@]1(C)CCCOC1 ZINC001080683266 756300633 /nfs/dbraw/zinc/30/06/33/756300633.db2.gz OALRWYHZODLQKD-UEKVPHQBSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1CC12CCC2 ZINC001097943061 756494805 /nfs/dbraw/zinc/49/48/05/756494805.db2.gz TWDOKBCXTAWZBH-KKOKHZNYSA-N 0 2 317.393 0.922 20 0 DCADLN O=C(c1cncs1)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072517038 762536700 /nfs/dbraw/zinc/53/67/00/762536700.db2.gz DOSHNDVHCVPORE-UHFFFAOYSA-N 0 2 320.378 0.315 20 0 DCADLN O=C(c1cncs1)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072517038 762536703 /nfs/dbraw/zinc/53/67/03/762536703.db2.gz DOSHNDVHCVPORE-UHFFFAOYSA-N 0 2 320.378 0.315 20 0 DCADLN CCc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]n1 ZINC001085493663 758946819 /nfs/dbraw/zinc/94/68/19/758946819.db2.gz ZOYDUWJITRTHNH-JTQLQIEISA-N 0 2 319.369 0.142 20 0 DCADLN Cc1ocnc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085508602 758971733 /nfs/dbraw/zinc/97/17/33/758971733.db2.gz CXPGLPMEIWVEQM-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccc(F)nc1 ZINC001085517884 758990272 /nfs/dbraw/zinc/99/02/72/758990272.db2.gz DOTFFJMDQGQGDV-JTQLQIEISA-N 0 2 320.328 0.391 20 0 DCADLN CCn1cc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001085521211 758996625 /nfs/dbraw/zinc/99/66/25/758996625.db2.gz IMZHMJXJJLWLOQ-NSHDSACASA-N 0 2 319.369 0.073 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1C=CC=CC=C1 ZINC001085622224 759134736 /nfs/dbraw/zinc/13/47/36/759134736.db2.gz NMRATIJMVGWWJB-CYBMUJFWSA-N 0 2 315.377 0.841 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)O1 ZINC001085826231 759352831 /nfs/dbraw/zinc/35/28/31/759352831.db2.gz JFXUSQBQPBYIBA-OUAUKWLOSA-N 0 2 309.370 0.111 20 0 DCADLN CCn1cccc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085974010 759531939 /nfs/dbraw/zinc/53/19/39/759531939.db2.gz LCODHIGPPVRNSG-LLVKDONJSA-N 0 2 318.381 0.678 20 0 DCADLN O=C(CC1CC1)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829759 759573732 /nfs/dbraw/zinc/57/37/32/759573732.db2.gz WOAWYVXJCBOOIX-JTQLQIEISA-N 0 2 314.279 0.624 20 0 DCADLN O=C(CC1CC1)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057829759 759573738 /nfs/dbraw/zinc/57/37/38/759573738.db2.gz WOAWYVXJCBOOIX-JTQLQIEISA-N 0 2 314.279 0.624 20 0 DCADLN C[C@]1(CNC(=O)[C@@H]2CC23CC3)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001108240386 759662238 /nfs/dbraw/zinc/66/22/38/759662238.db2.gz GUWXRQTZFGQPNS-HZMBPMFUSA-N 0 2 321.381 0.018 20 0 DCADLN CCOC(=O)[C@H]1CC[C@H]([NH2+][C@@H](CCC(=O)[O-])C(=O)OC)CO1 ZINC001169322432 760632692 /nfs/dbraw/zinc/63/26/92/760632692.db2.gz USCVDPRQVAATHB-GARJFASQSA-N 0 2 317.338 0.093 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H]([NH2+][C@@H](CCC(=O)[O-])C(=O)OC)CO1 ZINC001169322431 760633098 /nfs/dbraw/zinc/63/30/98/760633098.db2.gz USCVDPRQVAATHB-DCAQKATOSA-N 0 2 317.338 0.093 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H](n2[nH]c3ccnc(=O)c-3c2N)CO1 ZINC001169370017 760658136 /nfs/dbraw/zinc/65/81/36/760658136.db2.gz JAJSXRDVDRTIQD-SCZZXKLOSA-N 0 2 306.322 0.542 20 0 DCADLN COC[C@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109913894 761605976 /nfs/dbraw/zinc/60/59/76/761605976.db2.gz YEJHMUJIZBWINA-WYUUTHIRSA-N 0 2 323.397 0.404 20 0 DCADLN COC[C@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109913894 761605977 /nfs/dbraw/zinc/60/59/77/761605977.db2.gz YEJHMUJIZBWINA-WYUUTHIRSA-N 0 2 323.397 0.404 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cnn(C)c2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071338458 761784851 /nfs/dbraw/zinc/78/48/51/761784851.db2.gz UCQKBJAPHTWQDI-MWLCHTKSSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001071366954 761798350 /nfs/dbraw/zinc/79/83/50/761798350.db2.gz WFJKGZLVYTZRGV-NXEZZACHSA-N 0 2 319.369 0.325 20 0 DCADLN O=C(CCC1CCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099776635 763030066 /nfs/dbraw/zinc/03/00/66/763030066.db2.gz VNZVVFHBAMRHIM-VXGBXAGGSA-N 0 2 323.397 0.142 20 0 DCADLN CCC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CCC1 ZINC001099964174 763170588 /nfs/dbraw/zinc/17/05/88/763170588.db2.gz HVRIZEKPHSSAFJ-QWRGUYRKSA-N 0 2 323.397 0.142 20 0 DCADLN CCc1cc(=O)n2nc(NC(=O)c3ncc4ccccn43)[nH]c2n1 ZINC001131778145 764022807 /nfs/dbraw/zinc/02/28/07/764022807.db2.gz GSXDLFJFWUHXJH-UHFFFAOYSA-N 0 2 323.316 0.880 20 0 DCADLN Cc1ncc(C[NH2+]CCNC(=O)[C@@H]2C[N@H+](C(C)C)CCO2)o1 ZINC001132848685 764691373 /nfs/dbraw/zinc/69/13/73/764691373.db2.gz OSTXYEKCBOLMTR-AWEZNQCLSA-N 0 2 310.398 0.298 20 0 DCADLN Cc1cc(N2C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C2)ncn1 ZINC001090545813 766303311 /nfs/dbraw/zinc/30/33/11/766303311.db2.gz JIGXVYLHABHGTM-MRTMQBJTSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1cc(N2C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)ncn1 ZINC001090545813 766303320 /nfs/dbraw/zinc/30/33/20/766303320.db2.gz JIGXVYLHABHGTM-MRTMQBJTSA-N 0 2 322.262 0.351 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)NCCCc2nnc[nH]2)cc1=O ZINC001138972270 768448260 /nfs/dbraw/zinc/44/82/60/768448260.db2.gz KUSQVJDIXQHQIU-UHFFFAOYSA-N 0 2 306.370 0.242 20 0 DCADLN COC(=O)C1C[NH+](Cc2cccc(OC)c2OCC(=O)[O-])C1 ZINC001139267973 768464191 /nfs/dbraw/zinc/46/41/91/768464191.db2.gz JHDXAFCNYPTXKK-UHFFFAOYSA-N 0 2 309.318 0.763 20 0 DCADLN O=C(NCCCNc1cc(Cl)c(=O)[nH]n1)c1[nH]ncc1F ZINC001094764787 769223609 /nfs/dbraw/zinc/22/36/09/769223609.db2.gz GFZMCCUAJXJPTA-UHFFFAOYSA-N 0 2 314.708 0.930 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@@H]2CC[N@H+]2CCC[C@H](C)O)c1[O-] ZINC001233634300 769415554 /nfs/dbraw/zinc/41/55/54/769415554.db2.gz KHITUFLILJITAP-JQWIXIFHSA-N 0 2 310.398 0.731 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@@H]2CC[N@@H+]2CCC[C@H](C)O)c1[O-] ZINC001233634300 769415556 /nfs/dbraw/zinc/41/55/56/769415556.db2.gz KHITUFLILJITAP-JQWIXIFHSA-N 0 2 310.398 0.731 20 0 DCADLN Cc1ccc(CC(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001233741277 769437126 /nfs/dbraw/zinc/43/71/26/769437126.db2.gz ILEBFYPATDMMKB-NSHDSACASA-N 0 2 319.365 0.687 20 0 DCADLN CN(C[C@H]1CCN1Cc1nncn1C)C(=O)C(F)C(F)(F)F ZINC001234426554 769655173 /nfs/dbraw/zinc/65/51/73/769655173.db2.gz WNNFOLPZZWLVQU-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@H]1CCN1Cc1nncn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001234426554 769655177 /nfs/dbraw/zinc/65/51/77/769655177.db2.gz WNNFOLPZZWLVQU-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](NC(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153861423 769969777 /nfs/dbraw/zinc/96/97/77/769969777.db2.gz BUEDEAWLNLCDKS-ZJUUUORDSA-N 0 2 315.329 0.995 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2ccc(F)cc2C(=O)[O-])CCN1C ZINC001238239327 770109100 /nfs/dbraw/zinc/10/91/00/770109100.db2.gz UKNZPVGKUBONIH-CYBMUJFWSA-N 0 2 310.325 0.813 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2ccc(F)cc2C(=O)[O-])CCN1C ZINC001238239327 770109112 /nfs/dbraw/zinc/10/91/12/770109112.db2.gz UKNZPVGKUBONIH-CYBMUJFWSA-N 0 2 310.325 0.813 20 0 DCADLN COC(=O)c1onc(C)c1NC(=O)c1cnn2[nH]c(C)nc12 ZINC001154659044 770257518 /nfs/dbraw/zinc/25/75/18/770257518.db2.gz SZAFAGCGYUCUJI-UHFFFAOYSA-N 0 2 304.266 0.701 20 0 DCADLN COC(=O)c1onc(C)c1[N-]C(=O)c1cnn2[nH]c(C)[nH+]c12 ZINC001154659044 770257522 /nfs/dbraw/zinc/25/75/22/770257522.db2.gz SZAFAGCGYUCUJI-UHFFFAOYSA-N 0 2 304.266 0.701 20 0 DCADLN NC(=O)[C@@H]1CCCN1c1cc(-c2ccc3[nH]nnc3c2)ncn1 ZINC001241940057 770277035 /nfs/dbraw/zinc/27/70/35/770277035.db2.gz XLKQCBKPGNUEQQ-ZDUSSCGKSA-N 0 2 309.333 0.869 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H]1CCCN(c2ccncn2)C1 ZINC001178133307 770659860 /nfs/dbraw/zinc/65/98/60/770659860.db2.gz LIEFOXHXDQLRHP-PELKAZGASA-N 0 2 321.362 0.118 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)C1=NO[C@H](c2ccccc2)C1 ZINC001178805022 770868075 /nfs/dbraw/zinc/86/80/75/770868075.db2.gz BZEZUHXDVGYUTM-JOYOIKCWSA-N 0 2 305.315 0.929 20 0 DCADLN C[C@@H](NC(=O)c1ccc2c(c1)nnn2C)c1nn(C)cc1O ZINC001179920394 771041715 /nfs/dbraw/zinc/04/17/15/771041715.db2.gz IPEJVBCASMSHGE-MRVPVSSYSA-N 0 2 300.322 0.898 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H]1CCC(=O)N1c1ccccc1 ZINC001181949537 771374923 /nfs/dbraw/zinc/37/49/23/771374923.db2.gz KBZXTFZTHFIOHR-TVQRCGJNSA-N 0 2 319.342 0.607 20 0 DCADLN Nc1nc2ccc(CC(=O)NCc3n[nH]c(=O)[nH]3)cc2s1 ZINC001183302740 771634117 /nfs/dbraw/zinc/63/41/17/771634117.db2.gz PDVDZXWXEPRPEX-UHFFFAOYSA-N 0 2 304.335 0.561 20 0 DCADLN Nc1ccc(COCCO)c(NC(=O)C(CO)C(F)(F)F)c1 ZINC001183284489 771636889 /nfs/dbraw/zinc/63/68/89/771636889.db2.gz LQXVOMKSRWYGCP-JTQLQIEISA-N 0 2 322.283 0.887 20 0 DCADLN Nc1ccc(COCCO)c(NC(=O)[C@H](CO)C(F)(F)F)c1 ZINC001183284489 771636892 /nfs/dbraw/zinc/63/68/92/771636892.db2.gz LQXVOMKSRWYGCP-JTQLQIEISA-N 0 2 322.283 0.887 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N1CCCC[C@@H]1C[N@@H+](C)[C@@H](C)C(N)=O ZINC001158872166 771830513 /nfs/dbraw/zinc/83/05/13/771830513.db2.gz FJSCCYWEGMYJDH-GXTWGEPZSA-N 0 2 321.425 0.378 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cnc(Cl)nc1 ZINC001185004855 771850542 /nfs/dbraw/zinc/85/05/42/771850542.db2.gz VTKULEYEWSZRQH-UHFFFAOYSA-N 0 2 303.731 0.120 20 0 DCADLN Cc1coc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)n1 ZINC001185565582 771929561 /nfs/dbraw/zinc/92/95/61/771929561.db2.gz DJWHZVURRYTQII-UHFFFAOYSA-N 0 2 306.307 0.964 20 0 DCADLN NC(=O)c1cnc(NCCNC(=O)C(F)(F)F)c(Cl)c1 ZINC001164244208 772630494 /nfs/dbraw/zinc/63/04/94/772630494.db2.gz AYNUCTBJLUAIKC-UHFFFAOYSA-N 0 2 310.663 0.924 20 0 DCADLN CN(C)C(=O)C[NH+](C)[C@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190946658 772696055 /nfs/dbraw/zinc/69/60/55/772696055.db2.gz LHUZFTGISAXRLQ-KBPBESRZSA-N 0 2 310.442 0.092 20 0 DCADLN CC1(CC(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CCCC1 ZINC001192686162 772948300 /nfs/dbraw/zinc/94/83/00/772948300.db2.gz JVTDSXARPLVAIB-GHMZBOCLSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(N[C@@H]1CN(C/C=C\Cl)C[C@H]1O)C(F)C(F)(F)F ZINC001193124059 773009826 /nfs/dbraw/zinc/00/98/26/773009826.db2.gz XJCMMHFXRZMVPP-RDMYBSEYSA-N 0 2 304.671 0.801 20 0 DCADLN COC(=O)c1ccncc1NS(=O)(=O)C[C@@H]1CCCO1 ZINC001193204997 773023898 /nfs/dbraw/zinc/02/38/98/773023898.db2.gz BDQHEAKBAKKNCB-VIFPVBQESA-N 0 2 300.336 0.789 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O)[NH+](C)C ZINC001193961171 773132673 /nfs/dbraw/zinc/13/26/73/773132673.db2.gz LHJSGFLMCVIQDB-YPOUMARWSA-N 0 2 303.834 0.486 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCC[NH+]2CCC2)c(F)cc1F ZINC001195259957 773358273 /nfs/dbraw/zinc/35/82/73/773358273.db2.gz OUWIBDFZJMDKOE-UHFFFAOYSA-N 0 2 320.317 0.647 20 0 DCADLN Cc1nnc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(F)C(F)(F)F)o1 ZINC001114308853 773445928 /nfs/dbraw/zinc/44/59/28/773445928.db2.gz MWTGPMGLMQRKQP-WDQPUEAGSA-N 0 2 322.262 0.825 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC(C)(F)F ZINC001208525666 773486744 /nfs/dbraw/zinc/48/67/44/773486744.db2.gz JRBFNKSMDDWPMK-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC(C)(F)F ZINC001208525666 773486749 /nfs/dbraw/zinc/48/67/49/773486749.db2.gz JRBFNKSMDDWPMK-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CC(C)(F)F ZINC001208525666 773486752 /nfs/dbraw/zinc/48/67/52/773486752.db2.gz JRBFNKSMDDWPMK-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN NC(=O)c1ccc(NS(=O)(=O)Cc2cccnc2)c(O)c1 ZINC001197465992 773748429 /nfs/dbraw/zinc/74/84/29/773748429.db2.gz WWXJIXOHQWTQLE-UHFFFAOYSA-N 0 2 307.331 0.828 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnn(-c2cccnc2)c1 ZINC001197583292 773772461 /nfs/dbraw/zinc/77/24/61/773772461.db2.gz AWQBKQVYDPFLOK-LLVKDONJSA-N 0 2 303.303 0.306 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)Cc2ccccn2)cn1 ZINC001197784373 773799702 /nfs/dbraw/zinc/79/97/02/773799702.db2.gz WKGGBQLUEPMIFZ-UHFFFAOYSA-N 0 2 308.319 0.600 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc3nccnc3c2)S1 ZINC001198163438 773868712 /nfs/dbraw/zinc/86/87/12/773868712.db2.gz WCFLGQCKKDQMFV-JTQLQIEISA-N 0 2 324.343 0.217 20 0 DCADLN O=c1[nH]cc(-c2nc(-c3ccc(-n4cccn4)cc3)no2)[nH]c1=O ZINC001213927981 773902118 /nfs/dbraw/zinc/90/21/18/773902118.db2.gz MAOMTPQFSWDPBM-UHFFFAOYSA-N 0 2 322.284 0.966 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cn(C2CCOCC2)cn1 ZINC001198407796 773917987 /nfs/dbraw/zinc/91/79/87/773917987.db2.gz KWADPSJUBYNIRA-NSHDSACASA-N 0 2 310.335 0.274 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cn(C2CCOCC2)cn1 ZINC001198407795 773918295 /nfs/dbraw/zinc/91/82/95/773918295.db2.gz KWADPSJUBYNIRA-LLVKDONJSA-N 0 2 310.335 0.274 20 0 DCADLN CCOC(=O)c1c(C)[nH]nc1NC(=O)[C@@H]([NH3+])Cc1c[nH+]cn1C ZINC001218512625 774233395 /nfs/dbraw/zinc/23/33/95/774233395.db2.gz ORQPDHGTRDQVSF-JTQLQIEISA-N 0 2 320.353 0.137 20 0 DCADLN CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[NH+]1CCC[C@@H]1C)C2 ZINC001111022881 775403477 /nfs/dbraw/zinc/40/34/77/775403477.db2.gz XFAJPZNIISCOOO-YJNKXOJESA-N 0 2 322.453 0.328 20 0 DCADLN Cc1ccccc1O[C@@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001225218821 775656931 /nfs/dbraw/zinc/65/69/31/775656931.db2.gz HQYOVEKQXJPXNS-ZDUSSCGKSA-N 0 2 315.391 0.962 20 0 DCADLN CCOC(=O)[C@H](Oc1[nH]c(=O)nc2c(=O)[nH]c(=O)[nH]c12)C1CC1 ZINC001226886536 775892011 /nfs/dbraw/zinc/89/20/11/775892011.db2.gz PBULOFORDOAOPT-MRVPVSSYSA-N 0 2 322.277 0.257 20 0 DCADLN CC[C@@H](C)OCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226925526 775898207 /nfs/dbraw/zinc/89/82/07/775898207.db2.gz BADDJBUCJVBBAL-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@](O)(CC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001226934505 775899044 /nfs/dbraw/zinc/89/90/44/775899044.db2.gz RLXWNBGZOBIUFW-HNNXBMFYSA-N 0 2 323.397 0.142 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](Oc2[nH]c(=O)nc3ncsc32)CN1C ZINC001227609064 775989005 /nfs/dbraw/zinc/98/90/05/775989005.db2.gz DQDMEKNNZVNQNR-RQJHMYQMSA-N 0 2 310.335 0.416 20 0 DCADLN O=C(C(F)F)N1CC[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001041876241 777561244 /nfs/dbraw/zinc/56/12/44/777561244.db2.gz LHOXNZASTLKRIM-YUMQZZPRSA-N 0 2 301.297 0.198 20 0 DCADLN C[C@H](c1nnnn1C)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001480867197 939054774 /nfs/dbraw/zinc/05/47/74/939054774.db2.gz ZWSVQCDFHJRDCL-RNFRBKRXSA-N 0 2 312.271 0.220 20 0 DCADLN C[C@H](c1nnnn1C)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001480867197 939054776 /nfs/dbraw/zinc/05/47/76/939054776.db2.gz ZWSVQCDFHJRDCL-RNFRBKRXSA-N 0 2 312.271 0.220 20 0 DCADLN COCCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001266833550 939248474 /nfs/dbraw/zinc/24/84/74/939248474.db2.gz SHLYSSJEOARELX-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN COCCCC(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001266833550 939248477 /nfs/dbraw/zinc/24/84/77/939248477.db2.gz SHLYSSJEOARELX-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN Cc1n[nH]c(C(=O)NC2C[NH+](CCOCCC(C)C)C2)c1[O-] ZINC001318017082 945241402 /nfs/dbraw/zinc/24/14/02/945241402.db2.gz XYABLICVNIHVPU-UHFFFAOYSA-N 0 2 310.398 0.900 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001496122809 939297082 /nfs/dbraw/zinc/29/70/82/939297082.db2.gz FKKSKOVGKMUNHY-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001496122809 939297086 /nfs/dbraw/zinc/29/70/86/939297086.db2.gz FKKSKOVGKMUNHY-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@H]1c1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001480915283 939326132 /nfs/dbraw/zinc/32/61/32/939326132.db2.gz TYMLRNPJFCCXSB-NXEZZACHSA-N 0 2 305.338 0.455 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1c1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001480915283 939326137 /nfs/dbraw/zinc/32/61/37/939326137.db2.gz TYMLRNPJFCCXSB-NXEZZACHSA-N 0 2 305.338 0.455 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc2[nH]ccc2n1)Cc1n[nH]c(=O)[n-]1 ZINC001267206687 939386916 /nfs/dbraw/zinc/38/69/16/939386916.db2.gz LGSCHLFDOGPNRU-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc2[nH]ccc2n1)Cc1n[nH]c(=O)[n-]1 ZINC001267206687 939386920 /nfs/dbraw/zinc/38/69/20/939386920.db2.gz LGSCHLFDOGPNRU-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001267266684 939410054 /nfs/dbraw/zinc/41/00/54/939410054.db2.gz LNIDXAFVLFIXPQ-FYJFLYSWSA-N 0 2 316.365 0.632 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001267266684 939410056 /nfs/dbraw/zinc/41/00/56/939410056.db2.gz LNIDXAFVLFIXPQ-FYJFLYSWSA-N 0 2 316.365 0.632 20 0 DCADLN COc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001480939720 939445088 /nfs/dbraw/zinc/44/50/88/939445088.db2.gz HNWQLZSAARILIV-UHFFFAOYSA-N 0 2 305.338 0.381 20 0 DCADLN COc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001480939720 939445089 /nfs/dbraw/zinc/44/50/89/939445089.db2.gz HNWQLZSAARILIV-UHFFFAOYSA-N 0 2 305.338 0.381 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccccc1O ZINC001481159592 939588665 /nfs/dbraw/zinc/58/86/65/939588665.db2.gz MLINPYLLCMGQFN-UHFFFAOYSA-N 0 2 317.349 0.610 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccccc1O ZINC001481159592 939588667 /nfs/dbraw/zinc/58/86/67/939588667.db2.gz MLINPYLLCMGQFN-UHFFFAOYSA-N 0 2 317.349 0.610 20 0 DCADLN O=C(CCC(F)F)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481176270 939595779 /nfs/dbraw/zinc/59/57/79/939595779.db2.gz BTGWESFJNHZNMA-UHFFFAOYSA-N 0 2 303.313 0.636 20 0 DCADLN O=C(CCC(F)F)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481176270 939595781 /nfs/dbraw/zinc/59/57/81/939595781.db2.gz BTGWESFJNHZNMA-UHFFFAOYSA-N 0 2 303.313 0.636 20 0 DCADLN O=C(C[C@@H]1C=CCCC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001318130798 945272079 /nfs/dbraw/zinc/27/20/79/945272079.db2.gz WUXRYPGWFBCTIZ-LLVKDONJSA-N 0 2 305.382 0.805 20 0 DCADLN CCN(CCNC(=O)c1cnn(C)c1)C(=O)C(F)C(F)(F)F ZINC001496647388 940163554 /nfs/dbraw/zinc/16/35/54/940163554.db2.gz PSUGMRBGWGUANC-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)c1cnn(C)c1)C(=O)[C@@H](F)C(F)(F)F ZINC001496647388 940163556 /nfs/dbraw/zinc/16/35/56/940163556.db2.gz PSUGMRBGWGUANC-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1(C2CC2)CC1 ZINC001482189908 940324886 /nfs/dbraw/zinc/32/48/86/940324886.db2.gz IMKVDHXGJNPPOE-LLVKDONJSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(Nc1nnco1)c1noc2c1CN(C(=O)[C@H]1C[C@@H]1F)CC2 ZINC001268935738 940416188 /nfs/dbraw/zinc/41/61/88/940416188.db2.gz FWTQCWQVXYGXCA-XPUUQOCRSA-N 0 2 321.268 0.553 20 0 DCADLN CN1CC2(CN(C(=O)C=C(O)c3ccccc3)C2)OCC1=O ZINC001271008216 941239635 /nfs/dbraw/zinc/23/96/35/941239635.db2.gz IKJOEBXVYLMMRJ-UHFFFAOYSA-N 0 2 302.330 0.329 20 0 DCADLN Cc1nnccc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060891 941300810 /nfs/dbraw/zinc/30/08/10/941300810.db2.gz VUYMTEPZEMWEST-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1nnccc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409060891 941300814 /nfs/dbraw/zinc/30/08/14/941300814.db2.gz VUYMTEPZEMWEST-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN CCCCCCC(=O)NCC1(O)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001271388025 941364462 /nfs/dbraw/zinc/36/44/62/941364462.db2.gz ODGMEYOCSPIGGQ-UHFFFAOYSA-N 0 2 311.386 0.144 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cccc(C(N)=O)c2)n1 ZINC001414342168 941594371 /nfs/dbraw/zinc/59/43/71/941594371.db2.gz NOIOTEKPADJPCG-UHFFFAOYSA-N 0 2 310.339 0.154 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1coc(C2CC2)n1 ZINC001482632536 941604981 /nfs/dbraw/zinc/60/49/81/941604981.db2.gz WHGQVDBFUXTJBB-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1coc(C2CC2)n1 ZINC001482632536 941604983 /nfs/dbraw/zinc/60/49/83/941604983.db2.gz WHGQVDBFUXTJBB-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1ncnn1C ZINC001505922294 941694693 /nfs/dbraw/zinc/69/46/93/941694693.db2.gz LHYSZCKXOBWQNL-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1ncnn1C ZINC001505922294 941694696 /nfs/dbraw/zinc/69/46/96/941694696.db2.gz LHYSZCKXOBWQNL-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN Cc1nc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001409617347 942095069 /nfs/dbraw/zinc/09/50/69/942095069.db2.gz IPGAEVAPYUFHDY-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1nc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001409617347 942095074 /nfs/dbraw/zinc/09/50/74/942095074.db2.gz IPGAEVAPYUFHDY-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN CCCCOCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001276358755 942100249 /nfs/dbraw/zinc/10/02/49/942100249.db2.gz FIZNLBIPBSCZTP-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)[C@]1(C)CCCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409636540 942110653 /nfs/dbraw/zinc/11/06/53/942110653.db2.gz CHILWBZSNQTLES-QMTHXVAHSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)[C@]1(C)CCCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409636540 942110654 /nfs/dbraw/zinc/11/06/54/942110654.db2.gz CHILWBZSNQTLES-QMTHXVAHSA-N 0 2 311.386 0.264 20 0 DCADLN COC1(CC(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001483331980 942275146 /nfs/dbraw/zinc/27/51/46/942275146.db2.gz VUNIWUWGLDYURY-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN COC1(CC(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001483331980 942275147 /nfs/dbraw/zinc/27/51/47/942275147.db2.gz VUNIWUWGLDYURY-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1csc(N2CCCCC2)n1 ZINC001413331516 942898816 /nfs/dbraw/zinc/89/88/16/942898816.db2.gz OXKMSPCTXIAUBQ-UHFFFAOYSA-N 0 2 321.362 0.780 20 0 DCADLN C[C@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001483877657 943004415 /nfs/dbraw/zinc/00/44/15/943004415.db2.gz SSVMSUSQPBVTAY-SSDOTTSWSA-N 0 2 321.303 0.741 20 0 DCADLN CO[C@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001484054087 943122641 /nfs/dbraw/zinc/12/26/41/943122641.db2.gz IHAIZKQHIVKPNG-WFASDCNBSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001484054087 943122647 /nfs/dbraw/zinc/12/26/47/943122647.db2.gz IHAIZKQHIVKPNG-WFASDCNBSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@](C)(NC(=O)Cc3nnc[nH]3)C2)on1 ZINC001484064932 943131849 /nfs/dbraw/zinc/13/18/49/943131849.db2.gz PKWFAIUYOWGTLT-CQSZACIVSA-N 0 2 304.354 0.424 20 0 DCADLN O=C(NCCN(CCO)C(=O)C(F)F)C(F)C(F)(F)F ZINC001056752533 943391951 /nfs/dbraw/zinc/39/19/51/943391951.db2.gz YXONSXQWNJCNBF-RXMQYKEDSA-N 0 2 310.194 0.089 20 0 DCADLN O=C(NCCN(CCO)C(=O)C(F)F)[C@@H](F)C(F)(F)F ZINC001056752533 943391957 /nfs/dbraw/zinc/39/19/57/943391957.db2.gz YXONSXQWNJCNBF-RXMQYKEDSA-N 0 2 310.194 0.089 20 0 DCADLN Cc1nnc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001413710469 943599248 /nfs/dbraw/zinc/59/92/48/943599248.db2.gz VQYNAGFYJMVWTH-QMMMGPOBSA-N 0 2 307.314 0.291 20 0 DCADLN NC(=O)[C@@H]1CCC2(CN(C(=O)c3c(F)ccc(F)c3O)C2)O1 ZINC001276833717 944319808 /nfs/dbraw/zinc/31/98/08/944319808.db2.gz RVLUNHWEILSXQA-VIFPVBQESA-N 0 2 312.272 0.529 20 0 DCADLN CN(C)C(=O)OCCOC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001321930694 946517187 /nfs/dbraw/zinc/51/71/87/946517187.db2.gz VVPHBJQSUQLVCS-UHFFFAOYSA-N 0 2 314.260 0.685 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cccnn1)C(F)C(F)(F)F ZINC001410581412 946601056 /nfs/dbraw/zinc/60/10/56/946601056.db2.gz VXELOIPJUYIICV-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cccnn1)[C@@H](F)C(F)(F)F ZINC001410581412 946601060 /nfs/dbraw/zinc/60/10/60/946601060.db2.gz VXELOIPJUYIICV-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2c(F)cccc2F)S1 ZINC001259070751 946910546 /nfs/dbraw/zinc/91/05/46/946910546.db2.gz AHVYWBMEAZTPMX-MRVPVSSYSA-N 0 2 308.287 0.552 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC001323196792 946969471 /nfs/dbraw/zinc/96/94/71/946969471.db2.gz JKTUSSSCCWSQNZ-CQSZACIVSA-N 0 2 305.378 0.993 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC001323196792 946969479 /nfs/dbraw/zinc/96/94/79/946969479.db2.gz JKTUSSSCCWSQNZ-CQSZACIVSA-N 0 2 305.378 0.993 20 0 DCADLN COc1cc(NS(=O)(=O)c2cnn(C)c2)cc(C(N)=O)c1 ZINC001259827936 946992903 /nfs/dbraw/zinc/99/29/03/946992903.db2.gz YPAAHCMGODXPRI-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN NC(=O)c1ncc(NS(=O)(=O)c2ccc3c(c2)CCC3)cn1 ZINC001259856100 947003060 /nfs/dbraw/zinc/00/30/60/947003060.db2.gz VUYSYSOUQJFWSG-UHFFFAOYSA-N 0 2 318.358 0.865 20 0 DCADLN CS(=O)(=O)c1cccc(S(=O)(=O)Nc2ccnnc2)c1 ZINC001260599892 947128314 /nfs/dbraw/zinc/12/83/14/947128314.db2.gz UEVFHJCEUAPJHX-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN CS(=O)(=O)c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1F ZINC001260960888 947160404 /nfs/dbraw/zinc/16/04/04/947160404.db2.gz UWHPTDREYBUZFB-UHFFFAOYSA-N 0 2 319.339 0.753 20 0 DCADLN CCCC(=O)NC[C@@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001411140827 947385283 /nfs/dbraw/zinc/38/52/83/947385283.db2.gz JARLODWZCCDAJY-KOLCDFICSA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)NC[C@@]1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001411140827 947385297 /nfs/dbraw/zinc/38/52/97/947385297.db2.gz JARLODWZCCDAJY-KOLCDFICSA-N 0 2 314.279 0.767 20 0 DCADLN Cc1cc(NCCNC(=O)C(F)C(F)(F)F)n2ncnc2n1 ZINC001094182920 947805961 /nfs/dbraw/zinc/80/59/61/947805961.db2.gz UZURPGOWCQRSRQ-MRVPVSSYSA-N 0 2 320.250 0.861 20 0 DCADLN Cc1cc(NCCNC(=O)[C@@H](F)C(F)(F)F)n2ncnc2n1 ZINC001094182920 947805969 /nfs/dbraw/zinc/80/59/69/947805969.db2.gz UZURPGOWCQRSRQ-MRVPVSSYSA-N 0 2 320.250 0.861 20 0 DCADLN CC(C)(C)/C=C/C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001326617657 948218515 /nfs/dbraw/zinc/21/85/15/948218515.db2.gz RPKGSNUVEOPKTG-SGUJLRQBSA-N 0 2 323.397 0.430 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]1CCNC1=O ZINC001328639014 949002765 /nfs/dbraw/zinc/00/27/65/949002765.db2.gz DADCEXGTIJCJKE-SSDOTTSWSA-N 0 2 306.347 0.491 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(F)(F)F)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001364476044 949382645 /nfs/dbraw/zinc/38/26/45/949382645.db2.gz ZVORJKVZEKADOU-NKWVEPMBSA-N 0 2 308.260 0.684 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]1CCCNC1=O ZINC001329258060 949468071 /nfs/dbraw/zinc/46/80/71/949468071.db2.gz MKRLIUAWYWGNOH-MRVPVSSYSA-N 0 2 320.374 0.882 20 0 DCADLN COC[C@H](CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)OC ZINC001364593341 949602863 /nfs/dbraw/zinc/60/28/63/949602863.db2.gz BNPQDPFJCLZLJM-UWVGGRQHSA-N 0 2 313.358 0.061 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CCc2ncncc2C1 ZINC001329541483 949673652 /nfs/dbraw/zinc/67/36/52/949673652.db2.gz MKVRJLWMNUUJJA-QMMMGPOBSA-N 0 2 305.363 0.612 20 0 DCADLN CCC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)Nc1sccc1C(N)=O ZINC001589667600 949907174 /nfs/dbraw/zinc/90/71/74/949907174.db2.gz DHIWYJHRKXKJIX-QMMMGPOBSA-N 0 2 313.379 0.971 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)Nc1sccc1C(N)=O ZINC001589667600 949907185 /nfs/dbraw/zinc/90/71/85/949907185.db2.gz DHIWYJHRKXKJIX-QMMMGPOBSA-N 0 2 313.379 0.971 20 0 DCADLN CCC(CC)CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364996845 950320376 /nfs/dbraw/zinc/32/03/76/950320376.db2.gz FWKCPTAJSNBTPG-UHFFFAOYSA-N 0 2 302.400 0.792 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)Nc2nccs2)[nH]1 ZINC001365011828 950349982 /nfs/dbraw/zinc/34/99/82/950349982.db2.gz SJIGVHHZXPHAGX-UHFFFAOYSA-N 0 2 316.368 0.128 20 0 DCADLN COC(=O)N1CCC(C(=O)N[C@@H](C)c2nn(C)cc2O)CC1 ZINC001365121844 950555500 /nfs/dbraw/zinc/55/55/00/950555500.db2.gz VSKLJIGDFKJKKP-VIFPVBQESA-N 0 2 310.354 0.781 20 0 DCADLN C[C@@H](NC(=O)c1cn(CC2(C)COC2)nn1)c1nn(C)cc1O ZINC001365122507 950555588 /nfs/dbraw/zinc/55/55/88/950555588.db2.gz XGYTZBFKPWRMHN-SECBINFHSA-N 0 2 320.353 0.245 20 0 DCADLN Cc1noc([C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)C(C)C)n1 ZINC001365185839 950694317 /nfs/dbraw/zinc/69/43/17/950694317.db2.gz BLPJIEXCGGTTTR-IONNQARKSA-N 0 2 311.367 0.748 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1ncnn1C ZINC001365373771 951035111 /nfs/dbraw/zinc/03/51/11/951035111.db2.gz ILKRTACKZAYHTE-RKDXNWHRSA-N 0 2 320.357 0.289 20 0 DCADLN CN(CCOCCNC(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001280897049 951145260 /nfs/dbraw/zinc/14/52/60/951145260.db2.gz NADRAAXDJDYDMQ-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCOCCNC(=O)C1CC1)C(=O)[C@H](F)C(F)(F)F ZINC001280897049 951145263 /nfs/dbraw/zinc/14/52/63/951145263.db2.gz NADRAAXDJDYDMQ-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001281092308 951272402 /nfs/dbraw/zinc/27/24/02/951272402.db2.gz WHHSZNIVGYOVPH-IUCAKERBSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CC=CC1)[C@H](F)C(F)(F)F ZINC001281092308 951272413 /nfs/dbraw/zinc/27/24/13/951272413.db2.gz WHHSZNIVGYOVPH-IUCAKERBSA-N 0 2 312.263 0.446 20 0 DCADLN CO[C@H](C)C(=O)N(C)CCN(C)C(=O)C(F)C(F)(F)F ZINC001416643900 951991541 /nfs/dbraw/zinc/99/15/41/951991541.db2.gz CUAXZYYQLUINBM-HTQZYQBOSA-N 0 2 302.268 0.839 20 0 DCADLN CO[C@H](C)C(=O)N(C)CCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001416643900 951991547 /nfs/dbraw/zinc/99/15/47/951991547.db2.gz CUAXZYYQLUINBM-HTQZYQBOSA-N 0 2 302.268 0.839 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1[O-] ZINC001411390382 952088637 /nfs/dbraw/zinc/08/86/37/952088637.db2.gz WHNNDIDORGMRRA-HTQZYQBOSA-N 0 2 320.353 0.013 20 0 DCADLN Cc1ncc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c(C2CC2)n1 ZINC001335076255 952453699 /nfs/dbraw/zinc/45/36/99/952453699.db2.gz HBGOFILKPCXPSL-ZETCQYMHSA-N 0 2 303.322 0.590 20 0 DCADLN C[C@H](Cc1ccco1)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001575203376 952520893 /nfs/dbraw/zinc/52/08/93/952520893.db2.gz VEZZVPFPIIRYMN-SSDOTTSWSA-N 0 2 317.309 0.302 20 0 DCADLN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@@H](C)C(=O)Nc1ncccc1O ZINC001412107049 952648075 /nfs/dbraw/zinc/64/80/75/952648075.db2.gz NGCBODUJLPTNDO-RKDXNWHRSA-N 0 2 318.337 0.227 20 0 DCADLN Cc1cc(NC(=O)CC2SC(=N)NC2=O)cc(C(N)=O)c1 ZINC001412163366 952673426 /nfs/dbraw/zinc/67/34/26/952673426.db2.gz RSDUZMBDJSAKID-SECBINFHSA-N 0 2 306.347 0.589 20 0 DCADLN O=C(c1nc[nH]c(=O)c1Br)N1CCc2nc[nH]c2C1 ZINC001412191047 952687726 /nfs/dbraw/zinc/68/77/26/952687726.db2.gz CVFCMVTURRKACZ-UHFFFAOYSA-N 0 2 324.138 0.866 20 0 DCADLN CC1(C)CCC[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)O1 ZINC001412330225 952751810 /nfs/dbraw/zinc/75/18/10/952751810.db2.gz XMEICJVJKQSVTJ-SNVBAGLBSA-N 0 2 319.365 0.535 20 0 DCADLN COCC(=O)NC[C@@H](C1CC1)N(C)C(=O)C(F)C(F)(F)F ZINC001412364037 952764279 /nfs/dbraw/zinc/76/42/79/952764279.db2.gz LSUVGNQTCJHOGU-WCBMZHEXSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)NC[C@@H](C1CC1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001412364037 952764282 /nfs/dbraw/zinc/76/42/82/952764282.db2.gz LSUVGNQTCJHOGU-WCBMZHEXSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1c[nH]c(Br)c1 ZINC001412374780 952767880 /nfs/dbraw/zinc/76/78/80/952767880.db2.gz JEARBYXWITWMPC-UHFFFAOYSA-N 0 2 313.111 0.908 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1c[nH]c(Br)c1 ZINC001412374780 952767885 /nfs/dbraw/zinc/76/78/85/952767885.db2.gz JEARBYXWITWMPC-UHFFFAOYSA-N 0 2 313.111 0.908 20 0 DCADLN O=C(NC1CC(CO)(CO)C1)C1=NN(c2ccccc2)CC1=O ZINC001412409702 952783649 /nfs/dbraw/zinc/78/36/49/952783649.db2.gz MLXYAUWQYROYSI-UHFFFAOYSA-N 0 2 317.345 0.441 20 0 DCADLN CC(C)c1nc(NC(=O)c2ncccc2S(C)(=O)=O)n[nH]1 ZINC001412497554 952846798 /nfs/dbraw/zinc/84/67/98/952846798.db2.gz YRVAQRSMJZKDRV-UHFFFAOYSA-N 0 2 309.351 0.979 20 0 DCADLN CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H]2[C@@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001412638408 952958322 /nfs/dbraw/zinc/95/83/22/952958322.db2.gz VTFPUCBPGKEOKU-OYNCUSHFSA-N 0 2 323.353 0.382 20 0 DCADLN C[C@@H]1CC(=O)Nc2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2N1 ZINC001412639031 952958901 /nfs/dbraw/zinc/95/89/01/952958901.db2.gz XJRLMCJNDMNZGX-SSDOTTSWSA-N 0 2 316.321 0.583 20 0 DCADLN CC(C)[C@]1(C)C[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412690838 952998239 /nfs/dbraw/zinc/99/82/39/952998239.db2.gz XDIJUSZQWQKFIY-RNCFNFMXSA-N 0 2 314.411 0.648 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC(CO)CC1 ZINC001412725445 953023162 /nfs/dbraw/zinc/02/31/62/953023162.db2.gz GYUOQKHUDUXZPX-UHFFFAOYSA-N 0 2 318.333 0.111 20 0 DCADLN CCOCCN1CCN(c2nnc(-c3c[nH][nH]c3=O)n2C)CC1 ZINC001339835774 953122901 /nfs/dbraw/zinc/12/29/01/953122901.db2.gz BXOMFDASZSSKLX-UHFFFAOYSA-N 0 2 321.385 0.069 20 0 DCADLN Cn1ncnc1CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001365938378 953436729 /nfs/dbraw/zinc/43/67/29/953436729.db2.gz PYLQKPBWZYZLMI-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CCC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001365938378 953436736 /nfs/dbraw/zinc/43/67/36/953436736.db2.gz PYLQKPBWZYZLMI-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN O=C(CCC(F)(F)F)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001365943643 953443684 /nfs/dbraw/zinc/44/36/84/953443684.db2.gz KYSDAPZTYOTDJH-MRVPVSSYSA-N 0 2 321.303 0.933 20 0 DCADLN CCn1[nH]c(C)nc1=NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365978405 953509912 /nfs/dbraw/zinc/50/99/12/953509912.db2.gz MDHAYTGRTGLSQK-VIFPVBQESA-N 0 2 320.357 0.264 20 0 DCADLN CC(C)(C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)F ZINC001365998483 953554484 /nfs/dbraw/zinc/55/44/84/953554484.db2.gz RJHOOLITRBQZOE-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC001594792659 953697336 /nfs/dbraw/zinc/69/73/36/953697336.db2.gz XCFBLNUJRAFWRW-GRYCIOLGSA-N 0 2 320.349 0.026 20 0 DCADLN CCO[C@H](CC)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001282936966 953790695 /nfs/dbraw/zinc/79/06/95/953790695.db2.gz NYRZWKZLDSTSGK-SFYZADRCSA-N 0 2 302.268 0.934 20 0 DCADLN CCO[C@H](CC)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001282936966 953790714 /nfs/dbraw/zinc/79/07/14/953790714.db2.gz NYRZWKZLDSTSGK-SFYZADRCSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@@H]1CCCN(S(=O)(=O)CCn2cc[nH+]c2)[C@@H]1C(=O)[O-] ZINC001589333186 953963403 /nfs/dbraw/zinc/96/34/03/953963403.db2.gz KXLDTVHRKNAGIM-MNOVXSKESA-N 0 2 301.368 0.398 20 0 DCADLN Cc1cc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001283059492 953965704 /nfs/dbraw/zinc/96/57/04/953965704.db2.gz PUSALIVENNVKDC-JTQLQIEISA-N 0 2 324.278 0.404 20 0 DCADLN Cc1cc(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n(C)n1 ZINC001283059492 953965713 /nfs/dbraw/zinc/96/57/13/953965713.db2.gz PUSALIVENNVKDC-JTQLQIEISA-N 0 2 324.278 0.404 20 0 DCADLN C[NH+](C)CCO[N-]C(=O)C1=NN(c2ccccc2F)CC1=O ZINC001347775195 954164803 /nfs/dbraw/zinc/16/48/03/954164803.db2.gz IFKDCMLRDUFGPL-UHFFFAOYSA-N 0 2 308.313 0.940 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(C(=O)[O-])ccn2)CCO1 ZINC001589401300 954432130 /nfs/dbraw/zinc/43/21/30/954432130.db2.gz ZDOWQXJRGLFQGL-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(C(=O)[O-])ccn2)CCO1 ZINC001589401300 954432135 /nfs/dbraw/zinc/43/21/35/954432135.db2.gz ZDOWQXJRGLFQGL-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001589408527 954489397 /nfs/dbraw/zinc/48/93/97/954489397.db2.gz HNVQSAAAQJKWAJ-FRRDWIJNSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)[C@@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001589408527 954489404 /nfs/dbraw/zinc/48/94/04/954489404.db2.gz HNVQSAAAQJKWAJ-FRRDWIJNSA-N 0 2 314.382 0.093 20 0 DCADLN Cc1ncc(CC(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)o1 ZINC001366659076 954602892 /nfs/dbraw/zinc/60/28/92/954602892.db2.gz XDZHBWAJPYJQGH-SNVBAGLBSA-N 0 2 320.353 0.130 20 0 DCADLN Cc1ncc(CC(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)o1 ZINC001366659076 954602895 /nfs/dbraw/zinc/60/28/95/954602895.db2.gz XDZHBWAJPYJQGH-SNVBAGLBSA-N 0 2 320.353 0.130 20 0 DCADLN CCC[C@@H](OC)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001366802326 954858994 /nfs/dbraw/zinc/85/89/94/954858994.db2.gz SCJSUCVQDSQXKU-GRYCIOLGSA-N 0 2 323.397 0.501 20 0 DCADLN CCCN(C(=O)c1ccc[nH]1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001366802883 954860542 /nfs/dbraw/zinc/86/05/42/954860542.db2.gz VXRSHOJUCSBLGP-NSHDSACASA-N 0 2 318.381 0.965 20 0 DCADLN CCCN(C(=O)c1ccc[nH]1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001366802883 954860550 /nfs/dbraw/zinc/86/05/50/954860550.db2.gz VXRSHOJUCSBLGP-NSHDSACASA-N 0 2 318.381 0.965 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)NCC[C@H]1CC[NH+](Cc2cnsn2)C1 ZINC001366833722 954907273 /nfs/dbraw/zinc/90/72/73/954907273.db2.gz DJQDIEWSAOPHKV-GXTWGEPZSA-N 0 2 323.466 0.961 20 0 DCADLN O=C([O-])/C=C\c1ccc(C[NH+]2CCN(c3nccnn3)CC2)o1 ZINC001350601655 955144816 /nfs/dbraw/zinc/14/48/16/955144816.db2.gz ZUSKBTMXOXDBEZ-ARJAWSKDSA-N 0 2 315.333 0.885 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)C(=O)c1cnco1 ZINC001351786605 955411187 /nfs/dbraw/zinc/41/11/87/955411187.db2.gz DIOOPRIGRBNQBT-UHFFFAOYSA-N 0 2 305.338 0.527 20 0 DCADLN C[C@@H](CN(C)C(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1)C(=O)[O-] ZINC001589019174 955448110 /nfs/dbraw/zinc/44/81/10/955448110.db2.gz AIHSZVBSDOZMMU-NSHDSACASA-N 0 2 322.365 0.226 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1cccnc1C(=O)[O-] ZINC001589037636 955559891 /nfs/dbraw/zinc/55/98/91/955559891.db2.gz CLMOEMITWHXSNB-LLVKDONJSA-N 0 2 321.381 0.537 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)C1(C(=O)[O-])CCCCC1 ZINC001589038664 955570512 /nfs/dbraw/zinc/57/05/12/955570512.db2.gz RCWVTGCPKNQFOC-ZDUSSCGKSA-N 0 2 311.426 0.774 20 0 DCADLN CC(C)[N@@H+]1CCO[C@@H](c2nnc(N3CC[C@H](C(=O)[O-])C3)n2C)C1 ZINC001603068594 972135645 /nfs/dbraw/zinc/13/56/45/972135645.db2.gz QMUWNGHOCBCWRQ-NWDGAFQWSA-N 0 2 323.397 0.508 20 0 DCADLN CC(C)[N@H+]1CCO[C@@H](c2nnc(N3CC[C@H](C(=O)[O-])C3)n2C)C1 ZINC001603068594 972135647 /nfs/dbraw/zinc/13/56/47/972135647.db2.gz QMUWNGHOCBCWRQ-NWDGAFQWSA-N 0 2 323.397 0.508 20 0 DCADLN Cn1nccc1C1=C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCC(=O)[O-] ZINC001594593206 956067262 /nfs/dbraw/zinc/06/72/62/956067262.db2.gz QWBFCNZVPWDUTI-NEPJUHHUSA-N 0 2 304.350 0.241 20 0 DCADLN Cn1nccc1C1=C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NCC(=O)[O-] ZINC001594593206 956067274 /nfs/dbraw/zinc/06/72/74/956067274.db2.gz QWBFCNZVPWDUTI-NEPJUHHUSA-N 0 2 304.350 0.241 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+]([C@H](C)C(=O)N(C)C(C)C)CCO1 ZINC001593984053 956742365 /nfs/dbraw/zinc/74/23/65/956742365.db2.gz SUICLUMXZCJENA-RISCZKNCSA-N 0 2 302.371 0.044 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+]([C@H](C)C(=O)N(C)C(C)C)CCO1 ZINC001593984053 956742372 /nfs/dbraw/zinc/74/23/72/956742372.db2.gz SUICLUMXZCJENA-RISCZKNCSA-N 0 2 302.371 0.044 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCOCC1)C(F)C(F)(F)F ZINC001292684874 957108215 /nfs/dbraw/zinc/10/82/15/957108215.db2.gz FVSJGWCVEIMBJV-IUCAKERBSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCOCC1)[C@H](F)C(F)(F)F ZINC001292684874 957108220 /nfs/dbraw/zinc/10/82/20/957108220.db2.gz FVSJGWCVEIMBJV-IUCAKERBSA-N 0 2 314.279 0.936 20 0 DCADLN O=C([O-])C[NH+]1CCN(S(=O)(=O)c2cccnc2Cl)CC1 ZINC000036813475 957239081 /nfs/dbraw/zinc/23/90/81/957239081.db2.gz UYSKJCWKTPOLTN-UHFFFAOYSA-N 0 2 319.770 0.126 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CSc1ccncc1 ZINC000037432570 957286924 /nfs/dbraw/zinc/28/69/24/957286924.db2.gz HRFCFTLNZXROOJ-NSHDSACASA-N 0 2 306.347 0.709 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CSc1ccncc1 ZINC000037432570 957286934 /nfs/dbraw/zinc/28/69/34/957286934.db2.gz HRFCFTLNZXROOJ-NSHDSACASA-N 0 2 306.347 0.709 20 0 DCADLN CSCCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001293663247 957413819 /nfs/dbraw/zinc/41/38/19/957413819.db2.gz ISJASVMPEVFCMN-UHFFFAOYSA-N 0 2 308.363 0.749 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cc[n+]([O-])cc1 ZINC001358061632 957420722 /nfs/dbraw/zinc/42/07/22/957420722.db2.gz SJWHVQPTUBTKFP-VXNVDRBHSA-N 0 2 323.246 0.455 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cc[n+]([O-])cc1 ZINC001358061632 957420727 /nfs/dbraw/zinc/42/07/27/957420727.db2.gz SJWHVQPTUBTKFP-VXNVDRBHSA-N 0 2 323.246 0.455 20 0 DCADLN Cc1noc(C)c1CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367552085 958019604 /nfs/dbraw/zinc/01/96/04/958019604.db2.gz WMRNCERZXYZAKR-UHFFFAOYSA-N 0 2 322.369 0.248 20 0 DCADLN Cc1noc(C)c1CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367552085 958019617 /nfs/dbraw/zinc/01/96/17/958019617.db2.gz WMRNCERZXYZAKR-UHFFFAOYSA-N 0 2 322.369 0.248 20 0 DCADLN Cn1cnc2ccc(C(=O)NCCc3n[nH]c(=S)o3)nc21 ZINC001296734834 958043361 /nfs/dbraw/zinc/04/33/61/958043361.db2.gz SEVNCWVDNYSDSD-UHFFFAOYSA-N 0 2 304.335 0.613 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H](C)CCO1 ZINC001361566986 958091285 /nfs/dbraw/zinc/09/12/85/958091285.db2.gz GWJPQVKKFVZPOQ-NXEZZACHSA-N 0 2 305.338 0.097 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCC1CC(F)(F)C1 ZINC001361598317 958120924 /nfs/dbraw/zinc/12/09/24/958120924.db2.gz XDFQAZFJRQGMJD-UHFFFAOYSA-N 0 2 311.292 0.623 20 0 DCADLN C[C@@H](NC(=O)C1(C(=O)[O-])CCOCC1)[C@@H](C)[NH+]1CCOCC1 ZINC001603216023 972329878 /nfs/dbraw/zinc/32/98/78/972329878.db2.gz MSOSIMGTYFOEDZ-VXGBXAGGSA-N 0 2 314.382 0.093 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001361752465 958287388 /nfs/dbraw/zinc/28/73/88/958287388.db2.gz LQJSAWJOOSQVGC-NKWVEPMBSA-N 0 2 306.281 0.285 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001361752465 958287401 /nfs/dbraw/zinc/28/74/01/958287401.db2.gz LQJSAWJOOSQVGC-NKWVEPMBSA-N 0 2 306.281 0.285 20 0 DCADLN Cn1nccc1[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CC(=O)N1C ZINC001548831984 1013170738 /nfs/dbraw/zinc/17/07/38/1013170738.db2.gz SGSTZWMOKSJEIS-JMOVZRAMSA-N 0 2 322.262 0.709 20 0 DCADLN Cn1nccc1[C@@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CC(=O)N1C ZINC001548831984 1013170743 /nfs/dbraw/zinc/17/07/43/1013170743.db2.gz SGSTZWMOKSJEIS-JMOVZRAMSA-N 0 2 322.262 0.709 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)Nc1nc2cnccn2n1 ZINC001361937281 958511227 /nfs/dbraw/zinc/51/12/27/958511227.db2.gz LVBOCTSBXKQXGQ-UHFFFAOYSA-N 0 2 316.243 0.520 20 0 DCADLN CO[C@H](C)C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001298292179 958516915 /nfs/dbraw/zinc/51/69/15/958516915.db2.gz YVTFGFJWOLGFKV-ZGNIKFQOSA-N 0 2 300.252 0.710 20 0 DCADLN CO[C@H](C)C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001298292179 958516920 /nfs/dbraw/zinc/51/69/20/958516920.db2.gz YVTFGFJWOLGFKV-ZGNIKFQOSA-N 0 2 300.252 0.710 20 0 DCADLN CCCc1cc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)n[nH]1 ZINC001361989702 958577347 /nfs/dbraw/zinc/57/73/47/958577347.db2.gz OYQXYXFKVJFNJV-UHFFFAOYSA-N 0 2 324.362 0.968 20 0 DCADLN Cc1sccc1CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367960225 958961024 /nfs/dbraw/zinc/96/10/24/958961024.db2.gz IZDOXBOYKUOHKJ-NSHDSACASA-N 0 2 321.406 0.813 20 0 DCADLN Cc1cc(C(=O)N2CC[N@H+](C)[C@@H](C)C2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC001362295623 959091904 /nfs/dbraw/zinc/09/19/04/959091904.db2.gz YKOFXZDGOPWTBV-VIFPVBQESA-N 0 2 317.349 0.521 20 0 DCADLN Cc1cc(C(=O)N2CC[N@@H+](C)[C@@H](C)C2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC001362295623 959091918 /nfs/dbraw/zinc/09/19/18/959091918.db2.gz YKOFXZDGOPWTBV-VIFPVBQESA-N 0 2 317.349 0.521 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC001588571071 959184833 /nfs/dbraw/zinc/18/48/33/959184833.db2.gz WGTTZXSSNQJCCE-JTQLQIEISA-N 0 2 314.382 0.629 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001368143970 959314447 /nfs/dbraw/zinc/31/44/47/959314447.db2.gz KUDSTTKXIPZIGA-YGRLFVJLSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001368143970 959314462 /nfs/dbraw/zinc/31/44/62/959314462.db2.gz KUDSTTKXIPZIGA-YGRLFVJLSA-N 0 2 319.369 0.049 20 0 DCADLN O=C(C[C@H]1C(=O)Nc2ccc(F)cc21)NCc1n[nH]c(=O)[nH]1 ZINC001362422518 959323289 /nfs/dbraw/zinc/32/32/89/959323289.db2.gz BKPUOIWXNDZJDW-MRVPVSSYSA-N 0 2 305.269 0.392 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCC[N@H+]2CC=CCC2)s1 ZINC000392165186 972419749 /nfs/dbraw/zinc/41/97/49/972419749.db2.gz CYWOHFTXGDSUFT-UHFFFAOYSA-N 0 2 316.404 0.987 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)s1 ZINC000392165186 972419753 /nfs/dbraw/zinc/41/97/53/972419753.db2.gz CYWOHFTXGDSUFT-UHFFFAOYSA-N 0 2 316.404 0.987 20 0 DCADLN CN(C[C@@H](O)C1CC1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362427203 959336741 /nfs/dbraw/zinc/33/67/41/959336741.db2.gz KRCCBBXWOHCXJC-GFCCVEGCSA-N 0 2 318.333 0.109 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](CC(=O)NCC(=O)OC(C)(C)C)C1CC1 ZINC001588593020 959363805 /nfs/dbraw/zinc/36/38/05/959363805.db2.gz URUSAODTXQYXTB-VIFPVBQESA-N 0 2 300.355 0.382 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](CC(=O)NCC(=O)OC(C)(C)C)C1CC1 ZINC001588593020 959363827 /nfs/dbraw/zinc/36/38/27/959363827.db2.gz URUSAODTXQYXTB-VIFPVBQESA-N 0 2 300.355 0.382 20 0 DCADLN Cn1ncnc1C[N@@H+]1CCC[C@H]1CCCNC(=O)c1nnc[nH]1 ZINC001492171232 959652818 /nfs/dbraw/zinc/65/28/18/959652818.db2.gz LMKROWKKIVVNQJ-LLVKDONJSA-N 0 2 318.385 0.108 20 0 DCADLN Cn1ncnc1C[N@H+]1CCC[C@H]1CCCNC(=O)c1nnc[nH]1 ZINC001492171232 959652824 /nfs/dbraw/zinc/65/28/24/959652824.db2.gz LMKROWKKIVVNQJ-LLVKDONJSA-N 0 2 318.385 0.108 20 0 DCADLN CCC[N@@H+](C)CC(=O)NC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001377205035 959689924 /nfs/dbraw/zinc/68/99/24/959689924.db2.gz SNWCUKONMUIXIH-LLVKDONJSA-N 0 2 309.414 0.223 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2c([nH]1)CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001377254677 959802277 /nfs/dbraw/zinc/80/22/77/959802277.db2.gz YOQWQQODARBSDO-UHFFFAOYSA-N 0 2 304.354 0.189 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2c([nH]1)CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001377254677 959802296 /nfs/dbraw/zinc/80/22/96/959802296.db2.gz YOQWQQODARBSDO-UHFFFAOYSA-N 0 2 304.354 0.189 20 0 DCADLN NC(=O)[C@@H]1C[C@@H]1C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001362680896 959820860 /nfs/dbraw/zinc/82/08/60/959820860.db2.gz WDYVYKOMOFPTKX-MNOVXSKESA-N 0 2 315.333 0.121 20 0 DCADLN CCC(CC)(NC(=O)[C@@H]1CCCc2nn[nH]c21)c1nn[nH]n1 ZINC001362785096 959982840 /nfs/dbraw/zinc/98/28/40/959982840.db2.gz KWJUCDYOYXNHEV-MRVPVSSYSA-N 0 2 304.358 0.569 20 0 DCADLN CCC(CC)(NC(=O)[C@@H]1CCCc2n[nH]nc21)c1nn[nH]n1 ZINC001362785096 959982849 /nfs/dbraw/zinc/98/28/49/959982849.db2.gz KWJUCDYOYXNHEV-MRVPVSSYSA-N 0 2 304.358 0.569 20 0 DCADLN CC[NH+](CCNC(=O)[C@@H]1C[N@H+](CC)CCO1)Cc1cccnc1 ZINC001377367013 959984442 /nfs/dbraw/zinc/98/44/42/959984442.db2.gz GQWQLVBKYBQBDQ-INIZCTEOSA-N 0 2 320.437 0.740 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)NC(C)(C)c2cn[nH]n2)cc1=O ZINC001362830312 960055471 /nfs/dbraw/zinc/05/54/71/960055471.db2.gz HZHNHJAAWQAPFG-UHFFFAOYSA-N 0 2 306.370 0.545 20 0 DCADLN Cc1cn2ccnc(C(=O)N[C@@H](C)c3nn(C)cc3O)c2n1 ZINC001304545464 960089644 /nfs/dbraw/zinc/08/96/44/960089644.db2.gz UKEXICPETHMEPF-VIFPVBQESA-N 0 2 300.322 0.968 20 0 DCADLN CONC(=O)CNc1ccc2c(c1)S(=O)(=O)N=C2Cl ZINC001307224127 960144236 /nfs/dbraw/zinc/14/42/36/960144236.db2.gz VTTKZTFANXCTPS-UHFFFAOYSA-N 0 2 303.727 0.464 20 0 DCADLN Cc1nc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)oc1C ZINC001363088405 960387821 /nfs/dbraw/zinc/38/78/21/960387821.db2.gz GVZVUNVZMYKXES-UHFFFAOYSA-N 0 2 311.319 0.897 20 0 DCADLN O=C(NCc1n[nH]c([C@@H]2CCOC2)n1)c1c(O)cccc1O ZINC001363124636 960436007 /nfs/dbraw/zinc/43/60/07/960436007.db2.gz VPCWHHMFGWQSHB-MRVPVSSYSA-N 0 2 304.306 0.650 20 0 DCADLN Cn1ncc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1C1CC1 ZINC001571079833 960986682 /nfs/dbraw/zinc/98/66/82/960986682.db2.gz JNSSUNOIYWLPEF-LLVKDONJSA-N 0 2 303.322 0.446 20 0 DCADLN Cn1ncc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1C1CC1 ZINC001571079833 960986708 /nfs/dbraw/zinc/98/67/08/960986708.db2.gz JNSSUNOIYWLPEF-LLVKDONJSA-N 0 2 303.322 0.446 20 0 DCADLN CCCn1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C)n1 ZINC001571087956 961090247 /nfs/dbraw/zinc/09/02/47/961090247.db2.gz QPXFCTZKTVMAGW-LBPRGKRZSA-N 0 2 305.338 0.750 20 0 DCADLN CCCn1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C)n1 ZINC001571087956 961090270 /nfs/dbraw/zinc/09/02/70/961090270.db2.gz QPXFCTZKTVMAGW-LBPRGKRZSA-N 0 2 305.338 0.750 20 0 DCADLN Cc1cn2cc(NC(=O)c3cn(CC(=O)[O-])nn3)ccc2[nH+]1 ZINC001574411000 961284473 /nfs/dbraw/zinc/28/44/73/961284473.db2.gz IMDSYFVOYIXGSK-UHFFFAOYSA-N 0 2 300.278 0.571 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)[C@]1(C(=O)[O-])C[C@H]1C)C2 ZINC001574423951 961356442 /nfs/dbraw/zinc/35/64/42/961356442.db2.gz KVPZAOWAEKXJMD-RBIVETJNSA-N 0 2 321.377 0.268 20 0 DCADLN COCCc1noc(C[N@H+](CCC(=O)[O-])C[C@@H]2CCCO2)n1 ZINC001571116572 961399832 /nfs/dbraw/zinc/39/98/32/961399832.db2.gz JDNDXNVEPZMOLO-NSHDSACASA-N 0 2 313.354 0.714 20 0 DCADLN COCCc1noc(C[N@@H+](CCC(=O)[O-])C[C@@H]2CCCO2)n1 ZINC001571116572 961399855 /nfs/dbraw/zinc/39/98/55/961399855.db2.gz JDNDXNVEPZMOLO-NSHDSACASA-N 0 2 313.354 0.714 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[NH2+][C@@](C)(C(=O)[O-])c1ccccc1 ZINC001573896570 961399985 /nfs/dbraw/zinc/39/99/85/961399985.db2.gz RACNSCHOSJVBIX-MRXNPFEDSA-N 0 2 322.361 0.598 20 0 DCADLN C[C@H](CNC(=O)CCc1ccncc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378082683 961402744 /nfs/dbraw/zinc/40/27/44/961402744.db2.gz RLMWGVGXLNMATR-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN C[C@H](CNC(=O)CCc1ccncc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378082683 961402756 /nfs/dbraw/zinc/40/27/56/961402756.db2.gz RLMWGVGXLNMATR-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2cccnc2C(=O)[O-])C[C@H]1C ZINC001571117073 961408092 /nfs/dbraw/zinc/40/80/92/961408092.db2.gz UUHQZBFTEMYZCL-SNVBAGLBSA-N 0 2 313.379 0.495 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2cccnc2C(=O)[O-])C[C@H]1C ZINC001571117073 961408106 /nfs/dbraw/zinc/40/81/06/961408106.db2.gz UUHQZBFTEMYZCL-SNVBAGLBSA-N 0 2 313.379 0.495 20 0 DCADLN C[C@@H]1COC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363574961 961473464 /nfs/dbraw/zinc/47/34/64/961473464.db2.gz FEKDEBWJCATRTR-LDYMZIIASA-N 0 2 304.306 0.031 20 0 DCADLN C/C(=C/C(=O)N1CCC[C@@H]2C[C@@]21C(=O)[O-])C[NH+]1CCOCC1 ZINC001332352755 961556408 /nfs/dbraw/zinc/55/64/08/961556408.db2.gz TUBDVXYZDNOAEB-JFJOFKMZSA-N 0 2 308.378 0.731 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC001430225281 1013265922 /nfs/dbraw/zinc/26/59/22/1013265922.db2.gz FEIYPUBVLPUVCK-OOZYFLPDSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cccnn1 ZINC001430225281 1013265923 /nfs/dbraw/zinc/26/59/23/1013265923.db2.gz FEIYPUBVLPUVCK-OOZYFLPDSA-N 0 2 320.246 0.754 20 0 DCADLN CC(C)CO[C@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363632852 961592133 /nfs/dbraw/zinc/59/21/33/961592133.db2.gz MZPODAJDIIOTFA-SECBINFHSA-N 0 2 318.399 0.027 20 0 DCADLN Cc1ccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)cc1C ZINC001363636603 961597307 /nfs/dbraw/zinc/59/73/07/961597307.db2.gz VMQPCWQEGOFDOS-UHFFFAOYSA-N 0 2 322.390 0.896 20 0 DCADLN C[C@@H]1C[N@H+](CCn2cnc3ccccc3c2=O)C[C@@H](C(=O)[O-])O1 ZINC001571133706 961599164 /nfs/dbraw/zinc/59/91/64/961599164.db2.gz VQUVKGUKQVMREG-RISCZKNCSA-N 0 2 317.345 0.570 20 0 DCADLN C[C@@H]1C[N@@H+](CCn2cnc3ccccc3c2=O)C[C@@H](C(=O)[O-])O1 ZINC001571133706 961599181 /nfs/dbraw/zinc/59/91/81/961599181.db2.gz VQUVKGUKQVMREG-RISCZKNCSA-N 0 2 317.345 0.570 20 0 DCADLN CCc1nc(C)c(C[N@H+](C)[C@H](C)CNC(=O)Cc2nnc[nH]2)o1 ZINC001378184714 961615987 /nfs/dbraw/zinc/61/59/87/961615987.db2.gz URQQXOGGTWKXQI-SNVBAGLBSA-N 0 2 320.397 0.843 20 0 DCADLN C[C@H](CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001378191210 961631891 /nfs/dbraw/zinc/63/18/91/961631891.db2.gz GMIHOCHWHNDMGK-NEPJUHHUSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@H](CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001378191210 961631903 /nfs/dbraw/zinc/63/19/03/961631903.db2.gz GMIHOCHWHNDMGK-NEPJUHHUSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CC(c2ccccn2)=NO1)c1nn(C)cc1O ZINC001363667562 961660015 /nfs/dbraw/zinc/66/00/15/961660015.db2.gz PGFSCSJVAJXDKE-RNCFNFMXSA-N 0 2 315.333 0.891 20 0 DCADLN CCOC(=O)c1nnc(C[NH2+]Cc2cn(C)nc2C2CC2)[n-]1 ZINC001363675427 961671057 /nfs/dbraw/zinc/67/10/57/961671057.db2.gz OGVBWWPVFIHYHR-UHFFFAOYSA-N 0 2 304.354 0.882 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCCSCC(=O)[O-])[nH]n1 ZINC001334181424 961765573 /nfs/dbraw/zinc/76/55/73/961765573.db2.gz GXROTGLOQQVTPM-NSHDSACASA-N 0 2 312.395 0.724 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)NCCSCC(=O)[O-])[nH]n1 ZINC001334181424 961765593 /nfs/dbraw/zinc/76/55/93/961765593.db2.gz GXROTGLOQQVTPM-NSHDSACASA-N 0 2 312.395 0.724 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)cn1 ZINC001379767491 961985250 /nfs/dbraw/zinc/98/52/50/961985250.db2.gz JWXMPOYRMNVRGZ-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)cn1 ZINC001379767491 961985263 /nfs/dbraw/zinc/98/52/63/961985263.db2.gz JWXMPOYRMNVRGZ-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@H](C)c2nn(C)cc2O)n(C)n1 ZINC001363854565 962030261 /nfs/dbraw/zinc/03/02/61/962030261.db2.gz VUMOPIOTVZIROS-SSDOTTSWSA-N 0 2 307.310 0.137 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@H](O)C[N@H+](C)CCCF)c1[O-] ZINC001379907536 962263601 /nfs/dbraw/zinc/26/36/01/962263601.db2.gz HUVHEJGEVBTTKY-SNVBAGLBSA-N 0 2 302.350 0.148 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@H](O)C[N@@H+](C)CCCF)c1[O-] ZINC001379907536 962263619 /nfs/dbraw/zinc/26/36/19/962263619.db2.gz HUVHEJGEVBTTKY-SNVBAGLBSA-N 0 2 302.350 0.148 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)NC[C@@H](O)C[NH2+]C/C(Cl)=C/Cl ZINC001379231387 962419951 /nfs/dbraw/zinc/41/99/51/962419951.db2.gz QVZNKGLJTZSKPC-RPGNDLBOSA-N 0 2 324.252 0.856 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC2(COC2)C1 ZINC001364117610 962500064 /nfs/dbraw/zinc/50/00/64/962500064.db2.gz ZDIGGWWBDBXYGW-UHFFFAOYSA-N 0 2 316.317 0.129 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC(C)(C)c1ncon1 ZINC001364118983 962504388 /nfs/dbraw/zinc/50/43/88/962504388.db2.gz UWYOGVLIIDBFKK-UHFFFAOYSA-N 0 2 310.401 0.647 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2cc(C(F)F)ncn2)[nH]1 ZINC001364247327 962744579 /nfs/dbraw/zinc/74/45/79/962744579.db2.gz MHWVRVGIBZGEEF-UHFFFAOYSA-N 0 2 318.309 0.689 20 0 DCADLN CCc1n[nH]cc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001364258502 962763831 /nfs/dbraw/zinc/76/38/31/962763831.db2.gz MXTYGZCMWJEPRM-UHFFFAOYSA-N 0 2 305.338 0.796 20 0 DCADLN C[C@@H](NC(=O)C1=NC(=O)N(C)C1)c1nc(C(F)(F)F)n[nH]1 ZINC001364314822 962879927 /nfs/dbraw/zinc/87/99/27/962879927.db2.gz ZOGAAUYODRSYTM-SCSAIBSYSA-N 0 2 304.232 0.754 20 0 DCADLN CC[C@@H](F)C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001380233945 962905053 /nfs/dbraw/zinc/90/50/53/962905053.db2.gz AVVCFUKOHMCZIL-GFCCVEGCSA-N 0 2 321.356 0.413 20 0 DCADLN C[C@]1(NC(=O)C[C@H]2CCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380354051 963093468 /nfs/dbraw/zinc/09/34/68/963093468.db2.gz JCHNHQNOTLETIK-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(NC(=O)C[C@H]2CCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380354051 963093480 /nfs/dbraw/zinc/09/34/80/963093480.db2.gz JCHNHQNOTLETIK-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379625991 963286398 /nfs/dbraw/zinc/28/63/98/963286398.db2.gz GECXEZAQLLIKDE-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379625991 963286409 /nfs/dbraw/zinc/28/64/09/963286409.db2.gz GECXEZAQLLIKDE-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN COc1ccccc1CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314077860 963708395 /nfs/dbraw/zinc/70/83/95/963708395.db2.gz DOKZYWNIRBXJQV-GFCCVEGCSA-N 0 2 303.318 0.773 20 0 DCADLN COc1ccccc1CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314077860 963708400 /nfs/dbraw/zinc/70/84/00/963708400.db2.gz DOKZYWNIRBXJQV-GFCCVEGCSA-N 0 2 303.318 0.773 20 0 DCADLN C[C@@H](CNC(=O)Cc1cncs1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368958112 964194483 /nfs/dbraw/zinc/19/44/83/964194483.db2.gz FROHAIIDOXUAPL-QMMMGPOBSA-N 0 2 310.383 0.146 20 0 DCADLN C[C@@H](CNC(=O)Cc1cncs1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368958112 964194488 /nfs/dbraw/zinc/19/44/88/964194488.db2.gz FROHAIIDOXUAPL-QMMMGPOBSA-N 0 2 310.383 0.146 20 0 DCADLN CCn1ncnc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001375314682 964242123 /nfs/dbraw/zinc/24/21/23/964242123.db2.gz PGDCVSRCUJWPER-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncnc1CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001375314682 964242132 /nfs/dbraw/zinc/24/21/32/964242132.db2.gz PGDCVSRCUJWPER-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001369076114 964369534 /nfs/dbraw/zinc/36/95/34/964369534.db2.gz PRGFJTTVOIRQEL-GMSGAONNSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001369076114 964369551 /nfs/dbraw/zinc/36/95/51/964369551.db2.gz PRGFJTTVOIRQEL-GMSGAONNSA-N 0 2 323.294 0.652 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001373648594 964423455 /nfs/dbraw/zinc/42/34/55/964423455.db2.gz LNPRLAYAMPHMNS-YPMHNXCESA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376035087 965114215 /nfs/dbraw/zinc/11/42/15/965114215.db2.gz BQOCLPCPSSOXAL-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc[nH]1 ZINC001369513216 965118933 /nfs/dbraw/zinc/11/89/33/965118933.db2.gz OAGVOAGGLSOTPO-UHFFFAOYSA-N 0 2 306.370 0.821 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc[nH]1 ZINC001369513216 965118938 /nfs/dbraw/zinc/11/89/38/965118938.db2.gz OAGVOAGGLSOTPO-UHFFFAOYSA-N 0 2 306.370 0.821 20 0 DCADLN CC(C)CNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C[NH+](C)C(C)C)C1 ZINC001376158822 965307082 /nfs/dbraw/zinc/30/70/82/965307082.db2.gz TUZNFAOPZXURQR-CQSZACIVSA-N 0 2 312.458 0.289 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)oc1C ZINC001374483940 965437059 /nfs/dbraw/zinc/43/70/59/965437059.db2.gz QWJISBLDMKYRKU-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)oc1C ZINC001374483940 965437069 /nfs/dbraw/zinc/43/70/69/965437069.db2.gz QWJISBLDMKYRKU-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN CC(C)(C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001370048143 965725032 /nfs/dbraw/zinc/72/50/32/965725032.db2.gz RBRBWVIABZJXJU-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(NC1CN(C(=O)c2ccccn2)C1)C(F)C(F)(F)F ZINC001374666565 965728904 /nfs/dbraw/zinc/72/89/04/965728904.db2.gz WHWZIRLARZUPCP-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)c2ccccn2)C1)[C@H](F)C(F)(F)F ZINC001374666565 965728921 /nfs/dbraw/zinc/72/89/21/965728921.db2.gz WHWZIRLARZUPCP-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)[C@@]2(F)CCOC2)C1)C(F)C(F)(F)F ZINC001374666246 965729269 /nfs/dbraw/zinc/72/92/69/965729269.db2.gz RLJRNZZWZUEWAW-OIBJUYFYSA-N 0 2 316.226 0.342 20 0 DCADLN O=C(NC1CN(C(=O)[C@@]2(F)CCOC2)C1)[C@H](F)C(F)(F)F ZINC001374666246 965729276 /nfs/dbraw/zinc/72/92/76/965729276.db2.gz RLJRNZZWZUEWAW-OIBJUYFYSA-N 0 2 316.226 0.342 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC1(O)CCC1 ZINC001430589601 1013609872 /nfs/dbraw/zinc/60/98/72/1013609872.db2.gz RZMZZMLBAPVOQE-VXNVDRBHSA-N 0 2 314.279 0.813 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CC1(O)CCC1 ZINC001430589601 1013609875 /nfs/dbraw/zinc/60/98/75/1013609875.db2.gz RZMZZMLBAPVOQE-VXNVDRBHSA-N 0 2 314.279 0.813 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001416902948 966123808 /nfs/dbraw/zinc/12/38/08/966123808.db2.gz WEJRBFMAKTVTDF-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001416902948 966123814 /nfs/dbraw/zinc/12/38/14/966123814.db2.gz WEJRBFMAKTVTDF-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001370509680 966197463 /nfs/dbraw/zinc/19/74/63/966197463.db2.gz BMSMSDHCCWEFKB-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001370509680 966197466 /nfs/dbraw/zinc/19/74/66/966197466.db2.gz BMSMSDHCCWEFKB-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN CC(C)CCC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370643644 966321890 /nfs/dbraw/zinc/32/18/90/966321890.db2.gz BCCVHEUVLYRTAZ-WCBMZHEXSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001370643644 966321898 /nfs/dbraw/zinc/32/18/98/966321898.db2.gz BCCVHEUVLYRTAZ-WCBMZHEXSA-N 0 2 316.295 0.916 20 0 DCADLN CC[C@H](SC)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508019 966478518 /nfs/dbraw/zinc/47/85/18/966478518.db2.gz MIADKQWZAGGTFY-IUCAKERBSA-N 0 2 301.416 0.588 20 0 DCADLN CC[C@H](SC)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508019 966478525 /nfs/dbraw/zinc/47/85/25/966478525.db2.gz MIADKQWZAGGTFY-IUCAKERBSA-N 0 2 301.416 0.588 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381773405 966672858 /nfs/dbraw/zinc/67/28/58/966672858.db2.gz QQEIAUQSZPNUDL-BDAKNGLRSA-N 0 2 313.427 0.446 20 0 DCADLN COCCN(CCNC(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001371137590 966749962 /nfs/dbraw/zinc/74/99/62/966749962.db2.gz OFOFTUQDRROQOF-ZCFIWIBFSA-N 0 2 324.221 0.743 20 0 DCADLN COCCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(F)F ZINC001371137590 966749969 /nfs/dbraw/zinc/74/99/69/966749969.db2.gz OFOFTUQDRROQOF-ZCFIWIBFSA-N 0 2 324.221 0.743 20 0 DCADLN CC1(C)[C@H](O)C[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001448022074 1013716244 /nfs/dbraw/zinc/71/62/44/1013716244.db2.gz DERAVQAICVUEGE-WDEREUQCSA-N 0 2 318.333 0.155 20 0 DCADLN Cc1ocnc1C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001382489841 967439953 /nfs/dbraw/zinc/43/99/53/967439953.db2.gz KWBBRMCTOOTQTJ-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN Cc1ocnc1C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001382489841 967439959 /nfs/dbraw/zinc/43/99/59/967439959.db2.gz KWBBRMCTOOTQTJ-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H](C)[C@@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001372406006 967966415 /nfs/dbraw/zinc/96/64/15/967966415.db2.gz OEELKTQYEXWOTC-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H](C)[C@@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001372406006 967966426 /nfs/dbraw/zinc/96/64/26/967966426.db2.gz OEELKTQYEXWOTC-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN COCCC(=O)NC[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001430946883 1013809452 /nfs/dbraw/zinc/80/94/52/1013809452.db2.gz PTIZIZGXHCZRIS-APPZFPTMSA-N 0 2 302.268 0.792 20 0 DCADLN COCCC(=O)NC[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001430946883 1013809461 /nfs/dbraw/zinc/80/94/61/1013809461.db2.gz PTIZIZGXHCZRIS-APPZFPTMSA-N 0 2 302.268 0.792 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2C[NH+](CC(=O)NC(C)(C)C)C2)c1[O-] ZINC001373501818 969163388 /nfs/dbraw/zinc/16/33/88/969163388.db2.gz SPWVJGTXOKMBAE-UHFFFAOYSA-N 0 2 323.397 0.000 20 0 DCADLN C[C@H](NC(=O)CCc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001448282471 1013857952 /nfs/dbraw/zinc/85/79/52/1013857952.db2.gz XAQOVNLSCHUSTR-JTQLQIEISA-N 0 2 319.365 0.673 20 0 DCADLN Cn1cnnc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001373592630 969274131 /nfs/dbraw/zinc/27/41/31/969274131.db2.gz VEIMAFWLZAYNKS-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cnnc1CN1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001373592630 969274137 /nfs/dbraw/zinc/27/41/37/969274137.db2.gz VEIMAFWLZAYNKS-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)c2cnc(C(=O)[O-])cn2)CC1 ZINC001609828276 970548510 /nfs/dbraw/zinc/54/85/10/970548510.db2.gz QLLCPZBKSFGKIJ-UHFFFAOYSA-N 0 2 322.365 0.358 20 0 DCADLN Cc1nn(C)c(C)c1CCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000320542934 970676577 /nfs/dbraw/zinc/67/65/77/970676577.db2.gz BWCKPVCFZQLQCB-CYBMUJFWSA-N 0 2 319.365 0.505 20 0 DCADLN Cc1nn(C)c(C)c1CCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000320542934 970676582 /nfs/dbraw/zinc/67/65/82/970676582.db2.gz BWCKPVCFZQLQCB-CYBMUJFWSA-N 0 2 319.365 0.505 20 0 DCADLN Cc1cnn(CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F)c1 ZINC001448920486 1014096842 /nfs/dbraw/zinc/09/68/42/1014096842.db2.gz LVBQZNCKXICHNQ-JTQLQIEISA-N 0 2 324.278 0.667 20 0 DCADLN Cc1cnn(CC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001448920486 1014096845 /nfs/dbraw/zinc/09/68/45/1014096845.db2.gz LVBQZNCKXICHNQ-JTQLQIEISA-N 0 2 324.278 0.667 20 0 DCADLN C[C@@H]1C[N@H+](CCOC(=O)C2(CC(=O)[O-])CCOCC2)CCO1 ZINC001603461587 973304601 /nfs/dbraw/zinc/30/46/01/973304601.db2.gz RNIMDBSTWHQVBB-GFCCVEGCSA-N 0 2 315.366 0.522 20 0 DCADLN C[C@@H]1C[N@@H+](CCOC(=O)C2(CC(=O)[O-])CCOCC2)CCO1 ZINC001603461587 973304613 /nfs/dbraw/zinc/30/46/13/973304613.db2.gz RNIMDBSTWHQVBB-GFCCVEGCSA-N 0 2 315.366 0.522 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)C1(C(=O)[O-])CCC1)C2 ZINC001605417110 973430656 /nfs/dbraw/zinc/43/06/56/973430656.db2.gz VIFOXCJFKCKSAZ-NSHDSACASA-N 0 2 321.377 0.412 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCOc3ccccc3[C@@H]2CO)C1=O ZINC001606231239 973492347 /nfs/dbraw/zinc/49/23/47/973492347.db2.gz GMTPYLMLMNTMTP-STQMWFEESA-N 0 2 320.345 0.100 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCOc3ccccc3[C@@H]2CO)C1=O ZINC001606231239 973492354 /nfs/dbraw/zinc/49/23/54/973492354.db2.gz GMTPYLMLMNTMTP-STQMWFEESA-N 0 2 320.345 0.100 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)c2cccnc2F)CC1 ZINC000401941699 973548822 /nfs/dbraw/zinc/54/88/22/973548822.db2.gz GQOOELSOZFEHGR-UHFFFAOYSA-N 0 2 317.342 0.002 20 0 DCADLN Cn1cc([C@@H]2C[C@H](C(=O)[O-])CN(c3cc(N)nc[nH+]3)C2)cn1 ZINC001605487939 973611768 /nfs/dbraw/zinc/61/17/68/973611768.db2.gz BBCRAKUTDQENMW-ZJUUUORDSA-N 0 2 302.338 0.487 20 0 DCADLN O=C([O-])c1cc(-n2cc(CN3CCSCC3)nn2)cc[nH+]1 ZINC001606459882 973913841 /nfs/dbraw/zinc/91/38/41/973913841.db2.gz MOOQBOPCBGQQMH-UHFFFAOYSA-N 0 2 305.363 0.909 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1CCCOC1 ZINC001528249006 1014239392 /nfs/dbraw/zinc/23/93/92/1014239392.db2.gz QHTRYKCAHAEMMS-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@H]1CCCOC1 ZINC001528249006 1014239399 /nfs/dbraw/zinc/23/93/99/1014239399.db2.gz QHTRYKCAHAEMMS-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)c2cc(C(=O)[O-])no2)CC1 ZINC001591724718 975671982 /nfs/dbraw/zinc/67/19/82/975671982.db2.gz GYOVVJBCENROMN-UHFFFAOYSA-N 0 2 311.338 0.556 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCCc2c(cnn2C)C1)C(=O)[O-] ZINC001591870086 976199981 /nfs/dbraw/zinc/19/99/81/976199981.db2.gz IOQGOKCBDRJWMY-AWEZNQCLSA-N 0 2 308.382 0.394 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCCc2c(cnn2C)C1)C(=O)[O-] ZINC001591870086 976199984 /nfs/dbraw/zinc/19/99/84/976199984.db2.gz IOQGOKCBDRJWMY-AWEZNQCLSA-N 0 2 308.382 0.394 20 0 DCADLN CC(C)[C@H]1CN(S(=O)(=O)c2n[nH]cc2C(=O)[O-])CC[N@@H+]1C ZINC001591911311 976328271 /nfs/dbraw/zinc/32/82/71/976328271.db2.gz ULDJAIZQGFRPDI-SNVBAGLBSA-N 0 2 316.383 0.069 20 0 DCADLN CC(C)[C@H]1CN(S(=O)(=O)c2n[nH]cc2C(=O)[O-])CC[N@H+]1C ZINC001591911311 976328278 /nfs/dbraw/zinc/32/82/78/976328278.db2.gz ULDJAIZQGFRPDI-SNVBAGLBSA-N 0 2 316.383 0.069 20 0 DCADLN CC(C)c1[nH]c(C[N@H+](C)CCS(N)(=O)=O)cc1C(=O)[O-] ZINC001591911473 976331146 /nfs/dbraw/zinc/33/11/46/976331146.db2.gz XDNPYWXGZNKZKV-UHFFFAOYSA-N 0 2 303.384 0.557 20 0 DCADLN CC(C)c1[nH]c(C[N@@H+](C)CCS(N)(=O)=O)cc1C(=O)[O-] ZINC001591911473 976331157 /nfs/dbraw/zinc/33/11/57/976331157.db2.gz XDNPYWXGZNKZKV-UHFFFAOYSA-N 0 2 303.384 0.557 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@H+](CN2C(=O)c3ccccc3C2=O)C1 ZINC000703739136 977179194 /nfs/dbraw/zinc/17/91/94/977179194.db2.gz MDFLAPTUXHMXAR-OAHLLOKOSA-N 0 2 304.302 0.416 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@@H+](CN2C(=O)c3ccccc3C2=O)C1 ZINC000703739136 977179201 /nfs/dbraw/zinc/17/92/01/977179201.db2.gz MDFLAPTUXHMXAR-OAHLLOKOSA-N 0 2 304.302 0.416 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(C(=O)c2csc(CN)n2)CC1 ZINC001592418934 978028688 /nfs/dbraw/zinc/02/86/88/978028688.db2.gz YXIGZSCRATVUAM-SECBINFHSA-N 0 2 312.395 0.223 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(C(=O)c2csc(CN)n2)CC1 ZINC001592418935 978028867 /nfs/dbraw/zinc/02/88/67/978028867.db2.gz YXIGZSCRATVUAM-VIFPVBQESA-N 0 2 312.395 0.223 20 0 DCADLN CCN(CCNC(=O)c1cn[nH]n1)C(=O)C(F)C(F)(F)F ZINC001449436785 1014478435 /nfs/dbraw/zinc/47/84/35/1014478435.db2.gz USBFEVXJHRXCCX-SSDOTTSWSA-N 0 2 311.239 0.283 20 0 DCADLN C[C@H](CNS(=O)(=O)c1c[nH]c(C(=O)[O-])c1)Cn1cc[nH+]c1 ZINC001592716117 979215758 /nfs/dbraw/zinc/21/57/58/979215758.db2.gz PZDORTDRRLECAV-SECBINFHSA-N 0 2 312.351 0.524 20 0 DCADLN C[C@H](CNS(=O)(=O)c1cncc(C(=O)[O-])c1)Cn1cc[nH+]c1 ZINC001592721814 979221114 /nfs/dbraw/zinc/22/11/14/979221114.db2.gz GFBDHDYLYNRANJ-SNVBAGLBSA-N 0 2 324.362 0.591 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001449835497 1014715705 /nfs/dbraw/zinc/71/57/05/1014715705.db2.gz ZROVRZQZJLNGCD-WPRPVWTQSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001449835497 1014715713 /nfs/dbraw/zinc/71/57/13/1014715713.db2.gz ZROVRZQZJLNGCD-WPRPVWTQSA-N 0 2 324.278 0.747 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1cnc(C(=O)[O-])cn1 ZINC001595500941 981275411 /nfs/dbraw/zinc/27/54/11/981275411.db2.gz WGVNNVSXROQEKP-SNVBAGLBSA-N 0 2 308.338 0.015 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)c1cnc(C(=O)[O-])cn1 ZINC001595500941 981275412 /nfs/dbraw/zinc/27/54/12/981275412.db2.gz WGVNNVSXROQEKP-SNVBAGLBSA-N 0 2 308.338 0.015 20 0 DCADLN CO[C@@H]1C[C@@H]1C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001550606658 1014773805 /nfs/dbraw/zinc/77/38/05/1014773805.db2.gz AUPRUCRRPCCQOU-QJPTWQEYSA-N 0 2 302.334 0.945 20 0 DCADLN CSCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001524213540 1014893816 /nfs/dbraw/zinc/89/38/16/1014893816.db2.gz WWBSDXFPXAUAFE-LLVKDONJSA-N 0 2 310.423 0.731 20 0 DCADLN CCC(=O)N(C)[C@@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001450100419 1014908156 /nfs/dbraw/zinc/90/81/56/1014908156.db2.gz ABAZOKSMKQHEQL-JTQLQIEISA-N 0 2 318.381 0.797 20 0 DCADLN CCCC[N@@H+]1CCOC[C@@H]1C(=O)N1CC[C@@H]2[C@H](C1)[C@H]2C(=O)[O-] ZINC001550924616 1014914501 /nfs/dbraw/zinc/91/45/01/1014914501.db2.gz NRIWRKNGJRIBSI-RQJABVFESA-N 0 2 310.394 0.666 20 0 DCADLN CCCC[N@H+]1CCOC[C@@H]1C(=O)N1CC[C@@H]2[C@H](C1)[C@H]2C(=O)[O-] ZINC001550924616 1014914508 /nfs/dbraw/zinc/91/45/08/1014914508.db2.gz NRIWRKNGJRIBSI-RQJABVFESA-N 0 2 310.394 0.666 20 0 DCADLN CC[N@H+](CC(=O)N[C@H](CO)C(=O)[O-])Cc1ccccc1Cl ZINC001596204622 983591973 /nfs/dbraw/zinc/59/19/73/983591973.db2.gz WUMOLJRUWHFIPG-GFCCVEGCSA-N 0 2 314.769 0.724 20 0 DCADLN CC[N@@H+](CC(=O)N[C@H](CO)C(=O)[O-])Cc1ccccc1Cl ZINC001596204622 983591974 /nfs/dbraw/zinc/59/19/74/983591974.db2.gz WUMOLJRUWHFIPG-GFCCVEGCSA-N 0 2 314.769 0.724 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)NC1(C(=O)OC)CCCCC1 ZINC001596222157 983613360 /nfs/dbraw/zinc/61/33/60/983613360.db2.gz IKHGCPZYQDMSDW-UHFFFAOYSA-N 0 2 300.355 0.385 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)NC1(C(=O)OC)CCCCC1 ZINC001596222157 983613362 /nfs/dbraw/zinc/61/33/62/983613362.db2.gz IKHGCPZYQDMSDW-UHFFFAOYSA-N 0 2 300.355 0.385 20 0 DCADLN CCN(CC)C(=O)C[N@@H+]1C[C@@H](C(=O)OC)CC[C@@H]1C(=O)[O-] ZINC001596247884 983649428 /nfs/dbraw/zinc/64/94/28/983649428.db2.gz WOVWXYOTLICYJR-WDEREUQCSA-N 0 2 300.355 0.193 20 0 DCADLN CCN(CC)C(=O)C[N@H+]1C[C@@H](C(=O)OC)CC[C@@H]1C(=O)[O-] ZINC001596247884 983649429 /nfs/dbraw/zinc/64/94/29/983649429.db2.gz WOVWXYOTLICYJR-WDEREUQCSA-N 0 2 300.355 0.193 20 0 DCADLN CCn1ccc(C[N@H+](CC)CCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001596332680 983771034 /nfs/dbraw/zinc/77/10/34/983771034.db2.gz KWNXMNARDIVSJC-OLZOCXBDSA-N 0 2 308.382 0.562 20 0 DCADLN CCn1ccc(C[N@@H+](CC)CCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001596332680 983771037 /nfs/dbraw/zinc/77/10/37/983771037.db2.gz KWNXMNARDIVSJC-OLZOCXBDSA-N 0 2 308.382 0.562 20 0 DCADLN CCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1ncc(C(=O)[O-])cn1 ZINC001596334665 983777753 /nfs/dbraw/zinc/77/77/53/983777753.db2.gz RAUHNKXZXRMALA-UHFFFAOYSA-N 0 2 318.337 0.083 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001525052254 1015094066 /nfs/dbraw/zinc/09/40/66/1015094066.db2.gz BASYCBZRDKHRQQ-BWZBUEFSSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001525052254 1015094072 /nfs/dbraw/zinc/09/40/72/1015094072.db2.gz BASYCBZRDKHRQQ-BWZBUEFSSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)nn1C ZINC001450378845 1015102493 /nfs/dbraw/zinc/10/24/93/1015102493.db2.gz PSWPAVHKQMAJPM-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)nn1C ZINC001450378845 1015102500 /nfs/dbraw/zinc/10/25/00/1015102500.db2.gz PSWPAVHKQMAJPM-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001383855272 985143463 /nfs/dbraw/zinc/14/34/63/985143463.db2.gz SBAGYOUBEOSGEV-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1cccnc1 ZINC001383855272 985143468 /nfs/dbraw/zinc/14/34/68/985143468.db2.gz SBAGYOUBEOSGEV-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN C[C@H]1CN(C(=O)NCc2ccn3cc[nH+]c3c2)C[C@@H](C(=O)[O-])O1 ZINC001599921828 985144819 /nfs/dbraw/zinc/14/48/19/985144819.db2.gz VBJSSDVBDZPFOE-JQWIXIFHSA-N 0 2 318.333 0.718 20 0 DCADLN C[C@H]1C[N@H+](Cc2ccc3c(c2)oc(=O)n3C)C[C@@H](C(=O)[O-])O1 ZINC001599929370 985279243 /nfs/dbraw/zinc/27/92/43/985279243.db2.gz NVQRSSIEYVPOTB-ZANVPECISA-N 0 2 306.318 0.805 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccc3c(c2)oc(=O)n3C)C[C@@H](C(=O)[O-])O1 ZINC001599929370 985279247 /nfs/dbraw/zinc/27/92/47/985279247.db2.gz NVQRSSIEYVPOTB-ZANVPECISA-N 0 2 306.318 0.805 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC001525252074 1015114566 /nfs/dbraw/zinc/11/45/66/1015114566.db2.gz JTYGCRKIYWCOQY-BKPPORCPSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cnccn1 ZINC001525252074 1015114570 /nfs/dbraw/zinc/11/45/70/1015114570.db2.gz JTYGCRKIYWCOQY-BKPPORCPSA-N 0 2 320.246 0.754 20 0 DCADLN C[C@@H]1CN(C(=O)c2csc(CN)n2)CC[N@@H+]1CCC(=O)[O-] ZINC001594495875 985636538 /nfs/dbraw/zinc/63/65/38/985636538.db2.gz FTFQRCAMAKPQGB-SECBINFHSA-N 0 2 312.395 0.223 20 0 DCADLN C[C@@H]1CN(C(=O)c2csc(CN)n2)CC[N@H+]1CCC(=O)[O-] ZINC001594495875 985636541 /nfs/dbraw/zinc/63/65/41/985636541.db2.gz FTFQRCAMAKPQGB-SECBINFHSA-N 0 2 312.395 0.223 20 0 DCADLN CCOC[C@H](C(=O)[O-])N(C)C(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC001596872013 985695597 /nfs/dbraw/zinc/69/55/97/985695597.db2.gz CIGGXZKDLOPEGA-KIWPFMIBSA-N 0 2 314.382 0.213 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@H+](C)Cc1cc(=O)n2cccc(C)c2n1 ZINC001596885148 985784813 /nfs/dbraw/zinc/78/48/13/985784813.db2.gz UFWHKMNTPFUQAC-CYBMUJFWSA-N 0 2 319.361 0.924 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@@H+](C)Cc1cc(=O)n2cccc(C)c2n1 ZINC001596885148 985784825 /nfs/dbraw/zinc/78/48/25/985784825.db2.gz UFWHKMNTPFUQAC-CYBMUJFWSA-N 0 2 319.361 0.924 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)Cc2cnc(C)o2)n[nH]1 ZINC001551661850 1015168016 /nfs/dbraw/zinc/16/80/16/1015168016.db2.gz UHDNSCVGRQUWFG-STQMWFEESA-N 0 2 319.365 0.983 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)Cc2conc2C)n[nH]1 ZINC001551663579 1015168089 /nfs/dbraw/zinc/16/80/89/1015168089.db2.gz HSACCPGBIINKFF-CHWSQXEVSA-N 0 2 319.365 0.983 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H](C)n2cccn2)n[nH]1 ZINC001551662514 1015169317 /nfs/dbraw/zinc/16/93/17/1015169317.db2.gz FKTMQAGEOVJOTF-RAIGVLPGSA-N 0 2 318.381 0.902 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2cc(C)ncn2)n[nH]1 ZINC001551679693 1015177109 /nfs/dbraw/zinc/17/71/09/1015177109.db2.gz ZLPKOVJRNSBVBZ-YPMHNXCESA-N 0 2 316.365 0.856 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)c2cc(C(=O)[O-])ccn2)CC1 ZINC001596929070 986009261 /nfs/dbraw/zinc/00/92/61/986009261.db2.gz LJHWSJGXTMPLSM-UHFFFAOYSA-N 0 2 307.350 0.574 20 0 DCADLN COCC(=O)N1CCC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001525933858 1015180728 /nfs/dbraw/zinc/18/07/28/1015180728.db2.gz ILTUEEFFHXQQML-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CCC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001525933858 1015180734 /nfs/dbraw/zinc/18/07/34/1015180734.db2.gz ILTUEEFFHXQQML-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN COC[C@@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001385057384 986052501 /nfs/dbraw/zinc/05/25/01/986052501.db2.gz CRUBLSKVJYXBLS-BDAKNGLRSA-N 0 2 314.279 0.840 20 0 DCADLN COC[C@@H](C)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001385057384 986052513 /nfs/dbraw/zinc/05/25/13/986052513.db2.gz CRUBLSKVJYXBLS-BDAKNGLRSA-N 0 2 314.279 0.840 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1cccc(C(=O)[O-])n1 ZINC001594528391 986097598 /nfs/dbraw/zinc/09/75/98/986097598.db2.gz UKFMXBKLWNWANK-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1cccc(C(=O)[O-])n1 ZINC001594528391 986097612 /nfs/dbraw/zinc/09/76/12/986097612.db2.gz UKFMXBKLWNWANK-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN CC(=O)c1cncc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001589318410 986121630 /nfs/dbraw/zinc/12/16/30/986121630.db2.gz BMLXSGZWABMCQT-LBPRGKRZSA-N 0 2 302.290 0.433 20 0 DCADLN CC(=O)c1cncc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001589318410 986121636 /nfs/dbraw/zinc/12/16/36/986121636.db2.gz BMLXSGZWABMCQT-LBPRGKRZSA-N 0 2 302.290 0.433 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385199662 986153898 /nfs/dbraw/zinc/15/38/98/986153898.db2.gz AFURRBUKLGUWNP-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385199662 986153904 /nfs/dbraw/zinc/15/39/04/986153904.db2.gz AFURRBUKLGUWNP-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN C[C@@H](C(=O)Nc1cccnc1Cl)[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001589443488 986789235 /nfs/dbraw/zinc/78/92/35/986789235.db2.gz BMNGHFDSPVBINK-ISVAXAHUSA-N 0 2 313.741 0.583 20 0 DCADLN C[C@@H](C(=O)Nc1cccnc1Cl)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001589443488 986789244 /nfs/dbraw/zinc/78/92/44/986789244.db2.gz BMNGHFDSPVBINK-ISVAXAHUSA-N 0 2 313.741 0.583 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cnco3)C[C@H]21)C(F)C(F)(F)F ZINC001386099466 986827600 /nfs/dbraw/zinc/82/76/00/986827600.db2.gz FHYLLAYKVWUXGY-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cnco3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001386099466 986827616 /nfs/dbraw/zinc/82/76/16/986827616.db2.gz FHYLLAYKVWUXGY-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN C[C@@H](CNC(=O)C1=COCCO1)NC(=O)C(F)C(F)(F)F ZINC001386166412 986906907 /nfs/dbraw/zinc/90/69/07/986906907.db2.gz ZSXXXNNBAXWSDL-POYBYMJQSA-N 0 2 314.235 0.396 20 0 DCADLN C[C@@H](CNC(=O)C1=COCCO1)NC(=O)[C@@H](F)C(F)(F)F ZINC001386166412 986906911 /nfs/dbraw/zinc/90/69/11/986906911.db2.gz ZSXXXNNBAXWSDL-POYBYMJQSA-N 0 2 314.235 0.396 20 0 DCADLN CCC(=O)NCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001526456533 1015279981 /nfs/dbraw/zinc/27/99/81/1015279981.db2.gz SDKFTGYAKZDNNV-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)NCC(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001526456533 1015279988 /nfs/dbraw/zinc/27/99/88/1015279988.db2.gz SDKFTGYAKZDNNV-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN C[C@@H](C(=O)OC(C)(C)C)[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001589538853 987231716 /nfs/dbraw/zinc/23/17/16/987231716.db2.gz AFZFMSZCODGYHU-VHSXEESVSA-N 0 2 310.354 0.953 20 0 DCADLN C[C@@H](C(=O)OC(C)(C)C)[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001589538853 987231721 /nfs/dbraw/zinc/23/17/21/987231721.db2.gz AFZFMSZCODGYHU-VHSXEESVSA-N 0 2 310.354 0.953 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C)C(=O)C1=C(C)OCCC1 ZINC001387026723 987575747 /nfs/dbraw/zinc/57/57/47/987575747.db2.gz BJQMQOCMPUUFTE-UHFFFAOYSA-N 0 2 320.393 0.920 20 0 DCADLN COCC(=O)N1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001387199605 987701390 /nfs/dbraw/zinc/70/13/90/987701390.db2.gz YHRZOEHLFZIAIE-APPZFPTMSA-N 0 2 300.252 0.498 20 0 DCADLN COCC(=O)N1CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387199605 987701395 /nfs/dbraw/zinc/70/13/95/987701395.db2.gz YHRZOEHLFZIAIE-APPZFPTMSA-N 0 2 300.252 0.498 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001387488450 987866781 /nfs/dbraw/zinc/86/67/81/987866781.db2.gz KYNOQLUEPBFYOD-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001387488450 987866784 /nfs/dbraw/zinc/86/67/84/987866784.db2.gz KYNOQLUEPBFYOD-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](C(=O)N[C@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C)[NH+](C)C ZINC001387552322 987897983 /nfs/dbraw/zinc/89/79/83/987897983.db2.gz BBCSWHNOMCRPLQ-RISCZKNCSA-N 0 2 323.441 0.612 20 0 DCADLN CC(=O)N(C)CCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001387588868 987915193 /nfs/dbraw/zinc/91/51/93/987915193.db2.gz CKQNOGFVWDQEKO-JTQLQIEISA-N 0 2 313.295 0.509 20 0 DCADLN CCC[N@@H+](C)CC(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001388367770 988235524 /nfs/dbraw/zinc/23/55/24/988235524.db2.gz HMHYZJBGUADYRZ-CYBMUJFWSA-N 0 2 321.425 0.401 20 0 DCADLN CCn1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001389235079 989012872 /nfs/dbraw/zinc/01/28/72/989012872.db2.gz QYVCRJTTXOWQAR-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1cc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001389235079 989012878 /nfs/dbraw/zinc/01/28/78/989012878.db2.gz QYVCRJTTXOWQAR-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN Cc1ncn(C)c1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001389399143 989198975 /nfs/dbraw/zinc/19/89/75/989198975.db2.gz MJAVBMWWTPHUDL-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncn(C)c1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001389399143 989198980 /nfs/dbraw/zinc/19/89/80/989198980.db2.gz MJAVBMWWTPHUDL-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN CCn1nc(C2CC2)cc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001597945937 989929964 /nfs/dbraw/zinc/92/99/64/989929964.db2.gz FWYHYGXSIGUXRC-GFCCVEGCSA-N 0 2 317.349 0.929 20 0 DCADLN CCn1nc(C2CC2)cc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001597945937 989929969 /nfs/dbraw/zinc/92/99/69/989929969.db2.gz FWYHYGXSIGUXRC-GFCCVEGCSA-N 0 2 317.349 0.929 20 0 DCADLN CC(C)(C)c1noc(C[NH2+]CCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001590583357 990229101 /nfs/dbraw/zinc/22/91/01/990229101.db2.gz KXSQLGVYTOPOTP-BDAKNGLRSA-N 0 2 310.354 0.294 20 0 DCADLN COC1(CC(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001391044207 990538131 /nfs/dbraw/zinc/53/81/31/990538131.db2.gz KGHBOSVXLSYNDD-NSHDSACASA-N 0 2 323.397 0.550 20 0 DCADLN COC1(CC(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001391044207 990538136 /nfs/dbraw/zinc/53/81/36/990538136.db2.gz KGHBOSVXLSYNDD-NSHDSACASA-N 0 2 323.397 0.550 20 0 DCADLN CN(C)C(=O)CN1CC[NH+](Cc2csc(C(=O)[O-])c2)CC1 ZINC001598129854 990565173 /nfs/dbraw/zinc/56/51/73/990565173.db2.gz BKPGMTUSMSHIQG-UHFFFAOYSA-N 0 2 311.407 0.652 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)CNC(=O)C(F)C(F)(F)F ZINC001391204240 990665518 /nfs/dbraw/zinc/66/55/18/990665518.db2.gz TWDVIQGRFLDRDB-APPZFPTMSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)CNC(=O)[C@H](F)C(F)(F)F ZINC001391204240 990665520 /nfs/dbraw/zinc/66/55/20/990665520.db2.gz TWDVIQGRFLDRDB-APPZFPTMSA-N 0 2 324.278 0.803 20 0 DCADLN CC[C@@H](CNC(=O)Cc1nnc(C)[nH]1)[NH2+][C@@H](C)c1nnc(C)[nH]1 ZINC001391686024 991094909 /nfs/dbraw/zinc/09/49/09/991094909.db2.gz UFXSBGNTOFPZTM-KWQFWETISA-N 0 2 320.401 0.328 20 0 DCADLN CC(C)[C@H](CNc1ccc(C(=O)[O-])nn1)N1CC[NH+](C)CC1 ZINC001590987594 991172695 /nfs/dbraw/zinc/17/26/95/991172695.db2.gz CXSIVGRQJYSYTM-ZDUSSCGKSA-N 0 2 307.398 0.859 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001391782750 991180277 /nfs/dbraw/zinc/18/02/77/991180277.db2.gz ZOUQETYJEAXVTP-SNVBAGLBSA-N 0 2 324.278 0.769 20 0 DCADLN CCn1ncnc1C[NH2+]C[C@H](C)N(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001392070767 991463547 /nfs/dbraw/zinc/46/35/47/991463547.db2.gz XZTYUGZXPDZCPO-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001392302783 991722135 /nfs/dbraw/zinc/72/21/35/991722135.db2.gz GLCPTMOQAJLFAG-SNVBAGLBSA-N 0 2 307.354 0.797 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001392302783 991722143 /nfs/dbraw/zinc/72/21/43/991722143.db2.gz GLCPTMOQAJLFAG-SNVBAGLBSA-N 0 2 307.354 0.797 20 0 DCADLN CN(CCCNC(=O)Cn1cc[nH+]c1)c1ccnc(C(=O)[O-])n1 ZINC001598480474 992141444 /nfs/dbraw/zinc/14/14/44/992141444.db2.gz NEAVSZORLQCMMX-UHFFFAOYSA-N 0 2 318.337 0.014 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cccc(F)c1 ZINC001392627085 992173943 /nfs/dbraw/zinc/17/39/43/992173943.db2.gz CERNHJSLAZZONR-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cccc(F)c1 ZINC001392627085 992173946 /nfs/dbraw/zinc/17/39/46/992173946.db2.gz CERNHJSLAZZONR-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN CN(CC[N@H+](C)CN1C[C@@H](C(=O)[O-])OC1=O)c1ccccc1 ZINC001598484792 992191592 /nfs/dbraw/zinc/19/15/92/992191592.db2.gz IOQOTJKCUPAQDB-ZDUSSCGKSA-N 0 2 307.350 0.918 20 0 DCADLN CN(CC[N@@H+](C)CN1C[C@@H](C(=O)[O-])OC1=O)c1ccccc1 ZINC001598484792 992191596 /nfs/dbraw/zinc/19/15/96/992191596.db2.gz IOQOTJKCUPAQDB-ZDUSSCGKSA-N 0 2 307.350 0.918 20 0 DCADLN CN(CC[N@H+](C)CC(=O)NC(C)(C)C)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001598486143 992209135 /nfs/dbraw/zinc/20/91/35/992209135.db2.gz KCMAWNVSNZUMGK-MNOVXSKESA-N 0 2 313.398 0.012 20 0 DCADLN CN(CC[N@@H+](C)CC(=O)NC(C)(C)C)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001598486143 992209143 /nfs/dbraw/zinc/20/91/43/992209143.db2.gz KCMAWNVSNZUMGK-MNOVXSKESA-N 0 2 313.398 0.012 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)CCCn1ccc(C(=O)[O-])n1 ZINC001598489148 992257447 /nfs/dbraw/zinc/25/74/47/992257447.db2.gz VZPIFQXMKKIVSE-UHFFFAOYSA-N 0 2 324.381 0.152 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1coc(C2CC2)n1 ZINC001392706567 992295479 /nfs/dbraw/zinc/29/54/79/992295479.db2.gz VHIWUGHSJDWGOP-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1coc(C2CC2)n1 ZINC001392706567 992295485 /nfs/dbraw/zinc/29/54/85/992295485.db2.gz VHIWUGHSJDWGOP-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2cccc(C(=O)[O-])n2)CC1 ZINC001599776936 992314755 /nfs/dbraw/zinc/31/47/55/992314755.db2.gz NPDUFDHIGHFFAU-OAQYLSRUSA-N 0 2 311.407 0.276 20 0 DCADLN CN(CC[NH2+]Cc1nnc(C(C)(C)C)o1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001598495920 992360915 /nfs/dbraw/zinc/36/09/15/992360915.db2.gz UCYRLIMKQAHOTF-VHSXEESVSA-N 0 2 324.381 0.636 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)o1 ZINC001392891640 992503216 /nfs/dbraw/zinc/50/32/16/992503216.db2.gz BYIHXEOSIAYIEN-MRVPVSSYSA-N 0 2 320.353 0.728 20 0 DCADLN C[N@H+](Cc1csnn1)C1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001598536812 992849420 /nfs/dbraw/zinc/84/94/20/992849420.db2.gz NBNVWMJGTWZEEU-NEPJUHHUSA-N 0 2 324.406 0.682 20 0 DCADLN C[N@@H+](Cc1csnn1)C1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001598536812 992849427 /nfs/dbraw/zinc/84/94/27/992849427.db2.gz NBNVWMJGTWZEEU-NEPJUHHUSA-N 0 2 324.406 0.682 20 0 DCADLN Cc1nccn1CC(=O)NCC1(O)C[NH+](CCC2CCCC2)C1 ZINC001393359196 992916346 /nfs/dbraw/zinc/91/63/46/992916346.db2.gz JDRIPRVFAATCRY-UHFFFAOYSA-N 0 2 320.437 0.935 20 0 DCADLN C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(F)cc1C(=O)[O-] ZINC001598588972 993424630 /nfs/dbraw/zinc/42/46/30/993424630.db2.gz NYHUSHFLDVZIPJ-SECBINFHSA-N 0 2 302.327 0.506 20 0 DCADLN C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(F)cc1C(=O)[O-] ZINC001598588972 993424631 /nfs/dbraw/zinc/42/46/31/993424631.db2.gz NYHUSHFLDVZIPJ-SECBINFHSA-N 0 2 302.327 0.506 20 0 DCADLN C[C@@H](CNC(=O)C1C=CC=CC=C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394069888 993453953 /nfs/dbraw/zinc/45/39/53/993453953.db2.gz OOWJRSKTTZVIRM-NSHDSACASA-N 0 2 303.366 0.745 20 0 DCADLN C[C@@H](CNC(=O)C1C=CC=CC=C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394069888 993453955 /nfs/dbraw/zinc/45/39/55/993453955.db2.gz OOWJRSKTTZVIRM-NSHDSACASA-N 0 2 303.366 0.745 20 0 DCADLN C[C@@H](NC(=O)C1(CCF)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394242885 993563900 /nfs/dbraw/zinc/56/39/00/993563900.db2.gz KSJMYOMQYBSGCO-SECBINFHSA-N 0 2 311.361 0.587 20 0 DCADLN O=C(NC[C@H](CO)NCc1nncs1)C(F)C(F)(F)F ZINC001394590300 993879571 /nfs/dbraw/zinc/87/95/71/993879571.db2.gz MLWFCCRSKLCKNL-VDTYLAMSSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@H](CO)NCc1nncs1)[C@H](F)C(F)(F)F ZINC001394590300 993879577 /nfs/dbraw/zinc/87/95/77/993879577.db2.gz MLWFCCRSKLCKNL-VDTYLAMSSA-N 0 2 316.280 0.005 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@](C)(CC(=O)[O-])c2ccncc2)C1 ZINC001598626025 994094552 /nfs/dbraw/zinc/09/45/52/994094552.db2.gz RABPATPCFXZIQC-IUODEOHRSA-N 0 2 307.350 0.218 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N[C@](C)(CC(=O)[O-])c2ccncc2)C1 ZINC001598626025 994094563 /nfs/dbraw/zinc/09/45/63/994094563.db2.gz RABPATPCFXZIQC-IUODEOHRSA-N 0 2 307.350 0.218 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccn(C)n1 ZINC001395099722 994431059 /nfs/dbraw/zinc/43/10/59/994431059.db2.gz RIIAZYAFAWUUSO-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ccn(C)n1 ZINC001395099722 994431064 /nfs/dbraw/zinc/43/10/64/994431064.db2.gz RIIAZYAFAWUUSO-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN CCOCC(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001400501247 994590813 /nfs/dbraw/zinc/59/08/13/994590813.db2.gz MQKOIONWJMAVPA-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN CCOCC(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001400501247 994590821 /nfs/dbraw/zinc/59/08/21/994590821.db2.gz MQKOIONWJMAVPA-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN CC(C)N(C)C(=O)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCC[NH+]2C)C1 ZINC001400706229 994821650 /nfs/dbraw/zinc/82/16/50/994821650.db2.gz WGRAANJCBVWHJF-LSDHHAIUSA-N 0 2 324.469 0.480 20 0 DCADLN CNc1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc(Cl)n1 ZINC001598675710 994840051 /nfs/dbraw/zinc/84/00/51/994840051.db2.gz FTTLJGZWMJKIHJ-SECBINFHSA-N 0 2 323.740 0.856 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CCC1)C(F)C(F)(F)F ZINC001395746743 994960828 /nfs/dbraw/zinc/96/08/28/994960828.db2.gz YIPDMKWANHVWOM-HTQZYQBOSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CCC1)[C@@H](F)C(F)(F)F ZINC001395746743 994960840 /nfs/dbraw/zinc/96/08/40/994960840.db2.gz YIPDMKWANHVWOM-HTQZYQBOSA-N 0 2 300.252 0.280 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395811710 995026976 /nfs/dbraw/zinc/02/69/76/995026976.db2.gz WQQBKIJIFPNVRM-BQBZGAKWSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001395811710 995026983 /nfs/dbraw/zinc/02/69/83/995026983.db2.gz WQQBKIJIFPNVRM-BQBZGAKWSA-N 0 2 302.268 0.526 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC001598701758 995232732 /nfs/dbraw/zinc/23/27/32/995232732.db2.gz JFNJWUYHTGPPTK-WOPDTQHZSA-N 0 2 323.349 0.432 20 0 DCADLN COC(=O)[C@H](c1cccc(OC)c1)[N@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001598715333 995398893 /nfs/dbraw/zinc/39/88/93/995398893.db2.gz DJBNRQHEAQEGRO-DYEKYZERSA-N 0 2 323.345 0.677 20 0 DCADLN COC(=O)[C@H](c1cccc(OC)c1)[N@@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001598715333 995398900 /nfs/dbraw/zinc/39/89/00/995398900.db2.gz DJBNRQHEAQEGRO-DYEKYZERSA-N 0 2 323.345 0.677 20 0 DCADLN C[C@H](NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1nnnn1C ZINC001464738763 1015943004 /nfs/dbraw/zinc/94/30/04/1015943004.db2.gz LJTGQNZITIQHEF-IIYDVTGLSA-N 0 2 324.432 0.002 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001396743910 995579222 /nfs/dbraw/zinc/57/92/22/995579222.db2.gz SZQIWVFXHJPALM-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001396743910 995579232 /nfs/dbraw/zinc/57/92/32/995579232.db2.gz SZQIWVFXHJPALM-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCc1[nH+]ccn1C ZINC001598747806 995612833 /nfs/dbraw/zinc/61/28/33/995612833.db2.gz BMGHQXLVEJDRJK-GHMZBOCLSA-N 0 2 323.349 0.218 20 0 DCADLN CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)c1cccnc1 ZINC001464885516 1015967484 /nfs/dbraw/zinc/96/74/84/1015967484.db2.gz DOHYKCZDLRSALC-UHFFFAOYSA-N 0 2 311.301 0.938 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001598768110 995855504 /nfs/dbraw/zinc/85/55/04/995855504.db2.gz PNBJDHSGNKOTTR-BFLSOPEQSA-N 0 2 321.333 0.378 20 0 DCADLN C[C@@H](Oc1ccc(C[NH2+]Cc2cc(C(N)=O)no2)cc1)C(=O)[O-] ZINC001593617988 995856338 /nfs/dbraw/zinc/85/63/38/995856338.db2.gz SAGISFDEVRXBHL-SECBINFHSA-N 0 2 319.317 0.915 20 0 DCADLN C[C@@H](Oc1ccccc1C[N@@H+]1CCN2C(=O)NC[C@@H]2C1)C(=O)[O-] ZINC001593646191 995980985 /nfs/dbraw/zinc/98/09/85/995980985.db2.gz PIJFCLRHCFQEIH-DGCLKSJQSA-N 0 2 319.361 0.748 20 0 DCADLN C[C@@H](Oc1ccccc1C[N@H+]1CCN2C(=O)NC[C@@H]2C1)C(=O)[O-] ZINC001593646191 995980988 /nfs/dbraw/zinc/98/09/88/995980988.db2.gz PIJFCLRHCFQEIH-DGCLKSJQSA-N 0 2 319.361 0.748 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2c(F)ccc(O)c2F)C[C@H]1C(=O)[O-] ZINC001598826045 996383014 /nfs/dbraw/zinc/38/30/14/996383014.db2.gz FPJOIYQSNXSRDL-SFYZADRCSA-N 0 2 315.272 0.976 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2c(F)ccc(O)c2F)C[C@H]1C(=O)[O-] ZINC001598826045 996383017 /nfs/dbraw/zinc/38/30/17/996383017.db2.gz FPJOIYQSNXSRDL-SFYZADRCSA-N 0 2 315.272 0.976 20 0 DCADLN COC(=O)[C@@H](C)[N@H+](C)C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001598888691 996949379 /nfs/dbraw/zinc/94/93/79/996949379.db2.gz ADEOVERCUFUTBK-PWSUYJOCSA-N 0 2 311.334 0.618 20 0 DCADLN COC(=O)[C@@H](C)[N@@H+](C)C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001598888691 996949394 /nfs/dbraw/zinc/94/93/94/996949394.db2.gz ADEOVERCUFUTBK-PWSUYJOCSA-N 0 2 311.334 0.618 20 0 DCADLN O=C(N[C@H](CO)[C@H]1CCOC1)C1=NN(c2ccccc2)CC1=O ZINC001466515102 1016084044 /nfs/dbraw/zinc/08/40/44/1016084044.db2.gz IBZKKYKTOLOKFV-WCQYABFASA-N 0 2 317.345 0.705 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn[nH]n1 ZINC001404382113 997055262 /nfs/dbraw/zinc/05/52/62/997055262.db2.gz MQYWVXSSWDAYOV-CAHLUQPWSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cn[nH]n1 ZINC001404382113 997055275 /nfs/dbraw/zinc/05/52/75/997055275.db2.gz MQYWVXSSWDAYOV-CAHLUQPWSA-N 0 2 311.239 0.282 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+]([C@H](C(=O)[O-])C2(OC)CCC2)C[C@@H](C)O1 ZINC001598898606 997130058 /nfs/dbraw/zinc/13/00/58/997130058.db2.gz UEYOPXAAVYYGCQ-OUAUKWLOSA-N 0 2 301.339 0.271 20 0 DCADLN COC(=O)[C@@H]1C[N@H+]([C@H](C(=O)[O-])C2(OC)CCC2)C[C@@H](C)O1 ZINC001598898606 997130066 /nfs/dbraw/zinc/13/00/66/997130066.db2.gz UEYOPXAAVYYGCQ-OUAUKWLOSA-N 0 2 301.339 0.271 20 0 DCADLN CC(C)(C)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510972241 1016097322 /nfs/dbraw/zinc/09/73/22/1016097322.db2.gz QFWADXCWYJWPPK-RNFRBKRXSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001510972241 1016097330 /nfs/dbraw/zinc/09/73/30/1016097330.db2.gz QFWADXCWYJWPPK-RNFRBKRXSA-N 0 2 302.268 0.526 20 0 DCADLN Cc1ccnc(CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001507197910 1016113036 /nfs/dbraw/zinc/11/30/36/1016113036.db2.gz JSWVTPYDHVUERJ-SCZZXKLOSA-N 0 2 324.278 0.252 20 0 DCADLN Cc1ccnc(CN[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001507197910 1016113044 /nfs/dbraw/zinc/11/30/44/1016113044.db2.gz JSWVTPYDHVUERJ-SCZZXKLOSA-N 0 2 324.278 0.252 20 0 DCADLN Cc1cc(C)c(CC(=O)NCCc2n[nH]c(=S)o2)c(=O)[nH]1 ZINC001467313087 1016157072 /nfs/dbraw/zinc/15/70/72/1016157072.db2.gz KIQQQXGEJSZJBI-UHFFFAOYSA-N 0 2 308.363 0.977 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@@H](O)[C@H]2C(=O)[O-])n(C)c1C ZINC001598933501 997816688 /nfs/dbraw/zinc/81/66/88/997816688.db2.gz YCNSSNIQUDYZCD-OLZOCXBDSA-N 0 2 310.350 0.530 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@@H](O)[C@H]2C(=O)[O-])n(C)c1C ZINC001598933501 997816691 /nfs/dbraw/zinc/81/66/91/997816691.db2.gz YCNSSNIQUDYZCD-OLZOCXBDSA-N 0 2 310.350 0.530 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1CCC1)C(=O)C(F)C(F)(F)F ZINC001405609998 998378591 /nfs/dbraw/zinc/37/85/91/998378591.db2.gz DUXQRDRKHKSUQS-DTWKUNHWSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1CCC1)C(=O)[C@@H](F)C(F)(F)F ZINC001405609998 998378595 /nfs/dbraw/zinc/37/85/95/998378595.db2.gz DUXQRDRKHKSUQS-DTWKUNHWSA-N 0 2 314.279 0.622 20 0 DCADLN COc1ccc(F)c(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c1 ZINC001599581116 998531988 /nfs/dbraw/zinc/53/19/88/998531988.db2.gz APVSKLODBWNXIZ-CYBMUJFWSA-N 0 2 310.325 1.000 20 0 DCADLN COc1ccc(F)c(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c1 ZINC001599581116 998531994 /nfs/dbraw/zinc/53/19/94/998531994.db2.gz APVSKLODBWNXIZ-CYBMUJFWSA-N 0 2 310.325 1.000 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001594179382 998695413 /nfs/dbraw/zinc/69/54/13/998695413.db2.gz LUYBIVSWVAPSNA-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001594179382 998695416 /nfs/dbraw/zinc/69/54/16/998695416.db2.gz LUYBIVSWVAPSNA-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN COC(=O)c1cnc(C[N@H+]2C[C@H](OC)C[C@@]2(C)C(=O)[O-])cn1 ZINC001599007692 998869546 /nfs/dbraw/zinc/86/95/46/998869546.db2.gz WCQGKLKMODBQCZ-YGRLFVJLSA-N 0 2 309.322 0.327 20 0 DCADLN COC(=O)c1cnc(C[N@@H+]2C[C@H](OC)C[C@@]2(C)C(=O)[O-])cn1 ZINC001599007692 998869551 /nfs/dbraw/zinc/86/95/51/998869551.db2.gz WCQGKLKMODBQCZ-YGRLFVJLSA-N 0 2 309.322 0.327 20 0 DCADLN CO[C@@H](C)C[N@H+](C)CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001599039406 999107276 /nfs/dbraw/zinc/10/72/76/999107276.db2.gz BVPIGZVECAXUIW-TVQRCGJNSA-N 0 2 301.343 0.086 20 0 DCADLN CO[C@@H](C)C[N@@H+](C)CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001599039406 999107278 /nfs/dbraw/zinc/10/72/78/999107278.db2.gz BVPIGZVECAXUIW-TVQRCGJNSA-N 0 2 301.343 0.086 20 0 DCADLN CCC(CC)NC(=O)C[NH+](C)CCNC(=O)[C@@H]1CCC[N@@H+]1C ZINC001418448123 1000229302 /nfs/dbraw/zinc/22/93/02/1000229302.db2.gz KGROMTIPQMWOJG-AWEZNQCLSA-N 0 2 312.458 0.433 20 0 DCADLN COc1cccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001418497714 1000287135 /nfs/dbraw/zinc/28/71/35/1000287135.db2.gz DBPCSJBSDSQIMU-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN COc1cccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001418497714 1000287142 /nfs/dbraw/zinc/28/71/42/1000287142.db2.gz DBPCSJBSDSQIMU-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC001401372463 1000390413 /nfs/dbraw/zinc/39/04/13/1000390413.db2.gz SCOSWVWOLJRUSR-WOPDTQHZSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC001401372463 1000390418 /nfs/dbraw/zinc/39/04/18/1000390418.db2.gz SCOSWVWOLJRUSR-WOPDTQHZSA-N 0 2 307.398 0.837 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2ncc3c(F)cccn32)C(=O)N1C ZINC001470306832 1016411939 /nfs/dbraw/zinc/41/19/39/1016411939.db2.gz CRIXTJZURKNTOP-ZETCQYMHSA-N 0 2 305.269 0.401 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnn(CCC(F)(F)F)c1 ZINC001418683852 1000447710 /nfs/dbraw/zinc/44/77/10/1000447710.db2.gz AWJSNIMHNRXSSZ-UHFFFAOYSA-N 0 2 317.227 0.482 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)COC1CCCC1 ZINC001401732714 1000667859 /nfs/dbraw/zinc/66/78/59/1000667859.db2.gz AQPGILIOUOVZKF-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)COC1CCCC1 ZINC001401732714 1000667863 /nfs/dbraw/zinc/66/78/63/1000667863.db2.gz AQPGILIOUOVZKF-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN COCC(C)(C)CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401771822 1000709400 /nfs/dbraw/zinc/70/94/00/1000709400.db2.gz ACNANJANNFXOTE-JTQLQIEISA-N 0 2 313.402 0.510 20 0 DCADLN COCC(C)(C)CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401771822 1000709405 /nfs/dbraw/zinc/70/94/05/1000709405.db2.gz ACNANJANNFXOTE-JTQLQIEISA-N 0 2 313.402 0.510 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1c[nH]cc1C1CC1 ZINC001401787946 1000726150 /nfs/dbraw/zinc/72/61/50/1000726150.db2.gz BLPCYAGHEKSDQV-SECBINFHSA-N 0 2 318.381 0.966 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1c[nH]cc1C1CC1 ZINC001401787946 1000726156 /nfs/dbraw/zinc/72/61/56/1000726156.db2.gz BLPCYAGHEKSDQV-SECBINFHSA-N 0 2 318.381 0.966 20 0 DCADLN Cn1nncc1CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001419094811 1000764963 /nfs/dbraw/zinc/76/49/63/1000764963.db2.gz AHBNZFAJFPVWLP-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1nncc1CN1CCC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001419094811 1000764970 /nfs/dbraw/zinc/76/49/70/1000764970.db2.gz AHBNZFAJFPVWLP-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN CN(C(=O)C1(CF)CCC1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419126259 1000796525 /nfs/dbraw/zinc/79/65/25/1000796525.db2.gz LPAUJGSKODSZOS-SNVBAGLBSA-N 0 2 311.361 0.683 20 0 DCADLN CCO[C@@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001401890819 1000825422 /nfs/dbraw/zinc/82/54/22/1000825422.db2.gz GRIGTPMJHAVXJM-CMPLNLGQSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1nonc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001402165391 1001078822 /nfs/dbraw/zinc/07/88/22/1001078822.db2.gz NXGQGXZCSXZMTE-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nonc1CN1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001402165391 1001078828 /nfs/dbraw/zinc/07/88/28/1001078828.db2.gz NXGQGXZCSXZMTE-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN CO[C@@H](C)CC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001419639120 1001184639 /nfs/dbraw/zinc/18/46/39/1001184639.db2.gz ZAEDAUYSWLJECG-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NCC1(O)CN(C/C=C\Cl)C1)C(F)C(F)(F)F ZINC001402334390 1001225076 /nfs/dbraw/zinc/22/50/76/1001225076.db2.gz NSAYTKUEKJVHIE-TWJVDONLSA-N 0 2 304.671 0.802 20 0 DCADLN O=C(NCC1(O)CN(C/C=C\Cl)C1)[C@@H](F)C(F)(F)F ZINC001402334390 1001225084 /nfs/dbraw/zinc/22/50/84/1001225084.db2.gz NSAYTKUEKJVHIE-TWJVDONLSA-N 0 2 304.671 0.802 20 0 DCADLN CSC(C)(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001420152800 1001948772 /nfs/dbraw/zinc/94/87/72/1001948772.db2.gz YUKXGXVPPGGREU-UHFFFAOYSA-N 0 2 306.413 0.107 20 0 DCADLN Cc1nn(C)c(Cl)c1S(=O)(=O)Nc1nnn(C(C)C)n1 ZINC001424709162 1001939089 /nfs/dbraw/zinc/93/90/89/1001939089.db2.gz WKRQYADXIMKSAI-UHFFFAOYSA-N 0 2 319.778 0.750 20 0 DCADLN Cc1ccncc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424941102 1002167965 /nfs/dbraw/zinc/16/79/65/1002167965.db2.gz SYQREXREMTZIQA-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ccncc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424941102 1002167971 /nfs/dbraw/zinc/16/79/71/1002167971.db2.gz SYQREXREMTZIQA-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCC[NH+]1C)Cc1cncc(OC)n1 ZINC001420379490 1002308890 /nfs/dbraw/zinc/30/88/90/1002308890.db2.gz CNEQYLBRDNQEND-AWEZNQCLSA-N 0 2 321.425 0.518 20 0 DCADLN CCCn1ncc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001403719607 1002416023 /nfs/dbraw/zinc/41/60/23/1002416023.db2.gz VMJAHZDDGGYGFR-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CCCn1ncc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001403719607 1002416028 /nfs/dbraw/zinc/41/60/28/1002416028.db2.gz VMJAHZDDGGYGFR-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN C[C@H](NC(=O)Cc1ccc(C(N)=O)nc1)c1nn(C)cc1O ZINC001420439674 1002421998 /nfs/dbraw/zinc/42/19/98/1002421998.db2.gz LTBFVPHJCQMUBJ-QMMMGPOBSA-N 0 2 303.322 0.040 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1COc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001403804394 1002549474 /nfs/dbraw/zinc/54/94/74/1002549474.db2.gz JBNARGXKKINOMJ-NSHDSACASA-N 0 2 317.349 0.235 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1COc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001403804394 1002549487 /nfs/dbraw/zinc/54/94/87/1002549487.db2.gz JBNARGXKKINOMJ-NSHDSACASA-N 0 2 317.349 0.235 20 0 DCADLN CO[C@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001420607520 1002680963 /nfs/dbraw/zinc/68/09/63/1002680963.db2.gz GMEFVJWPATVPEF-CABZTGNLSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001420607520 1002680971 /nfs/dbraw/zinc/68/09/71/1002680971.db2.gz GMEFVJWPATVPEF-CABZTGNLSA-N 0 2 311.386 0.262 20 0 DCADLN Cn1nnc(CNC[C@@H](NC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001420724636 1002861294 /nfs/dbraw/zinc/86/12/94/1002861294.db2.gz AGAFQRCRWUEOFZ-APPZFPTMSA-N 0 2 324.282 0.095 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC1CCOCC1 ZINC001406074599 1003325614 /nfs/dbraw/zinc/32/56/14/1003325614.db2.gz DGNSZGCVBJOTQY-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC1CCOCC1 ZINC001406074599 1003325615 /nfs/dbraw/zinc/32/56/15/1003325615.db2.gz DGNSZGCVBJOTQY-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421113877 1003333039 /nfs/dbraw/zinc/33/30/39/1003333039.db2.gz OHCSZSUGAYEZHQ-JTQLQIEISA-N 0 2 311.386 0.119 20 0 DCADLN COC(=O)c1cnc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001421121888 1003344384 /nfs/dbraw/zinc/34/43/84/1003344384.db2.gz CHAILKMQSYMAAB-MRVPVSSYSA-N 0 2 304.310 0.471 20 0 DCADLN CC[C@H](C)NC(=O)C[N@H+](C)CCN(C)C(=O)C[NH+]1CCCC1 ZINC001406167681 1003434323 /nfs/dbraw/zinc/43/43/23/1003434323.db2.gz RTWZFHNOVSWSEG-AWEZNQCLSA-N 0 2 312.458 0.387 20 0 DCADLN CN(C(=O)CCC(C)(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421320732 1003535748 /nfs/dbraw/zinc/53/57/48/1003535748.db2.gz GGFQHTWYMDPHKX-VIFPVBQESA-N 0 2 317.340 0.978 20 0 DCADLN CN(C(=O)CCC(C)(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421320732 1003535752 /nfs/dbraw/zinc/53/57/52/1003535752.db2.gz GGFQHTWYMDPHKX-VIFPVBQESA-N 0 2 317.340 0.978 20 0 DCADLN CC1(C)C[C@]1(C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001428334562 1003871564 /nfs/dbraw/zinc/87/15/64/1003871564.db2.gz PNHIYVFWUMEQOW-LBPRGKRZSA-N 0 2 315.399 0.337 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001407120760 1004401311 /nfs/dbraw/zinc/40/13/11/1004401311.db2.gz MUWFYOOJQJCAJS-SFYZADRCSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001407120760 1004401316 /nfs/dbraw/zinc/40/13/16/1004401316.db2.gz MUWFYOOJQJCAJS-SFYZADRCSA-N 0 2 322.262 0.949 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001429304243 1004518768 /nfs/dbraw/zinc/51/87/68/1004518768.db2.gz OOYZOXYWJFPFJT-VIFPVBQESA-N 0 2 310.251 0.358 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001429304243 1004518762 /nfs/dbraw/zinc/51/87/62/1004518762.db2.gz OOYZOXYWJFPFJT-VIFPVBQESA-N 0 2 310.251 0.358 20 0 DCADLN CCOCC(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001427720413 1004547896 /nfs/dbraw/zinc/54/78/96/1004547896.db2.gz QBAXTYPINALLFT-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001427720413 1004547901 /nfs/dbraw/zinc/54/79/01/1004547901.db2.gz QBAXTYPINALLFT-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H](CNC(=O)c1ccc(=O)[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001408068322 1005015872 /nfs/dbraw/zinc/01/58/72/1005015872.db2.gz WULPKGJDMUDZPM-SVGQVSJJSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)c1ccc(=O)[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001408068322 1005015876 /nfs/dbraw/zinc/01/58/76/1005015876.db2.gz WULPKGJDMUDZPM-SVGQVSJJSA-N 0 2 324.234 0.317 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001408403032 1005192258 /nfs/dbraw/zinc/19/22/58/1005192258.db2.gz GTQWEVMJADUDLN-RNFRBKRXSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2nc[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001408403032 1005192262 /nfs/dbraw/zinc/19/22/62/1005192262.db2.gz GTQWEVMJADUDLN-RNFRBKRXSA-N 0 2 323.250 0.283 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N[C@H]2CC23CCC3)[nH]1 ZINC001414720334 1005389929 /nfs/dbraw/zinc/38/99/29/1005389929.db2.gz ZABCWLJDYCIZNO-QMMMGPOBSA-N 0 2 313.383 0.091 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001415166707 1005474185 /nfs/dbraw/zinc/47/41/85/1005474185.db2.gz SWDSBJBUBQWGBC-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001415166707 1005474186 /nfs/dbraw/zinc/47/41/86/1005474186.db2.gz SWDSBJBUBQWGBC-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCn1cccn1 ZINC001415183622 1005477191 /nfs/dbraw/zinc/47/71/91/1005477191.db2.gz SXHHXICKOGISJB-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CCn1cccn1 ZINC001415183622 1005477192 /nfs/dbraw/zinc/47/71/92/1005477192.db2.gz SXHHXICKOGISJB-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)CNC(=O)C(F)C(F)(F)F ZINC001416465082 1005702784 /nfs/dbraw/zinc/70/27/84/1005702784.db2.gz SNJMMDYKUHUJLP-HTQZYQBOSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001416465082 1005702786 /nfs/dbraw/zinc/70/27/86/1005702786.db2.gz SNJMMDYKUHUJLP-HTQZYQBOSA-N 0 2 322.262 0.859 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001416720219 1005727500 /nfs/dbraw/zinc/72/75/00/1005727500.db2.gz GIBAQXVKNPCKDU-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1cccnc1 ZINC001416720219 1005727501 /nfs/dbraw/zinc/72/75/01/1005727501.db2.gz GIBAQXVKNPCKDU-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN CO[C@@H](C)CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)C ZINC001435208881 1006068055 /nfs/dbraw/zinc/06/80/55/1006068055.db2.gz RJQBHCSUCHWBIE-JTQLQIEISA-N 0 2 307.354 0.343 20 0 DCADLN CCOC1CC(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001438871420 1006184755 /nfs/dbraw/zinc/18/47/55/1006184755.db2.gz HOBCFPCWNRIZSX-UHFFFAOYSA-N 0 2 311.386 0.216 20 0 DCADLN CCOC1CC(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001438871420 1006184759 /nfs/dbraw/zinc/18/47/59/1006184759.db2.gz HOBCFPCWNRIZSX-UHFFFAOYSA-N 0 2 311.386 0.216 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001436480878 1006212423 /nfs/dbraw/zinc/21/24/23/1006212423.db2.gz VFZDTRWGLADAHH-MXWKQRLJSA-N 0 2 311.386 0.404 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001436480878 1006212428 /nfs/dbraw/zinc/21/24/28/1006212428.db2.gz VFZDTRWGLADAHH-MXWKQRLJSA-N 0 2 311.386 0.404 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1CC1 ZINC001451773111 1006401981 /nfs/dbraw/zinc/40/19/81/1006401981.db2.gz NGVSQCKFMZIXLR-HTQZYQBOSA-N 0 2 300.252 0.232 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1CC1 ZINC001451773111 1006401985 /nfs/dbraw/zinc/40/19/85/1006401985.db2.gz NGVSQCKFMZIXLR-HTQZYQBOSA-N 0 2 300.252 0.232 20 0 DCADLN Cc1cccc(CC(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001439365239 1006760229 /nfs/dbraw/zinc/76/02/29/1006760229.db2.gz PSUTWJMCQIJICL-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1cccc(CC(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001439365239 1006760238 /nfs/dbraw/zinc/76/02/38/1006760238.db2.gz PSUTWJMCQIJICL-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001439541041 1006981968 /nfs/dbraw/zinc/98/19/68/1006981968.db2.gz ULBVKSGZVPKHEQ-SFYZADRCSA-N 0 2 321.303 0.789 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NC[C@@H]1CCC[N@@H+]1[C@@H](C)C(=O)N(C)C ZINC001437667709 1007234092 /nfs/dbraw/zinc/23/40/92/1007234092.db2.gz HHSJRQMVKLFDKS-KBPBESRZSA-N 0 2 321.425 0.249 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1(C2CC2)CC1 ZINC001437793184 1007411646 /nfs/dbraw/zinc/41/16/46/1007411646.db2.gz MIPPTOWWOXFOQY-UHFFFAOYSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1(C2CC2)CC1 ZINC001437793184 1007411654 /nfs/dbraw/zinc/41/16/54/1007411654.db2.gz MIPPTOWWOXFOQY-UHFFFAOYSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@](Cn1cccn1)(NC(=O)c1cccc(Cl)c1O)C(N)=O ZINC001440039368 1007443264 /nfs/dbraw/zinc/44/32/64/1007443264.db2.gz YZKOXRQSYBOOCU-AWEZNQCLSA-N 0 2 322.752 0.916 20 0 DCADLN CC(C)OCCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001440214802 1007585979 /nfs/dbraw/zinc/58/59/79/1007585979.db2.gz MOOHVZJZJHXABZ-LLVKDONJSA-N 0 2 311.386 0.358 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N(C)C[C@@H]1CC[N@@H+]1CCn1cccn1 ZINC001440234941 1007600070 /nfs/dbraw/zinc/60/00/70/1007600070.db2.gz LXBPHOHQIXQQNN-HNNXBMFYSA-N 0 2 316.409 0.621 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NC[C@@H]1C[C@H]([NH2+]Cc2nnc(C)[nH]2)C1 ZINC001440288112 1007645058 /nfs/dbraw/zinc/64/50/58/1007645058.db2.gz JHHDJXYGZWFSPK-BETUJISGSA-N 0 2 317.397 0.303 20 0 DCADLN COC1(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001438168812 1007685262 /nfs/dbraw/zinc/68/52/62/1007685262.db2.gz OZGSOWSCFBJAFZ-QWRGUYRKSA-N 0 2 321.381 0.255 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441012696 1008174742 /nfs/dbraw/zinc/17/47/42/1008174742.db2.gz MIUALWGWHSTPOP-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cn(C)cn1 ZINC001442107872 1008974415 /nfs/dbraw/zinc/97/44/15/1008974415.db2.gz LIVHAJVGCRCJSR-UHFFFAOYSA-N 0 2 321.385 0.226 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cn(C)cn1 ZINC001442107872 1008974421 /nfs/dbraw/zinc/97/44/21/1008974421.db2.gz LIVHAJVGCRCJSR-UHFFFAOYSA-N 0 2 321.385 0.226 20 0 DCADLN COc1ccn2c(c1)ncc2C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001454188722 1008988948 /nfs/dbraw/zinc/98/89/48/1008988948.db2.gz GELHWAVWSBPWJH-UHFFFAOYSA-N 0 2 315.289 0.474 20 0 DCADLN CCc1nnc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)s1 ZINC001433942531 1009379760 /nfs/dbraw/zinc/37/97/60/1009379760.db2.gz HRFFWAQGTQQXOJ-ZETCQYMHSA-N 0 2 308.367 0.944 20 0 DCADLN O=C(NC[C@H]1CCCCO1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001442654902 1009585651 /nfs/dbraw/zinc/58/56/51/1009585651.db2.gz DAKMWIXHVZJTAT-GFCCVEGCSA-N 0 2 318.333 0.565 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001422178230 1009613101 /nfs/dbraw/zinc/61/31/01/1009613101.db2.gz POMQJQCNQFGGQC-RYUDHWBXSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001422178230 1009613106 /nfs/dbraw/zinc/61/31/06/1009613106.db2.gz POMQJQCNQFGGQC-RYUDHWBXSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1csnn1 ZINC001455281592 1009630676 /nfs/dbraw/zinc/63/06/76/1009630676.db2.gz NCRFDHAPPHQWOQ-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1csnn1 ZINC001455281592 1009630688 /nfs/dbraw/zinc/63/06/88/1009630688.db2.gz NCRFDHAPPHQWOQ-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN CCO[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001434401474 1009908098 /nfs/dbraw/zinc/90/80/98/1009908098.db2.gz HWCUOHNPVYOLMV-LBPRGKRZSA-N 0 2 318.333 0.517 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2ccc(N(C)C)nc2)n1 ZINC001434633411 1010146168 /nfs/dbraw/zinc/14/61/68/1010146168.db2.gz GWDGLGHUGTUFSW-UHFFFAOYSA-N 0 2 311.371 0.516 20 0 DCADLN C[C@@H](CNC(=O)CCc1ccoc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422493732 1010178605 /nfs/dbraw/zinc/17/86/05/1010178605.db2.gz HAIVIQASCBLGHX-JTQLQIEISA-N 0 2 307.354 0.673 20 0 DCADLN C[C@@H](CNC(=O)CCc1ccoc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422493732 1010178612 /nfs/dbraw/zinc/17/86/12/1010178612.db2.gz HAIVIQASCBLGHX-JTQLQIEISA-N 0 2 307.354 0.673 20 0 DCADLN CC1(CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCCC1 ZINC001456306511 1010185390 /nfs/dbraw/zinc/18/53/90/1010185390.db2.gz FBZUBVROWAGIFZ-UHFFFAOYSA-N 0 2 314.411 0.936 20 0 DCADLN CO[C@H](C)CN1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001422620934 1010415026 /nfs/dbraw/zinc/41/50/26/1010415026.db2.gz MBXDUCMZSPIANO-RKDXNWHRSA-N 0 2 316.295 0.475 20 0 DCADLN Cc1cnccc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001443544474 1010484787 /nfs/dbraw/zinc/48/47/87/1010484787.db2.gz JKXZTQNPNGMJTF-UHFFFAOYSA-N 0 2 307.331 0.417 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001443887625 1010726914 /nfs/dbraw/zinc/72/69/14/1010726914.db2.gz HEDUCAPYZLCGPP-IYSWYEEDSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001443887625 1010726919 /nfs/dbraw/zinc/72/69/19/1010726919.db2.gz HEDUCAPYZLCGPP-IYSWYEEDSA-N 0 2 311.239 0.282 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C[C@@H](C)[NH2+]Cc2ncc(C)cn2)c1[O-] ZINC001423041614 1010831911 /nfs/dbraw/zinc/83/19/11/1010831911.db2.gz TWONYPOTNHEHGW-SNVBAGLBSA-N 0 2 318.381 0.772 20 0 DCADLN CC1(CCC(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001444465454 1011030631 /nfs/dbraw/zinc/03/06/31/1011030631.db2.gz IGZJUVZYUQVRDK-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN Cc1cnccc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001444975619 1011276599 /nfs/dbraw/zinc/27/65/99/1011276599.db2.gz UBFNFIJXOFQZJX-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN Cc1cnccc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001444975619 1011276612 /nfs/dbraw/zinc/27/66/12/1011276612.db2.gz UBFNFIJXOFQZJX-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN CC[C@@H](CNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001456856664 1011493641 /nfs/dbraw/zinc/49/36/41/1011493641.db2.gz POWLXBUYBPFHNZ-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)c1cncn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001456856664 1011493653 /nfs/dbraw/zinc/49/36/53/1011493653.db2.gz POWLXBUYBPFHNZ-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CNC(=O)CC1(O)CCC1)NC(=O)C(F)C(F)(F)F ZINC001431655874 1011508676 /nfs/dbraw/zinc/50/86/76/1011508676.db2.gz LEBKEKDKFOQJQC-VXNVDRBHSA-N 0 2 314.279 0.813 20 0 DCADLN C[C@H](CNC(=O)CC1(O)CCC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001431655874 1011508688 /nfs/dbraw/zinc/50/86/88/1011508688.db2.gz LEBKEKDKFOQJQC-VXNVDRBHSA-N 0 2 314.279 0.813 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ccon1 ZINC001535147532 1011823292 /nfs/dbraw/zinc/82/32/92/1011823292.db2.gz XXUJLNYQUFHKQV-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1ccon1 ZINC001535147532 1011823313 /nfs/dbraw/zinc/82/33/13/1011823313.db2.gz XXUJLNYQUFHKQV-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccon1)C(F)C(F)(F)F ZINC001432037635 1011892347 /nfs/dbraw/zinc/89/23/47/1011892347.db2.gz PPYXLKOQYABRBF-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccon1)[C@H](F)C(F)(F)F ZINC001432037635 1011892365 /nfs/dbraw/zinc/89/23/65/1011892365.db2.gz PPYXLKOQYABRBF-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN C[C@@H]1CN(C(=O)c2cc[nH]n2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001445579657 1011956040 /nfs/dbraw/zinc/95/60/40/1011956040.db2.gz JNHRKIRYLYQVIY-VDAHYXPESA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001445579657 1011956052 /nfs/dbraw/zinc/95/60/52/1011956052.db2.gz JNHRKIRYLYQVIY-VDAHYXPESA-N 0 2 322.262 0.887 20 0 DCADLN COCC(=O)N1C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001445581064 1011956262 /nfs/dbraw/zinc/95/62/62/1011956262.db2.gz NAKRQTAVFPKFFU-ZXFLCMHBSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N1C[C@@H](C)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001445581064 1011956274 /nfs/dbraw/zinc/95/62/74/1011956274.db2.gz NAKRQTAVFPKFFU-ZXFLCMHBSA-N 0 2 300.252 0.496 20 0 DCADLN CCC(=O)NCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001458361209 1012152618 /nfs/dbraw/zinc/15/26/18/1012152618.db2.gz ZYGPZRLRXZQMQV-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)NCC(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001458361209 1012152629 /nfs/dbraw/zinc/15/26/29/1012152629.db2.gz ZYGPZRLRXZQMQV-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]n1)N(C)C(=O)C(F)C(F)(F)F ZINC001424210620 1012172655 /nfs/dbraw/zinc/17/26/55/1012172655.db2.gz MXAGCLAJEUSEDC-FSPLSTOPSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)C(F)(F)F ZINC001445779411 1012255416 /nfs/dbraw/zinc/25/54/16/1012255416.db2.gz BNRJGXKFXPPNRZ-RNFRBKRXSA-N 0 2 309.292 0.645 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)C(F)(F)F ZINC001445779411 1012255431 /nfs/dbraw/zinc/25/54/31/1012255431.db2.gz BNRJGXKFXPPNRZ-RNFRBKRXSA-N 0 2 309.292 0.645 20 0 DCADLN CCc1noc2ncc(C(=O)NN3C(=O)[C@@H](C)N(C)C3=O)cc12 ZINC001557577314 1012280614 /nfs/dbraw/zinc/28/06/14/1012280614.db2.gz JHRNDNLDCHTPGU-SSDOTTSWSA-N 0 2 317.305 0.713 20 0 DCADLN Cc1nnc(C[NH2+]C[C@H](NC(=O)c2[nH]nc(C)c2[O-])C2CC2)o1 ZINC001445930238 1012435614 /nfs/dbraw/zinc/43/56/14/1012435614.db2.gz VWXUDSCBXMYRLU-JTQLQIEISA-N 0 2 320.353 0.413 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)c(C)n1-c1cnccn1 ZINC001545329573 1012562328 /nfs/dbraw/zinc/56/23/28/1012562328.db2.gz QDRBFRVGBIJBLQ-UHFFFAOYSA-N 0 2 313.321 0.638 20 0 DCADLN COC(=O)Nc1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1 ZINC001424583689 1012676924 /nfs/dbraw/zinc/67/69/24/1012676924.db2.gz JTIKURVAJJVLLJ-UHFFFAOYSA-N 0 2 312.311 0.189 20 0 DCADLN CCOCC(=O)NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001429935593 1012966435 /nfs/dbraw/zinc/96/64/35/1012966435.db2.gz WDRQFTWDAPUSDC-WCBMZHEXSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001429935593 1012966446 /nfs/dbraw/zinc/96/64/46/1012966446.db2.gz WDRQFTWDAPUSDC-WCBMZHEXSA-N 0 2 314.279 0.934 20 0 DCADLN CC(=O)NC[C@@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001432924011 1013008283 /nfs/dbraw/zinc/00/82/83/1013008283.db2.gz NFEAWBBOQRQHPL-SECBINFHSA-N 0 2 304.354 0.312 20 0 DCADLN Cc1cc(CNCc2n[nH]c(CS(C)(=O)=O)n2)sn1 ZINC001461781615 1013039713 /nfs/dbraw/zinc/03/97/13/1013039713.db2.gz AEJLXLWLBFILCB-UHFFFAOYSA-N 0 2 301.397 0.404 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001510512034 1017679251 /nfs/dbraw/zinc/67/92/51/1017679251.db2.gz CGCPKFYADWQMMQ-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn(C)cn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001510512034 1017679256 /nfs/dbraw/zinc/67/92/56/1017679256.db2.gz CGCPKFYADWQMMQ-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN CCn1ncnc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001494555622 1017784017 /nfs/dbraw/zinc/78/40/17/1017784017.db2.gz FNWWFBZKCNYRKN-JTQLQIEISA-N 0 2 323.294 0.746 20 0 DCADLN CCn1ncnc1CN1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001494555622 1017784021 /nfs/dbraw/zinc/78/40/21/1017784021.db2.gz FNWWFBZKCNYRKN-JTQLQIEISA-N 0 2 323.294 0.746 20 0 DCADLN CC1(C)CC(CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001494584247 1017811991 /nfs/dbraw/zinc/81/19/91/1017811991.db2.gz OVFISQRYTGFSEX-UHFFFAOYSA-N 0 2 307.398 0.885 20 0 DCADLN CCN(C(=O)CCC(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494902706 1018140784 /nfs/dbraw/zinc/14/07/84/1018140784.db2.gz ZTURXBSMRBHTAT-SECBINFHSA-N 0 2 317.340 0.978 20 0 DCADLN CCN(C(=O)CCC(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001494902706 1018140793 /nfs/dbraw/zinc/14/07/93/1018140793.db2.gz ZTURXBSMRBHTAT-SECBINFHSA-N 0 2 317.340 0.978 20 0 DCADLN Cc1noc([C@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001497565955 1018229626 /nfs/dbraw/zinc/22/96/26/1018229626.db2.gz WHATZRFZFSPSKI-WBSSQXGSSA-N 0 2 320.353 0.752 20 0 DCADLN C[C@@]1(NC(=O)COCC2CC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500183843 1018281447 /nfs/dbraw/zinc/28/14/47/1018281447.db2.gz NFMCNKYIKGXHSI-CQSZACIVSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(NC(=O)COCC2CC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500183843 1018281457 /nfs/dbraw/zinc/28/14/57/1018281457.db2.gz NFMCNKYIKGXHSI-CQSZACIVSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001495276782 1018439172 /nfs/dbraw/zinc/43/91/72/1018439172.db2.gz QTWFJQIIYCIHRH-POYBYMJQSA-N 0 2 324.234 0.548 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cnon2)C1)[C@@H](F)C(F)(F)F ZINC001495276782 1018439181 /nfs/dbraw/zinc/43/91/81/1018439181.db2.gz QTWFJQIIYCIHRH-POYBYMJQSA-N 0 2 324.234 0.548 20 0 DCADLN O=C([C@@H]1CCC[C@@H](F)C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001480356856 1018463526 /nfs/dbraw/zinc/46/35/26/1018463526.db2.gz NMBRDHJHURAIJB-GHMZBOCLSA-N 0 2 311.361 0.683 20 0 DCADLN COC[C@@H](C)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001500839437 1018683821 /nfs/dbraw/zinc/68/38/21/1018683821.db2.gz DLYSZKROMIOARZ-SFYZADRCSA-N 0 2 302.268 0.085 20 0 DCADLN C=C/C(C)=C\CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495861169 1018779211 /nfs/dbraw/zinc/77/92/11/1018779211.db2.gz UGESODSLYIVAQD-MCWZUMHDSA-N 0 2 321.381 0.350 20 0 DCADLN Cc1cncc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001498251134 1018837571 /nfs/dbraw/zinc/83/75/71/1018837571.db2.gz GLENMKWCEHOEKY-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cncc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001498251134 1018837587 /nfs/dbraw/zinc/83/75/87/1018837587.db2.gz GLENMKWCEHOEKY-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cocn1 ZINC001501738564 1019429536 /nfs/dbraw/zinc/42/95/36/1019429536.db2.gz WCWVPGJFLHECHP-JQSQLHRZSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1cocn1 ZINC001501738564 1019429547 /nfs/dbraw/zinc/42/95/47/1019429547.db2.gz WCWVPGJFLHECHP-JQSQLHRZSA-N 0 2 309.219 0.977 20 0 DCADLN Cc1csc(CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001494421819 1020198726 /nfs/dbraw/zinc/19/87/26/1020198726.db2.gz CKSBCNODOVPLTL-UHFFFAOYSA-N 0 2 322.394 0.208 20 0 DCADLN CS(=O)(=O)c1cccc(CN2CC[NH+](CC(=O)[O-])CC2)c1 ZINC001607382875 1170441622 /nfs/dbraw/zinc/44/16/22/1170441622.db2.gz VBIQUJAVDRMIEC-UHFFFAOYSA-N 0 2 312.391 0.292 20 0 DCADLN O=C([O-])CCNC(=O)C[NH2+][C@@H](CO)c1ccc(F)cc1F ZINC001608729606 1170746628 /nfs/dbraw/zinc/74/66/28/1170746628.db2.gz OJIQJPCRJUDYCS-NSHDSACASA-N 0 2 302.277 0.179 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1C[C@H](O)c1ccc(Cl)cc1 ZINC001610762301 1171314672 /nfs/dbraw/zinc/31/46/72/1171314672.db2.gz XNIVGGNAWKQWMS-NEPJUHHUSA-N 0 2 312.753 0.649 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1C[C@H](O)c1ccc(Cl)cc1 ZINC001610762301 1171314678 /nfs/dbraw/zinc/31/46/78/1171314678.db2.gz XNIVGGNAWKQWMS-NEPJUHHUSA-N 0 2 312.753 0.649 20 0 DCADLN CCNc1nc(C(=O)NN2C(=O)N[C@@](C)(CC)C2=O)cs1 ZINC000278736463 214365219 /nfs/dbraw/zinc/36/52/19/214365219.db2.gz LKSKEZDBKPWXEZ-LBPRGKRZSA-N 0 2 311.367 0.940 20 0 DCADLN CCc1cc(=O)[nH]c(-c2ccc(NCc3n[nH]c(=O)[nH]3)nc2)n1 ZINC000301756629 529564142 /nfs/dbraw/zinc/56/41/42/529564142.db2.gz DUMFXJITBBLGSN-UHFFFAOYSA-N 0 2 313.321 0.830 20 0 DCADLN C[C@@H](NC(=O)C(=O)Nc1c2c(nn1C)CCC2)[C@@H]1CCCCO1 ZINC000271939110 186410359 /nfs/dbraw/zinc/41/03/59/186410359.db2.gz AHZGYKKAECYXMM-MFKMUULPSA-N 0 2 320.393 0.921 20 0 DCADLN O=C(CC(=O)Nc1ccc2nn[nH]c2c1)NCC(F)(F)F ZINC000182083146 199384315 /nfs/dbraw/zinc/38/43/15/199384315.db2.gz RCNCMDVKPOYGQY-UHFFFAOYSA-N 0 2 301.228 0.965 20 0 DCADLN Cc1ccccc1C(=O)Nc1cnn(CC(=O)NCCO)c1 ZINC000272262294 209643619 /nfs/dbraw/zinc/64/36/19/209643619.db2.gz QSAGYRHGEYJPAB-UHFFFAOYSA-N 0 2 302.334 0.552 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[N@@H+]1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC000319079721 283363955 /nfs/dbraw/zinc/36/39/55/283363955.db2.gz PGEJRGJUBUNOGA-RISCZKNCSA-N 0 2 313.398 0.156 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[N@H+]1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC000319079721 283363958 /nfs/dbraw/zinc/36/39/58/283363958.db2.gz PGEJRGJUBUNOGA-RISCZKNCSA-N 0 2 313.398 0.156 20 0 DCADLN C[C@]1(CNS(=O)(=O)NCC(F)(F)F)CCCC[C@H]1O ZINC000195334272 237512579 /nfs/dbraw/zinc/51/25/79/237512579.db2.gz MRVANTUNQQYMSJ-RKDXNWHRSA-N 0 2 304.334 0.914 20 0 DCADLN Cc1nc(C)c(S(=O)(=O)NC[C@@H](CO)[C@H]2CCOC2)s1 ZINC000281141019 216105772 /nfs/dbraw/zinc/10/57/72/216105772.db2.gz ZOHTWSRHPWMAGH-QWRGUYRKSA-N 0 2 320.436 0.683 20 0 DCADLN CCN(CC)S(=O)(=O)CC(=O)Nc1ccc2nn[nH]c2c1 ZINC000154011988 291210307 /nfs/dbraw/zinc/21/03/07/291210307.db2.gz SXZMPLBEZJOPHG-UHFFFAOYSA-N 0 2 311.367 0.568 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1ccc(OC)cc1 ZINC000421812732 240106174 /nfs/dbraw/zinc/10/61/74/240106174.db2.gz MFJKMCQVHKFOLG-LBPRGKRZSA-N 0 2 305.290 0.299 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCCCC(N)=O)c1 ZINC000567043831 291334056 /nfs/dbraw/zinc/33/40/56/291334056.db2.gz WRAVCYPBVGVRFE-NRFANRHFSA-N 0 2 312.391 0.575 20 0 DCADLN O=C(C[N@@H+]1CCC[C@]12CCNC2=O)NCc1ccc(F)cc1 ZINC000567245935 291344835 /nfs/dbraw/zinc/34/48/35/291344835.db2.gz AYINUHKYCGDHSU-MRXNPFEDSA-N 0 2 305.353 0.796 20 0 DCADLN COC(=O)c1ccsc1N1CC[C@@H]([N@H+](C)CC(=O)[O-])C1=O ZINC000567392522 291353591 /nfs/dbraw/zinc/35/35/91/291353591.db2.gz USVSUUJYXJAFSD-SECBINFHSA-N 0 2 312.347 0.656 20 0 DCADLN COC(=O)c1ccsc1N1CC[C@@H]([N@@H+](C)CC(=O)[O-])C1=O ZINC000567392522 291353593 /nfs/dbraw/zinc/35/35/93/291353593.db2.gz USVSUUJYXJAFSD-SECBINFHSA-N 0 2 312.347 0.656 20 0 DCADLN Cn1cc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1C(=O)[O-] ZINC000493899234 241176213 /nfs/dbraw/zinc/17/62/13/241176213.db2.gz CGNXOFFBFFMYEO-SECBINFHSA-N 0 2 324.362 0.213 20 0 DCADLN COc1cccc(CCC(=O)N2CC[N@H+](C)C[C@H]2C[NH3+])c1F ZINC000567829816 291381852 /nfs/dbraw/zinc/38/18/52/291381852.db2.gz SUQBLZGOBLFVDA-CYBMUJFWSA-N 0 2 309.385 0.868 20 0 DCADLN COC(=O)c1ccc(CNC(=O)[C@H](C)[N@H+](C)CC(=O)[O-])cc1 ZINC000567988639 291395940 /nfs/dbraw/zinc/39/59/40/291395940.db2.gz KUEMBCYAUAVIQT-JTQLQIEISA-N 0 2 308.334 0.494 20 0 DCADLN COC(=O)c1ccc(CNC(=O)[C@H](C)[N@@H+](C)CC(=O)[O-])cc1 ZINC000567988639 291395943 /nfs/dbraw/zinc/39/59/43/291395943.db2.gz KUEMBCYAUAVIQT-JTQLQIEISA-N 0 2 308.334 0.494 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H](C)CC(N)=O ZINC000568646823 291439761 /nfs/dbraw/zinc/43/97/61/291439761.db2.gz HUPJMYGCKQHKBE-QMMMGPOBSA-N 0 2 303.322 0.936 20 0 DCADLN NC(=O)[C@@H]1CCC[C@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000571645820 291525054 /nfs/dbraw/zinc/52/50/54/291525054.db2.gz VAHQVGVZNLGFMR-VWYCJHECSA-N 0 2 321.381 0.508 20 0 DCADLN CN(Cc1cnccn1)C(=O)c1cccnc1N1CCOCC1 ZINC000265439480 204614229 /nfs/dbraw/zinc/61/42/29/204614229.db2.gz HZGWNOIQLMWEAA-UHFFFAOYSA-N 0 2 313.361 0.980 20 0 DCADLN COCC(C)(C)[NH+]1CCN(S(=O)(=O)CCCC(=O)[O-])CC1 ZINC000572360407 291564114 /nfs/dbraw/zinc/56/41/14/291564114.db2.gz WHPXQDSBXLXAAF-UHFFFAOYSA-N 0 2 322.427 0.224 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)[C@@H]2COC[C@H]2O)c1 ZINC000572476420 291570154 /nfs/dbraw/zinc/57/01/54/291570154.db2.gz XNYUSWOKYBQVES-ZNLKAECVSA-N 0 2 313.375 0.021 20 0 DCADLN O=C([O-])[C@H]1CCCC[N@@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000262330526 304179506 /nfs/dbraw/zinc/17/95/06/304179506.db2.gz XWLLGLUTLOUHLP-CYBMUJFWSA-N 0 2 317.345 0.911 20 0 DCADLN O=C([O-])[C@H]1CCCC[N@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000262330526 304179507 /nfs/dbraw/zinc/17/95/07/304179507.db2.gz XWLLGLUTLOUHLP-CYBMUJFWSA-N 0 2 317.345 0.911 20 0 DCADLN CC(=O)N1CC[C@@](C)(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000573567709 291641004 /nfs/dbraw/zinc/64/10/04/291641004.db2.gz LBCUXTYNYOOOTF-XHDPSFHLSA-N 0 2 321.381 0.475 20 0 DCADLN COC(=O)[C@H]1CCCCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000378574066 291817061 /nfs/dbraw/zinc/81/70/61/291817061.db2.gz VULYFEWXUZAUQK-GFCCVEGCSA-N 0 2 304.350 0.940 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(N2CCC2=O)cc1 ZINC000337162808 252838703 /nfs/dbraw/zinc/83/87/03/252838703.db2.gz WBWCBVAVPMYTQD-UHFFFAOYSA-N 0 2 306.347 0.958 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2nncs2)cn1C ZINC000339411718 253081759 /nfs/dbraw/zinc/08/17/59/253081759.db2.gz VAQKQCJWFWQGAA-UHFFFAOYSA-N 0 2 301.353 0.037 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC000340041859 253190435 /nfs/dbraw/zinc/19/04/35/253190435.db2.gz AQIAOKNHEVHYFR-UHFFFAOYSA-N 0 2 320.330 0.447 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc([C@@H]3CCCO3)nn2C)c1O ZINC000340791981 253303957 /nfs/dbraw/zinc/30/39/57/253303957.db2.gz ISISMNGHEUKUSX-GXSJLCMTSA-N 0 2 322.321 0.260 20 0 DCADLN C[C@@]1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCCOC1 ZINC000272943801 210330219 /nfs/dbraw/zinc/33/02/19/210330219.db2.gz SSGQMMDLAROJMG-SNVBAGLBSA-N 0 2 320.396 0.665 20 0 DCADLN O=C(NN1CCCNC1=O)c1cc(OCC(F)F)ccn1 ZINC000272948920 210334968 /nfs/dbraw/zinc/33/49/68/210334968.db2.gz NPTKELCYBGPETP-UHFFFAOYSA-N 0 2 300.265 0.786 20 0 DCADLN Cc1cc(C(=O)NC2(CCO)CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000349330976 254280928 /nfs/dbraw/zinc/28/09/28/254280928.db2.gz NEMFDHZJMUYRPP-UHFFFAOYSA-N 0 2 304.306 0.389 20 0 DCADLN COC(=O)Cn1ncc(NS(=O)(=O)c2ccc(C)nc2)c1C ZINC000350970037 254330550 /nfs/dbraw/zinc/33/05/50/254330550.db2.gz GHUNUOVMKQDXKF-UHFFFAOYSA-N 0 2 324.362 0.869 20 0 DCADLN COCCNC(=O)c1cccc(S(=O)(=O)Nc2cn[nH]c2)c1 ZINC000350120161 254310961 /nfs/dbraw/zinc/31/09/61/254310961.db2.gz JGEPWUSFADIHSN-UHFFFAOYSA-N 0 2 324.362 0.587 20 0 DCADLN COCCNC(=O)c1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000350518866 254318102 /nfs/dbraw/zinc/31/81/02/254318102.db2.gz XFMHOJZSRHNKKL-UHFFFAOYSA-N 0 2 324.362 0.587 20 0 DCADLN COC(=O)[C@@]1(C)CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000416631247 262235367 /nfs/dbraw/zinc/23/53/67/262235367.db2.gz KTXNIPYZFPMBTP-VIFPVBQESA-N 0 2 318.317 0.658 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCCN2CCOC2=O)cn1 ZINC000275389709 130313621 /nfs/dbraw/zinc/31/36/21/130313621.db2.gz AQDLNVMMQCEXJG-UHFFFAOYSA-N 0 2 318.337 0.382 20 0 DCADLN NS(=O)(=O)c1ccc(CNC(=O)[C@H](F)C(F)(F)F)s1 ZINC000278500173 130697228 /nfs/dbraw/zinc/69/72/28/130697228.db2.gz AHDOWVIKUVIZDS-LURJTMIESA-N 0 2 320.289 0.912 20 0 DCADLN NS(=O)(=O)c1ccc(CNC(=O)C(F)C(F)(F)F)s1 ZINC000278500173 130697229 /nfs/dbraw/zinc/69/72/29/130697229.db2.gz AHDOWVIKUVIZDS-LURJTMIESA-N 0 2 320.289 0.912 20 0 DCADLN CCn1cc(NS(=O)(=O)c2cc(C(=O)NC)n(C)c2)cn1 ZINC000032595336 395711551 /nfs/dbraw/zinc/71/15/51/395711551.db2.gz LTLTUJHUVPIYKB-UHFFFAOYSA-N 0 2 311.367 0.402 20 0 DCADLN CN(C[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(=O)OC(C)(C)C ZINC000091587712 395726428 /nfs/dbraw/zinc/72/64/28/395726428.db2.gz XNYQNSRZHUQFLC-JTQLQIEISA-N 0 2 311.386 0.929 20 0 DCADLN CN(C[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(=O)OC(C)(C)C ZINC000091587712 395726429 /nfs/dbraw/zinc/72/64/29/395726429.db2.gz XNYQNSRZHUQFLC-JTQLQIEISA-N 0 2 311.386 0.929 20 0 DCADLN CC(=O)C[C@@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000270910157 395822440 /nfs/dbraw/zinc/82/24/40/395822440.db2.gz CHXKYUUXBVPWNF-SSDOTTSWSA-N 0 2 304.306 0.842 20 0 DCADLN CCOC(=O)C(NC(=O)C(F)(F)C(F)F)C(=O)OCC ZINC000226600412 395866562 /nfs/dbraw/zinc/86/65/62/395866562.db2.gz MYRBYLGGBONZQY-UHFFFAOYSA-N 0 2 303.208 0.498 20 0 DCADLN COCCN1CCN(CC(=O)NOCc2ccccc2)CC1=O ZINC000271462268 395867060 /nfs/dbraw/zinc/86/70/60/395867060.db2.gz FFYXNSTYDNGNKA-UHFFFAOYSA-N 0 2 321.377 0.025 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1noc(C)n1 ZINC000272206648 395877114 /nfs/dbraw/zinc/87/71/14/395877114.db2.gz ZHOJSVJNEUVNNV-UHFFFAOYSA-N 0 2 301.284 0.079 20 0 DCADLN Cc1ccc(NC(=O)CSCC(=O)NOCC(N)=O)cc1 ZINC000272278382 395881034 /nfs/dbraw/zinc/88/10/34/395881034.db2.gz SVZVMAJXGNFAAS-UHFFFAOYSA-N 0 2 311.363 0.200 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@@H](O)C[C@H]1CO ZINC000274707193 395969490 /nfs/dbraw/zinc/96/94/90/395969490.db2.gz AUSJGCMEGBVIDY-RYUDHWBXSA-N 0 2 321.308 0.285 20 0 DCADLN O=C(N[C@@H]1C[C@@H]2OCCC[C@@H]12)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000253586759 396031650 /nfs/dbraw/zinc/03/16/50/396031650.db2.gz ZQODTQYYUSSBTB-GDPRMGEGSA-N 0 2 316.317 0.733 20 0 DCADLN COC(=O)Cn1ccc(NC(=O)c2ccc3[nH]nnc3c2)n1 ZINC000067924864 396039935 /nfs/dbraw/zinc/03/99/35/396039935.db2.gz WPSNFSWBDAFKPU-UHFFFAOYSA-N 0 2 300.278 0.580 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C(F)(F)C(F)F)s1 ZINC000176116835 396149768 /nfs/dbraw/zinc/14/97/68/396149768.db2.gz COHYAANTFBYFNZ-UHFFFAOYSA-N 0 2 322.265 0.749 20 0 DCADLN Cc1ccc([C@@H]([NH3+])C(=O)NCCCN2CC[NH+](C)CC2)cc1 ZINC000262553451 396154965 /nfs/dbraw/zinc/15/49/65/396154965.db2.gz SUPXIZVIHXXAIL-MRXNPFEDSA-N 0 2 304.438 0.749 20 0 DCADLN O=C(C[NH+]1CCN(c2ccccn2)CC1)[N-]O[C@@H]1CCCCO1 ZINC000076322105 396201379 /nfs/dbraw/zinc/20/13/79/396201379.db2.gz UUIFKJVKNMMSMV-MRXNPFEDSA-N 0 2 320.393 0.778 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NCC(=O)N1CCCC1)c2=O ZINC000078909295 396257710 /nfs/dbraw/zinc/25/77/10/396257710.db2.gz JSGBVYOOQQYTHU-UHFFFAOYSA-N 0 2 314.345 0.996 20 0 DCADLN O=C([O-])c1cccc(N2CCC[C@@](O)(C(=O)N3CCCC3)C2)[nH+]1 ZINC000263398367 396215785 /nfs/dbraw/zinc/21/57/85/396215785.db2.gz IFYKUXPQYJSIKG-INIZCTEOSA-N 0 2 319.361 0.734 20 0 DCADLN CCC1(CC)[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC000185865723 396335652 /nfs/dbraw/zinc/33/56/52/396335652.db2.gz NVDNQUUNBUEBKE-RKDXNWHRSA-N 0 2 314.411 0.900 20 0 DCADLN CC(C)C[C@H]1COCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000187094513 396363015 /nfs/dbraw/zinc/36/30/15/396363015.db2.gz KAVSJUCQJLGXQU-VIFPVBQESA-N 0 2 300.384 0.464 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCO[C@H](CO)C1 ZINC000269175809 396391790 /nfs/dbraw/zinc/39/17/90/396391790.db2.gz JKZQURIFFXGMNH-LBPRGKRZSA-N 0 2 303.318 0.411 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc(NC(C)=O)ccc2C)c1O ZINC000286114039 396375897 /nfs/dbraw/zinc/37/58/97/396375897.db2.gz WIRPMTBNCVRZBP-LBPRGKRZSA-N 0 2 319.317 0.941 20 0 DCADLN Cc1cc(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC000516973660 396516558 /nfs/dbraw/zinc/51/65/58/396516558.db2.gz YCLZRSLEUUQVRS-SNVBAGLBSA-N 0 2 304.354 0.501 20 0 DCADLN Cn1nnc(CSc2nc(=O)cc(O)n2C2CCCC2)n1 ZINC000193370767 396450316 /nfs/dbraw/zinc/45/03/16/396450316.db2.gz TUEHPJHQAIXSRY-UHFFFAOYSA-N 0 2 308.367 0.880 20 0 DCADLN COC(=O)[C@H]1C[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289017949 396452995 /nfs/dbraw/zinc/45/29/95/396452995.db2.gz JVVYUQQTBFVTFM-UWVGGRQHSA-N 0 2 302.290 0.513 20 0 DCADLN COC(=O)NCCCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289060264 396454265 /nfs/dbraw/zinc/45/42/65/396454265.db2.gz NUSFGALDRGSJMZ-UHFFFAOYSA-N 0 2 319.321 0.840 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[n-]2)cc1)N1CC(n2cc[nH+]c2)C1 ZINC000530703602 396581663 /nfs/dbraw/zinc/58/16/63/396581663.db2.gz UWRFMMQHOORTPK-UHFFFAOYSA-N 0 2 311.301 0.924 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2nnc(-c3cnn(C)c3)o2)cn1 ZINC000581170865 396530850 /nfs/dbraw/zinc/53/08/50/396530850.db2.gz UJBHATCSRFUUCS-UHFFFAOYSA-N 0 2 323.338 0.487 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](C)[C@H](C)C(=O)[O-] ZINC000581432364 396554616 /nfs/dbraw/zinc/55/46/16/396554616.db2.gz UEFKIXPZGQNDBO-MNOVXSKESA-N 0 2 300.355 0.336 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](C)[C@H](C)C(=O)[O-] ZINC000581432364 396554618 /nfs/dbraw/zinc/55/46/18/396554618.db2.gz UEFKIXPZGQNDBO-MNOVXSKESA-N 0 2 300.355 0.336 20 0 DCADLN COCC[N@H+](C)CCNC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000373046610 396561959 /nfs/dbraw/zinc/56/19/59/396561959.db2.gz OKUPLUANIIOPFF-AWEZNQCLSA-N 0 2 323.441 0.887 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1cnn([C@H]2CCOC2)c1 ZINC000533549896 396605565 /nfs/dbraw/zinc/60/55/65/396605565.db2.gz CNOZKAAPXWAOKN-JTQLQIEISA-N 0 2 311.367 0.862 20 0 DCADLN C[NH+](C)CC(=O)N1CCN(C(=O)c2cccc3[nH]cnc32)CC1 ZINC000294254600 396663767 /nfs/dbraw/zinc/66/37/67/396663767.db2.gz GDYMBAZXFBFZFR-UHFFFAOYSA-N 0 2 315.377 0.409 20 0 DCADLN O=c1[nH]nc(CNc2nc3c(cnn3-c3ccccc3)c(=O)[nH]2)[nH]1 ZINC000301626876 396717323 /nfs/dbraw/zinc/71/73/23/396717323.db2.gz QNBHUTRBZNZEFR-UHFFFAOYSA-N 0 2 324.304 0.545 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C[C@@H]2CCC(=O)NC2)s[nH]1 ZINC000634148839 396796206 /nfs/dbraw/zinc/79/62/06/396796206.db2.gz QNBRLUOFRJCORO-QMMMGPOBSA-N 0 2 312.395 0.696 20 0 DCADLN CC(C)OC[C@@H]1CN(S(=O)(=O)NCC(F)(F)F)CCO1 ZINC000375581209 396775806 /nfs/dbraw/zinc/77/58/06/396775806.db2.gz AOZRWPJQEVMRBM-VIFPVBQESA-N 0 2 320.333 0.509 20 0 DCADLN C[C@@H]1OCC[C@H]1S(=O)(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000328835935 396833047 /nfs/dbraw/zinc/83/30/47/396833047.db2.gz MLVSSSBOHXLVHS-WCBMZHEXSA-N 0 2 316.383 0.197 20 0 DCADLN COC(=O)C[C@@H](O)CNC(=O)c1cc(F)cc(Cl)c1O ZINC000600455945 396846848 /nfs/dbraw/zinc/84/68/48/396846848.db2.gz BABUSCHOTZHDHO-SSDOTTSWSA-N 0 2 305.689 0.839 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ncc2c(n1)CCOC2 ZINC000634660370 396895031 /nfs/dbraw/zinc/89/50/31/396895031.db2.gz IOFRJFQBPCKKEL-UHFFFAOYSA-N 0 2 309.351 0.392 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1ncc2c(n1)CCOC2 ZINC000634660687 396896960 /nfs/dbraw/zinc/89/69/60/396896960.db2.gz XKNZWBUJDASUPT-UHFFFAOYSA-N 0 2 323.378 0.700 20 0 DCADLN CCOC(=O)Cc1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000600651045 396905409 /nfs/dbraw/zinc/90/54/09/396905409.db2.gz UEQXYOJNGLBKDS-UHFFFAOYSA-N 0 2 324.362 0.722 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC000630183229 396955577 /nfs/dbraw/zinc/95/55/77/396955577.db2.gz UNIOOPBFWTZHKI-NHYWBVRUSA-N 0 2 309.366 0.928 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC000630183229 396955582 /nfs/dbraw/zinc/95/55/82/396955582.db2.gz UNIOOPBFWTZHKI-NHYWBVRUSA-N 0 2 309.366 0.928 20 0 DCADLN CC(C)[NH+]1CCN([C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC000571730933 396981215 /nfs/dbraw/zinc/98/12/15/396981215.db2.gz KMDZGRUAOYWTOF-HNNXBMFYSA-N 0 2 305.426 0.510 20 0 DCADLN O=C(N[C@H](c1nn[nH]n1)c1ccccc1)c1ccc2n[nH]nc2c1 ZINC000618487293 396985413 /nfs/dbraw/zinc/98/54/13/396985413.db2.gz PGSZXSSBMILAQC-ZDUSSCGKSA-N 0 2 320.316 0.990 20 0 DCADLN NC(=O)[C@H]1CC[C@H](CNC(=O)c2cccc3[nH]nnc32)CC1 ZINC000572592813 397073666 /nfs/dbraw/zinc/07/36/66/397073666.db2.gz JRLFMOFVZFQPKO-MGCOHNPYSA-N 0 2 301.350 0.979 20 0 DCADLN CN1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)CCC1=O ZINC000619060143 397074982 /nfs/dbraw/zinc/07/49/82/397074982.db2.gz QEWAGZQHBDYFGT-UHFFFAOYSA-N 0 2 314.345 0.882 20 0 DCADLN C[C@H]1C[N@H+](C)CCN1C(=O)C(=O)Nc1cccc(C(=O)[O-])c1 ZINC000573120326 397136477 /nfs/dbraw/zinc/13/64/77/397136477.db2.gz MHODLCLGUYPPQH-JTQLQIEISA-N 0 2 305.334 0.486 20 0 DCADLN C[C@H]1C[N@@H+](C)CCN1C(=O)C(=O)Nc1cccc(C(=O)[O-])c1 ZINC000573120326 397136483 /nfs/dbraw/zinc/13/64/83/397136483.db2.gz MHODLCLGUYPPQH-JTQLQIEISA-N 0 2 305.334 0.486 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2C[C@@H]3COC[C@@H]3C2)c1 ZINC000601973828 397278313 /nfs/dbraw/zinc/27/83/13/397278313.db2.gz PHZDAHVUWUMNKX-SMDDFHAHSA-N 0 2 309.387 0.908 20 0 DCADLN O=C(C[C@@H]1CCCC(=O)N1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000577517453 397339533 /nfs/dbraw/zinc/33/95/33/397339533.db2.gz OLUJMLYPQXKCMT-UWVGGRQHSA-N 0 2 307.354 0.275 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC2([S@](C)=O)CC2)cn1 ZINC000577574076 397342188 /nfs/dbraw/zinc/34/21/88/397342188.db2.gz WDWNUBXGVBZCBO-QFIPXVFZSA-N 0 2 321.406 0.934 20 0 DCADLN Cn1cnnc1-c1cncc(NC(=O)C(N)C(F)(F)F)c1 ZINC000577753652 397359655 /nfs/dbraw/zinc/35/96/55/397359655.db2.gz YOUWUTWLVKMMSU-MRVPVSSYSA-N 0 2 300.244 0.705 20 0 DCADLN Cn1cnnc1-c1cncc(NC(=O)[C@@H](N)C(F)(F)F)c1 ZINC000577753652 397359660 /nfs/dbraw/zinc/35/96/60/397359660.db2.gz YOUWUTWLVKMMSU-MRVPVSSYSA-N 0 2 300.244 0.705 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC2(CCC2)[C@@H]2COC[C@H]21 ZINC000416537795 397371525 /nfs/dbraw/zinc/37/15/25/397371525.db2.gz PKNSQFIIOYOOFW-RKDXNWHRSA-N 0 2 314.329 0.884 20 0 DCADLN CO[C@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCO1 ZINC000613821009 397329212 /nfs/dbraw/zinc/32/92/12/397329212.db2.gz RHJUCJNRDMNLTB-LLVKDONJSA-N 0 2 305.290 0.475 20 0 DCADLN C[C@H]1NC(=O)CC[C@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614454928 397441772 /nfs/dbraw/zinc/44/17/72/397441772.db2.gz JTEIGMCHAFQLGD-LDYMZIIASA-N 0 2 316.317 0.427 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)NCCOC(F)(F)F)on1 ZINC000599288781 397392162 /nfs/dbraw/zinc/39/21/62/397392162.db2.gz OMVNKLOSOILOOO-UHFFFAOYSA-N 0 2 318.229 0.276 20 0 DCADLN CCc1ccccc1S(=O)(=O)Nc1ncn(CC(=O)OC)n1 ZINC000488569101 397495169 /nfs/dbraw/zinc/49/51/69/397495169.db2.gz SHMDWOMDLTUBBJ-UHFFFAOYSA-N 0 2 324.362 0.814 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C\C2CCOCC2)cn1 ZINC000492092716 397510681 /nfs/dbraw/zinc/51/06/81/397510681.db2.gz UOTBJHWMHCDZJE-ARJAWSKDSA-N 0 2 313.379 0.691 20 0 DCADLN CN1CC[N@H+](C)C[C@H]1C[NH2+]CCC(=O)Nc1cccc(F)c1 ZINC000579583129 397581358 /nfs/dbraw/zinc/58/13/58/397581358.db2.gz FAUPODTZWYURBW-OAHLLOKOSA-N 0 2 308.401 0.990 20 0 DCADLN NC(=O)CSCC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000609821031 397793560 /nfs/dbraw/zinc/79/35/60/397793560.db2.gz IQTCSPASBABTBB-UHFFFAOYSA-N 0 2 308.319 0.187 20 0 DCADLN Cc1nnnn1-c1cc(NS(=O)(=O)c2cn[nH]c2)ccc1F ZINC000068716500 158043385 /nfs/dbraw/zinc/04/33/85/158043385.db2.gz XUYZGAGVRUGNAG-UHFFFAOYSA-N 0 2 323.313 0.634 20 0 DCADLN CCS(=O)(=O)N1CCC(C(=O)Nc2nc(C)n[nH]2)CC1 ZINC000103254405 158128636 /nfs/dbraw/zinc/12/86/36/158128636.db2.gz ZIZZJLNBGWKQRK-UHFFFAOYSA-N 0 2 301.372 0.113 20 0 DCADLN CN(CCN1CCOCC1)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119207400 158183841 /nfs/dbraw/zinc/18/38/41/158183841.db2.gz WAYLNMQNFSIULQ-UHFFFAOYSA-N 0 2 319.365 0.078 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H]1c2ccccc2C[C@H]1O ZINC000127247847 158271150 /nfs/dbraw/zinc/27/11/50/158271150.db2.gz QEHZWVKZTIQIPP-ZJUUUORDSA-N 0 2 310.297 0.631 20 0 DCADLN CC(=O)N1CC[C@H](NC(=O)c2c[nH]c3nc(C)ccc3c2=O)C1 ZINC000134337005 158326015 /nfs/dbraw/zinc/32/60/15/158326015.db2.gz JDSVYMPLBXBJSB-NSHDSACASA-N 0 2 314.345 0.994 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)N3CCCCC3)CC2)[nH]1 ZINC000328850436 159034359 /nfs/dbraw/zinc/03/43/59/159034359.db2.gz RTHLQDUCZCONCS-UHFFFAOYSA-N 0 2 315.399 0.420 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)c2cn(C)nn2)[nH]1 ZINC000329539288 159100889 /nfs/dbraw/zinc/10/08/89/159100889.db2.gz CJTRBBQFEBKHDL-JTQLQIEISA-N 0 2 305.342 0.447 20 0 DCADLN CC(=O)N[C@@H](CC(=O)NCc1n[nH]c(=O)[nH]1)c1cccs1 ZINC000359280760 159260765 /nfs/dbraw/zinc/26/07/65/159260765.db2.gz VGMAXOVUUWJCHN-QMMMGPOBSA-N 0 2 309.351 0.456 20 0 DCADLN O=C(CN1CCN2C(=O)OC[C@@H]2C1)NOCc1ccccc1 ZINC000367358819 159333314 /nfs/dbraw/zinc/33/33/14/159333314.db2.gz PPNSLCHFLCRJNT-ZDUSSCGKSA-N 0 2 305.334 0.371 20 0 DCADLN CCc1nc2n(n1)C[C@@H](NS(=O)(=O)CC(F)(F)F)CC2 ZINC000408308189 160082245 /nfs/dbraw/zinc/08/22/45/160082245.db2.gz IWIPUGORDIWVKD-ZETCQYMHSA-N 0 2 312.317 0.637 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)C[C@H]2CCC(=O)[C@@H]2C)cnn1C ZINC000408485548 160118544 /nfs/dbraw/zinc/11/85/44/160118544.db2.gz QUFYGMBLBIASNM-PSASIEDQSA-N 0 2 313.379 0.539 20 0 DCADLN O=C(NCc1ccccc1OCC(F)(F)F)c1nc(=O)[nH][nH]1 ZINC000132416128 287005257 /nfs/dbraw/zinc/00/52/57/287005257.db2.gz GSXQTGMJGMLLSI-UHFFFAOYSA-N 0 2 316.239 0.969 20 0 DCADLN CNC(=O)[C@H]1CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355566340 287185399 /nfs/dbraw/zinc/18/53/99/287185399.db2.gz AYFSWGFRLRVWHZ-SNVBAGLBSA-N 0 2 303.322 0.025 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(-n2cnnn2)c1 ZINC000342431013 415175918 /nfs/dbraw/zinc/17/59/18/415175918.db2.gz KEZLVCCDOVTZRR-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN CCC[C@@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000268494316 415224824 /nfs/dbraw/zinc/22/48/24/415224824.db2.gz PRTDGVAMORURBU-RKDXNWHRSA-N 0 2 318.399 0.418 20 0 DCADLN CC(=O)N(C)CC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000274588061 415356948 /nfs/dbraw/zinc/35/69/48/415356948.db2.gz QBOZCPUTFVNHNI-UHFFFAOYSA-N 0 2 317.349 0.478 20 0 DCADLN Cc1ccc(F)cc1S(=O)(=O)Nc1cnn(CC(N)=O)c1 ZINC000055597290 415331208 /nfs/dbraw/zinc/33/12/08/415331208.db2.gz IWPAGEJFLCKQFK-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN CNS(=O)(=O)c1cccc(NC(=O)C(N)C(F)(F)F)c1 ZINC000353155394 415453873 /nfs/dbraw/zinc/45/38/73/415453873.db2.gz AVYFSJXZHRDVNK-QMMMGPOBSA-N 0 2 311.285 0.423 20 0 DCADLN CNS(=O)(=O)c1cccc(NC(=O)[C@H](N)C(F)(F)F)c1 ZINC000353155394 415453882 /nfs/dbraw/zinc/45/38/82/415453882.db2.gz AVYFSJXZHRDVNK-QMMMGPOBSA-N 0 2 311.285 0.423 20 0 DCADLN O=C(CCOc1ccc(F)cc1Cl)NCc1n[nH]c(=O)[nH]1 ZINC000085382979 415506522 /nfs/dbraw/zinc/50/65/22/415506522.db2.gz YFIJKULPCFVNQD-UHFFFAOYSA-N 0 2 314.704 0.976 20 0 DCADLN Cc1n[nH]c(NC(=O)CN2c3ccccc3O[C@H](C)C2=O)n1 ZINC000103255291 415613608 /nfs/dbraw/zinc/61/36/08/415613608.db2.gz PSGKWLPWGZKLMW-MRVPVSSYSA-N 0 2 301.306 0.866 20 0 DCADLN CC[C@H](C)[N@H+](C)CCNC(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC000285820992 415618291 /nfs/dbraw/zinc/61/82/91/415618291.db2.gz BUSRBDMILSDSAV-AWEZNQCLSA-N 0 2 323.441 0.578 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cccnc2C)cn1C ZINC000343525993 415627043 /nfs/dbraw/zinc/62/70/43/415627043.db2.gz YFXOPBMVDRDORD-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN Cc1noc(CNC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)n1 ZINC000353782759 415655659 /nfs/dbraw/zinc/65/56/59/415655659.db2.gz WLMYYTWBRCEDER-UHFFFAOYSA-N 0 2 316.277 0.366 20 0 DCADLN CCC(CC)NC(=O)[C@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000353891597 415685488 /nfs/dbraw/zinc/68/54/88/415685488.db2.gz GUFQSWFIIWCRPC-QMMMGPOBSA-N 0 2 318.399 0.418 20 0 DCADLN O=C(N[C@@H]1CCc2ncnn2C1)N1CCO[C@H](C2CCC2)C1 ZINC000334028632 415789273 /nfs/dbraw/zinc/78/92/73/415789273.db2.gz JIXIAUBHGGOTIY-OLZOCXBDSA-N 0 2 305.382 0.803 20 0 DCADLN O=C(Cc1n[nH]c2ccccc12)NCCCc1n[nH]c(=O)[nH]1 ZINC000354331396 415792727 /nfs/dbraw/zinc/79/27/27/415792727.db2.gz MDBLQCDKNOLDHH-UHFFFAOYSA-N 0 2 300.322 0.678 20 0 DCADLN O=C(Cc1[nH]nc2ccccc21)NCCCc1n[nH]c(=O)[nH]1 ZINC000354331396 415792729 /nfs/dbraw/zinc/79/27/29/415792729.db2.gz MDBLQCDKNOLDHH-UHFFFAOYSA-N 0 2 300.322 0.678 20 0 DCADLN CCOC(=O)C(C)(C)ONC(=O)CNC(=O)c1ccccc1 ZINC000295452556 415894769 /nfs/dbraw/zinc/89/47/69/415894769.db2.gz BFGCWOINDSLOLI-UHFFFAOYSA-N 0 2 308.334 0.806 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC[C@H](O)C(F)(F)F)c2=O ZINC000337043223 415897037 /nfs/dbraw/zinc/89/70/37/415897037.db2.gz NNFOISHHRFPXAQ-QMMMGPOBSA-N 0 2 318.255 0.717 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)COC2CCCC2)s1 ZINC000298961588 416041908 /nfs/dbraw/zinc/04/19/08/416041908.db2.gz XPMAVBANEXNGTG-UHFFFAOYSA-N 0 2 320.396 0.807 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)N1CCOCC1 ZINC000181604639 416210045 /nfs/dbraw/zinc/21/00/45/416210045.db2.gz HAYYQUQHJSDHQX-UHFFFAOYSA-N 0 2 308.363 0.869 20 0 DCADLN CNC(=O)[C@H]1CCC[C@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358355955 416228766 /nfs/dbraw/zinc/22/87/66/416228766.db2.gz QDWLAYHKWIKELH-GZMMTYOYSA-N 0 2 317.349 0.318 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccn(-c2ccc(F)cc2)n1 ZINC000358364402 416229052 /nfs/dbraw/zinc/22/90/52/416229052.db2.gz ZGBYRYWCUNUNJV-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN CC1CC[NH+](C[C@@H](O)CNc2ncnc3c2C[N@H+](C)CC3)CC1 ZINC000329258753 416159684 /nfs/dbraw/zinc/15/96/84/416159684.db2.gz FHYXESLKQJEZHH-AWEZNQCLSA-N 0 2 319.453 0.969 20 0 DCADLN CNC(=O)[C@@H]1CN(C(=O)c2cc(F)c(O)c(F)c2)CCO1 ZINC000183514291 416244478 /nfs/dbraw/zinc/24/44/78/416244478.db2.gz IPBOZHXJKGWRKW-JTQLQIEISA-N 0 2 300.261 0.257 20 0 DCADLN O=C(CN1CCc2ccccc21)NCCCc1n[nH]c(=O)[nH]1 ZINC000358585516 416258040 /nfs/dbraw/zinc/25/80/40/416258040.db2.gz SNKSVUSJEIBUDF-UHFFFAOYSA-N 0 2 301.350 0.622 20 0 DCADLN CSCc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000342040508 416272180 /nfs/dbraw/zinc/27/21/80/416272180.db2.gz FGAMCYNMLVVIEN-UHFFFAOYSA-N 0 2 321.362 0.628 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cc(F)c(F)c(F)c1 ZINC000342042381 416273111 /nfs/dbraw/zinc/27/31/11/416273111.db2.gz FPXWOSGHVQHUSD-UHFFFAOYSA-N 0 2 315.211 0.183 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2nc3ccccn3n2)nc1C ZINC000358796044 416276388 /nfs/dbraw/zinc/27/63/88/416276388.db2.gz BWUHAFNLTLFRGY-UHFFFAOYSA-N 0 2 306.351 1.055 20 0 DCADLN C[NH+](C)Cc1cccc(C(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1 ZINC000352279839 416316004 /nfs/dbraw/zinc/31/60/04/416316004.db2.gz MGHIIDIWWAOJRL-CVEARBPZSA-N 0 2 303.406 0.903 20 0 DCADLN C[C@@H](CC[S@@](C)=O)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000359438993 416346044 /nfs/dbraw/zinc/34/60/44/416346044.db2.gz MYISWPQJBXEYMS-LWHSBAFCSA-N 0 2 324.362 0.323 20 0 DCADLN COCCCOC1CN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000195346031 416326075 /nfs/dbraw/zinc/32/60/75/416326075.db2.gz IRKJXKYEDQAFEO-UHFFFAOYSA-N 0 2 306.306 0.120 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@](O)(C(F)(F)F)C1 ZINC000195315283 416326173 /nfs/dbraw/zinc/32/61/73/416326173.db2.gz WFBCLYJVGSGUNG-RXMQYKEDSA-N 0 2 316.223 0.382 20 0 DCADLN C[C@H]1CN(S(=O)(=O)Nc2ccccc2C(N)=O)[C@@H](C)CO1 ZINC000360219294 416380063 /nfs/dbraw/zinc/38/00/63/416380063.db2.gz TWXYTBZARQTBFE-UWVGGRQHSA-N 0 2 313.379 0.551 20 0 DCADLN Cc1n[nH]c(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)c1C ZINC000533039679 416470277 /nfs/dbraw/zinc/47/02/77/416470277.db2.gz YRXNCUAOPHJPLF-UHFFFAOYSA-N 0 2 319.350 0.803 20 0 DCADLN Cc1[nH]nc(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)c1C ZINC000533039679 416470284 /nfs/dbraw/zinc/47/02/84/416470284.db2.gz YRXNCUAOPHJPLF-UHFFFAOYSA-N 0 2 319.350 0.803 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1cc(OC(F)F)n(C)n1)OC ZINC000421158943 416420529 /nfs/dbraw/zinc/42/05/29/416420529.db2.gz SSWQADZKWXRWSJ-SSDOTTSWSA-N 0 2 313.326 1.188 20 0 DCADLN CCCC[C@H](NC(=O)C(=O)Nc1c[nH+]ccc1OC)C(=O)[O-] ZINC000422671127 416446741 /nfs/dbraw/zinc/44/67/41/416446741.db2.gz MGQMBKYTPHNLPW-VIFPVBQESA-N 0 2 309.322 0.788 20 0 DCADLN C[C@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000594392005 416561744 /nfs/dbraw/zinc/56/17/44/416561744.db2.gz RBGPEFGMARAPIX-SSDOTTSWSA-N 0 2 316.383 0.696 20 0 DCADLN C[C@@H]1CC[NH2+][C@H](C(=O)N2CC[NH+](Cc3cnn(C)c3)CC2)C1 ZINC000423433763 416511089 /nfs/dbraw/zinc/51/10/89/416511089.db2.gz PMMOBXQRCNEEPV-HIFRSBDPSA-N 0 2 305.426 0.452 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1cnn(CC(=O)OC)c1 ZINC000437402535 416583741 /nfs/dbraw/zinc/58/37/41/416583741.db2.gz BYOMYESJRQGTNT-UHFFFAOYSA-N 0 2 313.339 0.073 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C\c1ccc(C)nc1 ZINC000493387541 416640580 /nfs/dbraw/zinc/64/05/80/416640580.db2.gz NWNFATUJVKXRSJ-WAYWQWQTSA-N 0 2 322.346 0.787 20 0 DCADLN COc1cccc(S([O-])=CC(=O)NCCn2cc[nH+]c2)c1 ZINC000424582622 416665999 /nfs/dbraw/zinc/66/59/99/416665999.db2.gz JNXXLBAWTKIWSD-OAQYLSRUSA-N 0 2 307.375 0.816 20 0 DCADLN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)C(=O)Nc1ncccc1O ZINC000614827429 416710410 /nfs/dbraw/zinc/71/04/10/416710410.db2.gz ROSFLFVEQAIMLN-JGVFFNPUSA-N 0 2 304.310 0.298 20 0 DCADLN C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)C(=O)Nc1ncccc1O ZINC000614827429 416710413 /nfs/dbraw/zinc/71/04/13/416710413.db2.gz ROSFLFVEQAIMLN-JGVFFNPUSA-N 0 2 304.310 0.298 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H](CO)c1cccc(F)c1 ZINC000442958394 416722267 /nfs/dbraw/zinc/72/22/67/416722267.db2.gz RNCZPKDDTIKYGE-SECBINFHSA-N 0 2 316.276 0.845 20 0 DCADLN CC[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@@H](O)C(F)(F)F ZINC000443034111 416726377 /nfs/dbraw/zinc/72/63/77/416726377.db2.gz CTDYQPLZBIXFQI-RFZPGFLSSA-N 0 2 318.239 0.675 20 0 DCADLN CNC(=O)c1cccc(NS(=O)(=O)c2cnn(C)c2C)c1 ZINC000427108084 416799586 /nfs/dbraw/zinc/79/95/86/416799586.db2.gz PKHYSILMGOCSIZ-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000517741551 416821119 /nfs/dbraw/zinc/82/11/19/416821119.db2.gz AMKZBOLXRJTJFJ-BBRMVZONSA-N 0 2 310.394 0.953 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000517741551 416821124 /nfs/dbraw/zinc/82/11/24/416821124.db2.gz AMKZBOLXRJTJFJ-BBRMVZONSA-N 0 2 310.394 0.953 20 0 DCADLN Cc1n[nH]c(NS(=O)(=O)c2cccc(-n3cnnn3)c2)c1C ZINC000559691314 416821978 /nfs/dbraw/zinc/82/19/78/416821978.db2.gz IHURFNRCZWOXON-UHFFFAOYSA-N 0 2 319.350 0.803 20 0 DCADLN Cc1[nH]nc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)c1C ZINC000559691314 416821985 /nfs/dbraw/zinc/82/19/85/416821985.db2.gz IHURFNRCZWOXON-UHFFFAOYSA-N 0 2 319.350 0.803 20 0 DCADLN COC(=O)C[C@H](C)CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000518561136 416867314 /nfs/dbraw/zinc/86/73/14/416867314.db2.gz HCYHYQZUCBOFSY-ZJUUUORDSA-N 0 2 310.354 0.806 20 0 DCADLN CCCN(C(=O)CSc1n[nH]c(=O)[nH]1)[C@H](C)C(=O)OCC ZINC000444679867 416857898 /nfs/dbraw/zinc/85/78/98/416857898.db2.gz VYGAISBHRMSMMQ-MRVPVSSYSA-N 0 2 316.383 0.793 20 0 DCADLN CCN1C[C@@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1=O ZINC000615849383 416920808 /nfs/dbraw/zinc/92/08/08/416920808.db2.gz HOGOAJSQKHTFNQ-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN CNC(=O)C[C@@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000615972617 416946489 /nfs/dbraw/zinc/94/64/89/416946489.db2.gz YDDVQDFDDAWVJT-MRVPVSSYSA-N 0 2 304.306 0.284 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000430650001 417055136 /nfs/dbraw/zinc/05/51/36/417055136.db2.gz BQVCNRLITXCCIM-QWRGUYRKSA-N 0 2 308.334 0.348 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000430650001 417055143 /nfs/dbraw/zinc/05/51/43/417055143.db2.gz BQVCNRLITXCCIM-QWRGUYRKSA-N 0 2 308.334 0.348 20 0 DCADLN COC(=O)Cc1cccc(NC(=O)CSc2n[nH]c(=O)[nH]2)n1 ZINC000616512473 417068660 /nfs/dbraw/zinc/06/86/60/417068660.db2.gz SFKIOUURZINUAO-UHFFFAOYSA-N 0 2 323.334 0.352 20 0 DCADLN O=C([O-])c1cnc(C(=O)NCCc2cn3c([nH+]2)CCCC3)cn1 ZINC000568390141 417132517 /nfs/dbraw/zinc/13/25/17/417132517.db2.gz VKAJMDBDNHXLJR-UHFFFAOYSA-N 0 2 315.333 0.680 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nnc(C)s2)c[nH]1 ZINC000437194221 287361383 /nfs/dbraw/zinc/36/13/83/287361383.db2.gz MBFPODJTNOSYIL-UHFFFAOYSA-N 0 2 302.337 0.762 20 0 DCADLN CS(=O)(=O)N1CCC(NC(=O)c2cccc3[nH]nnc32)CC1 ZINC000525864794 417158152 /nfs/dbraw/zinc/15/81/52/417158152.db2.gz ZIWHLPZAXPDHAN-UHFFFAOYSA-N 0 2 323.378 0.112 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-])C(=O)OC ZINC000568633164 417158455 /nfs/dbraw/zinc/15/84/55/417158455.db2.gz DSUVSJZBASFQCC-JFGNBEQYSA-N 0 2 300.355 0.239 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-])C(=O)OC ZINC000568633164 417158461 /nfs/dbraw/zinc/15/84/61/417158461.db2.gz DSUVSJZBASFQCC-JFGNBEQYSA-N 0 2 300.355 0.239 20 0 DCADLN CC(=O)N[C@H](CC(C)C)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000626929702 417174844 /nfs/dbraw/zinc/17/48/44/417174844.db2.gz QLMXUSZGDFZITK-VXGBXAGGSA-N 0 2 323.397 0.767 20 0 DCADLN CC(C)OC[C@H](CO)N(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000432597774 417193809 /nfs/dbraw/zinc/19/38/09/417193809.db2.gz MVANMBUCZNQTEP-JTQLQIEISA-N 0 2 322.365 0.532 20 0 DCADLN COC(=O)[C@H](CC(C)C)N(C)S(=O)(=O)NCC(F)(F)F ZINC000451732815 417386011 /nfs/dbraw/zinc/38/60/11/417386011.db2.gz ZPZPBVPJTLIFJF-QMMMGPOBSA-N 0 2 320.333 0.903 20 0 DCADLN Cc1cc(C(F)(F)F)nn1CC(=O)N(C)CC1N=NC(=O)O1 ZINC000622115703 417401220 /nfs/dbraw/zinc/40/12/20/417401220.db2.gz DZNYHLWATOWEJY-UHFFFAOYSA-N 0 2 319.243 0.958 20 0 DCADLN Cc1cc(CNS(=O)(=O)c2c(C(=O)[O-])cnn2C)cc(C)[nH+]1 ZINC000530151683 417544803 /nfs/dbraw/zinc/54/48/03/417544803.db2.gz CZFWQFBAZKFBKT-UHFFFAOYSA-N 0 2 324.362 0.609 20 0 DCADLN Cc1cc(C(=O)N(C)CC(C)(C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000575055051 417504957 /nfs/dbraw/zinc/50/49/57/417504957.db2.gz MONBZYMNYGWDGE-UHFFFAOYSA-N 0 2 306.322 0.587 20 0 DCADLN CNC(=O)Cc1ccc(NS(=O)(=O)c2cnn(C)c2C)cc1 ZINC000644613279 417630696 /nfs/dbraw/zinc/63/06/96/417630696.db2.gz VLUOEVRRUDTRDW-UHFFFAOYSA-N 0 2 322.390 0.818 20 0 DCADLN CC(C)C[C@H]1C(=O)NCCC[N@H+]1Cc1cc(C(=O)[O-])nn1C ZINC000635313302 417635538 /nfs/dbraw/zinc/63/55/38/417635538.db2.gz VEZRYTOKWJEFFP-ZDUSSCGKSA-N 0 2 308.382 0.855 20 0 DCADLN CC(C)C[C@H]1C(=O)NCCC[N@@H+]1Cc1cc(C(=O)[O-])nn1C ZINC000635313302 417635540 /nfs/dbraw/zinc/63/55/40/417635540.db2.gz VEZRYTOKWJEFFP-ZDUSSCGKSA-N 0 2 308.382 0.855 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)N[C@H](C)c2[nH+]ccn2C)C1 ZINC000635519548 417687427 /nfs/dbraw/zinc/68/74/27/417687427.db2.gz PIINNQUOOJJTPZ-QMTHXVAHSA-N 0 2 310.354 0.614 20 0 DCADLN C[C@H](CNC(=O)NCc1cccc(C(=O)[O-])c1)[NH+]1CCOCC1 ZINC000635505065 417681161 /nfs/dbraw/zinc/68/11/61/417681161.db2.gz HQPYDYOCLZNLGG-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN CC(C)(C)C1CN(C(=O)C(=O)N=c2nc3n([nH]2)CCCC3)C1 ZINC000651965109 417826197 /nfs/dbraw/zinc/82/61/97/417826197.db2.gz IMOVXEQUTVVUKS-UHFFFAOYSA-N 0 2 305.382 0.479 20 0 DCADLN CCN(C(=O)C(=O)N=c1nc2n([nH]1)CCCC2)[C@H]1CCCOC1 ZINC000652026217 417838196 /nfs/dbraw/zinc/83/81/96/417838196.db2.gz GKGLINULLDNOHE-NSHDSACASA-N 0 2 321.381 0.002 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@@H](C3CC3)[C@H]2C2CC2)[nH]1 ZINC000651837364 417793093 /nfs/dbraw/zinc/79/30/93/417793093.db2.gz AGDHCOHCLZERNN-QWHCGFSZSA-N 0 2 317.393 0.866 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@H](O)CC(C)(C)C2)[nH]1 ZINC000651840456 417793794 /nfs/dbraw/zinc/79/37/94/417793794.db2.gz NLKBSQFRUNHOBD-NSHDSACASA-N 0 2 323.397 0.229 20 0 DCADLN CO[C@H]([C@@H](C)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CC1 ZINC000651840153 417794376 /nfs/dbraw/zinc/79/43/76/417794376.db2.gz CJBWCUXGXUQDCR-MWLCHTKSSA-N 0 2 309.370 0.149 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CC2(COC)CCC2)[nH]1 ZINC000657105138 417812261 /nfs/dbraw/zinc/81/22/61/417812261.db2.gz SUQNTDGRCCEHBQ-UHFFFAOYSA-N 0 2 318.399 0.187 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)N[C@@H](C(=O)[O-])[C@@H]1CCCOC1 ZINC000652067306 417842264 /nfs/dbraw/zinc/84/22/64/417842264.db2.gz SUOZXVFQVQNYJG-IAQYHMDHSA-N 0 2 321.377 0.758 20 0 DCADLN O=C([O-])[C@@H](CC(F)F)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000652071387 417844884 /nfs/dbraw/zinc/84/48/84/417844884.db2.gz SRZRLWKRBRIQMT-SECBINFHSA-N 0 2 301.293 0.987 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)N2CC[C@@H]3C[N@H+](C)C[C@@H]32)cn1 ZINC000646934925 417955259 /nfs/dbraw/zinc/95/52/59/417955259.db2.gz XWSSKOVKGOGMMH-MFKMUULPSA-N 0 2 300.366 0.586 20 0 DCADLN COCc1nc2n(n1)C[C@@H](Nc1ccc(C(=O)[O-])c[nH+]1)CC2 ZINC000647451579 418011371 /nfs/dbraw/zinc/01/13/71/418011371.db2.gz ACBORHDCDVOMRY-JTQLQIEISA-N 0 2 303.322 0.945 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCC[C@@H](CO)CC1 ZINC000647540391 418020604 /nfs/dbraw/zinc/02/06/04/418020604.db2.gz ZPSFNIJJHMCTHE-SECBINFHSA-N 0 2 318.333 0.671 20 0 DCADLN C[N@@H+]1CCN(C(=O)Nc2ccc3c(cc[nH]c3=O)c2)[C@@H](C[NH3+])C1 ZINC000659027851 418047946 /nfs/dbraw/zinc/04/79/46/418047946.db2.gz KKKLGYNIEUCDGH-ZDUSSCGKSA-N 0 2 315.377 0.635 20 0 DCADLN O=C([O-])CN(CC1CC1)C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000653325212 418059131 /nfs/dbraw/zinc/05/91/31/418059131.db2.gz QIXVWXZNFVNPNM-UHFFFAOYSA-N 0 2 301.306 0.599 20 0 DCADLN Cc1cc(NC(=O)C(N)C(F)(F)F)ccc1-n1cnnn1 ZINC000647954308 418079364 /nfs/dbraw/zinc/07/93/64/418079364.db2.gz AHNRGADUXZSDBN-SECBINFHSA-N 0 2 300.244 0.799 20 0 DCADLN Cc1cc(NC(=O)[C@@H](N)C(F)(F)F)ccc1-n1cnnn1 ZINC000647954308 418079366 /nfs/dbraw/zinc/07/93/66/418079366.db2.gz AHNRGADUXZSDBN-SECBINFHSA-N 0 2 300.244 0.799 20 0 DCADLN NC(=O)[C@@H]1CC[C@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000654698930 418179409 /nfs/dbraw/zinc/17/94/09/418179409.db2.gz OYXOBDVRSMIYEL-NXEZZACHSA-N 0 2 302.290 0.480 20 0 DCADLN CCc1c(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)cnn1C ZINC000648888431 418170044 /nfs/dbraw/zinc/17/00/44/418170044.db2.gz ZFABQGAVMDBSLE-UHFFFAOYSA-N 0 2 304.354 0.826 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1CCCO)[C@H]1CCc2c[nH+]cn2C1 ZINC000649225741 418212095 /nfs/dbraw/zinc/21/20/95/418212095.db2.gz FQPUOJUVROQXSO-UONOGXRCSA-N 0 2 306.410 0.409 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(c3cncc(F)c3)CC2)c1O ZINC000655033905 418217696 /nfs/dbraw/zinc/21/76/96/418217696.db2.gz MVAYEZHSBLLBQQ-UHFFFAOYSA-N 0 2 305.313 0.920 20 0 DCADLN CCN1C[C@H](CNC(=O)N=c2ccc(C3CC3)n[nH]2)CC1=O ZINC000650084916 418291780 /nfs/dbraw/zinc/29/17/80/418291780.db2.gz SLOKRVJNABXCDU-JTQLQIEISA-N 0 2 303.366 0.766 20 0 DCADLN COCCO[C@@H]1C[C@H](CO)CN(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000650768626 418323191 /nfs/dbraw/zinc/32/31/91/418323191.db2.gz AZHDZEDTBZYCOS-WCQYABFASA-N 0 2 310.350 0.630 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H](C)Oc2ccc(C)cc2)[nH]n1 ZINC000650815091 418326057 /nfs/dbraw/zinc/32/60/57/418326057.db2.gz HSIWNCUDQWJAFK-NSHDSACASA-N 0 2 314.345 0.973 20 0 DCADLN O=C(Cn1nc2n(c1=O)CCCC2)N=c1ccc(C2CC2)n[nH]1 ZINC000649738926 418270799 /nfs/dbraw/zinc/27/07/99/418270799.db2.gz ZHMQNHODSYYDJZ-UHFFFAOYSA-N 0 2 314.349 0.109 20 0 DCADLN Cn1nccc1[C@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(=O)[O-] ZINC000655547037 418273744 /nfs/dbraw/zinc/27/37/44/418273744.db2.gz GSFFNQMEAILXLM-SKDRFNHKSA-N 0 2 303.322 0.121 20 0 DCADLN O=C([O-])C1(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)CCOCC1 ZINC000655547354 418273893 /nfs/dbraw/zinc/27/38/93/418273893.db2.gz MALJTEBWMCDMJH-NSHDSACASA-N 0 2 307.350 0.443 20 0 DCADLN CCn1ccc(NS(=O)(=O)C[C@H](OC)[C@@H]2CCOC2)n1 ZINC000656633438 418367726 /nfs/dbraw/zinc/36/77/26/418367726.db2.gz BSICWSHOZLZESG-MNOVXSKESA-N 0 2 303.384 0.696 20 0 DCADLN CCn1ccc(NS(=O)(=O)C[C@@H](OC)[C@H]2CCOC2)n1 ZINC000656633441 418367806 /nfs/dbraw/zinc/36/78/06/418367806.db2.gz BSICWSHOZLZESG-WDEREUQCSA-N 0 2 303.384 0.696 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@H](c3cccs3)C2=O)[nH]1 ZINC000656832564 418377724 /nfs/dbraw/zinc/37/77/24/418377724.db2.gz MWBFIWAPBCENSH-JTQLQIEISA-N 0 2 307.335 0.806 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)Cc2cccs2)[nH]1 ZINC000651512576 418386805 /nfs/dbraw/zinc/38/68/05/418386805.db2.gz QRWJESZFXDMREK-UHFFFAOYSA-N 0 2 307.379 0.940 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCN(c3ccccc3F)CC2)C1=O ZINC000662203868 418387637 /nfs/dbraw/zinc/38/76/37/418387637.db2.gz FOEUVUAPKUZWEJ-AWEZNQCLSA-N 0 2 321.352 0.633 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H]2C[C@H]2c2ccccc2)[nH]1 ZINC000651535101 418388164 /nfs/dbraw/zinc/38/81/64/418388164.db2.gz BZIDFQLUQWNORJ-QWHCGFSZSA-N 0 2 313.361 0.892 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCO[C@H](C3CCCCC3)C2)C1=O ZINC000662210363 418388790 /nfs/dbraw/zinc/38/87/90/418388790.db2.gz FNCUCJDYCWZTDL-KGLIPLIRSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCO[C@H](C3CCCCC3)C2)C1=O ZINC000662210363 418388792 /nfs/dbraw/zinc/38/87/92/418388792.db2.gz FNCUCJDYCWZTDL-KGLIPLIRSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCN(c3ccccc3)CC2)C1=O ZINC000662205925 418388902 /nfs/dbraw/zinc/38/89/02/418388902.db2.gz OCHNJWQTGGGMTO-CQSZACIVSA-N 0 2 303.362 0.494 20 0 DCADLN CO[C@H](C)CN(C(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C(C)C ZINC000651717755 418406322 /nfs/dbraw/zinc/40/63/22/418406322.db2.gz RHHTVPNOOHDKCR-LLVKDONJSA-N 0 2 311.386 0.491 20 0 DCADLN CO[C@]1(C)CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651764893 418409435 /nfs/dbraw/zinc/40/94/35/418409435.db2.gz TWUZWWKZNSMNPZ-OAHLLOKOSA-N 0 2 323.397 0.637 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@H]2CCC(C)(C)O2)[nH]1 ZINC000651683787 418403423 /nfs/dbraw/zinc/40/34/23/418403423.db2.gz NKVJSVDGWFWTAH-JTQLQIEISA-N 0 2 309.370 0.293 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)c1nccn(C)c1=O ZINC000186581214 261121148 /nfs/dbraw/zinc/12/11/48/261121148.db2.gz MNMKGDBULZLRKY-UHFFFAOYSA-N 0 2 303.347 0.715 20 0 DCADLN COc1ccc(OC)c([C@H]2C[C@@H]2C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000350035286 261187904 /nfs/dbraw/zinc/18/79/04/261187904.db2.gz RCPCJSFZGLWUGC-KOLCDFICSA-N 0 2 318.333 0.947 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nncs2)cn1 ZINC000354222939 261192467 /nfs/dbraw/zinc/19/24/67/261192467.db2.gz PXWGLDMFTQREBU-UHFFFAOYSA-N 0 2 300.321 0.521 20 0 DCADLN CCNC(=O)CNC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000354446024 261219713 /nfs/dbraw/zinc/21/97/13/261219713.db2.gz MXVZMJQLYYZRKO-UHFFFAOYSA-N 0 2 318.333 0.452 20 0 DCADLN CSc1nc(NC(=O)c2ccc(S(N)(=O)=O)o2)n[nH]1 ZINC000354759358 261269184 /nfs/dbraw/zinc/26/91/84/261269184.db2.gz GQANAAFZFTYJTK-UHFFFAOYSA-N 0 2 303.325 0.019 20 0 DCADLN CSc1n[nH]c(NC(=O)c2ccc(S(N)(=O)=O)o2)n1 ZINC000354759358 261269188 /nfs/dbraw/zinc/26/91/88/261269188.db2.gz GQANAAFZFTYJTK-UHFFFAOYSA-N 0 2 303.325 0.019 20 0 DCADLN Cn1cnc(-c2cccc(NC(=O)NCc3n[nH]c(=O)[nH]3)c2)n1 ZINC000355169649 261314638 /nfs/dbraw/zinc/31/46/38/261314638.db2.gz VEQJFIGCMZRZHV-UHFFFAOYSA-N 0 2 314.309 0.628 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCC(CC(N)=O)CC1)c2=O ZINC000355700471 261357341 /nfs/dbraw/zinc/35/73/41/261357341.db2.gz KBEDSOSYMOLWGW-UHFFFAOYSA-N 0 2 317.349 0.402 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000355700306 261357558 /nfs/dbraw/zinc/35/75/58/261357558.db2.gz HVPWKSLXSYVYIZ-SNVBAGLBSA-N 0 2 317.349 0.415 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(C)C ZINC000355863488 261371303 /nfs/dbraw/zinc/37/13/03/261371303.db2.gz XORLAIXJVKOYRQ-JTQLQIEISA-N 0 2 306.322 0.601 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCOCC(F)(F)F)c2=O ZINC000355864801 261371356 /nfs/dbraw/zinc/37/13/56/261371356.db2.gz PCUSWBMVIKPWJP-UHFFFAOYSA-N 0 2 318.255 0.983 20 0 DCADLN C[C@@H](O)[C@@H](CO)NC(=O)c1cc(F)cc(Br)c1O ZINC000412425366 262188688 /nfs/dbraw/zinc/18/86/88/262188688.db2.gz JMFKNIPDDWHIQN-MLUIRONXSA-N 0 2 322.130 0.765 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N(C)C[C@@H](O)CO)=N1 ZINC000355620780 271015816 /nfs/dbraw/zinc/01/58/16/271015816.db2.gz QAOGVCXHCRSBAT-LLVKDONJSA-N 0 2 323.324 0.451 20 0 DCADLN CCOc1ccc2c(c1)C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)CO2 ZINC000359792602 271144804 /nfs/dbraw/zinc/14/48/04/271144804.db2.gz AAGHZSUMWQXIEN-JTQLQIEISA-N 0 2 318.333 0.777 20 0 DCADLN Cc1c(C(=O)NCc2n[nH]c(=O)[nH]2)cccc1N1CCNC1=O ZINC000359793405 271145630 /nfs/dbraw/zinc/14/56/30/271145630.db2.gz BNHOKEYJIHUIHH-UHFFFAOYSA-N 0 2 316.321 0.278 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2ccccc2O)cn1C ZINC000433805202 271504754 /nfs/dbraw/zinc/50/47/54/271504754.db2.gz LQFZBQNCFFKYNI-UHFFFAOYSA-N 0 2 309.347 0.891 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C/c1ccncc1 ZINC000492090973 272115630 /nfs/dbraw/zinc/11/56/30/272115630.db2.gz CCZHMQIQVINEMW-SNAWJCMRSA-N 0 2 320.374 0.950 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)[C@@H](C)COC ZINC000492475035 272132194 /nfs/dbraw/zinc/13/21/94/272132194.db2.gz QAWRELZAPNXMJB-OMMCCPJFSA-N 0 2 301.368 0.397 20 0 DCADLN COc1ccc(/C=C/C(=O)NS(=O)(=O)c2ccnn2C)cc1 ZINC000492560081 272135067 /nfs/dbraw/zinc/13/50/67/272135067.db2.gz CMZFZBVFWYHUQL-VMPITWQZSA-N 0 2 321.358 0.947 20 0 DCADLN COc1c(S(=O)(=O)NC(=O)/C=C\c2ccc[nH]2)c(C)nn1C ZINC000493247074 272177348 /nfs/dbraw/zinc/17/73/48/272177348.db2.gz CKYQDDAGAVHEGH-SREVYHEPSA-N 0 2 324.362 0.584 20 0 DCADLN O=C(C=Cc1ccncn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000493499688 272193325 /nfs/dbraw/zinc/19/33/25/272193325.db2.gz ZTVZWZHWFMFHIO-OWOJBTEDSA-N 0 2 300.322 0.720 20 0 DCADLN CN1C[C@@H](COC(=O)C(C)(C)NC(=O)C(F)(F)F)OC1=O ZINC000495664938 272263520 /nfs/dbraw/zinc/26/35/20/272263520.db2.gz POUZSWMUVIRURB-LURJTMIESA-N 0 2 312.244 0.437 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)[C@H]2CN3CCN2CCC3)s[nH]1 ZINC000536538258 287856236 /nfs/dbraw/zinc/85/62/36/287856236.db2.gz ARPFTEJIQGCJAY-SNVBAGLBSA-N 0 2 309.439 0.586 20 0 DCADLN C[C@H](CNC(=O)C1=NN(c2ccccc2)CC1=O)S(C)(=O)=O ZINC000549151975 288193674 /nfs/dbraw/zinc/19/36/74/288193674.db2.gz IEVSTRDYODUPCI-SNVBAGLBSA-N 0 2 323.374 0.741 20 0 DCADLN C[NH+]1CCO[C@@H](C(=O)Nc2cccc(C[N@H+]3CC[C@@H](O)C3)c2)C1 ZINC000552198891 288268758 /nfs/dbraw/zinc/26/87/58/288268758.db2.gz IQODOGQVYVVAIV-HZPDHXFCSA-N 0 2 319.405 0.522 20 0 DCADLN CC(C)(C)c1ncc(NC(=O)CSc2n[nH]c(=O)[nH]2)cn1 ZINC000187021173 332955375 /nfs/dbraw/zinc/95/53/75/332955375.db2.gz UXIMJCRDVNGTIK-UHFFFAOYSA-N 0 2 308.367 0.916 20 0 DCADLN CC(=O)N1CC(C(=O)Nc2cc(-c3n[nH]c(=O)[nH]3)ccc2C)C1 ZINC000583640863 336012695 /nfs/dbraw/zinc/01/26/95/336012695.db2.gz XJZKZUKKHLVNRQ-UHFFFAOYSA-N 0 2 315.333 0.903 20 0 DCADLN CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000576993874 341874237 /nfs/dbraw/zinc/87/42/37/341874237.db2.gz FLAOHHXUACWWHL-UPJWGTAASA-N 0 2 310.394 0.666 20 0 DCADLN O=C(C[C@H]1CCCC(=O)N1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000577517452 341914859 /nfs/dbraw/zinc/91/48/59/341914859.db2.gz OLUJMLYPQXKCMT-NXEZZACHSA-N 0 2 307.354 0.275 20 0 DCADLN CCc1csc(NS(=O)(=O)CCCS(N)(=O)=O)n1 ZINC000349446369 341975808 /nfs/dbraw/zinc/97/58/08/341975808.db2.gz HLTZVBZWRIEUCQ-UHFFFAOYSA-N 0 2 313.426 0.126 20 0 DCADLN CC[C@@H](COC)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266801091 522589027 /nfs/dbraw/zinc/58/90/27/522589027.db2.gz ATDKAPNVBCBPKQ-QMMMGPOBSA-N 0 2 306.322 0.899 20 0 DCADLN CCNC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c(C)c1 ZINC000128842439 545782986 /nfs/dbraw/zinc/78/29/86/545782986.db2.gz QCRRVRMUDSIQQI-UHFFFAOYSA-N 0 2 318.337 0.478 20 0 DCADLN Cc1cc(C(=O)N2CCCOCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000344964206 546140428 /nfs/dbraw/zinc/14/04/28/546140428.db2.gz ZORWHWCXJISWCJ-UHFFFAOYSA-N 0 2 304.306 0.607 20 0 DCADLN CN1C(=O)CC[C@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000353083376 546172422 /nfs/dbraw/zinc/17/24/22/546172422.db2.gz LWWCGZOTJPRNEH-JTQLQIEISA-N 0 2 302.290 0.589 20 0 DCADLN CN1CCN(c2cc(NCCCCC(=O)[O-])[nH+]cn2)CC1=O ZINC000668160548 546702632 /nfs/dbraw/zinc/70/26/32/546702632.db2.gz RUJKWOIRSQGZSM-UHFFFAOYSA-N 0 2 307.354 0.422 20 0 DCADLN CN1CCN(c2cc(NCCCCC(=O)[O-])nc[nH+]2)CC1=O ZINC000668160548 546702639 /nfs/dbraw/zinc/70/26/39/546702639.db2.gz RUJKWOIRSQGZSM-UHFFFAOYSA-N 0 2 307.354 0.422 20 0 DCADLN CCC[N@@H+]1CC[C@@H](NC(=O)C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000668946561 546888120 /nfs/dbraw/zinc/88/81/20/546888120.db2.gz ARFGHVNSQAWOHX-CHWSQXEVSA-N 0 2 319.409 0.504 20 0 DCADLN NS(=O)(=O)[C@H]1CCN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC000676205829 547742710 /nfs/dbraw/zinc/74/27/10/547742710.db2.gz KLYXHPWSWBSXSI-ZETCQYMHSA-N 0 2 306.290 0.173 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)CCO1 ZINC000676214399 547744593 /nfs/dbraw/zinc/74/45/93/547744593.db2.gz RIFCPBALFHSIFF-CQSZACIVSA-N 0 2 315.329 0.857 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccn(CC(F)(F)F)n1 ZINC000676524441 547784282 /nfs/dbraw/zinc/78/42/82/547784282.db2.gz JNHKFVQMUIFRNI-UHFFFAOYSA-N 0 2 305.220 0.591 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(C(=O)c3cccnc3)CC2)c1O ZINC000676694800 547803747 /nfs/dbraw/zinc/80/37/47/547803747.db2.gz OVINHZCQQDGDPF-UHFFFAOYSA-N 0 2 315.333 0.417 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCc2c[nH]nc2C1 ZINC000676829507 547821222 /nfs/dbraw/zinc/82/12/22/547821222.db2.gz NDRVLDHBGZHFBI-UHFFFAOYSA-N 0 2 312.289 0.358 20 0 DCADLN Cc1[nH]nc(C(=O)N(CCO)CCOCC(F)(F)F)c1O ZINC000677448656 547877909 /nfs/dbraw/zinc/87/79/09/547877909.db2.gz BCDKLDUNQASAOH-UHFFFAOYSA-N 0 2 311.260 0.437 20 0 DCADLN CCc1ccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)s1 ZINC000677645116 547894143 /nfs/dbraw/zinc/89/41/43/547894143.db2.gz ZXJPDWMYFNGVGX-UHFFFAOYSA-N 0 2 300.365 0.933 20 0 DCADLN Cc1nc(C)c(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)s1 ZINC000677644612 547894511 /nfs/dbraw/zinc/89/45/11/547894511.db2.gz AVVUOBJMISVUKC-UHFFFAOYSA-N 0 2 301.353 0.383 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N(CCCO)C1CC1 ZINC000677935848 547924790 /nfs/dbraw/zinc/92/47/90/547924790.db2.gz QQBVBGYBGJOKOS-UHFFFAOYSA-N 0 2 304.306 0.423 20 0 DCADLN Cc1cc(C(=O)N[C@H](CO)CC2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000678388063 547970782 /nfs/dbraw/zinc/97/07/82/547970782.db2.gz CCDAQVWDOYZJGL-VIFPVBQESA-N 0 2 318.333 0.635 20 0 DCADLN CN(CC(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000679545780 548065065 /nfs/dbraw/zinc/06/50/65/548065065.db2.gz LFTRYSUTASYAFA-UHFFFAOYSA-N 0 2 308.338 0.144 20 0 DCADLN O=C1COc2ccc(S(=O)(=O)Nc3cccnn3)cc2N1 ZINC000682491815 548389310 /nfs/dbraw/zinc/38/93/10/548389310.db2.gz JMHRKSVLBBAAFR-UHFFFAOYSA-N 0 2 306.303 0.608 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccccc1-n1cccn1 ZINC000682832752 548429872 /nfs/dbraw/zinc/42/98/72/548429872.db2.gz HJPWHWAZTLCDAT-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H]1CCc2cc(O)ccc2C1 ZINC000683512883 548494317 /nfs/dbraw/zinc/49/43/17/548494317.db2.gz PCDFQOIFPOGCBS-SNVBAGLBSA-N 0 2 320.374 0.982 20 0 DCADLN NC(=O)C1(NC(=O)c2cc(F)c(O)c(Cl)c2)CCOCC1 ZINC000683626736 548504132 /nfs/dbraw/zinc/50/41/32/548504132.db2.gz HESNEMJTQFXWLT-UHFFFAOYSA-N 0 2 316.716 0.949 20 0 DCADLN COCc1nc2cc(NS(=O)(=O)c3cnnn3C)ccc2o1 ZINC000683901797 548533768 /nfs/dbraw/zinc/53/37/68/548533768.db2.gz CQPJDYHOPHWUKA-UHFFFAOYSA-N 0 2 323.334 0.904 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CCCOC2)c1 ZINC000821666989 607258215 /nfs/dbraw/zinc/25/82/15/607258215.db2.gz PAEJNSWBZZJLON-SECBINFHSA-N 0 2 319.321 0.242 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NCCCC(=O)[O-])c(N(C)C)[nH+]1 ZINC000821087878 597370404 /nfs/dbraw/zinc/37/04/04/597370404.db2.gz JMUZFDIDZLQNKC-UHFFFAOYSA-N 0 2 308.338 0.376 20 0 DCADLN Cc1ccc(N2CC[NH+](CC(=O)NCC(=O)[O-])CC2)cc1C ZINC000821088227 597802955 /nfs/dbraw/zinc/80/29/55/597802955.db2.gz RGMDVCXGMZMNFW-UHFFFAOYSA-N 0 2 305.378 0.626 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccccc1C(=O)[O-] ZINC000820107784 598173215 /nfs/dbraw/zinc/17/32/15/598173215.db2.gz RZIHSHGTZFJGDC-SNVBAGLBSA-N 0 2 316.317 0.725 20 0 DCADLN Cc1ccn(CC(=O)NC2CCCC2)c(=O)c1-c1nn[nH]n1 ZINC000822369270 607348243 /nfs/dbraw/zinc/34/82/43/607348243.db2.gz FCSHDUSOUWJCSE-UHFFFAOYSA-N 0 2 302.338 0.396 20 0 DCADLN c1ccc(CN2CCN(c3cnc(-c4nn[nH]n4)cn3)CC2)cc1 ZINC000738432161 598384551 /nfs/dbraw/zinc/38/45/51/598384551.db2.gz COKAXPOLJBXTOV-UHFFFAOYSA-N 0 2 322.376 0.979 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(F)c(-c2nn[nH]n2)c1 ZINC000737203772 598675492 /nfs/dbraw/zinc/67/54/92/598675492.db2.gz WCRLZYNMTSVPCB-UHFFFAOYSA-N 0 2 323.313 0.838 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(C[Si](C)(C)C)c1 ZINC000736942007 598803371 /nfs/dbraw/zinc/80/33/71/598803371.db2.gz XKEIDECUDPLXSW-UHFFFAOYSA-N 0 2 307.386 0.692 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000736823948 599755344 /nfs/dbraw/zinc/75/53/44/599755344.db2.gz ZCTTXRARECRBDT-GFCCVEGCSA-N 0 2 322.361 0.601 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000736823948 599755347 /nfs/dbraw/zinc/75/53/47/599755347.db2.gz ZCTTXRARECRBDT-GFCCVEGCSA-N 0 2 322.361 0.601 20 0 DCADLN CC(C)CNC(=O)NC(=O)C[NH2+][C@H](C(=O)[O-])c1ccccc1 ZINC000315252901 599785835 /nfs/dbraw/zinc/78/58/35/599785835.db2.gz ORERRNXLAQVIKY-ZDUSSCGKSA-N 0 2 307.350 0.884 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)c1ccc(C(=O)[O-])cc1 ZINC000736587330 600076321 /nfs/dbraw/zinc/07/63/21/600076321.db2.gz VTXGVYVRWZQYKI-LBPRGKRZSA-N 0 2 305.378 0.751 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCc2ccccc2C1 ZINC000318466775 600139750 /nfs/dbraw/zinc/13/97/50/600139750.db2.gz RYKNKZUCTPXSST-KGLIPLIRSA-N 0 2 304.346 0.091 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCc2ccccc2C1 ZINC000318466775 600139753 /nfs/dbraw/zinc/13/97/53/600139753.db2.gz RYKNKZUCTPXSST-KGLIPLIRSA-N 0 2 304.346 0.091 20 0 DCADLN C/C(=C\C[N@H+](C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000825729869 601165096 /nfs/dbraw/zinc/16/50/96/601165096.db2.gz NNQIQCDHYXVSMC-VVBALGDRSA-N 0 2 317.407 0.685 20 0 DCADLN C/C(=C\C[N@@H+](C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000825729869 601165098 /nfs/dbraw/zinc/16/50/98/601165098.db2.gz NNQIQCDHYXVSMC-VVBALGDRSA-N 0 2 317.407 0.685 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccnc(C(=O)[O-])c2)C[C@H]1[NH+]1CCOCC1 ZINC000828400743 601292341 /nfs/dbraw/zinc/29/23/41/601292341.db2.gz ATXMKJQASFDWBP-BXUZGUMPSA-N 0 2 319.361 0.573 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CCN1C(=O)NC2(CCCC2)C1=O ZINC000832996567 601637589 /nfs/dbraw/zinc/63/75/89/601637589.db2.gz XQAJQVOVCJDKAH-UHFFFAOYSA-N 0 2 306.322 0.754 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2cc(C(=O)[O-])co2)CC1 ZINC000832729282 601830394 /nfs/dbraw/zinc/83/03/94/601830394.db2.gz DCJUTPMRAHFRLS-HXUWFJFHSA-N 0 2 300.380 0.474 20 0 DCADLN C[N@@H+]1CCO[C@@H]2CN(C(=O)CCc3ccc(C(=O)[O-])cc3)C[C@H]21 ZINC000830963531 602223498 /nfs/dbraw/zinc/22/34/98/602223498.db2.gz CJMBVWKMNHEXAL-HUUCEWRRSA-N 0 2 318.373 0.859 20 0 DCADLN C[N@H+]1CCO[C@@H]2CN(C(=O)CCc3ccc(C(=O)[O-])cc3)C[C@H]21 ZINC000830963531 602223500 /nfs/dbraw/zinc/22/35/00/602223500.db2.gz CJMBVWKMNHEXAL-HUUCEWRRSA-N 0 2 318.373 0.859 20 0 DCADLN Cc1nc2sccn2c1C[N@@H+](C)[C@@H](C)C(=O)NCC(=O)[O-] ZINC000833006933 602325353 /nfs/dbraw/zinc/32/53/53/602325353.db2.gz KFCUKNCPEDWJOF-VIFPVBQESA-N 0 2 310.379 0.725 20 0 DCADLN Cc1nc2sccn2c1C[N@H+](C)[C@@H](C)C(=O)NCC(=O)[O-] ZINC000833006933 602325354 /nfs/dbraw/zinc/32/53/54/602325354.db2.gz KFCUKNCPEDWJOF-VIFPVBQESA-N 0 2 310.379 0.725 20 0 DCADLN C[C@@H]1CCN(C(=O)Cn2cc(C(=O)[O-])nn2)C[C@@H]1n1cc[nH+]c1 ZINC000828278939 602349478 /nfs/dbraw/zinc/34/94/78/602349478.db2.gz UASKQJZYCAFQCE-PWSUYJOCSA-N 0 2 318.337 0.283 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)CN(CC2CC2)C(=O)[O-])CCO1 ZINC000825733845 602796932 /nfs/dbraw/zinc/79/69/32/602796932.db2.gz HSARHLQUINKXNJ-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)CN(CC2CC2)C(=O)[O-])CCO1 ZINC000825733845 602796935 /nfs/dbraw/zinc/79/69/35/602796935.db2.gz HSARHLQUINKXNJ-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H](C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1)C(F)(F)F ZINC000823654629 602856536 /nfs/dbraw/zinc/85/65/36/602856536.db2.gz LHPVGSVMHXQWOD-VIFPVBQESA-N 0 2 311.304 0.939 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2ccncc2)[C@@H](CNC(=O)[O-])C1 ZINC000828501500 603518776 /nfs/dbraw/zinc/51/87/76/603518776.db2.gz WNQUUUZRGXXCNX-ZDUSSCGKSA-N 0 2 306.366 0.424 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2ccncc2)[C@@H](CNC(=O)[O-])C1 ZINC000828501500 603518780 /nfs/dbraw/zinc/51/87/80/603518780.db2.gz WNQUUUZRGXXCNX-ZDUSSCGKSA-N 0 2 306.366 0.424 20 0 DCADLN C[C@@H]1C[N@H+](CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)CCS1 ZINC000826056325 603602056 /nfs/dbraw/zinc/60/20/56/603602056.db2.gz BUADPMBEOQEOJI-MNOVXSKESA-N 0 2 314.411 0.481 20 0 DCADLN C[C@@H]1C[N@@H+](CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)CCS1 ZINC000826056325 603602059 /nfs/dbraw/zinc/60/20/59/603602059.db2.gz BUADPMBEOQEOJI-MNOVXSKESA-N 0 2 314.411 0.481 20 0 DCADLN C[C@H](CNC(=O)N1CCC[C@@H]1CNC(=O)[O-])[NH+]1CCOCC1 ZINC000824931526 603691825 /nfs/dbraw/zinc/69/18/25/603691825.db2.gz XIFSIZJATANLBT-VXGBXAGGSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H](O)CCN(C)C(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000825523085 603696574 /nfs/dbraw/zinc/69/65/74/603696574.db2.gz ZPTACVQHMJBQEY-NSHDSACASA-N 0 2 301.387 0.290 20 0 DCADLN CCCOCC(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001675441172 1175355742 /nfs/dbraw/zinc/35/57/42/1175355742.db2.gz HQXVBGKLFXPGSB-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CCCOCC(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001675441172 1175355747 /nfs/dbraw/zinc/35/57/47/1175355747.db2.gz HQXVBGKLFXPGSB-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN O=C([O-])N1CC(OCC(=O)N2CCC(n3cc[nH+]c3)CC2)C1 ZINC000831851906 603992269 /nfs/dbraw/zinc/99/22/69/603992269.db2.gz FLXYEFVWPNXYIQ-UHFFFAOYSA-N 0 2 308.338 0.425 20 0 DCADLN CC(C)(CNC(=O)CC1(NC(=O)[O-])CCC1)[NH+]1CCOCC1 ZINC000823906472 604061612 /nfs/dbraw/zinc/06/16/12/604061612.db2.gz DCQCGNJMQBOZCR-UHFFFAOYSA-N 0 2 313.398 0.794 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NCC(C)(C)NC(=O)[O-] ZINC000826094474 604112259 /nfs/dbraw/zinc/11/22/59/604112259.db2.gz YXXLFAWKXIKPNR-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NCC(C)(C)NC(=O)[O-] ZINC000826094474 604112263 /nfs/dbraw/zinc/11/22/63/604112263.db2.gz YXXLFAWKXIKPNR-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)NCCc2ccncc2)CC1 ZINC000831705033 604265150 /nfs/dbraw/zinc/26/51/50/604265150.db2.gz XHCASQJRJAFVDA-UHFFFAOYSA-N 0 2 321.381 0.219 20 0 DCADLN O=C([O-])N1CCC[C@@H](C(=O)N[C@H]2CCC[N@H+](CCF)C2)C1 ZINC000831593092 604317873 /nfs/dbraw/zinc/31/78/73/604317873.db2.gz VAQPABCENXSSGF-NEPJUHHUSA-N 0 2 301.362 0.927 20 0 DCADLN O=C([O-])N1CCC[C@@H](C(=O)N[C@H]2CCC[N@@H+](CCF)C2)C1 ZINC000831593092 604317877 /nfs/dbraw/zinc/31/78/77/604317877.db2.gz VAQPABCENXSSGF-NEPJUHHUSA-N 0 2 301.362 0.927 20 0 DCADLN C[C@@H]1CCN(C(=O)COCCNC(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000825937245 604324353 /nfs/dbraw/zinc/32/43/53/604324353.db2.gz HYVNUEWIHAKMBI-NEPJUHHUSA-N 0 2 310.354 0.577 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000825939793 604325942 /nfs/dbraw/zinc/32/59/42/604325942.db2.gz XQCZUTIIDRKSPK-NDBYEHHHSA-N 0 2 322.365 0.406 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@H]2COCCN2C(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000825939734 604325960 /nfs/dbraw/zinc/32/59/60/604325960.db2.gz WVMCJODGWRRPJU-FRRDWIJNSA-N 0 2 322.365 0.671 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H](O)c2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828487653 604394151 /nfs/dbraw/zinc/39/41/51/604394151.db2.gz JMEGYMLLXGSKGQ-CHWSQXEVSA-N 0 2 307.350 0.130 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H](O)c2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828487653 604394155 /nfs/dbraw/zinc/39/41/55/604394155.db2.gz JMEGYMLLXGSKGQ-CHWSQXEVSA-N 0 2 307.350 0.130 20 0 DCADLN Cc1n[nH]c(C)c1CCC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830673433 604402464 /nfs/dbraw/zinc/40/24/64/604402464.db2.gz URBRDGBREFGOPE-GFCCVEGCSA-N 0 2 323.397 0.369 20 0 DCADLN Cc1n[nH]c(C)c1CCC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830673433 604402465 /nfs/dbraw/zinc/40/24/65/604402465.db2.gz URBRDGBREFGOPE-GFCCVEGCSA-N 0 2 323.397 0.369 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCC2CCOCC2)[C@@H](CNC(=O)[O-])C1 ZINC000828494231 604409285 /nfs/dbraw/zinc/40/92/85/604409285.db2.gz IHMAIMUBJJYIGA-ZDUSSCGKSA-N 0 2 313.398 0.603 20 0 DCADLN C[N@H+]1CCN(C(=O)CCC2CCOCC2)[C@@H](CNC(=O)[O-])C1 ZINC000828494231 604409286 /nfs/dbraw/zinc/40/92/86/604409286.db2.gz IHMAIMUBJJYIGA-ZDUSSCGKSA-N 0 2 313.398 0.603 20 0 DCADLN CC(C)(CC(=O)[O-])[NH2+]CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000826537845 604443283 /nfs/dbraw/zinc/44/32/83/604443283.db2.gz ASHGIBDOBVZWSC-UHFFFAOYSA-N 0 2 311.363 0.851 20 0 DCADLN O=C(C[C@@H]1CN(C(=O)[O-])CCO1)NCc1cn2c([nH+]1)CCCC2 ZINC000831389183 604817354 /nfs/dbraw/zinc/81/73/54/604817354.db2.gz ZUXIAMZOBNRTAU-GFCCVEGCSA-N 0 2 322.365 0.605 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000831725659 604929827 /nfs/dbraw/zinc/92/98/27/604929827.db2.gz GOXRVQFQJCOYPC-MNOVXSKESA-N 0 2 304.306 0.064 20 0 DCADLN C[C@@H](C(=O)Nc1nccs1)[NH+]1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833411984 604982162 /nfs/dbraw/zinc/98/21/62/604982162.db2.gz RQXYNELYHJOWLF-WPRPVWTQSA-N 0 2 313.379 0.628 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCN2C(=O)CC[C@H]2C1 ZINC000833405144 605147376 /nfs/dbraw/zinc/14/73/76/605147376.db2.gz YBVPBLWMRCCECX-YPMHNXCESA-N 0 2 323.393 0.005 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCN2C(=O)CC[C@H]2C1 ZINC000833405144 605147379 /nfs/dbraw/zinc/14/73/79/605147379.db2.gz YBVPBLWMRCCECX-YPMHNXCESA-N 0 2 323.393 0.005 20 0 DCADLN Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CCC[C@H](NC(=O)[O-])C2)C1 ZINC000833958709 605318916 /nfs/dbraw/zinc/31/89/16/605318916.db2.gz IHFFFOATXLFUBM-RYUDHWBXSA-N 0 2 321.381 0.426 20 0 DCADLN CC(C)(C)[C@H]1NC(=O)N(CC[NH+]2CCN(C(=O)[O-])CC2)C1=O ZINC000833788670 605342520 /nfs/dbraw/zinc/34/25/20/605342520.db2.gz CPOJOYPRSFOERU-JTQLQIEISA-N 0 2 312.370 0.249 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@@H+]2CCO[C@H](c3cnn(C)c3)C2)O1 ZINC000833581004 605350891 /nfs/dbraw/zinc/35/08/91/605350891.db2.gz UUXINIOVKSFLDT-OCCSQVGLSA-N 0 2 307.350 0.941 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@H+]2CCO[C@H](c3cnn(C)c3)C2)O1 ZINC000833581004 605350900 /nfs/dbraw/zinc/35/09/00/605350900.db2.gz UUXINIOVKSFLDT-OCCSQVGLSA-N 0 2 307.350 0.941 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CCSC[C@H]1CO)C(=O)[O-] ZINC000833476580 605384156 /nfs/dbraw/zinc/38/41/56/605384156.db2.gz CVKMUJBENCAXFP-GHMZBOCLSA-N 0 2 304.412 0.012 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CCSC[C@H]1CO)C(=O)[O-] ZINC000833476580 605384163 /nfs/dbraw/zinc/38/41/63/605384163.db2.gz CVKMUJBENCAXFP-GHMZBOCLSA-N 0 2 304.412 0.012 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CCN(C(=O)NC[C@H]2C[N@H+](C)CCO2)C1 ZINC000833820087 605513534 /nfs/dbraw/zinc/51/35/34/605513534.db2.gz XNQODQYHFBHYIM-SRVKXCTJSA-N 0 2 314.386 0.005 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CCN(C(=O)NC[C@H]2C[N@@H+](C)CCO2)C1 ZINC000833820087 605513541 /nfs/dbraw/zinc/51/35/41/605513541.db2.gz XNQODQYHFBHYIM-SRVKXCTJSA-N 0 2 314.386 0.005 20 0 DCADLN CC(C)(CNC(=O)[O-])NC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000823978901 605557390 /nfs/dbraw/zinc/55/73/90/605557390.db2.gz LQVKEATWMFNZSA-UHFFFAOYSA-N 0 2 316.402 0.443 20 0 DCADLN O=C([O-])N1CC[C@H]([NH+]2CCN(C(=O)c3ccncc3)CC2)C1 ZINC000834084797 605582317 /nfs/dbraw/zinc/58/23/17/605582317.db2.gz OQSCIQOZTSYTAC-ZDUSSCGKSA-N 0 2 304.350 0.592 20 0 DCADLN O=C([O-])N1CC[C@H]([NH+]2CCN(C(=O)c3cccc(O)c3)CC2)C1 ZINC000834085701 605587549 /nfs/dbraw/zinc/58/75/49/605587549.db2.gz SVHOOOZOLTXGKD-ZDUSSCGKSA-N 0 2 319.361 0.902 20 0 DCADLN O=C([O-])NC[C@H]1CCCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000834218184 605667893 /nfs/dbraw/zinc/66/78/93/605667893.db2.gz HDGWIIFOTILYTN-VXGBXAGGSA-N 0 2 306.366 0.952 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@H](C)NC(=O)[O-])C2)o1 ZINC000830624418 605812595 /nfs/dbraw/zinc/81/25/95/605812595.db2.gz ILQJGZORBWWTJQ-QWRGUYRKSA-N 0 2 310.354 0.672 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)[C@H](C)NC(=O)[O-])C2)o1 ZINC000830624418 605812597 /nfs/dbraw/zinc/81/25/97/605812597.db2.gz ILQJGZORBWWTJQ-QWRGUYRKSA-N 0 2 310.354 0.672 20 0 DCADLN CC(C)(C)NC(=O)CNC(=O)C[N@@H+]1CCCCC[C@H]1C(=O)[O-] ZINC000833431538 605846813 /nfs/dbraw/zinc/84/68/13/605846813.db2.gz PVZZJEUUMGSEAV-NSHDSACASA-N 0 2 313.398 0.347 20 0 DCADLN CC(C)(C)NC(=O)CNC(=O)C[N@H+]1CCCCC[C@H]1C(=O)[O-] ZINC000833431538 605846816 /nfs/dbraw/zinc/84/68/16/605846816.db2.gz PVZZJEUUMGSEAV-NSHDSACASA-N 0 2 313.398 0.347 20 0 DCADLN C[C@@H]1C[C@H](CNC(=O)[O-])C[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833827817 605901682 /nfs/dbraw/zinc/90/16/82/605901682.db2.gz BALMINMCJHLGQY-NXEZZACHSA-N 0 2 305.338 0.501 20 0 DCADLN C[C@@H]1C[C@H](CNC(=O)[O-])C[N@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833827817 605901686 /nfs/dbraw/zinc/90/16/86/605901686.db2.gz BALMINMCJHLGQY-NXEZZACHSA-N 0 2 305.338 0.501 20 0 DCADLN O=C([O-])N1CSC[C@@H]1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000834017757 605975622 /nfs/dbraw/zinc/97/56/22/605975622.db2.gz JUKHSKRSDOFJSC-GHMZBOCLSA-N 0 2 322.390 0.829 20 0 DCADLN CCc1nn(Cc2cncn2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC000821518178 606332527 /nfs/dbraw/zinc/33/25/27/606332527.db2.gz QHKBZUCIQKXHEH-UHFFFAOYSA-N 0 2 314.353 0.330 20 0 DCADLN COCCONC(=O)CSc1ccc(-c2nn[nH]n2)cc1 ZINC000821692805 606367442 /nfs/dbraw/zinc/36/74/42/606367442.db2.gz CNGFTBVXXVSUNS-UHFFFAOYSA-N 0 2 309.351 0.653 20 0 DCADLN Cc1nnc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)s1 ZINC000826336104 608290605 /nfs/dbraw/zinc/29/06/05/608290605.db2.gz NNYXMLRNATWOGI-UHFFFAOYSA-N 0 2 304.339 0.248 20 0 DCADLN CCc1nn(CC2(O)CCCC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000825762967 608490683 /nfs/dbraw/zinc/49/06/83/608490683.db2.gz MFNUUKKUHKXSQI-UHFFFAOYSA-N 0 2 318.381 0.853 20 0 DCADLN CC1(NS(=O)(=O)N=[S@@](C)(=O)c2ccccc2)COC1 ZINC000867630784 667477981 /nfs/dbraw/zinc/47/79/81/667477981.db2.gz MCMPKVNMDHTTFR-SFHVURJKSA-N 0 2 304.393 0.767 20 0 DCADLN CN(C(=O)c1ncc[nH]1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646996 665406271 /nfs/dbraw/zinc/40/62/71/665406271.db2.gz PCHHAZYTDPDJBI-HTQZYQBOSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1ncc[nH]1)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938646996 665406273 /nfs/dbraw/zinc/40/62/73/665406273.db2.gz PCHHAZYTDPDJBI-HTQZYQBOSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1ocnc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027779917 660718462 /nfs/dbraw/zinc/71/84/62/660718462.db2.gz FGPHTIMYABAYEM-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOC1 ZINC001027786227 660730406 /nfs/dbraw/zinc/73/04/06/660730406.db2.gz ZAYMXRNIEBLWCX-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOC1 ZINC001027786227 660730408 /nfs/dbraw/zinc/73/04/08/660730408.db2.gz ZAYMXRNIEBLWCX-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CC[C@@H]3C[N@H+](CCCO)C[C@H]3C2)c1[O-] ZINC000979667114 660798171 /nfs/dbraw/zinc/79/81/71/660798171.db2.gz IIZBFUUOWBRHLV-JHJVBQTASA-N 0 2 322.409 0.636 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CC[C@@H]3C[N@@H+](CCCO)C[C@H]3C2)c1[O-] ZINC000979667114 660798172 /nfs/dbraw/zinc/79/81/72/660798172.db2.gz IIZBFUUOWBRHLV-JHJVBQTASA-N 0 2 322.409 0.636 20 0 DCADLN O=C([O-])[C@H](CC(F)(F)F)NC(=O)NCCCn1cc[nH+]c1 ZINC000314340556 660820133 /nfs/dbraw/zinc/82/01/33/660820133.db2.gz VABYVBOBGOFSGF-QMMMGPOBSA-N 0 2 308.260 0.978 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H]([N@H+](C)CCn2cccn2)C1 ZINC000980268851 660903919 /nfs/dbraw/zinc/90/39/19/660903919.db2.gz IFLOPUCRRPWXGQ-CQSZACIVSA-N 0 2 316.409 0.690 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027945141 660952643 /nfs/dbraw/zinc/95/26/43/660952643.db2.gz QYCXOHZASGXTNA-WZRBSPASSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027945141 660952645 /nfs/dbraw/zinc/95/26/45/660952645.db2.gz QYCXOHZASGXTNA-WZRBSPASSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](O)C[N@H+]1CCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC000981472083 661158199 /nfs/dbraw/zinc/15/81/99/661158199.db2.gz DCLWXKLUYBLULX-KGLIPLIRSA-N 0 2 306.410 0.361 20 0 DCADLN C[C@H]1C[C@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981600552 661180568 /nfs/dbraw/zinc/18/05/68/661180568.db2.gz SWZIYNUDLRWJHB-WDEREUQCSA-N 0 2 305.382 0.591 20 0 DCADLN C[C@H]1C[C@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981600552 661180571 /nfs/dbraw/zinc/18/05/71/661180571.db2.gz SWZIYNUDLRWJHB-WDEREUQCSA-N 0 2 305.382 0.591 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(Cl)[nH]1 ZINC001028120658 661214363 /nfs/dbraw/zinc/21/43/63/661214363.db2.gz SNWZOHCURPAQPT-MRVPVSSYSA-N 0 2 324.772 0.886 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(Cl)[nH]1 ZINC001028120658 661214364 /nfs/dbraw/zinc/21/43/64/661214364.db2.gz SNWZOHCURPAQPT-MRVPVSSYSA-N 0 2 324.772 0.886 20 0 DCADLN CC1(C)CC[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981796286 661220758 /nfs/dbraw/zinc/22/07/58/661220758.db2.gz KLOVNIKFYPPONJ-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028207111 661277624 /nfs/dbraw/zinc/27/76/24/661277624.db2.gz YQODOTYOHMTAEV-SNVBAGLBSA-N 0 2 319.369 0.245 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028207111 661277626 /nfs/dbraw/zinc/27/76/26/661277626.db2.gz YQODOTYOHMTAEV-SNVBAGLBSA-N 0 2 319.369 0.245 20 0 DCADLN O=C(C1CC2(CC2)C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032618960 665428032 /nfs/dbraw/zinc/42/80/32/665428032.db2.gz GUJWPWJQSPFZRH-QWRGUYRKSA-N 0 2 303.366 0.486 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC000998285827 665429852 /nfs/dbraw/zinc/42/98/52/665429852.db2.gz SZSTZJNSSLVUMS-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cccnn1 ZINC000998285827 665429854 /nfs/dbraw/zinc/42/98/54/665429854.db2.gz SZSTZJNSSLVUMS-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2c[nH+]cn2C)C1 ZINC001032627600 665435877 /nfs/dbraw/zinc/43/58/77/665435877.db2.gz BGDLORGVWLPEOI-ILXRZTDVSA-N 0 2 317.437 0.547 20 0 DCADLN C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001032632584 665446032 /nfs/dbraw/zinc/44/60/32/665446032.db2.gz DSNMXYDIUPEPHT-VGMNWLOBSA-N 0 2 315.324 0.587 20 0 DCADLN C[C@H](N=c1nn[n-]n1Cc1ccccc1)[C@H](C)[NH+]1CCOCC1 ZINC000892342355 657566900 /nfs/dbraw/zinc/56/69/00/657566900.db2.gz MIEQOGDWMCTGHK-KBPBESRZSA-N 0 2 316.409 0.664 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC000970623508 657713043 /nfs/dbraw/zinc/71/30/43/657713043.db2.gz SKBWJZSCFVSJPJ-JTQLQIEISA-N 0 2 316.365 0.464 20 0 DCADLN C[C@@H](NC(=O)c1ncccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970650664 657739522 /nfs/dbraw/zinc/73/95/22/657739522.db2.gz WYMIKIJPWMOFPW-MRVPVSSYSA-N 0 2 320.328 0.295 20 0 DCADLN CCc1[nH]ccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038228206 657851320 /nfs/dbraw/zinc/85/13/20/657851320.db2.gz WGCTTZLNTLJIEP-VIFPVBQESA-N 0 2 304.354 0.405 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+](Cc2cncs2)C1)c1nc[nH]n1 ZINC001023656411 658105272 /nfs/dbraw/zinc/10/52/72/658105272.db2.gz WZKWSJSOWANLQQ-JTQLQIEISA-N 0 2 306.395 0.903 20 0 DCADLN CCc1cccc(S(=O)(=O)N2CC[NH+](CC(=O)[O-])CC2)c1 ZINC000926581379 658190009 /nfs/dbraw/zinc/19/00/09/658190009.db2.gz CCJGQIBCFDFXPG-UHFFFAOYSA-N 0 2 312.391 0.640 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)c1cnon1 ZINC000939391994 665569017 /nfs/dbraw/zinc/56/90/17/665569017.db2.gz IVLLOSLLOFDKTO-NJUXHZRNSA-N 0 2 324.234 0.547 20 0 DCADLN C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1NC(=O)c1cnon1 ZINC000939391994 665569019 /nfs/dbraw/zinc/56/90/19/665569019.db2.gz IVLLOSLLOFDKTO-NJUXHZRNSA-N 0 2 324.234 0.547 20 0 DCADLN CCc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031823359 665585223 /nfs/dbraw/zinc/58/52/23/665585223.db2.gz AHGFAYHAULRGFZ-UHFFFAOYSA-N 0 2 321.406 0.996 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@@]3(C2)C[N@H+](C[C@@H](C)O)CCO3)[nH]1 ZINC000972293660 658531456 /nfs/dbraw/zinc/53/14/56/658531456.db2.gz DVOAMCKABPPQMT-ABAIWWIYSA-N 0 2 308.382 0.016 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001024318506 658568214 /nfs/dbraw/zinc/56/82/14/658568214.db2.gz JUFVQNYMENLZDP-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN Cc1noc(C)c1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038044658 658569231 /nfs/dbraw/zinc/56/92/31/658569231.db2.gz MNJPYMGHNNOOEJ-SECBINFHSA-N 0 2 306.326 0.119 20 0 DCADLN Cn1cccc1C(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024334779 658570543 /nfs/dbraw/zinc/57/05/43/658570543.db2.gz GBZIYPSTSKQAQK-NSHDSACASA-N 0 2 318.381 0.633 20 0 DCADLN Cn1cccc1C(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024334779 658570547 /nfs/dbraw/zinc/57/05/47/658570547.db2.gz GBZIYPSTSKQAQK-NSHDSACASA-N 0 2 318.381 0.633 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCCO1 ZINC001024386866 658596967 /nfs/dbraw/zinc/59/69/67/658596967.db2.gz LZXLJMIFCMICBZ-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1n[nH]cc1F ZINC000999177877 665594160 /nfs/dbraw/zinc/59/41/60/665594160.db2.gz VNRYRAJYKKUTQJ-SSDOTTSWSA-N 0 2 312.198 0.390 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1n[nH]cc1F ZINC000999177877 665594162 /nfs/dbraw/zinc/59/41/62/665594162.db2.gz VNRYRAJYKKUTQJ-SSDOTTSWSA-N 0 2 312.198 0.390 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1CCCO)c1c[nH]cc2ncnc1-2 ZINC001024451346 658628144 /nfs/dbraw/zinc/62/81/44/658628144.db2.gz CILVFHQIIZXQHN-GFCCVEGCSA-N 0 2 317.393 0.925 20 0 DCADLN CC1(C(=O)N2CC[C@]3(C2)CN(Cc2n[nH]c(=O)[nH]2)CCO3)CC1 ZINC000972391541 658704448 /nfs/dbraw/zinc/70/44/48/658704448.db2.gz NZGGRGKXCMEZDK-OAHLLOKOSA-N 0 2 321.381 0.114 20 0 DCADLN O=C(NCCCn1cc[nH+]c1)N1CC[C@H]2[C@H]1CCC[N@H+]2CCO ZINC000891427156 658728919 /nfs/dbraw/zinc/72/89/19/658728919.db2.gz RELQTGKEOLSIHD-LSDHHAIUSA-N 0 2 321.425 0.514 20 0 DCADLN O=C(c1ncccc1F)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032698249 665614734 /nfs/dbraw/zinc/61/47/34/665614734.db2.gz BYSDDKDXHOUPQU-IUCAKERBSA-N 0 2 318.312 0.143 20 0 DCADLN CCC[N@@H+]1CCC[C@@H]1C(=O)N[C@@H]1CCC[NH+](CC(=O)N(C)C)C1 ZINC001007456953 659111126 /nfs/dbraw/zinc/11/11/26/659111126.db2.gz ICFHWUYCPQZHHB-HUUCEWRRSA-N 0 2 324.469 0.530 20 0 DCADLN Cc1nc(CN(C)[C@H]2CCCN(C(=O)[C@@H]3CCC[N@@H+]3C)C2)n[nH]1 ZINC001027334493 659273488 /nfs/dbraw/zinc/27/34/88/659273488.db2.gz OWBJEOZYKVYGKG-KBPBESRZSA-N 0 2 320.441 0.630 20 0 DCADLN C[C@H]1CCC[N@@H+]1CC(=O)N[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000973527115 659344065 /nfs/dbraw/zinc/34/40/65/659344065.db2.gz SOKPZJIJZRWKIN-AVGNSLFASA-N 0 2 319.409 0.200 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC=CCC1 ZINC001006716494 659401010 /nfs/dbraw/zinc/40/10/10/659401010.db2.gz QYZOIHNKIPMZIX-NWDGAFQWSA-N 0 2 305.382 0.947 20 0 DCADLN CCC[NH+]1CCC[C@@H]1C(=O)N[C@H]1C[N@@H+](CC(N)=O)CC1(C)C ZINC000974662044 659606520 /nfs/dbraw/zinc/60/65/20/659606520.db2.gz ZQGGUJOCOOIJPR-OLZOCXBDSA-N 0 2 310.442 0.173 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC000940124760 665706917 /nfs/dbraw/zinc/70/69/17/665706917.db2.gz DROWQEWGQCRIIZ-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cncnc1 ZINC000940124760 665706918 /nfs/dbraw/zinc/70/69/18/665706918.db2.gz DROWQEWGQCRIIZ-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1cccc(C(=O)NNC(=O)[C@H]2COc3ccccc3O2)n1 ZINC000024766881 661408855 /nfs/dbraw/zinc/40/88/55/661408855.db2.gz WLOVNKVCXXTPEI-CQSZACIVSA-N 0 2 313.313 0.991 20 0 DCADLN Cc1c[nH]cc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032781437 665811057 /nfs/dbraw/zinc/81/10/57/665811057.db2.gz VJWMWVWPKCPLDX-UWVGGRQHSA-N 0 2 302.338 0.246 20 0 DCADLN Cc1nc(CC(=O)N[C@H]2C[C@H](C[NH2+]Cc3ncccn3)C2)n[nH]1 ZINC000983632640 661993289 /nfs/dbraw/zinc/99/32/89/661993289.db2.gz CIPXMNMLPDMEAV-HAQNSBGRSA-N 0 2 315.381 0.130 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029227036 662057301 /nfs/dbraw/zinc/05/73/01/662057301.db2.gz SAWAXPPMWCJLOZ-KXNHARMFSA-N 0 2 321.381 0.111 20 0 DCADLN CCCc1n[nH]cc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038439407 662080397 /nfs/dbraw/zinc/08/03/97/662080397.db2.gz SANMEGGUZQSKDE-SECBINFHSA-N 0 2 319.369 0.190 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccon1 ZINC001000463775 665849340 /nfs/dbraw/zinc/84/93/40/665849340.db2.gz WSPJTRACBUFARL-UHFFFAOYSA-N 0 2 304.310 0.060 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccon1 ZINC001000463775 665849342 /nfs/dbraw/zinc/84/93/42/665849342.db2.gz WSPJTRACBUFARL-UHFFFAOYSA-N 0 2 304.310 0.060 20 0 DCADLN Cc1cnc([C@H](C)[N@@H+]2CC[C@@H]2CNC(=O)c2cnn[n-]2)cn1 ZINC001038453695 662330225 /nfs/dbraw/zinc/33/02/25/662330225.db2.gz UZHDXDOAMZMQAP-WDEREUQCSA-N 0 2 301.354 0.468 20 0 DCADLN Cc1cnc([C@H](C)[N@H+]2CC[C@@H]2CNC(=O)c2cnn[n-]2)cn1 ZINC001038453695 662330228 /nfs/dbraw/zinc/33/02/28/662330228.db2.gz UZHDXDOAMZMQAP-WDEREUQCSA-N 0 2 301.354 0.468 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC000909565856 662372110 /nfs/dbraw/zinc/37/21/10/662372110.db2.gz UOEZYBZNQJJUEH-XHDPSFHLSA-N 0 2 307.350 0.302 20 0 DCADLN C[N@@H+](C[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1)[C@@H]1CCNC1=O ZINC001029752211 662466053 /nfs/dbraw/zinc/46/60/53/662466053.db2.gz BCUVULNKIOWOLJ-TZMCWYRMSA-N 0 2 319.409 0.011 20 0 DCADLN O=C([O-])[C@H](CC(F)(F)F)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000909669208 662642721 /nfs/dbraw/zinc/64/27/21/662642721.db2.gz PXOOKHPANCXJKW-SFYZADRCSA-N 0 2 305.256 0.967 20 0 DCADLN C[C@@H]1C[C@H]([NH2+]Cc2cnn(C)c2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC000988754288 662853891 /nfs/dbraw/zinc/85/38/91/662853891.db2.gz ZJAZLWSZCGGSDW-OCCSQVGLSA-N 0 2 316.409 0.855 20 0 DCADLN CO[C@]1(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)CCSC1 ZINC000897621153 662916251 /nfs/dbraw/zinc/91/62/51/662916251.db2.gz UFLNMDNEIDSYPK-CYBMUJFWSA-N 0 2 312.395 0.738 20 0 DCADLN CCc1ocnc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038119561 663089173 /nfs/dbraw/zinc/08/91/73/663089173.db2.gz MVFPGYAWYQGXCG-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2scc3c2OCCO3)C(=O)N1C ZINC000899042189 663119158 /nfs/dbraw/zinc/11/91/58/663119158.db2.gz YNSOIUABIBRFAT-ZCFIWIBFSA-N 0 2 311.319 0.447 20 0 DCADLN CC[C@@H](CNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000900975870 663296928 /nfs/dbraw/zinc/29/69/28/663296928.db2.gz GVJRNKABQLQRLJ-JTQLQIEISA-N 0 2 307.354 0.278 20 0 DCADLN CC[C@@H](CNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000900975870 663296929 /nfs/dbraw/zinc/29/69/29/663296929.db2.gz GVJRNKABQLQRLJ-JTQLQIEISA-N 0 2 307.354 0.278 20 0 DCADLN COC(=O)C1CC2(C1)CN(c1cc(CO)cc[nH+]1)C[C@H]2C(=O)[O-] ZINC000900964989 663298235 /nfs/dbraw/zinc/29/82/35/663298235.db2.gz JINYCSHTEKMZQO-BGMSHATGSA-N 0 2 320.345 0.664 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)CC(C)(C)OC)nn1C ZINC000901686325 663362642 /nfs/dbraw/zinc/36/26/42/663362642.db2.gz FOIAZOCJPOXSLA-UHFFFAOYSA-N 0 2 305.356 0.373 20 0 DCADLN Cc1cc(C)cc(-n2ncc(C[N@@H+]3CC[C@](O)(C(=O)[O-])C3)n2)c1 ZINC000901904284 663373251 /nfs/dbraw/zinc/37/32/51/663373251.db2.gz YSLFDIAFAXVDEE-MRXNPFEDSA-N 0 2 316.361 0.906 20 0 DCADLN Cc1cc(C)cc(-n2ncc(C[N@H+]3CC[C@](O)(C(=O)[O-])C3)n2)c1 ZINC000901904284 663373252 /nfs/dbraw/zinc/37/32/52/663373252.db2.gz YSLFDIAFAXVDEE-MRXNPFEDSA-N 0 2 316.361 0.906 20 0 DCADLN Cn1c[nH+]cc1CCCN1[N-]S(=O)(=O)c2ccccc2C1=O ZINC000902152454 663389849 /nfs/dbraw/zinc/38/98/49/663389849.db2.gz WMUUZHQLJGHSAI-UHFFFAOYSA-N 0 2 320.374 0.702 20 0 DCADLN CO[C@@H](Cn1c(=O)c2ccccc2c(=O)n1C)[C@H]1CCOC1 ZINC000902612060 663422196 /nfs/dbraw/zinc/42/21/96/663422196.db2.gz NQRSDGOETLHFHL-FZMZJTMJSA-N 0 2 304.346 0.752 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccnc(C(=O)[O-])c1 ZINC000902841013 663436782 /nfs/dbraw/zinc/43/67/82/663436782.db2.gz JEWCFOIKHDBJHL-LBPRGKRZSA-N 0 2 307.350 0.620 20 0 DCADLN COc1cccc(S(=O)(=O)Nc2nc(C)n(C)n2)c1OC ZINC000903632867 663472267 /nfs/dbraw/zinc/47/22/67/663472267.db2.gz FXHIRNODGWPGPD-UHFFFAOYSA-N 0 2 312.351 0.942 20 0 DCADLN CCOC(=O)[C@@H]1C[C@H]1C[N@H+]1CCC[C@@H](N2CC[NH2+]CC2=O)C1 ZINC000903744945 663479655 /nfs/dbraw/zinc/47/96/55/663479655.db2.gz ZVTHWOANBXNSEF-BFHYXJOUSA-N 0 2 309.410 0.082 20 0 DCADLN CC(=O)NC[C@H]1C[N@@H+]([C@@H](CCc2ccccc2)C(=O)[O-])CCO1 ZINC000903891356 663490289 /nfs/dbraw/zinc/49/02/89/663490289.db2.gz NEXBALLAPCVUGH-HOTGVXAUSA-N 0 2 320.389 0.909 20 0 DCADLN CC(=O)NC[C@H]1C[N@H+]([C@@H](CCc2ccccc2)C(=O)[O-])CCO1 ZINC000903891356 663490290 /nfs/dbraw/zinc/49/02/90/663490290.db2.gz NEXBALLAPCVUGH-HOTGVXAUSA-N 0 2 320.389 0.909 20 0 DCADLN O=C([O-])[C@@]1(O)CCN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC000906149999 663602430 /nfs/dbraw/zinc/60/24/30/663602430.db2.gz IXNZYSVVRKGEOF-CYBMUJFWSA-N 0 2 310.379 0.056 20 0 DCADLN O=C([O-])[C@@]1(O)CCN(c2cc(N3CCSCC3)nc[nH+]2)C1 ZINC000906149999 663602431 /nfs/dbraw/zinc/60/24/31/663602431.db2.gz IXNZYSVVRKGEOF-CYBMUJFWSA-N 0 2 310.379 0.056 20 0 DCADLN CC[C@H](C)c1nc([C@H](C)NC(=O)[C@]2(C)C[C@@H](O)C[N@H+]2C)n[nH]1 ZINC000907723210 663714455 /nfs/dbraw/zinc/71/44/55/663714455.db2.gz XFJIUSUQWLVNMC-ZVXAKGHKSA-N 0 2 309.414 0.951 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC[C@@H](F)C1 ZINC001001087727 665993829 /nfs/dbraw/zinc/99/38/29/665993829.db2.gz QZLPAMKGSUWIRK-NWDGAFQWSA-N 0 2 323.372 0.897 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC[C@@H](F)C1 ZINC001001087727 665993831 /nfs/dbraw/zinc/99/38/31/665993831.db2.gz QZLPAMKGSUWIRK-NWDGAFQWSA-N 0 2 323.372 0.897 20 0 DCADLN CO[C@H]1CN(C(=O)NCCCn2cc[nH+]c2)[C@@](C)(C(=O)[O-])C1 ZINC000908738450 663767631 /nfs/dbraw/zinc/76/76/31/663767631.db2.gz YTXGVHUIUVULOE-BXUZGUMPSA-N 0 2 310.354 0.547 20 0 DCADLN CC[C@@](C)(NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000908747819 663767928 /nfs/dbraw/zinc/76/79/28/663767928.db2.gz QSWIPKHUPRZECO-CQSZACIVSA-N 0 2 301.387 0.650 20 0 DCADLN CC[C@H](C(=O)N(CCOC)CC(=O)[O-])[N@@H+]1CCO[C@@H](CC)C1 ZINC000908848877 663772159 /nfs/dbraw/zinc/77/21/59/663772159.db2.gz IMOUDFLBTJSVJV-QWHCGFSZSA-N 0 2 316.398 0.435 20 0 DCADLN CC[C@H](C(=O)N(CCOC)CC(=O)[O-])[N@H+]1CCO[C@@H](CC)C1 ZINC000908848877 663772160 /nfs/dbraw/zinc/77/21/60/663772160.db2.gz IMOUDFLBTJSVJV-QWHCGFSZSA-N 0 2 316.398 0.435 20 0 DCADLN CC(C)N(CC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000908913260 663774087 /nfs/dbraw/zinc/77/40/87/663774087.db2.gz GBMMRROFZUILKS-UHFFFAOYSA-N 0 2 301.387 0.602 20 0 DCADLN CC(C)N(CC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000908913260 663774088 /nfs/dbraw/zinc/77/40/88/663774088.db2.gz GBMMRROFZUILKS-UHFFFAOYSA-N 0 2 301.387 0.602 20 0 DCADLN C/C=C/C[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913798 663774114 /nfs/dbraw/zinc/77/41/14/663774114.db2.gz IZJIGJQNROYSMI-ITKZLYELSA-N 0 2 313.398 0.816 20 0 DCADLN C/C=C/C[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913798 663774115 /nfs/dbraw/zinc/77/41/15/663774115.db2.gz IZJIGJQNROYSMI-ITKZLYELSA-N 0 2 313.398 0.816 20 0 DCADLN C/C=C\C[C@@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936433 663775183 /nfs/dbraw/zinc/77/51/83/663775183.db2.gz LBHGRPUQIFADDT-QMAVJUDZSA-N 0 2 313.398 0.816 20 0 DCADLN C/C=C\C[C@@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936433 663775184 /nfs/dbraw/zinc/77/51/84/663775184.db2.gz LBHGRPUQIFADDT-QMAVJUDZSA-N 0 2 313.398 0.816 20 0 DCADLN O=C([O-])c1csc(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)n1 ZINC000909258624 663789651 /nfs/dbraw/zinc/78/96/51/663789651.db2.gz OPONSILDKLFRDG-QMMMGPOBSA-N 0 2 306.347 0.917 20 0 DCADLN O=C([O-])[C@H]1CSCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000910148023 663850205 /nfs/dbraw/zinc/85/02/05/663850205.db2.gz QCUOWEJPRYUTIX-WDEREUQCSA-N 0 2 309.391 0.722 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@@H](C[C@H]1CCCOC1)C(=O)[O-] ZINC000910238222 663858395 /nfs/dbraw/zinc/85/83/95/663858395.db2.gz YWAJCNIHCYEJJO-YPMHNXCESA-N 0 2 309.366 0.739 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)[C@](C)(C(=O)[O-])C1 ZINC000910268845 663862525 /nfs/dbraw/zinc/86/25/25/663862525.db2.gz XYFCWUCSHKXFPX-GMXABZIVSA-N 0 2 307.350 0.536 20 0 DCADLN Cc1cccn2cc(CC(=O)NC[C@@H]3CCO[C@@H]3C(=O)[O-])[nH+]c12 ZINC000910348117 663872687 /nfs/dbraw/zinc/87/26/87/663872687.db2.gz MIJSCUIKOBCXDG-FZMZJTMJSA-N 0 2 317.345 0.791 20 0 DCADLN O=C([O-])[C@@H]1[C@H](CNC(=O)Cc2cn3c([nH+]2)CCCC3)C1(F)F ZINC000910481891 663891131 /nfs/dbraw/zinc/89/11/31/663891131.db2.gz BMDQWYWLQXDZKB-CABZTGNLSA-N 0 2 313.304 0.844 20 0 DCADLN C[C@H]1C[N@H+](CCO)[C@@H](C)CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000910931296 663953930 /nfs/dbraw/zinc/95/39/30/663953930.db2.gz ODZYPVTVWOJIHZ-QWRGUYRKSA-N 0 2 303.366 0.485 20 0 DCADLN Cc1cn(C)nc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991296507 663961936 /nfs/dbraw/zinc/96/19/36/663961936.db2.gz QSCIKDOKSVNMSK-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cn(C)nc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000991296507 663961938 /nfs/dbraw/zinc/96/19/38/663961938.db2.gz QSCIKDOKSVNMSK-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN CC[C@H](OC)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171181 664000632 /nfs/dbraw/zinc/00/06/32/664000632.db2.gz DHDMSRVRKJGONF-LBPRGKRZSA-N 0 2 307.350 0.853 20 0 DCADLN Cc1nc(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)ccc1C(=O)[O-] ZINC000911320792 664032683 /nfs/dbraw/zinc/03/26/83/664032683.db2.gz XNJJMAIGXJSEKD-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN Cc1nc(C(=O)NCC[N@H+]2CCOC(C)(C)C2)ccc1C(=O)[O-] ZINC000911320792 664032684 /nfs/dbraw/zinc/03/26/84/664032684.db2.gz XNJJMAIGXJSEKD-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000911627899 664093477 /nfs/dbraw/zinc/09/34/77/664093477.db2.gz GADLKIUAAGPBRJ-BXUZGUMPSA-N 0 2 307.350 0.198 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)nc1 ZINC000911730817 664106154 /nfs/dbraw/zinc/10/61/54/664106154.db2.gz GPHIINQIFKSGEW-JTQLQIEISA-N 0 2 300.318 0.855 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCC(CO)(CO)C1 ZINC000912550670 664186904 /nfs/dbraw/zinc/18/69/04/664186904.db2.gz ZBZSLDCOMQZDAK-UHFFFAOYSA-N 0 2 317.345 0.395 20 0 DCADLN C[C@H](C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1)C1CC1 ZINC001030194711 664469452 /nfs/dbraw/zinc/46/94/52/664469452.db2.gz ORBFVVRDJGKDOF-JTQLQIEISA-N 0 2 315.377 0.369 20 0 DCADLN CCc1cccc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030544319 664583351 /nfs/dbraw/zinc/58/33/51/664583351.db2.gz IUGMFVDCOPMMJJ-UHFFFAOYSA-N 0 2 315.377 0.616 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1C(F)F ZINC001030653198 664620201 /nfs/dbraw/zinc/62/02/01/664620201.db2.gz QDJXONZHATWUCV-UHFFFAOYSA-N 0 2 324.291 0.457 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001030720278 664647567 /nfs/dbraw/zinc/64/75/67/664647567.db2.gz RKTCCAAZOPLUMH-RTCCRHLQSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1)[C@H]1CC12CC2 ZINC001031005642 664754123 /nfs/dbraw/zinc/75/41/23/664754123.db2.gz DMVILMNZBYHUHT-GFCCVEGCSA-N 0 2 313.361 0.123 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)C[C@@]23CC[N@H+](C2)CCC3)[C@@H](C)C[NH+]1C ZINC000914317344 664771205 /nfs/dbraw/zinc/77/12/05/664771205.db2.gz VFKSIFKSKMGTDN-ILXRZTDVSA-N 0 2 315.483 0.827 20 0 DCADLN C[C@@H]1c2cccn2CCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730523646 664785100 /nfs/dbraw/zinc/78/51/00/664785100.db2.gz LVSGMFBLWCOKSW-SNVBAGLBSA-N 0 2 312.333 0.476 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cc(F)ccc1F ZINC000730546266 664791746 /nfs/dbraw/zinc/79/17/46/664791746.db2.gz AZYSOFOHFQDDHK-UHFFFAOYSA-N 0 2 319.271 0.666 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccc[nH]2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993036226 664794468 /nfs/dbraw/zinc/79/44/68/664794468.db2.gz VYROILLSMIYMCU-ZJUUUORDSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccc[nH]2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993036226 664794469 /nfs/dbraw/zinc/79/44/69/664794469.db2.gz VYROILLSMIYMCU-ZJUUUORDSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1F ZINC001031119022 664796787 /nfs/dbraw/zinc/79/67/87/664796787.db2.gz DJTQHWAGYQGDRT-SECBINFHSA-N 0 2 319.340 0.754 20 0 DCADLN Cc1noc(C)c1COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730659262 664799639 /nfs/dbraw/zinc/79/96/39/664799639.db2.gz UHGNKABDYKBFFR-UHFFFAOYSA-N 0 2 303.278 0.419 20 0 DCADLN NC(=O)C1([NH+]2CCCCC2)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000915069873 664860142 /nfs/dbraw/zinc/86/01/42/664860142.db2.gz KSTNWAFRCKPFEE-UHFFFAOYSA-N 0 2 319.409 0.216 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cn(C)cn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993202364 664885148 /nfs/dbraw/zinc/88/51/48/664885148.db2.gz SMKCQCSBNOOMIQ-UWVGGRQHSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ncccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994067411 665038226 /nfs/dbraw/zinc/03/82/26/665038226.db2.gz FRMJWJOGDQOOGI-VHSXEESVSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001031548358 665195079 /nfs/dbraw/zinc/19/50/79/665195079.db2.gz GXTIKJBNXHBWGL-UHFFFAOYSA-N 0 2 302.338 0.076 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2C[NH+](Cc3cnc(C)cn3)C2)c1[O-] ZINC001031616369 665232509 /nfs/dbraw/zinc/23/25/09/665232509.db2.gz ROMMHYFDIKOWRO-UHFFFAOYSA-N 0 2 316.365 0.384 20 0 DCADLN CCc1ccnc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031696849 665297184 /nfs/dbraw/zinc/29/71/84/665297184.db2.gz SWHYKSMPECZZLB-UHFFFAOYSA-N 0 2 316.365 0.330 20 0 DCADLN Cc1ncccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032594704 665390791 /nfs/dbraw/zinc/39/07/91/665390791.db2.gz MIVLIDBAXVUWHN-QWRGUYRKSA-N 0 2 314.349 0.313 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC000938636475 665404998 /nfs/dbraw/zinc/40/49/98/665404998.db2.gz MJSRTMOXPJIYKT-HRDYMLBCSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC000938636475 665404999 /nfs/dbraw/zinc/40/49/99/665404999.db2.gz MJSRTMOXPJIYKT-HRDYMLBCSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1cc(F)cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031998887 666120682 /nfs/dbraw/zinc/12/06/82/666120682.db2.gz YBBZUONUQLNKRG-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC000942254678 666138808 /nfs/dbraw/zinc/13/88/08/666138808.db2.gz HDXPNSYIJIQFJV-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccncn1 ZINC000942254678 666138809 /nfs/dbraw/zinc/13/88/09/666138809.db2.gz HDXPNSYIJIQFJV-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC000942267392 666142850 /nfs/dbraw/zinc/14/28/50/666142850.db2.gz QJUVCPRRNFRWRM-HTQZYQBOSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ncccn1 ZINC000942267392 666142851 /nfs/dbraw/zinc/14/28/51/666142851.db2.gz QJUVCPRRNFRWRM-HTQZYQBOSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1cscn1 ZINC001002458725 666175242 /nfs/dbraw/zinc/17/52/42/666175242.db2.gz QHCUSVVGKOGVSX-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1cc[nH]c(=O)c1 ZINC001002584205 666192986 /nfs/dbraw/zinc/19/29/86/666192986.db2.gz INLUZGHSDNLJED-UHFFFAOYSA-N 0 2 318.337 0.005 20 0 DCADLN Cc1n[nH]c(C(=O)NC2CC[NH+](Cc3ccon3)CC2)c1[O-] ZINC001002615620 666195997 /nfs/dbraw/zinc/19/59/97/666195997.db2.gz PAPJTHZGZLWVLG-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001016331811 666219327 /nfs/dbraw/zinc/21/93/27/666219327.db2.gz AAAZRILKLIZLFH-SNVBAGLBSA-N 0 2 321.406 0.813 20 0 DCADLN CO[C@H]1CC[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001003192782 666283513 /nfs/dbraw/zinc/28/35/13/666283513.db2.gz JZXDJFBIFQZYEV-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC12CCC2 ZINC001003709936 666348149 /nfs/dbraw/zinc/34/81/49/666348149.db2.gz XCTYTQBDOMUWLZ-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c(C)[nH]1 ZINC001003706653 666348515 /nfs/dbraw/zinc/34/85/15/666348515.db2.gz IHTDVRAGADPBFS-UHFFFAOYSA-N 0 2 318.381 0.850 20 0 DCADLN CCc1oncc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003723292 666349800 /nfs/dbraw/zinc/34/98/00/666349800.db2.gz QDGVRYMEDHQOMR-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC001003801443 666364796 /nfs/dbraw/zinc/36/47/96/666364796.db2.gz QBHZIKIGLDAMCP-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccncn1 ZINC001003801443 666364797 /nfs/dbraw/zinc/36/47/97/666364797.db2.gz QBHZIKIGLDAMCP-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN CN(C(=O)C[C@H]1CCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032919125 666388732 /nfs/dbraw/zinc/38/87/32/666388732.db2.gz LDVMJSXDKOTKSN-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN CN(C(=O)C[C@H]1CCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032919125 666388735 /nfs/dbraw/zinc/38/87/35/666388735.db2.gz LDVMJSXDKOTKSN-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN CN(C(=O)C1CC(F)(F)C1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032991186 666418807 /nfs/dbraw/zinc/41/88/07/666418807.db2.gz CDYXGKVSPKZUNU-VIFPVBQESA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)C1CC(F)(F)C1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032991186 666418808 /nfs/dbraw/zinc/41/88/08/666418808.db2.gz CDYXGKVSPKZUNU-VIFPVBQESA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)[C@H]1CC1(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033063785 666485196 /nfs/dbraw/zinc/48/51/96/666485196.db2.gz HYZQQWODDATSBA-JGVFFNPUSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C(=O)[C@H]1CC1(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033063785 666485198 /nfs/dbraw/zinc/48/51/98/666485198.db2.gz HYZQQWODDATSBA-JGVFFNPUSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C(=O)[C@H]1CC1(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033063785 666485200 /nfs/dbraw/zinc/48/52/00/666485200.db2.gz HYZQQWODDATSBA-JGVFFNPUSA-N 0 2 301.297 0.198 20 0 DCADLN Cc1nc[nH]c1C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncn[nH]1)C2 ZINC001005129422 666690128 /nfs/dbraw/zinc/69/01/28/666690128.db2.gz IEQXRCVVZQEHSM-BBBLOLIVSA-N 0 2 315.337 0.012 20 0 DCADLN CN(C(=O)C1CC2(CC2)C1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033410103 666703286 /nfs/dbraw/zinc/70/32/86/666703286.db2.gz KPDVAKOAZCSGQR-NSHDSACASA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)C1CC2(CC2)C1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033410103 666703288 /nfs/dbraw/zinc/70/32/88/666703288.db2.gz KPDVAKOAZCSGQR-NSHDSACASA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)c1cnsn1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005149078 666709593 /nfs/dbraw/zinc/70/95/93/666709593.db2.gz KRAMIGMYZWNVAE-UHFFFAOYSA-N 0 2 323.382 0.098 20 0 DCADLN CN(C(=O)[C@@]1(C)CCCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033544652 666753446 /nfs/dbraw/zinc/75/34/46/666753446.db2.gz LMKLVOIDLDFGMD-ABAIWWIYSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@@]1(C)CCCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033544652 666753447 /nfs/dbraw/zinc/75/34/47/666753447.db2.gz LMKLVOIDLDFGMD-ABAIWWIYSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)c1cocn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033771006 666820787 /nfs/dbraw/zinc/82/07/87/666820787.db2.gz BESTUNQMDRJFFY-VIFPVBQESA-N 0 2 306.326 0.235 20 0 DCADLN CCN(C(=O)c1ccn(C)c1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033790191 666826877 /nfs/dbraw/zinc/82/68/77/666826877.db2.gz ZIFGXQCUCUNNLS-GFCCVEGCSA-N 0 2 318.381 0.585 20 0 DCADLN CCN(C(=O)c1ccn(C)c1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033790191 666826879 /nfs/dbraw/zinc/82/68/79/666826879.db2.gz ZIFGXQCUCUNNLS-GFCCVEGCSA-N 0 2 318.381 0.585 20 0 DCADLN CCN(C(=O)[C@@H]1CCCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033778983 666828759 /nfs/dbraw/zinc/82/87/59/666828759.db2.gz MYCNOXOYIQJMAY-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)[C@@H]1CCCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033778983 666828760 /nfs/dbraw/zinc/82/87/60/666828760.db2.gz MYCNOXOYIQJMAY-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)c1nocc1C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034014355 666894316 /nfs/dbraw/zinc/89/43/16/666894316.db2.gz BOWNUPAEPQWGIH-SNVBAGLBSA-N 0 2 320.353 0.543 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccncc1 ZINC001034076791 666912562 /nfs/dbraw/zinc/91/25/62/666912562.db2.gz VPPUIHIBJXKGPA-LBPRGKRZSA-N 0 2 316.365 0.690 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccncc1 ZINC001034076791 666912563 /nfs/dbraw/zinc/91/25/63/666912563.db2.gz VPPUIHIBJXKGPA-LBPRGKRZSA-N 0 2 316.365 0.690 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cn[nH]c1 ZINC001034120754 666928577 /nfs/dbraw/zinc/92/85/77/666928577.db2.gz HAMCHLXBETZPKP-JTQLQIEISA-N 0 2 305.342 0.018 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cn[nH]c1 ZINC001034120754 666928580 /nfs/dbraw/zinc/92/85/80/666928580.db2.gz HAMCHLXBETZPKP-JTQLQIEISA-N 0 2 305.342 0.018 20 0 DCADLN Cn1cc(N2C[C@H](C(=O)NOc3ccccc3)CC2=O)cn1 ZINC000861781431 666978224 /nfs/dbraw/zinc/97/82/24/666978224.db2.gz UHOGCVMAARWLRF-LLVKDONJSA-N 0 2 300.318 0.883 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CC[C@@H]2CCOC2)c1[O-] ZINC001032357830 667031386 /nfs/dbraw/zinc/03/13/86/667031386.db2.gz HLHMRYUHYYKGAO-AGIUHOORSA-N 0 2 320.393 0.749 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CC[C@@H]2CCOC2)c1[O-] ZINC001032357830 667031392 /nfs/dbraw/zinc/03/13/92/667031392.db2.gz HLHMRYUHYYKGAO-AGIUHOORSA-N 0 2 320.393 0.749 20 0 DCADLN O=C(Cc1ccco1)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034460231 667037134 /nfs/dbraw/zinc/03/71/34/667037134.db2.gz XNVKUCFONQSLNA-LLVKDONJSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(Cc1ccco1)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034460231 667037137 /nfs/dbraw/zinc/03/71/37/667037137.db2.gz XNVKUCFONQSLNA-LLVKDONJSA-N 0 2 319.365 0.817 20 0 DCADLN Cc1cccc2c1C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)CO2 ZINC000862532459 667047526 /nfs/dbraw/zinc/04/75/26/667047526.db2.gz GGXIJJQSSTUUBK-CABZTGNLSA-N 0 2 319.386 0.971 20 0 DCADLN CCc1csc(-n2cc(C(=O)NN3CCOC3=O)cn2)n1 ZINC000862701816 667072599 /nfs/dbraw/zinc/07/25/99/667072599.db2.gz MBYABFVBMFDFQF-UHFFFAOYSA-N 0 2 307.335 0.988 20 0 DCADLN Cn1nnc(CNC[C@H]2CCCN2C(=O)C(F)C(F)(F)F)n1 ZINC001034943633 667195632 /nfs/dbraw/zinc/19/56/32/667195632.db2.gz GPCXOGSEEHSNEN-VXNVDRBHSA-N 0 2 324.282 0.191 20 0 DCADLN O=C(C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C1CCSCC1 ZINC000866116489 667364337 /nfs/dbraw/zinc/36/43/37/667364337.db2.gz QSZVQIIXDYBKTI-SNVBAGLBSA-N 0 2 324.406 0.929 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035495643 667364776 /nfs/dbraw/zinc/36/47/76/667364776.db2.gz HACJICZDRAXIST-IJLUTSLNSA-N 0 2 323.397 0.264 20 0 DCADLN CCO[N-]C(=O)CNC(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000869149507 667631386 /nfs/dbraw/zinc/63/13/86/667631386.db2.gz XKCMPEXOZNGEKG-UHFFFAOYSA-N 0 2 302.334 0.599 20 0 DCADLN CC1(C)CC[C@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)OC1 ZINC000869388368 667652695 /nfs/dbraw/zinc/65/26/95/667652695.db2.gz KIOCMKCIZITJLW-SNVBAGLBSA-N 0 2 320.349 0.820 20 0 DCADLN CN(C)c1cccc(C[N-]S(=O)(=O)N=S(C)(C)=O)[nH+]1 ZINC000867011041 667746748 /nfs/dbraw/zinc/74/67/48/667746748.db2.gz FLPCCTTWQJMJEF-UHFFFAOYSA-N 0 2 306.413 0.210 20 0 DCADLN CC(C)CCn1cc(C(=O)NCCc2n[nH]c(=S)o2)nn1 ZINC000788149119 667752933 /nfs/dbraw/zinc/75/29/33/667752933.db2.gz STDDXXDWHJHVMI-UHFFFAOYSA-N 0 2 310.383 0.968 20 0 DCADLN COC(=O)Cc1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000742509565 667792309 /nfs/dbraw/zinc/79/23/09/667792309.db2.gz AIBJACLCFLJPSZ-JTQLQIEISA-N 0 2 321.358 0.897 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCSC(F)(F)F)S1 ZINC000742544206 667793748 /nfs/dbraw/zinc/79/37/48/667793748.db2.gz DYAJFRDMPPSUQR-BYPYZUCNSA-N 0 2 301.315 0.912 20 0 DCADLN CCCn1cc(NC(=O)C[C@@H]2SC(=N)NC2=O)ccc1=O ZINC000742553230 667793959 /nfs/dbraw/zinc/79/39/59/667793959.db2.gz BTVHEYNUPVESLZ-VIFPVBQESA-N 0 2 308.363 0.753 20 0 DCADLN C[C@](NC(=O)C[C@H]1SC(=N)NC1=O)(C(N)=O)c1ccccc1 ZINC000742603002 667795088 /nfs/dbraw/zinc/79/50/88/667795088.db2.gz DBNCXUWKVBQNIO-YMTOWFKASA-N 0 2 320.374 0.060 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](C3OCCO3)C2)S1 ZINC000742617411 667795262 /nfs/dbraw/zinc/79/52/62/667795262.db2.gz RCCDFOOBNSDMKY-DTWKUNHWSA-N 0 2 313.379 0.154 20 0 DCADLN CCc1[nH]n(C)c2nnc(NC(=O)CC3SC(=N)NC3=O)c1-2 ZINC000742681952 667796735 /nfs/dbraw/zinc/79/67/35/667796735.db2.gz DAJUMXRYBLYLCS-LURJTMIESA-N 0 2 321.366 0.354 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCNc2ccccn2)S1 ZINC000742690335 667797632 /nfs/dbraw/zinc/79/76/32/667797632.db2.gz OUXWDVNPXLRVBL-VIFPVBQESA-N 0 2 307.379 0.556 20 0 DCADLN CNC(=O)NC[C@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC000871219013 667801376 /nfs/dbraw/zinc/80/13/76/667801376.db2.gz ILTLVVKWZBBNQW-NKWVEPMBSA-N 0 2 301.240 0.043 20 0 DCADLN CNC(=O)NC[C@H]1COCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000871219013 667801379 /nfs/dbraw/zinc/80/13/79/667801379.db2.gz ILTLVVKWZBBNQW-NKWVEPMBSA-N 0 2 301.240 0.043 20 0 DCADLN COc1ccc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000744317524 667825346 /nfs/dbraw/zinc/82/53/46/667825346.db2.gz YAFBJIFEQKHBQE-UHFFFAOYSA-N 0 2 315.289 0.218 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2COc3ccccc3O2)S1 ZINC000745413474 667847225 /nfs/dbraw/zinc/84/72/25/667847225.db2.gz ITRADINCSUDBCZ-KWQFWETISA-N 0 2 321.358 0.499 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(F)c(C(N)=O)c2)S1 ZINC000745427505 667847748 /nfs/dbraw/zinc/84/77/48/667847748.db2.gz LLWADOWMQNDVOD-MRVPVSSYSA-N 0 2 310.310 0.420 20 0 DCADLN Cn1ncc2c1CCC[C@@H]2NC(=O)C[C@H]1SC(=N)NC1=O ZINC000745427164 667847787 /nfs/dbraw/zinc/84/77/87/667847787.db2.gz DYFUPXPHLMVQCB-WCBMZHEXSA-N 0 2 307.379 0.470 20 0 DCADLN Cc1cc(C(=O)[O-])cc(S(=O)(=O)NCC[NH+]2CCC2)c1F ZINC000871923898 667857033 /nfs/dbraw/zinc/85/70/33/667857033.db2.gz SFXDGLQEEUORSH-UHFFFAOYSA-N 0 2 316.354 0.816 20 0 DCADLN O=S(=O)(Nc1ncc2c(n1)COC2)c1cn2c(n1)CCCC2 ZINC000872005906 667866060 /nfs/dbraw/zinc/86/60/60/667866060.db2.gz BRLAUJKINSXJTD-UHFFFAOYSA-N 0 2 321.362 0.840 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccn(CC(F)(F)F)n2)S1 ZINC000746274993 667869708 /nfs/dbraw/zinc/86/97/08/667869708.db2.gz GALNWEMVWSOALM-YFKPBYRVSA-N 0 2 321.284 0.940 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NC[C@@H]1COCCO1 ZINC000872438544 667895830 /nfs/dbraw/zinc/89/58/30/667895830.db2.gz ANVLWURQVBFNEG-LZVRBXCZSA-N 0 2 314.429 0.133 20 0 DCADLN CC1=NO[C@H](CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)C1 ZINC000872494391 667902736 /nfs/dbraw/zinc/90/27/36/667902736.db2.gz GZNGBROZKSYOHX-NIVTXAMTSA-N 0 2 311.429 0.882 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCOc2ncccc2F)S1 ZINC000747494110 667905109 /nfs/dbraw/zinc/90/51/09/667905109.db2.gz FZLCUMPTXCSYOP-QMMMGPOBSA-N 0 2 312.326 0.272 20 0 DCADLN CN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@@H]1CCCc2c1cnn2C ZINC000749071896 667945660 /nfs/dbraw/zinc/94/56/60/667945660.db2.gz HXNYRUWXBAXFAT-KOLCDFICSA-N 0 2 321.406 0.812 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]([C@@H]3CCOC3)C2)S1 ZINC000749124054 667946624 /nfs/dbraw/zinc/94/66/24/667946624.db2.gz VCYHPOZXPYOPDW-MXWKQRLJSA-N 0 2 311.407 0.818 20 0 DCADLN C[C@H]1CSCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000754499816 668046455 /nfs/dbraw/zinc/04/64/55/668046455.db2.gz UWNUUNXIEVJHEE-SECBINFHSA-N 0 2 307.379 0.283 20 0 DCADLN CN(C)c1cc(COC(=O)[C@@H]2CCCN(C(N)=O)C2)ccn1 ZINC000755890917 668068547 /nfs/dbraw/zinc/06/85/47/668068547.db2.gz YLZQLAUHODRRBI-GFCCVEGCSA-N 0 2 306.366 0.982 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@H](CO)CC(F)(F)F ZINC000867018175 668138744 /nfs/dbraw/zinc/13/87/44/668138744.db2.gz VVCOVHLQOLZPFC-HQRLLJBISA-N 0 2 312.335 0.252 20 0 DCADLN COC(=O)c1cccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000758312692 668167000 /nfs/dbraw/zinc/16/70/00/668167000.db2.gz DMBINBBWDBFGIP-JTQLQIEISA-N 0 2 321.358 0.646 20 0 DCADLN O=C([O-])[C@H]1C[C@H](NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)C1 ZINC000874425789 668185006 /nfs/dbraw/zinc/18/50/06/668185006.db2.gz BVZHZMFJYWPHSQ-JHJVBQTASA-N 0 2 311.382 0.356 20 0 DCADLN COCCOc1ccc(CC(=O)OCc2n[nH]c(=O)[nH]2)cc1 ZINC000759019815 668204165 /nfs/dbraw/zinc/20/41/65/668204165.db2.gz XZPHQMLBZGRSTQ-UHFFFAOYSA-N 0 2 307.306 0.821 20 0 DCADLN CC(C)(C)OC(=O)NCC1(C(=O)NCc2n[nH]c(=O)[nH]2)CC1 ZINC000759138818 668208350 /nfs/dbraw/zinc/20/83/50/668208350.db2.gz VXAMDKRGMKHIEA-UHFFFAOYSA-N 0 2 311.342 0.431 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@@H](O)c1cccnc1 ZINC000760463885 668273709 /nfs/dbraw/zinc/27/37/09/668273709.db2.gz PPSWKKFOJSSHGY-SECBINFHSA-N 0 2 319.283 0.688 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccccc1Cn1cncn1 ZINC000762212946 668351975 /nfs/dbraw/zinc/35/19/75/668351975.db2.gz HHSAATCUPMTNMR-UHFFFAOYSA-N 0 2 314.309 0.472 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](O)Cc2ccccc2)S1 ZINC000762249856 668353176 /nfs/dbraw/zinc/35/31/76/668353176.db2.gz XIVJQDFICJDUGN-GHMZBOCLSA-N 0 2 307.375 0.263 20 0 DCADLN C[C@@H]1N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCOC1(C)C ZINC000763707990 668419166 /nfs/dbraw/zinc/41/91/66/668419166.db2.gz PESHTZNJTUNPOO-VIFPVBQESA-N 0 2 305.338 0.097 20 0 DCADLN C[C@H]([NH2+][C@H]1CCCN(CC[NH+]2CCOCC2)C1)c1cn(C)cn1 ZINC000926863228 668437408 /nfs/dbraw/zinc/43/74/08/668437408.db2.gz BAQGFJWRALONGY-HOTGVXAUSA-N 0 2 321.469 0.867 20 0 DCADLN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(F)C(F)(F)F)O1 ZINC000764645847 668456095 /nfs/dbraw/zinc/45/60/95/668456095.db2.gz AJSZNYDQAQQFNJ-CSMHCCOUSA-N 0 2 300.252 0.639 20 0 DCADLN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)O1 ZINC000764645847 668456100 /nfs/dbraw/zinc/45/61/00/668456100.db2.gz AJSZNYDQAQQFNJ-CSMHCCOUSA-N 0 2 300.252 0.639 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccccc2-c2nc[nH]n2)S1 ZINC000770826100 668717596 /nfs/dbraw/zinc/71/75/96/668717596.db2.gz UFIINAWEHFZRHS-SECBINFHSA-N 0 2 316.346 0.967 20 0 DCADLN CC(=O)Nc1ncccc1NC(=O)CC1SC(=N)NC1=O ZINC000771474566 668740780 /nfs/dbraw/zinc/74/07/80/668740780.db2.gz QAFCLKJDXUJXKQ-MRVPVSSYSA-N 0 2 307.335 0.535 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)C)C(=O)NCCc1n[nH]c(=S)o1 ZINC000773107166 668792890 /nfs/dbraw/zinc/79/28/90/668792890.db2.gz QTXXUOQGDRDCAA-SSDOTTSWSA-N 0 2 300.384 0.568 20 0 DCADLN O=C(c1ccc2n[nH]nc2c1)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000773159795 668794456 /nfs/dbraw/zinc/79/44/56/668794456.db2.gz SMYJGJKQLPCWIV-SNVBAGLBSA-N 0 2 316.350 0.401 20 0 DCADLN CC[C@@H](CSC)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000773974829 668818974 /nfs/dbraw/zinc/81/89/74/668818974.db2.gz MFHCEZIJCOOVAK-VIFPVBQESA-N 0 2 309.395 0.671 20 0 DCADLN O=C(CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774875586 668849529 /nfs/dbraw/zinc/84/95/29/668849529.db2.gz MFOSEVFGPKIGKN-RKDXNWHRSA-N 0 2 322.321 0.119 20 0 DCADLN CC(=O)N[C@H](CC(=O)OCc1n[nH]c(=O)[nH]1)c1cccs1 ZINC000774898141 668850343 /nfs/dbraw/zinc/85/03/43/668850343.db2.gz WVBSKDZZZSFHQO-MRVPVSSYSA-N 0 2 310.335 0.883 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1c[nH]c(C(=O)N2CCCC2)c1 ZINC000774936804 668852102 /nfs/dbraw/zinc/85/21/02/668852102.db2.gz YEFWNYAKWVWEKY-UHFFFAOYSA-N 0 2 305.294 0.431 20 0 DCADLN C[C@@H](O)C(=O)N1CCN(C(=O)c2cccc(Cl)c2O)CC1 ZINC000775806003 668867967 /nfs/dbraw/zinc/86/79/67/668867967.db2.gz XFAWBMUPDIIBIW-SECBINFHSA-N 0 2 312.753 0.711 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000780385505 668983436 /nfs/dbraw/zinc/98/34/36/668983436.db2.gz PRISXZHRJGPARV-GFCCVEGCSA-N 0 2 322.365 0.257 20 0 DCADLN COC(=O)CCCOC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000783160182 669146013 /nfs/dbraw/zinc/14/60/13/669146013.db2.gz ZNDUKTADKFWXGE-UHFFFAOYSA-N 0 2 307.306 0.370 20 0 DCADLN COC(=O)[C@]1(O)CCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000880563845 669237764 /nfs/dbraw/zinc/23/77/64/669237764.db2.gz VVQJHSVHGLPPCL-ZDUSSCGKSA-N 0 2 309.347 0.507 20 0 DCADLN CC[C@]1(C)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000785418926 669255184 /nfs/dbraw/zinc/25/51/84/669255184.db2.gz FEFWSWOGQJAANI-CQSZACIVSA-N 0 2 305.338 0.099 20 0 DCADLN CC(C)n1ncnc1Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881374008 669330971 /nfs/dbraw/zinc/33/09/71/669330971.db2.gz QJKMMOKKMYYGFR-UHFFFAOYSA-N 0 2 317.275 0.677 20 0 DCADLN Cn1c(SCC(=O)NOCCO)nnc1-c1cccs1 ZINC000787286033 669363886 /nfs/dbraw/zinc/36/38/86/669363886.db2.gz SQORGXKEPXDULX-UHFFFAOYSA-N 0 2 314.392 0.676 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2cnnn2C)cc1F ZINC000788204983 669422006 /nfs/dbraw/zinc/42/20/06/669422006.db2.gz GOKZVVNKGKHONW-UHFFFAOYSA-N 0 2 314.298 0.542 20 0 DCADLN CN(C)[S@@](C)(=O)=NS(=O)(=O)Nc1cc(O)cc(F)c1 ZINC000882710027 669571821 /nfs/dbraw/zinc/57/18/21/669571821.db2.gz UNUXEKZHNWWMQN-SFHVURJKSA-N 0 2 311.360 0.762 20 0 DCADLN COC(=O)Cc1cccc(NC(=O)CC2SC(=N)NC2=O)n1 ZINC000791046289 669589891 /nfs/dbraw/zinc/58/98/91/669589891.db2.gz TWBNHQJUBORJFR-QMMMGPOBSA-N 0 2 322.346 0.292 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@@H](C2CC2)O1 ZINC000791839433 669633752 /nfs/dbraw/zinc/63/37/52/669633752.db2.gz KTUKBGNRSTYFBU-SKDRFNHKSA-N 0 2 317.349 0.097 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cc(Br)nn2C)cn1 ZINC000794050433 669774093 /nfs/dbraw/zinc/77/40/93/669774093.db2.gz IQEUSVJVEGPVIE-UHFFFAOYSA-N 0 2 320.172 0.717 20 0 DCADLN CC(C)(C#N)C(=O)NNC(=O)c1csc(N2CCOCC2)n1 ZINC000042127928 669826835 /nfs/dbraw/zinc/82/68/35/669826835.db2.gz ZAQNPRQUHFINIP-UHFFFAOYSA-N 0 2 323.378 0.290 20 0 DCADLN COc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1O ZINC000794903387 669866800 /nfs/dbraw/zinc/86/68/00/669866800.db2.gz CWTPTPPVVNLUJP-UHFFFAOYSA-N 0 2 315.289 0.424 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@@H]1CCC[C@@H](O)C1 ZINC000795394154 669887018 /nfs/dbraw/zinc/88/70/18/669887018.db2.gz FFHAEWHMTNBTFV-NXEZZACHSA-N 0 2 306.322 0.166 20 0 DCADLN CC(C)(O)[C@H](Oc1ccccc1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000795745263 669909849 /nfs/dbraw/zinc/90/98/49/669909849.db2.gz IFLIYUYRDZGCPM-LLVKDONJSA-N 0 2 307.306 0.772 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)O[C@H]1CCC(=O)NC1=O ZINC000798661585 670018114 /nfs/dbraw/zinc/01/81/14/670018114.db2.gz UQSMAMLJGAZQKQ-XPUUQOCRSA-N 0 2 324.255 0.038 20 0 DCADLN CNC(=O)COC(=O)c1nn(-c2ccc(F)cc2F)cc1O ZINC000801413106 670128186 /nfs/dbraw/zinc/12/81/86/670128186.db2.gz HYCVFRXKYINRIQ-UHFFFAOYSA-N 0 2 311.244 0.759 20 0 DCADLN Cn1nccc1[C@H]1CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000801518402 670133825 /nfs/dbraw/zinc/13/38/25/670133825.db2.gz HBMOJSOIVUQELJ-GXSJLCMTSA-N 0 2 321.406 0.683 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000951048300 670183115 /nfs/dbraw/zinc/18/31/15/670183115.db2.gz XNAXSIGXWALREX-HTRCEHHLSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC000951048300 670183117 /nfs/dbraw/zinc/18/31/17/670183117.db2.gz XNAXSIGXWALREX-HTRCEHHLSA-N 0 2 324.234 0.691 20 0 DCADLN CCO[C@H]1C[C@@H]1COC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000193134364 670243266 /nfs/dbraw/zinc/24/32/66/670243266.db2.gz QJMMEABPDXTQKL-PWSUYJOCSA-N 0 2 305.334 0.842 20 0 DCADLN Cn1c(C(=O)OCc2n[nH]c(=O)[nH]2)cc2ccccc2c1=O ZINC000803868973 670275390 /nfs/dbraw/zinc/27/53/90/670275390.db2.gz SFHGFSNBMKYUAD-UHFFFAOYSA-N 0 2 300.274 0.719 20 0 DCADLN CCC[C@@]1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCOC1 ZINC000804536222 670297930 /nfs/dbraw/zinc/29/79/30/670297930.db2.gz WEOYJXWMZOYNFF-CQSZACIVSA-N 0 2 305.338 0.147 20 0 DCADLN Cc1ccc([C@H](C)C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000951553828 670301040 /nfs/dbraw/zinc/30/10/40/670301040.db2.gz VUKAZVJXYVRIHP-NSHDSACASA-N 0 2 319.365 0.860 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnon1 ZINC000951765357 670361875 /nfs/dbraw/zinc/36/18/75/670361875.db2.gz FYSIQSUHPMQISW-FSPLSTOPSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cnon1 ZINC000951765357 670361878 /nfs/dbraw/zinc/36/18/78/670361878.db2.gz FYSIQSUHPMQISW-FSPLSTOPSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(CCc1ccccn1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949617596 670385839 /nfs/dbraw/zinc/38/58/39/670385839.db2.gz SLTCNSZYVAQZDG-UHFFFAOYSA-N 0 2 316.365 0.182 20 0 DCADLN O=C([C@H](O)c1ccccc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000808029735 670386797 /nfs/dbraw/zinc/38/67/97/670386797.db2.gz SMCIKGBLGUNBMT-VXGBXAGGSA-N 0 2 302.334 0.950 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C(=O)N1c1cnn(C)c1 ZINC000810454374 670486211 /nfs/dbraw/zinc/48/62/11/670486211.db2.gz JNTZCFUVDJQFNU-YEPSODPASA-N 0 2 322.262 0.931 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C(=O)N1c1cnn(C)c1 ZINC000810454374 670486214 /nfs/dbraw/zinc/48/62/14/670486214.db2.gz JNTZCFUVDJQFNU-YEPSODPASA-N 0 2 322.262 0.931 20 0 DCADLN Cn1cnnc1CCOC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000810690598 670494168 /nfs/dbraw/zinc/49/41/68/670494168.db2.gz XMUOPMZKNNBCBU-UHFFFAOYSA-N 0 2 308.260 0.358 20 0 DCADLN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(F)C(F)(F)F)C1 ZINC000811464436 670532166 /nfs/dbraw/zinc/53/21/66/670532166.db2.gz YBZCNYOOYLEGLP-IVZWLZJFSA-N 0 2 317.279 0.759 20 0 DCADLN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000811464436 670532170 /nfs/dbraw/zinc/53/21/70/670532170.db2.gz YBZCNYOOYLEGLP-IVZWLZJFSA-N 0 2 317.279 0.759 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc2c(n1)OCCO2 ZINC000847752828 670596771 /nfs/dbraw/zinc/59/67/71/670596771.db2.gz ZBVIRYOSKHWNSK-UHFFFAOYSA-N 0 2 308.319 0.497 20 0 DCADLN CCc1nnc(C)cc1C(=O)NN1C(=O)N[C@@](C)(CC)C1=O ZINC000813547083 670635261 /nfs/dbraw/zinc/63/52/61/670635261.db2.gz OCUMQSXYSAAYQT-AWEZNQCLSA-N 0 2 305.338 0.713 20 0 DCADLN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cccc(Cl)c1O ZINC000855476515 670718418 /nfs/dbraw/zinc/71/84/18/670718418.db2.gz PQRSQQFPEAFMKC-ZJUUUORDSA-N 0 2 319.766 0.587 20 0 DCADLN O=C(CNC(=O)c1nc(=O)[nH][nH]1)OCc1ccccc1Cl ZINC000113444497 670730279 /nfs/dbraw/zinc/73/02/79/670730279.db2.gz DDZGPRYYCDLATJ-UHFFFAOYSA-N 0 2 310.697 0.225 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NN1CCc2ccccc2C1 ZINC000855711186 670750851 /nfs/dbraw/zinc/75/08/51/670750851.db2.gz WZKUSGUIZUBCFV-UHFFFAOYSA-N 0 2 324.344 0.161 20 0 DCADLN COC(=O)c1occc1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000855872179 670762191 /nfs/dbraw/zinc/76/21/91/670762191.db2.gz UDIBSQADLGHTQF-SSDOTTSWSA-N 0 2 311.319 0.239 20 0 DCADLN O=C([C@H]1CCCC12OCCO2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000816542458 670820850 /nfs/dbraw/zinc/82/08/50/670820850.db2.gz PLWNQTKSLAEDGZ-WDEREUQCSA-N 0 2 322.365 0.760 20 0 DCADLN C[C@@H]1CN(C(=O)C[C@H]2SC(=N)NC2=O)C[C@](C)(C(F)F)O1 ZINC000856486641 670825165 /nfs/dbraw/zinc/82/51/65/670825165.db2.gz KAPCQGOUEZRUHQ-NQYJQULFSA-N 0 2 321.349 0.814 20 0 DCADLN C[C@@]1(C(F)F)CN(C(=O)C[C@H]2SC(=N)NC2=O)CCO1 ZINC000856502192 670826517 /nfs/dbraw/zinc/82/65/17/670826517.db2.gz YQXATIUPBCYDAH-KBUNVGBDSA-N 0 2 307.322 0.425 20 0 DCADLN O=C(CSCC(=O)N1CCOCC1)NOCCC1CC1 ZINC000817372929 670916897 /nfs/dbraw/zinc/91/68/97/670916897.db2.gz QFMWQXOQTMVRAM-UHFFFAOYSA-N 0 2 302.396 0.426 20 0 DCADLN CCCc1nccnc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000857659716 670938293 /nfs/dbraw/zinc/93/82/93/670938293.db2.gz APGFURBMEGBKDN-UHFFFAOYSA-N 0 2 313.321 0.452 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(-c3ccccc3)c2C)c1=O ZINC000820387895 671197425 /nfs/dbraw/zinc/19/74/25/671197425.db2.gz FWKHAGVKYGPIHM-UHFFFAOYSA-N 0 2 312.333 0.943 20 0 DCADLN CC(C)Sc1n[nH]c(NS(=O)(=O)N=S(C)(C)=O)n1 ZINC000820801829 671229267 /nfs/dbraw/zinc/22/92/67/671229267.db2.gz INNDILFGROJBAR-UHFFFAOYSA-N 0 2 313.430 0.690 20 0 DCADLN CNS(=O)(=O)c1ccc(C(=O)O[C@H](C)c2nnc[nH]2)o1 ZINC000853219847 671221772 /nfs/dbraw/zinc/22/17/72/671221772.db2.gz HTZHPBGNNKKHPK-ZCFIWIBFSA-N 0 2 300.296 0.224 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CNC(=O)c2ccccc21 ZINC000860922455 671250320 /nfs/dbraw/zinc/25/03/20/671250320.db2.gz SBMRMWRPZYNMKT-SNVBAGLBSA-N 0 2 318.358 0.544 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)N2CCC[C@H]2c2nnc[nH]2)cc1=O ZINC000826964666 671508364 /nfs/dbraw/zinc/50/83/64/671508364.db2.gz FLYJJOPGULJAED-LBPRGKRZSA-N 0 2 318.381 0.857 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NC[C@@H](O)c1ccsc1 ZINC000867056732 671556932 /nfs/dbraw/zinc/55/69/32/671556932.db2.gz WFUSXJCXWLYWSL-SNVBAGLBSA-N 0 2 324.449 0.878 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCn3nc(C4CC4)cc3C2)S1 ZINC000834461433 671761842 /nfs/dbraw/zinc/76/18/42/671761842.db2.gz IPBQGOZJNRLLFC-NSHDSACASA-N 0 2 319.390 0.659 20 0 DCADLN NS(=O)(=O)CCOCCNC(=O)c1cccc(Cl)c1O ZINC000837642607 671870865 /nfs/dbraw/zinc/87/08/65/671870865.db2.gz XTTVQHJBXSLJNX-UHFFFAOYSA-N 0 2 322.770 0.081 20 0 DCADLN Cn1cc(C=CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000843878069 672126971 /nfs/dbraw/zinc/12/69/71/672126971.db2.gz KVAHFMBNWWXIMH-SGUJLRQBSA-N 0 2 302.338 0.663 20 0 DCADLN Cn1nnc2cc(NC(=O)CC3SC(=N)NC3=O)ccc21 ZINC000844007190 672136922 /nfs/dbraw/zinc/13/69/22/672136922.db2.gz GNYQHSWWRXEOEK-SECBINFHSA-N 0 2 304.335 0.463 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)C[C@H]1CCCO1)n1cc[nH+]c1 ZINC000845510107 672254449 /nfs/dbraw/zinc/25/44/49/672254449.db2.gz LOGIJFQUPZZWDY-GHMZBOCLSA-N 0 2 301.368 0.459 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)[N-]C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845531089 672255647 /nfs/dbraw/zinc/25/56/47/672255647.db2.gz AUYQWKXWDMTFCK-JTQLQIEISA-N 0 2 315.395 0.243 20 0 DCADLN C[S@](=O)(=NS(=O)(=O)NC[C@@H]1COCO1)c1ccccc1 ZINC000867396549 672275211 /nfs/dbraw/zinc/27/52/11/672275211.db2.gz YTGPECKYOHAWJX-GIGQVBGESA-N 0 2 320.392 0.351 20 0 DCADLN COC(=O)[C@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)CC1CC1 ZINC000846461455 672316014 /nfs/dbraw/zinc/31/60/14/672316014.db2.gz SNIVUCPXPKQSGA-IUCAKERBSA-N 0 2 313.379 0.248 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000953830156 685865523 /nfs/dbraw/zinc/86/55/23/685865523.db2.gz OTVASLJFPUWLEF-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(C(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC000953830156 685865528 /nfs/dbraw/zinc/86/55/28/685865528.db2.gz OTVASLJFPUWLEF-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN CN(C(=O)c1cncn1C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954694105 686101756 /nfs/dbraw/zinc/10/17/56/686101756.db2.gz AXGPLLCGTAAODA-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1cncn1C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954694105 686101761 /nfs/dbraw/zinc/10/17/61/686101761.db2.gz AXGPLLCGTAAODA-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN Cc1cccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038027537 693992441 /nfs/dbraw/zinc/99/24/41/693992441.db2.gz VOESAVRTAQCJJA-LBPRGKRZSA-N 0 2 301.350 0.823 20 0 DCADLN CN(C(=O)c1ccncn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955086700 686260249 /nfs/dbraw/zinc/26/02/49/686260249.db2.gz LQTBIYBQGKYZRW-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1ccncn1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000955086700 686260250 /nfs/dbraw/zinc/26/02/50/686260250.db2.gz LQTBIYBQGKYZRW-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1sccc1F ZINC001038225538 694019084 /nfs/dbraw/zinc/01/90/84/694019084.db2.gz OJOFDQDIQZUERK-ZETCQYMHSA-N 0 2 311.342 0.715 20 0 DCADLN CCN(C(=O)c1c[nH]cc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957382938 687046447 /nfs/dbraw/zinc/04/64/47/687046447.db2.gz FXXNXFCQMJOQNF-UHFFFAOYSA-N 0 2 304.354 0.493 20 0 DCADLN CC(C)c1n[nH]cc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038449286 694085352 /nfs/dbraw/zinc/08/53/52/694085352.db2.gz QTRRUWRVDXXISY-SECBINFHSA-N 0 2 319.369 0.361 20 0 DCADLN CO[C@H]1CCCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957865943 687229226 /nfs/dbraw/zinc/22/92/26/687229226.db2.gz OBGZNEDQGDEEMU-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CO[C@@H]1CCCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957865944 687229525 /nfs/dbraw/zinc/22/95/25/687229525.db2.gz OBGZNEDQGDEEMU-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)c1 ZINC001038546743 694111532 /nfs/dbraw/zinc/11/15/32/694111532.db2.gz RMBQOJBCGNEBLL-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)ns1 ZINC000958696743 687865948 /nfs/dbraw/zinc/86/59/48/687865948.db2.gz KOIHEQJPBVIOEW-VROVMSAKSA-N 0 2 320.378 0.135 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)ns1 ZINC000958696743 687865952 /nfs/dbraw/zinc/86/59/52/687865952.db2.gz KOIHEQJPBVIOEW-VROVMSAKSA-N 0 2 320.378 0.135 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1sccc1F ZINC000958791489 687926471 /nfs/dbraw/zinc/92/64/71/687926471.db2.gz UJVNENIEEHHECZ-MTBHXBHISA-N 0 2 323.353 0.571 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1sccc1F ZINC000958791489 687926475 /nfs/dbraw/zinc/92/64/75/687926475.db2.gz UJVNENIEEHHECZ-MTBHXBHISA-N 0 2 323.353 0.571 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1c[nH]cc1C1CC1 ZINC001038862712 694221359 /nfs/dbraw/zinc/22/13/59/694221359.db2.gz BQBDYRVYLJPADT-SNVBAGLBSA-N 0 2 316.365 0.720 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC[C@H]1C ZINC000965857473 689568033 /nfs/dbraw/zinc/56/80/33/689568033.db2.gz AIAILZKGQWTOKG-SKDRFNHKSA-N 0 2 318.381 0.787 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC[C@H]1C ZINC000965857473 689568037 /nfs/dbraw/zinc/56/80/37/689568037.db2.gz AIAILZKGQWTOKG-SKDRFNHKSA-N 0 2 318.381 0.787 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000966009576 689606967 /nfs/dbraw/zinc/60/69/67/689606967.db2.gz HULAHDBKONUIBK-WPRPVWTQSA-N 0 2 319.369 0.182 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)c1cc[nH]c1 ZINC000966235701 689697438 /nfs/dbraw/zinc/69/74/38/689697438.db2.gz OYWNVDMJIWFUAO-ONGXEEELSA-N 0 2 304.354 0.479 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)c1cc[nH]c1 ZINC000966235701 689697440 /nfs/dbraw/zinc/69/74/40/689697440.db2.gz OYWNVDMJIWFUAO-ONGXEEELSA-N 0 2 304.354 0.479 20 0 DCADLN Cc1nnc(CN[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)o1 ZINC000968752000 690385213 /nfs/dbraw/zinc/38/52/13/690385213.db2.gz GCJOMOUBYRIGHO-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN O=C(c1ncc[nH]1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039578048 694387585 /nfs/dbraw/zinc/38/75/85/694387585.db2.gz XICPHPJVNKRQTI-AOOOYVTPSA-N 0 2 317.353 0.113 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001008569813 690892091 /nfs/dbraw/zinc/89/20/91/690892091.db2.gz PWRHWKSGQCAUFQ-SNVBAGLBSA-N 0 2 319.369 0.245 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)[nH]1 ZINC001008691548 690911290 /nfs/dbraw/zinc/91/12/90/690911290.db2.gz YIPWHRBWGPSIEL-NSHDSACASA-N 0 2 318.381 0.850 20 0 DCADLN CCn1cccc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009527632 691056286 /nfs/dbraw/zinc/05/62/86/691056286.db2.gz GCPBXNCAKPDXPF-LLVKDONJSA-N 0 2 318.381 0.726 20 0 DCADLN O=C(c1cocn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010695696 691351083 /nfs/dbraw/zinc/35/10/83/691351083.db2.gz YDQZOEVONRRIMT-MRVPVSSYSA-N 0 2 309.219 0.859 20 0 DCADLN O=C(c1cocn1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010695696 691351086 /nfs/dbraw/zinc/35/10/86/691351086.db2.gz YDQZOEVONRRIMT-MRVPVSSYSA-N 0 2 309.219 0.859 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccoc1Cl ZINC001010777020 691376979 /nfs/dbraw/zinc/37/69/79/691376979.db2.gz BXWCNXBWIFBNDQ-ZETCQYMHSA-N 0 2 311.729 0.761 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccoc1Cl ZINC001010777012 691377135 /nfs/dbraw/zinc/37/71/35/691377135.db2.gz BXWCNXBWIFBNDQ-SSDOTTSWSA-N 0 2 311.729 0.761 20 0 DCADLN O=C(c1ncc[nH]1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011100407 691466867 /nfs/dbraw/zinc/46/68/67/691466867.db2.gz MBWFNHHYXKQUFV-ZETCQYMHSA-N 0 2 308.235 0.595 20 0 DCADLN O=C(c1ncc[nH]1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011100407 691466870 /nfs/dbraw/zinc/46/68/70/691466870.db2.gz MBWFNHHYXKQUFV-ZETCQYMHSA-N 0 2 308.235 0.595 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240515 691491990 /nfs/dbraw/zinc/49/19/90/691491990.db2.gz WAXNYTHKKHOXAX-SNVBAGLBSA-N 0 2 322.262 0.523 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccoc1C1CC1 ZINC001015617139 692366059 /nfs/dbraw/zinc/36/60/59/692366059.db2.gz VNIMDNKJFAWKGC-SNVBAGLBSA-N 0 2 317.349 0.985 20 0 DCADLN Cc1ccoc1CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015676184 692391433 /nfs/dbraw/zinc/39/14/33/692391433.db2.gz ITKMTHJUUDMOIE-SNVBAGLBSA-N 0 2 305.338 0.345 20 0 DCADLN CCc1oncc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015779707 692439435 /nfs/dbraw/zinc/43/94/35/692439435.db2.gz OSVDYQMQUKZIQS-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2c([nH]1)CCC2 ZINC001015979631 692532037 /nfs/dbraw/zinc/53/20/37/692532037.db2.gz FHVGRPXVWCGXNX-JTQLQIEISA-N 0 2 316.365 0.331 20 0 DCADLN O=C(C(F)C(F)(F)F)N1[C@@H]2CC[C@H]1CN([C@H]1CCNC1=O)C2 ZINC001017572815 692941288 /nfs/dbraw/zinc/94/12/88/692941288.db2.gz JAYNEVGKWDNNLK-QCLAVDOMSA-N 0 2 323.290 0.451 20 0 DCADLN COC[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnn[nH]1 ZINC001017966388 693020054 /nfs/dbraw/zinc/02/00/54/693020054.db2.gz VRRFNSPJSHBKDM-BNOWGMLFSA-N 0 2 307.398 0.447 20 0 DCADLN CC(=O)NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001019502604 693496853 /nfs/dbraw/zinc/49/68/53/693496853.db2.gz JVOOIGIASDQRGC-IUCAKERBSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)NC[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCCO1 ZINC001019502604 693496856 /nfs/dbraw/zinc/49/68/56/693496856.db2.gz JVOOIGIASDQRGC-IUCAKERBSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1cc(CN2CCCO[C@H](CNC(=O)c3cnn[nH]3)C2)no1 ZINC001073674717 694765339 /nfs/dbraw/zinc/76/53/39/694765339.db2.gz LOHNYSNOWRQVKA-GFCCVEGCSA-N 0 2 320.353 0.122 20 0 DCADLN O=C(CC1CC1)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074137996 694825611 /nfs/dbraw/zinc/82/56/11/694825611.db2.gz ZYSNKWFJGYXKBL-VXGBXAGGSA-N 0 2 321.381 0.112 20 0 DCADLN CC(C)(C)CC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075552471 694958514 /nfs/dbraw/zinc/95/85/14/694958514.db2.gz PONBKXKTXVSRQG-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)(C)CC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075552471 694958515 /nfs/dbraw/zinc/95/85/15/694958515.db2.gz PONBKXKTXVSRQG-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001075586377 694960929 /nfs/dbraw/zinc/96/09/29/694960929.db2.gz PUDYPNLCFTUGTR-VUYFNKJKSA-N 0 2 317.393 0.899 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001075586377 694960930 /nfs/dbraw/zinc/96/09/30/694960930.db2.gz PUDYPNLCFTUGTR-VUYFNKJKSA-N 0 2 317.393 0.899 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccccc2CC(=O)NC)cn1 ZINC000066580110 1157362468 /nfs/dbraw/zinc/36/24/68/1157362468.db2.gz KVMNMPSHPFHRPN-UHFFFAOYSA-N 0 2 322.390 0.992 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(F)nc1 ZINC001753849401 1158070140 /nfs/dbraw/zinc/07/01/40/1158070140.db2.gz DWFUQQJCDHSNSU-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(F)nc1 ZINC001753849401 1158070147 /nfs/dbraw/zinc/07/01/47/1158070147.db2.gz DWFUQQJCDHSNSU-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CCCOCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001745992968 1158240151 /nfs/dbraw/zinc/24/01/51/1158240151.db2.gz WABBMGNQWQSPMY-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN CCCOCC(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001745992968 1158240155 /nfs/dbraw/zinc/24/01/55/1158240155.db2.gz WABBMGNQWQSPMY-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN CN(C(=O)CCc1nn[nH]n1)[C@H](c1nc[nH]n1)c1ccccc1 ZINC001626084909 1158697980 /nfs/dbraw/zinc/69/79/80/1158697980.db2.gz YNCUKORIBOLLDE-ZDUSSCGKSA-N 0 2 312.337 0.498 20 0 DCADLN CC(C)(C)CC(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001447395765 1159631957 /nfs/dbraw/zinc/63/19/57/1159631957.db2.gz KBRDTKNJERPTIA-SNVBAGLBSA-N 0 2 323.397 0.406 20 0 DCADLN COCC(C)(C)[NH+]1CCN(S(=O)(=O)C[C@@H](C)C(=O)[O-])CC1 ZINC001610241970 1159835302 /nfs/dbraw/zinc/83/53/02/1159835302.db2.gz ANUQPBXIWPOGPJ-LLVKDONJSA-N 0 2 322.427 0.080 20 0 DCADLN CC[C@H]1C[N@@H+]([C@H](C)C(=O)Nc2ncccn2)C[C@]1(F)C(=O)[O-] ZINC001573824905 1163561721 /nfs/dbraw/zinc/56/17/21/1163561721.db2.gz VGDSJCQJHCWKFT-ISTVAULSSA-N 0 2 310.329 0.938 20 0 DCADLN CC[C@H]1C[N@H+]([C@H](C)C(=O)Nc2ncccn2)C[C@]1(F)C(=O)[O-] ZINC001573824905 1163561727 /nfs/dbraw/zinc/56/17/27/1163561727.db2.gz VGDSJCQJHCWKFT-ISTVAULSSA-N 0 2 310.329 0.938 20 0 DCADLN COC(=O)c1cc(C[NH2+][C@@H](C)c2nc(C(=O)[O-])n[nH]2)cn1C ZINC001573937582 1163592061 /nfs/dbraw/zinc/59/20/61/1163592061.db2.gz AYGSRWPWENQBIB-ZETCQYMHSA-N 0 2 307.310 0.479 20 0 DCADLN O=C([O-])c1noc(C[N@H+]2C[C@H]3C[C@H](Cn4c(=O)cccc43)C2)n1 ZINC001574429633 1163721154 /nfs/dbraw/zinc/72/11/54/1163721154.db2.gz SNRRMWJPWTYTDY-VHSXEESVSA-N 0 2 316.317 0.549 20 0 DCADLN O=C([O-])c1noc(C[N@@H+]2C[C@H]3C[C@H](Cn4c(=O)cccc43)C2)n1 ZINC001574429633 1163721159 /nfs/dbraw/zinc/72/11/59/1163721159.db2.gz SNRRMWJPWTYTDY-VHSXEESVSA-N 0 2 316.317 0.549 20 0 DCADLN O=C([O-])C1=C(C[N@H+]2CC[C@@H]2CO)NC(=O)N[C@H]1c1ccco1 ZINC001574435120 1163722823 /nfs/dbraw/zinc/72/28/23/1163722823.db2.gz KVEJQEUEZRVSBG-PELKAZGASA-N 0 2 307.306 0.039 20 0 DCADLN O=C([O-])C1=C(C[N@@H+]2CC[C@@H]2CO)NC(=O)N[C@H]1c1ccco1 ZINC001574435120 1163722828 /nfs/dbraw/zinc/72/28/28/1163722828.db2.gz KVEJQEUEZRVSBG-PELKAZGASA-N 0 2 307.306 0.039 20 0 DCADLN O=C(CCn1cccn1)NCCNC(=O)C(F)C(F)(F)F ZINC001574885020 1163785350 /nfs/dbraw/zinc/78/53/50/1163785350.db2.gz DRKQEFBDJRYWOU-SECBINFHSA-N 0 2 310.251 0.406 20 0 DCADLN O=C(CCn1cccn1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001574885020 1163785361 /nfs/dbraw/zinc/78/53/61/1163785361.db2.gz DRKQEFBDJRYWOU-SECBINFHSA-N 0 2 310.251 0.406 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ccc(=O)[nH]c1 ZINC001575311574 1163969433 /nfs/dbraw/zinc/96/94/33/1163969433.db2.gz MBZKVCOMDNHLND-MRVPVSSYSA-N 0 2 309.219 0.534 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1ccc(=O)[nH]c1 ZINC001575311574 1163969439 /nfs/dbraw/zinc/96/94/39/1163969439.db2.gz MBZKVCOMDNHLND-MRVPVSSYSA-N 0 2 309.219 0.534 20 0 DCADLN COc1ccnc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1 ZINC001575312936 1163971342 /nfs/dbraw/zinc/97/13/42/1163971342.db2.gz YZOGVKZTCFJCJQ-VIFPVBQESA-N 0 2 323.246 0.837 20 0 DCADLN COc1ccnc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001575312936 1163971344 /nfs/dbraw/zinc/97/13/44/1163971344.db2.gz YZOGVKZTCFJCJQ-VIFPVBQESA-N 0 2 323.246 0.837 20 0 DCADLN CC[C@@H]1CN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C[C@H]1O ZINC001577170058 1164618847 /nfs/dbraw/zinc/61/88/47/1164618847.db2.gz UJVGBYPNAOCIDB-PSASIEDQSA-N 0 2 318.337 0.119 20 0 DCADLN CCCC[C@](C)(CO)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001577430303 1164690073 /nfs/dbraw/zinc/69/00/73/1164690073.db2.gz AACVLLYUGCGJDD-CYBMUJFWSA-N 0 2 323.357 0.019 20 0 DCADLN O=C(Cc1cccs1)NC[C@]1(O)CCN(Cc2cn[nH]n2)C1 ZINC001577963828 1164864766 /nfs/dbraw/zinc/86/47/66/1164864766.db2.gz HEBLDRWDKQBRDO-CQSZACIVSA-N 0 2 321.406 0.162 20 0 DCADLN O=C(Cc1cccs1)NC[C@]1(O)CCN(Cc2c[nH]nn2)C1 ZINC001577963828 1164864774 /nfs/dbraw/zinc/86/47/74/1164864774.db2.gz HEBLDRWDKQBRDO-CQSZACIVSA-N 0 2 321.406 0.162 20 0 DCADLN CCc1nc(N[C@@H](C)C2N=NC(=O)N2C)cc(-c2nn[nH]n2)n1 ZINC001578626033 1165072008 /nfs/dbraw/zinc/07/20/08/1165072008.db2.gz CDBOMDMVFZDQIZ-LURJTMIESA-N 0 2 316.329 0.226 20 0 DCADLN COCC1(N(C)C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)CC1 ZINC001579746587 1165386043 /nfs/dbraw/zinc/38/60/43/1165386043.db2.gz AYVAFHBJSKUEBB-UHFFFAOYSA-N 0 2 318.337 0.527 20 0 DCADLN Cc1[nH]c(-c2nn[nH]n2)cc1C(=O)NCCc1n[nH]c(=S)o1 ZINC001580463814 1165611220 /nfs/dbraw/zinc/61/12/20/1165611220.db2.gz VJNRWQSEPAVFFR-UHFFFAOYSA-N 0 2 320.338 0.147 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)[C@H]1CCSC1 ZINC001580595865 1165648366 /nfs/dbraw/zinc/64/83/66/1165648366.db2.gz FBSKHRCXZFTSOX-QMMMGPOBSA-N 0 2 320.378 0.853 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@H](O)C(C)(C)C1 ZINC001580597253 1165649314 /nfs/dbraw/zinc/64/93/14/1165649314.db2.gz FPEAEKISGKMCBU-VIFPVBQESA-N 0 2 318.337 0.119 20 0 DCADLN Cc1ccn(C[C@@H]2CCNC(=O)CC2)c(=O)c1-c1nn[nH]n1 ZINC001581274912 1165808537 /nfs/dbraw/zinc/80/85/37/1165808537.db2.gz FOYXZWKUDPQCLY-JTQLQIEISA-N 0 2 302.338 0.253 20 0 DCADLN Cn1c(=O)[nH]c(NCc2ccc(F)cc2)c(-c2nn[nH]n2)c1=O ZINC001581747573 1165864625 /nfs/dbraw/zinc/86/46/25/1165864625.db2.gz PJHXPWJUJSWEBP-UHFFFAOYSA-N 0 2 317.284 0.417 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(CNC(=O)C(N)=O)CCCC[C@@H]2C)no1 ZINC001582666464 1166063157 /nfs/dbraw/zinc/06/31/57/1166063157.db2.gz HFMUMFJFTZWDHD-BJOHPYRUSA-N 0 2 322.365 0.263 20 0 DCADLN C[C@H]1CCCC[C@@]1(CNC(=O)C(N)=O)NC(=O)C(C)(F)F ZINC001582666137 1166063223 /nfs/dbraw/zinc/06/32/23/1166063223.db2.gz BYBRVTOKMWRVLI-SDBXPKJASA-N 0 2 305.325 0.308 20 0 DCADLN Cc1ccc(C(=O)NC[C@@](C)(NC(=O)C(N)=O)C2CC2)c(C)c1 ZINC001582656751 1166063691 /nfs/dbraw/zinc/06/36/91/1166063691.db2.gz TUGLSFFSERVICI-QGZVFWFLSA-N 0 2 317.389 0.803 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1ncccn1 ZINC001583391894 1166250181 /nfs/dbraw/zinc/25/01/81/1166250181.db2.gz UCRWMYPKVWFTQD-CMLYIYFCSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1ncccn1 ZINC001583391894 1166250188 /nfs/dbraw/zinc/25/01/88/1166250188.db2.gz UCRWMYPKVWFTQD-CMLYIYFCSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnc(-c2ccncc2)s1 ZINC001586191136 1166483019 /nfs/dbraw/zinc/48/30/19/1166483019.db2.gz LEOBATRZTHEVCQ-UHFFFAOYSA-N 0 2 315.314 0.851 20 0 DCADLN C[C@H](C(=O)Nc1cccc(F)c1O)n1cnc(-c2nn[nH]n2)n1 ZINC001589018576 1166628498 /nfs/dbraw/zinc/62/84/98/1166628498.db2.gz HYIGFPBYKGLLSV-ZCFIWIBFSA-N 0 2 318.272 0.503 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccsc1-c1nn[nH]n1)c1nn[nH]n1 ZINC001589841746 1166696730 /nfs/dbraw/zinc/69/67/30/1166696730.db2.gz OFQPVRHVPKVIEC-ZCFIWIBFSA-N 0 2 319.354 0.317 20 0 DCADLN C[C@H]1CCC[C@H](Cn2cnc3ncc(-c4nn[nH]n4)c(=O)n32)C1 ZINC001590240151 1166824130 /nfs/dbraw/zinc/82/41/30/1166824130.db2.gz CWSWUOVWMCMFCK-UWVGGRQHSA-N 0 2 314.353 0.897 20 0 DCADLN C[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCC1(F)F ZINC001590310433 1166855579 /nfs/dbraw/zinc/85/55/79/1166855579.db2.gz RKYNCWZODYTDTL-SECBINFHSA-N 0 2 310.308 0.963 20 0 DCADLN CCc1nc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)no1 ZINC001590913971 1167048835 /nfs/dbraw/zinc/04/88/35/1167048835.db2.gz SXKCSNAVBRDASD-UHFFFAOYSA-N 0 2 313.321 0.511 20 0 DCADLN CCc1nc(NCCCc2n[nH]c(=O)[nH]2)cc(-c2nn[nH]n2)n1 ZINC001590919325 1167051621 /nfs/dbraw/zinc/05/16/21/1167051621.db2.gz OZSIRFZEQFVBAA-UHFFFAOYSA-N 0 2 316.329 0.088 20 0 DCADLN CCc1nn(CCc2cn[nH]c2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929648 1167053817 /nfs/dbraw/zinc/05/38/17/1167053817.db2.gz IDNYOAVIHIEPTA-UHFFFAOYSA-N 0 2 314.353 0.514 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CC(C)(C)CO2)c1=O ZINC001590950113 1167056154 /nfs/dbraw/zinc/05/61/54/1167056154.db2.gz RDJHVZWSGLDTOU-VIFPVBQESA-N 0 2 320.353 0.025 20 0 DCADLN CCn1cc(CCn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)cn1 ZINC001590953759 1167059220 /nfs/dbraw/zinc/05/92/20/1167059220.db2.gz XCPHSQPCGOBBPZ-UHFFFAOYSA-N 0 2 314.353 0.499 20 0 DCADLN COCCN(CCF)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001591424220 1167276986 /nfs/dbraw/zinc/27/69/86/1167276986.db2.gz QEYQSZSREAPOPW-UHFFFAOYSA-N 0 2 324.316 0.334 20 0 DCADLN CS[C@H]1CCN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)C1 ZINC001591693861 1167355450 /nfs/dbraw/zinc/35/54/50/1167355450.db2.gz VAZKQYBTZAPGLN-JTQLQIEISA-N 0 2 306.395 0.732 20 0 DCADLN C[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1cccs1 ZINC001591770908 1167370586 /nfs/dbraw/zinc/37/05/86/1167370586.db2.gz PIMJDNFFIGHEEV-LURJTMIESA-N 0 2 319.350 0.901 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@]1(C)CCCO1 ZINC001591835512 1167390338 /nfs/dbraw/zinc/39/03/38/1167390338.db2.gz CJEVUYHHYHAMAE-AWEZNQCLSA-N 0 2 318.337 0.575 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)Nc1ccns1 ZINC001591836521 1167391504 /nfs/dbraw/zinc/39/15/04/1167391504.db2.gz ZQJVAGJLFJNABU-UHFFFAOYSA-N 0 2 303.307 0.985 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2cnn(C)c2)ccc1-c1nn[nH]n1 ZINC001592067288 1167449202 /nfs/dbraw/zinc/44/92/02/1167449202.db2.gz CCTLDFLRMOVVNI-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1cncc(NS(=O)(=O)c2cncc(-c3nn[nH]n3)c2)c1 ZINC001592300703 1167484710 /nfs/dbraw/zinc/48/47/10/1167484710.db2.gz YYCNRVJRAMQAIK-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cc1[nH]nc(NC(=O)c2ccc(F)cc2O)c1-c1nn[nH]n1 ZINC001592333066 1167491004 /nfs/dbraw/zinc/49/10/04/1167491004.db2.gz ZVZIDNNJSKHVCP-UHFFFAOYSA-N 0 2 303.257 0.995 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)Cc2noc(C)c2-c2nn[nH]n2)n[nH]1 ZINC001592441929 1167525296 /nfs/dbraw/zinc/52/52/96/1167525296.db2.gz VHMDGYIJHAQBPH-RXMQYKEDSA-N 0 2 317.313 0.010 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2CCC[C@@H](F)C2)c(-c2nn[nH]n2)c1=O ZINC001592545634 1167549079 /nfs/dbraw/zinc/54/90/79/1167549079.db2.gz HLSNXTJIMMTOPV-RNFRBKRXSA-N 0 2 309.305 0.359 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@@]2(C)CCCS2)c(-c2nn[nH]n2)c1=O ZINC001592545657 1167549364 /nfs/dbraw/zinc/54/93/64/1167549364.db2.gz IOBLNSNLKOYDJU-GFCCVEGCSA-N 0 2 323.382 0.364 20 0 DCADLN Nc1[nH+]c(N[C@H]2CC[N@@H+]3CCC[C@@H]3C2)ccc1-c1nn[nH]n1 ZINC001592763056 1167638842 /nfs/dbraw/zinc/63/88/42/1167638842.db2.gz IRHCSVJCQGCMMC-VHSXEESVSA-N 0 2 300.370 0.883 20 0 DCADLN Nc1[nH+]c(N[C@H]2CC[N@H+]3CCC[C@@H]3C2)ccc1-c1nn[nH]n1 ZINC001592763056 1167638845 /nfs/dbraw/zinc/63/88/45/1167638845.db2.gz IRHCSVJCQGCMMC-VHSXEESVSA-N 0 2 300.370 0.883 20 0 DCADLN O=C(OCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2)C1CC1 ZINC001593306186 1167767007 /nfs/dbraw/zinc/76/70/07/1167767007.db2.gz OKRUXXOIBFNORT-UHFFFAOYSA-N 0 2 301.306 0.428 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CC[C@@H]1CC1(Cl)Cl ZINC001593489708 1167873832 /nfs/dbraw/zinc/87/38/32/1167873832.db2.gz WWOBGADHCHEKCZ-RXMQYKEDSA-N 0 2 317.136 0.713 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CC[C@@]2(CC2(F)F)C1 ZINC001593492538 1167875585 /nfs/dbraw/zinc/87/55/85/1167875585.db2.gz JUQMKYQVNQOPSP-GFCCVEGCSA-N 0 2 308.292 0.717 20 0 DCADLN O[C@H](CNc1nc2ncccc2cc1-c1nn[nH]n1)c1cnc[nH]1 ZINC001593507583 1167886371 /nfs/dbraw/zinc/88/63/71/1167886371.db2.gz QTWAOFJZTKVHNJ-LLVKDONJSA-N 0 2 323.320 0.679 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCCC1CCOCC1 ZINC001595465107 1167972422 /nfs/dbraw/zinc/97/24/22/1167972422.db2.gz VQMXZTQVIIPXKG-UHFFFAOYSA-N 0 2 319.365 0.394 20 0 DCADLN COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2ccsc2C(=O)[O-])C1 ZINC001598800078 1168041086 /nfs/dbraw/zinc/04/10/86/1168041086.db2.gz SIOPIPFRGSSDCZ-QMMMGPOBSA-N 0 2 312.347 0.880 20 0 DCADLN COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2ccsc2C(=O)[O-])C1 ZINC001598800078 1168041115 /nfs/dbraw/zinc/04/11/15/1168041115.db2.gz SIOPIPFRGSSDCZ-QMMMGPOBSA-N 0 2 312.347 0.880 20 0 DCADLN Cc1c(NC(=O)C(=O)NCCn2cc[nH+]c2)cccc1C(=O)[O-] ZINC001600028208 1168104808 /nfs/dbraw/zinc/10/48/08/1168104808.db2.gz BMLLXWANFWVUQV-UHFFFAOYSA-N 0 2 316.317 0.645 20 0 DCADLN Cc1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(N)cc1F ZINC001600097394 1168119179 /nfs/dbraw/zinc/11/91/79/1168119179.db2.gz HGNILCUGPBBZHE-GFCCVEGCSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(N)cc1F ZINC001600097394 1168119184 /nfs/dbraw/zinc/11/91/84/1168119184.db2.gz HGNILCUGPBBZHE-GFCCVEGCSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1cc([C@@H]([NH2+]CC(=O)N2CCOCC2)C(=O)[O-])ccc1F ZINC001600147464 1168126311 /nfs/dbraw/zinc/12/63/11/1168126311.db2.gz OSQMCMPQXCZGRB-CQSZACIVSA-N 0 2 310.325 0.708 20 0 DCADLN Cc1cc(C[N@@H+]2CCCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)ncn1 ZINC001600194859 1168135315 /nfs/dbraw/zinc/13/53/15/1168135315.db2.gz BSWZREQKIDDZIR-KBPBESRZSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1cc(C[N@H+]2CCCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)ncn1 ZINC001600194859 1168135322 /nfs/dbraw/zinc/13/53/22/1168135322.db2.gz BSWZREQKIDDZIR-KBPBESRZSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1cc(C[N@H+]2CC=C(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)no1 ZINC001600193067 1168136069 /nfs/dbraw/zinc/13/60/69/1168136069.db2.gz WFNIQDLFGHKKOG-UONOGXRCSA-N 0 2 319.361 0.952 20 0 DCADLN Cc1cc(C[N@@H+]2CC=C(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)no1 ZINC001600193067 1168136075 /nfs/dbraw/zinc/13/60/75/1168136075.db2.gz WFNIQDLFGHKKOG-UONOGXRCSA-N 0 2 319.361 0.952 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)oc1C(=O)[O-] ZINC001600295619 1168157908 /nfs/dbraw/zinc/15/79/08/1168157908.db2.gz LZGJCILOFKVCRO-UHFFFAOYSA-N 0 2 311.319 0.728 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N1CC[NH2+]CC1=O ZINC001600353101 1168172727 /nfs/dbraw/zinc/17/27/27/1168172727.db2.gz UFWQNYJLIDWHAW-SNVBAGLBSA-N 0 2 305.334 0.452 20 0 DCADLN Cc1cccn2cc(CC(=O)N3C[C@@H](O)C[C@H](C(=O)[O-])C3)[nH+]c12 ZINC001600589394 1168203145 /nfs/dbraw/zinc/20/31/45/1168203145.db2.gz MWMRMTYLCKPJCZ-AAEUAGOBSA-N 0 2 317.345 0.479 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@@H+]3CCC[C@@H](O)[C@@H]3C(=O)[O-])nc12 ZINC001600588946 1168203691 /nfs/dbraw/zinc/20/36/91/1168203691.db2.gz QBVAWDSBUCHTJO-TZMCWYRMSA-N 0 2 317.345 0.413 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@H+]3CCC[C@@H](O)[C@@H]3C(=O)[O-])nc12 ZINC001600588946 1168203696 /nfs/dbraw/zinc/20/36/96/1168203696.db2.gz QBVAWDSBUCHTJO-TZMCWYRMSA-N 0 2 317.345 0.413 20 0 DCADLN Cc1cn2c(n1)C[C@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])CC2 ZINC001600615098 1168213439 /nfs/dbraw/zinc/21/34/39/1168213439.db2.gz OEZQPZZWJHVIHG-NEPJUHHUSA-N 0 2 317.349 0.220 20 0 DCADLN Cc1n[nH]c(C)c1[C@H](C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600668227 1168236499 /nfs/dbraw/zinc/23/64/99/1168236499.db2.gz AJLSTZFBOCUAEC-WRWORJQWSA-N 0 2 305.338 0.665 20 0 DCADLN Cc1n[nH]c(C)c1[C@H](C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600668227 1168236518 /nfs/dbraw/zinc/23/65/18/1168236518.db2.gz AJLSTZFBOCUAEC-WRWORJQWSA-N 0 2 305.338 0.665 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)c(C)c(C)[nH+]1 ZINC001600691570 1168253508 /nfs/dbraw/zinc/25/35/08/1168253508.db2.gz ZCTCXKAIUUDVML-CHWSQXEVSA-N 0 2 318.377 0.771 20 0 DCADLN Cc1nn(CCO)c(C)c1C[N@@H+](C)[C@H](COC(C)C)C(=O)[O-] ZINC001600788469 1168297170 /nfs/dbraw/zinc/29/71/70/1168297170.db2.gz QKWJJKBNDZKSSF-CQSZACIVSA-N 0 2 313.398 0.802 20 0 DCADLN Cc1nn(CCO)c(C)c1C[N@H+](C)[C@H](COC(C)C)C(=O)[O-] ZINC001600788469 1168297174 /nfs/dbraw/zinc/29/71/74/1168297174.db2.gz QKWJJKBNDZKSSF-CQSZACIVSA-N 0 2 313.398 0.802 20 0 DCADLN Cc1nnc(C[N@@H+](C)CCCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])o1 ZINC001600794106 1168298158 /nfs/dbraw/zinc/29/81/58/1168298158.db2.gz KXCKEJDXTPZDPP-MNOVXSKESA-N 0 2 310.354 0.379 20 0 DCADLN Cc1nnc(C[N@H+](C)CCCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])o1 ZINC001600794106 1168298161 /nfs/dbraw/zinc/29/81/61/1168298161.db2.gz KXCKEJDXTPZDPP-MNOVXSKESA-N 0 2 310.354 0.379 20 0 DCADLN Cn1c(C[NH+]2CCN(c3cnccn3)CC2)ccc1C(=O)[O-] ZINC001600914681 1168507956 /nfs/dbraw/zinc/50/79/56/1168507956.db2.gz MGXCTPLLAPKXCB-UHFFFAOYSA-N 0 2 301.350 0.836 20 0 DCADLN Cn1cc(Br)c(C[NH2+][C@]2(CC(=O)[O-])CCOC2)n1 ZINC001600927240 1168511031 /nfs/dbraw/zinc/51/10/31/1168511031.db2.gz WWQIYTCYVGOIMZ-NSHDSACASA-N 0 2 318.171 0.906 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)c3ccc(C(=O)[O-])[nH]3)CC[NH2+]2)cn1 ZINC001600943210 1168523497 /nfs/dbraw/zinc/52/34/97/1168523497.db2.gz BQEOYYPUINOZQL-LBPRGKRZSA-N 0 2 303.322 0.233 20 0 DCADLN Cn1cc[nH+]c1Cc1nnc(N2CCC[C@@](C)(C(=O)[O-])C2)n1C ZINC001600987162 1168545050 /nfs/dbraw/zinc/54/50/50/1168545050.db2.gz XNUAEUMMYMEYLV-OAHLLOKOSA-N 0 2 318.381 0.831 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001600985527 1168546271 /nfs/dbraw/zinc/54/62/71/1168546271.db2.gz QRYNHXNFBQRIBJ-RYUDHWBXSA-N 0 2 306.366 0.221 20 0 DCADLN Cn1cnc2cccc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c21 ZINC001600992242 1168550495 /nfs/dbraw/zinc/55/04/95/1168550495.db2.gz GKTJLEKDJWGZDF-GFCCVEGCSA-N 0 2 313.317 0.722 20 0 DCADLN Cn1cnc2cccc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c21 ZINC001600992242 1168550511 /nfs/dbraw/zinc/55/05/11/1168550511.db2.gz GKTJLEKDJWGZDF-GFCCVEGCSA-N 0 2 313.317 0.722 20 0 DCADLN Cn1nc(C2CC2)nc1C[N@@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001601008321 1168561493 /nfs/dbraw/zinc/56/14/93/1168561493.db2.gz LKKDIXXEXHMRFY-UHFFFAOYSA-N 0 2 302.338 0.672 20 0 DCADLN Cn1nc(C2CC2)nc1C[N@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001601008321 1168561500 /nfs/dbraw/zinc/56/15/00/1168561500.db2.gz LKKDIXXEXHMRFY-UHFFFAOYSA-N 0 2 302.338 0.672 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(=O)[O-])c(F)c2)C1 ZINC001601151161 1168605343 /nfs/dbraw/zinc/60/53/43/1168605343.db2.gz RJYCHIOAIRJVBU-JTQLQIEISA-N 0 2 323.324 0.203 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccc(C(=O)[O-])c(F)c2)C1 ZINC001601151161 1168605362 /nfs/dbraw/zinc/60/53/62/1168605362.db2.gz RJYCHIOAIRJVBU-JTQLQIEISA-N 0 2 323.324 0.203 20 0 DCADLN [NH3+][C@@H](CC(=O)N(CCC(=O)[O-])Cc1ccncc1)C(F)F ZINC001601171492 1168619876 /nfs/dbraw/zinc/61/98/76/1168619876.db2.gz BUBUCEGOWLMVKP-JTQLQIEISA-N 0 2 301.293 0.867 20 0 DCADLN NS(=O)(=O)c1ccc(N2CCn3c[nH+]cc3C2)c(C(=O)[O-])c1 ZINC001601199134 1168655481 /nfs/dbraw/zinc/65/54/81/1168655481.db2.gz ZVEWLPIBNHDPDV-UHFFFAOYSA-N 0 2 322.346 0.249 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)CCc1ccccc1O ZINC001601290634 1168692171 /nfs/dbraw/zinc/69/21/71/1168692171.db2.gz RHDKISJGXKKJJV-GFCCVEGCSA-N 0 2 303.318 0.791 20 0 DCADLN O=C([O-])c1ncoc1CCC(=O)N[C@H]1CCc2c[nH+]cn2C1 ZINC001601297426 1168694969 /nfs/dbraw/zinc/69/49/69/1168694969.db2.gz HQUMJNBAEQSPHE-VIFPVBQESA-N 0 2 304.306 0.633 20 0 DCADLN O=C([O-])C1([C@]2(O)CCCN(C(=O)CCn3cc[nH+]c3)C2)CCC1 ZINC001601299759 1168694984 /nfs/dbraw/zinc/69/49/84/1168694984.db2.gz ODUWVUTWFOMKIB-INIZCTEOSA-N 0 2 321.377 0.882 20 0 DCADLN O=C(C[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1)NC(C1CC1)C1CC1 ZINC001601308349 1168699770 /nfs/dbraw/zinc/69/97/70/1168699770.db2.gz QFZYCVNFPUWMFW-INIZCTEOSA-N 0 2 321.377 0.597 20 0 DCADLN O=C(C[N@@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1)NC(C1CC1)C1CC1 ZINC001601308349 1168699776 /nfs/dbraw/zinc/69/97/76/1168699776.db2.gz QFZYCVNFPUWMFW-INIZCTEOSA-N 0 2 321.377 0.597 20 0 DCADLN O=C([O-])[C@H](CCC1OCCO1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001601412317 1168736190 /nfs/dbraw/zinc/73/61/90/1168736190.db2.gz GWUXQKHRGXIWHB-MNOVXSKESA-N 0 2 323.349 0.168 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC001601420096 1168739583 /nfs/dbraw/zinc/73/95/83/1168739583.db2.gz QBRQQNDJDZZVIC-ZDUSSCGKSA-N 0 2 318.333 0.171 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1n[nH]c2c1CCCCC2 ZINC001601425861 1168741995 /nfs/dbraw/zinc/74/19/95/1168741995.db2.gz LTBVYOIDQJQWMI-LBPRGKRZSA-N 0 2 317.349 0.758 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1csc(Cl)n1 ZINC001601425530 1168742630 /nfs/dbraw/zinc/74/26/30/1168742630.db2.gz CMIFIZUUJJLCQX-LURJTMIESA-N 0 2 300.727 0.876 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1coc2c1C(=O)CCC2 ZINC001601425659 1168743117 /nfs/dbraw/zinc/74/31/17/1168743117.db2.gz GRCPGECLUVMNTE-SNVBAGLBSA-N 0 2 317.301 0.878 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccnc(C2CC2)n1 ZINC001601565112 1168783514 /nfs/dbraw/zinc/78/35/14/1168783514.db2.gz PGKKVSUIKPBPAJ-NSHDSACASA-N 0 2 301.306 0.503 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccnc(C2CC2)n1 ZINC001601565112 1168783521 /nfs/dbraw/zinc/78/35/21/1168783521.db2.gz PGKKVSUIKPBPAJ-NSHDSACASA-N 0 2 301.306 0.503 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCOC2(CCC2)C1 ZINC001601565463 1168784006 /nfs/dbraw/zinc/78/40/06/1168784006.db2.gz APSRNJIZSYZPES-PWSUYJOCSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCOC2(CCC2)C1 ZINC001601565463 1168784010 /nfs/dbraw/zinc/78/40/10/1168784010.db2.gz APSRNJIZSYZPES-PWSUYJOCSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])c1ccc(NC(=O)C(=O)N2CC[NH+](C3CC3)CC2)cc1 ZINC001601597258 1168792380 /nfs/dbraw/zinc/79/23/80/1168792380.db2.gz RVFFJWGBUCHXBS-UHFFFAOYSA-N 0 2 317.345 0.630 20 0 DCADLN O=C([O-])C1(NC(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC001601615888 1168795097 /nfs/dbraw/zinc/79/50/97/1168795097.db2.gz LQAXDIFIVHYEMJ-UHFFFAOYSA-N 0 2 314.301 0.544 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001601650347 1168802643 /nfs/dbraw/zinc/80/26/43/1168802643.db2.gz PODOMLGLBIJVIZ-QWHCGFSZSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001601650347 1168802658 /nfs/dbraw/zinc/80/26/58/1168802658.db2.gz PODOMLGLBIJVIZ-QWHCGFSZSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCc2ccccc2O1 ZINC001601663600 1168809734 /nfs/dbraw/zinc/80/97/34/1168809734.db2.gz VQAAIELRUSUXET-GXTWGEPZSA-N 0 2 315.329 0.846 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1C[C@@H](O)Cc1ccc(F)cc1 ZINC001601939205 1168941825 /nfs/dbraw/zinc/94/18/25/1168941825.db2.gz JQSBONJQIUYQOF-STQMWFEESA-N 0 2 310.325 0.004 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1C[C@@H](O)Cc1ccc(F)cc1 ZINC001601939205 1168941829 /nfs/dbraw/zinc/94/18/29/1168941829.db2.gz JQSBONJQIUYQOF-STQMWFEESA-N 0 2 310.325 0.004 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([N@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)C1=O ZINC001602010664 1168962179 /nfs/dbraw/zinc/96/21/79/1168962179.db2.gz YZTZQYDAHKMKII-NXEZZACHSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([N@@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)C1=O ZINC001602010664 1168962182 /nfs/dbraw/zinc/96/21/82/1168962182.db2.gz YZTZQYDAHKMKII-NXEZZACHSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([N@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)C1=O ZINC001602010664 1168962186 /nfs/dbraw/zinc/96/21/86/1168962186.db2.gz YZTZQYDAHKMKII-NXEZZACHSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([NH+]2CCN(c3ccccn3)CC2)C1=O ZINC001602015943 1168964309 /nfs/dbraw/zinc/96/43/09/1168964309.db2.gz MACIADAQXMGCGM-CYBMUJFWSA-N 0 2 318.377 0.279 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1[C@@H]1NC(=O)N(CCCCn2cc[nH+]c2)C1=O ZINC001602198481 1169024801 /nfs/dbraw/zinc/02/48/01/1169024801.db2.gz LAYGEVLARUUDEU-MXWKQRLJSA-N 0 2 306.322 0.304 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)c3cc(-n4cc[nH+]c4)ccc3O)C[C@@H]21 ZINC001602204209 1169028222 /nfs/dbraw/zinc/02/82/22/1169028222.db2.gz IAUUHSVXOPUKJC-IMRBUKKESA-N 0 2 313.313 0.981 20 0 DCADLN O=C([O-])c1cc(C[NH2+]CCS(=O)(=O)c2ccccc2)on1 ZINC001602260501 1169044213 /nfs/dbraw/zinc/04/42/13/1169044213.db2.gz ZPAMLCRGQAIZGZ-UHFFFAOYSA-N 0 2 310.331 0.936 20 0 DCADLN O=C([O-])c1ccc2c(cnn2C[N@@H+]2C[C@@H]3C[C@H]2C[S@]3=O)c1 ZINC001602425779 1169074948 /nfs/dbraw/zinc/07/49/48/1169074948.db2.gz LNRZDACDSIDPLR-QSRBQVKKSA-N 0 2 305.359 0.897 20 0 DCADLN O=C([O-])c1ccc2c(cnn2C[N@H+]2C[C@@H]3C[C@H]2C[S@]3=O)c1 ZINC001602425779 1169074961 /nfs/dbraw/zinc/07/49/61/1169074961.db2.gz LNRZDACDSIDPLR-QSRBQVKKSA-N 0 2 305.359 0.897 20 0 DCADLN O=C([O-])c1ccoc1S(=O)(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001602488102 1169088357 /nfs/dbraw/zinc/08/83/57/1169088357.db2.gz CEBUCWWTPCFCDD-QMMMGPOBSA-N 0 2 311.319 0.468 20 0 DCADLN C/C(=C\CNC(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001602972726 1169223667 /nfs/dbraw/zinc/22/36/67/1169223667.db2.gz QTXQBNVQYNCWDC-YIXHJXPBSA-N 0 2 321.377 0.581 20 0 DCADLN CC(=O)N[C@@H]1CC[N@@H+](CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC001603039704 1169238404 /nfs/dbraw/zinc/23/84/04/1169238404.db2.gz GBJFDONDQUTYOO-GFCCVEGCSA-N 0 2 319.361 0.558 20 0 DCADLN CC(=O)N[C@@H]1CC[N@H+](CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC001603039704 1169238413 /nfs/dbraw/zinc/23/84/13/1169238413.db2.gz GBJFDONDQUTYOO-GFCCVEGCSA-N 0 2 319.361 0.558 20 0 DCADLN CC(C)(C)n1nnnc1C[N@H+](CCC(=O)[O-])C[C@@H]1CCCO1 ZINC001603574406 1169329949 /nfs/dbraw/zinc/32/99/49/1169329949.db2.gz WUEQJFYCVAWQNF-NSHDSACASA-N 0 2 311.386 0.884 20 0 DCADLN CC(C)(C)n1nnnc1C[N@@H+](CCC(=O)[O-])C[C@@H]1CCCO1 ZINC001603574406 1169329962 /nfs/dbraw/zinc/32/99/62/1169329962.db2.gz WUEQJFYCVAWQNF-NSHDSACASA-N 0 2 311.386 0.884 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)N[C@H]1CC=C(C(=O)[O-])C1 ZINC001603619082 1169335096 /nfs/dbraw/zinc/33/50/96/1169335096.db2.gz XDGBPECJRDLSEX-LBPRGKRZSA-N 0 2 311.382 0.570 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])N1CCCC1=O ZINC001603708292 1169367769 /nfs/dbraw/zinc/36/77/69/1169367769.db2.gz ABYGJZNNXQKKEQ-YPMHNXCESA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])N1CCCC1=O ZINC001603708292 1169367778 /nfs/dbraw/zinc/36/77/78/1169367778.db2.gz ABYGJZNNXQKKEQ-YPMHNXCESA-N 0 2 322.365 0.169 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001603890903 1169422259 /nfs/dbraw/zinc/42/22/59/1169422259.db2.gz OEXSJUVULGLXTP-MWLCHTKSSA-N 0 2 317.353 0.118 20 0 DCADLN CC(C)n1nccc1CN(CC[NH+]1CCOCC1)CC(=O)[O-] ZINC001604047833 1169479055 /nfs/dbraw/zinc/47/90/55/1169479055.db2.gz DVAKITFMNBUGMD-UHFFFAOYSA-N 0 2 310.398 0.683 20 0 DCADLN C[C@H](CC(=O)[O-])CC(=O)N1CCO[C@H](C[NH+]2CCOCC2)C1 ZINC001604105925 1169493176 /nfs/dbraw/zinc/49/31/76/1169493176.db2.gz VRPADDNCDHXGBB-QWHCGFSZSA-N 0 2 314.382 0.047 20 0 DCADLN C[C@](O)(Cc1ccccc1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001604378294 1169577433 /nfs/dbraw/zinc/57/74/33/1169577433.db2.gz MDSMRIKASJWDGS-BBRMVZONSA-N 0 2 317.345 0.515 20 0 DCADLN C[C@](O)(Cc1ccccc1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001604378294 1169577440 /nfs/dbraw/zinc/57/74/40/1169577440.db2.gz MDSMRIKASJWDGS-BBRMVZONSA-N 0 2 317.345 0.515 20 0 DCADLN CN(C)C(=O)O[C@@H]1CCN(Cc2ccc(-c3nn[nH]n3)o2)C1 ZINC001604671597 1169648701 /nfs/dbraw/zinc/64/87/01/1169648701.db2.gz YWQPSELSLVUYOH-SNVBAGLBSA-N 0 2 306.326 0.732 20 0 DCADLN C[C@@H]1CC[C@@H](C(N)=O)[C@@H](C)[N@@H+]1Cc1noc(CCCC(=O)[O-])n1 ZINC001604693531 1169657355 /nfs/dbraw/zinc/65/73/55/1169657355.db2.gz JBUVNGXEYLFSBN-GMTAPVOTSA-N 0 2 324.381 0.951 20 0 DCADLN C[C@@H]1CC[C@@H](C(N)=O)[C@@H](C)[N@H+]1Cc1noc(CCCC(=O)[O-])n1 ZINC001604693531 1169657357 /nfs/dbraw/zinc/65/73/57/1169657357.db2.gz JBUVNGXEYLFSBN-GMTAPVOTSA-N 0 2 324.381 0.951 20 0 DCADLN C[C@@H]1CC[C@H](C(N)=O)[C@H](C)[N@@H+]1Cc1noc(CCCC(=O)[O-])n1 ZINC001604693534 1169657521 /nfs/dbraw/zinc/65/75/21/1169657521.db2.gz JBUVNGXEYLFSBN-VWYCJHECSA-N 0 2 324.381 0.951 20 0 DCADLN C[C@@H]1CC[C@H](C(N)=O)[C@H](C)[N@H+]1Cc1noc(CCCC(=O)[O-])n1 ZINC001604693534 1169657525 /nfs/dbraw/zinc/65/75/25/1169657525.db2.gz JBUVNGXEYLFSBN-VWYCJHECSA-N 0 2 324.381 0.951 20 0 DCADLN CCC(=O)N1CCC[C@@H](C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])C1 ZINC001604860191 1169717407 /nfs/dbraw/zinc/71/74/07/1169717407.db2.gz OEUWEBWBIBGLIT-VXGBXAGGSA-N 0 2 322.365 0.101 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)NC1CC(CC(=O)[O-])C1 ZINC001605179922 1169858184 /nfs/dbraw/zinc/85/81/84/1169858184.db2.gz CIHQRVIYGHNNBL-WXRRBKDZSA-N 0 2 313.398 0.650 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)NC1CC(CC(=O)[O-])C1 ZINC001605179922 1169858194 /nfs/dbraw/zinc/85/81/94/1169858194.db2.gz CIHQRVIYGHNNBL-WXRRBKDZSA-N 0 2 313.398 0.650 20 0 DCADLN COc1ccncc1Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001605338560 1169899768 /nfs/dbraw/zinc/89/97/68/1169899768.db2.gz YJCHDUZHROXRBP-UHFFFAOYSA-N 0 2 313.321 0.492 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(NS(=O)(=O)CCC2CC2)nn1C ZINC001605468187 1169929544 /nfs/dbraw/zinc/92/95/44/1169929544.db2.gz LZWNUWXLFBZDTK-UHFFFAOYSA-N 0 2 311.371 0.450 20 0 DCADLN CN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)[C@H](COC1CCC1)C(=O)[O-] ZINC001605956825 1170036369 /nfs/dbraw/zinc/03/63/69/1170036369.db2.gz YENJVAUTQHGEMS-BXUZGUMPSA-N 0 2 321.377 0.926 20 0 DCADLN CN(C)c1cccc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])n1 ZINC001606060031 1170067118 /nfs/dbraw/zinc/06/71/18/1170067118.db2.gz UDXJPGLVUOJMEX-LBPRGKRZSA-N 0 2 306.366 0.313 20 0 DCADLN CN(C)c1cccc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])n1 ZINC001606060031 1170067124 /nfs/dbraw/zinc/06/71/24/1170067124.db2.gz UDXJPGLVUOJMEX-LBPRGKRZSA-N 0 2 306.366 0.313 20 0 DCADLN Cc1nn(C[C@@](C)(O)C(F)(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114289 1170078785 /nfs/dbraw/zinc/07/87/85/1170078785.db2.gz IHXXBPCUSBGLSV-SNVBAGLBSA-N 0 2 318.259 0.354 20 0 DCADLN Cn1c(=O)[nH]c(N2CCC3(CCCC3)C2)c(-c2nn[nH]n2)c1=O ZINC001606201869 1170109852 /nfs/dbraw/zinc/10/98/52/1170109852.db2.gz GYJUHVWXHNYBKY-UHFFFAOYSA-N 0 2 317.353 0.024 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NCCCC2CCC2)n(C)c1=O ZINC001606218899 1170118859 /nfs/dbraw/zinc/11/88/59/1170118859.db2.gz YAVAGYHYPKNEIU-UHFFFAOYSA-N 0 2 319.369 0.256 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC001606259410 1170138790 /nfs/dbraw/zinc/13/87/90/1170138790.db2.gz NSQIQROERSEHHP-SCRDCRAPSA-N 0 2 324.402 0.956 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC001606259410 1170138793 /nfs/dbraw/zinc/13/87/93/1170138793.db2.gz NSQIQROERSEHHP-SCRDCRAPSA-N 0 2 324.402 0.956 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCc1c[nH+]cn1C ZINC001606369335 1170172042 /nfs/dbraw/zinc/17/20/42/1170172042.db2.gz CYGKDFLPACUOHX-PWSUYJOCSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001606370685 1170172486 /nfs/dbraw/zinc/17/24/86/1170172486.db2.gz OQPSRSAVPXRMSN-WOPDTQHZSA-N 0 2 323.349 0.699 20 0 DCADLN COC(=O)[C@H]1CCC[C@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001606400688 1170185706 /nfs/dbraw/zinc/18/57/06/1170185706.db2.gz NGIOCQNCFHUBHR-JBLDHEPKSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H]1CCC[C@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001606400688 1170185709 /nfs/dbraw/zinc/18/57/09/1170185709.db2.gz NGIOCQNCFHUBHR-JBLDHEPKSA-N 0 2 323.349 0.501 20 0 DCADLN O=C(CCC1CCCC1)Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001606512252 1170224723 /nfs/dbraw/zinc/22/47/23/1170224723.db2.gz ZUKDTPQTXHVDFN-UHFFFAOYSA-N 0 2 318.337 0.669 20 0 DCADLN CO[C@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])c1ccc(F)cc1 ZINC001606648989 1170251364 /nfs/dbraw/zinc/25/13/64/1170251364.db2.gz OLOYTCJEWWHSAF-OLZOCXBDSA-N 0 2 321.308 0.979 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@H](C)[C@H]1CN(C)CC[N@@H+]1C ZINC001607491794 1170467172 /nfs/dbraw/zinc/46/71/72/1170467172.db2.gz XPRXGIGWXRJVMD-ZYHUDNBSSA-N 0 2 322.409 0.694 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@H](C)[C@H]1CN(C)CC[N@H+]1C ZINC001607491794 1170467175 /nfs/dbraw/zinc/46/71/75/1170467175.db2.gz XPRXGIGWXRJVMD-ZYHUDNBSSA-N 0 2 322.409 0.694 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@@H](C)[C@H]1CN(C)CC[N@@H+]1C ZINC001607491791 1170467314 /nfs/dbraw/zinc/46/73/14/1170467314.db2.gz XPRXGIGWXRJVMD-CMPLNLGQSA-N 0 2 322.409 0.694 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@@H](C)[C@H]1CN(C)CC[N@H+]1C ZINC001607491791 1170467316 /nfs/dbraw/zinc/46/73/16/1170467316.db2.gz XPRXGIGWXRJVMD-CMPLNLGQSA-N 0 2 322.409 0.694 20 0 DCADLN Cc1cc(C)c(C(=O)NCCC(=O)NCCC(=O)[O-])c(C)[nH+]1 ZINC001607614133 1170484543 /nfs/dbraw/zinc/48/45/43/1170484543.db2.gz VUWQYIFQGVFDQH-UHFFFAOYSA-N 0 2 307.350 0.718 20 0 DCADLN Cc1ccc(C=CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001607768082 1170503824 /nfs/dbraw/zinc/50/38/24/1170503824.db2.gz INZCCRDRRPNHAM-ZFDPJTLLSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1ccc(C=CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001607768082 1170503827 /nfs/dbraw/zinc/50/38/27/1170503827.db2.gz INZCCRDRRPNHAM-ZFDPJTLLSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1ncc(NC(=O)N(C)CC[NH+]2CCOCC2)cc1C(=O)[O-] ZINC001607980445 1170537494 /nfs/dbraw/zinc/53/74/94/1170537494.db2.gz GUHSDGGGQIXJHI-UHFFFAOYSA-N 0 2 322.365 0.884 20 0 DCADLN Cc1oc(C(=O)[O-])cc1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001608034599 1170554161 /nfs/dbraw/zinc/55/41/61/1170554161.db2.gz PPUXCFTUEFLRTM-LLVKDONJSA-N 0 2 304.306 0.796 20 0 DCADLN Cn1cc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)cc1C(=O)[O-] ZINC001608111946 1170576463 /nfs/dbraw/zinc/57/64/63/1170576463.db2.gz QAYLFPZEPLRXSB-UHFFFAOYSA-N 0 2 304.354 0.159 20 0 DCADLN Cn1ccn2c(C[NH+]3CCC(CC(=O)[O-])CC3)nnc2c1=O ZINC001608129065 1170584665 /nfs/dbraw/zinc/58/46/65/1170584665.db2.gz LHHLOGKEYJWCCS-UHFFFAOYSA-N 0 2 305.338 0.115 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)C(=O)Nc1cccc(F)c1C(=O)[O-] ZINC001608137199 1170589121 /nfs/dbraw/zinc/58/91/21/1170589121.db2.gz ZLEVLCPMJUWWFB-UHFFFAOYSA-N 0 2 320.280 0.512 20 0 DCADLN NC(=O)[C@H](c1ccccc1)[N@@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001608227831 1170620912 /nfs/dbraw/zinc/62/09/12/1170620912.db2.gz FSPAOERGSUNVTN-WFASDCNBSA-N 0 2 303.318 0.518 20 0 DCADLN NC(=O)[C@H](c1ccccc1)[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001608227831 1170620913 /nfs/dbraw/zinc/62/09/13/1170620913.db2.gz FSPAOERGSUNVTN-WFASDCNBSA-N 0 2 303.318 0.518 20 0 DCADLN [NH3+][C@@H](CC(=O)N[C@@H]1CCCn2nc(C(=O)[O-])cc21)C(F)F ZINC001608249464 1170630830 /nfs/dbraw/zinc/63/08/30/1170630830.db2.gz XCCUEXFSAZZYHS-NKWVEPMBSA-N 0 2 302.281 0.515 20 0 DCADLN [NH3+]CC(F)(F)CNS(=O)(=O)[C@H]1CCCC[C@@H]1C(=O)[O-] ZINC001608252372 1170632198 /nfs/dbraw/zinc/63/21/98/1170632198.db2.gz LPXMWJTZXOBEFG-YUMQZZPRSA-N 0 2 300.327 0.143 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)N[C@H](C(=O)[O-])c1ccccn1 ZINC001608282233 1170641679 /nfs/dbraw/zinc/64/16/79/1170641679.db2.gz SAHMGTBHJNRBIN-MFBWXBCUSA-N 0 2 305.334 0.212 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cn1cc(C2CC2)cn1 ZINC001608377308 1170663001 /nfs/dbraw/zinc/66/30/01/1170663001.db2.gz NKSMDOOUNIXLCZ-GFCCVEGCSA-N 0 2 303.322 0.227 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ocnc1C(F)(F)F ZINC001608486095 1170689023 /nfs/dbraw/zinc/68/90/23/1170689023.db2.gz RQBODWBUPSLSFR-LURJTMIESA-N 0 2 318.211 0.842 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ocnc1C(F)(F)F ZINC001608486095 1170689026 /nfs/dbraw/zinc/68/90/26/1170689026.db2.gz RQBODWBUPSLSFR-LURJTMIESA-N 0 2 318.211 0.842 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](Cc2cn(C[C@@H]3CCCO3)nn2)CC1 ZINC001608557358 1170701409 /nfs/dbraw/zinc/70/14/09/1170701409.db2.gz JTERIMNKDPDUKR-UONOGXRCSA-N 0 2 324.381 0.115 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](Cc2nncn2-c2ccccc2)C1 ZINC001608601782 1170713384 /nfs/dbraw/zinc/71/33/84/1170713384.db2.gz NAOKQIGWTAOFFO-YPMHNXCESA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](Cc2nncn2-c2ccccc2)C1 ZINC001608601782 1170713390 /nfs/dbraw/zinc/71/33/90/1170713390.db2.gz NAOKQIGWTAOFFO-YPMHNXCESA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)CN(C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC001608603533 1170714616 /nfs/dbraw/zinc/71/46/16/1170714616.db2.gz FLTRKOXKXUBUKP-MWLCHTKSSA-N 0 2 304.306 0.634 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001608609987 1170718097 /nfs/dbraw/zinc/71/80/97/1170718097.db2.gz GRWQYLHGPNRBJW-KUNJHIDRSA-N 0 2 307.375 0.410 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001608609987 1170718103 /nfs/dbraw/zinc/71/81/03/1170718103.db2.gz GRWQYLHGPNRBJW-KUNJHIDRSA-N 0 2 307.375 0.410 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001608610218 1170718122 /nfs/dbraw/zinc/71/81/22/1170718122.db2.gz HBZDDHABIOHGPS-VXGBXAGGSA-N 0 2 309.391 0.898 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001608610218 1170718125 /nfs/dbraw/zinc/71/81/25/1170718125.db2.gz HBZDDHABIOHGPS-VXGBXAGGSA-N 0 2 309.391 0.898 20 0 DCADLN O=C([O-])c1ccn(CC(=O)N2CCC(Cc3c[nH]c[nH+]3)CC2)n1 ZINC001608950499 1170773970 /nfs/dbraw/zinc/77/39/70/1170773970.db2.gz UQBJKYXUBYFZKM-UHFFFAOYSA-N 0 2 317.349 0.786 20 0 DCADLN O=C([O-])c1ccnc(NC[C@]2([NH+]3CCOCC3)CCSC2)n1 ZINC001608953427 1170774168 /nfs/dbraw/zinc/77/41/68/1170774168.db2.gz JPQMJOTWHFVUBD-CQSZACIVSA-N 0 2 324.406 0.795 20 0 DCADLN C[C@H]1CCC[C@H]1CNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001609164611 1170834654 /nfs/dbraw/zinc/83/46/54/1170834654.db2.gz UMNHXEZWNKVTLD-IUCAKERBSA-N 0 2 319.369 0.112 20 0 DCADLN CCc1cnc(C[NH2+]CCn2cnc(-c3nn[n-]n3)n2)s1 ZINC001609253873 1170896850 /nfs/dbraw/zinc/89/68/50/1170896850.db2.gz VTYQAZDWMCMZLI-UHFFFAOYSA-N 0 2 305.371 0.267 20 0 DCADLN CCn1nnc(C)c1Cn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001609260565 1170902029 /nfs/dbraw/zinc/90/20/29/1170902029.db2.gz ITMQFCIQKMTNOZ-UHFFFAOYSA-N 0 2 300.326 0.305 20 0 DCADLN Cc1ccn(C[C@@H]2CC3(CCC3)C(=O)O2)c(=O)c1-c1nn[nH]n1 ZINC001609437622 1170976406 /nfs/dbraw/zinc/97/64/06/1170976406.db2.gz PCCXENQNQPLPLW-JTQLQIEISA-N 0 2 315.333 0.823 20 0 DCADLN Cc1ccnc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)n1 ZINC001609438534 1170976646 /nfs/dbraw/zinc/97/66/46/1170976646.db2.gz QACUKNFBBOHWJZ-UHFFFAOYSA-N 0 2 309.333 0.664 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1ccc2c(c1)OCCO2 ZINC001609604615 1171039810 /nfs/dbraw/zinc/03/98/10/1171039810.db2.gz ARJZNNHAUZUEHN-UHFFFAOYSA-N 0 2 312.289 0.243 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC001609735174 1171076384 /nfs/dbraw/zinc/07/63/84/1171076384.db2.gz MAWDXSIZYLVSPZ-SNVBAGLBSA-N 0 2 317.349 0.579 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC001609750890 1171078295 /nfs/dbraw/zinc/07/82/95/1171078295.db2.gz GYQCHWLOXYLQME-IAQYHMDHSA-N 0 2 322.365 0.101 20 0 DCADLN C[C@@H](NC(=O)c1cnc(C(=O)[O-])cn1)[C@H](C)[NH+]1CCOCC1 ZINC001609771958 1171082835 /nfs/dbraw/zinc/08/28/35/1171082835.db2.gz QUYRTCWBLVQAPV-ZJUUUORDSA-N 0 2 308.338 0.014 20 0 DCADLN CCCCN(C)C(=O)C1CC[NH+](Cn2cnc(C(=O)[O-])n2)CC1 ZINC001609968989 1171128687 /nfs/dbraw/zinc/12/86/87/1171128687.db2.gz XEEOINYNSZISDE-UHFFFAOYSA-N 0 2 323.397 0.904 20 0 DCADLN CN(C)S(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])[nH]2)C1 ZINC001610101879 1171157829 /nfs/dbraw/zinc/15/78/29/1171157829.db2.gz FJPKPMGBIMOSAP-NSHDSACASA-N 0 2 315.395 0.569 20 0 DCADLN CN(C)S(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])[nH]2)C1 ZINC001610101879 1171157830 /nfs/dbraw/zinc/15/78/30/1171157830.db2.gz FJPKPMGBIMOSAP-NSHDSACASA-N 0 2 315.395 0.569 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C[C@@H](C(=O)[O-])C1 ZINC001610132033 1171165714 /nfs/dbraw/zinc/16/57/14/1171165714.db2.gz AJQFAHKWHIQQDE-WDEREUQCSA-N 0 2 306.366 0.304 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C[C@@H](C(=O)[O-])C1 ZINC001610132033 1171165718 /nfs/dbraw/zinc/16/57/18/1171165718.db2.gz AJQFAHKWHIQQDE-WDEREUQCSA-N 0 2 306.366 0.304 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1C[C@H]1CC2(CC2)C(=O)O1 ZINC001610170537 1171185279 /nfs/dbraw/zinc/18/52/79/1171185279.db2.gz XEQKXXNMAYPLLQ-MXWKQRLJSA-N 0 2 311.334 0.420 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1C[C@H]1CC2(CC2)C(=O)O1 ZINC001610170537 1171185281 /nfs/dbraw/zinc/18/52/81/1171185281.db2.gz XEQKXXNMAYPLLQ-MXWKQRLJSA-N 0 2 311.334 0.420 20 0 DCADLN Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610568811 1171256596 /nfs/dbraw/zinc/25/65/96/1171256596.db2.gz MEZFWXPPFQJOTO-UFBFGSQYSA-N 0 2 319.365 0.676 20 0 DCADLN Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610568811 1171256600 /nfs/dbraw/zinc/25/66/00/1171256600.db2.gz MEZFWXPPFQJOTO-UFBFGSQYSA-N 0 2 319.365 0.676 20 0 DCADLN Cn1cc(C[N@@H+]2CCC[C@H](CS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001610602727 1171264048 /nfs/dbraw/zinc/26/40/48/1171264048.db2.gz KYXQKJGKKZXNHO-NSHDSACASA-N 0 2 314.407 0.980 20 0 DCADLN Cn1cc(C[N@H+]2CCC[C@H](CS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001610602727 1171264055 /nfs/dbraw/zinc/26/40/55/1171264055.db2.gz KYXQKJGKKZXNHO-NSHDSACASA-N 0 2 314.407 0.980 20 0 DCADLN Cn1cccc1C(=O)C[NH+]1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001610609197 1171267230 /nfs/dbraw/zinc/26/72/30/1171267230.db2.gz QAOZMVBIXRWANB-UHFFFAOYSA-N 0 2 317.349 0.835 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC001610682229 1171290651 /nfs/dbraw/zinc/29/06/51/1171290651.db2.gz STCBYYNRHDKJAK-LBPRGKRZSA-N 0 2 316.317 0.356 20 0 DCADLN O=C([O-])c1ccc(N2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)cc1 ZINC001610832729 1171336075 /nfs/dbraw/zinc/33/60/75/1171336075.db2.gz LJUZIMYEVFSBMY-NSHDSACASA-N 0 2 300.274 0.775 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1nnc2ccccc2n1 ZINC001617080784 1171385751 /nfs/dbraw/zinc/38/57/51/1171385751.db2.gz WCHPWIDVSDFOEW-UHFFFAOYSA-N 0 2 302.319 0.669 20 0 DCADLN O=C(CSc1nnnn1CC(F)(F)F)NOC1CCC1 ZINC001636916086 1171753260 /nfs/dbraw/zinc/75/32/60/1171753260.db2.gz RLIRFLMLJSDRQI-UHFFFAOYSA-N 0 2 311.289 0.928 20 0 DCADLN CN(CC(=O)Nc1cccc2n[nH]nc21)c1ncnc2[nH]cnc21 ZINC001637132025 1171759249 /nfs/dbraw/zinc/75/92/49/1171759249.db2.gz WJOBFSMQOILBEW-UHFFFAOYSA-N 0 2 323.320 0.699 20 0 DCADLN CN(CC(=O)Nc1cccc2[nH]nnc21)c1ncnc2nc[nH]c21 ZINC001637132025 1171759255 /nfs/dbraw/zinc/75/92/55/1171759255.db2.gz WJOBFSMQOILBEW-UHFFFAOYSA-N 0 2 323.320 0.699 20 0 DCADLN Cn1cc(CCC(=O)NNC(=O)c2n[nH]c3c2CCCC3)cn1 ZINC000054834711 1171854733 /nfs/dbraw/zinc/85/47/33/1171854733.db2.gz MUEWTTYXVJJNSJ-UHFFFAOYSA-N 0 2 316.365 0.416 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(O)c(F)c1F ZINC001640612534 1171886859 /nfs/dbraw/zinc/88/68/59/1171886859.db2.gz BBBYQMBRKPVUGD-UHFFFAOYSA-N 0 2 321.243 0.694 20 0 DCADLN Cn1nccc1[C@@H]1CCCC[N@@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001645953812 1172195630 /nfs/dbraw/zinc/19/56/30/1172195630.db2.gz QLFREPLXZWLDNA-QWHCGFSZSA-N 0 2 306.366 0.633 20 0 DCADLN Cn1nccc1[C@@H]1CCCC[N@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001645953812 1172195634 /nfs/dbraw/zinc/19/56/34/1172195634.db2.gz QLFREPLXZWLDNA-QWHCGFSZSA-N 0 2 306.366 0.633 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC001646723528 1172492199 /nfs/dbraw/zinc/49/21/99/1172492199.db2.gz UTBSJYLMLDDUJP-JHJVBQTASA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC001646723528 1172492202 /nfs/dbraw/zinc/49/22/02/1172492202.db2.gz UTBSJYLMLDDUJP-JHJVBQTASA-N 0 2 313.398 0.602 20 0 DCADLN CS(=O)(=O)CCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001647184734 1172727304 /nfs/dbraw/zinc/72/73/04/1172727304.db2.gz SBUNIURHZFKVCG-SECBINFHSA-N 0 2 316.383 0.041 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)nn1C ZINC001647205786 1172741085 /nfs/dbraw/zinc/74/10/85/1172741085.db2.gz QGKIAZIGRZQANX-SECBINFHSA-N 0 2 312.355 0.082 20 0 DCADLN O=C(NCc1cn(Cc2ncnn2CCF)nn1)C(F)(F)F ZINC001647368711 1172845420 /nfs/dbraw/zinc/84/54/20/1172845420.db2.gz HHQGCMDLRQDUJT-UHFFFAOYSA-N 0 2 321.238 0.066 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001647422599 1172879859 /nfs/dbraw/zinc/87/98/59/1172879859.db2.gz OUSFKLRPQZCQME-IJLUTSLNSA-N 0 2 307.350 0.584 20 0 DCADLN COCCc1nc(CN2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001649809083 1173236817 /nfs/dbraw/zinc/23/68/17/1173236817.db2.gz FVSDFHUOSWOXIY-VIFPVBQESA-N 0 2 308.342 0.462 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(Cn3cnnc3)CC2)S1 ZINC001649860503 1173267697 /nfs/dbraw/zinc/26/76/97/1173267697.db2.gz DKOJMWQVYOXGHY-JTQLQIEISA-N 0 2 322.394 0.073 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1sccc1-n1ccnn1 ZINC001650837276 1173734202 /nfs/dbraw/zinc/73/42/02/1173734202.db2.gz ZVUFVTSOJDPTLQ-UHFFFAOYSA-N 0 2 319.350 0.515 20 0 DCADLN CCOCCN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001672081251 1175075989 /nfs/dbraw/zinc/07/59/89/1175075989.db2.gz WLGSDJOOEFYATQ-MRVPVSSYSA-N 0 2 302.268 0.086 20 0 DCADLN CCOCCN1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001672081251 1175075992 /nfs/dbraw/zinc/07/59/92/1175075992.db2.gz WLGSDJOOEFYATQ-MRVPVSSYSA-N 0 2 302.268 0.086 20 0 DCADLN COc1cncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001686610402 1176171194 /nfs/dbraw/zinc/17/11/94/1176171194.db2.gz WSIWSQUQYUPSEV-MRVPVSSYSA-N 0 2 324.234 0.232 20 0 DCADLN COc1cncc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001686610402 1176171196 /nfs/dbraw/zinc/17/11/96/1176171196.db2.gz WSIWSQUQYUPSEV-MRVPVSSYSA-N 0 2 324.234 0.232 20 0 DCADLN CN(CCNC(=O)c1cc[n+]([O-])cc1)C(=O)C(F)C(F)(F)F ZINC001688305666 1176440661 /nfs/dbraw/zinc/44/06/61/1176440661.db2.gz IENIPVMNMRTSPK-SECBINFHSA-N 0 2 323.246 0.409 20 0 DCADLN CN(CCNC(=O)c1cc[n+]([O-])cc1)C(=O)[C@@H](F)C(F)(F)F ZINC001688305666 1176440670 /nfs/dbraw/zinc/44/06/70/1176440670.db2.gz IENIPVMNMRTSPK-SECBINFHSA-N 0 2 323.246 0.409 20 0 DCADLN CC(C)C[C@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001704797385 1180140460 /nfs/dbraw/zinc/14/04/60/1180140460.db2.gz QURXHGFJYKFMLL-RQJHMYQMSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)C[C@H](CNC(=O)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001704797385 1180140464 /nfs/dbraw/zinc/14/04/64/1180140464.db2.gz QURXHGFJYKFMLL-RQJHMYQMSA-N 0 2 315.267 0.019 20 0 DCADLN COCCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001713991117 1181188209 /nfs/dbraw/zinc/18/82/09/1181188209.db2.gz GUNHFXSVZFHAJW-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN COCCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001713991117 1181188213 /nfs/dbraw/zinc/18/82/13/1181188213.db2.gz GUNHFXSVZFHAJW-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN Cc1nc(C[NH2+]CCN(C)C(=O)CCc2nc[nH]n2)cs1 ZINC001714698626 1181487791 /nfs/dbraw/zinc/48/77/91/1181487791.db2.gz QPHSFPNHYCPHEG-UHFFFAOYSA-N 0 2 308.411 0.750 20 0 DCADLN Cc1noc(CN(C)CCCNC(=O)c2ccc(C(N)=O)[nH]2)n1 ZINC001731268845 1185231789 /nfs/dbraw/zinc/23/17/89/1185231789.db2.gz SIIUZZDBOMVOCS-UHFFFAOYSA-N 0 2 320.353 0.057 20 0 DCADLN COCCCN1CCOC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001733701075 1186404901 /nfs/dbraw/zinc/40/49/01/1186404901.db2.gz FCFYPKZAAMBVLE-NXEZZACHSA-N 0 2 316.295 0.740 20 0 DCADLN Cn1cncc1C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001747244615 1188254923 /nfs/dbraw/zinc/25/49/23/1188254923.db2.gz RYCJZLMISGLLQN-PKRMOACSSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cncc1C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001747244615 1188254927 /nfs/dbraw/zinc/25/49/27/1188254927.db2.gz RYCJZLMISGLLQN-PKRMOACSSA-N 0 2 322.262 0.723 20 0 DCADLN O=C(Cc1cnoc1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001747245331 1188256273 /nfs/dbraw/zinc/25/62/73/1188256273.db2.gz WVAFNOKTFZQXFW-JWXWKVPASA-N 0 2 323.246 0.906 20 0 DCADLN O=C(Cc1cnoc1)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001747245331 1188256274 /nfs/dbraw/zinc/25/62/74/1188256274.db2.gz WVAFNOKTFZQXFW-JWXWKVPASA-N 0 2 323.246 0.906 20 0 DCADLN CC[C@H](C)OCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001755883507 1189247547 /nfs/dbraw/zinc/24/75/47/1189247547.db2.gz NZZWIWYOFOGGSV-NSHDSACASA-N 0 2 323.397 0.574 20 0 DCADLN CC[C@H](C)OCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001755883507 1189247549 /nfs/dbraw/zinc/24/75/49/1189247549.db2.gz NZZWIWYOFOGGSV-NSHDSACASA-N 0 2 323.397 0.574 20 0 DCADLN CC(C)(C)[C@@H](CNC(=O)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001756715382 1189439020 /nfs/dbraw/zinc/43/90/20/1189439020.db2.gz MCFOKBDGHINYKZ-PHDIDXHHSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)(C)[C@@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001756715382 1189439018 /nfs/dbraw/zinc/43/90/18/1189439018.db2.gz MCFOKBDGHINYKZ-PHDIDXHHSA-N 0 2 315.267 0.019 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001771709583 1190474482 /nfs/dbraw/zinc/47/44/82/1190474482.db2.gz NRHXYZHAKIFSDA-RQJHMYQMSA-N 0 2 306.281 0.414 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001771709583 1190474484 /nfs/dbraw/zinc/47/44/84/1190474484.db2.gz NRHXYZHAKIFSDA-RQJHMYQMSA-N 0 2 306.281 0.414 20 0 DCADLN CSCC(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001491836565 959284704 /nfs/dbraw/zinc/28/47/04/959284704.db2.gz HDOLORQASJVEPI-HTRCEHHLSA-N 0 2 302.293 0.967 20 0 DCADLN CN(C(=O)C1CC1)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001042395661 751621262 /nfs/dbraw/zinc/62/12/62/751621262.db2.gz MVLVWCRVKUERBI-UHFFFAOYSA-N 0 2 301.350 0.075 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC001042663551 751819315 /nfs/dbraw/zinc/81/93/15/751819315.db2.gz JHSFVGQQKKMCHD-UHFFFAOYSA-N 0 2 308.367 0.232 20 0 DCADLN CN(C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043154640 752104933 /nfs/dbraw/zinc/10/49/33/752104933.db2.gz ROYZTTICTJCEQK-JLLWLGSASA-N 0 2 305.382 0.589 20 0 DCADLN O=C(NC[C@H]1CN(c2ncccn2)CCO1)C(F)C(F)(F)F ZINC001104352019 747252536 /nfs/dbraw/zinc/25/25/36/747252536.db2.gz YNMDSKJARSLSET-DTWKUNHWSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NC[C@H]1CN(c2ncccn2)CCO1)[C@@H](F)C(F)(F)F ZINC001104352019 747252540 /nfs/dbraw/zinc/25/25/40/747252540.db2.gz YNMDSKJARSLSET-DTWKUNHWSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1ccc(-c2ccco2)[nH]c1=O ZINC001167491443 747302933 /nfs/dbraw/zinc/30/29/33/747302933.db2.gz CQYFYUHFZFGEHR-UHFFFAOYSA-N 0 2 315.289 0.588 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043374573 752201969 /nfs/dbraw/zinc/20/19/69/752201969.db2.gz GXBLCPNPABSRGF-BWZBUEFSSA-N 0 2 312.263 0.232 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043374573 752201971 /nfs/dbraw/zinc/20/19/71/752201971.db2.gz GXBLCPNPABSRGF-BWZBUEFSSA-N 0 2 312.263 0.232 20 0 DCADLN CC[C@H](C)C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107751817 752283473 /nfs/dbraw/zinc/28/34/73/752283473.db2.gz KHHKPSGOLODKSU-IINYFYTJSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(CC1=CCCCC1)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001083373352 748654645 /nfs/dbraw/zinc/65/46/45/748654645.db2.gz AIBFTQFKUWLPMM-NEPJUHHUSA-N 0 2 321.381 0.062 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccccn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087486635 748840790 /nfs/dbraw/zinc/84/07/90/748840790.db2.gz OENWRSOYKGXMHR-CMPLNLGQSA-N 0 2 316.365 0.688 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccccn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087486635 748840794 /nfs/dbraw/zinc/84/07/94/748840794.db2.gz OENWRSOYKGXMHR-CMPLNLGQSA-N 0 2 316.365 0.688 20 0 DCADLN [NH2+]=C(Nc1cnc2c(c1)OCCO2)SCCS(=O)(=O)[O-] ZINC001168091279 749387084 /nfs/dbraw/zinc/38/70/84/749387084.db2.gz XWZBUSGQFWHPDJ-UHFFFAOYSA-N 0 2 319.364 0.820 20 0 DCADLN C[C@H]([NH2+][C@H]1CCCCCN(C(=O)Cc2c[nH+]cn2C)C1)C(N)=O ZINC001088413406 749427375 /nfs/dbraw/zinc/42/73/75/749427375.db2.gz FLHGCQCLJWPMKZ-STQMWFEESA-N 0 2 321.425 0.197 20 0 DCADLN COCC(=O)NCC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001059765791 749567117 /nfs/dbraw/zinc/56/71/17/749567117.db2.gz CCAQVPKXIHCIPN-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NCC[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001059765791 749567122 /nfs/dbraw/zinc/56/71/22/749567122.db2.gz CCAQVPKXIHCIPN-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2nccs2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088936741 750737499 /nfs/dbraw/zinc/73/74/99/750737499.db2.gz ZWNJLUIXBMUWGL-SFYZADRCSA-N 0 2 308.367 0.360 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cc(F)c[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071693059 762064872 /nfs/dbraw/zinc/06/48/72/762064872.db2.gz LLFAZBIFSHESHL-PSASIEDQSA-N 0 2 322.344 0.760 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccc[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001089053163 750779004 /nfs/dbraw/zinc/77/90/04/750779004.db2.gz MEHPTJYPGPXDIW-KOLCDFICSA-N 0 2 304.354 0.160 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccc[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001089053163 750779007 /nfs/dbraw/zinc/77/90/07/750779007.db2.gz MEHPTJYPGPXDIW-KOLCDFICSA-N 0 2 304.354 0.160 20 0 DCADLN CCc1oncc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043993838 752509927 /nfs/dbraw/zinc/50/99/27/752509927.db2.gz OEROMZIUYYNZOE-UHFFFAOYSA-N 0 2 306.326 0.017 20 0 DCADLN CN(C(=O)[C@@H]1CC12CCCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044140260 752591013 /nfs/dbraw/zinc/59/10/13/752591013.db2.gz SCMYWAROUYUMAO-NSHDSACASA-N 0 2 305.382 0.733 20 0 DCADLN CC[C@H](F)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001044815644 752888649 /nfs/dbraw/zinc/88/86/49/752888649.db2.gz GEKKMVBJUNLFEK-NKWVEPMBSA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@H](F)C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001044815644 752888656 /nfs/dbraw/zinc/88/86/56/752888656.db2.gz GEKKMVBJUNLFEK-NKWVEPMBSA-N 0 2 318.242 0.324 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccnnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071841127 762175475 /nfs/dbraw/zinc/17/54/75/762175475.db2.gz PZTCKHXFAVXBDS-GXSJLCMTSA-N 0 2 317.353 0.083 20 0 DCADLN CC/C=C/CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn[nH]2)C1 ZINC001108043375 753443943 /nfs/dbraw/zinc/44/39/43/753443943.db2.gz JIXBWORNKZMMRT-MBVDDHJVSA-N 0 2 307.398 0.982 20 0 DCADLN Cn1cccc1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046151148 753510166 /nfs/dbraw/zinc/51/01/66/753510166.db2.gz HMCBZHAMVPUWME-AWEZNQCLSA-N 0 2 304.354 0.243 20 0 DCADLN Cn1cccc1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046151148 753510169 /nfs/dbraw/zinc/51/01/69/753510169.db2.gz HMCBZHAMVPUWME-AWEZNQCLSA-N 0 2 304.354 0.243 20 0 DCADLN C[C@]1(NC(=O)C2=COCCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046184776 753535978 /nfs/dbraw/zinc/53/59/78/753535978.db2.gz HZBQRFBRIIFRJN-AWEZNQCLSA-N 0 2 307.354 0.285 20 0 DCADLN C[C@]1(NC(=O)C2=COCCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046184776 753535985 /nfs/dbraw/zinc/53/59/85/753535985.db2.gz HZBQRFBRIIFRJN-AWEZNQCLSA-N 0 2 307.354 0.285 20 0 DCADLN O=C(NCc1cnn2c1CN(CCO)CC2)C(F)C(F)(F)F ZINC001128356377 753602387 /nfs/dbraw/zinc/60/23/87/753602387.db2.gz XMCUUEQFNXBFET-JTQLQIEISA-N 0 2 324.278 0.208 20 0 DCADLN O=C(NCc1cnn2c1CN(CCO)CC2)[C@H](F)C(F)(F)F ZINC001128356377 753602423 /nfs/dbraw/zinc/60/24/23/753602423.db2.gz XMCUUEQFNXBFET-JTQLQIEISA-N 0 2 324.278 0.208 20 0 DCADLN C[C@]1(NC(=O)C2CCC(O)CC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046271838 753604830 /nfs/dbraw/zinc/60/48/30/753604830.db2.gz RFFXRFGUGBIKHK-NWHVRFAMSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@]1(NC(=O)C2CCC(O)CC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046271838 753604831 /nfs/dbraw/zinc/60/48/31/753604831.db2.gz RFFXRFGUGBIKHK-NWHVRFAMSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1ccnc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001046283574 753613371 /nfs/dbraw/zinc/61/33/71/753613371.db2.gz KEEFIIAFKZJWJS-AWEZNQCLSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@@]1(NC(=O)c2ccsn2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046413329 753702667 /nfs/dbraw/zinc/70/26/67/753702667.db2.gz TUJUVEGTRQGVAG-GFCCVEGCSA-N 0 2 308.367 0.361 20 0 DCADLN CCc1cc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001046782570 753945420 /nfs/dbraw/zinc/94/54/20/753945420.db2.gz CGULJMBFUBOJHI-CQSZACIVSA-N 0 2 320.353 0.455 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046806587 753954433 /nfs/dbraw/zinc/95/44/33/753954433.db2.gz STQKNBXSCNADIL-CQSZACIVSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046806587 753954439 /nfs/dbraw/zinc/95/44/39/753954439.db2.gz STQKNBXSCNADIL-CQSZACIVSA-N 0 2 319.369 0.190 20 0 DCADLN Cc1nccc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001046844555 753975052 /nfs/dbraw/zinc/97/50/52/753975052.db2.gz QINVIRKMNIEHDD-AWEZNQCLSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001072126091 762371713 /nfs/dbraw/zinc/37/17/13/762371713.db2.gz INBAVMNGFMJLPB-PSASIEDQSA-N 0 2 319.369 0.325 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CCC1)c1cn[nH]n1 ZINC001063587012 755217077 /nfs/dbraw/zinc/21/70/77/755217077.db2.gz NQRIANAVPVATJS-SSDOTTSWSA-N 0 2 323.250 0.474 20 0 DCADLN CC(F)(F)C(=O)N1CCC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001049951187 755466485 /nfs/dbraw/zinc/46/64/85/755466485.db2.gz IVWICINLVKKZPX-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cscn1 ZINC001096880400 755540186 /nfs/dbraw/zinc/54/01/86/755540186.db2.gz XGTNWBNNFBQSLW-MRTMQBJTSA-N 0 2 320.378 0.502 20 0 DCADLN CC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001097656979 755695263 /nfs/dbraw/zinc/69/52/63/755695263.db2.gz RLRCGRQGKQKCMD-MXWKQRLJSA-N 0 2 305.382 0.922 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCOC3(C[NH+](CC(C)C)C3)C2)c1[O-] ZINC001053225182 755963383 /nfs/dbraw/zinc/96/33/83/755963383.db2.gz GRMBYMJOYWLIOK-UHFFFAOYSA-N 0 2 308.382 0.607 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001079900941 756032723 /nfs/dbraw/zinc/03/27/23/756032723.db2.gz CEYIHZHMRDJBFI-ZETCQYMHSA-N 0 2 323.250 0.378 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001079900941 756032729 /nfs/dbraw/zinc/03/27/29/756032729.db2.gz CEYIHZHMRDJBFI-ZETCQYMHSA-N 0 2 323.250 0.378 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@H](C)OC)C1 ZINC001079901268 756033547 /nfs/dbraw/zinc/03/35/47/756033547.db2.gz KCBBSEGFWNPBCX-CBAPKCEASA-N 0 2 314.279 0.981 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)[C@H](C)OC)C1 ZINC001079901268 756033552 /nfs/dbraw/zinc/03/35/52/756033552.db2.gz KCBBSEGFWNPBCX-CBAPKCEASA-N 0 2 314.279 0.981 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccsn1 ZINC001079934388 756043737 /nfs/dbraw/zinc/04/37/37/756043737.db2.gz NUURXPJCFSTIQP-VXNVDRBHSA-N 0 2 308.367 0.217 20 0 DCADLN CC[NH+]1CC2(C1)CN(C(=O)c1ccc3oc(=O)nc-3[n-]1)CCO2 ZINC001053507628 756070811 /nfs/dbraw/zinc/07/08/11/756070811.db2.gz PQABJXAGYAGJKJ-UHFFFAOYSA-N 0 2 318.333 0.475 20 0 DCADLN CCc1oncc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001080537746 756236434 /nfs/dbraw/zinc/23/64/34/756236434.db2.gz JJNDHIXENJNBHS-PSASIEDQSA-N 0 2 320.353 0.311 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@H](C)[C@H]([NH2+]Cc3ncccn3)C2)c1[O-] ZINC001054569211 756544320 /nfs/dbraw/zinc/54/43/20/756544320.db2.gz GJOCGHNWLANZOX-GXSJLCMTSA-N 0 2 316.365 0.464 20 0 DCADLN O=C(C1CCCC1)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001082974932 757374980 /nfs/dbraw/zinc/37/49/80/757374980.db2.gz PNOYXZUBOFYWED-NWDGAFQWSA-N 0 2 321.381 0.112 20 0 DCADLN O=C(c1cncs1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084213493 757713796 /nfs/dbraw/zinc/71/37/96/757713796.db2.gz MLOTVOXXOPYQMU-RKDXNWHRSA-N 0 2 320.378 0.313 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001085474359 758925216 /nfs/dbraw/zinc/92/52/16/758925216.db2.gz ZZPHTNTWFLRBDK-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN Cc1noc(C)c1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085481808 758928813 /nfs/dbraw/zinc/92/88/13/758928813.db2.gz PYVNHRMHFZQNQA-SNVBAGLBSA-N 0 2 320.353 0.462 20 0 DCADLN Cc1cnccc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085588840 759097168 /nfs/dbraw/zinc/09/71/68/759097168.db2.gz WVOZJHWKGYUWAE-LLVKDONJSA-N 0 2 316.365 0.560 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)[nH]1 ZINC001085846739 759378139 /nfs/dbraw/zinc/37/81/39/759378139.db2.gz PXSQRXMWTQLCEB-NSHDSACASA-N 0 2 318.381 0.802 20 0 DCADLN Cc1ccnc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122762240 767871611 /nfs/dbraw/zinc/87/16/11/767871611.db2.gz XDVOLYVGVZITIX-HTQZYQBOSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccnc(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001122762240 767871618 /nfs/dbraw/zinc/87/16/18/767871618.db2.gz XDVOLYVGVZITIX-HTQZYQBOSA-N 0 2 310.251 0.574 20 0 DCADLN COCCN1CCO[C@@](C)(CNC(=O)COc2cccnc2)C1 ZINC001108282659 760274285 /nfs/dbraw/zinc/27/42/85/760274285.db2.gz XYVZPQKICMTBNM-INIZCTEOSA-N 0 2 323.393 0.314 20 0 DCADLN CCN1CCO[C@@](C)(CNC(=O)CNC(=O)CCC(C)C)C1 ZINC001108282588 760274629 /nfs/dbraw/zinc/27/46/29/760274629.db2.gz WZPKFMBIGSEOFS-INIZCTEOSA-N 0 2 313.442 0.766 20 0 DCADLN COCC(=O)N1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001070359829 761186317 /nfs/dbraw/zinc/18/63/17/761186317.db2.gz XRRWUPJDDOCUPB-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001070359829 761186321 /nfs/dbraw/zinc/18/63/21/761186321.db2.gz XRRWUPJDDOCUPB-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@@H](OC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109042706 761214701 /nfs/dbraw/zinc/21/47/01/761214701.db2.gz WMVSFEQJQJLIOW-VPOLOUISSA-N 0 2 309.370 0.157 20 0 DCADLN CNC(=O)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001109310560 761358089 /nfs/dbraw/zinc/35/80/89/761358089.db2.gz LVEYIJGAOJRSJS-VEVYYDQMSA-N 0 2 311.279 0.354 20 0 DCADLN CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001109684872 761507783 /nfs/dbraw/zinc/50/77/83/761507783.db2.gz HRMKRGXQXTULBR-VWYCJHECSA-N 0 2 305.382 0.922 20 0 DCADLN CC(C)C(=O)N1C[C@@H](C)O[C@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071138416 761646482 /nfs/dbraw/zinc/64/64/82/761646482.db2.gz DMKUSMUCLCGSDG-ABAIWWIYSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)C(=O)N1C[C@@H](C)O[C@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071138416 761646485 /nfs/dbraw/zinc/64/64/85/761646485.db2.gz DMKUSMUCLCGSDG-ABAIWWIYSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccc[nH]2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071342308 761786974 /nfs/dbraw/zinc/78/69/74/761786974.db2.gz GQNGPNBMBMSOQH-VHSXEESVSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccc[nH]2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071342308 761786980 /nfs/dbraw/zinc/78/69/80/761786980.db2.gz GQNGPNBMBMSOQH-VHSXEESVSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccn[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071369636 761800355 /nfs/dbraw/zinc/80/03/55/761800355.db2.gz UVRUGVGJJAZJEV-RKDXNWHRSA-N 0 2 305.342 0.016 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071379693 761810429 /nfs/dbraw/zinc/81/04/29/761810429.db2.gz XTKLDWCQDJKRJP-WPRPVWTQSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1nc(N[C@@H](C)CCNC(=O)c2n[nH]c(=O)[n-]c2=O)cc[nH+]1 ZINC001099789129 763039647 /nfs/dbraw/zinc/03/96/47/763039647.db2.gz UALAMBVHQFZZMO-ZETCQYMHSA-N 0 2 319.325 0.002 20 0 DCADLN O=C(NCC[NH2+]Cc1cnns1)c1ccn2c[nH+]cc2c1 ZINC001130874946 763548074 /nfs/dbraw/zinc/54/80/74/763548074.db2.gz VYQLGPZLVHTQMK-UHFFFAOYSA-N 0 2 302.363 0.705 20 0 DCADLN CSCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131781298 764028383 /nfs/dbraw/zinc/02/83/83/764028383.db2.gz XOVDUUIFJAWVHN-VHSXEESVSA-N 0 2 313.427 0.733 20 0 DCADLN CSCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131781298 764028390 /nfs/dbraw/zinc/02/83/90/764028390.db2.gz XOVDUUIFJAWVHN-VHSXEESVSA-N 0 2 313.427 0.733 20 0 DCADLN CCCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131875454 764088039 /nfs/dbraw/zinc/08/80/39/764088039.db2.gz NYUVPOBUMBKEJV-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CCCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131875454 764088041 /nfs/dbraw/zinc/08/80/41/764088041.db2.gz NYUVPOBUMBKEJV-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN COCC1(C(=O)N[C@H]2CC[C@H](C)[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001132172794 764284650 /nfs/dbraw/zinc/28/46/50/764284650.db2.gz BIXJVUZXHZLQJX-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN COCC1(C(=O)N[C@H]2CC[C@H](C)[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001132172794 764284654 /nfs/dbraw/zinc/28/46/54/764284654.db2.gz BIXJVUZXHZLQJX-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc(NC[C@@H]2CN(C(=O)C[N@@H+](C)C(C)C)CCO2)cc[nH+]1 ZINC001111950671 765436409 /nfs/dbraw/zinc/43/64/09/765436409.db2.gz WQXYHPSBMQTOOF-CQSZACIVSA-N 0 2 321.425 0.765 20 0 DCADLN C[C@H](CN(C)C(=O)C[C@@H]1CCCO1)Nc1ccc2nnnn2n1 ZINC001115616028 765768533 /nfs/dbraw/zinc/76/85/33/765768533.db2.gz CPCRXOBFDKMVAY-MNOVXSKESA-N 0 2 319.369 0.347 20 0 DCADLN Nc1nc2nc(CSc3nccn4ccnc34)cc(=O)n2[nH]1 ZINC001116682998 765897254 /nfs/dbraw/zinc/89/72/54/765897254.db2.gz NSVXZKXWLZRTTD-UHFFFAOYSA-N 0 2 314.334 0.335 20 0 DCADLN C[S@@](=O)c1ccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC001116893525 765924283 /nfs/dbraw/zinc/92/42/83/765924283.db2.gz OGXFUCOEAYFDSG-JOCHJYFZSA-N 0 2 322.390 0.539 20 0 DCADLN Cc1ccnc(N2C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001090545817 766303327 /nfs/dbraw/zinc/30/33/27/766303327.db2.gz JIKIOKGKIBIQJP-IWSPIJDZSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1ccnc(N2C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001090545817 766303334 /nfs/dbraw/zinc/30/33/34/766303334.db2.gz JIKIOKGKIBIQJP-IWSPIJDZSA-N 0 2 322.262 0.351 20 0 DCADLN Cn1ncc2cc(S(=O)(=O)Nc3cnn(CCF)c3)cnc21 ZINC001118953471 766482665 /nfs/dbraw/zinc/48/26/65/766482665.db2.gz GHTZAUSZBZKVLD-UHFFFAOYSA-N 0 2 324.341 0.935 20 0 DCADLN O=C(NCCC[NH2+]Cc1ccon1)[C@@H]1CCc2[nH]nnc2C1 ZINC001171037733 766537407 /nfs/dbraw/zinc/53/74/07/766537407.db2.gz MZQIVDAHQGLOAM-SNVBAGLBSA-N 0 2 304.354 0.194 20 0 DCADLN CCC(=O)C(=O)Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12 ZINC001143961166 766746199 /nfs/dbraw/zinc/74/61/99/766746199.db2.gz XXFYEOJLNSMLJV-UHFFFAOYSA-N 0 2 313.273 0.891 20 0 DCADLN O=C([O-])COc1ccc(C[NH+]2CC(N3CCOCC3)C2)cc1 ZINC001138924878 768446611 /nfs/dbraw/zinc/44/66/11/768446611.db2.gz BRILGKWHONNLOA-UHFFFAOYSA-N 0 2 306.362 0.666 20 0 DCADLN CC(C)[N@@H+](C)Cc1n[nH]c2c1CCN(C(=O)Cn1cncn1)C2 ZINC001142126045 768617509 /nfs/dbraw/zinc/61/75/09/768617509.db2.gz MSLSBUUNPMPOEA-UHFFFAOYSA-N 0 2 317.397 0.426 20 0 DCADLN CC(=O)CCCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001230757625 768834246 /nfs/dbraw/zinc/83/42/46/768834246.db2.gz AZIHMGVEEAWNRP-LLVKDONJSA-N 0 2 309.370 0.302 20 0 DCADLN CN1CCN(C)C2(CC[NH+](Cc3cncc(O)c3)CC2)C1=O ZINC001232042642 769297058 /nfs/dbraw/zinc/29/70/58/769297058.db2.gz WYHQZQDXXBPGIB-UHFFFAOYSA-N 0 2 304.394 0.526 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(C(F)F)CC1 ZINC001234305644 769622308 /nfs/dbraw/zinc/62/23/08/769622308.db2.gz UWMYFBLLXRLEMV-QMMMGPOBSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C[C@@H]1CCN1Cc1ncnn1C)C(=O)C(F)C(F)(F)F ZINC001234423079 769652508 /nfs/dbraw/zinc/65/25/08/769652508.db2.gz BYYPUSIGVUZDMQ-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1ncnn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001234423079 769652513 /nfs/dbraw/zinc/65/25/13/769652513.db2.gz BYYPUSIGVUZDMQ-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1C[C@H](F)C[C@H](CO)C1 ZINC001153857557 769964491 /nfs/dbraw/zinc/96/44/91/769964491.db2.gz OLSMVRYRKBHUKC-VHSXEESVSA-N 0 2 305.309 0.716 20 0 DCADLN CC(=O)N1C[C@H]2C[C@@]2(NC(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153860637 769966800 /nfs/dbraw/zinc/96/68/00/769966800.db2.gz QANUOVSALAEORW-QLJPJBMISA-N 0 2 312.329 0.274 20 0 DCADLN CN1C[C@H](CNC(=O)c2c[nH]c3cccnc3c2=O)CC1=O ZINC001153862491 769971643 /nfs/dbraw/zinc/97/16/43/769971643.db2.gz WQWKZZFEHCTBAZ-VIFPVBQESA-N 0 2 300.318 0.131 20 0 DCADLN O=C(CCc1[nH]cc[nH+]1)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001177996739 770586669 /nfs/dbraw/zinc/58/66/69/770586669.db2.gz LSGVHONNALUKAZ-UHFFFAOYSA-N 0 2 320.330 0.413 20 0 DCADLN CCc1c(NC(=O)C(CO)C(F)(F)F)c(C(N)=O)nn1C ZINC001183281793 771626875 /nfs/dbraw/zinc/62/68/75/771626875.db2.gz XKLZSMNGHQALTR-YFKPBYRVSA-N 0 2 308.260 0.191 20 0 DCADLN CCc1c(NC(=O)[C@H](CO)C(F)(F)F)c(C(N)=O)nn1C ZINC001183281793 771626878 /nfs/dbraw/zinc/62/68/78/771626878.db2.gz XKLZSMNGHQALTR-YFKPBYRVSA-N 0 2 308.260 0.191 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2nccnc2C(N)=O)n1 ZINC001185161559 771870610 /nfs/dbraw/zinc/87/06/10/771870610.db2.gz QJHGXTSKOQEBMD-UHFFFAOYSA-N 0 2 306.307 0.045 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(N2CCOCC2)s1 ZINC001187961395 772252819 /nfs/dbraw/zinc/25/28/19/772252819.db2.gz MADREOXWDOVPKN-UHFFFAOYSA-N 0 2 309.351 0.338 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cnn2ccc(Cl)nc12 ZINC001188352559 772297866 /nfs/dbraw/zinc/29/78/66/772297866.db2.gz FCYJCFXPPQOOSC-UHFFFAOYSA-N 0 2 318.742 0.688 20 0 DCADLN CCC[C@@H](OC)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001188785529 772365003 /nfs/dbraw/zinc/36/50/03/772365003.db2.gz FOPOQNUNIRJJEF-QJPTWQEYSA-N 0 2 323.397 0.358 20 0 DCADLN CCC[C@@H](OC)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001188785529 772365006 /nfs/dbraw/zinc/36/50/06/772365006.db2.gz FOPOQNUNIRJJEF-QJPTWQEYSA-N 0 2 323.397 0.358 20 0 DCADLN CC(=O)CCCC(=O)N1CC[C@@H](N(C)Cc2n[nH]c(=O)[nH]2)C1 ZINC001188879309 772375333 /nfs/dbraw/zinc/37/53/33/772375333.db2.gz SUHWHNRJTRMNBL-LLVKDONJSA-N 0 2 309.370 0.302 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110407161 772452987 /nfs/dbraw/zinc/45/29/87/772452987.db2.gz WAACUZAEFXWCMS-BBBLOLIVSA-N 0 2 321.381 0.347 20 0 DCADLN Cn1nc(C(F)(F)F)c(C(=O)NCc2n[nH]c(=O)[nH]2)c1Cl ZINC001190539328 772640247 /nfs/dbraw/zinc/64/02/47/772640247.db2.gz OWXMVZCBGQHQSY-UHFFFAOYSA-N 0 2 324.650 0.846 20 0 DCADLN COC(=O)[C@H]1C[C@H]1c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001192208203 772884704 /nfs/dbraw/zinc/88/47/04/772884704.db2.gz CAUYVLMMGLVEEZ-QWRGUYRKSA-N 0 2 316.317 0.717 20 0 DCADLN CN(C)S(=O)(=O)CCNC(=O)c1cc(F)c(O)cc1F ZINC001192875975 772967292 /nfs/dbraw/zinc/96/72/92/772967292.db2.gz GJXATKKANXVEKZ-UHFFFAOYSA-N 0 2 308.306 0.292 20 0 DCADLN O=C(NCCN1CCCS1(=O)=O)c1ccc(O)c(F)c1F ZINC001192848632 772969595 /nfs/dbraw/zinc/96/95/95/772969595.db2.gz FURFKJHLQCUSNX-UHFFFAOYSA-N 0 2 320.317 0.436 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ncccn2)C[C@H]1O)C(F)C(F)(F)F ZINC001193130798 773010642 /nfs/dbraw/zinc/01/06/42/773010642.db2.gz OFWRLGMWVXXACT-MRTMQBJTSA-N 0 2 322.262 0.038 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ncccn2)C[C@H]1O)[C@H](F)C(F)(F)F ZINC001193130798 773010645 /nfs/dbraw/zinc/01/06/45/773010645.db2.gz OFWRLGMWVXXACT-MRTMQBJTSA-N 0 2 322.262 0.038 20 0 DCADLN COc1ccc2c(c1)OCC(C(=O)NCc1n[nH]c(=O)[nH]1)=C2 ZINC000085382448 773022093 /nfs/dbraw/zinc/02/20/93/773022093.db2.gz FQYFOZLAXPVEDH-UHFFFAOYSA-N 0 2 302.290 0.199 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001205984541 773038597 /nfs/dbraw/zinc/03/85/97/773038597.db2.gz YTGGDOISNKBGHX-MWLCHTKSSA-N 0 2 309.370 0.206 20 0 DCADLN COc1cc(N2CCN(C(=O)c3cc(=O)[nH][n-]3)CC2)cc[nH+]1 ZINC001193469165 773067794 /nfs/dbraw/zinc/06/77/94/773067794.db2.gz LUWCFJHGUGZSHB-UHFFFAOYSA-N 0 2 303.322 0.481 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001206879432 773119015 /nfs/dbraw/zinc/11/90/15/773119015.db2.gz CYZXNIVGMRCPQM-OPRDCNLKSA-N 0 2 313.427 0.588 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1cccc2nccnc21 ZINC001194945513 773289484 /nfs/dbraw/zinc/28/94/84/773289484.db2.gz KQQHYKRNPKVCAM-UHFFFAOYSA-N 0 2 319.302 0.632 20 0 DCADLN Cn1ncc(C(N)=O)c1NS(=O)(=O)CC[Si](C)(C)C ZINC001195040141 773311581 /nfs/dbraw/zinc/31/15/81/773311581.db2.gz AFQHDIAFTJOZCD-UHFFFAOYSA-N 0 2 304.448 0.599 20 0 DCADLN C[C@@H](CC(F)F)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208030903 773381472 /nfs/dbraw/zinc/38/14/72/773381472.db2.gz PYJIHICHAJHMSY-DJLDLDEBSA-N 0 2 317.340 0.738 20 0 DCADLN C[C@@H](CC(F)F)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208030903 773381479 /nfs/dbraw/zinc/38/14/79/773381479.db2.gz PYJIHICHAJHMSY-DJLDLDEBSA-N 0 2 317.340 0.738 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208289417 773424963 /nfs/dbraw/zinc/42/49/63/773424963.db2.gz SDLNBBHTTLGRQQ-MXWKQRLJSA-N 0 2 311.386 0.262 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208289417 773424969 /nfs/dbraw/zinc/42/49/69/773424969.db2.gz SDLNBBHTTLGRQQ-MXWKQRLJSA-N 0 2 311.386 0.262 20 0 DCADLN C=C/C(C)=C\CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208353890 773440401 /nfs/dbraw/zinc/44/04/01/773440401.db2.gz PKXQVIIGUFEHKO-XRHVUQDBSA-N 0 2 305.382 0.969 20 0 DCADLN C=C/C(C)=C\CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208353890 773440406 /nfs/dbraw/zinc/44/04/06/773440406.db2.gz PKXQVIIGUFEHKO-XRHVUQDBSA-N 0 2 305.382 0.969 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cccnc1N1CCCC1 ZINC001195933691 773505601 /nfs/dbraw/zinc/50/56/01/773505601.db2.gz FTLOZTAKPKFXLA-UHFFFAOYSA-N 0 2 313.379 0.987 20 0 DCADLN O=C(NCc1ccc2nn[nH]c2c1)c1c[nH]c(=S)[nH]c1=O ZINC001196023969 773523989 /nfs/dbraw/zinc/52/39/89/773523989.db2.gz QFIJWIBQFFQAFY-UHFFFAOYSA-N 0 2 302.319 0.672 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccncc1OC(F)(F)F ZINC001198257070 773888454 /nfs/dbraw/zinc/88/84/54/773888454.db2.gz PNBHHPWKTVWMMB-UHFFFAOYSA-N 0 2 303.200 0.734 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ccc(C(N)=O)cc2O)cn1 ZINC001198321508 773900283 /nfs/dbraw/zinc/90/02/83/773900283.db2.gz UDEQBWJZHRWOSN-UHFFFAOYSA-N 0 2 307.331 0.995 20 0 DCADLN CC(=O)Nc1cc(NS(=O)(=O)c2cnc(C)n2C)ccn1 ZINC001198355957 773915344 /nfs/dbraw/zinc/91/53/44/773915344.db2.gz KMGMKUIORNYMNA-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN CCC(=O)NC[C@H](NCc1n[nH]c(=O)[nH]1)c1ccccc1OC ZINC001198444844 773929904 /nfs/dbraw/zinc/92/99/04/773929904.db2.gz UZGGOBUBGILRKT-NSHDSACASA-N 0 2 319.365 0.876 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cnc(C(F)(F)F)nc1 ZINC001218585030 774256502 /nfs/dbraw/zinc/25/65/02/774256502.db2.gz KCTZDLVTBOHDJJ-QMMMGPOBSA-N 0 2 300.244 0.727 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC001218851263 774315135 /nfs/dbraw/zinc/31/51/35/774315135.db2.gz KBLQSRBOUUPHEV-JTQLQIEISA-N 0 2 301.306 0.249 20 0 DCADLN O=C(N[C@@H]1CN(C/C=C/Cl)C[C@@H]1O)C(F)C(F)(F)F ZINC001219670678 774576037 /nfs/dbraw/zinc/57/60/37/774576037.db2.gz XJCMMHFXRZMVPP-QPBMGCEESA-N 0 2 304.671 0.801 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H]1CC[C@H](C(F)(F)F)NC1 ZINC001219903861 774642069 /nfs/dbraw/zinc/64/20/69/774642069.db2.gz IYQHQXGCDCJMPO-LRMHIPNDSA-N 0 2 311.285 0.342 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1CC1(F)F ZINC001095389365 775030505 /nfs/dbraw/zinc/03/05/05/775030505.db2.gz KTRZDEDFMPUCQQ-HXFLIBJXSA-N 0 2 313.308 0.387 20 0 DCADLN O=c1c([O-])c(O[C@H]2CCC[N@H+](Cc3ccccc3)C2)c(=O)c1=O ZINC001225834670 775728574 /nfs/dbraw/zinc/72/85/74/775728574.db2.gz WLVYOCJQOBCXAT-LBPRGKRZSA-N 0 2 315.325 0.392 20 0 DCADLN O=c1c([O-])c(O[C@H]2CCC[N@@H+](Cc3ccccc3)C2)c(=O)c1=O ZINC001225834670 775728576 /nfs/dbraw/zinc/72/85/76/775728576.db2.gz WLVYOCJQOBCXAT-LBPRGKRZSA-N 0 2 315.325 0.392 20 0 DCADLN CC(=O)CCCC(=O)NC1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001226047494 775759068 /nfs/dbraw/zinc/75/90/68/775759068.db2.gz HUXTZEOIJDIDDJ-UHFFFAOYSA-N 0 2 309.370 0.350 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](Oc2nc3nc[nH]c3c(=S)[nH]2)CN1C ZINC001226784709 775876155 /nfs/dbraw/zinc/87/61/55/775876155.db2.gz HYDWQDYSKKXAGZ-RQJHMYQMSA-N 0 2 309.351 0.266 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1)[NH+](C)C ZINC001227057616 775918955 /nfs/dbraw/zinc/91/89/55/775918955.db2.gz XJONKINXPMRDLZ-JHJVBQTASA-N 0 2 323.445 0.067 20 0 DCADLN O=C1CC(Oc2nc(I)cc(=O)[nH]2)C1 ZINC001228948945 776140014 /nfs/dbraw/zinc/14/00/14/776140014.db2.gz DXSYLCXTNXMJGI-UHFFFAOYSA-N 0 2 306.059 0.897 20 0 DCADLN CC(C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041536853 777344240 /nfs/dbraw/zinc/34/42/40/777344240.db2.gz QPZZLDNMIHTBDV-ZETCQYMHSA-N 0 2 300.252 0.232 20 0 DCADLN CC(C)C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001041536853 777344244 /nfs/dbraw/zinc/34/42/44/777344244.db2.gz QPZZLDNMIHTBDV-ZETCQYMHSA-N 0 2 300.252 0.232 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CC=C(Cl)Cl)c1=O ZINC001590950163 1167055547 /nfs/dbraw/zinc/05/55/47/1167055547.db2.gz UAENGORINSQWNG-UHFFFAOYSA-N 0 2 317.136 0.529 20 0 DCADLN O=C([O-])CCCS(=O)(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC001601999624 1168957291 /nfs/dbraw/zinc/95/72/91/1168957291.db2.gz SYAPNQKUEPXMNI-NSHDSACASA-N 0 2 313.379 0.445 20 0 DCADLN COCCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001266833551 939248244 /nfs/dbraw/zinc/24/82/44/939248244.db2.gz SHLYSSJEOARELX-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN COCCCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001266833551 939248247 /nfs/dbraw/zinc/24/82/47/939248247.db2.gz SHLYSSJEOARELX-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN COC[C@H](C)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001408590613 939397190 /nfs/dbraw/zinc/39/71/90/939397190.db2.gz ZZVIZCBGVZAJHV-JGVFFNPUSA-N 0 2 302.268 0.744 20 0 DCADLN COC[C@H](C)C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001408590613 939397195 /nfs/dbraw/zinc/39/71/95/939397195.db2.gz ZZVIZCBGVZAJHV-JGVFFNPUSA-N 0 2 302.268 0.744 20 0 DCADLN C[N@H+](CCNC(=O)CCOc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001480946389 939466467 /nfs/dbraw/zinc/46/64/67/939466467.db2.gz PDQIZSQYMHZLOB-UHFFFAOYSA-N 0 2 319.365 0.527 20 0 DCADLN C[N@@H+](CCNC(=O)CCOc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001480946389 939466469 /nfs/dbraw/zinc/46/64/69/939466469.db2.gz PDQIZSQYMHZLOB-UHFFFAOYSA-N 0 2 319.365 0.527 20 0 DCADLN C[C@H](C(=O)NC1CC1)[N@@H+]1CCC[C@H]1CNC(=O)C[NH+]1CCCC1 ZINC001481071028 939548670 /nfs/dbraw/zinc/54/86/70/939548670.db2.gz LADDBVNUXIWKOW-HIFRSBDPSA-N 0 2 322.453 0.330 20 0 DCADLN CC[C@@H](C(=O)N(CC)CCNC(=O)Cc1[nH]cc[nH+]1)[NH+](C)C ZINC001408786420 939647973 /nfs/dbraw/zinc/64/79/73/939647973.db2.gz GCIXKFZHMXJDGL-LBPRGKRZSA-N 0 2 309.414 0.257 20 0 DCADLN CCO[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001481672274 940027040 /nfs/dbraw/zinc/02/70/40/940027040.db2.gz YFOGDMOFJYHTRW-GVXVVHGQSA-N 0 2 323.397 0.357 20 0 DCADLN CCN(CCNC(=O)c1cnn(C)c1)C(=O)C(F)C(F)(F)F ZINC001496647393 940164053 /nfs/dbraw/zinc/16/40/53/940164053.db2.gz PSUGMRBGWGUANC-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)c1cnn(C)c1)C(=O)[C@H](F)C(F)(F)F ZINC001496647393 940164055 /nfs/dbraw/zinc/16/40/55/940164055.db2.gz PSUGMRBGWGUANC-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1(C2CC2)CC1 ZINC001482189916 940324625 /nfs/dbraw/zinc/32/46/25/940324625.db2.gz IMKVDHXGJNPPOE-NSHDSACASA-N 0 2 321.381 0.018 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001269221659 940652004 /nfs/dbraw/zinc/65/20/04/940652004.db2.gz ASTIPBJPIVHRNC-UFFNRZRYSA-N 0 2 302.338 0.242 20 0 DCADLN C[C@]1(NC(=O)CCOCC2CC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001270581949 940930543 /nfs/dbraw/zinc/93/05/43/940930543.db2.gz CHFKGEVBSYYPCD-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(NC(=O)CCOCC2CC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001270581949 940930545 /nfs/dbraw/zinc/93/05/45/940930545.db2.gz CHFKGEVBSYYPCD-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC1CN(C(=O)C2=COCCO2)C1)C(F)C(F)(F)F ZINC001409060282 941300004 /nfs/dbraw/zinc/30/00/04/941300004.db2.gz HCURXYJYZKFAKU-MRVPVSSYSA-N 0 2 312.219 0.102 20 0 DCADLN O=C(NC1CN(C(=O)C2=COCCO2)C1)[C@@H](F)C(F)(F)F ZINC001409060282 941300005 /nfs/dbraw/zinc/30/00/05/941300005.db2.gz HCURXYJYZKFAKU-MRVPVSSYSA-N 0 2 312.219 0.102 20 0 DCADLN Cn1nccc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060450 941300238 /nfs/dbraw/zinc/30/02/38/941300238.db2.gz LMABFQWZHGLRPU-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1nccc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409060450 941300243 /nfs/dbraw/zinc/30/02/43/941300243.db2.gz LMABFQWZHGLRPU-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cc1nccnc1C[NH+](C)CCN(C)C(=O)[C@H]1CCC[N@@H+]1C ZINC001482619678 941599624 /nfs/dbraw/zinc/59/96/24/941599624.db2.gz UOIWXWWKGFBTAL-OAHLLOKOSA-N 0 2 305.426 0.769 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cnn(C)n1 ZINC001409569279 942060597 /nfs/dbraw/zinc/06/05/97/942060597.db2.gz LBZIEEJXSVNFLI-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1cnn(C)n1 ZINC001409569279 942060598 /nfs/dbraw/zinc/06/05/98/942060598.db2.gz LBZIEEJXSVNFLI-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cnn(C)n1 ZINC001409569296 942061037 /nfs/dbraw/zinc/06/10/37/942061037.db2.gz LBZIEEJXSVNFLI-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1cnn(C)n1 ZINC001409569296 942061042 /nfs/dbraw/zinc/06/10/42/942061042.db2.gz LBZIEEJXSVNFLI-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1cn[nH]n1)C(F)C(F)(F)F ZINC001409606960 942082689 /nfs/dbraw/zinc/08/26/89/942082689.db2.gz CEPKTMXAIUFBSO-HTRCEHHLSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1cn[nH]n1)[C@@H](F)C(F)(F)F ZINC001409606960 942082695 /nfs/dbraw/zinc/08/26/95/942082695.db2.gz CEPKTMXAIUFBSO-HTRCEHHLSA-N 0 2 323.250 0.426 20 0 DCADLN Cn1cc[nH+]c1Cn1cc(CN2CCCC[C@@H]2C(=O)[O-])nn1 ZINC001600986770 970893630 /nfs/dbraw/zinc/89/36/30/970893630.db2.gz MYCXSBUEYNAUOX-GFCCVEGCSA-N 0 2 304.354 0.499 20 0 DCADLN COC1([C@@H](C)NC(=O)C[C@@H]2SC(=N)NC2=O)CCOCC1 ZINC001413129002 942516076 /nfs/dbraw/zinc/51/60/76/942516076.db2.gz CQLJPFSXRUDKFM-BDAKNGLRSA-N 0 2 315.395 0.243 20 0 DCADLN O=C([O-])C1(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC001601473357 971004395 /nfs/dbraw/zinc/00/43/95/971004395.db2.gz QSXWCWUIBUTDGC-LLVKDONJSA-N 0 2 322.365 0.379 20 0 DCADLN Cn1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)c(Br)n1 ZINC001413594786 943514389 /nfs/dbraw/zinc/51/43/89/943514389.db2.gz RRKLXHGFAFQSBN-UHFFFAOYSA-N 0 2 316.119 0.328 20 0 DCADLN CC(C)CNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cnn[nH]2)CC1 ZINC001484718342 943534214 /nfs/dbraw/zinc/53/42/14/943534214.db2.gz ONDWRLLGDQLRHA-GFCCVEGCSA-N 0 2 322.413 0.161 20 0 DCADLN Cc1nscc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413672448 943562741 /nfs/dbraw/zinc/56/27/41/943562741.db2.gz NSPVRRMZLUSGAN-UHFFFAOYSA-N 0 2 315.380 0.044 20 0 DCADLN C[C@H](NC(=O)C1CCS(=O)(=O)CC1)c1nn(C)cc1O ZINC001413755513 943666845 /nfs/dbraw/zinc/66/68/45/943666845.db2.gz DIMPNSZAUDFCNV-QMMMGPOBSA-N 0 2 301.368 0.128 20 0 DCADLN CC[C@H](C)NC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001491110589 943758363 /nfs/dbraw/zinc/75/83/63/943758363.db2.gz IHRJDPSVMHWROE-NWDGAFQWSA-N 0 2 322.413 0.161 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CCc2[nH+]ccn2C1)[NH2+]Cc1ccnn1C ZINC001491508519 944052593 /nfs/dbraw/zinc/05/25/93/944052593.db2.gz UPBQLFVWZUOIRL-CHWSQXEVSA-N 0 2 316.409 0.474 20 0 DCADLN O=C([O-])CCCCCNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001601984779 971110293 /nfs/dbraw/zinc/11/02/93/971110293.db2.gz WYODFHMESHGYPE-CYBMUJFWSA-N 0 2 313.398 0.748 20 0 DCADLN O=C(NCC1COC1)[C@@H]1CCC[C@@]12CC[N@H+](Cc1cn[nH]n1)C2 ZINC001277378092 944795248 /nfs/dbraw/zinc/79/52/48/944795248.db2.gz ASSQWFBVCOXROF-HOCLYGCPSA-N 0 2 319.409 0.560 20 0 DCADLN C[C@H]([NH2+]C[C@@H](NC(=O)c1nnc[nH]1)C(C)(C)C)c1nncn1C ZINC001486417606 944878684 /nfs/dbraw/zinc/87/86/84/944878684.db2.gz QXMDEYSRUFIHHI-VHSXEESVSA-N 0 2 320.401 0.429 20 0 DCADLN C[C@H]([NH2+]C[C@@H](NC(=O)c1ncn[nH]1)C(C)(C)C)c1nncn1C ZINC001486417606 944878687 /nfs/dbraw/zinc/87/86/87/944878687.db2.gz QXMDEYSRUFIHHI-VHSXEESVSA-N 0 2 320.401 0.429 20 0 DCADLN COCC[N@H+](CCNC(=O)c1nnc[nH]1)Cc1cncc(C)c1 ZINC001486431691 944881698 /nfs/dbraw/zinc/88/16/98/944881698.db2.gz GSVQDQGWCYQIEY-UHFFFAOYSA-N 0 2 318.381 0.387 20 0 DCADLN COCC[N@@H+](CCNC(=O)c1nnc[nH]1)Cc1cncc(C)c1 ZINC001486431691 944881704 /nfs/dbraw/zinc/88/17/04/944881704.db2.gz GSVQDQGWCYQIEY-UHFFFAOYSA-N 0 2 318.381 0.387 20 0 DCADLN O=C(CC[C@H]1NC(=O)NC1=O)NCc1nc2cc(F)ccc2[nH]1 ZINC001318923051 945463442 /nfs/dbraw/zinc/46/34/42/945463442.db2.gz IBWJAMNXVMMYIF-SECBINFHSA-N 0 2 319.296 0.306 20 0 DCADLN Cc1cc(C)n2ncc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c2n1 ZINC001318980232 945475237 /nfs/dbraw/zinc/47/52/37/945475237.db2.gz PUYFTDUGJJZMBM-UHFFFAOYSA-N 0 2 315.337 0.532 20 0 DCADLN Cn1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c(C(F)(F)F)n1 ZINC001319358913 945569335 /nfs/dbraw/zinc/56/93/35/945569335.db2.gz AFQJDQUCSDDMPM-UHFFFAOYSA-N 0 2 318.259 0.625 20 0 DCADLN COCCCC(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001278500163 945593110 /nfs/dbraw/zinc/59/31/10/945593110.db2.gz JKWDSTMWQTYDJD-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN COCCCC(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001278500163 945593117 /nfs/dbraw/zinc/59/31/17/945593117.db2.gz JKWDSTMWQTYDJD-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cnc(-c2cccnc2)s1 ZINC001319578095 945624197 /nfs/dbraw/zinc/62/41/97/945624197.db2.gz WUDNUXBCJJPLEG-UHFFFAOYSA-N 0 2 303.303 0.402 20 0 DCADLN Cc1nnc(-c2ccccc2C(=O)NCc2n[nH]c(=O)[nH]2)o1 ZINC001319763855 945660304 /nfs/dbraw/zinc/66/03/04/945660304.db2.gz UDDCSJJTECMBFZ-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN CCOC(=O)c1c(NS(=O)(=O)C2CC2)nn2cccnc12 ZINC001259270286 946933982 /nfs/dbraw/zinc/93/39/82/946933982.db2.gz HHLGGBVFEQSXQU-UHFFFAOYSA-N 0 2 310.335 0.810 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cnon1 ZINC001410792110 946948814 /nfs/dbraw/zinc/94/88/14/946948814.db2.gz CRNIPANJQPNPNZ-LURJTMIESA-N 0 2 310.207 0.349 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1cnon1 ZINC001410792110 946948824 /nfs/dbraw/zinc/94/88/24/946948824.db2.gz CRNIPANJQPNPNZ-LURJTMIESA-N 0 2 310.207 0.349 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)CCc2ccc(F)cc2)S1 ZINC001259762897 946980687 /nfs/dbraw/zinc/98/06/87/946980687.db2.gz ZQGPNTSTFYNGHT-SNVBAGLBSA-N 0 2 318.351 0.597 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)CCc2ccccc2F)S1 ZINC001259788223 946983764 /nfs/dbraw/zinc/98/37/64/946983764.db2.gz PBDNBNCRPFUTBJ-JTQLQIEISA-N 0 2 318.351 0.597 20 0 DCADLN COC(=O)c1cncc(NS(=O)(=O)CCC(F)(F)F)n1 ZINC001259866306 947000073 /nfs/dbraw/zinc/00/00/73/947000073.db2.gz UNMBBRZNUYPZIK-UHFFFAOYSA-N 0 2 313.257 0.957 20 0 DCADLN CC(C)OCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001410889581 947088368 /nfs/dbraw/zinc/08/83/68/947088368.db2.gz DCRMQZVYBSRLQL-SNVBAGLBSA-N 0 2 314.279 0.981 20 0 DCADLN CC(C)OCC(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001410889581 947088379 /nfs/dbraw/zinc/08/83/79/947088379.db2.gz DCRMQZVYBSRLQL-SNVBAGLBSA-N 0 2 314.279 0.981 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(N2C(=O)CCC2=O)cc1 ZINC001323689792 947169829 /nfs/dbraw/zinc/16/98/29/947169829.db2.gz FIXLEVXTHAGQEO-UHFFFAOYSA-N 0 2 315.289 0.094 20 0 DCADLN O=C(NC1CCC2(CC1)NC(=O)NC2=O)C(F)C(F)(F)F ZINC001323936631 947260430 /nfs/dbraw/zinc/26/04/30/947260430.db2.gz LOUHJZMUJOOYSE-KCZDEFFWSA-N 0 2 311.235 0.524 20 0 DCADLN O=C(NC1CCC2(CC1)NC(=O)NC2=O)[C@@H](F)C(F)(F)F ZINC001323936631 947260447 /nfs/dbraw/zinc/26/04/47/947260447.db2.gz LOUHJZMUJOOYSE-KCZDEFFWSA-N 0 2 311.235 0.524 20 0 DCADLN O=C(NC1CCC2(CC1)NC(=O)NC2=O)C(F)C(F)(F)F ZINC001323936632 947260958 /nfs/dbraw/zinc/26/09/58/947260958.db2.gz LOUHJZMUJOOYSE-YTWBLMGLSA-N 0 2 311.235 0.524 20 0 DCADLN O=C(NC1CCC2(CC1)NC(=O)NC2=O)[C@H](F)C(F)(F)F ZINC001323936632 947260966 /nfs/dbraw/zinc/26/09/66/947260966.db2.gz LOUHJZMUJOOYSE-YTWBLMGLSA-N 0 2 311.235 0.524 20 0 DCADLN C[C@H](CCCNC(=O)CC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001487507933 947718623 /nfs/dbraw/zinc/71/86/23/947718623.db2.gz NLJMSBJVHAUFKT-MUWHJKNJSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CCCNC(=O)CC(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001487507933 947718632 /nfs/dbraw/zinc/71/86/32/947718632.db2.gz NLJMSBJVHAUFKT-MUWHJKNJSA-N 0 2 315.267 0.163 20 0 DCADLN CCCCCC[N@H+](C)CC(=O)N1CC[NH2+]C[C@@H]1C(=O)OCC ZINC001326409017 948152836 /nfs/dbraw/zinc/15/28/36/948152836.db2.gz PPMDHEOOZUSUOX-CQSZACIVSA-N 0 2 313.442 0.862 20 0 DCADLN C[C@H](CN(C)C(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001487884385 948323200 /nfs/dbraw/zinc/32/32/00/948323200.db2.gz VSLLRHVEDUKIIV-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN COCCOCC[NH+]1CCN(C(=O)CCCC(=O)[O-])CC1 ZINC001327477909 948523541 /nfs/dbraw/zinc/52/35/41/948523541.db2.gz OCESNODCUWNLAG-UHFFFAOYSA-N 0 2 302.371 0.049 20 0 DCADLN C[N@H+](CCOc1ccccc1C(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000011891959 948773090 /nfs/dbraw/zinc/77/30/90/948773090.db2.gz UVDZPSLRSWUPKB-LLVKDONJSA-N 0 2 313.375 0.883 20 0 DCADLN C[N@@H+](CCOc1ccccc1C(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000011891959 948773103 /nfs/dbraw/zinc/77/31/03/948773103.db2.gz UVDZPSLRSWUPKB-LLVKDONJSA-N 0 2 313.375 0.883 20 0 DCADLN Nc1nc2nc(CN3CCCC[C@H]3c3cc[nH]n3)cc(=O)n2[nH]1 ZINC001328483579 948919532 /nfs/dbraw/zinc/91/95/32/948919532.db2.gz ZOGAZIRKXXEIEJ-NSHDSACASA-N 0 2 314.353 0.450 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CC(c2cnn(C)c2)=NO1)c1nn(C)cc1O ZINC001364397322 949186817 /nfs/dbraw/zinc/18/68/17/949186817.db2.gz JYOCNLMWCJRZBX-PELKAZGASA-N 0 2 318.337 0.230 20 0 DCADLN CSc1ncc(C(=O)N2CCC(c3nn[nH]n3)CC2)c(=O)[nH]1 ZINC001364528655 949491561 /nfs/dbraw/zinc/49/15/61/949491561.db2.gz LDXVDEPWHIWJSF-UHFFFAOYSA-N 0 2 321.366 0.437 20 0 DCADLN C[NH+]1CCN(C(=O)CSc2nc(C(=O)[O-])cs2)CC1 ZINC000379072596 971597554 /nfs/dbraw/zinc/59/75/54/971597554.db2.gz BCTLAIAZMIQUNV-UHFFFAOYSA-N 0 2 301.393 0.707 20 0 DCADLN C[C@@H](O)[C@@H]1CCCN(C(=O)NCc2n[nH]c(=O)n2C2CC2)C1 ZINC001364719580 949807053 /nfs/dbraw/zinc/80/70/53/949807053.db2.gz BLGYIRDQWABWIS-NXEZZACHSA-N 0 2 309.370 0.621 20 0 DCADLN CNC(=O)C[N@@H+]1CCC[C@H]1CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001266207384 950471599 /nfs/dbraw/zinc/47/15/99/950471599.db2.gz MLJRPRBJHBXJLG-LLVKDONJSA-N 0 2 323.397 0.144 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@H]1CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001266207384 950471612 /nfs/dbraw/zinc/47/16/12/950471612.db2.gz MLJRPRBJHBXJLG-LLVKDONJSA-N 0 2 323.397 0.144 20 0 DCADLN O=C([O-])CC1(C(=O)NC2CC[NH+]([C@H]3CCOC3=O)CC2)CCC1 ZINC001594974527 950906784 /nfs/dbraw/zinc/90/67/84/950906784.db2.gz QQGDVYWRONJGLL-LBPRGKRZSA-N 0 2 324.377 0.528 20 0 DCADLN Nc1nc2nc(C[N@@H+]3CCOC[C@@H]3CC3CC3)cc(=O)n2[n-]1 ZINC001365334046 950986162 /nfs/dbraw/zinc/98/61/62/950986162.db2.gz XDGHKUNSILYUEH-NSHDSACASA-N 0 2 304.354 0.001 20 0 DCADLN Nc1nc2nc(C[N@H+]3CCOC[C@@H]3CC3CC3)cc(=O)n2[n-]1 ZINC001365334046 950986171 /nfs/dbraw/zinc/98/61/71/950986171.db2.gz XDGHKUNSILYUEH-NSHDSACASA-N 0 2 304.354 0.001 20 0 DCADLN O=C(N[C@@H]1CCC[C@H]1CCO)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365379044 951044518 /nfs/dbraw/zinc/04/45/18/951044518.db2.gz MCYRIFCVMDCARA-QJPTWQEYSA-N 0 2 323.397 0.950 20 0 DCADLN CCN1CC[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001365657849 951395123 /nfs/dbraw/zinc/39/51/23/951395123.db2.gz DDMPCMURMHYAFG-VHSXEESVSA-N 0 2 322.369 0.020 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](C[C@H]3CCCOC3)CC2)c1[O-] ZINC001365695401 951445263 /nfs/dbraw/zinc/44/52/63/951445263.db2.gz YETHCPKEBUPIJZ-GFCCVEGCSA-N 0 2 308.382 0.608 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NCc1nnc(C(C)C)[nH]1 ZINC001365709434 951463902 /nfs/dbraw/zinc/46/39/02/951463902.db2.gz RPWQMLRNOCWPFG-UHFFFAOYSA-N 0 2 323.444 0.770 20 0 DCADLN CO[C@H](C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCc1c[nH+]cn1C ZINC001365804656 951560221 /nfs/dbraw/zinc/56/02/21/951560221.db2.gz MYOYKEVGPRLDPK-UKRRQHHQSA-N 0 2 308.426 0.920 20 0 DCADLN CO[C@@H](C)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCc1c[nH+]cn1C ZINC001365804660 951561176 /nfs/dbraw/zinc/56/11/76/951561176.db2.gz MYOYKEVGPRLDPK-ZFWWWQNUSA-N 0 2 308.426 0.920 20 0 DCADLN C/C=C(/C)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001281677167 951595762 /nfs/dbraw/zinc/59/57/62/951595762.db2.gz MCHUSDZDWRUAPV-DMRXWTOCSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(/C)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001281677167 951595771 /nfs/dbraw/zinc/59/57/71/951595771.db2.gz MCHUSDZDWRUAPV-DMRXWTOCSA-N 0 2 312.263 0.541 20 0 DCADLN COCCC(=O)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001446671713 952459087 /nfs/dbraw/zinc/45/90/87/952459087.db2.gz PIZICERTSVETRX-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN COCCC(=O)N1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001446671713 952459093 /nfs/dbraw/zinc/45/90/93/952459093.db2.gz PIZICERTSVETRX-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN C[C@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1cnccn1 ZINC001412042929 952613594 /nfs/dbraw/zinc/61/35/94/952613594.db2.gz WNCNHFFLIWSKCL-QMMMGPOBSA-N 0 2 300.278 0.166 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)Nc1nnn(C(C)C)n1 ZINC001412402546 952780975 /nfs/dbraw/zinc/78/09/75/952780975.db2.gz NQQULLKEBPVTAK-ZETCQYMHSA-N 0 2 322.291 0.896 20 0 DCADLN O=C(CCc1nn[n-]n1)N1CC[NH2+][C@H](Cc2ccc(F)cc2)C1 ZINC001412414762 952784630 /nfs/dbraw/zinc/78/46/30/952784630.db2.gz NSJIBPICOMZTBS-CYBMUJFWSA-N 0 2 318.356 0.315 20 0 DCADLN COC[C@@H]1CCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412458175 952814263 /nfs/dbraw/zinc/81/42/63/952814263.db2.gz XDIHYOKBWIYLDD-LBPRGKRZSA-N 0 2 318.333 0.517 20 0 DCADLN C[C@H](CN(C)C(=O)c1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001412493829 952842745 /nfs/dbraw/zinc/84/27/45/952842745.db2.gz PDNRWTYHSCRDFT-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1cncnc1)NC(=O)[C@H](F)C(F)(F)F ZINC001412493829 952842748 /nfs/dbraw/zinc/84/27/48/952842748.db2.gz PDNRWTYHSCRDFT-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@]1(CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCOC1 ZINC001412575650 952908928 /nfs/dbraw/zinc/90/89/28/952908928.db2.gz LWSBCOGVQBEDND-OAHLLOKOSA-N 0 2 318.333 0.423 20 0 DCADLN C[C@@](O)(CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1CC1 ZINC001412593403 952924425 /nfs/dbraw/zinc/92/44/25/952924425.db2.gz MEQZAFDYXWVZQI-OAHLLOKOSA-N 0 2 318.333 0.157 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001412668616 952979129 /nfs/dbraw/zinc/97/91/29/952979129.db2.gz WZKQXRNGYBLPDG-UHFFFAOYSA-N 0 2 308.342 0.215 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCc2ncncc2C1)c1nn(C)cc1O ZINC001412762916 953078644 /nfs/dbraw/zinc/07/86/44/953078644.db2.gz HVFMWLOKKSXNQS-VHSXEESVSA-N 0 2 301.350 0.898 20 0 DCADLN C[C@@H](NC(=O)c1ccc(S(C)(=O)=O)nc1)c1nn(C)cc1O ZINC001412773847 953084623 /nfs/dbraw/zinc/08/46/23/953084623.db2.gz VSLPLESOJGKZCT-MRVPVSSYSA-N 0 2 324.362 0.415 20 0 DCADLN O=C([N-]OCCC(F)(F)F)C(=O)NCCCn1cc[nH+]c1 ZINC001340158928 953149837 /nfs/dbraw/zinc/14/98/37/953149837.db2.gz VWIOIYIDDHQCIF-UHFFFAOYSA-N 0 2 308.260 0.390 20 0 DCADLN Cn1nnnc1CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001365933324 953426783 /nfs/dbraw/zinc/42/67/83/953426783.db2.gz CZVYPVBFZJRZHO-VXNVDRBHSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CCC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001365933324 953426794 /nfs/dbraw/zinc/42/67/94/953426794.db2.gz CZVYPVBFZJRZHO-VXNVDRBHSA-N 0 2 324.282 0.191 20 0 DCADLN C[C@H](NC(=O)C=Cc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001282683521 953645198 /nfs/dbraw/zinc/64/51/98/953645198.db2.gz XDRIIEVOCNIBDF-YEZKRMTDSA-N 0 2 317.349 0.753 20 0 DCADLN C[C@@H](NC(=O)C=Cc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001282683519 953646046 /nfs/dbraw/zinc/64/60/46/953646046.db2.gz XDRIIEVOCNIBDF-ORAHPGNNSA-N 0 2 317.349 0.753 20 0 DCADLN Cc1cnn(C)c1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001366124103 953714610 /nfs/dbraw/zinc/71/46/10/953714610.db2.gz JLKWNLPILJKWFV-SECBINFHSA-N 0 2 305.342 0.964 20 0 DCADLN CCc1nn(C[N@@H+]2CC[C@](COC)(C(=O)[O-])C2)c(=O)n1CC ZINC001593654455 953738242 /nfs/dbraw/zinc/73/82/42/953738242.db2.gz INRPAYYTMMAULP-AWEZNQCLSA-N 0 2 312.370 0.008 20 0 DCADLN CCc1nn(C[N@H+]2CC[C@](COC)(C(=O)[O-])C2)c(=O)n1CC ZINC001593654455 953738251 /nfs/dbraw/zinc/73/82/51/953738251.db2.gz INRPAYYTMMAULP-AWEZNQCLSA-N 0 2 312.370 0.008 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001283194183 954121122 /nfs/dbraw/zinc/12/11/22/954121122.db2.gz QCZFWIVEBASFJT-QMMMGPOBSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)[nH]n1 ZINC001283194183 954121126 /nfs/dbraw/zinc/12/11/26/954121126.db2.gz QCZFWIVEBASFJT-QMMMGPOBSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1nonc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001283274527 954209127 /nfs/dbraw/zinc/20/91/27/954209127.db2.gz YQTPEIHVPOIGNC-SSDOTTSWSA-N 0 2 312.223 0.515 20 0 DCADLN Cc1nonc1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001283274527 954209136 /nfs/dbraw/zinc/20/91/36/954209136.db2.gz YQTPEIHVPOIGNC-SSDOTTSWSA-N 0 2 312.223 0.515 20 0 DCADLN O=C([O-])C1(C(=O)N[C@H]2CCn3c[nH+]cc3C2)CCSCC1 ZINC001594856463 954240477 /nfs/dbraw/zinc/24/04/77/954240477.db2.gz NMDSEYFTHUTPMO-JTQLQIEISA-N 0 2 309.391 0.912 20 0 DCADLN CN(CC(=O)[O-])S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC001593723608 954265713 /nfs/dbraw/zinc/26/57/13/954265713.db2.gz WQGQMSZPVPFJPV-UHFFFAOYSA-N 0 2 309.347 0.637 20 0 DCADLN Cc1noc(CCC[N@@H+](C)CCNC(=O)Cc2[nH]c[nH+]c2C)n1 ZINC001366584523 954462613 /nfs/dbraw/zinc/46/26/13/954462613.db2.gz FDOOKZLVSROMFH-UHFFFAOYSA-N 0 2 320.397 0.633 20 0 DCADLN CO[C@@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001366588081 954470110 /nfs/dbraw/zinc/47/01/10/954470110.db2.gz SOFGCMWHAKHFSM-GFCCVEGCSA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001366588081 954470114 /nfs/dbraw/zinc/47/01/14/954470114.db2.gz SOFGCMWHAKHFSM-GFCCVEGCSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NC[C@H]2CCC[NH+]2Cc2cncn2C)C1 ZINC001366675985 954628640 /nfs/dbraw/zinc/62/86/40/954628640.db2.gz WWIYHSVIQRUXMG-HUUCEWRRSA-N 0 2 319.453 0.843 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC001594933224 954832720 /nfs/dbraw/zinc/83/27/20/954832720.db2.gz LYIADCWWWYXBTJ-ZDUSSCGKSA-N 0 2 321.377 0.367 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)C[N@@H+]1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC001593809172 954923015 /nfs/dbraw/zinc/92/30/15/954923015.db2.gz ZAYKKUXZXUKRJP-TUAOUCFPSA-N 0 2 314.382 0.628 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)C[N@H+]1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC001593809172 954923022 /nfs/dbraw/zinc/92/30/22/954923022.db2.gz ZAYKKUXZXUKRJP-TUAOUCFPSA-N 0 2 314.382 0.628 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc(C(=O)[O-])cc1 ZINC001593812220 954956711 /nfs/dbraw/zinc/95/67/11/954956711.db2.gz ZTXFXIADGZMRES-LBPRGKRZSA-N 0 2 317.301 0.553 20 0 DCADLN C[C@H]1CC[C@@H](CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001366954453 955110510 /nfs/dbraw/zinc/11/05/10/955110510.db2.gz TVDYOJJXIAIUNA-ONGXEEELSA-N 0 2 309.370 0.158 20 0 DCADLN CC[C@H](CNC(=O)NC[C@H](C)[NH+]1CCN(C)CC1)C(=O)[O-] ZINC001589535928 955161786 /nfs/dbraw/zinc/16/17/86/955161786.db2.gz XTYFOMIUZFVBKP-NWDGAFQWSA-N 0 2 300.403 0.032 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001366993634 955166795 /nfs/dbraw/zinc/16/67/95/955166795.db2.gz NSZGDCNQWMNYSU-USWWRNFRSA-N 0 2 321.381 0.111 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@@H+]1CCOC[C@@H]1CC)C(=O)[O-] ZINC001589538884 955186405 /nfs/dbraw/zinc/18/64/05/955186405.db2.gz UJUPXMFDDSPGMY-NEPJUHHUSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@H+]1CCOC[C@@H]1CC)C(=O)[O-] ZINC001589538884 955186411 /nfs/dbraw/zinc/18/64/11/955186411.db2.gz UJUPXMFDDSPGMY-NEPJUHHUSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C1)[NH+](C)C ZINC001367036423 955243471 /nfs/dbraw/zinc/24/34/71/955243471.db2.gz AECZAOHNBXGHEZ-UKRRQHHQSA-N 0 2 305.426 0.816 20 0 DCADLN CN(CCCNC(=O)c1ccn(C)n1)C(=O)C(F)C(F)(F)F ZINC001351509686 955318340 /nfs/dbraw/zinc/31/83/40/955318340.db2.gz KTWYWNQKJKFZLC-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)c1ccn(C)n1)C(=O)[C@H](F)C(F)(F)F ZINC001351509686 955318349 /nfs/dbraw/zinc/31/83/49/955318349.db2.gz KTWYWNQKJKFZLC-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCc2cc[nH+]c(N)c2C1 ZINC001594564091 955820731 /nfs/dbraw/zinc/82/07/31/955820731.db2.gz LKAKWEWTVLZINS-UHFFFAOYSA-N 0 2 301.306 0.294 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC001594572210 955902344 /nfs/dbraw/zinc/90/23/44/955902344.db2.gz YYBSQEXSUWXFIA-NSHDSACASA-N 0 2 307.272 0.865 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC001594572210 955902354 /nfs/dbraw/zinc/90/23/54/955902354.db2.gz YYBSQEXSUWXFIA-NSHDSACASA-N 0 2 307.272 0.865 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[NH+]1CCN(c2ccc(F)cn2)CC1 ZINC001594580899 955967517 /nfs/dbraw/zinc/96/75/17/955967517.db2.gz HZVQKGQWHRQHDC-UHFFFAOYSA-N 0 2 319.340 0.975 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001589101416 955999301 /nfs/dbraw/zinc/99/93/01/955999301.db2.gz HFXMHZFKGPIGIS-XQQFMLRXSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@H+]1C ZINC001589101416 955999304 /nfs/dbraw/zinc/99/93/04/955999304.db2.gz HFXMHZFKGPIGIS-XQQFMLRXSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@@H](NC(=O)Nc1cccnc1C(=O)[O-])[C@H]1CN(C)CC[N@@H+]1C ZINC001589108416 956045403 /nfs/dbraw/zinc/04/54/03/956045403.db2.gz JCGFIOCWUBTVPX-ZYHUDNBSSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@@H](NC(=O)Nc1cccnc1C(=O)[O-])[C@H]1CN(C)CC[N@H+]1C ZINC001589108416 956045415 /nfs/dbraw/zinc/04/54/15/956045415.db2.gz JCGFIOCWUBTVPX-ZYHUDNBSSA-N 0 2 321.381 0.536 20 0 DCADLN C/C(=C/C(=O)N[C@H](CC(=O)[O-])C(F)(F)F)C[NH+]1CCOCC1 ZINC001354071368 956273850 /nfs/dbraw/zinc/27/38/50/956273850.db2.gz KDHZYBXPEBCNMS-ABRRARGCSA-N 0 2 324.299 0.787 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001446964371 1013144862 /nfs/dbraw/zinc/14/48/62/1013144862.db2.gz DNKOWPJYFJUAPE-CBAPKCEASA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001446964371 1013144886 /nfs/dbraw/zinc/14/48/86/1013144886.db2.gz DNKOWPJYFJUAPE-CBAPKCEASA-N 0 2 314.279 0.765 20 0 DCADLN C/C(=C\C(=O)N[C@@H]1C[C@H](C(=O)[O-])[C@H]2C[C@H]21)C[NH+]1CCOCC1 ZINC001354180730 956304518 /nfs/dbraw/zinc/30/45/18/956304518.db2.gz GXLQPKQWRFLTRA-CRADNVBUSA-N 0 2 308.378 0.490 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1CC(=O)NCCCc1ccccc1 ZINC000388544201 972176185 /nfs/dbraw/zinc/17/61/85/972176185.db2.gz FUAKMEDRHPZXIJ-ZIAGYGMSSA-N 0 2 306.362 0.255 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)NCCCc1ccccc1 ZINC000388544201 972176192 /nfs/dbraw/zinc/17/61/92/972176192.db2.gz FUAKMEDRHPZXIJ-ZIAGYGMSSA-N 0 2 306.362 0.255 20 0 DCADLN COCCOCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001354304662 956343464 /nfs/dbraw/zinc/34/34/64/956343464.db2.gz IIKRVXPCGDXJBZ-APPZFPTMSA-N 0 2 318.267 0.171 20 0 DCADLN COCCOCC(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001354304662 956343472 /nfs/dbraw/zinc/34/34/72/956343472.db2.gz IIKRVXPCGDXJBZ-APPZFPTMSA-N 0 2 318.267 0.171 20 0 DCADLN NC(=O)c1ccc(C[NH+]2CCN(C(=O)CCC(=O)[O-])CC2)cc1 ZINC001594650971 956473976 /nfs/dbraw/zinc/47/39/76/956473976.db2.gz PJUWVRATJNIOAF-UHFFFAOYSA-N 0 2 319.361 0.295 20 0 DCADLN C[C@@H](c1ncccn1)[NH+]1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001589181652 956526921 /nfs/dbraw/zinc/52/69/21/956526921.db2.gz AUTCVFRTVUZMLH-NWDGAFQWSA-N 0 2 318.377 0.935 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2cccc3nsnc32)CCO1 ZINC001593984280 956746905 /nfs/dbraw/zinc/74/69/05/956746905.db2.gz PDKUMXAMWFRSGI-AWEZNQCLSA-N 0 2 323.374 0.993 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2cccc3nsnc32)CCO1 ZINC001593984280 956746911 /nfs/dbraw/zinc/74/69/11/956746911.db2.gz PDKUMXAMWFRSGI-AWEZNQCLSA-N 0 2 323.374 0.993 20 0 DCADLN CCCCOCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292598309 957057662 /nfs/dbraw/zinc/05/76/62/957057662.db2.gz HMXLKKLBUHRRII-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN CCCCOCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001292598309 957057671 /nfs/dbraw/zinc/05/76/71/957057671.db2.gz HMXLKKLBUHRRII-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1csnn1 ZINC001292844075 957170978 /nfs/dbraw/zinc/17/09/78/957170978.db2.gz NTDWYIYHEMXVGS-RXMQYKEDSA-N 0 2 300.237 0.285 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1csnn1 ZINC001292844075 957170984 /nfs/dbraw/zinc/17/09/84/957170984.db2.gz NTDWYIYHEMXVGS-RXMQYKEDSA-N 0 2 300.237 0.285 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001357388786 957266584 /nfs/dbraw/zinc/26/65/84/957266584.db2.gz RCCSPSCBDZGQFG-DTHNXCEOSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001357388786 957266592 /nfs/dbraw/zinc/26/65/92/957266592.db2.gz RCCSPSCBDZGQFG-DTHNXCEOSA-N 0 2 314.279 0.836 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NCCCCCC(=O)[O-] ZINC000049502855 957477494 /nfs/dbraw/zinc/47/74/94/957477494.db2.gz PYASMIKBAOPFRU-LBPRGKRZSA-N 0 2 301.387 0.651 20 0 DCADLN CCC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@H](OC)C1 ZINC001414028177 957685795 /nfs/dbraw/zinc/68/57/95/957685795.db2.gz FDKQNVNSCNHRMZ-BHNWBGBOSA-N 0 2 300.252 0.639 20 0 DCADLN CCC(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@H](OC)C1 ZINC001414028177 957685801 /nfs/dbraw/zinc/68/58/01/957685801.db2.gz FDKQNVNSCNHRMZ-BHNWBGBOSA-N 0 2 300.252 0.639 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)C(=O)NCCCC(=O)[O-] ZINC001337204307 957843044 /nfs/dbraw/zinc/84/30/44/957843044.db2.gz DIECZKMDORLCQF-ZDUSSCGKSA-N 0 2 319.361 0.270 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)C(=O)NCCCC(=O)[O-] ZINC001337204307 957843048 /nfs/dbraw/zinc/84/30/48/957843048.db2.gz DIECZKMDORLCQF-ZDUSSCGKSA-N 0 2 319.361 0.270 20 0 DCADLN C[C@@H](CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001367537284 957986713 /nfs/dbraw/zinc/98/67/13/957986713.db2.gz SQGLFDBSNQOYIJ-QMMMGPOBSA-N 0 2 323.319 0.989 20 0 DCADLN C[C@@H](CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001367537284 957986718 /nfs/dbraw/zinc/98/67/18/957986718.db2.gz SQGLFDBSNQOYIJ-QMMMGPOBSA-N 0 2 323.319 0.989 20 0 DCADLN Cc1occc(=O)c1OCC(=O)NCCc1n[nH]c(=S)o1 ZINC001296733150 958042734 /nfs/dbraw/zinc/04/27/34/958042734.db2.gz BOMDYRFJXSOWCA-UHFFFAOYSA-N 0 2 311.319 0.358 20 0 DCADLN Cc1cc2nccc(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)n2n1 ZINC001361572444 958098104 /nfs/dbraw/zinc/09/81/04/958098104.db2.gz XTMHXLXYQMIBQU-UHFFFAOYSA-N 0 2 324.304 0.061 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001361752475 958288337 /nfs/dbraw/zinc/28/83/37/958288337.db2.gz LQJSAWJOOSQVGC-RQJHMYQMSA-N 0 2 306.281 0.285 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001361752475 958288351 /nfs/dbraw/zinc/28/83/51/958288351.db2.gz LQJSAWJOOSQVGC-RQJHMYQMSA-N 0 2 306.281 0.285 20 0 DCADLN CC(C)C[C@@H](C(=O)N1CCO[C@@H](c2nn[nH]n2)C1)n1ccnc1 ZINC001361785087 958326641 /nfs/dbraw/zinc/32/66/41/958326641.db2.gz QXEPRVQBGINYTG-NWDGAFQWSA-N 0 2 319.369 0.584 20 0 DCADLN Cc1cccnc1CCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC001297820824 958348885 /nfs/dbraw/zinc/34/88/85/958348885.db2.gz MYZYKSGFBFHQAG-LLVKDONJSA-N 0 2 306.391 0.995 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CCCC1(F)F ZINC001361835964 958384948 /nfs/dbraw/zinc/38/49/48/958384948.db2.gz VFBUEVABPVZEBC-QMMMGPOBSA-N 0 2 311.292 0.623 20 0 DCADLN C[C@@H]1C[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H](C)O1 ZINC001361874737 958436578 /nfs/dbraw/zinc/43/65/78/958436578.db2.gz YTUNEOVCXQDDQP-BBBLOLIVSA-N 0 2 305.338 0.001 20 0 DCADLN Cc1ccc(N2CC[NH+]([C@H]3CCN(CC(=O)[O-])C3=O)CC2)cc1 ZINC001594368528 958513883 /nfs/dbraw/zinc/51/38/83/958513883.db2.gz ANFGNOWKQJGXMV-HNNXBMFYSA-N 0 2 317.389 0.803 20 0 DCADLN NS(=O)(=O)C[C@H]1CCN(C(=O)c2cccc(Cl)c2O)C1 ZINC001361954881 958537330 /nfs/dbraw/zinc/53/73/30/958537330.db2.gz ANUGCPUAYZCQGT-QMMMGPOBSA-N 0 2 318.782 0.796 20 0 DCADLN O=C(Cc1ccon1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001298322413 958546953 /nfs/dbraw/zinc/54/69/53/958546953.db2.gz YJLCSKOYHUEZRU-SYBPUXJVSA-N 0 2 323.246 0.906 20 0 DCADLN O=C(Cc1ccon1)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001298322413 958546961 /nfs/dbraw/zinc/54/69/61/958546961.db2.gz YJLCSKOYHUEZRU-SYBPUXJVSA-N 0 2 323.246 0.906 20 0 DCADLN CC(C)CO[C@H](C)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367931485 958892947 /nfs/dbraw/zinc/89/29/47/958892947.db2.gz MJUBPFUILBQNHM-GHMZBOCLSA-N 0 2 311.386 0.262 20 0 DCADLN CS(=O)(=O)CCN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001367941716 958918424 /nfs/dbraw/zinc/91/84/24/958918424.db2.gz VPGUEYFKAUNCGE-HTQZYQBOSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001367941716 958918435 /nfs/dbraw/zinc/91/84/35/958918435.db2.gz VPGUEYFKAUNCGE-HTQZYQBOSA-N 0 2 320.308 0.122 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)[C@]2(C(=O)[O-])CCCO2)CC1 ZINC001573550502 959234589 /nfs/dbraw/zinc/23/45/89/959234589.db2.gz ZPVVSEYPLRRXBO-HNNXBMFYSA-N 0 2 314.382 0.189 20 0 DCADLN CSCC(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001491836565 959284728 /nfs/dbraw/zinc/28/47/28/959284728.db2.gz HDOLORQASJVEPI-HTRCEHHLSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001491836566 959286620 /nfs/dbraw/zinc/28/66/20/959286620.db2.gz HDOLORQASJVEPI-SVRRBLITSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001491836566 959286627 /nfs/dbraw/zinc/28/66/27/959286627.db2.gz HDOLORQASJVEPI-SVRRBLITSA-N 0 2 302.293 0.967 20 0 DCADLN Cc1[nH]c([C@@H]2CCCN2[C@H]2CCN(CC(=O)[O-])C2=O)[nH+]c1C ZINC001594452077 959385619 /nfs/dbraw/zinc/38/56/19/959385619.db2.gz WLFWKMSXSWNOJF-RYUDHWBXSA-N 0 2 306.366 0.849 20 0 DCADLN CO[C@H](CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1CC1 ZINC001362478218 959433932 /nfs/dbraw/zinc/43/39/32/959433932.db2.gz JOYZGFCFRLIMTQ-GFCCVEGCSA-N 0 2 318.333 0.421 20 0 DCADLN Cc1noc([C@@H](C)n2cc(C[NH+]3CCC(C(=O)[O-])CC3)nn2)n1 ZINC001594496184 959761148 /nfs/dbraw/zinc/76/11/48/959761148.db2.gz TZWXIIBWUVVEGY-SECBINFHSA-N 0 2 320.353 0.875 20 0 DCADLN C[N@H+](CCNC(=O)CCCc1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001377240329 959766667 /nfs/dbraw/zinc/76/66/67/959766667.db2.gz NZSTVDTUMAOVKL-UHFFFAOYSA-N 0 2 318.381 0.476 20 0 DCADLN C[N@@H+](CCNC(=O)CCCc1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001377240329 959766678 /nfs/dbraw/zinc/76/66/78/959766678.db2.gz NZSTVDTUMAOVKL-UHFFFAOYSA-N 0 2 318.381 0.476 20 0 DCADLN CN(CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001377276073 959841752 /nfs/dbraw/zinc/84/17/52/959841752.db2.gz LRARNFCYYFMVNZ-UHFFFAOYSA-N 0 2 318.381 0.195 20 0 DCADLN CN(CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001377276073 959841759 /nfs/dbraw/zinc/84/17/59/959841759.db2.gz LRARNFCYYFMVNZ-UHFFFAOYSA-N 0 2 318.381 0.195 20 0 DCADLN Cc1csc(C(=O)[O-])c1S(=O)(=O)NCCn1cc[nH+]c1 ZINC000226471297 959882380 /nfs/dbraw/zinc/88/23/80/959882380.db2.gz WYUHZUXSNCBHAS-UHFFFAOYSA-N 0 2 315.376 0.930 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001492384714 959899248 /nfs/dbraw/zinc/89/92/48/959899248.db2.gz NBPSQFSBPIPSBB-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001492384714 959899255 /nfs/dbraw/zinc/89/92/55/959899255.db2.gz NBPSQFSBPIPSBB-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CC[N@H+](CCNC(=O)c1c[nH]cc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377351953 959967750 /nfs/dbraw/zinc/96/77/50/959967750.db2.gz QGDWOEQFJORNQU-UHFFFAOYSA-N 0 2 318.381 0.968 20 0 DCADLN CC[N@@H+](CCNC(=O)c1c[nH]cc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377351953 959967760 /nfs/dbraw/zinc/96/77/60/959967760.db2.gz QGDWOEQFJORNQU-UHFFFAOYSA-N 0 2 318.381 0.968 20 0 DCADLN Cc1nn(-c2ccccc2)cc1C(=O)Nn1ccc(=O)[nH]c1=O ZINC001301696850 960006898 /nfs/dbraw/zinc/00/68/98/960006898.db2.gz LFDBJGIGWROEBB-UHFFFAOYSA-N 0 2 311.301 0.827 20 0 DCADLN O=C(c1cc(F)cc2n[nH]nc21)N1CCC(c2nn[nH]n2)CC1 ZINC001302753408 960029895 /nfs/dbraw/zinc/02/98/95/960029895.db2.gz QLLQCDJTTXVELD-UHFFFAOYSA-N 0 2 316.300 0.630 20 0 DCADLN CCC1(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)COC1 ZINC001413826055 960081526 /nfs/dbraw/zinc/08/15/26/960081526.db2.gz PDPQTEJDOOCELV-JTQLQIEISA-N 0 2 309.370 0.826 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N[C@@H]1CC[NH+](Cc2cn(C)nn2)[C@@H](C)C1 ZINC001368696238 960165526 /nfs/dbraw/zinc/16/55/26/960165526.db2.gz NJMCGQJEQAMDTG-UONOGXRCSA-N 0 2 322.457 0.624 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@H](CO)c1ncc[nH]1 ZINC001362991354 960267606 /nfs/dbraw/zinc/26/76/06/960267606.db2.gz NBNYNJRKYWVDJU-ZCFIWIBFSA-N 0 2 308.260 0.016 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)C(F)(F)C1(O)CCCC1 ZINC001363035360 960325271 /nfs/dbraw/zinc/32/52/71/960325271.db2.gz CEQZGKPWGZTGHW-UHFFFAOYSA-N 0 2 303.265 0.444 20 0 DCADLN COC(=O)C[C@@H]1CCC[C@H]1NC(=O)C[C@H]1SC(=N)NC1=O ZINC001363081154 960382487 /nfs/dbraw/zinc/38/24/87/960382487.db2.gz WIPIRHFPQBZPSP-DJLDLDEBSA-N 0 2 313.379 0.391 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cccc2c1OCCO2 ZINC001363150387 960487494 /nfs/dbraw/zinc/48/74/94/960487494.db2.gz PBKHYQNEFNDXIW-UHFFFAOYSA-N 0 2 303.274 0.589 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cccc2c1OCCO2 ZINC001363150387 960487513 /nfs/dbraw/zinc/48/75/13/960487513.db2.gz PBKHYQNEFNDXIW-UHFFFAOYSA-N 0 2 303.274 0.589 20 0 DCADLN CCOCc1nc(C)c(C(=O)Nn2ccc(=O)[nH]c2=O)s1 ZINC001363171695 960531762 /nfs/dbraw/zinc/53/17/62/960531762.db2.gz LINGWFUZUUIOLO-UHFFFAOYSA-N 0 2 310.335 0.634 20 0 DCADLN CN(Cc1noc(C2CC2)n1)C(=O)C[C@H]1SC(=N)NC1=O ZINC001363312709 960867246 /nfs/dbraw/zinc/86/72/46/960867246.db2.gz RFSFLRPFHVSBGH-SSDOTTSWSA-N 0 2 309.351 0.462 20 0 DCADLN CCn1ncc(C)c1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001363479998 961243885 /nfs/dbraw/zinc/24/38/85/961243885.db2.gz VPMIIEKOZXPUDJ-UHFFFAOYSA-N 0 2 324.362 0.817 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cnc(OCC2CC2)cn1 ZINC001363482923 961246678 /nfs/dbraw/zinc/24/66/78/961246678.db2.gz DOUCQUOECIZOMH-UHFFFAOYSA-N 0 2 317.305 0.397 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cnc(OCC2CC2)cn1 ZINC001363482923 961246699 /nfs/dbraw/zinc/24/66/99/961246699.db2.gz DOUCQUOECIZOMH-UHFFFAOYSA-N 0 2 317.305 0.397 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1coc2c1C(=O)CCC2 ZINC001363483930 961250814 /nfs/dbraw/zinc/25/08/14/961250814.db2.gz GYFRIFXWCVWORE-UHFFFAOYSA-N 0 2 303.274 0.930 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1coc2c1C(=O)CCC2 ZINC001363483930 961250830 /nfs/dbraw/zinc/25/08/30/961250830.db2.gz GYFRIFXWCVWORE-UHFFFAOYSA-N 0 2 303.274 0.930 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)C(F)(F)C1CCOCC1 ZINC001363485769 961257562 /nfs/dbraw/zinc/25/75/62/961257562.db2.gz NKBMNSPIPJINDN-UHFFFAOYSA-N 0 2 303.265 0.566 20 0 DCADLN CC(C)(CNS(=O)(=O)c1cc(C(=O)[O-])co1)n1cc[nH+]c1 ZINC001571118924 961419586 /nfs/dbraw/zinc/41/95/86/961419586.db2.gz MVWXWUMJMLKOME-UHFFFAOYSA-N 0 2 313.335 0.888 20 0 DCADLN C[C@H](C[NH2+]Cc1csnn1)N(C)C(=O)[C@@H]1CCCC[N@H+]1C ZINC001378781517 961468213 /nfs/dbraw/zinc/46/82/13/961468213.db2.gz BZDWLVAPNKIJOB-YPMHNXCESA-N 0 2 311.455 0.959 20 0 DCADLN C[C@H]1COC[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363574956 961474997 /nfs/dbraw/zinc/47/49/97/961474997.db2.gz FEKDEBWJCATRTR-KWQFWETISA-N 0 2 304.306 0.031 20 0 DCADLN O=C(NC1CN(C(=O)c2n[nH]cc2F)C1)C(F)C(F)(F)F ZINC001430223187 1013261315 /nfs/dbraw/zinc/26/13/15/1013261315.db2.gz TUKIZDMQKPOSAF-ZETCQYMHSA-N 0 2 312.198 0.390 20 0 DCADLN O=C(NC1CN(C(=O)c2n[nH]cc2F)C1)[C@H](F)C(F)(F)F ZINC001430223187 1013261327 /nfs/dbraw/zinc/26/13/27/1013261327.db2.gz TUKIZDMQKPOSAF-ZETCQYMHSA-N 0 2 312.198 0.390 20 0 DCADLN CCn1cnc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)c1 ZINC001363618018 961555880 /nfs/dbraw/zinc/55/58/80/961555880.db2.gz BAVBOIMACWBGPZ-UHFFFAOYSA-N 0 2 305.338 0.727 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1)C(=O)[O-] ZINC001571133245 961584847 /nfs/dbraw/zinc/58/48/47/961584847.db2.gz MGOMTAHYYWKKCH-QKCSRTOESA-N 0 2 317.345 0.882 20 0 DCADLN C[C@H]1CC2(CC(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)C2)CO1 ZINC001363675232 961676157 /nfs/dbraw/zinc/67/61/57/961676157.db2.gz ZFNPQCFJKHRTCQ-YLTRJXTNSA-N 0 2 317.349 0.145 20 0 DCADLN COCCCNC(=O)C[N@H+](C)Cc1ccc(/C=C/C(=O)[O-])o1 ZINC001334026830 961752724 /nfs/dbraw/zinc/75/27/24/961752724.db2.gz RRGOTZDRUUSGAG-VOTSOKGWSA-N 0 2 310.350 0.962 20 0 DCADLN COCCCNC(=O)C[N@@H+](C)Cc1ccc(/C=C/C(=O)[O-])o1 ZINC001334026830 961752731 /nfs/dbraw/zinc/75/27/31/961752731.db2.gz RRGOTZDRUUSGAG-VOTSOKGWSA-N 0 2 310.350 0.962 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC[C@H]2C[C@H]21 ZINC001363753643 961811915 /nfs/dbraw/zinc/81/19/15/961811915.db2.gz IYUUYYCLQVVYMX-CMPLNLGQSA-N 0 2 300.318 0.891 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001571157808 961884675 /nfs/dbraw/zinc/88/46/75/961884675.db2.gz CIEIRIGFLYDZQS-HOSYDEDBSA-N 0 2 323.349 0.501 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001571157808 961884698 /nfs/dbraw/zinc/88/46/98/961884698.db2.gz CIEIRIGFLYDZQS-HOSYDEDBSA-N 0 2 323.349 0.501 20 0 DCADLN COCC(=O)N[C@H](C(=O)N[C@@H](C)c1nn(C)cc1O)C(C)C ZINC001363850301 962017388 /nfs/dbraw/zinc/01/73/88/962017388.db2.gz LHVYFIFJALQOGJ-CABZTGNLSA-N 0 2 312.370 0.090 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@H]1CC(C(=O)[O-])=NO1 ZINC001574031908 962035980 /nfs/dbraw/zinc/03/59/80/962035980.db2.gz MROYGFJWQDXDBB-CHWSQXEVSA-N 0 2 303.318 0.519 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@H]1CC(C(=O)[O-])=NO1 ZINC001574031908 962035998 /nfs/dbraw/zinc/03/59/98/962035998.db2.gz MROYGFJWQDXDBB-CHWSQXEVSA-N 0 2 303.318 0.519 20 0 DCADLN CCn1cccc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379795417 962046194 /nfs/dbraw/zinc/04/61/94/962046194.db2.gz YGSLPNKJKKICJE-SNVBAGLBSA-N 0 2 306.370 0.582 20 0 DCADLN CCn1cccc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379795417 962046210 /nfs/dbraw/zinc/04/62/10/962046210.db2.gz YGSLPNKJKKICJE-SNVBAGLBSA-N 0 2 306.370 0.582 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001364057044 962385404 /nfs/dbraw/zinc/38/54/04/962385404.db2.gz ZJGFODPBZORLKS-HUUCEWRRSA-N 0 2 323.437 0.757 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)Nc2cccnc2)[nH]1 ZINC001364078491 962427999 /nfs/dbraw/zinc/42/79/99/962427999.db2.gz MAJAXSSXHICDKP-UHFFFAOYSA-N 0 2 310.339 0.066 20 0 DCADLN O=C(c1cc(F)cc(Cl)c1O)N1CCS(=O)(=O)CCO1 ZINC001364132658 962530897 /nfs/dbraw/zinc/53/08/97/962530897.db2.gz RTRDANVBLWZUSH-UHFFFAOYSA-N 0 2 323.729 0.987 20 0 DCADLN COC(=O)c1cc(CNC(=O)C[C@@H]2SC(=N)NC2=O)ccn1 ZINC001364226366 962708281 /nfs/dbraw/zinc/70/82/81/962708281.db2.gz NXZDLMPJSKOCGH-VIFPVBQESA-N 0 2 322.346 0.041 20 0 DCADLN COCc1nnc(CNC(=O)NCc2ncc(C)cc2C)[nH]1 ZINC001364306843 962868077 /nfs/dbraw/zinc/86/80/77/962868077.db2.gz SGNWULBYFJECLJ-UHFFFAOYSA-N 0 2 304.354 0.962 20 0 DCADLN CCC[C@@H](OCC)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380233867 962901300 /nfs/dbraw/zinc/90/13/00/962901300.db2.gz AJHBXHBHPSTLJW-LLVKDONJSA-N 0 2 311.386 0.358 20 0 DCADLN CN(C(=O)CC[C@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380246288 962916403 /nfs/dbraw/zinc/91/64/03/962916403.db2.gz WIVDMTILNZBOQJ-GFCCVEGCSA-N 0 2 323.397 0.502 20 0 DCADLN Cn1nnc(CN2CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001380347922 963078546 /nfs/dbraw/zinc/07/85/46/963078546.db2.gz HJQDTEYMNCAVHJ-PSASIEDQSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CC[C@@](C)(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001380347922 963078561 /nfs/dbraw/zinc/07/85/61/963078561.db2.gz HJQDTEYMNCAVHJ-PSASIEDQSA-N 0 2 324.282 0.191 20 0 DCADLN C[C@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2cn[nH]n2)C1 ZINC001379700660 963401060 /nfs/dbraw/zinc/40/10/60/963401060.db2.gz YODLXQSOMIFBNA-XVKPBYJWSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@]1(NC(=O)[C@H](F)C(F)(F)F)CCN(C(=O)c2cn[nH]n2)C1 ZINC001379700660 963401066 /nfs/dbraw/zinc/40/10/66/963401066.db2.gz YODLXQSOMIFBNA-XVKPBYJWSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314187314 963723220 /nfs/dbraw/zinc/72/32/20/963723220.db2.gz YBCNZHKYHFJHKI-ZWNOBZJWSA-N 0 2 303.318 0.989 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314187314 963723226 /nfs/dbraw/zinc/72/32/26/963723226.db2.gz YBCNZHKYHFJHKI-ZWNOBZJWSA-N 0 2 303.318 0.989 20 0 DCADLN CCC(=O)NC[C@H]1Cc2ccccc2CN1Cc1n[nH]c(=O)[nH]1 ZINC001381024256 963770848 /nfs/dbraw/zinc/77/08/48/963770848.db2.gz XDKQAQLLUFWOIA-CYBMUJFWSA-N 0 2 315.377 0.963 20 0 DCADLN Cn1ncc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001373628002 964386397 /nfs/dbraw/zinc/38/63/97/964386397.db2.gz MKKGDKCZKPRPCL-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncc(CN2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001373628002 964386405 /nfs/dbraw/zinc/38/64/05/964386405.db2.gz MKKGDKCZKPRPCL-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)C(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369090083 964393704 /nfs/dbraw/zinc/39/37/04/964393704.db2.gz QJGAGXHQOOGAJE-SSDOTTSWSA-N 0 2 317.340 0.738 20 0 DCADLN CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+]Cc1csnn1 ZINC001373744195 964562428 /nfs/dbraw/zinc/56/24/28/964562428.db2.gz UCNYRCGBJMDQGJ-QMMMGPOBSA-N 0 2 310.383 0.573 20 0 DCADLN CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+]Cc1csnn1 ZINC001373744193 964563710 /nfs/dbraw/zinc/56/37/10/964563710.db2.gz UCNYRCGBJMDQGJ-MRVPVSSYSA-N 0 2 310.383 0.573 20 0 DCADLN Cn1ncc(CN2CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001375829802 964882811 /nfs/dbraw/zinc/88/28/11/964882811.db2.gz ZDLILXPAOMDEPX-GXSJLCMTSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CC[C@@](C)(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001375829802 964882820 /nfs/dbraw/zinc/88/28/20/964882820.db2.gz ZDLILXPAOMDEPX-GXSJLCMTSA-N 0 2 323.294 0.796 20 0 DCADLN COCC1(C(=O)N[C@H]2CCC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C)CC1 ZINC001369423645 964951967 /nfs/dbraw/zinc/95/19/67/964951967.db2.gz GYOGZEMBDDYJJT-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN COCC1(C(=O)N[C@H]2CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C)CC1 ZINC001369423645 964951978 /nfs/dbraw/zinc/95/19/78/964951978.db2.gz GYOGZEMBDDYJJT-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H](F)C[N@H+](C)C[C@@H](O)CN(C)C(=O)c1cnc(C)[nH]1 ZINC001369499782 965092262 /nfs/dbraw/zinc/09/22/62/965092262.db2.gz RVCANQATQIAJER-VXGBXAGGSA-N 0 2 300.378 0.831 20 0 DCADLN CN(C[C@@H](O)C[N@H+](C)C/C=C/Cl)C(=O)CCc1nc[nH]n1 ZINC001369498819 965092490 /nfs/dbraw/zinc/09/24/90/965092490.db2.gz WAPMAWMEBMKFEC-GQOHGMTASA-N 0 2 315.805 0.241 20 0 DCADLN CCC(C)(C)C(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001369916050 965559777 /nfs/dbraw/zinc/55/97/77/965559777.db2.gz OSYRVLQXDLUIHN-UHFFFAOYSA-N 0 2 324.429 0.180 20 0 DCADLN CC[C@H](OC)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001374607624 965631096 /nfs/dbraw/zinc/63/10/96/965631096.db2.gz FNSRTZKCGDTEHY-JGVFFNPUSA-N 0 2 300.252 0.639 20 0 DCADLN CC[C@H](OC)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374607624 965631102 /nfs/dbraw/zinc/63/11/02/965631102.db2.gz FNSRTZKCGDTEHY-JGVFFNPUSA-N 0 2 300.252 0.639 20 0 DCADLN CCc1nnc([C@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001376717077 966053592 /nfs/dbraw/zinc/05/35/92/966053592.db2.gz WWRMRIXUNRIULX-CBAPKCEASA-N 0 2 321.385 0.573 20 0 DCADLN Cc1noc([C@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001376719324 966057709 /nfs/dbraw/zinc/05/77/09/966057709.db2.gz ZXMVUAWWSHDFFV-SVRRBLITSA-N 0 2 308.342 0.584 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@H]1CCOC1 ZINC001375027501 966255232 /nfs/dbraw/zinc/25/52/32/966255232.db2.gz WZIIUCPVQNNAGT-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@H]1CCOC1 ZINC001375027501 966255243 /nfs/dbraw/zinc/25/52/43/966255243.db2.gz WZIIUCPVQNNAGT-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001381489274 966454281 /nfs/dbraw/zinc/45/42/81/966454281.db2.gz AJZBNUOQBWWUSR-ATRFCDNQSA-N 0 2 323.250 0.330 20 0 DCADLN CCc1noc([C@@H](C)N(C)[C@@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001381537951 966514165 /nfs/dbraw/zinc/51/41/65/966514165.db2.gz CNHXHEPWPPRGAV-DTWKUNHWSA-N 0 2 307.358 0.561 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001447980340 1013680901 /nfs/dbraw/zinc/68/09/01/1013680901.db2.gz RMJWXGJGPYGRFP-HZGVNTEJSA-N 0 2 310.251 0.474 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001382053647 966893086 /nfs/dbraw/zinc/89/30/86/966893086.db2.gz HFWQINLWWDRGGZ-CBAPKCEASA-N 0 2 321.385 0.662 20 0 DCADLN C[N@H+](CCNC(=O)C[C@@H]1CC[C@H](C2CC2)O1)Cc1n[nH]c(=O)[n-]1 ZINC001372052083 967604892 /nfs/dbraw/zinc/60/48/92/967604892.db2.gz RNKGTLXMIHZOBX-NWDGAFQWSA-N 0 2 323.397 0.406 20 0 DCADLN C[N@@H+](CCNC(=O)C[C@@H]1CC[C@H](C2CC2)O1)Cc1n[nH]c(=O)[n-]1 ZINC001372052083 967604898 /nfs/dbraw/zinc/60/48/98/967604898.db2.gz RNKGTLXMIHZOBX-NWDGAFQWSA-N 0 2 323.397 0.406 20 0 DCADLN CC[NH+](CCNC(=O)[C@@H]1CCCC[N@@H+]1C(C)C)CC(=O)NC ZINC001372421132 967978043 /nfs/dbraw/zinc/97/80/43/967978043.db2.gz ATBUBROIDPLHHS-AWEZNQCLSA-N 0 2 312.458 0.433 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1C[NH+](CC)CCO1)Cc1cnn(C)c1 ZINC001372476774 968017703 /nfs/dbraw/zinc/01/77/03/968017703.db2.gz RZPGMUDUMRLNFH-OAHLLOKOSA-N 0 2 323.441 0.079 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C1(C(F)F)CC1 ZINC001372508171 968062033 /nfs/dbraw/zinc/06/20/33/968062033.db2.gz FLSYQNJXNOLNLX-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1(C(F)F)CC1 ZINC001372508171 968062043 /nfs/dbraw/zinc/06/20/43/968062043.db2.gz FLSYQNJXNOLNLX-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1(C(F)F)CC1 ZINC001372508171 968062054 /nfs/dbraw/zinc/06/20/54/968062054.db2.gz FLSYQNJXNOLNLX-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN CCc1noc(C)c1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448148013 1013789167 /nfs/dbraw/zinc/78/91/67/1013789167.db2.gz NEPVEYOXWRUSNC-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1noc(C)c1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448148013 1013789173 /nfs/dbraw/zinc/78/91/73/1013789173.db2.gz NEPVEYOXWRUSNC-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN Cn1nnc(CN2CCC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001373391324 969030885 /nfs/dbraw/zinc/03/08/85/969030885.db2.gz GIEJQYHRLWEAHO-IONNQARKSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CCC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001373391324 969030893 /nfs/dbraw/zinc/03/08/93/969030893.db2.gz GIEJQYHRLWEAHO-IONNQARKSA-N 0 2 324.282 0.191 20 0 DCADLN CC1CCC(OCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001373485199 969145265 /nfs/dbraw/zinc/14/52/65/969145265.db2.gz URFZKNVUQGWLAG-UHFFFAOYSA-N 0 2 323.397 0.406 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cccc(=O)[nH]1 ZINC001431302574 1013987420 /nfs/dbraw/zinc/98/74/20/1013987420.db2.gz KAKVWURUVLKWTH-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cccc(=O)[nH]1 ZINC001431302574 1013987424 /nfs/dbraw/zinc/98/74/24/1013987424.db2.gz KAKVWURUVLKWTH-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CC(=O)NCc1nc(CNC(=O)C(F)C(F)(F)F)cc(=O)[nH]1 ZINC001515366321 1017120537 /nfs/dbraw/zinc/12/05/37/1017120537.db2.gz UUSKRTBHQFYTDD-VIFPVBQESA-N 0 2 324.234 0.335 20 0 DCADLN CC(=O)NCc1nc(CNC(=O)[C@H](F)C(F)(F)F)cc(=O)[nH]1 ZINC001515366321 1017120553 /nfs/dbraw/zinc/12/05/53/1017120553.db2.gz UUSKRTBHQFYTDD-VIFPVBQESA-N 0 2 324.234 0.335 20 0 DCADLN Cc1ccc2nc(C[N@@H+]3C[C@H](O)C[C@@H]3C(=O)[O-])cc(=O)n2c1 ZINC000318375519 970429521 /nfs/dbraw/zinc/42/95/21/970429521.db2.gz BGDHGJGUZLCNJG-VXGBXAGGSA-N 0 2 303.318 0.023 20 0 DCADLN Cc1ccc2nc(C[N@H+]3C[C@H](O)C[C@@H]3C(=O)[O-])cc(=O)n2c1 ZINC000318375519 970429532 /nfs/dbraw/zinc/42/95/32/970429532.db2.gz BGDHGJGUZLCNJG-VXGBXAGGSA-N 0 2 303.318 0.023 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]n1 ZINC001431345988 1014009380 /nfs/dbraw/zinc/00/93/80/1014009380.db2.gz XVHOPEBFFPQPQN-QMMMGPOBSA-N 0 2 310.251 0.841 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ccn[nH]1 ZINC001431345988 1014009387 /nfs/dbraw/zinc/00/93/87/1014009387.db2.gz XVHOPEBFFPQPQN-QMMMGPOBSA-N 0 2 310.251 0.841 20 0 DCADLN C[C@@H](CN(C)C(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C(=O)[O-] ZINC001609887764 970582557 /nfs/dbraw/zinc/58/25/57/970582557.db2.gz UXCKUPBIIDLWEM-JTQLQIEISA-N 0 2 322.365 0.295 20 0 DCADLN COC[C@](C)([NH2+]CC(=O)Nc1cc(C(C)(C)C)no1)C(=O)[O-] ZINC000392914109 972542142 /nfs/dbraw/zinc/54/21/42/972542142.db2.gz ZGOZKAFSKPBQQT-AWEZNQCLSA-N 0 2 313.354 0.990 20 0 DCADLN C[C@@H]1CCC[C@H](C(=O)[O-])[N@@H+]1CC(=O)NCC(=O)NC(C)(C)C ZINC001604999630 972599637 /nfs/dbraw/zinc/59/96/37/972599637.db2.gz RXEMIDFGJHBXOK-GHMZBOCLSA-N 0 2 313.398 0.345 20 0 DCADLN C[C@@H]1CCC[C@H](C(=O)[O-])[N@H+]1CC(=O)NCC(=O)NC(C)(C)C ZINC001604999630 972599646 /nfs/dbraw/zinc/59/96/46/972599646.db2.gz RXEMIDFGJHBXOK-GHMZBOCLSA-N 0 2 313.398 0.345 20 0 DCADLN O=C([O-])C1(C[NH2+][C@H](c2nnc[nH]2)c2cccnc2)CCOCC1 ZINC001605950708 972910021 /nfs/dbraw/zinc/91/00/21/972910021.db2.gz MFLMFUKLAGWJNK-LBPRGKRZSA-N 0 2 317.349 0.760 20 0 DCADLN C[C@H]1CC[C@H](C(=O)[O-])C[N@@H+]1Cn1nc(CO)n(C)c1=S ZINC001603392465 973019156 /nfs/dbraw/zinc/01/91/56/973019156.db2.gz TWMQGRHLVKEQRM-IUCAKERBSA-N 0 2 300.384 0.586 20 0 DCADLN C[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cn1nc(CO)n(C)c1=S ZINC001603392465 973019164 /nfs/dbraw/zinc/01/91/64/973019164.db2.gz TWMQGRHLVKEQRM-IUCAKERBSA-N 0 2 300.384 0.586 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NCCc1c[nH+]cn1C ZINC000401717344 973379567 /nfs/dbraw/zinc/37/95/67/973379567.db2.gz LVCRNPMHPISYEZ-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH2+][C@@H](CO)c2ccc(F)cc2F)C1=O ZINC001606244175 973527054 /nfs/dbraw/zinc/52/70/54/973527054.db2.gz ZJYJEOIKHJBAPR-RYUDHWBXSA-N 0 2 314.288 0.273 20 0 DCADLN O=C([O-])Cn1cc(C(=O)N2CCC(n3cc[nH+]c3)CC2)nn1 ZINC001606326513 973754553 /nfs/dbraw/zinc/75/45/53/973754553.db2.gz QRKJJMZQZMUJEA-UHFFFAOYSA-N 0 2 304.310 0.037 20 0 DCADLN O=C([O-])Cn1cc(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)cn1 ZINC001606339291 973770258 /nfs/dbraw/zinc/77/02/58/973770258.db2.gz HAXLVJHSYICCLG-UHFFFAOYSA-N 0 2 312.289 0.801 20 0 DCADLN CN(CCNC(=O)COCC(F)F)C(=O)C(F)C(F)(F)F ZINC001449040082 1014152380 /nfs/dbraw/zinc/15/23/80/1014152380.db2.gz WKUONQDDCUJBHS-MRVPVSSYSA-N 0 2 324.221 0.743 20 0 DCADLN CN(CCNC(=O)COCC(F)F)C(=O)[C@@H](F)C(F)(F)F ZINC001449040082 1014152386 /nfs/dbraw/zinc/15/23/86/1014152386.db2.gz WKUONQDDCUJBHS-MRVPVSSYSA-N 0 2 324.221 0.743 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001522526708 1014163627 /nfs/dbraw/zinc/16/36/27/1014163627.db2.gz XOQROHNLSLQBSO-SFYZADRCSA-N 0 2 310.251 0.577 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1cccn1 ZINC001522526708 1014163630 /nfs/dbraw/zinc/16/36/30/1014163630.db2.gz XOQROHNLSLQBSO-SFYZADRCSA-N 0 2 310.251 0.577 20 0 DCADLN CCc1nc(C(=O)NCCNC(=O)C(F)C(F)(F)F)co1 ZINC001522598938 1014230125 /nfs/dbraw/zinc/23/01/25/1014230125.db2.gz ZUGFVZYMDDGMNY-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1nc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)co1 ZINC001522598938 1014230134 /nfs/dbraw/zinc/23/01/34/1014230134.db2.gz ZUGFVZYMDDGMNY-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nnc[nH]2)C[C@H]1O)C(F)C(F)(F)F ZINC001549517532 1014282590 /nfs/dbraw/zinc/28/25/90/1014282590.db2.gz DIIUQZCGZLIWQA-RULNZFCNSA-N 0 2 310.251 0.676 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nc[nH]n2)C[C@@H]1O)c1cncc(O)c1 ZINC001549519432 1014288191 /nfs/dbraw/zinc/28/81/91/1014288191.db2.gz KOWPFUUOIWXZOI-AUTRQRHGSA-N 0 2 303.322 0.190 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cn2c(n1)CCC2 ZINC001522673367 1014290895 /nfs/dbraw/zinc/29/08/95/1014290895.db2.gz XEWKVGFIKLBZES-SECBINFHSA-N 0 2 322.262 0.576 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cn2c(n1)CCC2 ZINC001522673367 1014290900 /nfs/dbraw/zinc/29/09/00/1014290900.db2.gz XEWKVGFIKLBZES-SECBINFHSA-N 0 2 322.262 0.576 20 0 DCADLN O=C(NCCNC(=O)C1(F)CCOCC1)C(F)C(F)(F)F ZINC001522685723 1014298823 /nfs/dbraw/zinc/29/88/23/1014298823.db2.gz CYCVEVRFMVYZET-ZETCQYMHSA-N 0 2 318.242 0.638 20 0 DCADLN O=C(NCCNC(=O)C1(F)CCOCC1)[C@H](F)C(F)(F)F ZINC001522685723 1014298831 /nfs/dbraw/zinc/29/88/31/1014298831.db2.gz CYCVEVRFMVYZET-ZETCQYMHSA-N 0 2 318.242 0.638 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001522689305 1014300701 /nfs/dbraw/zinc/30/07/01/1014300701.db2.gz TXTRESPYLLKWEK-QMMMGPOBSA-N 0 2 310.251 0.773 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001522689305 1014300713 /nfs/dbraw/zinc/30/07/13/1014300713.db2.gz TXTRESPYLLKWEK-QMMMGPOBSA-N 0 2 310.251 0.773 20 0 DCADLN CC(C)c1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@H]3C[C@H]3C(=O)[O-])C2)no1 ZINC001592019996 976708515 /nfs/dbraw/zinc/70/85/15/976708515.db2.gz NHFOZYFOABIJFQ-XWLWVQCSSA-N 0 2 322.365 0.651 20 0 DCADLN CC(C)c1nc(C[NH2+]CCCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])no1 ZINC001592020300 976711976 /nfs/dbraw/zinc/71/19/76/976711976.db2.gz WDMGWXQTKTWAAO-NXEZZACHSA-N 0 2 310.354 0.510 20 0 DCADLN C[C@@H](C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001522846716 1014421297 /nfs/dbraw/zinc/42/12/97/1014421297.db2.gz LAVYFWDBBRTHLY-DTWKUNHWSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@@H](C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)n1cccn1 ZINC001522846716 1014421302 /nfs/dbraw/zinc/42/13/02/1014421302.db2.gz LAVYFWDBBRTHLY-DTWKUNHWSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@@H](C[N@H+](Cc1nnnn1CC(F)(F)F)C1CC1)C(=O)[O-] ZINC001592610404 978562413 /nfs/dbraw/zinc/56/24/13/978562413.db2.gz YICZUKBZPXAQNE-ZETCQYMHSA-N 0 2 307.276 0.921 20 0 DCADLN C[C@@H](C[N@@H+](Cc1nnnn1CC(F)(F)F)C1CC1)C(=O)[O-] ZINC001592610404 978562416 /nfs/dbraw/zinc/56/24/16/978562416.db2.gz YICZUKBZPXAQNE-ZETCQYMHSA-N 0 2 307.276 0.921 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC001592624606 978599986 /nfs/dbraw/zinc/59/99/86/978599986.db2.gz QUUFQNGXHJOINU-AAEUAGOBSA-N 0 2 313.398 0.507 20 0 DCADLN CCC(CC)[C@@H](C(=O)NC[C@@H](O)CC(=O)[O-])[NH+]1CCOCC1 ZINC001595105637 979425281 /nfs/dbraw/zinc/42/52/81/979425281.db2.gz PEERQAASQBZUJI-JSGCOSHPSA-N 0 2 316.398 0.075 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C(=O)[O-])nn1 ZINC001595131073 979547574 /nfs/dbraw/zinc/54/75/74/979547574.db2.gz JDMGYTXWZGBDSN-JTQLQIEISA-N 0 2 323.353 0.407 20 0 DCADLN C[C@@H](NC(=O)N(C)[C@H]1C[C@@H](C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC001593049910 980340045 /nfs/dbraw/zinc/34/00/45/980340045.db2.gz BNSPRVHZMJWJKR-XQHKEYJVSA-N 0 2 313.398 0.600 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N(C)[C@H]1C[C@@H](C(=O)[O-])C1)[NH+]1CCOCC1 ZINC001593049909 980340183 /nfs/dbraw/zinc/34/01/83/980340183.db2.gz BNSPRVHZMJWJKR-QNWHQSFQSA-N 0 2 313.398 0.600 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001523438239 1014705442 /nfs/dbraw/zinc/70/54/42/1014705442.db2.gz PCOPIECATLPURQ-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001523438239 1014705448 /nfs/dbraw/zinc/70/54/48/1014705448.db2.gz PCOPIECATLPURQ-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN CC[C@@H](C(=O)N[C@H]1CCO[C@H]1C(=O)[O-])[N@@H+]1CCO[C@@H](CC)C1 ZINC001595484572 981222781 /nfs/dbraw/zinc/22/27/81/981222781.db2.gz SSEMHOPGWFFUAX-ZDEQEGDKSA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@@H](C(=O)N[C@H]1CCO[C@H]1C(=O)[O-])[N@H+]1CCO[C@@H](CC)C1 ZINC001595484572 981222784 /nfs/dbraw/zinc/22/27/84/981222784.db2.gz SSEMHOPGWFFUAX-ZDEQEGDKSA-N 0 2 314.382 0.234 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N[C@H](C)CCCCO ZINC001450000713 1014838148 /nfs/dbraw/zinc/83/81/48/1014838148.db2.gz HZILCFQETRLRAV-SNVBAGLBSA-N 0 2 306.366 0.541 20 0 DCADLN CCN(CC)C(=O)C[N@@H+]1C[C@H](C(=O)OC)CC[C@@H]1C(=O)[O-] ZINC001596247881 983649313 /nfs/dbraw/zinc/64/93/13/983649313.db2.gz WOVWXYOTLICYJR-GHMZBOCLSA-N 0 2 300.355 0.193 20 0 DCADLN CCN(CC)C(=O)C[N@H+]1C[C@H](C(=O)OC)CC[C@@H]1C(=O)[O-] ZINC001596247881 983649315 /nfs/dbraw/zinc/64/93/15/983649315.db2.gz WOVWXYOTLICYJR-GHMZBOCLSA-N 0 2 300.355 0.193 20 0 DCADLN CC[N@H+](Cc1ccc(C(=O)[O-])n1C)[C@H]1CCS(=O)(=O)C1 ZINC001596357883 983830281 /nfs/dbraw/zinc/83/02/81/983830281.db2.gz QFHGGQJMNIDABJ-NSHDSACASA-N 0 2 300.380 0.732 20 0 DCADLN CC[N@@H+](Cc1ccc(C(=O)[O-])n1C)[C@H]1CCS(=O)(=O)C1 ZINC001596357883 983830288 /nfs/dbraw/zinc/83/02/88/983830288.db2.gz QFHGGQJMNIDABJ-NSHDSACASA-N 0 2 300.380 0.732 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])cn2C)C[C@@H]1C ZINC001596436621 984122147 /nfs/dbraw/zinc/12/21/47/984122147.db2.gz WZWGFKSORCOSHF-JTQLQIEISA-N 0 2 315.395 0.438 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])cn2C)C[C@@H]1C ZINC001596436621 984122150 /nfs/dbraw/zinc/12/21/50/984122150.db2.gz WZWGFKSORCOSHF-JTQLQIEISA-N 0 2 315.395 0.438 20 0 DCADLN CCOC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001596579849 984492152 /nfs/dbraw/zinc/49/21/52/984492152.db2.gz FUMCPGGJUZGGKI-SNVBAGLBSA-N 0 2 321.333 0.603 20 0 DCADLN CCOC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001596579849 984492154 /nfs/dbraw/zinc/49/21/54/984492154.db2.gz FUMCPGGJUZGGKI-SNVBAGLBSA-N 0 2 321.333 0.603 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)N(C)C(=O)C(F)C(F)(F)F ZINC001383128268 984688819 /nfs/dbraw/zinc/68/88/19/984688819.db2.gz LMZBJKLWJQPQAM-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001383128268 984688822 /nfs/dbraw/zinc/68/88/22/984688822.db2.gz LMZBJKLWJQPQAM-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN O=C(Cn1cccn1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450368457 1015091257 /nfs/dbraw/zinc/09/12/57/1015091257.db2.gz BVOWOYCITQARQG-VIFPVBQESA-N 0 2 308.235 0.111 20 0 DCADLN O=C(Cn1cccn1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001450368457 1015091265 /nfs/dbraw/zinc/09/12/65/1015091265.db2.gz BVOWOYCITQARQG-VIFPVBQESA-N 0 2 308.235 0.111 20 0 DCADLN Cc1ccnn1CC(=O)N(C)C[C@H]1OCC[C@@H]1c1n[nH]c(C)n1 ZINC001551461934 1015096820 /nfs/dbraw/zinc/09/68/20/1015096820.db2.gz SVLLXTGMQUAIJL-QWHCGFSZSA-N 0 2 318.381 0.649 20 0 DCADLN C[C@H]1CN(c2nnc([C@@H]3C[C@H](O)C[N@@H+]3C)n2C)CC[C@H]1C(=O)[O-] ZINC001599936009 985395091 /nfs/dbraw/zinc/39/50/91/985395091.db2.gz VCVQOUVLJLSGPJ-YFKTTZPYSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@H]1CN(c2nnc([C@@H]3C[C@H](O)C[N@H+]3C)n2C)CC[C@H]1C(=O)[O-] ZINC001599936009 985395105 /nfs/dbraw/zinc/39/51/05/985395105.db2.gz VCVQOUVLJLSGPJ-YFKTTZPYSA-N 0 2 323.397 0.100 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1nc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001384380596 985538705 /nfs/dbraw/zinc/53/87/05/985538705.db2.gz XMNWAFRIGYXOOE-BQBZGAKWSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1nc[nH]n1)C(=O)[C@H](F)C(F)(F)F ZINC001384380596 985538711 /nfs/dbraw/zinc/53/87/11/985538711.db2.gz XMNWAFRIGYXOOE-BQBZGAKWSA-N 0 2 323.250 0.378 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@]23C[C@@H]2COC3)n[nH]1 ZINC001551659543 1015164990 /nfs/dbraw/zinc/16/49/90/1015164990.db2.gz MROSHVJTVBECGX-RTWAVKEYSA-N 0 2 306.366 0.481 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@](C)(O)C2CCC2)n[nH]1 ZINC001551656707 1015166866 /nfs/dbraw/zinc/16/68/66/1015166866.db2.gz DASFRUCJPLIJFS-IOASZLSFSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2cnn(C)c2C)n[nH]1 ZINC001551670890 1015174437 /nfs/dbraw/zinc/17/44/37/1015174437.db2.gz WMHFZJXTLFRZQZ-YPMHNXCESA-N 0 2 318.381 0.800 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CCC[C@@](C)(C(=O)[O-])C2)CCO1 ZINC001594528170 986093625 /nfs/dbraw/zinc/09/36/25/986093625.db2.gz RDMVTGRLHMNAQX-SWLSCSKDSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CCC[C@@](C)(C(=O)[O-])C2)CCO1 ZINC001594528170 986093636 /nfs/dbraw/zinc/09/36/36/986093636.db2.gz RDMVTGRLHMNAQX-SWLSCSKDSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H](C(=O)N(C)Cc1ccc(C(=O)[O-])cc1)N1CC[NH2+]CC1=O ZINC001589340154 986248126 /nfs/dbraw/zinc/24/81/26/986248126.db2.gz XQMQRUCYOXYKCP-LLVKDONJSA-N 0 2 319.361 0.164 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1ccc(C(=O)[O-])cc1)N1CC[NH2+]CC1=O ZINC001589340155 986248150 /nfs/dbraw/zinc/24/81/50/986248150.db2.gz XQMQRUCYOXYKCP-NSHDSACASA-N 0 2 319.361 0.164 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cnon2)C1 ZINC001385407513 986327479 /nfs/dbraw/zinc/32/74/79/986327479.db2.gz ZKHYSJUSVMYAQR-HTRCEHHLSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cnon2)C1 ZINC001385407513 986327484 /nfs/dbraw/zinc/32/74/84/986327484.db2.gz ZKHYSJUSVMYAQR-HTRCEHHLSA-N 0 2 324.234 0.643 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001385576895 986442929 /nfs/dbraw/zinc/44/29/29/986442929.db2.gz CYMIBBWIHPFXEP-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001385576895 986442933 /nfs/dbraw/zinc/44/29/33/986442933.db2.gz CYMIBBWIHPFXEP-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN CC(C)OCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001450578022 1015222525 /nfs/dbraw/zinc/22/25/25/1015222525.db2.gz LNPILGCMLWMKGJ-JTQLQIEISA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)OCC(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001450578022 1015222531 /nfs/dbraw/zinc/22/25/31/1015222531.db2.gz LNPILGCMLWMKGJ-JTQLQIEISA-N 0 2 314.279 0.886 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001385981778 986729140 /nfs/dbraw/zinc/72/91/40/986729140.db2.gz NZGKUTDAKGBXGE-XAVMHZPKSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CCCO2)C1)[C@H](F)C(F)(F)F ZINC001385981778 986729143 /nfs/dbraw/zinc/72/91/43/986729143.db2.gz NZGKUTDAKGBXGE-XAVMHZPKSA-N 0 2 312.263 0.829 20 0 DCADLN C[C@H](CNC(=O)C1=COCCO1)NC(=O)C(F)C(F)(F)F ZINC001386166413 986906365 /nfs/dbraw/zinc/90/63/65/986906365.db2.gz ZSXXXNNBAXWSDL-SVRRBLITSA-N 0 2 314.235 0.396 20 0 DCADLN C[C@H](CNC(=O)C1=COCCO1)NC(=O)[C@H](F)C(F)(F)F ZINC001386166413 986906370 /nfs/dbraw/zinc/90/63/70/986906370.db2.gz ZSXXXNNBAXWSDL-SVRRBLITSA-N 0 2 314.235 0.396 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001386299522 987051526 /nfs/dbraw/zinc/05/15/26/987051526.db2.gz SXCDGNQTHRLDQA-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001386299522 987051537 /nfs/dbraw/zinc/05/15/37/987051537.db2.gz SXCDGNQTHRLDQA-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001386324831 987074839 /nfs/dbraw/zinc/07/48/39/987074839.db2.gz RTYLJEFVQSMPQU-JHFCWAQUSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)[C@H](F)C(F)(F)F ZINC001386324831 987074845 /nfs/dbraw/zinc/07/48/45/987074845.db2.gz RTYLJEFVQSMPQU-JHFCWAQUSA-N 0 2 324.274 0.543 20 0 DCADLN CCS(=O)(=O)N1CCN(c2ccc(C)c(C(=O)[O-])[nH+]2)CC1 ZINC001597223978 987160240 /nfs/dbraw/zinc/16/02/40/987160240.db2.gz GBKQBTQKSYSKBM-UHFFFAOYSA-N 0 2 313.379 0.560 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cnon3)C[C@H]21)C(F)C(F)(F)F ZINC001386520753 987193803 /nfs/dbraw/zinc/19/38/03/987193803.db2.gz IPBHJLNCRQTTEA-HETMPLHPSA-N 0 2 322.218 0.157 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cnon3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001386520753 987193808 /nfs/dbraw/zinc/19/38/08/987193808.db2.gz IPBHJLNCRQTTEA-HETMPLHPSA-N 0 2 322.218 0.157 20 0 DCADLN Cc1ccn(CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001386842076 987445658 /nfs/dbraw/zinc/44/56/58/987445658.db2.gz LMAOEXJUGJEMSX-PSASIEDQSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccn(CC(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001386842076 987445664 /nfs/dbraw/zinc/44/56/64/987445664.db2.gz LMAOEXJUGJEMSX-PSASIEDQSA-N 0 2 324.278 0.713 20 0 DCADLN COCC(=O)N1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001387362630 987788346 /nfs/dbraw/zinc/78/83/46/987788346.db2.gz RDNGDXSISNQGKN-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001387362630 987788351 /nfs/dbraw/zinc/78/83/51/987788351.db2.gz RDNGDXSISNQGKN-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387747134 988103343 /nfs/dbraw/zinc/10/33/43/988103343.db2.gz IBVNEROPFAAVFE-HTQZYQBOSA-N 0 2 300.252 0.639 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387747134 988103351 /nfs/dbraw/zinc/10/33/51/988103351.db2.gz IBVNEROPFAAVFE-HTQZYQBOSA-N 0 2 300.252 0.639 20 0 DCADLN C[C@@H](CNC(=O)CC(C)(C)O)CNC(=O)C(F)C(F)(F)F ZINC001388443581 988315545 /nfs/dbraw/zinc/31/55/45/988315545.db2.gz UIKHKELQEZSUGE-IONNQARKSA-N 0 2 316.295 0.916 20 0 DCADLN C[C@@H](CNC(=O)CC(C)(C)O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001388443581 988315555 /nfs/dbraw/zinc/31/55/55/988315555.db2.gz UIKHKELQEZSUGE-IONNQARKSA-N 0 2 316.295 0.916 20 0 DCADLN CC[C@@H](CNC(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001450848203 1015393804 /nfs/dbraw/zinc/39/38/04/1015393804.db2.gz PRNJERLEMNAEBS-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)c1ccn[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001450848203 1015393813 /nfs/dbraw/zinc/39/38/13/1015393813.db2.gz PRNJERLEMNAEBS-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN Cc1cc(NC(=O)N2C[C@@H]3COC[C@@]3(C(=O)[O-])C2)c(C)c[nH+]1 ZINC001552379684 1015413675 /nfs/dbraw/zinc/41/36/75/1015413675.db2.gz UUFOERCZHXKSIZ-ABAIWWIYSA-N 0 2 305.334 0.685 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1)C(=O)[O-] ZINC001599844672 988911787 /nfs/dbraw/zinc/91/17/87/988911787.db2.gz TYFDSLOICVOLLX-BNOWGMLFSA-N 0 2 321.377 0.386 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1)C(=O)[O-] ZINC001599844672 988911797 /nfs/dbraw/zinc/91/17/97/988911797.db2.gz TYFDSLOICVOLLX-BNOWGMLFSA-N 0 2 321.377 0.386 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)on1 ZINC001389233312 989009737 /nfs/dbraw/zinc/00/97/37/989009737.db2.gz MHZSMLFRJVFRLO-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)on1 ZINC001389233312 989009742 /nfs/dbraw/zinc/00/97/42/989009742.db2.gz MHZSMLFRJVFRLO-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN CCc1ncoc1C(=O)NC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001389244806 989022521 /nfs/dbraw/zinc/02/25/21/989022521.db2.gz XUDJGEPGZIHGIZ-SECBINFHSA-N 0 2 319.365 0.746 20 0 DCADLN O=C(CCc1nn[nH]n1)NCc1nc2cc(Cl)ccc2[nH]1 ZINC001450908795 1015442031 /nfs/dbraw/zinc/44/20/31/1015442031.db2.gz IKXRWWFNLTXIOR-UHFFFAOYSA-N 0 2 305.729 0.978 20 0 DCADLN COCC(=O)N(C)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001527369229 1015456185 /nfs/dbraw/zinc/45/61/85/1015456185.db2.gz IZDDMYZMTBFWGW-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N(C)[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001527369229 1015456191 /nfs/dbraw/zinc/45/61/91/1015456191.db2.gz IZDDMYZMTBFWGW-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@@H]1CN(c2nnc([C@@H]3C[C@@H](O)C[N@@H+]3C)n2C)C[C@H]1CC(=O)[O-] ZINC001599870600 989343318 /nfs/dbraw/zinc/34/33/18/989343318.db2.gz VNPMNXDDXUDKJJ-KKOKHZNYSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1CN(c2nnc([C@@H]3C[C@@H](O)C[N@H+]3C)n2C)C[C@H]1CC(=O)[O-] ZINC001599870600 989343325 /nfs/dbraw/zinc/34/33/25/989343325.db2.gz VNPMNXDDXUDKJJ-KKOKHZNYSA-N 0 2 323.397 0.100 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001450955706 1015480902 /nfs/dbraw/zinc/48/09/02/1015480902.db2.gz GUQGJSQUJOBJBW-FSPLSTOPSA-N 0 2 312.223 0.595 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001450955706 1015480911 /nfs/dbraw/zinc/48/09/11/1015480911.db2.gz GUQGJSQUJOBJBW-FSPLSTOPSA-N 0 2 312.223 0.595 20 0 DCADLN CC(C)(C)OC(=O)NCCC[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001590194440 989551447 /nfs/dbraw/zinc/55/14/47/989551447.db2.gz HJMWFNLVAUDMFE-SNVBAGLBSA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)NCCC[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001590194440 989551454 /nfs/dbraw/zinc/55/14/54/989551454.db2.gz HJMWFNLVAUDMFE-SNVBAGLBSA-N 0 2 315.370 0.176 20 0 DCADLN C[C@@H]([NH2+]C[C@@H]1CCCCN1C(=O)CCc1[nH+]ccn1C)C(N)=O ZINC001390148707 989867061 /nfs/dbraw/zinc/86/70/61/989867061.db2.gz UUHJKIWJJVPZBZ-OLZOCXBDSA-N 0 2 321.425 0.197 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001451061360 1015565604 /nfs/dbraw/zinc/56/56/04/1015565604.db2.gz FJRNFAQEPHKYHR-RNFRBKRXSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001451061360 1015565612 /nfs/dbraw/zinc/56/56/12/1015565612.db2.gz FJRNFAQEPHKYHR-RNFRBKRXSA-N 0 2 310.251 0.887 20 0 DCADLN Cc1ccoc1CC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391068823 990556860 /nfs/dbraw/zinc/55/68/60/990556860.db2.gz YQIXQFQAQYHWKY-LLVKDONJSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccoc1CC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391068823 990556864 /nfs/dbraw/zinc/55/68/64/990556864.db2.gz YQIXQFQAQYHWKY-LLVKDONJSA-N 0 2 319.365 0.735 20 0 DCADLN CC(C)[C@@H]1C[C@@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCO1 ZINC001591073083 991538657 /nfs/dbraw/zinc/53/86/57/991538657.db2.gz RNXWBZREDHLLJN-UHTWSYAYSA-N 0 2 309.366 0.973 20 0 DCADLN CC(C)[C@@H]1C[C@@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCO1 ZINC001591073083 991538668 /nfs/dbraw/zinc/53/86/68/991538668.db2.gz RNXWBZREDHLLJN-UHTWSYAYSA-N 0 2 309.366 0.973 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1CCOCC1 ZINC001392176222 991576731 /nfs/dbraw/zinc/57/67/31/991576731.db2.gz KRLDXZQGEXSGBK-APPZFPTMSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C1CCOCC1 ZINC001392176222 991576740 /nfs/dbraw/zinc/57/67/40/991576740.db2.gz KRLDXZQGEXSGBK-APPZFPTMSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]n1 ZINC001392199295 991602723 /nfs/dbraw/zinc/60/27/23/991602723.db2.gz LERUBPWDCDMMNZ-XRGYYRRGSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]n1 ZINC001392199295 991602726 /nfs/dbraw/zinc/60/27/26/991602726.db2.gz LERUBPWDCDMMNZ-XRGYYRRGSA-N 0 2 324.234 0.317 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392316120 991743501 /nfs/dbraw/zinc/74/35/01/991743501.db2.gz RLYIDZBSMGARFY-LLVKDONJSA-N 0 2 313.402 0.462 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392316120 991743508 /nfs/dbraw/zinc/74/35/08/991743508.db2.gz RLYIDZBSMGARFY-LLVKDONJSA-N 0 2 313.402 0.462 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)NCC(C)(C)CC(=O)[O-] ZINC001598488563 992247807 /nfs/dbraw/zinc/24/78/07/992247807.db2.gz DZSYWRXFNBSOPR-UHFFFAOYSA-N 0 2 301.387 0.461 20 0 DCADLN C[S@@](=O)c1cccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001599780755 992360383 /nfs/dbraw/zinc/36/03/83/992360383.db2.gz VDOPFNMJAOGXNT-VERVWZFWSA-N 0 2 321.358 0.504 20 0 DCADLN CN(Cc1ccccc1)C(=O)C[N@@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001598527907 992743266 /nfs/dbraw/zinc/74/32/66/992743266.db2.gz PWOUTZQRMSXAJO-HIFRSBDPSA-N 0 2 306.362 0.555 20 0 DCADLN CN(Cc1ccccc1)C(=O)C[N@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001598527907 992743274 /nfs/dbraw/zinc/74/32/74/992743274.db2.gz PWOUTZQRMSXAJO-HIFRSBDPSA-N 0 2 306.362 0.555 20 0 DCADLN C[N@H+](CCNC(=O)c1cnc(C2CC2)s1)Cc1n[nH]c(=O)[n-]1 ZINC001492823934 993249726 /nfs/dbraw/zinc/24/97/26/993249726.db2.gz LUZIIUAYTYOJJU-UHFFFAOYSA-N 0 2 322.394 0.706 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnc(C2CC2)s1)Cc1n[nH]c(=O)[n-]1 ZINC001492823934 993249731 /nfs/dbraw/zinc/24/97/31/993249731.db2.gz LUZIIUAYTYOJJU-UHFFFAOYSA-N 0 2 322.394 0.706 20 0 DCADLN Cn1nnc2cc(C(=O)O[C@@H]3C[C@H](C(=O)[O-])[N@H+](C)C3)ccc21 ZINC001598577259 993296881 /nfs/dbraw/zinc/29/68/81/993296881.db2.gz YTNSWCQAZFYGAI-BXKDBHETSA-N 0 2 304.306 0.282 20 0 DCADLN Cn1nnc2cc(C(=O)O[C@@H]3C[C@H](C(=O)[O-])[N@@H+](C)C3)ccc21 ZINC001598577259 993296886 /nfs/dbraw/zinc/29/68/86/993296886.db2.gz YTNSWCQAZFYGAI-BXKDBHETSA-N 0 2 304.306 0.282 20 0 DCADLN C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](C)[NH2+]CC(=O)N(C)C1CC1 ZINC001393934453 993355791 /nfs/dbraw/zinc/35/57/91/993355791.db2.gz PNOQTWRGYJMVIC-VXGBXAGGSA-N 0 2 321.425 0.446 20 0 DCADLN C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(F)c(F)c(C(=O)[O-])c1 ZINC001598588600 993419094 /nfs/dbraw/zinc/41/90/94/993419094.db2.gz CAEQENXVICQXHK-SSDOTTSWSA-N 0 2 320.317 0.645 20 0 DCADLN C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(F)c(F)c(C(=O)[O-])c1 ZINC001598588600 993419096 /nfs/dbraw/zinc/41/90/96/993419096.db2.gz CAEQENXVICQXHK-SSDOTTSWSA-N 0 2 320.317 0.645 20 0 DCADLN COc1ncccc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394106989 993483598 /nfs/dbraw/zinc/48/35/98/993483598.db2.gz YYGPLSSXGLNXJA-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1ncccc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394106989 993483600 /nfs/dbraw/zinc/48/36/00/993483600.db2.gz YYGPLSSXGLNXJA-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN C[N@H+](CCNC(=O)CCc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001492827663 993527131 /nfs/dbraw/zinc/52/71/31/993527131.db2.gz UMOLEPRFHXLEAP-UHFFFAOYSA-N 0 2 303.366 0.691 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001492827663 993527135 /nfs/dbraw/zinc/52/71/35/993527135.db2.gz UMOLEPRFHXLEAP-UHFFFAOYSA-N 0 2 303.366 0.691 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(COC)o1)Cc1n[nH]c(=O)[n-]1 ZINC001399733653 993718548 /nfs/dbraw/zinc/71/85/48/993718548.db2.gz BXSZTLBRQUQQFX-UHFFFAOYSA-N 0 2 323.353 0.502 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(COC)o1)Cc1n[nH]c(=O)[n-]1 ZINC001399733653 993718558 /nfs/dbraw/zinc/71/85/58/993718558.db2.gz BXSZTLBRQUQQFX-UHFFFAOYSA-N 0 2 323.353 0.502 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001399755601 993741460 /nfs/dbraw/zinc/74/14/60/993741460.db2.gz JRZWQEYBVKBNCS-NXEZZACHSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccccc1 ZINC001399755601 993741467 /nfs/dbraw/zinc/74/14/67/993741467.db2.gz JRZWQEYBVKBNCS-NXEZZACHSA-N 0 2 322.258 0.794 20 0 DCADLN C[C@H]([NH2+]C(c1nccn1C)c1nccn1C)[C@H]1C[C@@H]1C(=O)[O-] ZINC001593208706 994229955 /nfs/dbraw/zinc/22/99/55/994229955.db2.gz DZQFZYBSXVSDEU-AXFHLTTASA-N 0 2 303.366 0.942 20 0 DCADLN C[C@@H](CNC(=O)c1ccn[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001395051065 994382795 /nfs/dbraw/zinc/38/27/95/994382795.db2.gz WSPMXSHQWFZLCN-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)c1ccn[nH]1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001395051065 994382804 /nfs/dbraw/zinc/38/28/04/994382804.db2.gz WSPMXSHQWFZLCN-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001400299217 994397483 /nfs/dbraw/zinc/39/74/83/994397483.db2.gz XLJZRDSHRXZZLT-JGVFFNPUSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001400299211 994398076 /nfs/dbraw/zinc/39/80/76/994398076.db2.gz XLJZRDSHRXZZLT-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001400412648 994489659 /nfs/dbraw/zinc/48/96/59/994489659.db2.gz CRFUQUHGGQJHDP-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001400412648 994489664 /nfs/dbraw/zinc/48/96/64/994489664.db2.gz CRFUQUHGGQJHDP-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cn1c(CNS(=O)(=O)Cc2ccc(Cl)nc2)n[nH]c1=O ZINC001464411215 1015907741 /nfs/dbraw/zinc/90/77/41/1015907741.db2.gz QFCAYVFKVQSWPW-UHFFFAOYSA-N 0 2 317.758 0.189 20 0 DCADLN O=C(Cc1nnc[nH]1)NC[C@@H]1CCC2(C[NH+](CC3CC3)C2)O1 ZINC001502441505 1015919872 /nfs/dbraw/zinc/91/98/72/1015919872.db2.gz UDOGHECLTPCMBJ-LBPRGKRZSA-N 0 2 305.382 0.107 20 0 DCADLN C[C@H](CNC(=O)C[N@@H+]1CCC[C@H]1C)NC(=O)CCn1cc[nH+]c1 ZINC001502485518 1015943715 /nfs/dbraw/zinc/94/37/15/1015943715.db2.gz RKRPIBIMCPKECL-ZIAGYGMSSA-N 0 2 321.425 0.378 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])CN1C(=O)Cc1[nH]c[nH+]c1C ZINC001598764954 995819950 /nfs/dbraw/zinc/81/99/50/995819950.db2.gz GGRCATUUHXOYDR-GXSJLCMTSA-N 0 2 309.322 0.125 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC001397256268 995890519 /nfs/dbraw/zinc/89/05/19/995890519.db2.gz GEUYGYFPXQCGJY-AEJSXWLSSA-N 0 2 324.274 0.997 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC001397256268 995890522 /nfs/dbraw/zinc/89/05/22/995890522.db2.gz GEUYGYFPXQCGJY-AEJSXWLSSA-N 0 2 324.274 0.997 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)cnn1 ZINC001397419290 995984544 /nfs/dbraw/zinc/98/45/44/995984544.db2.gz WMEVELMTOHRSIS-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)cnn1 ZINC001397419290 995984549 /nfs/dbraw/zinc/98/45/49/995984549.db2.gz WMEVELMTOHRSIS-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN COC1CC(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)C1 ZINC001397941923 996269798 /nfs/dbraw/zinc/26/97/98/996269798.db2.gz PBIAGSHCSMZZRD-HACHORDNSA-N 0 2 314.279 0.886 20 0 DCADLN COC1CC(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397941923 996269802 /nfs/dbraw/zinc/26/98/02/996269802.db2.gz PBIAGSHCSMZZRD-HACHORDNSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1nnc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001398300114 996457760 /nfs/dbraw/zinc/45/77/60/996457760.db2.gz JMJCCVZZWPGQGX-CBAPKCEASA-N 0 2 309.267 0.704 20 0 DCADLN CO[C@H](C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001398741243 996666924 /nfs/dbraw/zinc/66/69/24/996666924.db2.gz XZNDBWOEOKYOAA-AAEUAGOBSA-N 0 2 323.397 0.406 20 0 DCADLN COc1nccc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001466300640 1016066775 /nfs/dbraw/zinc/06/67/75/1016066775.db2.gz DJWJMGBJVZVXOH-MRVPVSSYSA-N 0 2 319.325 0.720 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)c2ccoc2CC(=O)[O-])CCO1 ZINC001593939617 996932752 /nfs/dbraw/zinc/93/27/52/996932752.db2.gz RKIJWLYSGDJQHW-UHFFFAOYSA-N 0 2 310.350 0.747 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)c2ccoc2CC(=O)[O-])CCO1 ZINC001593939617 996932760 /nfs/dbraw/zinc/93/27/60/996932760.db2.gz RKIJWLYSGDJQHW-UHFFFAOYSA-N 0 2 310.350 0.747 20 0 DCADLN COCc1ncsc1C(=O)O[C@H]1C[N@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001599305439 997304255 /nfs/dbraw/zinc/30/42/55/997304255.db2.gz BJKQDLFLWDDLGN-OQPBUACISA-N 0 2 314.363 0.994 20 0 DCADLN COCc1ncsc1C(=O)O[C@H]1C[N@@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001599305439 997304267 /nfs/dbraw/zinc/30/42/67/997304267.db2.gz BJKQDLFLWDDLGN-OQPBUACISA-N 0 2 314.363 0.994 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NC(C)C)C2)c1[O-] ZINC001404659317 997352008 /nfs/dbraw/zinc/35/20/08/997352008.db2.gz JSEXGXPXDZOTND-LLVKDONJSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CCC[N@H+](CC(=O)NC(C)C)C2)c1[O-] ZINC001404659317 997352021 /nfs/dbraw/zinc/35/20/21/997352021.db2.gz JSEXGXPXDZOTND-LLVKDONJSA-N 0 2 323.397 0.143 20 0 DCADLN COCc1sccc1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001599307837 997357827 /nfs/dbraw/zinc/35/78/27/997357827.db2.gz VTSHGWXUZHTZDJ-JTQLQIEISA-N 0 2 309.347 0.974 20 0 DCADLN COCc1noc(C[NH2+][C@H](C(=O)[O-])[C@@H]2CCC[C@H](OC)C2)n1 ZINC001599308988 997379552 /nfs/dbraw/zinc/37/95/52/997379552.db2.gz ZFOVCSAJVFJOKG-NRUUGDAUSA-N 0 2 313.354 0.964 20 0 DCADLN CC(C)c1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001399489751 997436949 /nfs/dbraw/zinc/43/69/49/997436949.db2.gz OZGYPYKNXKQUSM-UHFFFAOYSA-N 0 2 321.385 0.229 20 0 DCADLN CC(C)c1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001399489751 997436953 /nfs/dbraw/zinc/43/69/53/997436953.db2.gz OZGYPYKNXKQUSM-UHFFFAOYSA-N 0 2 321.385 0.229 20 0 DCADLN CCCC[C@](C)(F)C(=O)NCCN(C)Cc1n[nH]c(=O)[nH]1 ZINC001399513177 997463743 /nfs/dbraw/zinc/46/37/43/997463743.db2.gz XWSOJWTXRZVFMO-ZDUSSCGKSA-N 0 2 301.366 0.977 20 0 DCADLN CCCC[C@](C)(F)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399513177 997463750 /nfs/dbraw/zinc/46/37/50/997463750.db2.gz XWSOJWTXRZVFMO-ZDUSSCGKSA-N 0 2 301.366 0.977 20 0 DCADLN CCCC[C@](C)(F)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399513177 997463756 /nfs/dbraw/zinc/46/37/56/997463756.db2.gz XWSOJWTXRZVFMO-ZDUSSCGKSA-N 0 2 301.366 0.977 20 0 DCADLN CO[C@@H]1COC[C@H]1[NH2+]Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001599324068 997631470 /nfs/dbraw/zinc/63/14/70/997631470.db2.gz NWWACHYXFUZSOM-VXGBXAGGSA-N 0 2 319.317 0.537 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@H]1[C@@H]1CCCO1 ZINC001598926401 997655364 /nfs/dbraw/zinc/65/53/64/997655364.db2.gz OJBCDCQHSIONQR-AAEUAGOBSA-N 0 2 323.349 0.969 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@H]1[C@@H]1CCCO1 ZINC001598926401 997655373 /nfs/dbraw/zinc/65/53/73/997655373.db2.gz OJBCDCQHSIONQR-AAEUAGOBSA-N 0 2 323.349 0.969 20 0 DCADLN COC(=O)c1cc(C(=O)O[C@@H]2C[C@@H](C(=O)[O-])[N@H+](C)C2)c(C)o1 ZINC001598926707 997660887 /nfs/dbraw/zinc/66/08/87/997660887.db2.gz RHQONVDMOZHPRQ-SCZZXKLOSA-N 0 2 311.290 0.689 20 0 DCADLN COC(=O)c1cc(C(=O)O[C@@H]2C[C@@H](C(=O)[O-])[N@@H+](C)C2)c(C)o1 ZINC001598926707 997660891 /nfs/dbraw/zinc/66/08/91/997660891.db2.gz RHQONVDMOZHPRQ-SCZZXKLOSA-N 0 2 311.290 0.689 20 0 DCADLN COC(=O)CCCNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001467589971 1016186223 /nfs/dbraw/zinc/18/62/23/1016186223.db2.gz PDANPZZUPHYURO-VIFPVBQESA-N 0 2 311.342 0.353 20 0 DCADLN COc1ccc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])cc1O ZINC001599561095 998196243 /nfs/dbraw/zinc/19/62/43/998196243.db2.gz GFLXBHOOWNDELJ-LLVKDONJSA-N 0 2 308.334 0.566 20 0 DCADLN COc1ccc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])cc1O ZINC001599561095 998196256 /nfs/dbraw/zinc/19/62/56/998196256.db2.gz GFLXBHOOWNDELJ-LLVKDONJSA-N 0 2 308.334 0.566 20 0 DCADLN COc1ccc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c(OC)c1 ZINC001599562225 998220528 /nfs/dbraw/zinc/22/05/28/998220528.db2.gz XABMIERUQGMWQW-ZDUSSCGKSA-N 0 2 322.361 0.869 20 0 DCADLN COc1ccc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c(OC)c1 ZINC001599562225 998220542 /nfs/dbraw/zinc/22/05/42/998220542.db2.gz XABMIERUQGMWQW-ZDUSSCGKSA-N 0 2 322.361 0.869 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1C(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC001594137867 998395929 /nfs/dbraw/zinc/39/59/29/998395929.db2.gz YLNVIBHUSDDDEP-VXGBXAGGSA-N 0 2 322.365 0.053 20 0 DCADLN O=C(CCC1CC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001405729628 998507036 /nfs/dbraw/zinc/50/70/36/998507036.db2.gz KMGRFDPMGKNKAX-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN COC(=O)c1nn(C[N@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)c(C)c1Cl ZINC001599017531 998951794 /nfs/dbraw/zinc/95/17/94/998951794.db2.gz KWJQDLNKQRYCBD-BRPSZJMVSA-N 0 2 313.741 0.851 20 0 DCADLN COC(=O)c1nn(C[N@@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)c(C)c1Cl ZINC001599017531 998951797 /nfs/dbraw/zinc/95/17/97/998951797.db2.gz KWJQDLNKQRYCBD-BRPSZJMVSA-N 0 2 313.741 0.851 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)CCSCC(=O)[O-] ZINC001594249481 998954060 /nfs/dbraw/zinc/95/40/60/998954060.db2.gz IFVDJNSTRPNKAP-RYUDHWBXSA-N 0 2 316.423 0.516 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])[nH]1 ZINC001594250570 998961714 /nfs/dbraw/zinc/96/17/14/998961714.db2.gz NXJJJDQBFMAOGS-QWRGUYRKSA-N 0 2 307.350 0.648 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)C(=O)[O-] ZINC001594251349 998967329 /nfs/dbraw/zinc/96/73/29/998967329.db2.gz UXKOMGFUWVLMDJ-RYUDHWBXSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NCC(=O)NC(C)(C)C)[C@H](C(=O)[O-])C1 ZINC001594415216 999554157 /nfs/dbraw/zinc/55/41/57/999554157.db2.gz YGZMPPSRRQCCGN-QWRGUYRKSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)[C@H](C(=O)[O-])C1 ZINC001594415216 999554160 /nfs/dbraw/zinc/55/41/60/999554160.db2.gz YGZMPPSRRQCCGN-QWRGUYRKSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@@H]1CCc2[nH]nc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])c2C1 ZINC001594446877 999640553 /nfs/dbraw/zinc/64/05/53/999640553.db2.gz DCAQPHZVZCLGQY-BXKDBHETSA-N 0 2 317.349 0.614 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC001401372461 1000389343 /nfs/dbraw/zinc/38/93/43/1000389343.db2.gz SCOSWVWOLJRUSR-SDDRHHMPSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC001401372461 1000389348 /nfs/dbraw/zinc/38/93/48/1000389348.db2.gz SCOSWVWOLJRUSR-SDDRHHMPSA-N 0 2 307.398 0.837 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1s[n-]c(=O)c1Cl ZINC001418610198 1000389839 /nfs/dbraw/zinc/38/98/39/1000389839.db2.gz CWNXLBHCTDSNER-YFKPBYRVSA-N 0 2 302.743 0.699 20 0 DCADLN CC(C)[NH+](C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C ZINC001418612563 1000390461 /nfs/dbraw/zinc/39/04/61/1000390461.db2.gz XJYARJBTPUWSRC-KGLIPLIRSA-N 0 2 312.458 0.336 20 0 DCADLN Cn1ncc(CN2CCC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001418953537 1000642295 /nfs/dbraw/zinc/64/22/95/1000642295.db2.gz UIZRNNIZCNBTGY-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CCC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001418953537 1000642300 /nfs/dbraw/zinc/64/23/00/1000642300.db2.gz UIZRNNIZCNBTGY-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cc1conc1C[NH2+][C@H]1C[C@H](NC(=O)CCc2cn[nH]n2)C1 ZINC001419429102 1001042803 /nfs/dbraw/zinc/04/28/03/1001042803.db2.gz YGESNRGRWKKETQ-HAQNSBGRSA-N 0 2 304.354 0.471 20 0 DCADLN C[C@H](CNC(=O)CCCn1cccn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403197540 1001722841 /nfs/dbraw/zinc/72/28/41/1001722841.db2.gz HGMFBPOWJXNZQG-LLVKDONJSA-N 0 2 321.385 0.124 20 0 DCADLN C[C@H](CNC(=O)CCCn1cccn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403197540 1001722847 /nfs/dbraw/zinc/72/28/47/1001722847.db2.gz HGMFBPOWJXNZQG-LLVKDONJSA-N 0 2 321.385 0.124 20 0 DCADLN Cc1ccoc1CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420015592 1001763934 /nfs/dbraw/zinc/76/39/34/1001763934.db2.gz YJNSNIXSWCIIHF-UHFFFAOYSA-N 0 2 307.354 0.545 20 0 DCADLN Cc1ccoc1CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420015592 1001763942 /nfs/dbraw/zinc/76/39/42/1001763942.db2.gz YJNSNIXSWCIIHF-UHFFFAOYSA-N 0 2 307.354 0.545 20 0 DCADLN Cn1cnc(C(=O)N[C@@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)n1 ZINC001471069330 1016520949 /nfs/dbraw/zinc/52/09/49/1016520949.db2.gz PMTBWFZOXPRHCQ-JTQLQIEISA-N 0 2 313.321 0.353 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C)C1CC1 ZINC001403365893 1001885273 /nfs/dbraw/zinc/88/52/73/1001885273.db2.gz ZJWRQCQCUNXXBF-JQWIXIFHSA-N 0 2 323.397 0.262 20 0 DCADLN CCc1cccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001424950028 1002178232 /nfs/dbraw/zinc/17/82/32/1002178232.db2.gz RMCHPODGXYQJBF-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001424950028 1002178237 /nfs/dbraw/zinc/17/82/37/1002178237.db2.gz RMCHPODGXYQJBF-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN C[N@H+](CCNC(=O)COc1ccccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001420341902 1002250231 /nfs/dbraw/zinc/25/02/31/1002250231.db2.gz DJSRQIKBEARUJB-UHFFFAOYSA-N 0 2 323.328 0.276 20 0 DCADLN C[N@@H+](CCNC(=O)COc1ccccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001420341902 1002250243 /nfs/dbraw/zinc/25/02/43/1002250243.db2.gz DJSRQIKBEARUJB-UHFFFAOYSA-N 0 2 323.328 0.276 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](C)c1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425014498 1002250255 /nfs/dbraw/zinc/25/02/55/1002250255.db2.gz UMAPFUZZWVSBBP-UWVGGRQHSA-N 0 2 307.354 0.844 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](C)c1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425014498 1002250266 /nfs/dbraw/zinc/25/02/66/1002250266.db2.gz UMAPFUZZWVSBBP-UWVGGRQHSA-N 0 2 307.354 0.844 20 0 DCADLN C[C@H](NC(=O)C1(S(C)(=O)=O)CCC1)c1nn(C)cc1O ZINC001420443505 1002423633 /nfs/dbraw/zinc/42/36/33/1002423633.db2.gz ZEGMQYUEMASJFB-QMMMGPOBSA-N 0 2 301.368 0.270 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001425281781 1002512737 /nfs/dbraw/zinc/51/27/37/1002512737.db2.gz GGYKZZJBPFXRRP-XPUUQOCRSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001425281781 1002512748 /nfs/dbraw/zinc/51/27/48/1002512748.db2.gz GGYKZZJBPFXRRP-XPUUQOCRSA-N 0 2 311.235 0.122 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1COc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001403804392 1002547606 /nfs/dbraw/zinc/54/76/06/1002547606.db2.gz JBNARGXKKINOMJ-LLVKDONJSA-N 0 2 317.349 0.235 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1COc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001403804392 1002547615 /nfs/dbraw/zinc/54/76/15/1002547615.db2.gz JBNARGXKKINOMJ-LLVKDONJSA-N 0 2 317.349 0.235 20 0 DCADLN CC[N@H+](CCNC(=O)c1c(C)ccn1C)Cc1n[nH]c(=O)[n-]1 ZINC001403837634 1002606137 /nfs/dbraw/zinc/60/61/37/1002606137.db2.gz DFVDQVADRQYFKU-UHFFFAOYSA-N 0 2 306.370 0.409 20 0 DCADLN CC[N@@H+](CCNC(=O)c1c(C)ccn1C)Cc1n[nH]c(=O)[n-]1 ZINC001403837634 1002606144 /nfs/dbraw/zinc/60/61/44/1002606144.db2.gz DFVDQVADRQYFKU-UHFFFAOYSA-N 0 2 306.370 0.409 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001420570119 1002632776 /nfs/dbraw/zinc/63/27/76/1002632776.db2.gz FBFSDZLSHNXYLX-SECBINFHSA-N 0 2 319.369 0.167 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001420570119 1002632779 /nfs/dbraw/zinc/63/27/79/1002632779.db2.gz FBFSDZLSHNXYLX-SECBINFHSA-N 0 2 319.369 0.167 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@]12CCC[C@H]1OCC2 ZINC001420603208 1002677134 /nfs/dbraw/zinc/67/71/34/1002677134.db2.gz YOWFCYBJJWJZFY-HFAKWTLXSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@]12CCC[C@H]1OCC2 ZINC001420603208 1002677140 /nfs/dbraw/zinc/67/71/40/1002677140.db2.gz YOWFCYBJJWJZFY-HFAKWTLXSA-N 0 2 323.397 0.406 20 0 DCADLN CCC[NH+](CCNC(=O)[C@@H]1CCC[N@H+]1C)Cc1ccn(C)n1 ZINC001404113201 1003026195 /nfs/dbraw/zinc/02/61/95/1003026195.db2.gz WRLDZFLDNZQKJE-HNNXBMFYSA-N 0 2 307.442 0.843 20 0 DCADLN CC[C@@H](C)[C@@H](OC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472082375 1016725833 /nfs/dbraw/zinc/72/58/33/1016725833.db2.gz NZMIVLPUFIGVOY-LDYMZIIASA-N 0 2 318.399 0.027 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=CC2CCCC2)[nH]1 ZINC001472085737 1016726018 /nfs/dbraw/zinc/72/60/18/1016726018.db2.gz VFBMRBCCAYJYPO-SREVYHEPSA-N 0 2 312.395 0.712 20 0 DCADLN CO[C@@H](C)C[N@H+](C)CCN(C)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001406124039 1003392822 /nfs/dbraw/zinc/39/28/22/1003392822.db2.gz YVYXADAEVDFJNN-KBPBESRZSA-N 0 2 308.426 0.871 20 0 DCADLN CO[C@H](C)C[N@H+](C)CCN(C)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001406124053 1003395609 /nfs/dbraw/zinc/39/56/09/1003395609.db2.gz YVYXADAEVDFJNN-KGLIPLIRSA-N 0 2 308.426 0.871 20 0 DCADLN COCCC1(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001421177696 1003406491 /nfs/dbraw/zinc/40/64/91/1003406491.db2.gz GHTUEBFCWFMEGZ-UHFFFAOYSA-N 0 2 323.397 0.265 20 0 DCADLN COc1ccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001406155354 1003425456 /nfs/dbraw/zinc/42/54/56/1003425456.db2.gz TZFKDAGUCPVRCZ-UHFFFAOYSA-N 0 2 319.365 0.723 20 0 DCADLN COc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001406155354 1003425461 /nfs/dbraw/zinc/42/54/61/1003425461.db2.gz TZFKDAGUCPVRCZ-UHFFFAOYSA-N 0 2 319.365 0.723 20 0 DCADLN CCN(C(=O)[C@H](C)C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421332153 1003552216 /nfs/dbraw/zinc/55/22/16/1003552216.db2.gz ZCAGTJQHPLQNPG-PWSUYJOCSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@H](C)C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421332153 1003552221 /nfs/dbraw/zinc/55/22/21/1003552221.db2.gz ZCAGTJQHPLQNPG-PWSUYJOCSA-N 0 2 307.398 0.979 20 0 DCADLN CCc1cccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406633491 1003948939 /nfs/dbraw/zinc/94/89/39/1003948939.db2.gz JLTONXBDSJQZQH-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406633491 1003948943 /nfs/dbraw/zinc/94/89/43/1003948943.db2.gz JLTONXBDSJQZQH-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001406648138 1003965399 /nfs/dbraw/zinc/96/53/99/1003965399.db2.gz STJLOHLOMBVASG-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001406648138 1003965401 /nfs/dbraw/zinc/96/54/01/1003965401.db2.gz STJLOHLOMBVASG-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505996363 1016849580 /nfs/dbraw/zinc/84/95/80/1016849580.db2.gz FEGYRYSZXPMWMY-QWRGUYRKSA-N 0 2 313.402 0.508 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505996363 1016849598 /nfs/dbraw/zinc/84/95/98/1016849598.db2.gz FEGYRYSZXPMWMY-QWRGUYRKSA-N 0 2 313.402 0.508 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001427273390 1004296758 /nfs/dbraw/zinc/29/67/58/1004296758.db2.gz ORJFQTSMPAUESW-ATRFCDNQSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC001427273390 1004296765 /nfs/dbraw/zinc/29/67/65/1004296765.db2.gz ORJFQTSMPAUESW-ATRFCDNQSA-N 0 2 324.234 0.595 20 0 DCADLN CC(C)(O)CC(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001427375422 1004350983 /nfs/dbraw/zinc/35/09/83/1004350983.db2.gz DNKOWPJYFJUAPE-APPZFPTMSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001427375422 1004350991 /nfs/dbraw/zinc/35/09/91/1004350991.db2.gz DNKOWPJYFJUAPE-APPZFPTMSA-N 0 2 314.279 0.765 20 0 DCADLN C[C@@]1(NC(=O)C[C@H]2CCCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407172848 1004444378 /nfs/dbraw/zinc/44/43/78/1004444378.db2.gz DGTJNOIXTFQPGC-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(NC(=O)C[C@H]2CCCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407172848 1004444379 /nfs/dbraw/zinc/44/43/79/1004444379.db2.gz DGTJNOIXTFQPGC-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN CN(CCNC(=O)[C@]1(F)CCOC1)C(=O)C(F)C(F)(F)F ZINC001429441572 1004600083 /nfs/dbraw/zinc/60/00/83/1004600083.db2.gz QAWCOQPWSLPFPQ-XVKPBYJWSA-N 0 2 318.242 0.590 20 0 DCADLN CN(CCNC(=O)[C@]1(F)CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001429441572 1004600088 /nfs/dbraw/zinc/60/00/88/1004600088.db2.gz QAWCOQPWSLPFPQ-XVKPBYJWSA-N 0 2 318.242 0.590 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001408188115 1005099516 /nfs/dbraw/zinc/09/95/16/1005099516.db2.gz BPNUDHVDJRESPD-BQBZGAKWSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001408188115 1005099521 /nfs/dbraw/zinc/09/95/21/1005099521.db2.gz BPNUDHVDJRESPD-BQBZGAKWSA-N 0 2 310.251 0.853 20 0 DCADLN CCn1cc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)nn1 ZINC001415128024 1005465031 /nfs/dbraw/zinc/46/50/31/1005465031.db2.gz DOCIHTJSGNPBCB-JTQLQIEISA-N 0 2 323.294 0.843 20 0 DCADLN CCn1cc(CN2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)nn1 ZINC001415128024 1005465032 /nfs/dbraw/zinc/46/50/32/1005465032.db2.gz DOCIHTJSGNPBCB-JTQLQIEISA-N 0 2 323.294 0.843 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001415183062 1005477037 /nfs/dbraw/zinc/47/70/37/1005477037.db2.gz QRLBSZLXPKXYCV-MHTLYPKNSA-N 0 2 311.239 0.248 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001415183062 1005477039 /nfs/dbraw/zinc/47/70/39/1005477039.db2.gz QRLBSZLXPKXYCV-MHTLYPKNSA-N 0 2 311.239 0.248 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)CNC(=O)C(F)C(F)(F)F ZINC001416463188 1005702319 /nfs/dbraw/zinc/70/23/19/1005702319.db2.gz DFVGULAIJDBQBY-CBAPKCEASA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)CNC(=O)[C@H](F)C(F)(F)F ZINC001416463188 1005702321 /nfs/dbraw/zinc/70/23/21/1005702321.db2.gz DFVGULAIJDBQBY-CBAPKCEASA-N 0 2 324.278 0.803 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001416720220 1005727438 /nfs/dbraw/zinc/72/74/38/1005727438.db2.gz GIBAQXVKNPCKDU-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1cccnc1 ZINC001416720220 1005727440 /nfs/dbraw/zinc/72/74/40/1005727440.db2.gz GIBAQXVKNPCKDU-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN C[C@@H](CNC(=O)C1CCOCC1)NC(=O)C(F)C(F)(F)F ZINC001416902654 1005756815 /nfs/dbraw/zinc/75/68/15/1005756815.db2.gz PVGXPHIFQRXXLP-IONNQARKSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)C1CCOCC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001416902654 1005756820 /nfs/dbraw/zinc/75/68/20/1005756820.db2.gz PVGXPHIFQRXXLP-IONNQARKSA-N 0 2 314.279 0.934 20 0 DCADLN COC1CCC(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC001417553644 1005843121 /nfs/dbraw/zinc/84/31/21/1005843121.db2.gz XBXGGMPCVBWWNG-UHFFFAOYSA-N 0 2 305.338 0.145 20 0 DCADLN O=C(Cn1nc(C(F)F)cc1C1CC1)NCc1n[nH]c(=O)[nH]1 ZINC001417663754 1005863207 /nfs/dbraw/zinc/86/32/07/1005863207.db2.gz UWHILHJGZFFSFE-UHFFFAOYSA-N 0 2 312.280 0.838 20 0 DCADLN C[C@H]1OCCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@H]1C ZINC001417687013 1005868414 /nfs/dbraw/zinc/86/84/14/1005868414.db2.gz AZGZWKOQGUYHSB-VHSXEESVSA-N 0 2 318.333 0.516 20 0 DCADLN COc1cc(=O)[nH]cc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001417727149 1005876916 /nfs/dbraw/zinc/87/69/16/1005876916.db2.gz LBGKOSQWSPIZGL-QMMMGPOBSA-N 0 2 319.321 0.639 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1nnn(C)n1 ZINC001417844886 1005902075 /nfs/dbraw/zinc/90/20/75/1005902075.db2.gz ZADOMUNLPRFUTN-BKPPORCPSA-N 0 2 324.282 0.190 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CCN1Cc1nnn(C)n1 ZINC001417844886 1005902079 /nfs/dbraw/zinc/90/20/79/1005902079.db2.gz ZADOMUNLPRFUTN-BKPPORCPSA-N 0 2 324.282 0.190 20 0 DCADLN CN1C[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1=O ZINC001434776947 1005968261 /nfs/dbraw/zinc/96/82/61/1005968261.db2.gz ZDABKAVCNULPKK-UWVGGRQHSA-N 0 2 322.369 0.020 20 0 DCADLN O=C(CCCF)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001451416851 1006159654 /nfs/dbraw/zinc/15/96/54/1006159654.db2.gz NELFWYTVTDQLBT-POYBYMJQSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001451416851 1006159661 /nfs/dbraw/zinc/15/96/61/1006159661.db2.gz NELFWYTVTDQLBT-POYBYMJQSA-N 0 2 306.231 0.230 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(F)F ZINC001451786646 1006411543 /nfs/dbraw/zinc/41/15/43/1006411543.db2.gz WPMYIZHEVYNDDL-PHDIDXHHSA-N 0 2 324.221 0.478 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(C)(F)F ZINC001451786646 1006411557 /nfs/dbraw/zinc/41/15/57/1006411557.db2.gz WPMYIZHEVYNDDL-PHDIDXHHSA-N 0 2 324.221 0.478 20 0 DCADLN O=C(CC1CCC1)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452317556 1006739022 /nfs/dbraw/zinc/73/90/22/1006739022.db2.gz PXWPHLGBJHLWJZ-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001452317556 1006739028 /nfs/dbraw/zinc/73/90/28/1006739028.db2.gz PXWPHLGBJHLWJZ-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN CC[C@@H](O)[C@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001452603267 1006935539 /nfs/dbraw/zinc/93/55/39/1006935539.db2.gz QRMUZAKKRSFVQI-VXGBXAGGSA-N 0 2 319.365 0.223 20 0 DCADLN C[NH+](CCNC(=O)[C@@H]1CCC[N@@H+]1C)CC(=O)NC1CCCC1 ZINC001437455707 1006977520 /nfs/dbraw/zinc/97/75/20/1006977520.db2.gz YOUROOUBAMNNPQ-AWEZNQCLSA-N 0 2 310.442 0.187 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)NC(=O)C[N@@H+]2CC[C@@H](C)C2)c1[O-] ZINC001452701686 1006998005 /nfs/dbraw/zinc/99/80/05/1006998005.db2.gz GGIPMWQTQPHALT-NXEZZACHSA-N 0 2 323.397 0.000 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)NC(=O)C[N@H+]2CC[C@@H](C)C2)c1[O-] ZINC001452701686 1006998013 /nfs/dbraw/zinc/99/80/13/1006998013.db2.gz GGIPMWQTQPHALT-NXEZZACHSA-N 0 2 323.397 0.000 20 0 DCADLN CC(C)c1ocnc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437545357 1007120245 /nfs/dbraw/zinc/12/02/45/1007120245.db2.gz SDSCASAQHLAZLR-UHFFFAOYSA-N 0 2 308.342 0.484 20 0 DCADLN CC(C)c1ocnc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437545357 1007120251 /nfs/dbraw/zinc/12/02/51/1007120251.db2.gz SDSCASAQHLAZLR-UHFFFAOYSA-N 0 2 308.342 0.484 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN([C@@H]2CCCNC2=O)C1 ZINC001439725106 1007156631 /nfs/dbraw/zinc/15/66/31/1007156631.db2.gz JGXKWZBFKPTWOQ-BDAKNGLRSA-N 0 2 311.279 0.308 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN([C@@H]2CCCNC2=O)C1 ZINC001439725106 1007156624 /nfs/dbraw/zinc/15/66/24/1007156624.db2.gz JGXKWZBFKPTWOQ-BDAKNGLRSA-N 0 2 311.279 0.308 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cn[nH]n1)C(F)C(F)(F)F ZINC001453173568 1007289690 /nfs/dbraw/zinc/28/96/90/1007289690.db2.gz YWTQXSJXZZPVRK-CAHLUQPWSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cn[nH]n1)[C@@H](F)C(F)(F)F ZINC001453173568 1007289696 /nfs/dbraw/zinc/28/96/96/1007289696.db2.gz YWTQXSJXZZPVRK-CAHLUQPWSA-N 0 2 309.223 0.036 20 0 DCADLN C[N@H+](CC(=O)NC[C@@H]1CCN1C(=O)Cc1c[nH+]c[nH]1)C1CCC1 ZINC001453174131 1007290480 /nfs/dbraw/zinc/29/04/80/1007290480.db2.gz DBERBNSCSIYYJF-AWEZNQCLSA-N 0 2 319.409 0.154 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H](c1ccncc1)C1CC1 ZINC001439942825 1007319439 /nfs/dbraw/zinc/31/94/39/1007319439.db2.gz WAFQAFFKYWWWQS-AWEZNQCLSA-N 0 2 324.344 0.734 20 0 DCADLN Cc1ccn(C)c1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440915126 1008109176 /nfs/dbraw/zinc/10/91/76/1008109176.db2.gz ZBPBSOXWOQBNSH-JTQLQIEISA-N 0 2 306.370 0.408 20 0 DCADLN Cc1ccn(C)c1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440915126 1008109182 /nfs/dbraw/zinc/10/91/82/1008109182.db2.gz ZBPBSOXWOQBNSH-JTQLQIEISA-N 0 2 306.370 0.408 20 0 DCADLN C[C@H](CNC(=O)c1cncc(F)c1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440923304 1008117321 /nfs/dbraw/zinc/11/73/21/1008117321.db2.gz YJKMYRMHLOPPDI-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](CNC(=O)c1cncc(F)c1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440923304 1008117326 /nfs/dbraw/zinc/11/73/26/1008117326.db2.gz YJKMYRMHLOPPDI-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN CC(F)(F)CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001441247873 1008287153 /nfs/dbraw/zinc/28/71/53/1008287153.db2.gz FCFBLLOSFZKDCU-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CC(F)(F)CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001441247873 1008287158 /nfs/dbraw/zinc/28/71/58/1008287158.db2.gz FCFBLLOSFZKDCU-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CC(F)(F)CC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001441247873 1008287148 /nfs/dbraw/zinc/28/71/48/1008287148.db2.gz FCFBLLOSFZKDCU-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)N(C)C)[N@H+](C)C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001441395926 1008344863 /nfs/dbraw/zinc/34/48/63/1008344863.db2.gz NLSMVAVWICQPFE-ZDUSSCGKSA-N 0 2 321.425 0.283 20 0 DCADLN CC(=O)NC1(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)CC1 ZINC001453559380 1008579659 /nfs/dbraw/zinc/57/96/59/1008579659.db2.gz DXVRSCNDHOXLRQ-UHFFFAOYSA-N 0 2 302.338 0.209 20 0 DCADLN O=C(CCC1CC1)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001433250390 1008646819 /nfs/dbraw/zinc/64/68/19/1008646819.db2.gz RJRRICPGQXPYJA-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433250390 1008646812 /nfs/dbraw/zinc/64/68/12/1008646812.db2.gz RJRRICPGQXPYJA-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@H]1CC(=O)NN1C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001433596220 1008979034 /nfs/dbraw/zinc/97/90/34/1008979034.db2.gz FXGNSOSRTLSVDM-QMMMGPOBSA-N 0 2 304.281 0.983 20 0 DCADLN COCCOc1cncc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001454192573 1008991158 /nfs/dbraw/zinc/99/11/58/1008991158.db2.gz ZADIHSYVOHHPNP-UHFFFAOYSA-N 0 2 320.305 0.238 20 0 DCADLN COCCOc1cncc(C(=O)NCC2=NC(=O)CC(=O)N2)c1 ZINC001454192573 1008991164 /nfs/dbraw/zinc/99/11/64/1008991164.db2.gz ZADIHSYVOHHPNP-UHFFFAOYSA-N 0 2 320.305 0.238 20 0 DCADLN CCC[C@H](C)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001421940690 1009287902 /nfs/dbraw/zinc/28/79/02/1009287902.db2.gz JJQILEZLFFOCMC-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1c[nH]c(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001433901879 1009327173 /nfs/dbraw/zinc/32/71/73/1009327173.db2.gz FSZXEAPTFRTFHH-ZETCQYMHSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1c[nH]c(C(=O)NC2(CNC(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001433901879 1009327184 /nfs/dbraw/zinc/32/71/84/1009327184.db2.gz FSZXEAPTFRTFHH-ZETCQYMHSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1nonc1C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001433951708 1009392800 /nfs/dbraw/zinc/39/28/00/1009392800.db2.gz GSFXNLISXITPGK-UHFFFAOYSA-N 0 2 318.337 0.031 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CO1 ZINC001434149898 1009611457 /nfs/dbraw/zinc/61/14/57/1009611457.db2.gz BJKZBIHWBDWRSE-PSASIEDQSA-N 0 2 304.306 0.173 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccc(=O)[nH]c1 ZINC001455284209 1009631621 /nfs/dbraw/zinc/63/16/21/1009631621.db2.gz ZVZBTDMGVOMXGQ-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccc(=O)[nH]c1 ZINC001455284209 1009631623 /nfs/dbraw/zinc/63/16/23/1009631623.db2.gz ZVZBTDMGVOMXGQ-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455283123 1009632686 /nfs/dbraw/zinc/63/26/86/1009632686.db2.gz VGUOMTFQTZIGFS-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001455283123 1009632693 /nfs/dbraw/zinc/63/26/93/1009632693.db2.gz VGUOMTFQTZIGFS-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1cncc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001442708033 1009650820 /nfs/dbraw/zinc/65/08/20/1009650820.db2.gz PIIJFCVOGDTBMZ-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1cncc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001442708033 1009650824 /nfs/dbraw/zinc/65/08/24/1009650824.db2.gz PIIJFCVOGDTBMZ-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN COC(=O)Cc1sccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001434232472 1009683939 /nfs/dbraw/zinc/68/39/39/1009683939.db2.gz RKDLUCBTILPINP-UHFFFAOYSA-N 0 2 323.330 0.595 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@H](C)O1 ZINC001434280893 1009723363 /nfs/dbraw/zinc/72/33/63/1009723363.db2.gz CPDFHWXKNJBRJR-PTRXPTGYSA-N 0 2 318.333 0.562 20 0 DCADLN O=C(NC[C@]1(CO)COCCN1)c1ccc(Cl)c(O)c1 ZINC001434287144 1009726636 /nfs/dbraw/zinc/72/66/36/1009726636.db2.gz JLTVDPQGAYILBL-ZDUSSCGKSA-N 0 2 300.742 0.126 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@@H](O)c1c[nH]cn1 ZINC001434366267 1009841809 /nfs/dbraw/zinc/84/18/09/1009841809.db2.gz RBZPLUYDAYSEQP-SSDOTTSWSA-N 0 2 308.260 0.016 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1COCCN1CC(F)F)c1nn(C)cc1O ZINC001434405950 1009911541 /nfs/dbraw/zinc/91/15/41/1009911541.db2.gz DFUKSBRJLNCGLQ-BDAKNGLRSA-N 0 2 318.324 0.269 20 0 DCADLN NC(=O)C[C@@H]1COCCN1C(=O)c1c(O)cc(F)cc1F ZINC001434435885 1009953248 /nfs/dbraw/zinc/95/32/48/1009953248.db2.gz BXOXDRXHOJJPQE-MRVPVSSYSA-N 0 2 300.261 0.387 20 0 DCADLN COC(=O)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]([C@@H]2CCCO2)C1 ZINC001434490656 1010026089 /nfs/dbraw/zinc/02/60/89/1010026089.db2.gz UKMUQXTZLCHGRG-MXWKQRLJSA-N 0 2 310.354 0.443 20 0 DCADLN COC(=O)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]([C@@H]2CCCO2)C1 ZINC001434490656 1010026103 /nfs/dbraw/zinc/02/61/03/1010026103.db2.gz UKMUQXTZLCHGRG-MXWKQRLJSA-N 0 2 310.354 0.443 20 0 DCADLN CCOCc1nc([C@H](C)NS(=O)(=O)c2conc2C)no1 ZINC001434571877 1010105680 /nfs/dbraw/zinc/10/56/80/1010105680.db2.gz IXEUVWHJJAETHH-QMMMGPOBSA-N 0 2 316.339 0.942 20 0 DCADLN COc1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)ncn1 ZINC001456240215 1010141837 /nfs/dbraw/zinc/14/18/37/1010141837.db2.gz GBSUQGZXIRNOMI-UHFFFAOYSA-N 0 2 319.321 0.310 20 0 DCADLN CCO[C@@H](CC)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001443128489 1010160914 /nfs/dbraw/zinc/16/09/14/1010160914.db2.gz MHXRMXIQUYLCPJ-TUAOUCFPSA-N 0 2 323.397 0.501 20 0 DCADLN Cc1conc1C[N@@H+](C)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001422502698 1010194538 /nfs/dbraw/zinc/19/45/38/1010194538.db2.gz SDCNCELXYCMLPH-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1conc1C[N@H+](C)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001422502698 1010194546 /nfs/dbraw/zinc/19/45/46/1010194546.db2.gz SDCNCELXYCMLPH-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001422547951 1010278186 /nfs/dbraw/zinc/27/81/86/1010278186.db2.gz HIUNXEFIELNATN-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001422547951 1010278196 /nfs/dbraw/zinc/27/81/96/1010278196.db2.gz HIUNXEFIELNATN-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001443524847 1010471333 /nfs/dbraw/zinc/47/13/33/1010471333.db2.gz ZRFUMQRKMRNXKJ-HAFWLYHUSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC001443524847 1010471342 /nfs/dbraw/zinc/47/13/42/1010471342.db2.gz ZRFUMQRKMRNXKJ-HAFWLYHUSA-N 0 2 324.234 0.595 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001443887623 1010726365 /nfs/dbraw/zinc/72/63/65/1010726365.db2.gz HEDUCAPYZLCGPP-CAHLUQPWSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001443887623 1010726372 /nfs/dbraw/zinc/72/63/72/1010726372.db2.gz HEDUCAPYZLCGPP-CAHLUQPWSA-N 0 2 311.239 0.282 20 0 DCADLN O=C(CCCF)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001423108245 1010894608 /nfs/dbraw/zinc/89/46/08/1010894608.db2.gz IHMAWSUNAASXRF-LBPRGKRZSA-N 0 2 321.356 0.463 20 0 DCADLN C[C@@H](CN(C)C(=O)CCc1cnn[nH]1)[NH2+][C@@H](C)c1cnccn1 ZINC001423133280 1010914974 /nfs/dbraw/zinc/91/49/74/1010914974.db2.gz QVOBLIRJNFFFAL-RYUDHWBXSA-N 0 2 317.397 0.725 20 0 DCADLN CC(C)OCC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001444545390 1011056087 /nfs/dbraw/zinc/05/60/87/1011056087.db2.gz MAOOFHAETPKANY-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C)C(=O)C[N@@H+]1CC[C@]2(NC(=O)c3nnc[nH]3)CCC[C@H]12 ZINC001423349311 1011096501 /nfs/dbraw/zinc/09/65/01/1011096501.db2.gz KUPILDHARNUEMR-XHDPSFHLSA-N 0 2 320.397 0.010 20 0 DCADLN CCN(C)C(=O)C[N@H+]1CC[C@]2(NC(=O)c3nnc[nH]3)CCC[C@H]12 ZINC001423349311 1011096508 /nfs/dbraw/zinc/09/65/08/1011096508.db2.gz KUPILDHARNUEMR-XHDPSFHLSA-N 0 2 320.397 0.010 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@H]1C ZINC001444689069 1011130489 /nfs/dbraw/zinc/13/04/89/1011130489.db2.gz RWHNSLKBIRFLPA-AOOOYVTPSA-N 0 2 302.334 0.994 20 0 DCADLN CC[C@@H](CNC(=O)c1[nH]nc(C)c1C)NC(=O)C[N@H+](C)C(C)C ZINC001456744790 1011431814 /nfs/dbraw/zinc/43/18/14/1011431814.db2.gz NZQJQGNEEWRFRW-ZDUSSCGKSA-N 0 2 323.441 0.991 20 0 DCADLN CCOC(=O)[C@H](CC(=O)N[C@@H](C)c1nn(C)cc1O)C(C)=O ZINC001456788091 1011460598 /nfs/dbraw/zinc/46/05/98/1011460598.db2.gz OAIGJNFFHPKYDO-WCBMZHEXSA-N 0 2 311.338 0.461 20 0 DCADLN CCOC(=O)[C@@H](CC(=O)N[C@H](C)c1nn(C)cc1O)C(C)=O ZINC001456788085 1011461161 /nfs/dbraw/zinc/46/11/61/1011461161.db2.gz OAIGJNFFHPKYDO-SCZZXKLOSA-N 0 2 311.338 0.461 20 0 DCADLN CC1(C)OC(=O)c2ccccc2[C@@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001445411774 1011743739 /nfs/dbraw/zinc/74/37/39/1011743739.db2.gz HBVGASRWSAKFQH-LLVKDONJSA-N 0 2 316.317 0.859 20 0 DCADLN CCCC(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001445508342 1011856071 /nfs/dbraw/zinc/85/60/71/1011856071.db2.gz TZDVIVGIWYETTJ-ZXFLCMHBSA-N 0 2 300.252 0.375 20 0 DCADLN CCCC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001445508342 1011856077 /nfs/dbraw/zinc/85/60/77/1011856077.db2.gz TZDVIVGIWYETTJ-ZXFLCMHBSA-N 0 2 300.252 0.375 20 0 DCADLN COCCC(=O)NC[C@H](C)N(C)C(=O)C(F)C(F)(F)F ZINC001424028339 1011878352 /nfs/dbraw/zinc/87/83/52/1011878352.db2.gz UXWRWAKWEVPWNR-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)NC[C@H](C)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001424028339 1011878367 /nfs/dbraw/zinc/87/83/67/1011878367.db2.gz UXWRWAKWEVPWNR-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@@H](C)CCC(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001535697733 1011962874 /nfs/dbraw/zinc/96/28/74/1011962874.db2.gz SEXRMWOCLSUHRI-NSHDSACASA-N 0 2 322.409 0.841 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1(CNC(=O)[C@H]2C[C@H](C)CO2)CC1 ZINC001535727290 1011967502 /nfs/dbraw/zinc/96/75/02/1011967502.db2.gz VAIRGHIPKNITTE-GXFFZTMASA-N 0 2 320.393 0.451 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1(CNC(=O)[C@H]2C[C@@H](C)CO2)CC1 ZINC001535727292 1011967557 /nfs/dbraw/zinc/96/75/57/1011967557.db2.gz VAIRGHIPKNITTE-ZWNOBZJWSA-N 0 2 320.393 0.451 20 0 DCADLN CC(C)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001445647173 1012058391 /nfs/dbraw/zinc/05/83/91/1012058391.db2.gz PSXZDNHSWBQPEG-CSMHCCOUSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)C(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001445647173 1012058408 /nfs/dbraw/zinc/05/84/08/1012058408.db2.gz PSXZDNHSWBQPEG-CSMHCCOUSA-N 0 2 300.252 0.231 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445704907 1012135812 /nfs/dbraw/zinc/13/58/12/1012135812.db2.gz HVLDOIAOQYCQKK-VIFPVBQESA-N 0 2 306.370 0.651 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445704907 1012135816 /nfs/dbraw/zinc/13/58/16/1012135816.db2.gz HVLDOIAOQYCQKK-VIFPVBQESA-N 0 2 306.370 0.651 20 0 DCADLN Cc1nc(C)c(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001432260671 1012220369 /nfs/dbraw/zinc/22/03/69/1012220369.db2.gz ODLBPAFNXXYPBS-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN Cc1nc(C)c(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)[nH]1 ZINC001432260671 1012220382 /nfs/dbraw/zinc/22/03/82/1012220382.db2.gz ODLBPAFNXXYPBS-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN CO[C@@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001432261530 1012221805 /nfs/dbraw/zinc/22/18/05/1012221805.db2.gz WKTVUTKMYQWPPL-RKDXNWHRSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@@H](C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)C1CC1 ZINC001432261530 1012221817 /nfs/dbraw/zinc/22/18/17/1012221817.db2.gz WKTVUTKMYQWPPL-RKDXNWHRSA-N 0 2 312.263 0.639 20 0 DCADLN COc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c(N)c1F ZINC001557703527 1012293977 /nfs/dbraw/zinc/29/39/77/1012293977.db2.gz YIYWWGRLAWCSQD-UHFFFAOYSA-N 0 2 308.269 0.548 20 0 DCADLN COc1ccc(C(=O)NCC2=NC(=O)CC(=O)N2)c(N)c1F ZINC001557703527 1012293998 /nfs/dbraw/zinc/29/39/98/1012293998.db2.gz YIYWWGRLAWCSQD-UHFFFAOYSA-N 0 2 308.269 0.548 20 0 DCADLN COCCCn1cc(C(=O)N[C@@H](C)c2nn(C)cc2O)cn1 ZINC001558684140 1012376060 /nfs/dbraw/zinc/37/60/60/1012376060.db2.gz WSGATBHZDRSFLK-JTQLQIEISA-N 0 2 307.354 0.850 20 0 DCADLN Cc1nc[nH]c1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001459016818 1012526029 /nfs/dbraw/zinc/52/60/29/1012526029.db2.gz IQRMXOCMYZFUIU-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1nc[nH]c1C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001459016818 1012526043 /nfs/dbraw/zinc/52/60/43/1012526043.db2.gz IQRMXOCMYZFUIU-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN O=C(COc1ccsc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446125449 1012617441 /nfs/dbraw/zinc/61/74/41/1012617441.db2.gz LDYJMPLJLSMFIB-SECBINFHSA-N 0 2 323.378 0.341 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001432712859 1012796347 /nfs/dbraw/zinc/79/63/47/1012796347.db2.gz KMVXULQWYIOVGS-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001432712859 1012796352 /nfs/dbraw/zinc/79/63/52/1012796352.db2.gz KMVXULQWYIOVGS-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CCn1[n-]nnc1=NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC001476594348 1017206624 /nfs/dbraw/zinc/20/66/24/1017206624.db2.gz MXSDLSSZOKATIP-UHFFFAOYSA-N 0 2 316.369 0.432 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506595596 1017390664 /nfs/dbraw/zinc/39/06/64/1017390664.db2.gz UFHCZAWIHIXSEV-NXEZZACHSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@H](NC(=O)C[C@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506607488 1017402253 /nfs/dbraw/zinc/40/22/53/1017402253.db2.gz GKHNTTUAWWQODR-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)N(CCCNC(=O)c1cnn[nH]1)C(=O)Cc1cc[nH]n1 ZINC001497667029 1018319547 /nfs/dbraw/zinc/31/95/47/1018319547.db2.gz PUHVSEZHZNEOFK-UHFFFAOYSA-N 0 2 319.369 0.127 20 0 DCADLN Cc1ccnc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001495982146 1018931726 /nfs/dbraw/zinc/93/17/26/1018931726.db2.gz BILODRZVMQBJPM-MRVPVSSYSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ccnc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)n1 ZINC001495982146 1018931736 /nfs/dbraw/zinc/93/17/36/1018931736.db2.gz BILODRZVMQBJPM-MRVPVSSYSA-N 0 2 322.262 0.874 20 0 DCADLN O=C(Cc1ncc[nH]1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001501888175 1019539186 /nfs/dbraw/zinc/53/91/86/1019539186.db2.gz MIZHQLAZHYVUIJ-TXXBHVLJSA-N 0 2 322.262 0.641 20 0 DCADLN Cc1ncsc1C[NH2+]C[C@H](O)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001496989392 1019888209 /nfs/dbraw/zinc/88/82/09/1019888209.db2.gz BQIOXCNKRBRJGJ-LBPRGKRZSA-N 0 2 323.422 0.374 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001494061285 1019955682 /nfs/dbraw/zinc/95/56/82/1019955682.db2.gz GKMJZFKOPNTLJT-ZKWXMUAHSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001494061285 1019955688 /nfs/dbraw/zinc/95/56/88/1019955688.db2.gz GKMJZFKOPNTLJT-ZKWXMUAHSA-N 0 2 322.262 0.996 20 0 DCADLN CC(C)(C)NC(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001494389367 1020167278 /nfs/dbraw/zinc/16/72/78/1020167278.db2.gz MYPQQXOLEJBXLX-SECBINFHSA-N 0 2 313.295 0.602 20 0 DCADLN CC(C)(C)NC(=O)CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001494389367 1020167284 /nfs/dbraw/zinc/16/72/84/1020167284.db2.gz MYPQQXOLEJBXLX-SECBINFHSA-N 0 2 313.295 0.602 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@H+](C)CC2=CCSC2)n1 ZINC001606536928 1170229542 /nfs/dbraw/zinc/22/95/42/1170229542.db2.gz QNVAFVZTMRXWMN-UHFFFAOYSA-N 0 2 311.363 0.930 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@@H+](C)CC2=CCSC2)n1 ZINC001606536928 1170229546 /nfs/dbraw/zinc/22/95/46/1170229546.db2.gz QNVAFVZTMRXWMN-UHFFFAOYSA-N 0 2 311.363 0.930 20 0 DCADLN COCCOc1ccc(Cl)cc1C(=O)Nn1cn[nH]c1=O ZINC000272814313 210213972 /nfs/dbraw/zinc/21/39/72/210213972.db2.gz DBEYVRNKBFHRNZ-UHFFFAOYSA-N 0 2 312.713 0.634 20 0 DCADLN C[C@H](O)C[C@@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000279819850 215173990 /nfs/dbraw/zinc/17/39/90/215173990.db2.gz QLIAGMHEGWOHAJ-IUCAKERBSA-N 0 2 300.384 0.342 20 0 DCADLN O=C(N[C@H](CO)CC1CCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000279908420 215227089 /nfs/dbraw/zinc/22/70/89/215227089.db2.gz FIWRFRJMWJBBMX-JTQLQIEISA-N 0 2 318.333 0.717 20 0 DCADLN COC(=O)C1(NCc2cc(=O)n3[nH]ccc3n2)CCCCC1 ZINC000109800004 290910046 /nfs/dbraw/zinc/91/00/46/290910046.db2.gz UARWNJPZFVFDAH-UHFFFAOYSA-N 0 2 304.350 0.988 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](F)C[C@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000331897609 234250287 /nfs/dbraw/zinc/25/02/87/234250287.db2.gz BECASMIZSSGAPA-SFYZADRCSA-N 0 2 322.296 0.289 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](F)C[C@@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000331897607 234251375 /nfs/dbraw/zinc/25/13/75/234251375.db2.gz BECASMIZSSGAPA-JGVFFNPUSA-N 0 2 322.296 0.289 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)c2cc(C)ccc2C)n1 ZINC000174011027 198280368 /nfs/dbraw/zinc/28/03/68/198280368.db2.gz XCZTVTYUHPMKEM-UHFFFAOYSA-N 0 2 323.378 0.442 20 0 DCADLN CC[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCS1 ZINC000174770902 198382582 /nfs/dbraw/zinc/38/25/82/198382582.db2.gz RGZLTGFPWHAMMW-VIFPVBQESA-N 0 2 320.374 0.579 20 0 DCADLN Cc1c(F)cccc1S(=O)(=O)Nc1cnn(CC(N)=O)c1 ZINC000174678263 237171245 /nfs/dbraw/zinc/17/12/45/237171245.db2.gz RVKVPHKVRQFXQY-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN COC(=O)[C@@H](C)N(C)C(=O)CCN1C(=O)c2ccccc2C1=O ZINC000281091461 216073431 /nfs/dbraw/zinc/07/34/31/216073431.db2.gz CUYRZYMRFQGSGR-SNVBAGLBSA-N 0 2 318.329 0.693 20 0 DCADLN CC[C@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@@H](C)S1(=O)=O ZINC000561899428 288553527 /nfs/dbraw/zinc/55/35/27/288553527.db2.gz BSKPJUHXEPXFRF-PWSUYJOCSA-N 0 2 324.406 0.420 20 0 DCADLN O=C([O-])[C@@H]1CCCN1c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000262171325 304115046 /nfs/dbraw/zinc/11/50/46/304115046.db2.gz VBXOUPIQHBBXNM-RYUDHWBXSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@@H]1CCCN1c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000262171325 304115048 /nfs/dbraw/zinc/11/50/48/304115048.db2.gz VBXOUPIQHBBXNM-RYUDHWBXSA-N 0 2 306.366 0.739 20 0 DCADLN CCc1[nH][nH]c(=O)c1C(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000421810511 240106229 /nfs/dbraw/zinc/10/62/29/240106229.db2.gz FUJDQFKVSSYHMF-LLVKDONJSA-N 0 2 309.347 0.541 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC000422694319 240178694 /nfs/dbraw/zinc/17/86/94/240178694.db2.gz SRUNLRWKRWSGSA-VHSXEESVSA-N 0 2 321.333 0.598 20 0 DCADLN O=C(c1cnc2cccnn21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000567172292 291340932 /nfs/dbraw/zinc/34/09/32/291340932.db2.gz HWUOFNDMOATOSV-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cccc(OCC(F)F)n2)C1=O ZINC000271788146 209215444 /nfs/dbraw/zinc/21/54/44/209215444.db2.gz GASYYSHVLLPJSA-UHFFFAOYSA-N 0 2 314.248 0.264 20 0 DCADLN CCOC(=O)C1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC000567957852 291393802 /nfs/dbraw/zinc/39/38/02/291393802.db2.gz QYUBQVMAFOLJEW-JTQLQIEISA-N 0 2 322.365 0.950 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C2CCSCC2)s1 ZINC000264924259 204272284 /nfs/dbraw/zinc/27/22/84/204272284.db2.gz ULJDMNSNLRKEKX-UHFFFAOYSA-N 0 2 322.437 0.991 20 0 DCADLN NC(=O)[C@H]1CN(C(=O)NCCCc2ccc(O)cc2)CCO1 ZINC000265439299 204614759 /nfs/dbraw/zinc/61/47/59/204614759.db2.gz KLCACBRIIIWLRH-CYBMUJFWSA-N 0 2 307.350 0.221 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cnn(CC(F)F)c1 ZINC000288533356 220209018 /nfs/dbraw/zinc/20/90/18/220209018.db2.gz OLPNGAXQWFVHDN-UHFFFAOYSA-N 0 2 305.310 0.991 20 0 DCADLN C[NH+]1CCN(C(=O)c2cc(F)ccc2[N-]S(C)(=O)=O)CC1 ZINC000063444950 184281634 /nfs/dbraw/zinc/28/16/34/184281634.db2.gz AVUIRDZFVHLMDP-UHFFFAOYSA-N 0 2 315.370 0.585 20 0 DCADLN CN(CC(F)(F)F)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000065641232 184375826 /nfs/dbraw/zinc/37/58/26/184375826.db2.gz DJMZKINKYKIEPM-UHFFFAOYSA-N 0 2 302.212 0.658 20 0 DCADLN CSC[C@H](C)NC(=O)[C@H](C)S(=O)(=O)C1CCOCC1 ZINC000331113331 252648841 /nfs/dbraw/zinc/64/88/41/252648841.db2.gz KEPYFTXYNYIGSJ-UWVGGRQHSA-N 0 2 309.453 0.836 20 0 DCADLN COC(=O)CN(C)S(=O)(=O)[C@H]1CCCC[C@@H]1C(=O)OC ZINC000331043020 252649053 /nfs/dbraw/zinc/64/90/53/252649053.db2.gz DKNFYXAWFJWFQW-UWVGGRQHSA-N 0 2 307.368 0.153 20 0 DCADLN CN1CC[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC1=O ZINC000339399715 253077053 /nfs/dbraw/zinc/07/70/53/253077053.db2.gz ZHPBPZSEJQPBBH-JTQLQIEISA-N 0 2 316.317 0.837 20 0 DCADLN Cn1ncc2c1nc(N1CCC[C@H](c3n[nH]c(=O)[nH]3)C1)[nH]c2=O ZINC000339966413 253172127 /nfs/dbraw/zinc/17/21/27/253172127.db2.gz AEMGKZYFDWPOCG-ZETCQYMHSA-N 0 2 316.325 0.277 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000340328858 253238830 /nfs/dbraw/zinc/23/88/30/253238830.db2.gz FJRHNZAYKMDWEC-QMMMGPOBSA-N 0 2 304.306 0.341 20 0 DCADLN CCC[C@H](C)c1cc(=NC(=O)C(=O)N=c2nc(C)n(C)[nH]2)[nH][nH]1 ZINC000340371824 253243730 /nfs/dbraw/zinc/24/37/30/253243730.db2.gz QLCKEYYGCXKIIH-QMMMGPOBSA-N 0 2 319.369 0.171 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)c2cn(Cc3cccs3)nn2)C(=O)O1 ZINC000288649257 220320837 /nfs/dbraw/zinc/32/08/37/220320837.db2.gz QUGFORJJKBZVLL-WCBMZHEXSA-N 0 2 306.347 0.822 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCC1(O)CCOCC1)c2=O ZINC000341447308 253380977 /nfs/dbraw/zinc/38/09/77/253380977.db2.gz FXEQCEHMVYEMDW-UHFFFAOYSA-N 0 2 320.349 0.335 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)cc1C ZINC000342772944 253538524 /nfs/dbraw/zinc/53/85/24/253538524.db2.gz ZSICTZGIRFVRPF-UHFFFAOYSA-N 0 2 324.362 0.486 20 0 DCADLN Nc1c(NS(=O)(=O)c2ccoc2)cccc1S(N)(=O)=O ZINC000350803724 254325785 /nfs/dbraw/zinc/32/57/85/254325785.db2.gz UJMIUVSSUXWLNX-UHFFFAOYSA-N 0 2 317.348 0.310 20 0 DCADLN Cn1ccc(S(=O)(=O)[N-]c2ccc(Cn3cc[nH+]c3)cn2)n1 ZINC000351160824 254333881 /nfs/dbraw/zinc/33/38/81/254333881.db2.gz DUHRULSIGKHFQN-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)NCCc1cn2ccccc2[nH+]1 ZINC000351261634 254335100 /nfs/dbraw/zinc/33/51/00/254335100.db2.gz XHTCQLJMKMYWJS-UHFFFAOYSA-N 0 2 318.362 0.609 20 0 DCADLN O=S(=O)(Nc1cn[nH]c1)c1ccccc1Cn1cncn1 ZINC000351612622 254352245 /nfs/dbraw/zinc/35/22/45/254352245.db2.gz XRWCQKSYTIHKTC-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN CN1C(=O)c2ccc(S(=O)(=O)Nc3ncccn3)cc2C1=O ZINC000351667872 254357587 /nfs/dbraw/zinc/35/75/87/254357587.db2.gz NCOPILOBXRDLHQ-UHFFFAOYSA-N 0 2 318.314 0.503 20 0 DCADLN C[C@@H]1CCCN1S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329596718 296407457 /nfs/dbraw/zinc/40/74/57/296407457.db2.gz PEWLORSNSHKDIM-ZJUUUORDSA-N 0 2 315.399 0.419 20 0 DCADLN COc1cc(OC)cc([C@H](NC(=O)C(=O)[O-])c2[nH+]ccn2C)c1 ZINC000274205597 130216684 /nfs/dbraw/zinc/21/66/84/130216684.db2.gz VHCLPCAFPPCSKQ-LBPRGKRZSA-N 0 2 319.317 0.728 20 0 DCADLN CN(C)c1noc(CCC(=O)Nc2ccc3nn[nH]c3c2)n1 ZINC000275389869 130312663 /nfs/dbraw/zinc/31/26/63/130312663.db2.gz AHRXVGPHDSTTRQ-UHFFFAOYSA-N 0 2 301.310 0.978 20 0 DCADLN COC(=O)c1ccsc1NS(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000284655190 131101260 /nfs/dbraw/zinc/10/12/60/131101260.db2.gz DNWLQIBSACDVKI-ZETCQYMHSA-N 0 2 320.392 0.753 20 0 DCADLN CCOc1ccccc1N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092616915 395732090 /nfs/dbraw/zinc/73/20/90/395732090.db2.gz UYJIHUFLBFOVOG-UHFFFAOYSA-N 0 2 303.366 0.819 20 0 DCADLN Cc1ccc(S(C)(=O)=O)cc1NS(=O)(=O)c1cn[nH]c1 ZINC000037731570 395728576 /nfs/dbraw/zinc/72/85/76/395728576.db2.gz JYOBDAALLNQPCB-UHFFFAOYSA-N 0 2 315.376 0.922 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1nncs1 ZINC000037731789 395729100 /nfs/dbraw/zinc/72/91/00/395729100.db2.gz FUWGZDLSMUFTQC-UHFFFAOYSA-N 0 2 303.325 0.239 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000195434359 395826811 /nfs/dbraw/zinc/82/68/11/395826811.db2.gz SGDOJCVJPRFJBN-YIZRAAEISA-N 0 2 314.329 0.930 20 0 DCADLN Cc1nn2c(nc(C)cc2C)c1C(=O)NN1CC(=O)N(C)C1=O ZINC000271325602 395858104 /nfs/dbraw/zinc/85/81/04/395858104.db2.gz YDAZUNBVQAGFTA-UHFFFAOYSA-N 0 2 316.321 0.193 20 0 DCADLN COCCOCCS(=O)(=O)Nc1cc(C(C)=O)ccc1O ZINC000273488468 395924705 /nfs/dbraw/zinc/92/47/05/395924705.db2.gz VNIINGKONAJJJV-UHFFFAOYSA-N 0 2 317.363 1.000 20 0 DCADLN O=C(CNC(=O)c1cc(F)c(F)c(O)c1F)N1CCOCC1 ZINC000273504718 395925673 /nfs/dbraw/zinc/92/56/73/395925673.db2.gz RMXWMDQEOICELG-UHFFFAOYSA-N 0 2 318.251 0.398 20 0 DCADLN Cc1csc(C(=O)[O-])c1S(=O)(=O)N1CC[NH+](C)CC1 ZINC000226461988 395865906 /nfs/dbraw/zinc/86/59/06/395865906.db2.gz GRIIPHNXLTZGML-UHFFFAOYSA-N 0 2 304.393 0.691 20 0 DCADLN CC(C)(O)C(C)(C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000272151049 395873256 /nfs/dbraw/zinc/87/32/56/395873256.db2.gz QVCGCYJDGLBFJP-UHFFFAOYSA-N 0 2 306.322 0.715 20 0 DCADLN CCN(C[C@H]1CCOC1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000149219450 395969275 /nfs/dbraw/zinc/96/92/75/395969275.db2.gz NWRFNYBVZHZBPB-SECBINFHSA-N 0 2 318.333 0.110 20 0 DCADLN COCCn1ccc(NS(=O)(=O)C[C@H]2CCCCO2)n1 ZINC000150853469 395974398 /nfs/dbraw/zinc/97/43/98/395974398.db2.gz QKFXUIPIVNHYKJ-LLVKDONJSA-N 0 2 303.384 0.840 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@@H]1c1cccc2c1OCCO2 ZINC000262233994 396113950 /nfs/dbraw/zinc/11/39/50/396113950.db2.gz NMWVNWZHHXQFBJ-GFCCVEGCSA-N 0 2 320.345 0.796 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@@H]1c1cccc2c1OCCO2 ZINC000262233994 396113951 /nfs/dbraw/zinc/11/39/51/396113951.db2.gz NMWVNWZHHXQFBJ-GFCCVEGCSA-N 0 2 320.345 0.796 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(NC(=O)OC)c2)c1O ZINC000278310781 396118474 /nfs/dbraw/zinc/11/84/74/396118474.db2.gz XCDKEDUKEOEQFX-JTQLQIEISA-N 0 2 321.289 0.853 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1cc(F)c(O)c(Cl)c1)[C@@H](C)O ZINC000278702567 396140058 /nfs/dbraw/zinc/14/00/58/396140058.db2.gz QGSAZMXJDGVSPY-ANLVUFKYSA-N 0 2 305.689 0.837 20 0 DCADLN CCOC(=O)CCC[NH+]1CCN(C(=O)[C@@H]2CCC[N@@H+]2C)CC1 ZINC000162375090 396080464 /nfs/dbraw/zinc/08/04/64/396080464.db2.gz AEPZESLBCACAPI-AWEZNQCLSA-N 0 2 311.426 0.568 20 0 DCADLN C[C@@H]1CCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@@H]1C ZINC000176733231 396160184 /nfs/dbraw/zinc/16/01/84/396160184.db2.gz KVQCYGCICZGYON-HTQZYQBOSA-N 0 2 324.362 0.030 20 0 DCADLN Cc1[nH]ncc1CCCNC(=O)CNC(=O)c1ccc(O)cc1 ZINC000076432090 396203879 /nfs/dbraw/zinc/20/38/79/396203879.db2.gz GZVZFVITQRTKGB-UHFFFAOYSA-N 0 2 316.361 0.903 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)C(=O)NCCF ZINC000282247363 396274951 /nfs/dbraw/zinc/27/49/51/396274951.db2.gz ITVWWIJKKLOYOU-ZCFIWIBFSA-N 0 2 315.267 0.034 20 0 DCADLN CCCN(CC(=O)[O-])C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000184901857 396310130 /nfs/dbraw/zinc/31/01/30/396310130.db2.gz ZJNNCJPIDQZKBR-UHFFFAOYSA-N 0 2 320.393 0.527 20 0 DCADLN CCNS(=O)(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185209134 396321689 /nfs/dbraw/zinc/32/16/89/396321689.db2.gz YUBMQKDCNUTGFI-UHFFFAOYSA-N 0 2 311.367 0.328 20 0 DCADLN Cn1ncnc1CS(=O)(=O)c1n[nH]c(-c2ccccc2F)n1 ZINC000580780290 396499047 /nfs/dbraw/zinc/49/90/47/396499047.db2.gz UJLIJQVITBFACR-UHFFFAOYSA-N 0 2 322.325 0.713 20 0 DCADLN CCC1(CNC(=O)CSc2n[nH]c(=O)[nH]2)CCOCC1 ZINC000289284968 396458170 /nfs/dbraw/zinc/45/81/70/396458170.db2.gz TUWBQRVAMNDASL-UHFFFAOYSA-N 0 2 300.384 0.513 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cc(C)nc3ncnn32)cn1 ZINC000291007986 396477395 /nfs/dbraw/zinc/47/73/95/396477395.db2.gz VWJQFMJBROTQLO-UHFFFAOYSA-N 0 2 307.339 0.450 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000371993096 396482060 /nfs/dbraw/zinc/48/20/60/396482060.db2.gz QHWILTZIKFSRBP-VIFPVBQESA-N 0 2 311.248 0.397 20 0 DCADLN CC(C)[N@@H+]1CC[C@@H](N(C)C(=O)C(=O)N(C)Cc2c[nH+]c[nH]2)C1 ZINC000581023296 396520207 /nfs/dbraw/zinc/52/02/07/396520207.db2.gz WWEOVGCTYXVUAV-CYBMUJFWSA-N 0 2 307.398 0.309 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(OC(=O)NC)c2)c1O ZINC000292001891 396523976 /nfs/dbraw/zinc/52/39/76/396523976.db2.gz XQIBGYHYSHHNBQ-JTQLQIEISA-N 0 2 321.289 0.393 20 0 DCADLN CCCCNC(=O)C[N@H+]1CC[C@H](N2CC[NH+](C)CC2)[C@H](C)C1 ZINC000374326975 396655146 /nfs/dbraw/zinc/65/51/46/396655146.db2.gz UQMRJAFWKOVZMW-CVEARBPZSA-N 0 2 310.486 0.861 20 0 DCADLN C[C@@H]1CC(=O)N[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000293123492 396588754 /nfs/dbraw/zinc/58/87/54/396588754.db2.gz RQDGIHHFKFWGAN-KWQFWETISA-N 0 2 316.317 0.883 20 0 DCADLN COc1cc(OC)cc(C(=O)NCC(=O)NOCC2CC2)c1 ZINC000293870804 396638514 /nfs/dbraw/zinc/63/85/14/396638514.db2.gz WREBTLIFYVWZIT-UHFFFAOYSA-N 0 2 308.334 0.891 20 0 DCADLN COC(=O)c1ccc(O)cc1NS(=O)(=O)C[C@H](C)OC ZINC000294221828 396661240 /nfs/dbraw/zinc/66/12/40/396661240.db2.gz NQWGYHFNFWJTHE-QMMMGPOBSA-N 0 2 303.336 0.955 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N[C@@H]2COC[C@H]2O)=N1 ZINC000562715143 396676243 /nfs/dbraw/zinc/67/62/43/396676243.db2.gz BCMHFXSVRSSMQY-BXUZGUMPSA-N 0 2 317.345 0.630 20 0 DCADLN COC(=O)C(C)(C)n1cc(NS(=O)(=O)c2cn[nH]c2)cn1 ZINC000596021359 396709050 /nfs/dbraw/zinc/70/90/50/396709050.db2.gz ITLPUBXGOQMEII-UHFFFAOYSA-N 0 2 313.339 0.315 20 0 DCADLN C[N@@H+]1CCO[C@H]([C@@H](NC(=O)C(=O)[O-])c2ccc(Cl)cc2)C1 ZINC000375155136 396713879 /nfs/dbraw/zinc/71/38/79/396713879.db2.gz WXRUVAHUPMDZCR-RYUDHWBXSA-N 0 2 312.753 0.913 20 0 DCADLN C[N@H+]1CCO[C@H]([C@@H](NC(=O)C(=O)[O-])c2ccc(Cl)cc2)C1 ZINC000375155136 396713884 /nfs/dbraw/zinc/71/38/84/396713884.db2.gz WXRUVAHUPMDZCR-RYUDHWBXSA-N 0 2 312.753 0.913 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000617722602 396795547 /nfs/dbraw/zinc/79/55/47/396795547.db2.gz BBKUWWRKMOZKAW-ZJUUUORDSA-N 0 2 320.349 0.767 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000617722602 396795548 /nfs/dbraw/zinc/79/55/48/396795548.db2.gz BBKUWWRKMOZKAW-ZJUUUORDSA-N 0 2 320.349 0.767 20 0 DCADLN Cc1nn(C)c2ncc(C[N@@H+]3CCN4C(=O)[C@@H]([NH3+])C[C@H]4C3)cc12 ZINC000563431138 396732813 /nfs/dbraw/zinc/73/28/13/396732813.db2.gz GERGXQGFXFUTRR-JSGCOSHPSA-N 0 2 314.393 0.021 20 0 DCADLN CCCn1nc(C)c(C[N@@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@H]3C2)c1C ZINC000563430965 396733339 /nfs/dbraw/zinc/73/33/39/396733339.db2.gz CPIPPSSMKUGRNI-ZFWWWQNUSA-N 0 2 305.426 0.654 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cnn(CCO)c2)cc1 ZINC000625080838 396766770 /nfs/dbraw/zinc/76/67/70/396766770.db2.gz WSCTVYLMFYRMNW-UHFFFAOYSA-N 0 2 324.362 0.036 20 0 DCADLN C[C@@H](CO)[C@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000617861660 396843137 /nfs/dbraw/zinc/84/31/37/396843137.db2.gz JBJIKACFCXJXRM-DTWKUNHWSA-N 0 2 300.384 0.612 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)c2n[nH]c(C)c2[O-])[C@@H](C)C1 ZINC000611407592 396863234 /nfs/dbraw/zinc/86/32/34/396863234.db2.gz NGUOZWBZSGGXHH-VIFPVBQESA-N 0 2 310.354 0.133 20 0 DCADLN COC(=O)CC[N@H+]1CCN(C(=O)c2n[nH]c(C)c2[O-])[C@@H](C)C1 ZINC000611407592 396863239 /nfs/dbraw/zinc/86/32/39/396863239.db2.gz NGUOZWBZSGGXHH-VIFPVBQESA-N 0 2 310.354 0.133 20 0 DCADLN CC[C@]1(O)CCCN(C(=O)c2cnn(C)c2-n2cnnc2)C1 ZINC000634200047 396812114 /nfs/dbraw/zinc/81/21/14/396812114.db2.gz AVVUBIKAUHZZCL-AWEZNQCLSA-N 0 2 304.354 0.378 20 0 DCADLN CC(C)[C@H](CNC(=O)C[N@H+](C)C1CCC1)N1CC[NH+](C)CC1 ZINC000629965305 396899019 /nfs/dbraw/zinc/89/90/19/396899019.db2.gz SOLCJDARKYNOIJ-INIZCTEOSA-N 0 2 310.486 0.859 20 0 DCADLN C[C@H]1C[NH+](C2CC2)C[C@H]1NC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000332926017 396909279 /nfs/dbraw/zinc/90/92/79/396909279.db2.gz JTZYFRXKUIZLGP-DZGCQCFKSA-N 0 2 324.469 0.879 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)NCc1ccc(OC)c(C(=O)[O-])c1 ZINC000630097985 396939900 /nfs/dbraw/zinc/93/99/00/396939900.db2.gz OFZDTNFZHYWKHK-CYBMUJFWSA-N 0 2 322.361 0.730 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)NCc1ccc(OC)c(C(=O)[O-])c1 ZINC000630097985 396939906 /nfs/dbraw/zinc/93/99/06/396939906.db2.gz OFZDTNFZHYWKHK-CYBMUJFWSA-N 0 2 322.361 0.730 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)N1CCc2ccc(C(=O)[O-])cc2C1 ZINC000630155715 396949873 /nfs/dbraw/zinc/94/98/73/396949873.db2.gz IACWIXPZCXRNLN-OAHLLOKOSA-N 0 2 318.373 0.990 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)N1CCc2ccc(C(=O)[O-])cc2C1 ZINC000630155715 396949880 /nfs/dbraw/zinc/94/98/80/396949880.db2.gz IACWIXPZCXRNLN-OAHLLOKOSA-N 0 2 318.373 0.990 20 0 DCADLN O=C([O-])[C@H]1CC[C@@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000630167820 396952826 /nfs/dbraw/zinc/95/28/26/396952826.db2.gz XQMPGOYZJCBDRQ-SCRDCRAPSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])[C@H]1CC[C@@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000630167820 396952831 /nfs/dbraw/zinc/95/28/31/396952831.db2.gz XQMPGOYZJCBDRQ-SCRDCRAPSA-N 0 2 310.394 0.999 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)N1Cc2ccc(C(=O)[O-])cc2C1 ZINC000630217371 396964717 /nfs/dbraw/zinc/96/47/17/396964717.db2.gz AWEQAVSZQPGORR-AWEZNQCLSA-N 0 2 304.346 0.948 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)N1Cc2ccc(C(=O)[O-])cc2C1 ZINC000630217371 396964721 /nfs/dbraw/zinc/96/47/21/396964721.db2.gz AWEQAVSZQPGORR-AWEZNQCLSA-N 0 2 304.346 0.948 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC2(C(N)=O)CCC2)c1 ZINC000591772491 397001650 /nfs/dbraw/zinc/00/16/50/397001650.db2.gz JVKMQSPWAKJQTN-OAQYLSRUSA-N 0 2 310.375 0.327 20 0 DCADLN C[C@H](C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1)n1cncn1 ZINC000591830603 397006130 /nfs/dbraw/zinc/00/61/30/397006130.db2.gz TXVMFXSEOHBVME-MRVPVSSYSA-N 0 2 300.278 0.821 20 0 DCADLN COC(=O)c1cc(C(=O)N2CCC[C@H](C3N=NC(=O)O3)C2)on1 ZINC000591831453 397007665 /nfs/dbraw/zinc/00/76/65/397007665.db2.gz RYAXKYRVXZWOAR-ZETCQYMHSA-N 0 2 322.277 0.570 20 0 DCADLN C[N@H+]1CCOC[C@H]1CNC(=O)Nc1ccc2c(c1)C[NH2+]CCO2 ZINC000625896794 397029546 /nfs/dbraw/zinc/02/95/46/397029546.db2.gz AMEUQAYSWKWDEZ-CQSZACIVSA-N 0 2 320.393 0.621 20 0 DCADLN NS(=O)(=O)c1ccc(-n2[nH]c(-c3cccnc3)cc2=O)cc1 ZINC000612372908 397039626 /nfs/dbraw/zinc/03/96/26/397039626.db2.gz GORFYGIOUDWAHC-UHFFFAOYSA-N 0 2 316.342 0.870 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(OC)c(COC)c2)c1O ZINC000600957425 396972380 /nfs/dbraw/zinc/97/23/80/396972380.db2.gz GWSXJHBTKYRFQV-GFCCVEGCSA-N 0 2 322.317 0.830 20 0 DCADLN C[C@@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)C[C@@H](C(=O)[O-])O1 ZINC000630261350 396973659 /nfs/dbraw/zinc/97/36/59/396973659.db2.gz KVMQGWPXUYVZOU-PWSUYJOCSA-N 0 2 307.350 0.462 20 0 DCADLN Cc1cc(S(N)(=O)=O)oc1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000612531266 397081317 /nfs/dbraw/zinc/08/13/17/397081317.db2.gz BLBMMQVMLMNNQK-VIFPVBQESA-N 0 2 324.362 0.766 20 0 DCADLN CCC(=O)N1CCCN(S(=O)(=O)NCC(F)(F)F)CC1 ZINC000573527492 397177381 /nfs/dbraw/zinc/17/73/81/397177381.db2.gz CWQZSDVSYHKPLA-UHFFFAOYSA-N 0 2 317.333 0.327 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)C[C@@H](OC)C(C)C)nn1 ZINC000592384151 397164921 /nfs/dbraw/zinc/16/49/21/397164921.db2.gz JNGCAZZBPXRCCZ-SNVBAGLBSA-N 0 2 317.367 0.676 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CO[C@H](C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000573923577 397220074 /nfs/dbraw/zinc/22/00/74/397220074.db2.gz PVCMZVNSWXHHJC-HTQZYQBOSA-N 0 2 304.306 0.652 20 0 DCADLN COC(=O)c1cccc(OCC[N@@H+]2CCO[C@H](C(=O)[O-])C2)c1 ZINC000592987839 397310099 /nfs/dbraw/zinc/31/00/99/397310099.db2.gz AVLWKTBCZBIBJH-ZDUSSCGKSA-N 0 2 309.318 0.637 20 0 DCADLN COC(=O)c1cccc(OCC[N@H+]2CCO[C@H](C(=O)[O-])C2)c1 ZINC000592987839 397310101 /nfs/dbraw/zinc/31/01/01/397310101.db2.gz AVLWKTBCZBIBJH-ZDUSSCGKSA-N 0 2 309.318 0.637 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000620308598 397259574 /nfs/dbraw/zinc/25/95/74/397259574.db2.gz LYRJGMDPMBUCJE-ZETCQYMHSA-N 0 2 322.412 0.909 20 0 DCADLN O=C(NCC1([NH+]2CCOCC2)CC1)c1ccc2oc(=O)nc-2[n-]1 ZINC000614028446 397364382 /nfs/dbraw/zinc/36/43/82/397364382.db2.gz MJUYGHHMVUSFPK-UHFFFAOYSA-N 0 2 318.333 0.523 20 0 DCADLN C[C@H]1CCNC(=O)[C@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614452983 397441066 /nfs/dbraw/zinc/44/10/66/397441066.db2.gz GOLUQPWHJSISHC-KWQFWETISA-N 0 2 316.317 0.284 20 0 DCADLN C[C@H]1OCC[C@@]1(O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614205463 397399505 /nfs/dbraw/zinc/39/95/05/397399505.db2.gz UNEGSNRVOUCLMQ-RFAUZJTJSA-N 0 2 319.317 0.300 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)NCC2CC(F)(F)C2)on1 ZINC000599320048 397404578 /nfs/dbraw/zinc/40/45/78/397404578.db2.gz QLANNDQGBMBBEQ-UHFFFAOYSA-N 0 2 310.278 0.785 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NC[C@H]2CC[C@@H](CO)O2)s[nH]1 ZINC000578280389 397414252 /nfs/dbraw/zinc/41/42/52/397414252.db2.gz RZXLUNJZURQSFH-BDAKNGLRSA-N 0 2 314.411 0.919 20 0 DCADLN C[C@H](C[NH2+]C1CC[NH+]([C@@H]2CCC[C@H]2O)CC1)S(C)(=O)=O ZINC000578315859 397418136 /nfs/dbraw/zinc/41/81/36/397418136.db2.gz CTRPHFVSECZZBQ-MRVWCRGKSA-N 0 2 304.456 0.387 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+](CC(=O)[O-])C1CCC1)C(=O)OC ZINC000594524919 397564440 /nfs/dbraw/zinc/56/44/40/397564440.db2.gz NHBHLORZUVPQEA-YGRLFVJLSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+](CC(=O)[O-])C1CCC1)C(=O)OC ZINC000594524919 397564443 /nfs/dbraw/zinc/56/44/43/397564443.db2.gz NHBHLORZUVPQEA-YGRLFVJLSA-N 0 2 314.382 0.629 20 0 DCADLN CN(C(=O)Cn1cc[nH+]c1)C1CC[NH+](CCn2cccn2)CC1 ZINC000579422451 397564954 /nfs/dbraw/zinc/56/49/54/397564954.db2.gz PBJMCSQSCOAHFC-UHFFFAOYSA-N 0 2 316.409 0.703 20 0 DCADLN C[N@@H+]1CCN(C(=O)Nc2ccc3c(n2)CCCC3=O)[C@@H](C[NH3+])C1 ZINC000579089389 397520475 /nfs/dbraw/zinc/52/04/75/397520475.db2.gz RTIZSSDBIBHXII-NSHDSACASA-N 0 2 317.393 0.707 20 0 DCADLN O=C(NC[C@@H](O)[C@@H]1CCOC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000605894328 397673600 /nfs/dbraw/zinc/67/36/00/397673600.db2.gz QCUNYIUFNICHOS-VXGBXAGGSA-N 0 2 319.317 0.157 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCN(C)C(=O)C1(C)C)c2=O ZINC000119338098 158186119 /nfs/dbraw/zinc/18/61/19/158186119.db2.gz ZCPVSPMUSXQGFO-UHFFFAOYSA-N 0 2 317.349 0.367 20 0 DCADLN CCc1nnc(NC(=O)c2ccccc2NS(C)(=O)=O)[nH]1 ZINC000123175409 158226700 /nfs/dbraw/zinc/22/67/00/158226700.db2.gz VJOWJPQTCCNEPV-UHFFFAOYSA-N 0 2 309.351 0.991 20 0 DCADLN CCc1nc(NC(=O)c2ccccc2NS(C)(=O)=O)n[nH]1 ZINC000123175409 158226701 /nfs/dbraw/zinc/22/67/01/158226701.db2.gz VJOWJPQTCCNEPV-UHFFFAOYSA-N 0 2 309.351 0.991 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1c2ccccc2C[C@@H]1O ZINC000127247152 158270992 /nfs/dbraw/zinc/27/09/92/158270992.db2.gz QEHZWVKZTIQIPP-VHSXEESVSA-N 0 2 310.297 0.631 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])cc(F)c2F)CC1 ZINC000132031694 158307658 /nfs/dbraw/zinc/30/76/58/158307658.db2.gz NYFOTHQAODKDIP-UHFFFAOYSA-N 0 2 320.317 0.599 20 0 DCADLN O=c1[nH]nc(CNc2ccc3nnc(-c4ccccc4)n3n2)[nH]1 ZINC000301622724 158979403 /nfs/dbraw/zinc/97/94/03/158979403.db2.gz OEJDKLZNMICPBG-UHFFFAOYSA-N 0 2 308.305 0.815 20 0 DCADLN O=C(c1cnn2cccnc12)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328751604 159026370 /nfs/dbraw/zinc/02/63/70/159026370.db2.gz CMYADRRLHTXVMX-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCOC[C@H](C2CCC2)C1 ZINC000329518352 159099021 /nfs/dbraw/zinc/09/90/21/159099021.db2.gz ZITOKVWFUQFCHY-SNVBAGLBSA-N 0 2 312.395 0.878 20 0 DCADLN Cn1c(CN2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nnc1C1CC1 ZINC000329684888 159112062 /nfs/dbraw/zinc/11/20/62/159112062.db2.gz ZSAXOZICUQIFFP-JTQLQIEISA-N 0 2 303.370 0.896 20 0 DCADLN COCCc1nsc(NC2CCN(C(=O)C3CC3)CC2)n1 ZINC000330224251 159151763 /nfs/dbraw/zinc/15/17/63/159151763.db2.gz NPJSQBLEPAXCKF-UHFFFAOYSA-N 0 2 310.423 0.962 20 0 DCADLN COCCc1nsc(N[C@@H](CCO)C2CCOCC2)n1 ZINC000359249554 159256147 /nfs/dbraw/zinc/25/61/47/159256147.db2.gz DNVAIEBFTCYVIZ-NSHDSACASA-N 0 2 301.412 0.738 20 0 DCADLN O=C([C@H]1C[N@@H+]2CCN1CCC2)N1CCN(c2cccc[nH+]2)CC1 ZINC000367162236 159318512 /nfs/dbraw/zinc/31/85/12/159318512.db2.gz YSGPNBJRJZDFCW-OAHLLOKOSA-N 0 2 315.421 0.120 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc2c1OCC(=O)N2 ZINC000368120342 159376301 /nfs/dbraw/zinc/37/63/01/159376301.db2.gz BDSFHKFXVCDOJO-UHFFFAOYSA-N 0 2 308.319 0.552 20 0 DCADLN COCC1(CNS(=O)(=O)NCC(F)(F)F)CCOCC1 ZINC000368318886 159387182 /nfs/dbraw/zinc/38/71/82/159387182.db2.gz ULIDBFRXXVZHIN-UHFFFAOYSA-N 0 2 320.333 0.416 20 0 DCADLN CC[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H]1O ZINC000408015740 160011186 /nfs/dbraw/zinc/01/11/86/160011186.db2.gz DCWRSLMGAMDMTI-OIBJUYFYSA-N 0 2 304.306 0.279 20 0 DCADLN C[C@H]1CC[C@H](C(=O)NS(=O)(=O)c2cc(C(N)=O)n(C)c2)C1 ZINC000408321661 160084936 /nfs/dbraw/zinc/08/49/36/160084936.db2.gz PWGZSLAQIZGKDX-IUCAKERBSA-N 0 2 313.379 0.365 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)CC1CCOCC1 ZINC000408442144 160108433 /nfs/dbraw/zinc/10/84/33/160108433.db2.gz KHOXEWWZBPHGSE-UHFFFAOYSA-N 0 2 315.395 0.659 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)CC[C@@H]1CCCCO1 ZINC000408450464 160111274 /nfs/dbraw/zinc/11/12/74/160111274.db2.gz JIEVHSNTIBAAMZ-NSHDSACASA-N 0 2 315.395 0.883 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)c1ccccn1 ZINC000047477716 286887537 /nfs/dbraw/zinc/88/75/37/286887537.db2.gz BRMUUGVPMNSXKT-MRVPVSSYSA-N 0 2 311.301 0.910 20 0 DCADLN C[C@H](CC(=O)NCCCc1n[nH]c(=O)[nH]1)NC(=O)C1CCCC1 ZINC000080975571 286928004 /nfs/dbraw/zinc/92/80/04/286928004.db2.gz GSLRLCBZYQJDBV-SNVBAGLBSA-N 0 2 323.397 0.232 20 0 DCADLN COC(=O)[C@@H](C)[C@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccccc1 ZINC000152479267 287041847 /nfs/dbraw/zinc/04/18/47/287041847.db2.gz CRKHRXNXUAYWGW-WPRPVWTQSA-N 0 2 304.306 0.378 20 0 DCADLN CN(Cc1ccnn1C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000352542202 415214317 /nfs/dbraw/zinc/21/43/17/415214317.db2.gz KEDAVZXUWOEDMC-UHFFFAOYSA-N 0 2 300.322 0.680 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccnn2C)ccc1O ZINC000044593927 415226225 /nfs/dbraw/zinc/22/62/25/415226225.db2.gz ZLFPIWUUBXNKIW-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN COCc1nc(CNS(=O)(=O)NCC(F)(F)F)cs1 ZINC000352723531 415288995 /nfs/dbraw/zinc/28/89/95/415288995.db2.gz CHNZVQGPWGHYNT-UHFFFAOYSA-N 0 2 319.330 0.776 20 0 DCADLN CCNc1nc(N[C@H]2CCCN(C)C2=O)[nH+]c(NC(C)(C)C)n1 ZINC000342818631 415300347 /nfs/dbraw/zinc/30/03/47/415300347.db2.gz XJIIBGJRVBWNSK-JTQLQIEISA-N 0 2 321.429 0.390 20 0 DCADLN CCc1cccc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)c1 ZINC000080938828 415477877 /nfs/dbraw/zinc/47/78/77/415477877.db2.gz LJZWWYSHKPWHEG-UHFFFAOYSA-N 0 2 308.363 0.732 20 0 DCADLN COc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1OC ZINC000080974326 415478045 /nfs/dbraw/zinc/47/80/45/415478045.db2.gz JTPGZYIKYUMOOV-UHFFFAOYSA-N 0 2 306.322 0.478 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCNC(=O)[C@H]2C)c1 ZINC000424635205 287311700 /nfs/dbraw/zinc/31/17/00/287311700.db2.gz BMGXJUVBCGLDOS-LADRHHBVSA-N 0 2 310.375 0.150 20 0 DCADLN Cc1cc(C(=O)Nc2nncs2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000353660412 415615963 /nfs/dbraw/zinc/61/59/63/415615963.db2.gz SPHSEJDZNZGIKK-UHFFFAOYSA-N 0 2 304.291 0.848 20 0 DCADLN CCNc1nc(N(C)[C@H]2COC[C@H]2O)nc(NC(C)(C)C)[nH+]1 ZINC000343631798 415665057 /nfs/dbraw/zinc/66/50/57/415665057.db2.gz OCCMLDYRUASXLF-VHSXEESVSA-N 0 2 310.402 0.132 20 0 DCADLN O=C([O-])c1cccc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)[nH+]1 ZINC000107854834 415676465 /nfs/dbraw/zinc/67/64/65/415676465.db2.gz NWVXSXOXOBIYSG-LBPRGKRZSA-N 0 2 305.334 0.607 20 0 DCADLN COCc1nc(S(=O)(=O)CC(=O)N2CCCCCC2)n[nH]1 ZINC000353893230 415686097 /nfs/dbraw/zinc/68/60/97/415686097.db2.gz IRXMPKFBNMZMOI-UHFFFAOYSA-N 0 2 316.383 0.127 20 0 DCADLN COCc1noc([C@@H](C)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000344123398 415843026 /nfs/dbraw/zinc/84/30/26/415843026.db2.gz VQRKWHQBKCHRFB-RKDXNWHRSA-N 0 2 308.342 0.980 20 0 DCADLN CC(C)N1CC[C@@H](NC(=O)N(C)[C@@H](C)CS(C)(=O)=O)C1=O ZINC000334033360 415787216 /nfs/dbraw/zinc/78/72/16/415787216.db2.gz XEIBPFMPWMUNNZ-WDEREUQCSA-N 0 2 319.427 0.070 20 0 DCADLN CC(C)(C)[C@H]1OCCC[C@@H]1CNC(=O)NCCS(N)(=O)=O ZINC000334034211 415787554 /nfs/dbraw/zinc/78/75/54/415787554.db2.gz NMTYBVRRYIAOHI-MNOVXSKESA-N 0 2 321.443 0.415 20 0 DCADLN O=C(N[C@@H]1CCCS(=O)(=O)C1)N1CCO[C@@H](C2CCC2)C1 ZINC000334034553 415788110 /nfs/dbraw/zinc/78/81/10/415788110.db2.gz MWLOWHUCYQLAJX-CHWSQXEVSA-N 0 2 316.423 0.774 20 0 DCADLN COCCOCCS(=O)(=O)Nc1cc(C2CC2)n(C)n1 ZINC000290542278 415819074 /nfs/dbraw/zinc/81/90/74/415819074.db2.gz PJLCFONXEGAFEG-UHFFFAOYSA-N 0 2 303.384 0.702 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ccc(-n3cc[nH+]c3)cc2)C[C@@H](C(N)=O)O1 ZINC000122069550 415823350 /nfs/dbraw/zinc/82/33/50/415823350.db2.gz BBAVICCWALGIBM-DOMZBBRYSA-N 0 2 300.362 0.947 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCc1ccc2c[nH]nc2c1 ZINC000356638383 415966109 /nfs/dbraw/zinc/96/61/09/415966109.db2.gz HYZOFOHTQXBDNG-UHFFFAOYSA-N 0 2 318.362 0.838 20 0 DCADLN CCN(CCOCCO)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000337546952 415975891 /nfs/dbraw/zinc/97/58/91/415975891.db2.gz PBKCYHJUSZDPCB-UHFFFAOYSA-N 0 2 308.338 0.145 20 0 DCADLN CCCCCN(CCO)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000153780228 415988002 /nfs/dbraw/zinc/98/80/02/415988002.db2.gz ZOFVJLCUKQIBHT-UHFFFAOYSA-N 0 2 320.349 0.236 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N[C@H]2C[C@@H]2C(F)F)s[nH]1 ZINC000357511607 416091593 /nfs/dbraw/zinc/09/15/93/416091593.db2.gz DHHJYTLYPZLKOF-WDSKDSINSA-N 0 2 318.349 0.966 20 0 DCADLN C[C@@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(=O)N(C)C ZINC000175416141 416106168 /nfs/dbraw/zinc/10/61/68/416106168.db2.gz NBEOAOYMDCSTFG-SNVBAGLBSA-N 0 2 302.334 0.784 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)NCc2cccc(C(=O)[O-])c2)C1 ZINC000316790504 416116101 /nfs/dbraw/zinc/11/61/01/416116101.db2.gz MHTGLJQZOMTHIW-ZDUSSCGKSA-N 0 2 307.350 0.515 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)NCc2cccc(C(=O)[O-])c2)C1 ZINC000316790504 416116104 /nfs/dbraw/zinc/11/61/04/416116104.db2.gz MHTGLJQZOMTHIW-ZDUSSCGKSA-N 0 2 307.350 0.515 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2ncccc2C)cn1C ZINC000345691340 416135367 /nfs/dbraw/zinc/13/53/67/416135367.db2.gz ZZPOVZKRKCBFMZ-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCC(=O)N(C)C1)c2=O ZINC000179510379 416189270 /nfs/dbraw/zinc/18/92/70/416189270.db2.gz TZNIQYQNVVYMJM-QMMMGPOBSA-N 0 2 303.322 0.025 20 0 DCADLN CC(C)(C)c1noc(CCCC(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC000358350792 416227340 /nfs/dbraw/zinc/22/73/40/416227340.db2.gz FDIASPDJRVOVSB-UHFFFAOYSA-N 0 2 308.342 0.830 20 0 DCADLN O=S(=O)(CC(F)(F)F)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000331066514 416258583 /nfs/dbraw/zinc/25/85/83/416258583.db2.gz QVFLNLRFBKPYCK-SECBINFHSA-N 0 2 324.328 0.927 20 0 DCADLN CCc1ncc(S(=O)(=O)Nc2nc3ccccn3n2)s1 ZINC000358806749 416276517 /nfs/dbraw/zinc/27/65/17/416276517.db2.gz XWPOKIIHGFOIPF-UHFFFAOYSA-N 0 2 309.376 1.549 20 0 DCADLN COCn1nc(C)c(S(=O)(=O)Nc2cc(C)nn2C)c1C ZINC000331167701 416276944 /nfs/dbraw/zinc/27/69/44/416276944.db2.gz MZTDCCABYWVFSG-UHFFFAOYSA-N 0 2 313.383 0.947 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nc3ccccn3n2)cn1 ZINC000193036488 416313040 /nfs/dbraw/zinc/31/30/40/416313040.db2.gz KFHUGSYIWQHLIJ-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN CC(C)[C@H]([NH3+])C(=O)NCC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000263279262 416337566 /nfs/dbraw/zinc/33/75/66/416337566.db2.gz ZLPOQVHXPVNZKS-AWEZNQCLSA-N 0 2 303.366 0.050 20 0 DCADLN O=C([O-])c1cnc(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)cn1 ZINC000263428379 416337837 /nfs/dbraw/zinc/33/78/37/416337837.db2.gz MTFLZOGOEHQARI-JTQLQIEISA-N 0 2 313.317 0.579 20 0 DCADLN CC(C)[C@H](O)CNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000359436875 416345671 /nfs/dbraw/zinc/34/56/71/416345671.db2.gz PPKGTTFWQRDILV-MRVPVSSYSA-N 0 2 314.411 0.329 20 0 DCADLN CCOC(=O)C[C@H](C)N(C)S(=O)(=O)NCC(F)(F)F ZINC000195450423 416326334 /nfs/dbraw/zinc/32/63/34/416326334.db2.gz MSQBVHAWZGLLFV-ZETCQYMHSA-N 0 2 306.306 0.657 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N(C)CCCC(=O)[O-])[nH+]cn2)C1 ZINC000416423152 416369099 /nfs/dbraw/zinc/36/90/99/416369099.db2.gz SGBKPAKHCUXNHI-NWDGAFQWSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N(C)CCCC(=O)[O-])nc[nH+]2)C1 ZINC000416423152 416369101 /nfs/dbraw/zinc/36/91/01/416369101.db2.gz SGBKPAKHCUXNHI-NWDGAFQWSA-N 0 2 308.382 0.985 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C)CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000360546881 416395756 /nfs/dbraw/zinc/39/57/56/416395756.db2.gz WZCOQOFWPKFSJS-CMPLNLGQSA-N 0 2 304.350 0.796 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2nc(C)cs2)cn1 ZINC000360803251 416411112 /nfs/dbraw/zinc/41/11/12/416411112.db2.gz SMODGSOSAMOAEN-UHFFFAOYSA-N 0 2 316.364 0.622 20 0 DCADLN CCOC(=O)c1cnc(NCCCc2n[nH]c(=O)[nH]2)nc1C ZINC000582921503 416458908 /nfs/dbraw/zinc/45/89/08/416458908.db2.gz DRUCAHXRGOIJMM-UHFFFAOYSA-N 0 2 306.326 0.830 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(OC(F)F)cn1 ZINC000361601982 416458896 /nfs/dbraw/zinc/45/88/96/416458896.db2.gz LLLOBJUWRFNELU-UHFFFAOYSA-N 0 2 313.264 0.869 20 0 DCADLN CNC(=O)[C@@]1(C)CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000435981401 416543050 /nfs/dbraw/zinc/54/30/50/416543050.db2.gz LPWQAUOPZNHTOK-HNNXBMFYSA-N 0 2 317.349 0.272 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nc2ccn(C)n2)c1 ZINC000362184263 416565059 /nfs/dbraw/zinc/56/50/59/416565059.db2.gz IKPOZZOIIZEXBF-UHFFFAOYSA-N 0 2 324.362 0.628 20 0 DCADLN CC[C@@H](C)[C@@](C)(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000439504535 416615816 /nfs/dbraw/zinc/61/58/16/416615816.db2.gz LSJZGJYRCCBEOF-GLEZIHRCSA-N 0 2 320.349 0.963 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C/c1ccc(C)cn1 ZINC000493275083 416640569 /nfs/dbraw/zinc/64/05/69/416640569.db2.gz XHNIPIVFZNDQHV-AATRIKPKSA-N 0 2 322.346 0.787 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(CC(N)=O)C(C)C)c1 ZINC000424541683 416662325 /nfs/dbraw/zinc/66/23/25/416662325.db2.gz KKZHWRXZYJCHPK-OAQYLSRUSA-N 0 2 312.391 0.525 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@]2(O)CCOC2)c1 ZINC000424758421 416666729 /nfs/dbraw/zinc/66/67/29/416666729.db2.gz BJCZJIZUCUKLSH-QKKBWIMNSA-N 0 2 313.375 0.070 20 0 DCADLN C[C@@H]1[C@H](C)[S@@](=O)CCN1S(=O)(=O)NCC(F)(F)F ZINC000442943869 416723602 /nfs/dbraw/zinc/72/36/02/416723602.db2.gz LENMZFAAQNGLCG-ZZHYIZSMSA-N 0 2 308.347 0.224 20 0 DCADLN C[S@](=O)C1(CNS(=O)(=O)NCC(F)(F)F)CCC1 ZINC000443229696 416735709 /nfs/dbraw/zinc/73/57/09/416735709.db2.gz YKTAGSZORIXSIL-KRWDZBQOSA-N 0 2 308.347 0.274 20 0 DCADLN CC[C@@H](C)C[C@@H](NS(=O)(=O)NCC(F)(F)F)C(=O)OC ZINC000516924915 416757631 /nfs/dbraw/zinc/75/76/31/416757631.db2.gz YEGHCTVSVVVZCD-HTQZYQBOSA-N 0 2 320.333 0.951 20 0 DCADLN COc1cc(CS(=O)(=O)NCc2cn[nH]c2C)sn1 ZINC000641296984 416771200 /nfs/dbraw/zinc/77/12/00/416771200.db2.gz FVNQZDWBKREKMR-UHFFFAOYSA-N 0 2 302.381 0.803 20 0 DCADLN CCc1nsc(NC[C@@H]2CC(=O)N(C)[C@H]2c2nccn2C)n1 ZINC000560344082 416844932 /nfs/dbraw/zinc/84/49/32/416844932.db2.gz JGRMGHQCZOUPFO-JOYOIKCWSA-N 0 2 320.422 0.887 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(OCCOC)c2)c1O ZINC000445914769 416905789 /nfs/dbraw/zinc/90/57/89/416905789.db2.gz XBFHJPRRXBURIR-GFCCVEGCSA-N 0 2 322.317 0.700 20 0 DCADLN CC[C@@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(=O)NC ZINC000616145138 416973483 /nfs/dbraw/zinc/97/34/83/416973483.db2.gz JMNCLYCWYJOAFT-SNVBAGLBSA-N 0 2 304.306 0.284 20 0 DCADLN CCn1cc(NS(=O)(=O)c2csnc2C(=O)OC)cn1 ZINC000447339569 416993827 /nfs/dbraw/zinc/99/38/27/416993827.db2.gz GXAXNBYTUNAQBG-UHFFFAOYSA-N 0 2 316.364 0.947 20 0 DCADLN CCn1nc(C[N@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@H]3C2)c2ccccc21 ZINC000521271489 417002136 /nfs/dbraw/zinc/00/21/36/417002136.db2.gz IQVAZVHIOBEVCB-JSGCOSHPSA-N 0 2 313.405 0.800 20 0 DCADLN CC(C)NC(=O)[C@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616312015 417009560 /nfs/dbraw/zinc/00/95/60/417009560.db2.gz ZZUWJLYIQHVCMV-VIFPVBQESA-N 0 2 318.333 0.673 20 0 DCADLN C[N@@H+]1CCN(C(=O)N[C@@H]2COc3ccccc3C2)[C@@H](C[NH3+])C1 ZINC000565764834 417013994 /nfs/dbraw/zinc/01/39/94/417013994.db2.gz SQTDUIROQJNEKV-KBPBESRZSA-N 0 2 304.394 0.274 20 0 DCADLN CCn1cc[nH+]c1CNC(=O)N1CC[C@@](COC)(C(=O)[O-])C1 ZINC000385357662 417090402 /nfs/dbraw/zinc/09/04/02/417090402.db2.gz VODDOVOMLQTOPT-CQSZACIVSA-N 0 2 310.354 0.536 20 0 DCADLN Cc1cc(CNC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)no1 ZINC000525312198 417138933 /nfs/dbraw/zinc/13/89/33/417138933.db2.gz IFZCWXCHQLEKPM-UHFFFAOYSA-N 0 2 315.289 0.971 20 0 DCADLN O=C(NC[C@@H]1CCCCS1(=O)=O)c1cccc2[nH]nnc21 ZINC000525873381 417158116 /nfs/dbraw/zinc/15/81/16/417158116.db2.gz XXOXZSQQQIGCAB-VIFPVBQESA-N 0 2 308.363 0.655 20 0 DCADLN O=C(NCC1CCS(=O)(=O)CC1)c1cccc2[nH]nnc21 ZINC000526119566 417185021 /nfs/dbraw/zinc/18/50/21/417185021.db2.gz IDXBEGCBGJBRMN-UHFFFAOYSA-N 0 2 308.363 0.513 20 0 DCADLN OCC[NH+]1CCN(CCCCN=c2[n-]c(C3CCC3)no2)CC1 ZINC000450335531 417217198 /nfs/dbraw/zinc/21/71/98/417217198.db2.gz YSYODBVAZRPJCD-UHFFFAOYSA-N 0 2 323.441 0.561 20 0 DCADLN Cc1nc(SCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n[nH]1 ZINC000569225683 417226217 /nfs/dbraw/zinc/22/62/17/417226217.db2.gz PHGDLPHPZSBMIU-QMMMGPOBSA-N 0 2 323.382 0.435 20 0 DCADLN CO[C@H](C)c1nsc(NC[C@@H]2C[C@@H](c3nnc[nH]3)C[C@@H]2O)n1 ZINC000527564909 417265020 /nfs/dbraw/zinc/26/50/20/417265020.db2.gz DWJQLMVUVCXGNE-IMSYWVGJSA-N 0 2 324.410 0.752 20 0 DCADLN Cc1cc(=NC(=O)N=c2ccc(-c3cnn(C)c3)n[nH]2)[nH]n1C ZINC000433675433 417282816 /nfs/dbraw/zinc/28/28/16/417282816.db2.gz PZDCNNVQRGXLTR-UHFFFAOYSA-N 0 2 312.337 0.407 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc(=O)[nH]c(C2CC2)n1 ZINC000621894458 417337765 /nfs/dbraw/zinc/33/77/65/417337765.db2.gz PQZCVGQPQQGGQC-UHFFFAOYSA-N 0 2 304.310 0.246 20 0 DCADLN CC[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@@H](O)C(F)F ZINC000451715199 417383601 /nfs/dbraw/zinc/38/36/01/417383601.db2.gz QJWJXGHQOLYTKG-RFZPGFLSSA-N 0 2 300.249 0.377 20 0 DCADLN CC(C)[C@@H]1C[NH2+]CCN1C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000529309477 417434980 /nfs/dbraw/zinc/43/49/80/417434980.db2.gz XBEKQCJTOTXJSY-ZNMIVQPWSA-N 0 2 312.458 0.735 20 0 DCADLN COC(=O)[C@H](CNC(=O)c1c[nH]c2nc(C)ccc2c1=O)OC ZINC000452166945 417457622 /nfs/dbraw/zinc/45/76/22/417457622.db2.gz ZWEKQRQPEDBYDU-NSHDSACASA-N 0 2 319.317 0.562 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(c2nc(N)c3ccccc3[nH+]2)C1 ZINC000572884898 417462878 /nfs/dbraw/zinc/46/28/78/417462878.db2.gz ZZWKHLJDVYIOHW-HNNXBMFYSA-N 0 2 302.334 1.140 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2cc(C(F)(F)F)nn2C)n1 ZINC000530156992 417546443 /nfs/dbraw/zinc/54/64/43/417546443.db2.gz OOVQNKJRTSDGIC-UHFFFAOYSA-N 0 2 310.261 0.368 20 0 DCADLN CNC(=O)NC[C@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2)CCO1 ZINC000530207595 417551417 /nfs/dbraw/zinc/55/14/17/417551417.db2.gz RGBPDNUJDUGLSF-ZDUSSCGKSA-N 0 2 307.350 0.515 20 0 DCADLN CNC(=O)NC[C@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2)CCO1 ZINC000530207595 417551420 /nfs/dbraw/zinc/55/14/20/417551420.db2.gz RGBPDNUJDUGLSF-ZDUSSCGKSA-N 0 2 307.350 0.515 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1ccc(OCCO)cc1 ZINC000575319742 417526632 /nfs/dbraw/zinc/52/66/32/417526632.db2.gz AECKPEGPQJBAMO-UHFFFAOYSA-N 0 2 313.335 0.737 20 0 DCADLN COC[C@](C)(O)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000531259901 417613401 /nfs/dbraw/zinc/61/34/01/417613401.db2.gz WEFCLVZDFIVGJI-ZDUSSCGKSA-N 0 2 311.363 0.753 20 0 DCADLN Cc1sc(C(N)=O)cc1NS(=O)(=O)c1cnn(C)c1C ZINC000644617738 417630560 /nfs/dbraw/zinc/63/05/60/417630560.db2.gz VXUKAZJQYARBRW-UHFFFAOYSA-N 0 2 314.392 0.998 20 0 DCADLN CO[C@H]([C@@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000456570038 417654714 /nfs/dbraw/zinc/65/47/14/417654714.db2.gz FKDWVKSMGSGDQX-RDDDGLTNSA-N 0 2 318.333 0.979 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1CCC(OC[C@@H]2CCCO2)CC1 ZINC000645692454 417778920 /nfs/dbraw/zinc/77/89/20/417778920.db2.gz XMMOIAAOFJXWMN-MCIGGMRASA-N 0 2 324.381 0.881 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)cn1 ZINC000651841935 417794618 /nfs/dbraw/zinc/79/46/18/417794618.db2.gz BVXPVYKMGQNFOT-UHFFFAOYSA-N 0 2 316.365 0.548 20 0 DCADLN CO[C@H]1C[C@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C12CCC2 ZINC000651849024 417797543 /nfs/dbraw/zinc/79/75/43/417797543.db2.gz RAYDXYIEBGEZAJ-QWRGUYRKSA-N 0 2 321.381 0.293 20 0 DCADLN C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)C(=O)Nc1ncccc1O ZINC000646202131 417806647 /nfs/dbraw/zinc/80/66/47/417806647.db2.gz IXPPBZJIIBXRRO-JGVFFNPUSA-N 0 2 304.310 0.298 20 0 DCADLN CCOC1CCN(C(=O)C(=O)N=c2ccc(C3CC3)n[nH]2)CC1 ZINC000651909604 417814126 /nfs/dbraw/zinc/81/41/26/417814126.db2.gz QBOGUOFLDYVEGS-UHFFFAOYSA-N 0 2 318.377 0.742 20 0 DCADLN Cc1nc(C[NH2+][C@@H]2CCN(CC[NH+]3CCOCC3)C2)[nH]c1C ZINC000657362970 417850249 /nfs/dbraw/zinc/85/02/49/417850249.db2.gz KUNXBWUQCLZBEB-OAHLLOKOSA-N 0 2 307.442 0.523 20 0 DCADLN COCCOc1ccc(C(=O)NCc2n[nH]c(=O)n2C)cc1 ZINC000640654444 417894046 /nfs/dbraw/zinc/89/40/46/417894046.db2.gz YDEHSVLQWKUIIJ-UHFFFAOYSA-N 0 2 306.322 0.476 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@]2(C)CCN(C)C2=O)s[nH]1 ZINC000636312368 417931259 /nfs/dbraw/zinc/93/12/59/417931259.db2.gz MLBUEOZSFCLPGG-CYBMUJFWSA-N 0 2 312.395 0.648 20 0 DCADLN Nc1nsc(N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)n1 ZINC000647451208 418010868 /nfs/dbraw/zinc/01/08/68/418010868.db2.gz PQYCHMVSSSISBA-UHFFFAOYSA-N 0 2 306.351 0.370 20 0 DCADLN Cn1cc(N2CC[C@@H](Nc3ccc(C(=O)[O-])c[nH+]3)C2=O)cn1 ZINC000647450341 418011408 /nfs/dbraw/zinc/01/14/08/418011408.db2.gz LCXAIKPUSUDASB-LLVKDONJSA-N 0 2 301.306 0.731 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC([C@@H]3NC(=O)C3(C)C)CC2)c1O ZINC000647503661 418015684 /nfs/dbraw/zinc/01/56/84/418015684.db2.gz SQDFLQKSUXUXBZ-LBPRGKRZSA-N 0 2 306.366 0.801 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000663108388 417990436 /nfs/dbraw/zinc/99/04/36/417990436.db2.gz FQCXXNGFOPIKJW-OAHLLOKOSA-N 0 2 307.350 0.788 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)[C@H](C(=O)[O-])C(C)(C)C)[C@H](C)CO1 ZINC000663127698 417993908 /nfs/dbraw/zinc/99/39/08/417993908.db2.gz ZTAGOCNEEWKFTB-IJLUTSLNSA-N 0 2 300.399 0.959 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)[C@H](C(=O)[O-])C(C)(C)C)[C@H](C)CO1 ZINC000663127698 417993912 /nfs/dbraw/zinc/99/39/12/417993912.db2.gz ZTAGOCNEEWKFTB-IJLUTSLNSA-N 0 2 300.399 0.959 20 0 DCADLN O=C([O-])CN(CC1CC1)C(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000653324278 418059049 /nfs/dbraw/zinc/05/90/49/418059049.db2.gz NYHKHUDTFLZMJN-CQSZACIVSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])CN(CC1CC1)C(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000653324278 418059053 /nfs/dbraw/zinc/05/90/53/418059053.db2.gz NYHKHUDTFLZMJN-CQSZACIVSA-N 0 2 310.394 0.953 20 0 DCADLN CO[C@@H]1CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)[C@H](C(=O)[O-])C1 ZINC000659221559 418070980 /nfs/dbraw/zinc/07/09/80/418070980.db2.gz MFDSHRTTWJHVPV-OLZOCXBDSA-N 0 2 321.377 0.853 20 0 DCADLN CCN(C(=O)NCC[N@@H+]1CCOC[C@@H]1C)[C@@H]1CC[NH+](CC)C1 ZINC000663990773 418072870 /nfs/dbraw/zinc/07/28/70/418072870.db2.gz KNCJYMNWANSRLO-LSDHHAIUSA-N 0 2 312.458 0.833 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)NCCCCn1cc[nH+]c1)C2 ZINC000659393767 418090487 /nfs/dbraw/zinc/09/04/87/418090487.db2.gz GQCFWWPNOOOHQL-IUODEOHRSA-N 0 2 322.365 0.406 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CC(=O)N(CC(=O)[O-])CC(C)(C)C ZINC000659783682 418181189 /nfs/dbraw/zinc/18/11/89/418181189.db2.gz XAKHOFSWPWJGNE-UHFFFAOYSA-N 0 2 315.414 0.402 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)CC(=O)N(CC(=O)[O-])CC(C)(C)C ZINC000659783682 418181190 /nfs/dbraw/zinc/18/11/90/418181190.db2.gz XAKHOFSWPWJGNE-UHFFFAOYSA-N 0 2 315.414 0.402 20 0 DCADLN O=C(c1cn2c(n1)CCC2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893065 418171313 /nfs/dbraw/zinc/17/13/13/418171313.db2.gz HBIRDNRTTCKVRQ-SECBINFHSA-N 0 2 302.338 0.673 20 0 DCADLN CCO[C@@H]1COC[C@H]1NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000665569447 418221758 /nfs/dbraw/zinc/22/17/58/418221758.db2.gz ZUQORWUXZNEPRP-VXGBXAGGSA-N 0 2 319.317 0.564 20 0 DCADLN NC(=O)c1[nH]nnc1NC(=O)[C@@H](C[C@H]1CCCO1)C(F)(F)F ZINC000650025575 418288333 /nfs/dbraw/zinc/28/83/33/418288333.db2.gz YZZGMCNEMMLZJD-PHDIDXHHSA-N 0 2 321.259 0.590 20 0 DCADLN NC(=O)[C@H]1C[C@@H](NC(=O)N=c2ccc(-c3ccccc3)n[nH]2)C1 ZINC000650076975 418291710 /nfs/dbraw/zinc/29/17/10/418291710.db2.gz LONAJUYWXDWIMO-HAQNSBGRSA-N 0 2 311.345 0.951 20 0 DCADLN C[C@H](CNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1)C(=O)[O-] ZINC000655862134 418294502 /nfs/dbraw/zinc/29/45/02/418294502.db2.gz YLQSUJPQTVGPJG-LLVKDONJSA-N 0 2 322.365 0.438 20 0 DCADLN COC1(CS(=O)(=O)Nc2cc(C)n(C)n2)CCOCC1 ZINC000650190787 418297041 /nfs/dbraw/zinc/29/70/41/418297041.db2.gz WPAYSNYVAPFFFM-UHFFFAOYSA-N 0 2 303.384 0.666 20 0 DCADLN CC(C)[C@H](CNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C(=O)[O-] ZINC000655946835 418306283 /nfs/dbraw/zinc/30/62/83/418306283.db2.gz HMUYBNOWWZEBSE-NSHDSACASA-N 0 2 318.333 0.746 20 0 DCADLN C[C@]1(C2CCN(c3cc[nH+]c(C(=O)[O-])c3)CC2)NC(=O)NC1=O ZINC000650767467 418323162 /nfs/dbraw/zinc/32/31/62/418323162.db2.gz VWIAHNQMPQZTPT-OAHLLOKOSA-N 0 2 318.333 0.594 20 0 DCADLN CS(=O)(=O)N1CCCC[C@H]1CNc1cc[nH+]c(C(=O)[O-])c1 ZINC000650771447 418323670 /nfs/dbraw/zinc/32/36/70/418323670.db2.gz XGLVKCSAVYMPDH-NSHDSACASA-N 0 2 313.379 0.428 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)Cc2ccc(C)c(OC)c2)[nH]n1 ZINC000650815061 418326223 /nfs/dbraw/zinc/32/62/23/418326223.db2.gz GVLZLINGLOAPMD-UHFFFAOYSA-N 0 2 314.345 0.756 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cnn3c2C[C@H](C)CC3)[nH]n1 ZINC000650818956 418326226 /nfs/dbraw/zinc/32/62/26/418326226.db2.gz CQDMJYUISNUQFP-SECBINFHSA-N 0 2 314.349 0.289 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H](C)OC[C@H]2CCCCO2)[nH]n1 ZINC000650815896 418326424 /nfs/dbraw/zinc/32/64/24/418326424.db2.gz DCLVPFGXSPSUGB-GHMZBOCLSA-N 0 2 322.365 0.171 20 0 DCADLN CN(C(=O)NC[C@H]1CC[N@H+]1C(C)(C)C)[C@H]1CC[NH+]2CCO[C@H]1C2 ZINC000661410619 418337433 /nfs/dbraw/zinc/33/74/33/418337433.db2.gz SSXYRNKPADCJQO-ILXRZTDVSA-N 0 2 324.469 0.974 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H]2CCC[C@H]3OCC[C@@H]32)[nH]1 ZINC000651622388 418397665 /nfs/dbraw/zinc/39/76/65/418397665.db2.gz XRPCKLMSYIXCBZ-IJLUTSLNSA-N 0 2 321.381 0.293 20 0 DCADLN Cc1cc(NC(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c(C)c[nH+]1 ZINC000656602946 418366203 /nfs/dbraw/zinc/36/62/03/418366203.db2.gz UUFOERCZHXKSIZ-IAQYHMDHSA-N 0 2 305.334 0.685 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1n[nH]c(C)c1C)[C@H]1CCOC1 ZINC000656730097 418374306 /nfs/dbraw/zinc/37/43/06/418374306.db2.gz FGNPQMFZHKENPS-QWRGUYRKSA-N 0 2 303.384 0.820 20 0 DCADLN O=C(CC1(Cn2cnnn2)CCCCC1)NCc1n[nH]c(=O)[nH]1 ZINC000651431426 418376610 /nfs/dbraw/zinc/37/66/10/418376610.db2.gz BDYSULLUUXNQAP-UHFFFAOYSA-N 0 2 320.357 0.154 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCc2cccs2)[nH]1 ZINC000651495975 418384894 /nfs/dbraw/zinc/38/48/94/418384894.db2.gz CGUWOBCCZPHQJY-UHFFFAOYSA-N 0 2 307.379 0.640 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCN(c3ncccc3F)CC2)C1=O ZINC000662210732 418388983 /nfs/dbraw/zinc/38/89/83/418388983.db2.gz JPTOVQDKFYBGGA-GFCCVEGCSA-N 0 2 322.340 0.028 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@H]2CCC(F)(F)C2)[nH]1 ZINC000651767352 418409678 /nfs/dbraw/zinc/40/96/78/418409678.db2.gz RHHFNRSBZJMZCQ-SECBINFHSA-N 0 2 315.324 0.771 20 0 DCADLN C[C@H](OC[C@@H]1CCCO1)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC000299419866 229107620 /nfs/dbraw/zinc/10/76/20/229107620.db2.gz FAFWXTVIHJVXHP-QEJZJMRPSA-N 0 2 320.389 0.783 20 0 DCADLN Cn1cc(S(=O)(=O)NC(=O)/C=C/c2cncc(F)c2)cn1 ZINC000179590770 261113055 /nfs/dbraw/zinc/11/30/55/261113055.db2.gz NEHZYBWAOBLKCK-NSCUHMNNSA-N 0 2 310.310 0.473 20 0 DCADLN CCNC(=O)[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(C)C ZINC000354231273 261193689 /nfs/dbraw/zinc/19/36/89/261193689.db2.gz SBPKXKNLGVTXCW-NSHDSACASA-N 0 2 319.365 0.564 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)N(C(N)=O)CC3)cn1 ZINC000362971402 262091303 /nfs/dbraw/zinc/09/13/03/262091303.db2.gz BTOAHBQDKDZOLN-UHFFFAOYSA-N 0 2 321.362 0.662 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccnc(C)n2)cn1C ZINC000421231578 262392488 /nfs/dbraw/zinc/39/24/88/262392488.db2.gz JJTRZZZQEMBPPW-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COC(=O)CCCONC(=O)CNC(=O)/C=C/c1cccnc1 ZINC000492942529 272159623 /nfs/dbraw/zinc/15/96/23/272159623.db2.gz AJKUWQQUCAUEEE-VOTSOKGWSA-N 0 2 321.333 0.212 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)c1ncccc1F ZINC000493002536 272163264 /nfs/dbraw/zinc/16/32/64/272163264.db2.gz FJZMQLNTHANXCN-WAYWQWQTSA-N 0 2 324.337 0.955 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/c2ccc(C)nc2)cnn1C ZINC000493017832 272164386 /nfs/dbraw/zinc/16/43/86/272164386.db2.gz ODSGFRJQOCHAJA-VOTSOKGWSA-N 0 2 320.374 0.950 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/c2ccn(C)c2)cnn1C ZINC000493084295 272167761 /nfs/dbraw/zinc/16/77/61/272167761.db2.gz NJYUHSYVVDGYGA-SNAWJCMRSA-N 0 2 308.363 0.585 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1cscn1 ZINC000493091732 272168064 /nfs/dbraw/zinc/16/80/64/272168064.db2.gz WUIMLEHLDYTADV-ONEGZZNKSA-N 0 2 312.376 0.703 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C\c1ccn(C)c1 ZINC000493266966 272179302 /nfs/dbraw/zinc/17/93/02/272179302.db2.gz WTKFKPAMXNUIFY-WAYWQWQTSA-N 0 2 322.390 0.894 20 0 DCADLN Cc1n[nH]c(NC(=O)c2cccc([C@@]3(C)NC(=O)NC3=O)c2)n1 ZINC000494457542 272222614 /nfs/dbraw/zinc/22/26/14/272222614.db2.gz QDCKFWOONTZIMD-CQSZACIVSA-N 0 2 314.305 0.420 20 0 DCADLN O=C([O-])[C@@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000546621352 288022381 /nfs/dbraw/zinc/02/23/81/288022381.db2.gz WLXWREFWHIHTFO-SNVBAGLBSA-N 0 2 301.306 0.599 20 0 DCADLN C[C@@H](C(F)(F)F)S(=O)(=O)NCC1CCS(=O)(=O)CC1 ZINC000546781570 288030276 /nfs/dbraw/zinc/03/02/76/288030276.db2.gz RVBKXGTWBVZHLW-ZETCQYMHSA-N 0 2 323.358 0.681 20 0 DCADLN C[C@@H](CNC(=O)C1=NN(c2ccccc2)CC1=O)S(C)(=O)=O ZINC000549151974 288193681 /nfs/dbraw/zinc/19/36/81/288193681.db2.gz IEVSTRDYODUPCI-JTQLQIEISA-N 0 2 323.374 0.741 20 0 DCADLN CC(C)[C@H](C(=O)NC1(c2nn[nH]n2)CC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000348295001 280167769 /nfs/dbraw/zinc/16/77/69/280167769.db2.gz UZBKWCFNNZLIOC-GRYCIOLGSA-N 0 2 322.413 0.439 20 0 DCADLN CC[C@H]1C[C@@H](C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)CC(=O)N1 ZINC000563192794 288645667 /nfs/dbraw/zinc/64/56/67/288645667.db2.gz OGNOJHTVHOGPRO-MNOVXSKESA-N 0 2 321.381 0.521 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)CCn2ccnn2)[nH]1 ZINC000329599027 293335557 /nfs/dbraw/zinc/33/55/57/293335557.db2.gz JPEMMLLEKAZXIZ-LLVKDONJSA-N 0 2 319.369 0.687 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CC2(O)CCCC2)s1 ZINC000345784459 295541424 /nfs/dbraw/zinc/54/14/24/295541424.db2.gz KWNMUPAGFULUJS-UHFFFAOYSA-N 0 2 320.396 0.543 20 0 DCADLN C[C@@H]1COCC[C@@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000278661625 297139474 /nfs/dbraw/zinc/13/94/74/297139474.db2.gz SZMJMYWKITVVRT-XCBNKYQSSA-N 0 2 304.306 0.591 20 0 DCADLN C[C@H]1C[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@@]2(CCCOC2)O1 ZINC000366591597 300268333 /nfs/dbraw/zinc/26/83/33/300268333.db2.gz OEKOXEGDUUSHNL-BLLLJJGKSA-N 0 2 318.377 0.793 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@@]2(CCCOC2)O1 ZINC000366591597 300268335 /nfs/dbraw/zinc/26/83/35/300268335.db2.gz OEKOXEGDUUSHNL-BLLLJJGKSA-N 0 2 318.377 0.793 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000195399950 304760394 /nfs/dbraw/zinc/76/03/94/304760394.db2.gz KWQWATGDSZEETN-DJLDLDEBSA-N 0 2 302.318 0.930 20 0 DCADLN CN1C[C@@H](OC(=O)C(C)(C)NC(=O)C(F)(F)F)CNC1=O ZINC001650471624 1173649934 /nfs/dbraw/zinc/64/99/34/1173649934.db2.gz CEUOEVMQZPPGCO-LURJTMIESA-N 0 2 311.260 0.010 20 0 DCADLN Cc1nn2c([n-]nc2SCC[NH+]2C[C@H](C)O[C@@H](C)C2)nc1=O ZINC000580216747 333016818 /nfs/dbraw/zinc/01/68/18/333016818.db2.gz GKVMDGKVJKTBRG-IUCAKERBSA-N 0 2 324.410 0.322 20 0 DCADLN COc1ccccc1OCC[NH+]1CCN(C(=O)C(=O)[O-])CC1 ZINC000396693592 337241858 /nfs/dbraw/zinc/24/18/58/337241858.db2.gz QHKJBVCTYXRDGS-UHFFFAOYSA-N 0 2 308.334 0.303 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@]1(O)CCOC1 ZINC000583213903 337274186 /nfs/dbraw/zinc/27/41/86/337274186.db2.gz PFWZXVTVZRMSCY-AWEZNQCLSA-N 0 2 304.306 0.576 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc3n[nH]cc3c(C)c2)c1O ZINC000348398501 341950965 /nfs/dbraw/zinc/95/09/65/341950965.db2.gz SIQRPPHWHPMTEW-NSHDSACASA-N 0 2 302.290 0.859 20 0 DCADLN CCCCCS(=O)(=O)CC(=O)NCCc1nc(C)n[nH]1 ZINC000267128691 520263269 /nfs/dbraw/zinc/26/32/69/520263269.db2.gz VVBCDQAXXRYUGV-UHFFFAOYSA-N 0 2 302.400 0.377 20 0 DCADLN CCCN(CC)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267641739 521614820 /nfs/dbraw/zinc/61/48/20/521614820.db2.gz JTDYFFZAQQFDFD-UHFFFAOYSA-N 0 2 312.351 0.857 20 0 DCADLN COCCn1nc(C)c(NS(=O)(=O)c2c[nH]nc2C)c1C ZINC000267493375 522147663 /nfs/dbraw/zinc/14/76/63/522147663.db2.gz DUSNSAVZJDLVEP-UHFFFAOYSA-N 0 2 313.383 0.979 20 0 DCADLN CN(Cc1cccn1C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000158238547 523409200 /nfs/dbraw/zinc/40/92/00/523409200.db2.gz IWPGJWJTKMQZHL-UHFFFAOYSA-N 0 2 313.317 0.222 20 0 DCADLN CNC(=O)CCNC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000267619809 524068783 /nfs/dbraw/zinc/06/87/83/524068783.db2.gz UMJJDWOLYYCISB-UHFFFAOYSA-N 0 2 320.324 0.891 20 0 DCADLN CC(C)OCCS(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330802100 527984930 /nfs/dbraw/zinc/98/49/30/527984930.db2.gz AMYIEOQLZATHRA-SNVBAGLBSA-N 0 2 318.399 0.445 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N(C)Cc1ccccc1F ZINC000314744247 546025804 /nfs/dbraw/zinc/02/58/04/546025804.db2.gz XCXOFIPKTWUEIY-UHFFFAOYSA-N 0 2 312.341 0.817 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N(C)Cc1ccccc1F ZINC000314744247 546025807 /nfs/dbraw/zinc/02/58/07/546025807.db2.gz XCXOFIPKTWUEIY-UHFFFAOYSA-N 0 2 312.341 0.817 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(C(=O)[O-])cc1F ZINC000357148658 546186546 /nfs/dbraw/zinc/18/65/46/546186546.db2.gz LTJZDXPBOYJYJD-UHFFFAOYSA-N 0 2 313.310 0.736 20 0 DCADLN CN(C)C(=O)CCCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000667128246 546558843 /nfs/dbraw/zinc/55/88/43/546558843.db2.gz GWMGBBQHCRKDDD-UHFFFAOYSA-N 0 2 318.333 0.628 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCCCOc2ccccc2)[C@H](C[NH3+])C1 ZINC000668867917 546864221 /nfs/dbraw/zinc/86/42/21/546864221.db2.gz RTHBDELHECCDKN-CQSZACIVSA-N 0 2 306.410 0.740 20 0 DCADLN O=C(N[C@@H](c1nn[nH]n1)c1ccccc1)C(=O)c1ccc(O)cc1 ZINC000672770963 547405556 /nfs/dbraw/zinc/40/55/56/547405556.db2.gz UVEULVIZGKCXGY-CYBMUJFWSA-N 0 2 323.312 0.994 20 0 DCADLN CC(C)n1ncc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)cnc21 ZINC000673948606 547545313 /nfs/dbraw/zinc/54/53/13/547545313.db2.gz DGPPJCXKANLDSA-UHFFFAOYSA-N 0 2 301.310 0.766 20 0 DCADLN O=C(CCCn1cnc2ccccc21)NCc1n[nH]c(=O)[nH]1 ZINC000673950951 547546544 /nfs/dbraw/zinc/54/65/44/547546544.db2.gz AOXFRXCUTBYKPD-UHFFFAOYSA-N 0 2 300.322 0.957 20 0 DCADLN COC[C@@H]1CCCN1C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000674590596 547609728 /nfs/dbraw/zinc/60/97/28/547609728.db2.gz KKUJTAWSSDZNHJ-VIFPVBQESA-N 0 2 318.333 0.995 20 0 DCADLN C[C@H](C(=O)N1CCc2ccccc21)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676232071 547748191 /nfs/dbraw/zinc/74/81/91/547748191.db2.gz RKYIITOPRKYMSR-BXUZGUMPSA-N 0 2 304.346 0.750 20 0 DCADLN C[C@H](C(=O)N1CCc2ccccc21)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676232071 547748193 /nfs/dbraw/zinc/74/81/93/547748193.db2.gz RKYIITOPRKYMSR-BXUZGUMPSA-N 0 2 304.346 0.750 20 0 DCADLN CS(=O)(=O)CCCCN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000676977744 547836381 /nfs/dbraw/zinc/83/63/81/547836381.db2.gz ZFDGSVLIBKVTGE-SNVBAGLBSA-N 0 2 302.400 0.515 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccccc2Cl)[nH]n1 ZINC000677644930 547894239 /nfs/dbraw/zinc/89/42/39/547894239.db2.gz MRPQMVUMOJGHCW-UHFFFAOYSA-N 0 2 300.727 0.963 20 0 DCADLN CCc1csc(-n2cc(C(=O)NCc3n[nH]c(=O)[nH]3)cn2)n1 ZINC000677812387 547905290 /nfs/dbraw/zinc/90/52/90/547905290.db2.gz AIBRJFHXWNHCOY-UHFFFAOYSA-N 0 2 319.350 0.645 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC(=O)N(Cc3ccccc3)C2)c1O ZINC000677939768 547925884 /nfs/dbraw/zinc/92/58/84/547925884.db2.gz NELHLFRCPVKUSW-UHFFFAOYSA-N 0 2 300.318 0.866 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc3ncccc3c2)[nH]n1 ZINC000679204504 548033735 /nfs/dbraw/zinc/03/37/35/548033735.db2.gz JLIQMWBBUWUAFU-UHFFFAOYSA-N 0 2 317.330 0.858 20 0 DCADLN O=C(c1cc(F)c(F)c(O)c1F)N1[C@@H]2CO[C@H]3[C@@H]2OC[C@H]31 ZINC000680450629 548141671 /nfs/dbraw/zinc/14/16/71/548141671.db2.gz RVMSRBAGJNLMBA-XWTBWJTNSA-N 0 2 301.220 0.800 20 0 DCADLN CC(=O)N1CC[C@@H](NC(=O)c2cccc3[nH]nnc32)[C@H](C)C1 ZINC000682164022 548355504 /nfs/dbraw/zinc/35/55/04/548355504.db2.gz UJWCRGBQWIHOHB-BXKDBHETSA-N 0 2 301.350 0.945 20 0 DCADLN CC(=O)N1CC(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000682671905 548416034 /nfs/dbraw/zinc/41/60/34/548416034.db2.gz HGOSOIRZHNXESD-UHFFFAOYSA-N 0 2 302.290 0.447 20 0 DCADLN C[C@H]1Oc2ccc(NS(=O)(=O)c3cnnn3C)cc2NC1=O ZINC000682832636 548430128 /nfs/dbraw/zinc/43/01/28/548430128.db2.gz BFKHYBXNPWBHEP-SSDOTTSWSA-N 0 2 323.334 0.335 20 0 DCADLN O=C(NCCC1CC(O)C1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000683350536 548471198 /nfs/dbraw/zinc/47/11/98/548471198.db2.gz CASVASICELDOQY-UHFFFAOYSA-N 0 2 303.318 0.921 20 0 DCADLN CCNC(=O)CS(=O)(=O)c1n[nH]c(-c2ccc(C)cc2)n1 ZINC000683685538 548511413 /nfs/dbraw/zinc/51/14/13/548511413.db2.gz GMVKSWYVPDYXND-UHFFFAOYSA-N 0 2 308.363 0.690 20 0 DCADLN O=C(NC[C@@H]1CCCC(=O)N1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000684153961 548573746 /nfs/dbraw/zinc/57/37/46/548573746.db2.gz ROXMKVUKECJSFU-NSHDSACASA-N 0 2 316.317 0.428 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCN(c2ccccc2F)C1 ZINC000685770919 548733464 /nfs/dbraw/zinc/73/34/64/548733464.db2.gz XKFIZHWMKPIYPJ-VIFPVBQESA-N 0 2 305.313 0.792 20 0 DCADLN CCc1nn(Cc2ccon2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706431 599157989 /nfs/dbraw/zinc/15/79/89/599157989.db2.gz LMPCZLOXGAHQAF-UHFFFAOYSA-N 0 2 301.310 0.585 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000315483020 597056600 /nfs/dbraw/zinc/05/66/00/597056600.db2.gz ALHAUOSNDFGSHG-OLZOCXBDSA-N 0 2 313.398 0.603 20 0 DCADLN CC[C@@H]1CN(C(=O)CSCC(=O)[O-])C[C@@H]1[NH+]1CCOCC1 ZINC000739267905 597127446 /nfs/dbraw/zinc/12/74/46/597127446.db2.gz REWHBDRBVZEVRD-NEPJUHHUSA-N 0 2 316.423 0.373 20 0 DCADLN O=C([O-])CSCC(=O)N[C@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000819539604 597184278 /nfs/dbraw/zinc/18/42/78/597184278.db2.gz AZKVTDODUHUVFQ-RYUDHWBXSA-N 0 2 316.423 0.564 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000320923084 597213665 /nfs/dbraw/zinc/21/36/65/597213665.db2.gz VVBSSUWCOHEHMQ-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)NCCC(=O)[O-])CC1 ZINC000819023436 597227088 /nfs/dbraw/zinc/22/70/88/597227088.db2.gz GLWJAZLTECTOJL-UHFFFAOYSA-N 0 2 323.397 0.339 20 0 DCADLN Cc1cc(-c2nn[nH]n2)ccc1S(=O)(=O)Nc1nncs1 ZINC000822152964 607319527 /nfs/dbraw/zinc/31/95/27/607319527.db2.gz JWCPFHRMXCYFHQ-UHFFFAOYSA-N 0 2 323.363 0.827 20 0 DCADLN COc1ccc(CNC(=O)[C@H](C)[N@H+](C)CC(=O)[O-])cc1OC ZINC000820837165 598042208 /nfs/dbraw/zinc/04/22/08/598042208.db2.gz QYSSXKUEKPLUJU-JTQLQIEISA-N 0 2 310.350 0.725 20 0 DCADLN COc1ccc(CNC(=O)[C@H](C)[N@@H+](C)CC(=O)[O-])cc1OC ZINC000820837165 598042209 /nfs/dbraw/zinc/04/22/09/598042209.db2.gz QYSSXKUEKPLUJU-JTQLQIEISA-N 0 2 310.350 0.725 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccccc1C(=O)[O-] ZINC000820107783 598173244 /nfs/dbraw/zinc/17/32/44/598173244.db2.gz RZIHSHGTZFJGDC-JTQLQIEISA-N 0 2 316.317 0.725 20 0 DCADLN COC(=O)c1ccc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)o1 ZINC000821669237 607349086 /nfs/dbraw/zinc/34/90/86/607349086.db2.gz BMRVHDPKZFBHIL-UHFFFAOYSA-N 0 2 315.289 0.765 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000314065754 599775687 /nfs/dbraw/zinc/77/56/87/599775687.db2.gz RVDYMVNAHOUQLS-NSHDSACASA-N 0 2 304.262 0.744 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000314065754 599775689 /nfs/dbraw/zinc/77/56/89/599775689.db2.gz RVDYMVNAHOUQLS-NSHDSACASA-N 0 2 304.262 0.744 20 0 DCADLN COC[C@H](C)NC(=O)NC(=O)C[NH2+][C@H](C(=O)[O-])c1ccccc1 ZINC000737746690 599784555 /nfs/dbraw/zinc/78/45/55/599784555.db2.gz CSQQUDQRNNUXGM-GWCFXTLKSA-N 0 2 323.349 0.263 20 0 DCADLN O=C([O-])N1CCC(Nc2cc(N3CCC[C@H]3CO)nc[nH+]2)CC1 ZINC000738637456 599914489 /nfs/dbraw/zinc/91/44/89/599914489.db2.gz BLSRTPWDVJEROR-LBPRGKRZSA-N 0 2 321.381 0.992 20 0 DCADLN O=C([O-])N1CCC(Nc2cc(N3CCC[C@H]3CO)[nH+]cn2)CC1 ZINC000738637456 599914492 /nfs/dbraw/zinc/91/44/92/599914492.db2.gz BLSRTPWDVJEROR-LBPRGKRZSA-N 0 2 321.381 0.992 20 0 DCADLN Cc1nnc(CN2CC[NH+](Cc3cc(C(=O)[O-])co3)CC2)n1C ZINC000738932013 599925338 /nfs/dbraw/zinc/92/53/38/599925338.db2.gz OJSCUYOETDGYMF-UHFFFAOYSA-N 0 2 319.365 0.733 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[NH2+][C@@H](C(=O)[O-])c1ccccc1 ZINC000737138118 600297745 /nfs/dbraw/zinc/29/77/45/600297745.db2.gz JKVQKUGDOLXWPM-CQSZACIVSA-N 0 2 308.334 0.423 20 0 DCADLN COCCNC(=O)C[NH2+][C@@H](C(=O)[O-])c1cccc(Cl)c1 ZINC000737815088 600419354 /nfs/dbraw/zinc/41/93/54/600419354.db2.gz NGHHUYOFNLHFKR-GFCCVEGCSA-N 0 2 300.742 0.818 20 0 DCADLN Cc1cc(-n2c(C)cn(C[N@@H+]3CCC[C@@H](C(=O)[O-])C3)c2=O)no1 ZINC000738314787 600420354 /nfs/dbraw/zinc/42/03/54/600420354.db2.gz QNTWOVZFCIBONP-GFCCVEGCSA-N 0 2 320.349 0.998 20 0 DCADLN Cc1cc(-n2c(C)cn(C[N@H+]3CCC[C@@H](C(=O)[O-])C3)c2=O)no1 ZINC000738314787 600420356 /nfs/dbraw/zinc/42/03/56/600420356.db2.gz QNTWOVZFCIBONP-GFCCVEGCSA-N 0 2 320.349 0.998 20 0 DCADLN C[N@H+](CCN1CCCC1=O)CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000737440475 600542871 /nfs/dbraw/zinc/54/28/71/600542871.db2.gz AOMZJTZECZQTQN-UHFFFAOYSA-N 0 2 319.361 0.878 20 0 DCADLN C[N@@H+](CCN1CCCC1=O)CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000737440475 600542872 /nfs/dbraw/zinc/54/28/72/600542872.db2.gz AOMZJTZECZQTQN-UHFFFAOYSA-N 0 2 319.361 0.878 20 0 DCADLN CC(C)N1C(=O)CN(C[N@@H+]2CCSC[C@@H]2CC(=O)[O-])C1=O ZINC000736474902 600703535 /nfs/dbraw/zinc/70/35/35/600703535.db2.gz QKCKOEFVKTXUCV-JTQLQIEISA-N 0 2 315.395 0.509 20 0 DCADLN CC(C)N1C(=O)CN(C[N@H+]2CCSC[C@@H]2CC(=O)[O-])C1=O ZINC000736474902 600703536 /nfs/dbraw/zinc/70/35/36/600703536.db2.gz QKCKOEFVKTXUCV-JTQLQIEISA-N 0 2 315.395 0.509 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+](C)Cc1cnn(-c2ccccc2)c1 ZINC000826001749 600983380 /nfs/dbraw/zinc/98/33/80/600983380.db2.gz ZACYAMHAVQQLJZ-GFCCVEGCSA-N 0 2 316.361 0.893 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+](C)Cc1cnn(-c2ccccc2)c1 ZINC000826001749 600983381 /nfs/dbraw/zinc/98/33/81/600983381.db2.gz ZACYAMHAVQQLJZ-GFCCVEGCSA-N 0 2 316.361 0.893 20 0 DCADLN O=C([O-])CCNC(=O)CN1CC[NH+](Cc2ccsc2)CC1 ZINC000739733296 601021325 /nfs/dbraw/zinc/02/13/25/601021325.db2.gz BCJPGQYYNHQHAM-UHFFFAOYSA-N 0 2 311.407 0.457 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN([C@H](C(=O)[O-])c2ccccc2)CC1 ZINC000826127477 601044332 /nfs/dbraw/zinc/04/43/32/601044332.db2.gz WJPZAINVMQXBJM-ZDUSSCGKSA-N 0 2 305.378 0.694 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCN2CCCC[C@H]2C1 ZINC000825977967 601170783 /nfs/dbraw/zinc/17/07/83/601170783.db2.gz TYKGVHWRGMMJKU-ZFWWWQNUSA-N 0 2 323.437 0.868 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCN2CCCC[C@H]2C1 ZINC000825977967 601170784 /nfs/dbraw/zinc/17/07/84/601170784.db2.gz TYKGVHWRGMMJKU-ZFWWWQNUSA-N 0 2 323.437 0.868 20 0 DCADLN Cc1cccc(OCCNC(=O)C[N@@H+]2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000832973690 601447779 /nfs/dbraw/zinc/44/77/79/601447779.db2.gz FJIZLKKISQOYSR-INIZCTEOSA-N 0 2 324.352 0.989 20 0 DCADLN Cc1cccc(OCCNC(=O)C[N@H+]2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000832973690 601447780 /nfs/dbraw/zinc/44/77/80/601447780.db2.gz FJIZLKKISQOYSR-INIZCTEOSA-N 0 2 324.352 0.989 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](CC(=O)[O-])C2CC2)C1 ZINC000737861695 601465343 /nfs/dbraw/zinc/46/53/43/601465343.db2.gz AGWPUEINFQGKFQ-LLVKDONJSA-N 0 2 312.366 0.337 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](CC(=O)[O-])C2CC2)C1 ZINC000737861695 601465344 /nfs/dbraw/zinc/46/53/44/601465344.db2.gz AGWPUEINFQGKFQ-LLVKDONJSA-N 0 2 312.366 0.337 20 0 DCADLN COC(=O)CCCc1nc(C[N@@H+]2CC[C@](C)(C(=O)[O-])C2)no1 ZINC000831155513 601954278 /nfs/dbraw/zinc/95/42/78/601954278.db2.gz MKPYRUCUICLEQW-AWEZNQCLSA-N 0 2 311.338 0.862 20 0 DCADLN COC(=O)CCCc1nc(C[N@H+]2CC[C@](C)(C(=O)[O-])C2)no1 ZINC000831155513 601954280 /nfs/dbraw/zinc/95/42/80/601954280.db2.gz MKPYRUCUICLEQW-AWEZNQCLSA-N 0 2 311.338 0.862 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000827461065 602068700 /nfs/dbraw/zinc/06/87/00/602068700.db2.gz LPMUUIVSBXWCTR-SWLSCSKDSA-N 0 2 312.414 0.129 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000737441036 602616819 /nfs/dbraw/zinc/61/68/19/602616819.db2.gz LLXPKCLTPHIWLU-UHFFFAOYSA-N 0 2 314.386 0.102 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc(F)c2F)[C@@H](CNC(=O)[O-])C1 ZINC000828513225 603510907 /nfs/dbraw/zinc/51/09/07/603510907.db2.gz HIPANFYIHCKTMO-VIFPVBQESA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc(F)c2F)[C@@H](CNC(=O)[O-])C1 ZINC000828513225 603510910 /nfs/dbraw/zinc/51/09/10/603510910.db2.gz HIPANFYIHCKTMO-VIFPVBQESA-N 0 2 313.304 0.989 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)[C@H]1CC[C@@H](NC(=O)[O-])C1 ZINC000825734507 603564169 /nfs/dbraw/zinc/56/41/69/603564169.db2.gz NXOABDXSWBINRE-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)[C@H]1CC[C@@H](NC(=O)[O-])C1 ZINC000825734507 603564175 /nfs/dbraw/zinc/56/41/75/603564175.db2.gz NXOABDXSWBINRE-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])NC[C@@H]1CC[N@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC000832590454 603570341 /nfs/dbraw/zinc/57/03/41/603570341.db2.gz UEXLRUFURIGCLY-LBPRGKRZSA-N 0 2 319.361 0.575 20 0 DCADLN O=C([O-])NC[C@@H]1CC[N@@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC000832590454 603570345 /nfs/dbraw/zinc/57/03/45/603570345.db2.gz UEXLRUFURIGCLY-LBPRGKRZSA-N 0 2 319.361 0.575 20 0 DCADLN CN(C[C@H]1CCC[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1)C(=O)[O-] ZINC000828230014 603582141 /nfs/dbraw/zinc/58/21/41/603582141.db2.gz LCLXXWQONQWHCM-LLVKDONJSA-N 0 2 305.338 0.597 20 0 DCADLN CN(C[C@H]1CCC[N@H+]1Cc1cc(=O)n2[nH]ccc2n1)C(=O)[O-] ZINC000828230014 603582142 /nfs/dbraw/zinc/58/21/42/603582142.db2.gz LCLXXWQONQWHCM-LLVKDONJSA-N 0 2 305.338 0.597 20 0 DCADLN C[N@H+](CN1C(=O)N[C@@]2(CCCC[C@H]2CNC(=O)[O-])C1=O)C1CC1 ZINC000828345770 603591309 /nfs/dbraw/zinc/59/13/09/603591309.db2.gz HWXJZFFKCUQIRL-ZUZCIYMTSA-N 0 2 324.381 0.787 20 0 DCADLN C[N@@H+](CN1C(=O)N[C@@]2(CCCC[C@H]2CNC(=O)[O-])C1=O)C1CC1 ZINC000828345770 603591312 /nfs/dbraw/zinc/59/13/12/603591312.db2.gz HWXJZFFKCUQIRL-ZUZCIYMTSA-N 0 2 324.381 0.787 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NCC(C)(C)NC(=O)[O-] ZINC000824906320 603991076 /nfs/dbraw/zinc/99/10/76/603991076.db2.gz CFTPTNPXGWEBAD-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000824854199 604147904 /nfs/dbraw/zinc/14/79/04/604147904.db2.gz HOYDQELVCOQDHC-LLVKDONJSA-N 0 2 301.387 0.459 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000824854199 604147908 /nfs/dbraw/zinc/14/79/08/604147908.db2.gz HOYDQELVCOQDHC-LLVKDONJSA-N 0 2 301.387 0.459 20 0 DCADLN CS(=O)(=O)CC[NH+]1CCC(N(CC2CC2)C(=O)[O-])CC1 ZINC000829674975 604235758 /nfs/dbraw/zinc/23/57/58/604235758.db2.gz UQLIXHDJWLOLPX-UHFFFAOYSA-N 0 2 304.412 0.885 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)[C@@H](C)C[N@@H+]1C ZINC000833632785 604554487 /nfs/dbraw/zinc/55/44/87/604554487.db2.gz ZQOCBGSHRLYLCK-BDAKNGLRSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)[C@@H](C)C[N@H+]1C ZINC000833632785 604554490 /nfs/dbraw/zinc/55/44/90/604554490.db2.gz ZQOCBGSHRLYLCK-BDAKNGLRSA-N 0 2 301.368 0.426 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)Nc2ccn(CC(=O)[O-])n2)C1 ZINC000833760558 604594642 /nfs/dbraw/zinc/59/46/42/604594642.db2.gz PXXNVKDQNSMCAD-SNVBAGLBSA-N 0 2 318.337 0.723 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000833183958 604744912 /nfs/dbraw/zinc/74/49/12/604744912.db2.gz BEIKASIPPWEMRW-MCIONIFRSA-N 0 2 310.394 0.811 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@@H+](C)C[C@@H](C)O)nc(=O)c12 ZINC000832852846 604768120 /nfs/dbraw/zinc/76/81/20/604768120.db2.gz MOUPWRJGPNQRHK-ZCFIWIBFSA-N 0 2 311.363 0.804 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@H+](C)C[C@@H](C)O)nc(=O)c12 ZINC000832852846 604768122 /nfs/dbraw/zinc/76/81/22/604768122.db2.gz MOUPWRJGPNQRHK-ZCFIWIBFSA-N 0 2 311.363 0.804 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CCC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC000833432522 604920294 /nfs/dbraw/zinc/92/02/94/604920294.db2.gz NWNNPSUMCCIFCJ-LBPRGKRZSA-N 0 2 324.381 0.711 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CCC[N@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC000833432522 604920295 /nfs/dbraw/zinc/92/02/95/604920295.db2.gz NWNNPSUMCCIFCJ-LBPRGKRZSA-N 0 2 324.381 0.711 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000831725661 604929499 /nfs/dbraw/zinc/92/94/99/604929499.db2.gz GOXRVQFQJCOYPC-WDEREUQCSA-N 0 2 304.306 0.064 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@H](C(=O)[O-])c1ccc(OC)cc1 ZINC000833658260 604948595 /nfs/dbraw/zinc/94/85/95/604948595.db2.gz YMINKFQGTASLCB-SKDRFNHKSA-N 0 2 323.349 0.645 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000833503848 605013307 /nfs/dbraw/zinc/01/33/07/605013307.db2.gz KSOCWXLIWFUDJX-WCQYABFASA-N 0 2 309.366 0.782 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000824210093 605290626 /nfs/dbraw/zinc/29/06/26/605290626.db2.gz AVZLWXJWUXGCSA-OLZOCXBDSA-N 0 2 313.398 0.602 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2ccc(F)cc2)[C@@H](CNC(=O)[O-])C1 ZINC000828506445 605452403 /nfs/dbraw/zinc/45/24/03/605452403.db2.gz IIQGACILGVWKFP-ZDUSSCGKSA-N 0 2 324.356 0.919 20 0 DCADLN C[N@H+]1CCN(C(=O)NCc2ccc(F)cc2)[C@@H](CNC(=O)[O-])C1 ZINC000828506445 605452404 /nfs/dbraw/zinc/45/24/04/605452404.db2.gz IIQGACILGVWKFP-ZDUSSCGKSA-N 0 2 324.356 0.919 20 0 DCADLN O=C([O-])N1CC(CNC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000834036611 605550990 /nfs/dbraw/zinc/55/09/90/605550990.db2.gz MYIQBMWLHGLBTN-UHFFFAOYSA-N 0 2 301.306 0.607 20 0 DCADLN O=C([O-])Nc1cccc(C[N@@H+]2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000834267744 605551834 /nfs/dbraw/zinc/55/18/34/605551834.db2.gz AQLDLPPRAVKUKK-LLVKDONJSA-N 0 2 304.306 0.513 20 0 DCADLN O=C([O-])Nc1cccc(C[N@H+]2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000834267744 605551838 /nfs/dbraw/zinc/55/18/38/605551838.db2.gz AQLDLPPRAVKUKK-LLVKDONJSA-N 0 2 304.306 0.513 20 0 DCADLN O=C([O-])N1CCC[C@@H](CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000834031714 605841975 /nfs/dbraw/zinc/84/19/75/605841975.db2.gz VGXSUABXMGMHBY-NWDGAFQWSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CC[C@@H](CCNC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000834039719 605844580 /nfs/dbraw/zinc/84/45/80/605844580.db2.gz WMCWDWCXCCXFHD-VXGBXAGGSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N[C@@H]1CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000834186663 605938631 /nfs/dbraw/zinc/93/86/31/605938631.db2.gz RTOHTOMWMXRJFW-SNVBAGLBSA-N 0 2 317.305 0.141 20 0 DCADLN Cc1cncc(CNC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000833911315 605971540 /nfs/dbraw/zinc/97/15/40/605971540.db2.gz BIIDARXCQUSNKX-CYBMUJFWSA-N 0 2 321.381 0.483 20 0 DCADLN Cc1cncc(CNC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000833911315 605971541 /nfs/dbraw/zinc/97/15/41/605971541.db2.gz BIIDARXCQUSNKX-CYBMUJFWSA-N 0 2 321.381 0.483 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(C(=O)N2CCCN2C(=O)[O-])CC1 ZINC000833958246 605985278 /nfs/dbraw/zinc/98/52/78/605985278.db2.gz VRSCQWJNRMPXOP-UHFFFAOYSA-N 0 2 321.381 0.759 20 0 DCADLN O=C([O-])N[C@@H](CC(F)F)C(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000834128158 606059055 /nfs/dbraw/zinc/05/90/55/606059055.db2.gz JEYQVMXMMPISHS-UWVGGRQHSA-N 0 2 321.324 0.211 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1sccc1-c1nn[nH]n1 ZINC000823197267 606290693 /nfs/dbraw/zinc/29/06/93/606290693.db2.gz OLTYHRCEUNTZGN-UHFFFAOYSA-N 0 2 320.338 0.115 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2cc(F)ccc2F)c1=O ZINC000826357144 608096271 /nfs/dbraw/zinc/09/62/71/608096271.db2.gz SVEGNICWGIPAJY-UHFFFAOYSA-N 0 2 320.259 0.054 20 0 DCADLN CN(C(=O)c1nc[nH]n1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646607 665406252 /nfs/dbraw/zinc/40/62/52/665406252.db2.gz IKSCWXRQCSVXBH-RQJHMYQMSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)c1nc[nH]n1)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938646607 665406254 /nfs/dbraw/zinc/40/62/54/665406254.db2.gz IKSCWXRQCSVXBH-RQJHMYQMSA-N 0 2 323.250 0.378 20 0 DCADLN CC(=O)N[C@H]1C[C@H](CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC000980590144 660965602 /nfs/dbraw/zinc/96/56/02/660965602.db2.gz YJAYEFYROIYNEL-UMSPYCQHSA-N 0 2 316.365 0.455 20 0 DCADLN CCc1nc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)co1 ZINC000981016132 661063814 /nfs/dbraw/zinc/06/38/14/661063814.db2.gz VHUPSSLFIYZOND-UHFFFAOYSA-N 0 2 320.353 0.409 20 0 DCADLN Cn1cnnc1CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981208014 661100949 /nfs/dbraw/zinc/10/09/49/661100949.db2.gz YWSBMGINXZEWNZ-SNVBAGLBSA-N 0 2 323.294 0.750 20 0 DCADLN Cn1cnnc1CN1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000981208014 661100950 /nfs/dbraw/zinc/10/09/50/661100950.db2.gz YWSBMGINXZEWNZ-SNVBAGLBSA-N 0 2 323.294 0.750 20 0 DCADLN O=C(N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1(C(F)F)CC1 ZINC000981156607 661089328 /nfs/dbraw/zinc/08/93/28/661089328.db2.gz GYEPXCVZTJVOOQ-UHFFFAOYSA-N 0 2 315.324 0.590 20 0 DCADLN Cn1nnc(CN2CCCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC000981206736 661102349 /nfs/dbraw/zinc/10/23/49/661102349.db2.gz DYWHEBSNXFUGHR-VIFPVBQESA-N 0 2 324.282 0.145 20 0 DCADLN Cn1nnc(CN2CCCN(C(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC000981206736 661102352 /nfs/dbraw/zinc/10/23/52/661102352.db2.gz DYWHEBSNXFUGHR-VIFPVBQESA-N 0 2 324.282 0.145 20 0 DCADLN Cn1ncc(CN2CCCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC000981209794 661103510 /nfs/dbraw/zinc/10/35/10/661103510.db2.gz MQDHXVZMADANGV-SNVBAGLBSA-N 0 2 323.294 0.750 20 0 DCADLN Cn1ncc(CN2CCCN(C(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC000981209794 661103512 /nfs/dbraw/zinc/10/35/12/661103512.db2.gz MQDHXVZMADANGV-SNVBAGLBSA-N 0 2 323.294 0.750 20 0 DCADLN C[C@@H]1CC[C@H](CC(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000981876644 661240011 /nfs/dbraw/zinc/24/00/11/661240011.db2.gz WAAUXHLXAPKMCP-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001028169705 661256460 /nfs/dbraw/zinc/25/64/60/661256460.db2.gz COWDWKSUNFIWQQ-LLVKDONJSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001028169705 661256462 /nfs/dbraw/zinc/25/64/62/661256462.db2.gz COWDWKSUNFIWQQ-LLVKDONJSA-N 0 2 318.381 0.850 20 0 DCADLN CCc1oncc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028175044 661258052 /nfs/dbraw/zinc/25/80/52/661258052.db2.gz ZYDPMPUCUAVXPC-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN CCc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001038936897 661280405 /nfs/dbraw/zinc/28/04/05/661280405.db2.gz VTTGIODNQBNYOP-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN CCCNC(=O)NNC(=O)c1csc(-c2cnn(C)c2)n1 ZINC000068930663 665453155 /nfs/dbraw/zinc/45/31/55/665453155.db2.gz DMEQAYSHTKLAKV-UHFFFAOYSA-N 0 2 308.367 0.898 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC000938969879 665456042 /nfs/dbraw/zinc/45/60/42/665456042.db2.gz VVHMSLHAEKOGBN-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC000938969879 665456044 /nfs/dbraw/zinc/45/60/44/665456044.db2.gz VVHMSLHAEKOGBN-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN CCc1nocc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970388656 657465985 /nfs/dbraw/zinc/46/59/85/657465985.db2.gz UTBKFIVXFFNXSL-QMMMGPOBSA-N 0 2 320.353 0.311 20 0 DCADLN CN1CCN(C(=O)C[N@H+](C)[C@H]2CCSC2)CC[NH+](C)CC1 ZINC000888987802 657474370 /nfs/dbraw/zinc/47/43/70/657474370.db2.gz BDURNAGSQXHYGQ-AWEZNQCLSA-N 0 2 314.499 0.130 20 0 DCADLN C[C@H](NC(=O)c1ccncc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969209065 657571794 /nfs/dbraw/zinc/57/17/94/657571794.db2.gz FJFRDXHHDDGCCX-VIFPVBQESA-N 0 2 302.338 0.156 20 0 DCADLN COCC(=O)N1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC000972548037 657739514 /nfs/dbraw/zinc/73/95/14/657739514.db2.gz FBJXICNJINUTLJ-NQMVMOMDSA-N 0 2 312.263 0.593 20 0 DCADLN COCC(=O)N1CC[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]2C1 ZINC000972548037 657739519 /nfs/dbraw/zinc/73/95/19/657739519.db2.gz FBJXICNJINUTLJ-NQMVMOMDSA-N 0 2 312.263 0.593 20 0 DCADLN CCc1cccnc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031797949 665512735 /nfs/dbraw/zinc/51/27/35/665512735.db2.gz MEQGJXAQWGMSKC-UHFFFAOYSA-N 0 2 316.365 0.330 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)N=c1nn[n-]n1Cc1ccccc1 ZINC000892402172 658261380 /nfs/dbraw/zinc/26/13/80/658261380.db2.gz MXHMTBDGDNTTHN-CQSZACIVSA-N 0 2 315.425 0.191 20 0 DCADLN CCCn1nccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038296264 658277447 /nfs/dbraw/zinc/27/74/47/658277447.db2.gz MDOWWZKERGLQIU-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN C[N@H+](Cc1n[nH]c(=O)[n-]1)[C@H]1CCN(C(=O)c2ccccc2O)C1 ZINC000971107412 658278666 /nfs/dbraw/zinc/27/86/66/658278666.db2.gz CUXWNOGYSANKBH-JTQLQIEISA-N 0 2 317.349 0.562 20 0 DCADLN C[N@@H+](Cc1n[nH]c(=O)[n-]1)[C@H]1CCN(C(=O)c2ccccc2O)C1 ZINC000971107412 658278670 /nfs/dbraw/zinc/27/86/70/658278670.db2.gz CUXWNOGYSANKBH-JTQLQIEISA-N 0 2 317.349 0.562 20 0 DCADLN Cc1ccnc(C[NH2+][C@@H]2CCN(C(=O)C[N@@H+]3CC[C@@H](C)C3)C2)n1 ZINC000969948380 658283518 /nfs/dbraw/zinc/28/35/18/658283518.db2.gz KYBLJDFHPILLLV-UKRRQHHQSA-N 0 2 317.437 0.817 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCCOC1 ZINC000972853337 658483109 /nfs/dbraw/zinc/48/31/09/658483109.db2.gz OKFPOYXLWZJWOK-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCCOC1 ZINC000972853337 658483114 /nfs/dbraw/zinc/48/31/14/658483114.db2.gz OKFPOYXLWZJWOK-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1F ZINC001031826793 665594552 /nfs/dbraw/zinc/59/45/52/665594552.db2.gz UEKYIBUEPOZXOT-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN Cc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)nc1C ZINC000949314795 658627746 /nfs/dbraw/zinc/62/77/46/658627746.db2.gz UUFAXJSZKVHYPW-UHFFFAOYSA-N 0 2 316.365 0.480 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1CCCO)[C@H]1CCc2[nH+]ccn2C1 ZINC001024515604 658674405 /nfs/dbraw/zinc/67/44/05/658674405.db2.gz WTOIUGTZSYIBQF-GJZGRUSLSA-N 0 2 320.437 0.799 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001011240128 658885522 /nfs/dbraw/zinc/88/55/22/658885522.db2.gz ONDHXLDLDDSMHP-JLIMGVALSA-N 0 2 324.274 0.450 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001011240128 658885527 /nfs/dbraw/zinc/88/55/27/658885527.db2.gz ONDHXLDLDDSMHP-JLIMGVALSA-N 0 2 324.274 0.450 20 0 DCADLN Cc1nonc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240116 658885717 /nfs/dbraw/zinc/88/57/17/658885717.db2.gz OJOYCJPGQCKZRJ-MRVPVSSYSA-N 0 2 324.234 0.563 20 0 DCADLN Cc1nonc1C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011240116 658885719 /nfs/dbraw/zinc/88/57/19/658885719.db2.gz OJOYCJPGQCKZRJ-MRVPVSSYSA-N 0 2 324.234 0.563 20 0 DCADLN O=C(Cc1ccon1)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000939800633 665646876 /nfs/dbraw/zinc/64/68/76/665646876.db2.gz IQEWCEVIWPEGAD-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000939800633 665646878 /nfs/dbraw/zinc/64/68/78/665646878.db2.gz IQEWCEVIWPEGAD-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)[C@H]1CCOC1 ZINC000976243319 659255355 /nfs/dbraw/zinc/25/53/55/659255355.db2.gz ZGZZHKRKALGCDO-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)[C@H]1CCOC1 ZINC000976243319 659255370 /nfs/dbraw/zinc/25/53/70/659255370.db2.gz ZGZZHKRKALGCDO-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001038048812 659783531 /nfs/dbraw/zinc/78/35/31/659783531.db2.gz PHUGULNSKZZQSA-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN Cc1ccc(F)cc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031882686 665754292 /nfs/dbraw/zinc/75/42/92/665754292.db2.gz GSVSOXTYYKPFTL-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nc3c(s2)CCC3)S1 ZINC000927657520 661486618 /nfs/dbraw/zinc/48/66/18/661486618.db2.gz HDFYHOLAEYMGAM-MRVPVSSYSA-N 0 2 310.404 0.804 20 0 DCADLN O=C(CC[NH+]1CCOCC1)NCc1nnc(-c2ccccc2)[nH]1 ZINC000726772653 661667651 /nfs/dbraw/zinc/66/76/51/661667651.db2.gz IKHVYXCOYNRKAG-UHFFFAOYSA-N 0 2 315.377 0.810 20 0 DCADLN O=C(NC[C@@H]1CC[N@H+](Cc2nnc(C3CC3)[nH]2)C1)c1cnn[n-]1 ZINC001028653726 661726702 /nfs/dbraw/zinc/72/67/02/661726702.db2.gz QVEVSDCRFXGUKY-VIFPVBQESA-N 0 2 316.369 0.052 20 0 DCADLN O=C(NC[C@@H]1CC[N@@H+](Cc2nnc(C3CC3)[nH]2)C1)c1cnn[n-]1 ZINC001028653726 661726703 /nfs/dbraw/zinc/72/67/03/661726703.db2.gz QVEVSDCRFXGUKY-VIFPVBQESA-N 0 2 316.369 0.052 20 0 DCADLN O=C(c1cncs1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029227659 662056810 /nfs/dbraw/zinc/05/68/10/662056810.db2.gz VBXCUWCKGQWWJQ-DTORHVGOSA-N 0 2 320.378 0.456 20 0 DCADLN Cc1cc[nH]c1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029291344 662087213 /nfs/dbraw/zinc/08/72/13/662087213.db2.gz FBCAXJLJYLWGPY-PHIMTYICSA-N 0 2 316.365 0.636 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CO1 ZINC001029366175 662119332 /nfs/dbraw/zinc/11/93/32/662119332.db2.gz DBYUBLXKBNJGDX-KKOKHZNYSA-N 0 2 321.381 0.111 20 0 DCADLN Cc1nc[nH]c1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029609903 662426950 /nfs/dbraw/zinc/42/69/50/662426950.db2.gz CKCOBXRYRQSDDS-AOOOYVTPSA-N 0 2 317.353 0.031 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3ncn[nH]3)C[C@H]2C)on1 ZINC000947818664 662489198 /nfs/dbraw/zinc/48/91/98/662489198.db2.gz ABCQXKSRZZIKCQ-MNOVXSKESA-N 0 2 304.354 0.884 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3ncn[nH]3)C[C@H]2C)on1 ZINC000947818664 662489199 /nfs/dbraw/zinc/48/91/99/662489199.db2.gz ABCQXKSRZZIKCQ-MNOVXSKESA-N 0 2 304.354 0.884 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N2CCOCN2)=N1 ZINC000928949921 662639269 /nfs/dbraw/zinc/63/92/69/662639269.db2.gz NESMWCYLMXJWSJ-UHFFFAOYSA-N 0 2 306.297 0.960 20 0 DCADLN C[C@@H]1[C@H]([NH2+]Cc2cnn(C)c2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000987068188 662641098 /nfs/dbraw/zinc/64/10/98/662641098.db2.gz OACAJSKHHQEIDG-BXUZGUMPSA-N 0 2 302.382 0.465 20 0 DCADLN C[C@H]1C[N@@H+](C2CC2)C[C@H]1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866953052 662662263 /nfs/dbraw/zinc/66/22/63/662662263.db2.gz FYMGIXRVEMDJRT-CMPLNLGQSA-N 0 2 321.468 0.565 20 0 DCADLN C[C@H]1C[N@H+](C2CC2)C[C@H]1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866953052 662662265 /nfs/dbraw/zinc/66/22/65/662662265.db2.gz FYMGIXRVEMDJRT-CMPLNLGQSA-N 0 2 321.468 0.565 20 0 DCADLN Cc1cocc1C(=O)N1C[C@@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@@H]1C ZINC000989309236 662899189 /nfs/dbraw/zinc/89/91/89/662899189.db2.gz SWGNLDHUVJJWFN-QWRGUYRKSA-N 0 2 319.365 0.403 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(-n2ccnc2)nc1 ZINC000729918489 662912694 /nfs/dbraw/zinc/91/26/94/662912694.db2.gz RIUKKMCTPVRXRX-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN Cc1conc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000989427808 662917508 /nfs/dbraw/zinc/91/75/08/662917508.db2.gz SNACMZQHAMCGMM-UHFFFAOYSA-N 0 2 306.326 0.155 20 0 DCADLN CCn1cccc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000989675126 662970680 /nfs/dbraw/zinc/97/06/80/662970680.db2.gz RQPFALSDOXXLIZ-UHFFFAOYSA-N 0 2 318.381 0.680 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cccc(=O)[nH]1 ZINC000990648271 663077722 /nfs/dbraw/zinc/07/77/22/663077722.db2.gz FRPJPYHZCOPXLN-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cccc(=O)[nH]1 ZINC000990648271 663077723 /nfs/dbraw/zinc/07/77/23/663077723.db2.gz FRPJPYHZCOPXLN-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN Cn1cc(Cl)cc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038487493 663081052 /nfs/dbraw/zinc/08/10/52/663081052.db2.gz CHIGXJOVOLKHLF-VIFPVBQESA-N 0 2 324.772 0.507 20 0 DCADLN O=C(NCc1cn(CCO[C@@H]2CCOC2)nn1)C(F)(F)F ZINC000899735746 663184000 /nfs/dbraw/zinc/18/40/00/663184000.db2.gz PTXVXVIOOFXXDJ-SECBINFHSA-N 0 2 308.260 0.262 20 0 DCADLN CCC(CC)[C@@H](C(=O)NCC[N@@H+](C)CCO)[NH+]1CCOCC1 ZINC000899734232 663187301 /nfs/dbraw/zinc/18/73/01/663187301.db2.gz XFROBYXWZWFGLA-HNNXBMFYSA-N 0 2 315.458 0.164 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC001000835772 665942194 /nfs/dbraw/zinc/94/21/94/665942194.db2.gz XOUMLTNPKXVCFX-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC001000835772 665942195 /nfs/dbraw/zinc/94/21/95/665942195.db2.gz XOUMLTNPKXVCFX-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1nc2ccccn2c1C[NH2+]Cc1cn(CC(=O)[O-])nn1 ZINC000901801136 663368532 /nfs/dbraw/zinc/36/85/32/663368532.db2.gz CLIYFEYOXBCCHS-UHFFFAOYSA-N 0 2 300.322 0.609 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000902032788 663381343 /nfs/dbraw/zinc/38/13/43/663381343.db2.gz BTBPROKGOFZBSZ-TZMCWYRMSA-N 0 2 314.345 0.653 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC000902304629 663405491 /nfs/dbraw/zinc/40/54/91/663405491.db2.gz DIUYZQSSBLQFTM-IAQYHMDHSA-N 0 2 307.350 0.395 20 0 DCADLN O=C(Nc1ccccc1-n1ccnn1)[C@@]1(F)CCS(=O)(=O)C1 ZINC000902614877 663422179 /nfs/dbraw/zinc/42/21/79/663422179.db2.gz QKOKSOHUONSYKK-CYBMUJFWSA-N 0 2 324.337 0.733 20 0 DCADLN C[C@@H]1CCCC[C@@H]1OCCNC(=O)CON=C(N)CN(C)C ZINC000902612964 663422220 /nfs/dbraw/zinc/42/22/20/663422220.db2.gz MAUCETVLGQDTIP-OLZOCXBDSA-N 0 2 314.430 0.758 20 0 DCADLN O=C(Nc1ccccc1-n1ccnn1)[C@]1(F)CCS(=O)(=O)C1 ZINC000902614878 663422414 /nfs/dbraw/zinc/42/24/14/663422414.db2.gz QKOKSOHUONSYKK-ZDUSSCGKSA-N 0 2 324.337 0.733 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccnn1[C@@H]1CCCOC1 ZINC000903683878 663473578 /nfs/dbraw/zinc/47/35/78/663473578.db2.gz DNARXYNGFVTAAE-SNVBAGLBSA-N 0 2 311.367 0.769 20 0 DCADLN COCc1ccnc(NS(=O)(=O)c2cn(C)c(Cl)n2)n1 ZINC000903675196 663473752 /nfs/dbraw/zinc/47/37/52/663473752.db2.gz WHLMJPDRRPBKEK-UHFFFAOYSA-N 0 2 317.758 0.811 20 0 DCADLN Cn1nccc1CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991072225 663575277 /nfs/dbraw/zinc/57/52/77/663575277.db2.gz YWSGKOLMCGNEHK-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN Cn1nccc1CC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000991072225 663575278 /nfs/dbraw/zinc/57/52/78/663575278.db2.gz YWSGKOLMCGNEHK-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)nc1C ZINC001038515630 663602369 /nfs/dbraw/zinc/60/23/69/663602369.db2.gz HKJITJAYXGBOJA-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN Cn1nc2c(c1C(=O)N1CCC[C@H](c3n[nH]c(=O)[nH]3)C1)CCC2 ZINC000908038640 663730311 /nfs/dbraw/zinc/73/03/11/663730311.db2.gz XKYZKAGYCNNJAL-VIFPVBQESA-N 0 2 316.365 0.752 20 0 DCADLN C[C@H]1Cn2nc(C(=O)[O-])cc2CN1C(=O)CCc1c[nH+]cn1C ZINC000908438176 663755414 /nfs/dbraw/zinc/75/54/14/663755414.db2.gz HLWPPPYYTHZWBB-JTQLQIEISA-N 0 2 317.349 0.678 20 0 DCADLN C[C@@H]1Cn2nc(C(=O)[O-])cc2CN1C(=O)Cc1c[nH+]cn1C ZINC000908438988 663755526 /nfs/dbraw/zinc/75/55/26/663755526.db2.gz MEYIJSAGLYHHDO-SECBINFHSA-N 0 2 303.322 0.288 20 0 DCADLN Cn1cc([C@@H](NC(=O)C(F)(F)C(F)F)c2ncon2)cn1 ZINC000908624182 663763934 /nfs/dbraw/zinc/76/39/34/663763934.db2.gz QFEJJHZVDKBDGW-ZCFIWIBFSA-N 0 2 307.207 0.909 20 0 DCADLN CC[C@H](C)N(CC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000908747410 663767859 /nfs/dbraw/zinc/76/78/59/663767859.db2.gz KZTAJWOTAARBMS-LBPRGKRZSA-N 0 2 315.414 0.992 20 0 DCADLN CCCN(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@@H](C)C(=O)[O-] ZINC000908913877 663774038 /nfs/dbraw/zinc/77/40/38/663774038.db2.gz LAILLUNNVMAMJI-LBPRGKRZSA-N 0 2 315.414 0.992 20 0 DCADLN CCCN(C(=O)NCC[N@H+]1CCOC(C)(C)C1)[C@@H](C)C(=O)[O-] ZINC000908913877 663774039 /nfs/dbraw/zinc/77/40/39/663774039.db2.gz LAILLUNNVMAMJI-LBPRGKRZSA-N 0 2 315.414 0.992 20 0 DCADLN CC[C@@H](C)N(CC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000908936087 663775327 /nfs/dbraw/zinc/77/53/27/663775327.db2.gz GEFLOBNHYSUKGU-GFCCVEGCSA-N 0 2 315.414 0.992 20 0 DCADLN CC[C@@H](C)N(CC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000908936087 663775328 /nfs/dbraw/zinc/77/53/28/663775328.db2.gz GEFLOBNHYSUKGU-GFCCVEGCSA-N 0 2 315.414 0.992 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)[C@@H](C(=O)[O-])C1 ZINC000909984780 663826540 /nfs/dbraw/zinc/82/65/40/663826540.db2.gz SYHWBVKYRFSMPB-CYZMBNFOSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])CC1(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)CCOCC1 ZINC000910121237 663847327 /nfs/dbraw/zinc/84/73/27/663847327.db2.gz REJWODIWNHINLH-LBPRGKRZSA-N 0 2 321.377 0.833 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000910237476 663858239 /nfs/dbraw/zinc/85/82/39/663858239.db2.gz UEYGAKXINBKVAI-RWMBFGLXSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@H](CC1CCOCC1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000910454054 663886142 /nfs/dbraw/zinc/88/61/42/663886142.db2.gz QCNFRMBIXFPWBA-STQMWFEESA-N 0 2 321.377 0.832 20 0 DCADLN C/C(=C\C(=O)Nc1cncc(C(=O)[O-])c1)C[NH+]1CCOCC1 ZINC000910975924 663963554 /nfs/dbraw/zinc/96/35/54/663963554.db2.gz SECQMBNIYRMWPB-IZZDOVSWSA-N 0 2 305.334 0.997 20 0 DCADLN CCO[C@@H](C)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911174122 664001593 /nfs/dbraw/zinc/00/15/93/664001593.db2.gz SBUAXKBCFRWVGB-NSHDSACASA-N 0 2 307.350 0.853 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911174422 664001666 /nfs/dbraw/zinc/00/16/66/664001666.db2.gz WRTIUYHGUXREBM-CHWSQXEVSA-N 0 2 319.361 0.853 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)c2csc(C(=O)[O-])n2)CCO1 ZINC000911319094 664032463 /nfs/dbraw/zinc/03/24/63/664032463.db2.gz DJRKRBUKPUISQM-UHFFFAOYSA-N 0 2 313.379 0.682 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)c2csc(C(=O)[O-])n2)CCO1 ZINC000911319094 664032464 /nfs/dbraw/zinc/03/24/64/664032464.db2.gz DJRKRBUKPUISQM-UHFFFAOYSA-N 0 2 313.379 0.682 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)c1cc(C(=O)[O-])ccn1 ZINC000911371651 664044722 /nfs/dbraw/zinc/04/47/22/664044722.db2.gz GTQHDJVUHBKPNX-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@H](Cc1ccncc1)C(=O)[O-] ZINC000911428110 664050568 /nfs/dbraw/zinc/05/05/68/664050568.db2.gz UJTJNRZUYHIBPV-CYBMUJFWSA-N 0 2 302.334 0.560 20 0 DCADLN C[C@]1(CO)C[C@H](O)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000912656832 664201650 /nfs/dbraw/zinc/20/16/50/664201650.db2.gz XBBWZIKGJLWADE-BLLLJJGKSA-N 0 2 317.345 0.536 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cnn(C2CCC2)c1 ZINC001030307449 664508131 /nfs/dbraw/zinc/50/81/31/664508131.db2.gz UMYSXEIZSNCLQP-UHFFFAOYSA-N 0 2 317.353 0.046 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1c1ccncc1 ZINC001030337258 664515231 /nfs/dbraw/zinc/51/52/31/664515231.db2.gz NYSDYHAIELPZMM-NWDGAFQWSA-N 0 2 314.349 0.009 20 0 DCADLN O=C(C[C@H]1CCC(F)(F)C1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030413290 664535616 /nfs/dbraw/zinc/53/56/16/664535616.db2.gz QDDSNTMRWCGZHA-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1C1CC1 ZINC001030611627 664603852 /nfs/dbraw/zinc/60/38/52/664603852.db2.gz OBPHHMKCXZTTIP-UHFFFAOYSA-N 0 2 314.349 0.397 20 0 DCADLN Cc1ccc(C[NH+]2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cc1 ZINC001030618513 664606651 /nfs/dbraw/zinc/60/66/51/664606651.db2.gz JNBZLHLDKVDLFZ-UHFFFAOYSA-N 0 2 315.333 0.205 20 0 DCADLN CCc1ccc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)nc1 ZINC001030980930 664746085 /nfs/dbraw/zinc/74/60/85/664746085.db2.gz DFRDCUBPLVBWFM-UHFFFAOYSA-N 0 2 316.365 0.011 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCc2ccccc2C1 ZINC000730511637 664783420 /nfs/dbraw/zinc/78/34/20/664783420.db2.gz DTKHEQBCNXNRRR-UHFFFAOYSA-N 0 2 309.329 0.656 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cccnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992971317 664787497 /nfs/dbraw/zinc/78/74/97/664787497.db2.gz XXIYWCDAVGVLLU-ZYHUDNBSSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC(C)(C)O1 ZINC000730534373 664789911 /nfs/dbraw/zinc/78/99/11/664789911.db2.gz HJDUXNUQPAHHPW-SECBINFHSA-N 0 2 305.338 0.097 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnc(C2CC2)nc1 ZINC000730545482 664791800 /nfs/dbraw/zinc/79/18/00/664791800.db2.gz HCDPSECLOSRJRZ-UHFFFAOYSA-N 0 2 311.305 0.377 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cocn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993100816 664808284 /nfs/dbraw/zinc/80/82/84/664808284.db2.gz DYJBFKUOOKPOIN-IUCAKERBSA-N 0 2 306.326 0.281 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000993175818 664858665 /nfs/dbraw/zinc/85/86/65/664858665.db2.gz BMLLNNSFXSWMLK-NXEZZACHSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1csc(N(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000731640934 664875572 /nfs/dbraw/zinc/87/55/72/664875572.db2.gz BGASSPAIOFENNI-UHFFFAOYSA-N 0 2 304.335 0.499 20 0 DCADLN O=c1ncnc2n(Cc3nc(-c4ccc(O)cc4)no3)[nH]cc1-2 ZINC000731791132 664884305 /nfs/dbraw/zinc/88/43/05/664884305.db2.gz YILLJZWKRWBLPO-UHFFFAOYSA-N 0 2 310.273 0.875 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1coc(C2CCC2)n1 ZINC001031221988 664904558 /nfs/dbraw/zinc/90/45/58/664904558.db2.gz HLTPFDYOCPMLAM-UHFFFAOYSA-N 0 2 318.337 0.380 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000994059181 665033439 /nfs/dbraw/zinc/03/34/39/665033439.db2.gz SODCTSFECOWTQE-SSDOTTSWSA-N 0 2 324.234 0.265 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000994059181 665033441 /nfs/dbraw/zinc/03/34/41/665033441.db2.gz SODCTSFECOWTQE-SSDOTTSWSA-N 0 2 324.234 0.265 20 0 DCADLN Cc1ccc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001031357391 665109633 /nfs/dbraw/zinc/10/96/33/665109633.db2.gz LKIKYTIJYCDPAB-UHFFFAOYSA-N 0 2 315.377 0.670 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2cnccc21 ZINC001031388736 665129521 /nfs/dbraw/zinc/12/95/21/665129521.db2.gz MSTUVWULALCNIC-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN Cc1ccccc1C(F)(F)CNS(=O)(=O)[C@@H]1COC[C@H]1O ZINC000917686020 665140438 /nfs/dbraw/zinc/14/04/38/665140438.db2.gz HDSUERVISDHSRW-VXGBXAGGSA-N 0 2 321.345 0.766 20 0 DCADLN Cc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031518915 665180605 /nfs/dbraw/zinc/18/06/05/665180605.db2.gz KCOPHEVDNGCUMM-UHFFFAOYSA-N 0 2 307.379 0.742 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC(CC3CCOCC3)C2)S1 ZINC000919721440 665230209 /nfs/dbraw/zinc/23/02/09/665230209.db2.gz VGUIIJHCLMVCJX-LLVKDONJSA-N 0 2 311.407 0.818 20 0 DCADLN Cc1ncc(C[NH+]2CC(CNC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001031616056 665232905 /nfs/dbraw/zinc/23/29/05/665232905.db2.gz MIVJNFRJJCESMZ-UHFFFAOYSA-N 0 2 305.338 0.582 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000920953245 665285277 /nfs/dbraw/zinc/28/52/77/665285277.db2.gz CTCROXIPTXTIAU-JTQLQIEISA-N 0 2 320.374 0.883 20 0 DCADLN Cc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc(C)n1 ZINC001031700215 665311763 /nfs/dbraw/zinc/31/17/63/665311763.db2.gz NYKXTNRGSHQUDC-UHFFFAOYSA-N 0 2 316.365 0.384 20 0 DCADLN C[S@@](=O)CCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000084389820 665335360 /nfs/dbraw/zinc/33/53/60/665335360.db2.gz MIMLTKVLIBZQEC-NRFANRHFSA-N 0 2 311.338 0.825 20 0 DCADLN O=C(Cc1ncc[nH]1)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000942280498 666146677 /nfs/dbraw/zinc/14/66/77/666146677.db2.gz SOSUKYCHXGINLI-GMSGAONNSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(C[C@H]1C=CCC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002312725 666156017 /nfs/dbraw/zinc/15/60/17/666156017.db2.gz NERNIQXZJSTTIB-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002410274 666168283 /nfs/dbraw/zinc/16/82/83/666168283.db2.gz KBZSODASYCKDQQ-QWRGUYRKSA-N 0 2 309.370 0.016 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002410263 666168322 /nfs/dbraw/zinc/16/83/22/666168322.db2.gz KBZSODASYCKDQQ-GHMZBOCLSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1n[nH]c(C(=O)NC2CC[NH+](Cc3ncccn3)CC2)c1[O-] ZINC001002615301 666198641 /nfs/dbraw/zinc/19/86/41/666198641.db2.gz NAHVMFZADCSJPN-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001032892206 666375352 /nfs/dbraw/zinc/37/53/52/666375352.db2.gz ULTQSDXZFIRLGD-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN CN(C(=O)C[C@H]1C=CCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032907234 666384370 /nfs/dbraw/zinc/38/43/70/666384370.db2.gz UVJSPBQKOASPJJ-RYUDHWBXSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)C[C@H]1C=CCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032907234 666384371 /nfs/dbraw/zinc/38/43/71/666384371.db2.gz UVJSPBQKOASPJJ-RYUDHWBXSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)C[C@H]1C=CCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032907233 666384399 /nfs/dbraw/zinc/38/43/99/666384399.db2.gz UVJSPBQKOASPJJ-NWDGAFQWSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)C[C@H]1C=CCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032907233 666384400 /nfs/dbraw/zinc/38/44/00/666384400.db2.gz UVJSPBQKOASPJJ-NWDGAFQWSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)c1cncs1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032942612 666402466 /nfs/dbraw/zinc/40/24/66/666402466.db2.gz MDHJUFWSAHSTSV-MRVPVSSYSA-N 0 2 308.367 0.313 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001032972917 666415031 /nfs/dbraw/zinc/41/50/31/666415031.db2.gz IBDMMDKUNQHNSE-JTQLQIEISA-N 0 2 320.353 0.462 20 0 DCADLN Cc1nc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001033027807 666438449 /nfs/dbraw/zinc/43/84/49/666438449.db2.gz PHAXTKXREKZHFA-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN CCn1nccc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033037321 666446626 /nfs/dbraw/zinc/44/66/26/666446626.db2.gz YHEQJPZJQKUJEG-SNVBAGLBSA-N 0 2 319.369 0.073 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001033040553 666450912 /nfs/dbraw/zinc/45/09/12/666450912.db2.gz VSIHUFBUJVWKST-LLVKDONJSA-N 0 2 305.338 0.758 20 0 DCADLN C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@@H]1NC(=O)C(C)(F)F ZINC000945538056 666624565 /nfs/dbraw/zinc/62/45/65/666624565.db2.gz DKQRULRVDZUXQI-ONGXEEELSA-N 0 2 314.336 0.961 20 0 DCADLN CN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033351450 666686892 /nfs/dbraw/zinc/68/68/92/666686892.db2.gz QBCQDCAABGYQBV-NOOOWODRSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033351450 666686893 /nfs/dbraw/zinc/68/68/93/666686893.db2.gz QBCQDCAABGYQBV-NOOOWODRSA-N 0 2 305.382 0.589 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)N(C)[C@H]2CC[NH+](Cc3ccnn3C)C2)C1 ZINC001033420379 666710570 /nfs/dbraw/zinc/71/05/70/666710570.db2.gz OQFOBZRPBUEQCO-GJZGRUSLSA-N 0 2 319.453 0.795 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N(C)[C@H]2CC[NH+](Cc3ccnn3C)C2)C1 ZINC001033420377 666710637 /nfs/dbraw/zinc/71/06/37/666710637.db2.gz OQFOBZRPBUEQCO-CABCVRRESA-N 0 2 319.453 0.795 20 0 DCADLN CN(C(=O)C1CC=CC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005197778 666748192 /nfs/dbraw/zinc/74/81/92/666748192.db2.gz ICTYAMXMHQLLPX-UHFFFAOYSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@]1(C)CCCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033544657 666753362 /nfs/dbraw/zinc/75/33/62/666753362.db2.gz LMKLVOIDLDFGMD-IAQYHMDHSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@]1(C)CCCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033544657 666753363 /nfs/dbraw/zinc/75/33/63/666753363.db2.gz LMKLVOIDLDFGMD-IAQYHMDHSA-N 0 2 323.397 0.360 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CC[C@@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794827 666831403 /nfs/dbraw/zinc/83/14/03/666831403.db2.gz HSESHPVZXTUIED-GHMZBOCLSA-N 0 2 323.397 0.224 20 0 DCADLN CC[C@H](C(N)=O)[N@H+]1CC[C@@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794827 666831406 /nfs/dbraw/zinc/83/14/06/666831406.db2.gz HSESHPVZXTUIED-GHMZBOCLSA-N 0 2 323.397 0.224 20 0 DCADLN CCN(C(=O)C1CC(OC)C1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033865417 666846392 /nfs/dbraw/zinc/84/63/92/666846392.db2.gz AKUZZSNVRKTISQ-CXQJBGSLSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)C1CC(OC)C1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033865417 666846394 /nfs/dbraw/zinc/84/63/94/666846394.db2.gz AKUZZSNVRKTISQ-CXQJBGSLSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(CC(N)=O)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861268176 666913998 /nfs/dbraw/zinc/91/39/98/666913998.db2.gz RGVRCNGLVGVMIN-UHFFFAOYSA-N 0 2 321.255 0.406 20 0 DCADLN CC[C@@H](SC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032356735 667026206 /nfs/dbraw/zinc/02/62/06/667026206.db2.gz XQAGVBCMVFTGPM-LPEHRKFASA-N 0 2 311.411 0.437 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CC[C@H]2CCOC2)c1[O-] ZINC001032357831 667030614 /nfs/dbraw/zinc/03/06/14/667030614.db2.gz HLHMRYUHYYKGAO-AVGNSLFASA-N 0 2 320.393 0.749 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CC[C@H]2CCOC2)c1[O-] ZINC001032357831 667030618 /nfs/dbraw/zinc/03/06/18/667030618.db2.gz HLHMRYUHYYKGAO-AVGNSLFASA-N 0 2 320.393 0.749 20 0 DCADLN CCC[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)OCC ZINC000862417189 667043017 /nfs/dbraw/zinc/04/30/17/667043017.db2.gz DFXXJUSTJYHHAE-JTQLQIEISA-N 0 2 307.354 0.393 20 0 DCADLN CN(C)C(=O)CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001032416816 667217134 /nfs/dbraw/zinc/21/71/34/667217134.db2.gz NANKFAKCRYXHJX-OYNCUSHFSA-N 0 2 311.279 0.260 20 0 DCADLN CN(C)C(=O)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)C(F)(F)F ZINC001032416816 667217137 /nfs/dbraw/zinc/21/71/37/667217137.db2.gz NANKFAKCRYXHJX-OYNCUSHFSA-N 0 2 311.279 0.260 20 0 DCADLN O=C(C1C=CC=CC=C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032428202 667255899 /nfs/dbraw/zinc/25/58/99/667255899.db2.gz XVEQBWJALQZTPM-STQMWFEESA-N 0 2 313.361 0.594 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)co1 ZINC001035349152 667298455 /nfs/dbraw/zinc/29/84/55/667298455.db2.gz SXWKNYHOWDNRLI-LLVKDONJSA-N 0 2 321.337 0.043 20 0 DCADLN Cc1cc(C)c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)[nH]1 ZINC001032479332 667302109 /nfs/dbraw/zinc/30/21/09/667302109.db2.gz ABEYTYASKCWQSQ-QWRGUYRKSA-N 0 2 316.365 0.554 20 0 DCADLN O=C(N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1)C1(CF)CC1 ZINC001035792399 667409994 /nfs/dbraw/zinc/40/99/94/667409994.db2.gz RTQDKNQIEGJVSR-UHFFFAOYSA-N 0 2 323.372 0.684 20 0 DCADLN O=C([C@@H]1CC12CC2)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035806429 667412822 /nfs/dbraw/zinc/41/28/22/667412822.db2.gz FSYOANVLTUDMML-NSHDSACASA-N 0 2 317.393 0.735 20 0 DCADLN CN(C(=O)c1ccnnc1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006046175 667504535 /nfs/dbraw/zinc/50/45/35/667504535.db2.gz NZPNHQATBPGNNY-UHFFFAOYSA-N 0 2 317.353 0.037 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC1(F)F ZINC001014889996 667579871 /nfs/dbraw/zinc/57/98/71/667579871.db2.gz LTTKJEJBCJYQFN-HTQZYQBOSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@@H]1OCC[C@@H]1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006202339 667580495 /nfs/dbraw/zinc/58/04/95/667580495.db2.gz LZRQFNAYPNFRNK-JQWIXIFHSA-N 0 2 323.397 0.358 20 0 DCADLN CN1CCCc2cc(NC(=O)NCc3n[nH]c(=O)[nH]3)ccc21 ZINC000137228827 667735588 /nfs/dbraw/zinc/73/55/88/667735588.db2.gz XFPCDVLKFLKHOY-UHFFFAOYSA-N 0 2 302.338 0.802 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1cncc(O)c1 ZINC000886276354 667750241 /nfs/dbraw/zinc/75/02/41/667750241.db2.gz GCZGRYYRJNZVIY-UHFFFAOYSA-N 0 2 305.256 0.861 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cn3ccccc3n2)S1 ZINC000742485787 667792546 /nfs/dbraw/zinc/79/25/46/667792546.db2.gz HTXFYXQSZCZOSN-VIFPVBQESA-N 0 2 303.347 0.507 20 0 DCADLN COc1cccc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000742543935 667793770 /nfs/dbraw/zinc/79/37/70/667793770.db2.gz NVHLMXGJTPSCLV-NSHDSACASA-N 0 2 307.375 0.910 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc(C(N)=O)ccc2F)S1 ZINC000742544925 667793772 /nfs/dbraw/zinc/79/37/72/667793772.db2.gz BJKHSEICCDKGDJ-QMMMGPOBSA-N 0 2 310.310 0.420 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCC(=O)Nc2cccnc2)S1 ZINC000742610714 667795316 /nfs/dbraw/zinc/79/53/16/667795316.db2.gz FXILIXFAVFAKLN-SECBINFHSA-N 0 2 321.362 0.083 20 0 DCADLN CN(CCNC(=O)C[C@H]1SC(=N)NC1=O)CC(F)(F)F ZINC000742666277 667796411 /nfs/dbraw/zinc/79/64/11/667796411.db2.gz VUUTYEUAJPTDMD-ZCFIWIBFSA-N 0 2 312.317 0.153 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC[C@@H](O)c2ccccc2)S1 ZINC000742821630 667799936 /nfs/dbraw/zinc/79/99/36/667799936.db2.gz NZMSZBGDHKJNGD-GHMZBOCLSA-N 0 2 307.375 0.783 20 0 DCADLN CNC(=O)NC[C@@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC000871219014 667801431 /nfs/dbraw/zinc/80/14/31/667801431.db2.gz ILTLVVKWZBBNQW-RNFRBKRXSA-N 0 2 301.240 0.043 20 0 DCADLN CNC(=O)NC[C@@H]1COCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000871219014 667801432 /nfs/dbraw/zinc/80/14/32/667801432.db2.gz ILTLVVKWZBBNQW-RNFRBKRXSA-N 0 2 301.240 0.043 20 0 DCADLN COC(=O)[C@H](CCC1OCCO1)NC(=O)C(F)C(F)(F)F ZINC000871492838 667826193 /nfs/dbraw/zinc/82/61/93/667826193.db2.gz CGYIGZGBIHZPBI-XPUUQOCRSA-N 0 2 317.235 0.698 20 0 DCADLN COC(=O)[C@H](CCC1OCCO1)NC(=O)[C@H](F)C(F)(F)F ZINC000871492838 667826196 /nfs/dbraw/zinc/82/61/96/667826196.db2.gz CGYIGZGBIHZPBI-XPUUQOCRSA-N 0 2 317.235 0.698 20 0 DCADLN COCCN(C)C1=NC(=O)C(CC(=O)Nc2cnccn2)S1 ZINC000871597257 667839335 /nfs/dbraw/zinc/83/93/35/667839335.db2.gz HIYUAJNFCBCVOB-VIFPVBQESA-N 0 2 323.378 0.381 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2COc3ccccc3O2)S1 ZINC000745413473 667847356 /nfs/dbraw/zinc/84/73/56/667847356.db2.gz ITRADINCSUDBCZ-KCJUWKMLSA-N 0 2 321.358 0.499 20 0 DCADLN CC(C)c1nncn1CCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000746285058 667870193 /nfs/dbraw/zinc/87/01/93/667870193.db2.gz XGOTWYHGHTXTMB-QMMMGPOBSA-N 0 2 310.383 0.074 20 0 DCADLN CN1CCn2nc(NS(=O)(=O)C[C@H]3CCCCO3)cc2C1 ZINC000872411776 667894565 /nfs/dbraw/zinc/89/45/65/667894565.db2.gz UCIFJUCYLQTEDR-GFCCVEGCSA-N 0 2 314.411 0.639 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(Cl)c2c(c1)OCCO2 ZINC000748007049 667916202 /nfs/dbraw/zinc/91/62/02/667916202.db2.gz TZEKMNTYAURWCL-UHFFFAOYSA-N 0 2 310.697 0.865 20 0 DCADLN O=C(COC(=O)c1cc(F)c(O)c(F)c1)N1CCNC1=O ZINC000748482281 667930192 /nfs/dbraw/zinc/93/01/92/667930192.db2.gz MLGWUOCPWJVKFC-UHFFFAOYSA-N 0 2 300.217 0.379 20 0 DCADLN COc1ccc(CN(C)C(=O)C[C@H]2SC(=N)NC2=O)cn1 ZINC000749041546 667944445 /nfs/dbraw/zinc/94/44/45/667944445.db2.gz DSJFAOGQIJXPSA-SECBINFHSA-N 0 2 308.363 0.605 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H]([C@@H]3CCOC3)C2)S1 ZINC000749124042 667946741 /nfs/dbraw/zinc/94/67/41/667946741.db2.gz VCYHPOZXPYOPDW-AXFHLTTASA-N 0 2 311.407 0.818 20 0 DCADLN Cc1cc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc(C)n1 ZINC000754499451 668046562 /nfs/dbraw/zinc/04/65/62/668046562.db2.gz RMLIHSHNUDGMAO-UHFFFAOYSA-N 0 2 314.305 0.221 20 0 DCADLN COc1ccc(F)c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000755600914 668062628 /nfs/dbraw/zinc/06/26/28/668062628.db2.gz BNUFASCXXZQNDL-UHFFFAOYSA-N 0 2 317.280 0.858 20 0 DCADLN Cc1nccc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000758101807 668156741 /nfs/dbraw/zinc/15/67/41/668156741.db2.gz UMESHBWVQNLPER-UHFFFAOYSA-N 0 2 304.272 0.858 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2CNC3=O)S1 ZINC000805239017 668349584 /nfs/dbraw/zinc/34/95/84/668349584.db2.gz OSLZSHIVZZFRFK-VIFPVBQESA-N 0 2 304.331 0.425 20 0 DCADLN CCOC(=O)C[C@H](C)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762246323 668353542 /nfs/dbraw/zinc/35/35/42/668353542.db2.gz WRDFKLQGLRNXCW-YUMQZZPRSA-N 0 2 301.368 0.248 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H](CO)C1CCCCC1 ZINC000771230457 668735086 /nfs/dbraw/zinc/73/50/86/668735086.db2.gz UDJOJVGCBYJJCT-GFCCVEGCSA-N 0 2 319.365 0.129 20 0 DCADLN CSc1cc(CNC(=O)C[C@@H]2SC(=N)NC2=O)ccn1 ZINC000772883719 668787604 /nfs/dbraw/zinc/78/76/04/668787604.db2.gz MJPRGIJIMZXIEW-QMMMGPOBSA-N 0 2 310.404 0.976 20 0 DCADLN CC[C@H](CSC)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000773974827 668818641 /nfs/dbraw/zinc/81/86/41/668818641.db2.gz MFHCEZIJCOOVAK-SECBINFHSA-N 0 2 309.395 0.671 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)C1CCN(CC(F)(F)F)CC1 ZINC000774922826 668851492 /nfs/dbraw/zinc/85/14/92/668851492.db2.gz VXKHONSTVORXOW-UHFFFAOYSA-N 0 2 308.260 0.828 20 0 DCADLN CC(C)c1c(C(=O)OCc2n[nH]c(=O)[nH]2)cnc2ncnn21 ZINC000774923682 668851556 /nfs/dbraw/zinc/85/15/56/668851556.db2.gz MBRFCRNLTLGVPO-UHFFFAOYSA-N 0 2 303.282 0.428 20 0 DCADLN CC(C)[C@H](NC(=O)c1ccccn1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774945523 668852351 /nfs/dbraw/zinc/85/23/51/668852351.db2.gz ZRWBERSFBARBFQ-NSHDSACASA-N 0 2 319.321 0.403 20 0 DCADLN NS(=O)(=O)[C@H]1CCN(C(=O)c2cccc(Cl)c2O)C1 ZINC000775737393 668867019 /nfs/dbraw/zinc/86/70/19/668867019.db2.gz STGJVFSZDVBONC-ZETCQYMHSA-N 0 2 304.755 0.549 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2COc3ccccc3C2=O)S1 ZINC000775794009 668867759 /nfs/dbraw/zinc/86/77/59/668867759.db2.gz TZISRTSIMXAYBD-SCZZXKLOSA-N 0 2 319.342 0.303 20 0 DCADLN COc1cccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1O ZINC000779062229 668907273 /nfs/dbraw/zinc/90/72/73/668907273.db2.gz UCBLMVAXZXQLRL-UHFFFAOYSA-N 0 2 315.289 0.424 20 0 DCADLN O=C(CSCC(=O)N1CCOCC1)NOC1CCCCC1 ZINC000779318193 668909492 /nfs/dbraw/zinc/90/94/92/668909492.db2.gz SZRXEUIBQJRZTD-UHFFFAOYSA-N 0 2 316.423 0.959 20 0 DCADLN Cc1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1Cl ZINC000780086120 668966181 /nfs/dbraw/zinc/96/61/81/668966181.db2.gz WKQRPKHICRKDMT-UHFFFAOYSA-N 0 2 309.713 0.727 20 0 DCADLN CO[C@@H]1C[C@H]2CN(Cc3n[nH]c(=O)[nH]3)Cc3cccnc3N2C1 ZINC000879270826 669006911 /nfs/dbraw/zinc/00/69/11/669006911.db2.gz ULRHKLDGFRFPID-NWDGAFQWSA-N 0 2 316.365 0.515 20 0 DCADLN C[C@@H]1CN(C)C(=O)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000783763500 669172290 /nfs/dbraw/zinc/17/22/90/669172290.db2.gz NPWIHZSIURMEQB-LLVKDONJSA-N 0 2 314.345 0.881 20 0 DCADLN Cc1ccc(NC(=O)C(=O)[N-]O[C@H]2CCCCO2)c(N(C)C)[nH+]1 ZINC000785376153 669251706 /nfs/dbraw/zinc/25/17/06/669251706.db2.gz RSAVZFYVOHYMTQ-LBPRGKRZSA-N 0 2 322.365 0.969 20 0 DCADLN Cn1ncnc1C1(O)CN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC000881951249 669426608 /nfs/dbraw/zinc/42/66/08/669426608.db2.gz AWZHUPZWDHIMAS-UHFFFAOYSA-N 0 2 310.260 0.143 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2ccccc2)C1=O)c1nc(=O)[nH][nH]1 ZINC000130045865 669580959 /nfs/dbraw/zinc/58/09/59/669580959.db2.gz CYKFDKRUZSVWSW-LLVKDONJSA-N 0 2 315.333 0.019 20 0 DCADLN C[C@](O)(CNC(=O)C[C@@H]1SC(=N)NC1=O)C1CCCCC1 ZINC000791072264 669591721 /nfs/dbraw/zinc/59/17/21/669591721.db2.gz LNROJPWZBZHQTQ-HZMBPMFUSA-N 0 2 313.423 0.990 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](C2CC2)O1 ZINC000791839427 669633518 /nfs/dbraw/zinc/63/35/18/669633518.db2.gz KTUKBGNRSTYFBU-BXKDBHETSA-N 0 2 317.349 0.097 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H](C)C(=O)Nc1ccccc1 ZINC000883414355 669681449 /nfs/dbraw/zinc/68/14/49/669681449.db2.gz UOOUEVFCECUVHZ-LLVKDONJSA-N 0 2 308.334 0.963 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)Nc1ccccc1 ZINC000883414355 669681451 /nfs/dbraw/zinc/68/14/51/669681451.db2.gz UOOUEVFCECUVHZ-LLVKDONJSA-N 0 2 308.334 0.963 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[N@H+](CCC(=O)OC)CC(=O)[O-] ZINC000883414339 669681476 /nfs/dbraw/zinc/68/14/76/669681476.db2.gz UBTNUMOOLOROQR-SNVBAGLBSA-N 0 2 302.371 0.629 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[N@@H+](CCC(=O)OC)CC(=O)[O-] ZINC000883414339 669681479 /nfs/dbraw/zinc/68/14/79/669681479.db2.gz UBTNUMOOLOROQR-SNVBAGLBSA-N 0 2 302.371 0.629 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn(C3CCC3)c2)C1=O ZINC000935748622 669766995 /nfs/dbraw/zinc/76/69/95/669766995.db2.gz ARYLVELBFVGKSX-CQSZACIVSA-N 0 2 305.338 0.973 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3c(c2)C(=O)OC3)nn1C ZINC000794072191 669778576 /nfs/dbraw/zinc/77/85/76/669778576.db2.gz XKXDSSNSYABPNM-UHFFFAOYSA-N 0 2 308.319 0.595 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CCC(F)(F)C1 ZINC000797556074 669971487 /nfs/dbraw/zinc/97/14/87/669971487.db2.gz MCIMIOSKWBLAFH-QMMMGPOBSA-N 0 2 311.292 0.623 20 0 DCADLN CC(C)c1nocc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948727003 670166280 /nfs/dbraw/zinc/16/62/80/670166280.db2.gz LFWWFQAHCAJVKU-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CCc1cccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)n1 ZINC000948788389 670181299 /nfs/dbraw/zinc/18/12/99/670181299.db2.gz NEGIIUXJXJCAOB-UHFFFAOYSA-N 0 2 316.365 0.426 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC3(CCOCC3)CC2)S1 ZINC000803674679 670257593 /nfs/dbraw/zinc/25/75/93/670257593.db2.gz SZPSCDCRQNNLPZ-JTQLQIEISA-N 0 2 311.407 0.962 20 0 DCADLN CCc1ccc([C@@H](O)CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000804156896 670286363 /nfs/dbraw/zinc/28/63/63/670286363.db2.gz WCEVPLQKGRVYIJ-RYUDHWBXSA-N 0 2 321.402 0.955 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccncn1 ZINC000951743955 670354191 /nfs/dbraw/zinc/35/41/91/670354191.db2.gz CPZBWYULIGITLY-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ccncn1 ZINC000951743955 670354194 /nfs/dbraw/zinc/35/41/94/670354194.db2.gz CPZBWYULIGITLY-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)NCc1ccccn1 ZINC000808776706 670425257 /nfs/dbraw/zinc/42/52/57/670425257.db2.gz AYSHHRPLABMBPP-NSHDSACASA-N 0 2 316.365 0.401 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000949764680 670426129 /nfs/dbraw/zinc/42/61/29/670426129.db2.gz CXSDEFWLCKYZEP-NJUXHZRNSA-N 0 2 323.250 0.282 20 0 DCADLN C[S@@](=O)CCN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000810431776 670485346 /nfs/dbraw/zinc/48/53/46/670485346.db2.gz SQRUJKSMLRDYGJ-WPCRTTGESA-N 0 2 304.309 0.410 20 0 DCADLN C[S@@](=O)CCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810431776 670485345 /nfs/dbraw/zinc/48/53/45/670485345.db2.gz SQRUJKSMLRDYGJ-WPCRTTGESA-N 0 2 304.309 0.410 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C(=O)N1c1cnn(C)c1 ZINC000810454370 670486073 /nfs/dbraw/zinc/48/60/73/670486073.db2.gz JNTZCFUVDJQFNU-FTLITQJKSA-N 0 2 322.262 0.931 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C(=O)N1c1cnn(C)c1 ZINC000810454370 670486079 /nfs/dbraw/zinc/48/60/79/670486079.db2.gz JNTZCFUVDJQFNU-FTLITQJKSA-N 0 2 322.262 0.931 20 0 DCADLN CCc1oncc1C(=O)N(CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952385592 670486048 /nfs/dbraw/zinc/48/60/48/670486048.db2.gz UMSAFGJMKFIXST-UHFFFAOYSA-N 0 2 320.353 0.407 20 0 DCADLN CS(=O)(=O)N1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC000810718283 670495023 /nfs/dbraw/zinc/49/50/23/670495023.db2.gz PJZVFBDODOATCU-BQBZGAKWSA-N 0 2 306.281 0.285 20 0 DCADLN CS(=O)(=O)N1CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC000810718283 670495024 /nfs/dbraw/zinc/49/50/24/670495024.db2.gz PJZVFBDODOATCU-BQBZGAKWSA-N 0 2 306.281 0.285 20 0 DCADLN COC(=O)c1cn(C2CN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000810969499 670501837 /nfs/dbraw/zinc/50/18/37/670501837.db2.gz HRGOLWKIGJHBFU-ZETCQYMHSA-N 0 2 310.207 0.348 20 0 DCADLN COC(=O)c1cn(C2CN(C(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC000810969499 670501843 /nfs/dbraw/zinc/50/18/43/670501843.db2.gz HRGOLWKIGJHBFU-ZETCQYMHSA-N 0 2 310.207 0.348 20 0 DCADLN COCC1(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC000811059164 670504403 /nfs/dbraw/zinc/50/44/03/670504403.db2.gz SUBJJLPMBCHNNO-UHFFFAOYSA-N 0 2 306.322 0.431 20 0 DCADLN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(F)C(F)(F)F ZINC000811195295 670516049 /nfs/dbraw/zinc/51/60/49/670516049.db2.gz GMZLVXFGUPSVCD-YIZRAAEISA-N 0 2 303.252 0.824 20 0 DCADLN COCCO[C@@H]1COCC[C@@H]1NC(=O)[C@H](F)C(F)(F)F ZINC000811195295 670516052 /nfs/dbraw/zinc/51/60/52/670516052.db2.gz GMZLVXFGUPSVCD-YIZRAAEISA-N 0 2 303.252 0.824 20 0 DCADLN CCn1ncn(NC(=O)c2cnc3cc(C)nn3c2C)c1=O ZINC000854631469 670602442 /nfs/dbraw/zinc/60/24/42/670602442.db2.gz ONRNLDNPGZUATC-UHFFFAOYSA-N 0 2 301.310 0.108 20 0 DCADLN Cc1ccc(NC(=O)C(=O)[N-]OCCOC(C)C)c(N(C)C)[nH+]1 ZINC000813054660 670608174 /nfs/dbraw/zinc/60/81/74/670608174.db2.gz GTVNWVLESPNTTF-UHFFFAOYSA-N 0 2 324.381 0.867 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000855776793 670754357 /nfs/dbraw/zinc/75/43/57/670754357.db2.gz UNJWFQGNVYLIFW-GARJFASQSA-N 0 2 301.350 0.624 20 0 DCADLN CC(C)C(=O)NCCn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000849138921 670759364 /nfs/dbraw/zinc/75/93/64/670759364.db2.gz BCUCGPMAEUMPAW-UHFFFAOYSA-N 0 2 307.276 0.229 20 0 DCADLN CCc1noc(C[C@]2(O)CCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC000856677993 670838558 /nfs/dbraw/zinc/83/85/58/670838558.db2.gz UKZPUNAXJVNQRN-CYBMUJFWSA-N 0 2 308.342 0.025 20 0 DCADLN CCc1noc(C[C@]2(O)CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC000856677993 670838568 /nfs/dbraw/zinc/83/85/68/670838568.db2.gz UKZPUNAXJVNQRN-CYBMUJFWSA-N 0 2 308.342 0.025 20 0 DCADLN CON(C)C(=O)CNC(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000817770498 670936215 /nfs/dbraw/zinc/93/62/15/670936215.db2.gz VTDMPFMGGHNIIW-UHFFFAOYSA-N 0 2 304.306 0.393 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000818155902 670983476 /nfs/dbraw/zinc/98/34/76/670983476.db2.gz LKOBHTFPXJYRQQ-RKDXNWHRSA-N 0 2 310.251 0.834 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000818155902 670983480 /nfs/dbraw/zinc/98/34/80/670983480.db2.gz LKOBHTFPXJYRQQ-RKDXNWHRSA-N 0 2 310.251 0.834 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC3(CCOCC3)[C@H]2C2CC2)S1 ZINC000818790754 671059693 /nfs/dbraw/zinc/05/96/93/671059693.db2.gz ZFDFTJHVMGDEMJ-CMPLNLGQSA-N 0 2 323.418 0.960 20 0 DCADLN C[C@@H]1CC[N@H+](CC[S@](C)=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852756296 671157134 /nfs/dbraw/zinc/15/71/34/671157134.db2.gz CMJRJRVDDNQGIK-MGOSUKFUSA-N 0 2 300.346 0.754 20 0 DCADLN C[C@@H]1CC[N@@H+](CC[S@](C)=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852756296 671157137 /nfs/dbraw/zinc/15/71/37/671157137.db2.gz CMJRJRVDDNQGIK-MGOSUKFUSA-N 0 2 300.346 0.754 20 0 DCADLN Nc1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)cc1OCCCCO ZINC000860317180 671198629 /nfs/dbraw/zinc/19/86/29/671198629.db2.gz QDVLBEDCEGYFHU-UHFFFAOYSA-N 0 2 322.321 0.601 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)N3CC[C@@H](C(=O)[O-])C3)ccc2[nH+]1 ZINC000821136241 671256289 /nfs/dbraw/zinc/25/62/89/671256289.db2.gz NFZKSDFKBVABEG-SNVBAGLBSA-N 0 2 316.317 0.514 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CCCc2ccsc2)c1=O ZINC000826356545 671486554 /nfs/dbraw/zinc/48/65/54/671486554.db2.gz VWQMHUDVCJOPCM-UHFFFAOYSA-N 0 2 318.362 0.421 20 0 DCADLN O=C([O-])N1CCSC[C@H]1CC(=O)N1CC(n2cc[nH+]c2)C1 ZINC000831400672 671667669 /nfs/dbraw/zinc/66/76/69/671667669.db2.gz CYJNBODOBMHYDK-SNVBAGLBSA-N 0 2 310.379 0.752 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCC[N@@H+]1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833919349 671750913 /nfs/dbraw/zinc/75/09/13/671750913.db2.gz ISUOHNSPJYRQQP-WCQYABFASA-N 0 2 321.381 0.691 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCC[N@H+]1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833919349 671750915 /nfs/dbraw/zinc/75/09/15/671750915.db2.gz ISUOHNSPJYRQQP-WCQYABFASA-N 0 2 321.381 0.691 20 0 DCADLN CC(C)(O)CONC(=O)[C@@H](CS(C)(=O)=O)c1ccccc1 ZINC000834618139 671773236 /nfs/dbraw/zinc/77/32/36/671773236.db2.gz CQVUJKQERPPSGQ-LBPRGKRZSA-N 0 2 315.391 0.634 20 0 DCADLN CO[C@@](C)(CNS(=O)(=O)N=S1(=O)CCCC1)C1CC1 ZINC000867288516 671994706 /nfs/dbraw/zinc/99/47/06/671994706.db2.gz UZULVOGRUGQXTH-NSHDSACASA-N 0 2 310.441 0.898 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)NC1(CF)CCOCC1 ZINC000867333023 672120562 /nfs/dbraw/zinc/12/05/62/672120562.db2.gz JCXBVWZLNLUQEO-UHFFFAOYSA-N 0 2 321.326 0.863 20 0 DCADLN O=C(Cc1ccccc1CO)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843874531 672126860 /nfs/dbraw/zinc/12/68/60/672126860.db2.gz ASRRAYMAWWXZAB-UHFFFAOYSA-N 0 2 316.361 0.951 20 0 DCADLN Cn1cc(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c(Cl)n1 ZINC000843878099 672126899 /nfs/dbraw/zinc/12/68/99/672126899.db2.gz LLYBGWUGTYBWNY-MRVPVSSYSA-N 0 2 324.772 0.846 20 0 DCADLN CCn1ccc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000844746446 672199576 /nfs/dbraw/zinc/19/95/76/672199576.db2.gz BHLGKLVGPSVWJS-UHFFFAOYSA-N 0 2 302.294 0.031 20 0 DCADLN CC(C)O[C@@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000844770335 672201739 /nfs/dbraw/zinc/20/17/39/672201739.db2.gz UAXQYEBBVOVSEB-LLVKDONJSA-N 0 2 319.365 0.487 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)[N-]C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845435485 672247947 /nfs/dbraw/zinc/24/79/47/672247947.db2.gz MZOFDQMRAYBGJX-QMMMGPOBSA-N 0 2 312.351 0.944 20 0 DCADLN O=C([O-])CC1(CC(=O)NC[C@@H]2CN3CC[N@@H+]2CCC3)CCCC1 ZINC000394662095 685267022 /nfs/dbraw/zinc/26/70/22/685267022.db2.gz FIBBQSFGSLQLGZ-CQSZACIVSA-N 0 2 323.437 0.918 20 0 DCADLN O=C([O-])CC1(CC(=O)NC[C@@H]2CN3CC[N@H+]2CCC3)CCCC1 ZINC000394662095 685267027 /nfs/dbraw/zinc/26/70/27/685267027.db2.gz FIBBQSFGSLQLGZ-CQSZACIVSA-N 0 2 323.437 0.918 20 0 DCADLN Cc1nocc1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024630412 693813962 /nfs/dbraw/zinc/81/39/62/693813962.db2.gz PIMOXVVTHMOPBB-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(-n2cccc2)nc1 ZINC000084633187 685087028 /nfs/dbraw/zinc/08/70/28/685087028.db2.gz DXZUUDOXVIBJAX-UHFFFAOYSA-N 0 2 312.333 0.646 20 0 DCADLN O=C(NC[C@@H](O)c1ccc(Cl)c(F)c1)c1nc(=O)[nH][nH]1 ZINC000305675316 685215768 /nfs/dbraw/zinc/21/57/68/685215768.db2.gz KWPGWANMYYWTRC-MRVPVSSYSA-N 0 2 300.677 0.354 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)[C@@H]1CCOC1 ZINC001076856685 695077628 /nfs/dbraw/zinc/07/76/28/695077628.db2.gz BGXKANLMWXGUDE-YIZRAAEISA-N 0 2 314.279 0.934 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)oc1C ZINC001038165833 694002708 /nfs/dbraw/zinc/00/27/08/694002708.db2.gz FDGLAXIPSIXBJZ-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)ns1 ZINC001038204485 694013224 /nfs/dbraw/zinc/01/32/24/694013224.db2.gz PVXSHVZXRVBBRN-MRVPVSSYSA-N 0 2 308.367 0.280 20 0 DCADLN CCc1cccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001038352003 694053449 /nfs/dbraw/zinc/05/34/49/694053449.db2.gz KINPCVQVHMFTBJ-NSHDSACASA-N 0 2 316.365 0.472 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cnc(C2CC2)o1 ZINC001038354358 694054598 /nfs/dbraw/zinc/05/45/98/694054598.db2.gz LMENIDOTRWUKNG-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN C[C@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCCC1 ZINC000957281127 687017719 /nfs/dbraw/zinc/01/77/19/687017719.db2.gz KNIYOCPUGRHAOB-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN CCn1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001038615435 694131714 /nfs/dbraw/zinc/13/17/14/694131714.db2.gz AMELHYAFVQSYDA-LLVKDONJSA-N 0 2 318.381 0.644 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)o1 ZINC000958509169 687717175 /nfs/dbraw/zinc/71/71/75/687717175.db2.gz TUWLARDOUGXDAQ-IAZYJMLFSA-N 0 2 317.349 0.580 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)o1 ZINC000958509169 687717183 /nfs/dbraw/zinc/71/71/83/687717183.db2.gz TUWLARDOUGXDAQ-IAZYJMLFSA-N 0 2 317.349 0.580 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cccc(F)c1F ZINC001038648094 694145451 /nfs/dbraw/zinc/14/54/51/694145451.db2.gz AUMBOFJCOBCYTR-MRVPVSSYSA-N 0 2 323.303 0.793 20 0 DCADLN O=C(NC[C@@H]1CC[N@H+]1C/C=C/Cl)c1c[n-]n2c1nccc2=O ZINC001038778253 694188865 /nfs/dbraw/zinc/18/88/65/694188865.db2.gz LIVBTTDIRYBLBS-DTWDLQQISA-N 0 2 321.768 0.579 20 0 DCADLN O=C(NC[C@@H]1CC[N@@H+]1C/C=C/Cl)c1c[n-]n2c1nccc2=O ZINC001038778253 694188869 /nfs/dbraw/zinc/18/88/69/694188869.db2.gz LIVBTTDIRYBLBS-DTWDLQQISA-N 0 2 321.768 0.579 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC12CCCC2 ZINC001038883996 694229835 /nfs/dbraw/zinc/22/98/35/694229835.db2.gz FNRATGZWNPNDGC-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN CCC(=O)N1CCOC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000965255300 689382356 /nfs/dbraw/zinc/38/23/56/689382356.db2.gz YFSYFPBDNKWPJM-VIFPVBQESA-N 0 2 312.263 0.737 20 0 DCADLN CCC(=O)N1CCOC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC000965255300 689382361 /nfs/dbraw/zinc/38/23/61/689382361.db2.gz YFSYFPBDNKWPJM-VIFPVBQESA-N 0 2 312.263 0.737 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCOC2(CN(C(=O)C3CC3)C2)C1 ZINC000965394812 689431939 /nfs/dbraw/zinc/43/19/39/689431939.db2.gz VVALTCIMDAXSCD-VIFPVBQESA-N 0 2 324.274 0.737 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCOC2(CN(C(=O)C3CC3)C2)C1 ZINC000965394812 689431943 /nfs/dbraw/zinc/43/19/43/689431943.db2.gz VVALTCIMDAXSCD-VIFPVBQESA-N 0 2 324.274 0.737 20 0 DCADLN O=C(N[C@@H]1COC2(CN(C(=O)C(F)C(F)(F)F)C2)C1)C1CC1 ZINC000965585546 689490386 /nfs/dbraw/zinc/49/03/86/689490386.db2.gz DDOFEDLWAXNUHO-DTWKUNHWSA-N 0 2 324.274 0.783 20 0 DCADLN O=C(N[C@@H]1COC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1)C1CC1 ZINC000965585546 689490389 /nfs/dbraw/zinc/49/03/89/689490389.db2.gz DDOFEDLWAXNUHO-DTWKUNHWSA-N 0 2 324.274 0.783 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cocn1 ZINC000965596070 689497720 /nfs/dbraw/zinc/49/77/20/689497720.db2.gz KWOUMJMTCBHPAI-RKDXNWHRSA-N 0 2 306.326 0.139 20 0 DCADLN Cc1nsc(C)c1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039065549 694291193 /nfs/dbraw/zinc/29/11/93/694291193.db2.gz QBQBEXWXSXIOMX-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccns1 ZINC000966523669 689788549 /nfs/dbraw/zinc/78/85/49/689788549.db2.gz KPYRVOOZYAXUNA-RKDXNWHRSA-N 0 2 322.394 0.607 20 0 DCADLN O=C(CC1CCOCC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006974865 690614039 /nfs/dbraw/zinc/61/40/39/690614039.db2.gz RHGFEUYQKOTEAP-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCOCC1 ZINC001007246452 690648440 /nfs/dbraw/zinc/64/84/40/690648440.db2.gz SJIUQVAZYOTIPU-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001008605046 690896753 /nfs/dbraw/zinc/89/67/53/690896753.db2.gz RIUMAVOIVWOLFG-QCNOEVLYSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1CCC[N@@H+](C/C=C\Cl)C1 ZINC001009349421 691028272 /nfs/dbraw/zinc/02/82/72/691028272.db2.gz ALAPNRRKPWPTHY-FIFYQCSRSA-N 0 2 315.761 0.022 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1CCC[N@H+](C/C=C\Cl)C1 ZINC001009349421 691028275 /nfs/dbraw/zinc/02/82/75/691028275.db2.gz ALAPNRRKPWPTHY-FIFYQCSRSA-N 0 2 315.761 0.022 20 0 DCADLN Cc1cccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001010225119 691192458 /nfs/dbraw/zinc/19/24/58/691192458.db2.gz IQRNJMBETHANPR-JTQLQIEISA-N 0 2 302.338 0.218 20 0 DCADLN CO[C@@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010562401 691313107 /nfs/dbraw/zinc/31/31/07/691313107.db2.gz RFWFYRJFOSMJPZ-YUMQZZPRSA-N 0 2 300.252 0.593 20 0 DCADLN CO[C@@H](C)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010562401 691313110 /nfs/dbraw/zinc/31/31/10/691313110.db2.gz RFWFYRJFOSMJPZ-YUMQZZPRSA-N 0 2 300.252 0.593 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)oc1C ZINC001010619155 691327363 /nfs/dbraw/zinc/32/73/63/691327363.db2.gz VOKZHIKQWSJFPK-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011239894 691491744 /nfs/dbraw/zinc/49/17/44/691491744.db2.gz IKIVJWYRAWMUHS-QMMMGPOBSA-N 0 2 323.250 0.298 20 0 DCADLN CCc1cc(C(=O)N2C[C@H](NC(=O)c3ncn[nH]3)C[C@H]2C)[nH]n1 ZINC001012334015 691754791 /nfs/dbraw/zinc/75/47/91/691754791.db2.gz YHPUFXQMXSQPBU-PSASIEDQSA-N 0 2 317.353 0.123 20 0 DCADLN O=C(C[C@@H]1CCCOC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016274997 692630639 /nfs/dbraw/zinc/63/06/39/692630639.db2.gz CZCLCGMYPBHWFR-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001016384269 692668120 /nfs/dbraw/zinc/66/81/20/692668120.db2.gz OBQJNJQLRDBWHS-HBNJOMJRSA-N 0 2 317.393 0.493 20 0 DCADLN C[C@@H]1CCN(C(=O)c2ccn[nH]2)C[C@H]1CNC(=O)c1cn[nH]n1 ZINC001018523683 693136120 /nfs/dbraw/zinc/13/61/20/693136120.db2.gz UWCRFRUACCBWTK-NXEZZACHSA-N 0 2 317.353 0.056 20 0 DCADLN C[C@@H]1CCN(C(=O)c2cc[nH]n2)C[C@H]1CNC(=O)c1cnn[nH]1 ZINC001018523683 693136122 /nfs/dbraw/zinc/13/61/22/693136122.db2.gz UWCRFRUACCBWTK-NXEZZACHSA-N 0 2 317.353 0.056 20 0 DCADLN CC(=O)NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001019502603 693496427 /nfs/dbraw/zinc/49/64/27/693496427.db2.gz JVOOIGIASDQRGC-DTWKUNHWSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)NC[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCCO1 ZINC001019502603 693496428 /nfs/dbraw/zinc/49/64/28/693496428.db2.gz JVOOIGIASDQRGC-DTWKUNHWSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1nc([C@@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)no1 ZINC001020079564 693675114 /nfs/dbraw/zinc/67/51/14/693675114.db2.gz ZOUJYXVVJFGJRJ-SZEHBUNVSA-N 0 2 320.353 0.727 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075625486 694964300 /nfs/dbraw/zinc/96/43/00/694964300.db2.gz HRDUTUZADGXNNG-VHSXEESVSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(C=C1CCC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075678509 694967694 /nfs/dbraw/zinc/96/76/94/694967694.db2.gz DXAQHRBFMLNBKJ-NWDGAFQWSA-N 0 2 303.366 0.653 20 0 DCADLN O=C(C=C1CCC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075678509 694967695 /nfs/dbraw/zinc/96/76/95/694967695.db2.gz DXAQHRBFMLNBKJ-NWDGAFQWSA-N 0 2 303.366 0.653 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)[C@@H]1CCOC1 ZINC001076856685 695077624 /nfs/dbraw/zinc/07/76/24/695077624.db2.gz BGXKANLMWXGUDE-YIZRAAEISA-N 0 2 314.279 0.934 20 0 DCADLN CCCOCC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001745073166 1157735340 /nfs/dbraw/zinc/73/53/40/1157735340.db2.gz WVFGHZRYYAGFBX-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCCOCC(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001745073166 1157735345 /nfs/dbraw/zinc/73/53/45/1157735345.db2.gz WVFGHZRYYAGFBX-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN COCCCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001704010229 1158477874 /nfs/dbraw/zinc/47/78/74/1158477874.db2.gz HGEFUGDGAKKVFO-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN COCCCC(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001704010229 1158477879 /nfs/dbraw/zinc/47/78/79/1158477879.db2.gz HGEFUGDGAKKVFO-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CC(=O)CCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720015323 1158490294 /nfs/dbraw/zinc/49/02/94/1158490294.db2.gz XXVTVLRYYOGLCD-SECBINFHSA-N 0 2 300.252 0.879 20 0 DCADLN CC(=O)CCCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720015323 1158490299 /nfs/dbraw/zinc/49/02/99/1158490299.db2.gz XXVTVLRYYOGLCD-SECBINFHSA-N 0 2 300.252 0.879 20 0 DCADLN Cc1ccc2nc(C(=O)NCCCc3n[nH]c(=O)[nH]3)cn2c1 ZINC000863893311 1158925769 /nfs/dbraw/zinc/92/57/69/1158925769.db2.gz NKIQWWRYYCRIQB-UHFFFAOYSA-N 0 2 300.322 0.829 20 0 DCADLN Cc1noc(NS(=O)(=O)CCCCS(N)(=O)=O)c1C ZINC000349606873 1158980973 /nfs/dbraw/zinc/98/09/73/1158980973.db2.gz KFERZMHZEYYHGL-UHFFFAOYSA-N 0 2 311.385 0.102 20 0 DCADLN CCCC(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001446350230 1159389892 /nfs/dbraw/zinc/38/98/92/1159389892.db2.gz SCGFPURMTAIBLB-UHFFFAOYSA-N 0 2 303.366 0.465 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001447512458 1159653903 /nfs/dbraw/zinc/65/39/03/1159653903.db2.gz BKAWRLBKJJSCSX-HXFLIBJXSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CCOC2)C1)[C@H](F)C(F)(F)F ZINC001447512458 1159653905 /nfs/dbraw/zinc/65/39/05/1159653905.db2.gz BKAWRLBKJJSCSX-HXFLIBJXSA-N 0 2 312.263 0.687 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C1(C(=O)[O-])CCOCC1 ZINC001573569051 1163503161 /nfs/dbraw/zinc/50/31/61/1163503161.db2.gz MDFCUBLIVUHUPG-GMTAPVOTSA-N 0 2 307.350 0.899 20 0 DCADLN CN1C(=O)CCc2cc(C[NH2+]Cc3nc(C(=O)[O-])n[nH]3)ccc21 ZINC001573882907 1163577179 /nfs/dbraw/zinc/57/71/79/1163577179.db2.gz SZEGLVSZHLUUKV-UHFFFAOYSA-N 0 2 315.333 0.702 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N[C@@H](C)c2nc(C(=O)[O-])n[nH]2)c[nH+]1 ZINC001574172591 1163651315 /nfs/dbraw/zinc/65/13/15/1163651315.db2.gz GWMFSDBFOPWCTQ-QMMMGPOBSA-N 0 2 306.326 0.620 20 0 DCADLN O=C([O-])[C@H]1CCC[N@H+](CC(=O)NC2(c3nnc[nH]3)CCC2)C1 ZINC001574299449 1163689949 /nfs/dbraw/zinc/68/99/49/1163689949.db2.gz JEASKISKUAGXLH-JTQLQIEISA-N 0 2 307.354 0.097 20 0 DCADLN O=C([O-])[C@H]1CCC[N@@H+](CC(=O)NC2(c3nnc[nH]3)CCC2)C1 ZINC001574299449 1163689954 /nfs/dbraw/zinc/68/99/54/1163689954.db2.gz JEASKISKUAGXLH-JTQLQIEISA-N 0 2 307.354 0.097 20 0 DCADLN O=C([O-])c1[nH]c[nH+]c1CNC(=O)c1cccn(C2CC2)c1=O ZINC001574406274 1163714977 /nfs/dbraw/zinc/71/49/77/1163714977.db2.gz XOHKMPZVVJNBAS-UHFFFAOYSA-N 0 2 302.290 0.535 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)c3n[nH]cc3F)CC2)[nH+]c1 ZINC001574414861 1163717713 /nfs/dbraw/zinc/71/77/13/1163717713.db2.gz GSDJEEHJSQELLL-UHFFFAOYSA-N 0 2 319.296 0.604 20 0 DCADLN CCC(CC)(NC(=O)Cc1csc(C[NH3+])n1)c1nn[n-]n1 ZINC001577060484 1164595538 /nfs/dbraw/zinc/59/55/38/1164595538.db2.gz BPZMNSNNOYLJLY-UHFFFAOYSA-N 0 2 309.399 0.489 20 0 DCADLN Cn1nccc1C(=O)NCCC[C@@H]1CCC[N@@H+]1Cc1cnn[nH]1 ZINC001577689320 1164766869 /nfs/dbraw/zinc/76/68/69/1164766869.db2.gz YFROWLVFHKEQHI-CYBMUJFWSA-N 0 2 317.397 0.713 20 0 DCADLN Cn1nccc1C(=O)NCCC[C@@H]1CCC[N@H+]1Cc1cnn[nH]1 ZINC001577689320 1164766881 /nfs/dbraw/zinc/76/68/81/1164766881.db2.gz YFROWLVFHKEQHI-CYBMUJFWSA-N 0 2 317.397 0.713 20 0 DCADLN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)c2cccc(NC)c2)C1 ZINC001577966969 1164865275 /nfs/dbraw/zinc/86/52/75/1164865275.db2.gz CQPQZRSKMPICBH-TZMCWYRMSA-N 0 2 321.377 0.907 20 0 DCADLN O=C(NCC[C@@H]1CC[N@H+](Cc2c[nH]nn2)C1)c1cc[n+]([O-])cc1 ZINC001578005280 1164889821 /nfs/dbraw/zinc/88/98/21/1164889821.db2.gz IKHPHRXLFAEJMU-GFCCVEGCSA-N 0 2 316.365 0.080 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CC[C@@H]2C[C@H]2C2CC2)c1=O ZINC001578735817 1165091576 /nfs/dbraw/zinc/09/15/76/1165091576.db2.gz DAWMSGGEWDLWHV-MNOVXSKESA-N 0 2 316.365 0.646 20 0 DCADLN CCn1cnnc1NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001578800602 1165118274 /nfs/dbraw/zinc/11/82/74/1165118274.db2.gz DKUFKDCPXYXQSD-UHFFFAOYSA-N 0 2 315.297 0.139 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCSC1 ZINC001580601657 1165651069 /nfs/dbraw/zinc/65/10/69/1165651069.db2.gz COPVDBPMRRFDPD-SSDOTTSWSA-N 0 2 306.351 0.511 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CS[C@@H](C)C1 ZINC001580605401 1165654239 /nfs/dbraw/zinc/65/42/39/1165654239.db2.gz ZDEPXDYRTTUOQK-YUMQZZPRSA-N 0 2 320.378 0.900 20 0 DCADLN Cc1cc(C(=O)N[C@]2(CNC(=O)C(N)=O)CCCC[C@H]2C)no1 ZINC001582666465 1166063583 /nfs/dbraw/zinc/06/35/83/1166063583.db2.gz HFMUMFJFTZWDHD-PSLIRLAXSA-N 0 2 322.365 0.263 20 0 DCADLN CC(=O)c1cc(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)on1 ZINC001588901824 1166617367 /nfs/dbraw/zinc/61/73/67/1166617367.db2.gz QYQCLASWIFOUHT-UHFFFAOYSA-N 0 2 300.278 0.576 20 0 DCADLN C[C@H](CN1CCCC1=O)NCc1nc(-c2nn[nH]n2)cs1 ZINC001589849238 1166699083 /nfs/dbraw/zinc/69/90/83/1166699083.db2.gz MPFFPZVRSFWAJS-MRVPVSSYSA-N 0 2 307.383 0.424 20 0 DCADLN C[C@H]1CCC[C@H]1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590247398 1166826662 /nfs/dbraw/zinc/82/66/62/1166826662.db2.gz JVCXGIRPPBNSAU-YUMQZZPRSA-N 0 2 305.342 0.514 20 0 DCADLN C/C=C/C[C@H](CO)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001590351855 1166882637 /nfs/dbraw/zinc/88/26/37/1166882637.db2.gz IKHRCKDBCFGNIK-CDAZIORVSA-N 0 2 318.337 0.333 20 0 DCADLN CC[C@@H]1CCCCN1c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590513186 1166930044 /nfs/dbraw/zinc/93/00/44/1166930044.db2.gz OVAQYJKQGHOVOZ-MRVPVSSYSA-N 0 2 305.342 0.023 20 0 DCADLN CCC[C@H](CC)S(=O)(=O)Nc1nn(C)cc1-c1nn[nH]n1 ZINC001590554375 1166942285 /nfs/dbraw/zinc/94/22/85/1166942285.db2.gz BFWDNDLJNMAYGF-QMMMGPOBSA-N 0 2 313.387 0.921 20 0 DCADLN CCCS(=O)(=O)Nc1nc2n(c1-c1nn[nH]n1)CCS2 ZINC001590650254 1166960649 /nfs/dbraw/zinc/96/06/49/1166960649.db2.gz GNVVPNLGPQVYGV-UHFFFAOYSA-N 0 2 315.384 0.321 20 0 DCADLN CCc1nn(CC(=O)[C@@H]2C[C@@H]2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929568 1167054508 /nfs/dbraw/zinc/05/45/08/1167054508.db2.gz WXCKQWTVOGKYLG-WCBMZHEXSA-N 0 2 316.365 0.773 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2cccc(F)c2)c1=O ZINC001590949677 1167056169 /nfs/dbraw/zinc/05/61/69/1167056169.db2.gz KRAZHHDBMDFELU-UHFFFAOYSA-N 0 2 316.296 0.397 20 0 DCADLN CN(C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccccc1 ZINC001590986235 1167065863 /nfs/dbraw/zinc/06/58/63/1167065863.db2.gz CIUINNGKMPAPKE-UHFFFAOYSA-N 0 2 311.305 0.086 20 0 DCADLN COC(=O)c1cc(Cn2cncc(-c3nn[nH]n3)c2=O)c(C)o1 ZINC001591288594 1167199977 /nfs/dbraw/zinc/19/99/77/1167199977.db2.gz BYJKNXUCPXUWEJ-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCOC(C)C)c1 ZINC001591285302 1167201933 /nfs/dbraw/zinc/20/19/33/1167201933.db2.gz HLBGQJDDUGKEED-UHFFFAOYSA-N 0 2 307.310 0.240 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCCCCO ZINC001591836027 1167390949 /nfs/dbraw/zinc/39/09/49/1167390949.db2.gz UYRSITRAAJJYFF-UHFFFAOYSA-N 0 2 306.326 0.168 20 0 DCADLN Cc1noc(CCCn2cc(C)cc(-c3nn[nH]n3)c2=O)n1 ZINC001591837350 1167391338 /nfs/dbraw/zinc/39/13/38/1167391338.db2.gz YWYYRZIPTPPWLV-UHFFFAOYSA-N 0 2 301.310 0.661 20 0 DCADLN Cc1ccc(Cn2cnc(-c3nn[nH]n3)cc2=O)c(Cl)n1 ZINC001592172014 1167468306 /nfs/dbraw/zinc/46/83/06/1167468306.db2.gz VJJNKOUKGDREQI-UHFFFAOYSA-N 0 2 303.713 0.829 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)[C@H](C)C[S@](C)=O ZINC001592495995 1167539576 /nfs/dbraw/zinc/53/95/76/1167539576.db2.gz SCFWNPNOHHRBGR-QFWYVNQISA-N 0 2 311.367 0.607 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC001592499939 1167539851 /nfs/dbraw/zinc/53/98/51/1167539851.db2.gz SFBZQYKOPPPSEZ-QMMMGPOBSA-N 0 2 318.293 0.045 20 0 DCADLN Cn1c(=O)[nH]c(NC2CCC3(CC3)CC2)c(-c2nn[nH]n2)c1=O ZINC001592546093 1167549441 /nfs/dbraw/zinc/54/94/41/1167549441.db2.gz JTFPTVMWQGXCSI-UHFFFAOYSA-N 0 2 317.353 0.801 20 0 DCADLN Cn1c(=O)[nH]c(NCC2C(C)(C)C2(C)C)c(-c2nn[nH]n2)c1=O ZINC001592545636 1167551719 /nfs/dbraw/zinc/55/17/19/1167551719.db2.gz HMQACHIDJRJKSX-UHFFFAOYSA-N 0 2 319.369 0.760 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1sccc1-c1nn[nH]n1 ZINC001593107147 1167709766 /nfs/dbraw/zinc/70/97/66/1167709766.db2.gz REIWZMALYYQYQI-UHFFFAOYSA-N 0 2 323.363 0.572 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccsc1-c1nn[nH]n1 ZINC001593106731 1167710000 /nfs/dbraw/zinc/71/00/00/1167710000.db2.gz GLWXTIGSXRDJOS-UHFFFAOYSA-N 0 2 323.363 0.572 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@@H]1CC2(CO1)CCOCC2 ZINC001593494687 1167875180 /nfs/dbraw/zinc/87/51/80/1167875180.db2.gz PHNOEWYTUQYFRQ-JTQLQIEISA-N 0 2 318.337 0.009 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1C[C@H](O)c1ccc(F)cc1 ZINC001593495378 1167880373 /nfs/dbraw/zinc/88/03/73/1167880373.db2.gz XZDSVFIMSJYEQV-LBPRGKRZSA-N 0 2 301.281 0.901 20 0 DCADLN O=c1c(-c2nn[nH]n2)cnc2ncn(CCC3=CCCCC3)n21 ZINC001593495334 1167881216 /nfs/dbraw/zinc/88/12/16/1167881216.db2.gz ZSDGMBFYMCNKHR-UHFFFAOYSA-N 0 2 312.337 0.962 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)c1cccc3[nH+]ccn31)C2 ZINC001595278518 1167969802 /nfs/dbraw/zinc/96/98/02/1167969802.db2.gz VCXQCGNDCHBAFI-MEBBXXQBSA-N 0 2 301.302 0.508 20 0 DCADLN Cc1c(N)c(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccc1F ZINC001600020996 1168099005 /nfs/dbraw/zinc/09/90/05/1168099005.db2.gz ISQRPNAJEVUZRV-LLVKDONJSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1c(N)c(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccc1F ZINC001600020996 1168099033 /nfs/dbraw/zinc/09/90/33/1168099033.db2.gz ISQRPNAJEVUZRV-LLVKDONJSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1cc(C[N@H+]2CC=C(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)no1 ZINC001600193066 1168135239 /nfs/dbraw/zinc/13/52/39/1168135239.db2.gz WFNIQDLFGHKKOG-KGLIPLIRSA-N 0 2 319.361 0.952 20 0 DCADLN Cc1cc(C[N@@H+]2CC=C(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)no1 ZINC001600193066 1168135242 /nfs/dbraw/zinc/13/52/42/1168135242.db2.gz WFNIQDLFGHKKOG-KGLIPLIRSA-N 0 2 319.361 0.952 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CC[NH+]([C@@H](C)CC(=O)[O-])CC2)o1 ZINC001600476751 1168189467 /nfs/dbraw/zinc/18/94/67/1168189467.db2.gz QEDZUWAEYCHVMP-JTQLQIEISA-N 0 2 316.379 0.758 20 0 DCADLN Cc1cccn2cc(CC(=O)N3C[C@H](C)O[C@H](C(=O)[O-])C3)[nH+]c12 ZINC001600589308 1168204223 /nfs/dbraw/zinc/20/42/23/1168204223.db2.gz GRJRPPYPDHWKIB-AAEUAGOBSA-N 0 2 317.345 0.886 20 0 DCADLN Cc1sc(=O)n(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1C ZINC001600866375 1168492209 /nfs/dbraw/zinc/49/22/09/1168492209.db2.gz MYXNKFGLIXKJSQ-JTQLQIEISA-N 0 2 324.362 0.062 20 0 DCADLN Cc1sc(=O)n(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1C ZINC001600866375 1168492216 /nfs/dbraw/zinc/49/22/16/1168492216.db2.gz MYXNKFGLIXKJSQ-JTQLQIEISA-N 0 2 324.362 0.062 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)[C@H]3CCCC[C@H]3C(=O)[O-])CC[NH2+]2)cn1 ZINC001600944329 1168523945 /nfs/dbraw/zinc/52/39/45/1168523945.db2.gz TUSILEBWDRPZCT-BFHYXJOUSA-N 0 2 320.393 0.784 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])C1 ZINC001600984524 1168543870 /nfs/dbraw/zinc/54/38/70/1168543870.db2.gz NAVMTOOBPXRTCU-IJLUTSLNSA-N 0 2 322.365 0.409 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)Nc2ccn(C(C)(C)C(=O)[O-])n2)c1 ZINC001600988893 1168547652 /nfs/dbraw/zinc/54/76/52/1168547652.db2.gz MLKBWAGNIOSOLW-UHFFFAOYSA-N 0 2 320.353 0.801 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ccc(C(=O)[O-])c(F)c1 ZINC001600993422 1168550714 /nfs/dbraw/zinc/55/07/14/1168550714.db2.gz NKNRFLCKXNMODE-LLVKDONJSA-N 0 2 307.281 0.721 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N(CC(=O)[O-])C1CCOCC1 ZINC001600994079 1168552622 /nfs/dbraw/zinc/55/26/22/1168552622.db2.gz AVYVBNBFJPJVKO-VXGBXAGGSA-N 0 2 307.350 0.616 20 0 DCADLN [NH3+][C@@H](C(=O)N1CCO[C@H](C(=O)[O-])C1)c1c(F)cccc1F ZINC001601173416 1168621357 /nfs/dbraw/zinc/62/13/57/1168621357.db2.gz LRKMSZKIJOHHQY-GXSJLCMTSA-N 0 2 300.261 0.277 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)NC1(C(=O)[O-])CCSCC1 ZINC001601231036 1168670750 /nfs/dbraw/zinc/67/07/50/1168670750.db2.gz IEQQIIJZCHBCMK-UPHRSURJSA-N 0 2 314.407 0.341 20 0 DCADLN O=C([O-])c1ccn(CCCC(=O)N[C@H]2CCn3c[nH+]cc3C2)n1 ZINC001601272677 1168685593 /nfs/dbraw/zinc/68/55/93/1168685593.db2.gz MWZFMQWTKYQARV-NSHDSACASA-N 0 2 317.349 0.689 20 0 DCADLN O=C([O-])C1([C@@]2(O)CCCN(C(=O)CCn3cc[nH+]c3)C2)CCC1 ZINC001601299760 1168694321 /nfs/dbraw/zinc/69/43/21/1168694321.db2.gz ODUWVUTWFOMKIB-MRXNPFEDSA-N 0 2 321.377 0.882 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@H+](CC(=O)N2CCOC[C@H]2C2CC2)C1 ZINC001601306261 1168697074 /nfs/dbraw/zinc/69/70/74/1168697074.db2.gz WTKCBEMRGZXRCE-FZMZJTMJSA-N 0 2 300.330 0.122 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@@H+](CC(=O)N2CCOC[C@H]2C2CC2)C1 ZINC001601306261 1168697081 /nfs/dbraw/zinc/69/70/81/1168697081.db2.gz WTKCBEMRGZXRCE-FZMZJTMJSA-N 0 2 300.330 0.122 20 0 DCADLN O=C([O-])c1cccn(CC(=O)Nc2ccc3[nH+]ccn3c2)c1=O ZINC001601388176 1168728703 /nfs/dbraw/zinc/72/87/03/1168728703.db2.gz UCAMREQFEFZOPW-UHFFFAOYSA-N 0 2 312.285 0.833 20 0 DCADLN O=C([O-])c1cc(C(=O)NC2CC[NH+]([C@@H]3CCOC3=O)CC2)c[nH]1 ZINC001601441904 1168748570 /nfs/dbraw/zinc/74/85/70/1168748570.db2.gz RJGJSDBPKZIMBO-GFCCVEGCSA-N 0 2 321.333 0.223 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cn(C2CCC2)nn1 ZINC001601567597 1168785174 /nfs/dbraw/zinc/78/51/74/1168785174.db2.gz FXRIVJBMRLJINB-SNVBAGLBSA-N 0 2 304.310 0.152 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cn(C2CCC2)nn1 ZINC001601567597 1168785180 /nfs/dbraw/zinc/78/51/80/1168785180.db2.gz FXRIVJBMRLJINB-SNVBAGLBSA-N 0 2 304.310 0.152 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cncnc1C1CC1 ZINC001601567859 1168785194 /nfs/dbraw/zinc/78/51/94/1168785194.db2.gz MJECPVXOUJUZPS-LLVKDONJSA-N 0 2 301.306 0.503 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cncnc1C1CC1 ZINC001601567859 1168785196 /nfs/dbraw/zinc/78/51/96/1168785196.db2.gz MJECPVXOUJUZPS-LLVKDONJSA-N 0 2 301.306 0.503 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001601650346 1168803640 /nfs/dbraw/zinc/80/36/40/1168803640.db2.gz PODOMLGLBIJVIZ-OLZOCXBDSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001601650346 1168803655 /nfs/dbraw/zinc/80/36/55/1168803655.db2.gz PODOMLGLBIJVIZ-OLZOCXBDSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccsc1 ZINC001601677699 1168811233 /nfs/dbraw/zinc/81/12/33/1168811233.db2.gz LMKBYWDJXOYSFP-SNVBAGLBSA-N 0 2 315.376 0.690 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)CC1 ZINC001601681219 1168814256 /nfs/dbraw/zinc/81/42/56/1168814256.db2.gz BNIMJZHORACEEQ-AAEUAGOBSA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](Cc2ncnn2-c2ccccc2)CC1 ZINC001601685016 1168816353 /nfs/dbraw/zinc/81/63/53/1168816353.db2.gz OESFYUOCOMUCEG-HNNXBMFYSA-N 0 2 316.361 0.925 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)CN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC001601768932 1168868264 /nfs/dbraw/zinc/86/82/64/1168868264.db2.gz KZDLRADCQAIUJA-QWRGUYRKSA-N 0 2 324.406 0.302 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)CN(c2cc(N3CCSCC3)nc[nH+]2)C1 ZINC001601768932 1168868277 /nfs/dbraw/zinc/86/82/77/1168868277.db2.gz KZDLRADCQAIUJA-QWRGUYRKSA-N 0 2 324.406 0.302 20 0 DCADLN O=C([O-])[C@@H]1c2ccccc2CCN1C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC001601867736 1168919987 /nfs/dbraw/zinc/91/99/87/1168919987.db2.gz IWDDGUGEGZAEPE-QWHCGFSZSA-N 0 2 301.302 0.654 20 0 DCADLN O=C([O-])CCCNC(=S)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001601993098 1168954553 /nfs/dbraw/zinc/95/45/53/1168954553.db2.gz BNDOXAMKGDOMGH-PHIMTYICSA-N 0 2 301.412 0.179 20 0 DCADLN O=C([O-])CCCNC(=S)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001601993098 1168954558 /nfs/dbraw/zinc/95/45/58/1168954558.db2.gz BNDOXAMKGDOMGH-PHIMTYICSA-N 0 2 301.412 0.179 20 0 DCADLN O=C([O-])CCc1nnc(NC(=O)[C@H]2CCn3c[nH+]cc3C2)s1 ZINC001602048590 1168976229 /nfs/dbraw/zinc/97/62/29/1168976229.db2.gz RPEUNCBUMIKMAV-QMMMGPOBSA-N 0 2 321.362 0.953 20 0 DCADLN O=C([O-])CN(CCc1ccccc1)C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC001602056142 1168979230 /nfs/dbraw/zinc/97/92/30/1168979230.db2.gz IPRDANMWHUWYFV-AWEZNQCLSA-N 0 2 303.318 0.599 20 0 DCADLN O=C([O-])Cc1sccc1C(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001602158324 1169006342 /nfs/dbraw/zinc/00/63/42/1169006342.db2.gz PIJBPMRKHFXUHK-VIFPVBQESA-N 0 2 309.347 0.432 20 0 DCADLN O=C([O-])Cc1sccc1C(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001602158324 1169006349 /nfs/dbraw/zinc/00/63/49/1169006349.db2.gz PIJBPMRKHFXUHK-VIFPVBQESA-N 0 2 309.347 0.432 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]C[C@H](n2cccn2)C(F)(F)F)nn1 ZINC001602161904 1169008314 /nfs/dbraw/zinc/00/83/14/1169008314.db2.gz MNRDFUMDYNWOPQ-VIFPVBQESA-N 0 2 318.259 0.452 20 0 DCADLN O=C([O-])[C@@H]1CCCC[C@@H]1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001602173186 1169015986 /nfs/dbraw/zinc/01/59/86/1169015986.db2.gz PQGYSXCAGODRSK-YNEHKIRRSA-N 0 2 306.366 0.774 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1[NH2+]Cc1nc(Cc2ccccc2)no1 ZINC001602203108 1169027285 /nfs/dbraw/zinc/02/72/85/1169027285.db2.gz QEDRGAFQFOYASE-SMDDNHRTSA-N 0 2 303.318 0.992 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@@H+]3CC[C@@H](O)[C@@H](CO)C3)nc2c1 ZINC001602407272 1169073942 /nfs/dbraw/zinc/07/39/42/1169073942.db2.gz PYDCWAQWKPTMTC-ZWNOBZJWSA-N 0 2 305.334 0.436 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@H+]3CC[C@@H](O)[C@@H](CO)C3)nc2c1 ZINC001602407272 1169073952 /nfs/dbraw/zinc/07/39/52/1169073952.db2.gz PYDCWAQWKPTMTC-ZWNOBZJWSA-N 0 2 305.334 0.436 20 0 DCADLN O=C([O-])c1cncc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)c1 ZINC001602539620 1169110194 /nfs/dbraw/zinc/11/01/94/1169110194.db2.gz UBAKKHPFDFEMJK-NSHDSACASA-N 0 2 322.283 0.887 20 0 DCADLN O=C([O-])c1cncc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)c1 ZINC001602539620 1169110195 /nfs/dbraw/zinc/11/01/95/1169110195.db2.gz UBAKKHPFDFEMJK-NSHDSACASA-N 0 2 322.283 0.887 20 0 DCADLN O=C([O-])c1ncn(C[N@H+]2CC[C@](O)(c3ccc(F)cc3)C2)n1 ZINC001602580326 1169126238 /nfs/dbraw/zinc/12/62/38/1169126238.db2.gz UMZUAFKIMMHGQT-CQSZACIVSA-N 0 2 306.297 0.666 20 0 DCADLN O=C([O-])c1ncn(C[N@@H+]2CC[C@](O)(c3ccc(F)cc3)C2)n1 ZINC001602580326 1169126242 /nfs/dbraw/zinc/12/62/42/1169126242.db2.gz UMZUAFKIMMHGQT-CQSZACIVSA-N 0 2 306.297 0.666 20 0 DCADLN O=C([O-])c1cnc(C2CC[NH+]([C@@H]3CC(=O)NC3=O)CC2)s1 ZINC001602637865 1169139437 /nfs/dbraw/zinc/13/94/37/1169139437.db2.gz UZKBXCDZEQZGDL-MRVPVSSYSA-N 0 2 309.347 0.436 20 0 DCADLN C/C(=C/C(=O)N1CCSC[C@@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001602951120 1169220182 /nfs/dbraw/zinc/22/01/82/1169220182.db2.gz FHWPRYUBACAWDP-NXIHDVOMSA-N 0 2 314.407 0.294 20 0 DCADLN C[C@H](C(=O)N[C@H](C(=O)[O-])c1ccnn1C)[NH+]1CCSCC1 ZINC001603154082 1169257126 /nfs/dbraw/zinc/25/71/26/1169257126.db2.gz XNRNDSGTAQOATL-KOLCDFICSA-N 0 2 312.395 0.099 20 0 DCADLN CC(C)(CNC(=O)COCc1ocnc1C(=O)[O-])n1cc[nH+]c1 ZINC001603625071 1169336596 /nfs/dbraw/zinc/33/65/96/1169336596.db2.gz JNPVSBDZCKUCID-UHFFFAOYSA-N 0 2 322.321 0.637 20 0 DCADLN CC(C)(CNC(=O)Cn1cccc(C(=O)[O-])c1=O)n1cc[nH+]c1 ZINC001603627131 1169337055 /nfs/dbraw/zinc/33/70/55/1169337055.db2.gz HJERVXPOVYCWGP-UHFFFAOYSA-N 0 2 318.333 0.295 20 0 DCADLN C[C@H](CN(C)C(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001604155179 1169507775 /nfs/dbraw/zinc/50/77/75/1169507775.db2.gz IRDIHZLQCVWQGW-CYBMUJFWSA-N 0 2 323.393 0.613 20 0 DCADLN C[C@@H](Nc1ncc(C(=O)[O-])cn1)[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001604277745 1169545172 /nfs/dbraw/zinc/54/51/72/1169545172.db2.gz RQGUDWDNANSFTI-DTWKUNHWSA-N 0 2 318.337 0.446 20 0 DCADLN C[C@H]([NH2+]C[C@H](O)COc1ccc(C(=O)[O-])cc1)c1ncn(C)n1 ZINC001604333084 1169557492 /nfs/dbraw/zinc/55/74/92/1169557492.db2.gz OUACOTYQERUTJV-JQWIXIFHSA-N 0 2 320.349 0.604 20 0 DCADLN CCc1nc([C@H](C)NCc2nc(-c3nn[nH]n3)cs2)n[nH]1 ZINC001604552534 1169615614 /nfs/dbraw/zinc/61/56/14/1169615614.db2.gz PTXCOCKCAXTGLC-LURJTMIESA-N 0 2 305.371 0.855 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)C[C@]1(C)C(=O)[O-] ZINC001604795639 1169685836 /nfs/dbraw/zinc/68/58/36/1169685836.db2.gz CRHUSPKQAJVVQZ-HUBLWGQQSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)C[C@]1(C)C(=O)[O-] ZINC001604795639 1169685840 /nfs/dbraw/zinc/68/58/40/1169685840.db2.gz CRHUSPKQAJVVQZ-HUBLWGQQSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CCN(c2cccc(F)c2)C[C@@H]1C ZINC001604813817 1169693369 /nfs/dbraw/zinc/69/33/69/1169693369.db2.gz UYJZINLVNJBYFU-RYUDHWBXSA-N 0 2 323.368 0.926 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CCN(c2cccc(F)c2)C[C@@H]1C ZINC001604813817 1169693374 /nfs/dbraw/zinc/69/33/74/1169693374.db2.gz UYJZINLVNJBYFU-RYUDHWBXSA-N 0 2 323.368 0.926 20 0 DCADLN CCC(=O)N1CCC[C@H](C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])C1 ZINC001604860189 1169716618 /nfs/dbraw/zinc/71/66/18/1169716618.db2.gz OEUWEBWBIBGLIT-RYUDHWBXSA-N 0 2 322.365 0.101 20 0 DCADLN COC[C@@H](Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2)OC ZINC001605055567 1169798102 /nfs/dbraw/zinc/79/81/02/1169798102.db2.gz LOTQDCCZUBUWQG-LLVKDONJSA-N 0 2 319.365 0.569 20 0 DCADLN CCCCOC(=O)[C@@H](C)[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001605281554 1169885086 /nfs/dbraw/zinc/88/50/86/1169885086.db2.gz GDTKIEWTIYOUCE-MNOVXSKESA-N 0 2 310.354 0.955 20 0 DCADLN CCCCOC(=O)[C@@H](C)[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001605281554 1169885090 /nfs/dbraw/zinc/88/50/90/1169885090.db2.gz GDTKIEWTIYOUCE-MNOVXSKESA-N 0 2 310.354 0.955 20 0 DCADLN COc1ccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cc1 ZINC001605288902 1169887913 /nfs/dbraw/zinc/88/79/13/1169887913.db2.gz MYMCBSAXHRZNTR-UHFFFAOYSA-N 0 2 300.278 0.186 20 0 DCADLN CSc1n[nH]c(NC(=O)Cc2ccc(-c3nn[nH]n3)nc2)n1 ZINC001605392283 1169910850 /nfs/dbraw/zinc/91/08/50/1169910850.db2.gz DEKUQDHQYYKMLJ-UHFFFAOYSA-N 0 2 317.338 0.283 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NN1CCCCC1 ZINC001605510706 1169942845 /nfs/dbraw/zinc/94/28/45/1169942845.db2.gz XONRJQPENPTZIJ-UHFFFAOYSA-N 0 2 303.326 0.406 20 0 DCADLN Cc1cc(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)n[nH]1 ZINC001605713430 1169991113 /nfs/dbraw/zinc/99/11/13/1169991113.db2.gz NLTINYINCQWHEF-UHFFFAOYSA-N 0 2 300.282 0.560 20 0 DCADLN CCS(=O)(=O)N1CC[NH+](C[C@H]2CC(C(=O)[O-])=C(C)O2)CC1 ZINC001605724960 1169993004 /nfs/dbraw/zinc/99/30/04/1169993004.db2.gz CCJQUONFKVYNEY-LLVKDONJSA-N 0 2 318.395 0.101 20 0 DCADLN CCn1c(N2CC[C@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001605912464 1170025548 /nfs/dbraw/zinc/02/55/48/1170025548.db2.gz UIDRRLVPJLUMPC-RWSFTLGLSA-N 0 2 323.397 0.121 20 0 DCADLN CCn1c(N2CC[C@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001605912464 1170025553 /nfs/dbraw/zinc/02/55/53/1170025553.db2.gz UIDRRLVPJLUMPC-RWSFTLGLSA-N 0 2 323.397 0.121 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)N[C@H]2C[C@H](C(=O)[O-])C2)n1 ZINC001606036844 1170063062 /nfs/dbraw/zinc/06/30/62/1170063062.db2.gz DRXGHHCZQUYSDF-KYZUINATSA-N 0 2 312.395 0.867 20 0 DCADLN CN(C)c1cccc(C[NH+]2CC(NC(=O)[C@H]3C[C@H]3C(=O)[O-])C2)c1 ZINC001606059743 1170067247 /nfs/dbraw/zinc/06/72/47/1170067247.db2.gz NGSASXDGXFFFGP-LSDHHAIUSA-N 0 2 317.389 0.774 20 0 DCADLN C[NH+]1CCN(C2CCN(c3ncncc3C(=O)[O-])CC2)CC1 ZINC001606239423 1170132664 /nfs/dbraw/zinc/13/26/64/1170132664.db2.gz PECJJACFTCLIPX-UHFFFAOYSA-N 0 2 305.382 0.391 20 0 DCADLN COC(=O)c1cccc([C@@H]([NH2+][C@H]2CCCN(C)C2=O)C(=O)[O-])c1 ZINC001606611452 1170242454 /nfs/dbraw/zinc/24/24/54/1170242454.db2.gz OYGUCKGZCGRPOC-QWHCGFSZSA-N 0 2 320.345 0.809 20 0 DCADLN O=C(Cn1cccc(-c2nn[nH]n2)c1=O)c1c[nH]c2ncccc12 ZINC001606618833 1170243191 /nfs/dbraw/zinc/24/31/91/1170243191.db2.gz KDPSFTBTJPONKA-UHFFFAOYSA-N 0 2 321.300 0.788 20 0 DCADLN O=S(=O)(Nc1cncnc1)c1cccc(-c2nn[nH]n2)c1 ZINC001607069358 1170378943 /nfs/dbraw/zinc/37/89/43/1170378943.db2.gz BHVLDSAVWDHEFT-UHFFFAOYSA-N 0 2 303.307 0.458 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CN1CC[C@H](F)C1)CCCC2 ZINC001607089143 1170386079 /nfs/dbraw/zinc/38/60/79/1170386079.db2.gz HWEQFOPAFRRYBP-NSHDSACASA-N 0 2 318.356 0.909 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@@H]1CCC2(CCOCC2)O1 ZINC001607089599 1170386460 /nfs/dbraw/zinc/38/64/60/1170386460.db2.gz CIGIZPCHXMLXKD-JTQLQIEISA-N 0 2 318.337 0.152 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@@H+]2CC[C@H](NC(N)=O)C2)c1 ZINC001607185414 1170413545 /nfs/dbraw/zinc/41/35/45/1170413545.db2.gz NUFNSAPPILYPDF-GXFFZTMASA-N 0 2 323.349 0.572 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2CC[C@H](NC(N)=O)C2)c1 ZINC001607185414 1170413547 /nfs/dbraw/zinc/41/35/47/1170413547.db2.gz NUFNSAPPILYPDF-GXFFZTMASA-N 0 2 323.349 0.572 20 0 DCADLN COc1ccc(S(=O)(=O)NC[C@@H]2CC[N@@H+]2C)c(C(=O)[O-])c1 ZINC001607286959 1170423970 /nfs/dbraw/zinc/42/39/70/1170423970.db2.gz PBXKIMNVPXBOCI-VIFPVBQESA-N 0 2 314.363 0.376 20 0 DCADLN COc1ccc(S(=O)(=O)NC[C@@H]2CC[N@H+]2C)c(C(=O)[O-])c1 ZINC001607286959 1170423973 /nfs/dbraw/zinc/42/39/73/1170423973.db2.gz PBXKIMNVPXBOCI-VIFPVBQESA-N 0 2 314.363 0.376 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2cncc(C(=O)[O-])c2)CC1 ZINC001607386008 1170443495 /nfs/dbraw/zinc/44/34/95/1170443495.db2.gz YXTDFEYTHNOFTE-NRFANRHFSA-N 0 2 311.407 0.276 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@@H](C)[C@@H]1CN(C)CC[N@@H+]1C ZINC001607491792 1170467496 /nfs/dbraw/zinc/46/74/96/1170467496.db2.gz XPRXGIGWXRJVMD-JQWIXIFHSA-N 0 2 322.409 0.694 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@@H](C)[C@@H]1CN(C)CC[N@H+]1C ZINC001607491792 1170467499 /nfs/dbraw/zinc/46/74/99/1170467499.db2.gz XPRXGIGWXRJVMD-JQWIXIFHSA-N 0 2 322.409 0.694 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)NC1CCC2(CC1)OCCO2 ZINC001607946152 1170531986 /nfs/dbraw/zinc/53/19/86/1170531986.db2.gz APNNCGSZHZCHAG-UHFFFAOYSA-N 0 2 323.349 0.692 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001608022811 1170551050 /nfs/dbraw/zinc/55/10/50/1170551050.db2.gz XHVFEEMXYCCOTF-CPCISQLKSA-N 0 2 306.322 0.930 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001608022811 1170551064 /nfs/dbraw/zinc/55/10/64/1170551064.db2.gz XHVFEEMXYCCOTF-CPCISQLKSA-N 0 2 306.322 0.930 20 0 DCADLN Cc1noc2ncnc(N(CC[NH+]3CCOCC3)CC(=O)[O-])c12 ZINC001608028987 1170552359 /nfs/dbraw/zinc/55/23/59/1170552359.db2.gz INQFLSUEUFSRDG-UHFFFAOYSA-N 0 2 321.337 0.149 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)Nc2cc(C(=O)[O-])nn2C)C1 ZINC001608133538 1170587604 /nfs/dbraw/zinc/58/76/04/1170587604.db2.gz JZPOFQKKZLVHGM-VIFPVBQESA-N 0 2 318.337 0.873 20 0 DCADLN [NH3+][C@@H](C(=O)N1CC[C@@](F)(C(=O)[O-])C1)c1c(F)cccc1F ZINC001608247829 1170629826 /nfs/dbraw/zinc/62/98/26/1170629826.db2.gz MUDIAYSNZVBKLI-MFKMUULPSA-N 0 2 302.252 0.990 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cccn(C2CC2)c1=O ZINC001608404090 1170670297 /nfs/dbraw/zinc/67/02/97/1170670297.db2.gz WVEBVRDYFAZENZ-GFCCVEGCSA-N 0 2 316.317 0.263 20 0 DCADLN O=C([O-])[C@@H](c1ccccc1Cl)[N@@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001608564402 1170703439 /nfs/dbraw/zinc/70/34/39/1170703439.db2.gz XIZIQYIPTIQYGW-GHMZBOCLSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@@H](c1ccccc1Cl)[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001608564402 1170703443 /nfs/dbraw/zinc/70/34/43/1170703443.db2.gz XIZIQYIPTIQYGW-GHMZBOCLSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@@H]1CC[N@H+](Cc2nnnn2C2CC2)[C@H]([C@H]2CCCO2)C1 ZINC001608635434 1170727117 /nfs/dbraw/zinc/72/71/17/1170727117.db2.gz XJRGSWAIMHDVIQ-KGYLQXTDSA-N 0 2 321.381 0.852 20 0 DCADLN O=C([O-])[C@@H]1CC[N@@H+](Cc2nnnn2C2CC2)[C@H]([C@H]2CCCO2)C1 ZINC001608635434 1170727122 /nfs/dbraw/zinc/72/71/22/1170727122.db2.gz XJRGSWAIMHDVIQ-KGYLQXTDSA-N 0 2 321.381 0.852 20 0 DCADLN O=C([O-])C[C@]1([NH2+]Cc2n[nH]cc2Br)CCOC1 ZINC001608687731 1170737203 /nfs/dbraw/zinc/73/72/03/1170737203.db2.gz FABAVZNWWAHYPU-SNVBAGLBSA-N 0 2 304.144 0.896 20 0 DCADLN O=C([O-])C[C@H]1CCCN1C(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC001608695472 1170738841 /nfs/dbraw/zinc/73/88/41/1170738841.db2.gz YIPPMPRGNIHPJB-GFCCVEGCSA-N 0 2 322.365 0.245 20 0 DCADLN O=C([O-])COCCNC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC001608757224 1170751006 /nfs/dbraw/zinc/75/10/06/1170751006.db2.gz HRZURSGORPVIAC-GFCCVEGCSA-N 0 2 309.322 0.346 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)nn1 ZINC001608958827 1170775468 /nfs/dbraw/zinc/77/54/68/1170775468.db2.gz ZJWPPFTXFVOVDW-SNVBAGLBSA-N 0 2 304.310 0.037 20 0 DCADLN CCN(C)C(=O)CCc1c(C)[nH]c(=O)c(-c2nn[nH]n2)c1C ZINC001609220682 1170873454 /nfs/dbraw/zinc/87/34/54/1170873454.db2.gz KESWXEDOSVWZJY-UHFFFAOYSA-N 0 2 304.354 0.995 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+](C)[C@@H]1CCCCNC1=O)C(=O)[O-] ZINC001609693529 1171067921 /nfs/dbraw/zinc/06/79/21/1171067921.db2.gz SBIGBEJJABPFGY-NWDGAFQWSA-N 0 2 313.398 0.202 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+](C)[C@@H]1CCCCNC1=O)C(=O)[O-] ZINC001609693529 1171067928 /nfs/dbraw/zinc/06/79/28/1171067928.db2.gz SBIGBEJJABPFGY-NWDGAFQWSA-N 0 2 313.398 0.202 20 0 DCADLN CC(C)n1ncnc1C[NH+]1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001609725216 1171075482 /nfs/dbraw/zinc/07/54/82/1171075482.db2.gz QBOIEWQSWDVWGE-UHFFFAOYSA-N 0 2 319.369 0.986 20 0 DCADLN CC(C)[C@H](NC(=O)C[NH2+][C@@H](C)c1nnc2ccccn21)C(=O)[O-] ZINC001609773454 1171082789 /nfs/dbraw/zinc/08/27/89/1171082789.db2.gz NMYRJEYLZARPQP-GWCFXTLKSA-N 0 2 319.365 0.605 20 0 DCADLN C[C@@]1(C(=O)[O-])CCCN(C(=O)C(=O)N2CC[NH+](C3CC3)CC2)C1 ZINC001609813558 1171092125 /nfs/dbraw/zinc/09/21/25/1171092125.db2.gz DYUREHWSDLKOTD-MRXNPFEDSA-N 0 2 323.393 0.006 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@H+](CN2C[C@@H](C(=O)[O-])OC2=O)C1 ZINC001610014006 1171139419 /nfs/dbraw/zinc/13/94/19/1171139419.db2.gz LFRQIRKHUDQYFQ-ZJUUUORDSA-N 0 2 300.311 0.124 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@@H+](CN2C[C@@H](C(=O)[O-])OC2=O)C1 ZINC001610014006 1171139424 /nfs/dbraw/zinc/13/94/24/1171139424.db2.gz LFRQIRKHUDQYFQ-ZJUUUORDSA-N 0 2 300.311 0.124 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cc(C(=O)[O-])ccn1 ZINC001610116515 1171161546 /nfs/dbraw/zinc/16/15/46/1171161546.db2.gz QURNPDJIZYVXDS-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cc(C(=O)[O-])ccn1 ZINC001610116515 1171161549 /nfs/dbraw/zinc/16/15/49/1171161549.db2.gz QURNPDJIZYVXDS-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN COC(=O)[C@@H]([NH2+]CCC(=O)N(C)CC(=O)[O-])C1CCCCC1 ZINC001610155464 1171181289 /nfs/dbraw/zinc/18/12/89/1171181289.db2.gz FUDPULCZNMOELW-AWEZNQCLSA-N 0 2 314.382 0.631 20 0 DCADLN COC(=O)c1cccc([C@H]([NH2+]CC(=O)N2CCCC2)C(=O)[O-])c1 ZINC001610217966 1171198386 /nfs/dbraw/zinc/19/83/86/1171198386.db2.gz KZWIFTAWBOGPQP-AWEZNQCLSA-N 0 2 320.345 0.811 20 0 DCADLN COc1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1OC ZINC001610314350 1171219213 /nfs/dbraw/zinc/21/92/13/1171219213.db2.gz NYNMYPFPIVRWCQ-NSHDSACASA-N 0 2 319.317 0.784 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001610533630 1171247563 /nfs/dbraw/zinc/24/75/63/1171247563.db2.gz VMKPJXPFHARCGP-HOCLYGCPSA-N 0 2 320.389 0.863 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001610533630 1171247567 /nfs/dbraw/zinc/24/75/67/1171247567.db2.gz VMKPJXPFHARCGP-HOCLYGCPSA-N 0 2 320.389 0.863 20 0 DCADLN Cc1csc(NC(=O)C(=O)NCCn2cc[nH+]c2)c1C(=O)[O-] ZINC001610547267 1171250774 /nfs/dbraw/zinc/25/07/74/1171250774.db2.gz DADNKFRRQQNMFN-UHFFFAOYSA-N 0 2 322.346 0.706 20 0 DCADLN Cn1cc(C[NH+]2CCN(C(=O)[C@H]3CCCO3)CC2)cc1C(=O)[O-] ZINC001610602706 1171264109 /nfs/dbraw/zinc/26/41/09/1171264109.db2.gz KBDPHDRZNCVTBU-CQSZACIVSA-N 0 2 321.377 0.547 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001610734254 1171301503 /nfs/dbraw/zinc/30/15/03/1171301503.db2.gz ZBIDVKYBVRDAJG-QWHCGFSZSA-N 0 2 316.317 0.317 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)c1n[nH]c2c1C[NH2+]CC2 ZINC001610773541 1171320509 /nfs/dbraw/zinc/32/05/09/1171320509.db2.gz ZYYWVIIHHLUNMS-JTQLQIEISA-N 0 2 322.365 0.151 20 0 DCADLN Cc1nc[nH]c1CC(=O)Nc1ccc(OCc2nn[nH]n2)cc1 ZINC001629336929 1171547030 /nfs/dbraw/zinc/54/70/30/1171547030.db2.gz MYNDRQNRZZBAJC-UHFFFAOYSA-N 0 2 313.321 0.991 20 0 DCADLN O=C(N[C@@H](c1nn[nH]n1)c1ccccc1)c1cccc2n[nH]nc21 ZINC001634275008 1171667942 /nfs/dbraw/zinc/66/79/42/1171667942.db2.gz KNBZNXDKIXABSP-GFCCVEGCSA-N 0 2 320.316 0.990 20 0 DCADLN O=C(c1cnc(Cl)cn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001638685006 1171804190 /nfs/dbraw/zinc/80/41/90/1171804190.db2.gz LEQIIZIBSHTXRJ-SSDOTTSWSA-N 0 2 308.729 0.974 20 0 DCADLN O=C(NNC(=O)c1csc(N2CCOCC2)n1)NC1CC1 ZINC000053919390 1171817704 /nfs/dbraw/zinc/81/77/04/1171817704.db2.gz USLHUONUVHIDST-UHFFFAOYSA-N 0 2 311.367 0.086 20 0 DCADLN CN1C(=O)C[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1=O ZINC001639494487 1171840140 /nfs/dbraw/zinc/84/01/40/1171840140.db2.gz MVJWHVIXKHCIKW-JTQLQIEISA-N 0 2 314.301 0.065 20 0 DCADLN C[C@H](NC(=O)c1cnc2[nH]c(=O)[nH]c2c1)c1nn(C)cc1O ZINC001640031018 1171863569 /nfs/dbraw/zinc/86/35/69/1171863569.db2.gz QMCJTLNWBUTQAB-LURJTMIESA-N 0 2 302.294 0.594 20 0 DCADLN Cc1cnc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC001647090970 1172668013 /nfs/dbraw/zinc/66/80/13/1172668013.db2.gz DYALYLQHWGULFI-UHFFFAOYSA-N 0 2 304.335 0.153 20 0 DCADLN C[C@@H](CC(=O)OC(C)(C)C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001647093394 1172669943 /nfs/dbraw/zinc/66/99/43/1172669943.db2.gz UPENRPPDMXUVIK-YUMQZZPRSA-N 0 2 315.395 0.779 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nccn2C(F)F)S1 ZINC001647093304 1172670412 /nfs/dbraw/zinc/67/04/12/1172670412.db2.gz SSFKXWUZKYRBCE-YFKPBYRVSA-N 0 2 303.294 0.451 20 0 DCADLN Cn1ncc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1C(F)F ZINC001647120807 1172686595 /nfs/dbraw/zinc/68/65/95/1172686595.db2.gz LULPGOHZWWFNCK-UHFFFAOYSA-N 0 2 323.263 0.381 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(C(=O)[O-])ccc2Cl)[C@@H](CO)C1 ZINC001650504944 1173662823 /nfs/dbraw/zinc/66/28/23/1173662823.db2.gz BCLUTYIZNZIQAV-SNVBAGLBSA-N 0 2 312.753 0.787 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(C(=O)[O-])ccc2Cl)[C@@H](CO)C1 ZINC001650504944 1173662825 /nfs/dbraw/zinc/66/28/25/1173662825.db2.gz BCLUTYIZNZIQAV-SNVBAGLBSA-N 0 2 312.753 0.787 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1C)[C@@H]1CCN(C)C1=O ZINC001660502333 1174000160 /nfs/dbraw/zinc/00/01/60/1174000160.db2.gz QLJVXCCYYDAPAN-CYBMUJFWSA-N 0 2 321.425 0.699 20 0 DCADLN O=C(NOC/C=C/Cl)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001665265688 1174499155 /nfs/dbraw/zinc/49/91/55/1174499155.db2.gz DIEMHMDPSLCALG-DAFODLJHSA-N 0 2 300.706 0.503 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2nonc2C)c1[O-] ZINC001668779289 1174836795 /nfs/dbraw/zinc/83/67/95/1174836795.db2.gz HTWXGNADCNOCJP-ONEGZZNKSA-N 0 2 306.326 0.191 20 0 DCADLN Cc1ncsc1C(=O)NCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001686455754 1176102890 /nfs/dbraw/zinc/10/28/90/1176102890.db2.gz HLUUNSYJLGWHHE-UHFFFAOYSA-N 0 2 307.379 0.572 20 0 DCADLN COc1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nn1 ZINC001686689948 1176192892 /nfs/dbraw/zinc/19/28/92/1176192892.db2.gz ZYCQLLSEAYGDTQ-MRVPVSSYSA-N 0 2 324.234 0.232 20 0 DCADLN COc1ccc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001686689948 1176192896 /nfs/dbraw/zinc/19/28/96/1176192896.db2.gz ZYCQLLSEAYGDTQ-MRVPVSSYSA-N 0 2 324.234 0.232 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001689157846 1176716145 /nfs/dbraw/zinc/71/61/45/1176716145.db2.gz XQNIZISOAANLHE-IUCAKERBSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CC=CC1)[C@H](F)C(F)(F)F ZINC001689157846 1176716151 /nfs/dbraw/zinc/71/61/51/1176716151.db2.gz XQNIZISOAANLHE-IUCAKERBSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001689157847 1176716764 /nfs/dbraw/zinc/71/67/64/1176716764.db2.gz XQNIZISOAANLHE-RKDXNWHRSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CC=CC1)[C@@H](F)C(F)(F)F ZINC001689157847 1176716770 /nfs/dbraw/zinc/71/67/70/1176716770.db2.gz XQNIZISOAANLHE-RKDXNWHRSA-N 0 2 312.263 0.446 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CCOCCO)C1 ZINC001699714139 1178260717 /nfs/dbraw/zinc/26/07/17/1178260717.db2.gz OQUQPFHTDSKZKU-VIFPVBQESA-N 0 2 302.268 0.038 20 0 DCADLN CC(F)(F)CC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001701056491 1178910510 /nfs/dbraw/zinc/91/05/10/1178910510.db2.gz JKOMOKDAQBNSPI-UHFFFAOYSA-N 0 2 315.324 0.804 20 0 DCADLN CC(F)(F)CC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001701056491 1178910514 /nfs/dbraw/zinc/91/05/14/1178910514.db2.gz JKOMOKDAQBNSPI-UHFFFAOYSA-N 0 2 315.324 0.804 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCNC(=O)[C@H]1CCO[C@H](C)C1 ZINC001703278680 1179439563 /nfs/dbraw/zinc/43/95/63/1179439563.db2.gz YVYOUMULUNXUQL-PWSUYJOCSA-N 0 2 308.382 0.308 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001704528979 1180044830 /nfs/dbraw/zinc/04/48/30/1180044830.db2.gz ZZAHFZVORKTZCZ-SSDOTTSWSA-N 0 2 312.263 0.829 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001704528979 1180044832 /nfs/dbraw/zinc/04/48/32/1180044832.db2.gz ZZAHFZVORKTZCZ-SSDOTTSWSA-N 0 2 312.263 0.829 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1nc(C)no1 ZINC001707445031 1180510800 /nfs/dbraw/zinc/51/08/00/1180510800.db2.gz AOZMIMRXABLPHO-UHFFFAOYSA-N 0 2 322.369 0.757 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1nc(C)no1 ZINC001707445031 1180510803 /nfs/dbraw/zinc/51/08/03/1180510803.db2.gz AOZMIMRXABLPHO-UHFFFAOYSA-N 0 2 322.369 0.757 20 0 DCADLN Cc1nnc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])s1 ZINC001715807340 1182016833 /nfs/dbraw/zinc/01/68/33/1182016833.db2.gz TWVBDZYBBPJDJX-ONEGZZNKSA-N 0 2 322.394 0.659 20 0 DCADLN C[C@@]1(NC(=O)C=Cc2ccc[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716837964 1182541588 /nfs/dbraw/zinc/54/15/88/1182541588.db2.gz FDUGIGCZPPBRSF-MBVDDHJVSA-N 0 2 316.365 0.632 20 0 DCADLN C[C@@]1(NC(=O)C=Cc2ccc[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716837964 1182541591 /nfs/dbraw/zinc/54/15/91/1182541591.db2.gz FDUGIGCZPPBRSF-MBVDDHJVSA-N 0 2 316.365 0.632 20 0 DCADLN CO[C@H](C)C[N@H+](C)CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001731271319 1185232711 /nfs/dbraw/zinc/23/27/11/1185232711.db2.gz LLHBXRLFDCEMHH-LLVKDONJSA-N 0 2 321.381 0.109 20 0 DCADLN CO[C@H](C)C[N@@H+](C)CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001731271319 1185232719 /nfs/dbraw/zinc/23/27/19/1185232719.db2.gz LLHBXRLFDCEMHH-LLVKDONJSA-N 0 2 321.381 0.109 20 0 DCADLN CCn1ccc(C(=O)NCCCN(C)Cc2nonc2C)n1 ZINC001731270351 1185232945 /nfs/dbraw/zinc/23/29/45/1185232945.db2.gz RWRKBUYQAFKSBP-UHFFFAOYSA-N 0 2 306.370 0.846 20 0 DCADLN CC/C(C)=C\C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001732099990 1185670712 /nfs/dbraw/zinc/67/07/12/1185670712.db2.gz NTLYNLKXAANHLF-WDZFZDKYSA-N 0 2 315.377 0.679 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N(C)CCC[NH2+]Cc1cnns1 ZINC001733139471 1186159361 /nfs/dbraw/zinc/15/93/61/1186159361.db2.gz PMEMVFODDXAUCV-UHFFFAOYSA-N 0 2 308.411 0.750 20 0 DCADLN COCCOCC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001737716747 1187391351 /nfs/dbraw/zinc/39/13/51/1187391351.db2.gz USDBNASJCPQQJE-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN COCCOCC(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001737716747 1187391355 /nfs/dbraw/zinc/39/13/55/1187391355.db2.gz USDBNASJCPQQJE-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1ccnnc1 ZINC001738526939 1187583885 /nfs/dbraw/zinc/58/38/85/1187583885.db2.gz YNVSHCIEXWGGHO-VWCDRPFISA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1ccnnc1 ZINC001738526939 1187583891 /nfs/dbraw/zinc/58/38/91/1187583891.db2.gz YNVSHCIEXWGGHO-VWCDRPFISA-N 0 2 320.246 0.779 20 0 DCADLN CCCOCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001738565826 1187595191 /nfs/dbraw/zinc/59/51/91/1187595191.db2.gz BXOIKERJYWCUSY-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001738565826 1187595193 /nfs/dbraw/zinc/59/51/93/1187595193.db2.gz BXOIKERJYWCUSY-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](C)OCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001740525885 1187950860 /nfs/dbraw/zinc/95/08/60/1187950860.db2.gz LCMKGLLQSMNRIE-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](C)OCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001740525885 1187950854 /nfs/dbraw/zinc/95/08/54/1187950854.db2.gz LCMKGLLQSMNRIE-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c(C)n1 ZINC001742433046 1187982211 /nfs/dbraw/zinc/98/22/11/1187982211.db2.gz WDTUEQOGOKRGSZ-VIFPVBQESA-N 0 2 324.278 0.958 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c(C)n1 ZINC001742433046 1187982215 /nfs/dbraw/zinc/98/22/15/1187982215.db2.gz WDTUEQOGOKRGSZ-VIFPVBQESA-N 0 2 324.278 0.958 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001743500000 1188139655 /nfs/dbraw/zinc/13/96/55/1188139655.db2.gz MJSRFYGAJOCQEX-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001743500000 1188139657 /nfs/dbraw/zinc/13/96/57/1188139657.db2.gz MJSRFYGAJOCQEX-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CC=CC2)C[C@H]1O)C(F)C(F)(F)F ZINC001757005309 1189490509 /nfs/dbraw/zinc/49/05/09/1189490509.db2.gz PHXWGATUYLNTQH-OPRDCNLKSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CC=CC2)C[C@H]1O)[C@@H](F)C(F)(F)F ZINC001757005309 1189490511 /nfs/dbraw/zinc/49/05/11/1189490511.db2.gz PHXWGATUYLNTQH-OPRDCNLKSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cnn2c1CCC2 ZINC001758027409 1189686084 /nfs/dbraw/zinc/68/60/84/1189686084.db2.gz GZRLHHHMJAIZHX-SECBINFHSA-N 0 2 322.262 0.576 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cnn2c1CCC2 ZINC001758027409 1189686088 /nfs/dbraw/zinc/68/60/88/1189686088.db2.gz GZRLHHHMJAIZHX-SECBINFHSA-N 0 2 322.262 0.576 20 0 DCADLN CCOc1nc(C(=O)Nc2nc(CS(C)(=O)=O)no2)co1 ZINC001771659562 1190460560 /nfs/dbraw/zinc/46/05/60/1190460560.db2.gz PTNAAODQYSRZJV-UHFFFAOYSA-N 0 2 316.295 0.253 20 0 DCADLN O=C([C@@H]1CCn2ccnc2C1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001771753180 1190489582 /nfs/dbraw/zinc/48/95/82/1190489582.db2.gz POFMNRMTYXBMGS-GHMZBOCLSA-N 0 2 316.365 0.675 20 0 DCADLN Cc1nnc(CN2CCN(c3ccc(C(=O)[O-])c(C)[nH+]3)CC2)[nH]1 ZINC001772043110 1190598357 /nfs/dbraw/zinc/59/83/57/1190598357.db2.gz XOHSLIFOMIHFNA-UHFFFAOYSA-N 0 2 316.365 0.837 20 0 DCADLN C[C@@H]1C[NH2+][C@@H](C)CN1C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001772226364 1190635757 /nfs/dbraw/zinc/63/57/57/1190635757.db2.gz MIJKOMBHGQHRNI-YJNKXOJESA-N 0 2 311.426 0.075 20 0 DCADLN C/C=C(\C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001042449556 751656815 /nfs/dbraw/zinc/65/68/15/751656815.db2.gz GQDCFYCXLPIDJD-HOOMWESVSA-N 0 2 312.263 0.543 20 0 DCADLN C/C=C(\C)C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001042449556 751656821 /nfs/dbraw/zinc/65/68/21/751656821.db2.gz GQDCFYCXLPIDJD-HOOMWESVSA-N 0 2 312.263 0.543 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001042826811 751935099 /nfs/dbraw/zinc/93/50/99/751935099.db2.gz FGJLCKYYMWTCQD-QWRGUYRKSA-N 0 2 309.370 0.111 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cnc(Br)cn1 ZINC000088448396 746903140 /nfs/dbraw/zinc/90/31/40/746903140.db2.gz HELXNLSTHMAGHY-UHFFFAOYSA-N 0 2 324.156 0.544 20 0 DCADLN O=C(C[NH2+]CCCNC(=O)Cc1c[nH]c[nH+]1)Nc1nccs1 ZINC001167355459 747128405 /nfs/dbraw/zinc/12/84/05/747128405.db2.gz JKMTVCCGFBZRLQ-UHFFFAOYSA-N 0 2 322.394 0.143 20 0 DCADLN CCCCC(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043373621 752200892 /nfs/dbraw/zinc/20/08/92/752200892.db2.gz BYTHKDHJQUNMLC-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CCCCC(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043373621 752200896 /nfs/dbraw/zinc/20/08/96/752200896.db2.gz BYTHKDHJQUNMLC-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN O=C(CCCF)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043374660 752201424 /nfs/dbraw/zinc/20/14/24/752201424.db2.gz HMGXAZHAVZWFDE-QMMMGPOBSA-N 0 2 318.242 0.326 20 0 DCADLN O=C(CCCF)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043374660 752201430 /nfs/dbraw/zinc/20/14/30/752201430.db2.gz HMGXAZHAVZWFDE-QMMMGPOBSA-N 0 2 318.242 0.326 20 0 DCADLN Cc1cnc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)c1 ZINC001043419092 752222582 /nfs/dbraw/zinc/22/25/82/752222582.db2.gz ICNBETZVQZSKAA-UHFFFAOYSA-N 0 2 316.365 0.479 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H]2OCC[C@H]2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087731299 749033669 /nfs/dbraw/zinc/03/36/69/749033669.db2.gz GFFJZEYKSPMFRR-XZUYRWCXSA-N 0 2 323.397 0.404 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H]2OCC[C@H]2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087731299 749033673 /nfs/dbraw/zinc/03/36/73/749033673.db2.gz GFFJZEYKSPMFRR-XZUYRWCXSA-N 0 2 323.397 0.404 20 0 DCADLN [NH2+]=C(Nc1cnc(N2CCC2)nc1)SCCS(=O)(=O)[O-] ZINC001168091274 749387445 /nfs/dbraw/zinc/38/74/45/749387445.db2.gz XUXHITZYRJHMRP-UHFFFAOYSA-N 0 2 317.396 0.654 20 0 DCADLN Cc1cnccc1C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088557911 749639289 /nfs/dbraw/zinc/63/92/89/749639289.db2.gz LGSSUMHLXYTBBG-PWSUYJOCSA-N 0 2 316.365 0.607 20 0 DCADLN Cc1cnccc1C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088557911 749639294 /nfs/dbraw/zinc/63/92/94/749639294.db2.gz LGSSUMHLXYTBBG-PWSUYJOCSA-N 0 2 316.365 0.607 20 0 DCADLN CCn1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)n1 ZINC001088897791 750718696 /nfs/dbraw/zinc/71/86/96/750718696.db2.gz XIZKHMDDTCDIOP-ZJUUUORDSA-N 0 2 319.369 0.120 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccco2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088933952 750735785 /nfs/dbraw/zinc/73/57/85/750735785.db2.gz ARLIOFLSDCWDPX-KOLCDFICSA-N 0 2 305.338 0.425 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccco2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088933952 750735789 /nfs/dbraw/zinc/73/57/89/750735789.db2.gz ARLIOFLSDCWDPX-KOLCDFICSA-N 0 2 305.338 0.425 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001089036954 750772222 /nfs/dbraw/zinc/77/22/22/750772222.db2.gz HLLSNXHMWJNNLG-KOLCDFICSA-N 0 2 304.354 0.540 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001089036954 750772225 /nfs/dbraw/zinc/77/22/25/750772225.db2.gz HLLSNXHMWJNNLG-KOLCDFICSA-N 0 2 304.354 0.540 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CC[N@@H+]1CCc1cnn(C)c1 ZINC001089074275 750785257 /nfs/dbraw/zinc/78/52/57/750785257.db2.gz WJMMDXOBKFHUGQ-HIFRSBDPSA-N 0 2 316.409 0.438 20 0 DCADLN O=C(NC[C@H](O)CNc1ncc(F)cn1)C(F)C(F)(F)F ZINC001106142656 750980225 /nfs/dbraw/zinc/98/02/25/750980225.db2.gz UXQIRZJIHLVNOM-BQBZGAKWSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](O)CNc1ncc(F)cn1)[C@H](F)C(F)(F)F ZINC001106142656 750980228 /nfs/dbraw/zinc/98/02/28/750980228.db2.gz UXQIRZJIHLVNOM-BQBZGAKWSA-N 0 2 314.214 0.405 20 0 DCADLN C[C@@]1(CNC(=O)CCC2CC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107830385 752391407 /nfs/dbraw/zinc/39/14/07/752391407.db2.gz MBRYCKRZFVLLQR-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044030652 752525056 /nfs/dbraw/zinc/52/50/56/752525056.db2.gz NGCYYOIWYQRYCI-NWDGAFQWSA-N 0 2 305.382 0.589 20 0 DCADLN COCC(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001098844857 752735142 /nfs/dbraw/zinc/73/51/42/752735142.db2.gz LRRIDIUEEJCJLS-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COCC(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001098844857 752735144 /nfs/dbraw/zinc/73/51/44/752735144.db2.gz LRRIDIUEEJCJLS-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CCC(=O)N[C@@H](C)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001078609983 753312252 /nfs/dbraw/zinc/31/22/52/753312252.db2.gz FCYXXKINSFWGJT-JTQLQIEISA-N 0 2 318.381 0.845 20 0 DCADLN CCc1nc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001046255043 753590884 /nfs/dbraw/zinc/59/08/84/753590884.db2.gz ONLMFLRKDVSTEO-CQSZACIVSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046266354 753601799 /nfs/dbraw/zinc/60/17/99/753601799.db2.gz LBTCSUQENRMOPH-OAHLLOKOSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046266354 753601801 /nfs/dbraw/zinc/60/18/01/753601801.db2.gz LBTCSUQENRMOPH-OAHLLOKOSA-N 0 2 318.381 0.552 20 0 DCADLN C[C@]1(NC(=O)C2C=CC=CC=C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046352771 753659166 /nfs/dbraw/zinc/65/91/66/753659166.db2.gz FHHYHEZTYNMKBT-INIZCTEOSA-N 0 2 315.377 0.889 20 0 DCADLN C[C@]1(NC(=O)C2C=CC=CC=C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046352771 753659172 /nfs/dbraw/zinc/65/91/72/753659172.db2.gz FHHYHEZTYNMKBT-INIZCTEOSA-N 0 2 315.377 0.889 20 0 DCADLN Cc1cocc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046545567 753783069 /nfs/dbraw/zinc/78/30/69/753783069.db2.gz MDPWFCGKQHVTJC-CQSZACIVSA-N 0 2 305.338 0.806 20 0 DCADLN CCc1nocc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046600448 753820668 /nfs/dbraw/zinc/82/06/68/753820668.db2.gz NTRFNQWMCVUVBD-AWEZNQCLSA-N 0 2 320.353 0.455 20 0 DCADLN CCc1oncc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046667297 753861049 /nfs/dbraw/zinc/86/10/49/753861049.db2.gz SYJVUUROQNKCCX-AWEZNQCLSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046841049 753973925 /nfs/dbraw/zinc/97/39/25/753973925.db2.gz JYYPBSMSXVDOAT-AWEZNQCLSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046841049 753973928 /nfs/dbraw/zinc/97/39/28/753973928.db2.gz JYYPBSMSXVDOAT-AWEZNQCLSA-N 0 2 304.354 0.541 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CC12CC2 ZINC001047153381 754108696 /nfs/dbraw/zinc/10/86/96/754108696.db2.gz FCADJLNYMQZTFS-SFYZADRCSA-N 0 2 324.274 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CC12CC2 ZINC001047153381 754108700 /nfs/dbraw/zinc/10/87/00/754108700.db2.gz FCADJLNYMQZTFS-SFYZADRCSA-N 0 2 324.274 0.376 20 0 DCADLN CC(=O)N(C)[C@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H]1O ZINC001047269463 754141709 /nfs/dbraw/zinc/14/17/09/754141709.db2.gz HDRZALSWLFTTRS-QWRGUYRKSA-N 0 2 322.390 0.420 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C(F)F)C(F)C(F)(F)F ZINC001061639406 754168976 /nfs/dbraw/zinc/16/89/76/754168976.db2.gz QOLZAAXGPCLDBM-PHDIDXHHSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C(F)F)[C@@H](F)C(F)(F)F ZINC001061639406 754168980 /nfs/dbraw/zinc/16/89/80/754168980.db2.gz QOLZAAXGPCLDBM-PHDIDXHHSA-N 0 2 322.205 0.496 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccn(C)n2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001072072404 762339825 /nfs/dbraw/zinc/33/98/25/762339825.db2.gz JWAKISBWDLIQNQ-UWVGGRQHSA-N 0 2 319.369 0.027 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CN(C(=O)C(F)F)C[C@@H]1O ZINC001048958186 755040476 /nfs/dbraw/zinc/04/04/76/755040476.db2.gz UKFWIKPKRDJWEB-HCWXCVPCSA-N 0 2 322.205 0.182 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CN(C(=O)C(F)F)C[C@@H]1O ZINC001048958186 755040478 /nfs/dbraw/zinc/04/04/78/755040478.db2.gz UKFWIKPKRDJWEB-HCWXCVPCSA-N 0 2 322.205 0.182 20 0 DCADLN CSCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049310880 755220593 /nfs/dbraw/zinc/22/05/93/755220593.db2.gz BSFCDJOFGCHJRI-ZJUUUORDSA-N 0 2 311.411 0.439 20 0 DCADLN CSCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049310880 755220595 /nfs/dbraw/zinc/22/05/95/755220595.db2.gz BSFCDJOFGCHJRI-ZJUUUORDSA-N 0 2 311.411 0.439 20 0 DCADLN O=C(c1cn[nH]c1)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049355136 755231439 /nfs/dbraw/zinc/23/14/39/755231439.db2.gz WMMKBCPZCDMJOV-MNOVXSKESA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1cn[nH]c1)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049355136 755231443 /nfs/dbraw/zinc/23/14/43/755231443.db2.gz WMMKBCPZCDMJOV-MNOVXSKESA-N 0 2 317.353 0.113 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096944518 755561765 /nfs/dbraw/zinc/56/17/65/755561765.db2.gz AZJBCGNTSGHKEP-UTUOFQBUSA-N 0 2 303.366 0.842 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096944518 755561767 /nfs/dbraw/zinc/56/17/67/755561767.db2.gz AZJBCGNTSGHKEP-UTUOFQBUSA-N 0 2 303.366 0.842 20 0 DCADLN O=C(N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1)C1CCCC1 ZINC001053716752 756162760 /nfs/dbraw/zinc/16/27/60/756162760.db2.gz ZDPOGLLYVQDVFY-LLVKDONJSA-N 0 2 321.381 0.160 20 0 DCADLN CC[C@@H](F)C(=O)N1CCC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001050113387 757382603 /nfs/dbraw/zinc/38/26/03/757382603.db2.gz SUTAEDXGZGMRRT-HBNTYKKESA-N 0 2 311.361 0.681 20 0 DCADLN CC[C@@H](F)C(=O)N1CCC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001050113387 757382616 /nfs/dbraw/zinc/38/26/16/757382616.db2.gz SUTAEDXGZGMRRT-HBNTYKKESA-N 0 2 311.361 0.681 20 0 DCADLN CCCC[N@@H+]1C[C@H]2OCCN(C(=O)c3[nH]nc(C)c3[O-])[C@H]2C1 ZINC001083052613 757456044 /nfs/dbraw/zinc/45/60/44/757456044.db2.gz VHWDYNODHIHPHL-NWDGAFQWSA-N 0 2 308.382 0.749 20 0 DCADLN CCCC[N@H+]1C[C@H]2OCCN(C(=O)c3[nH]nc(C)c3[O-])[C@H]2C1 ZINC001083052613 757456055 /nfs/dbraw/zinc/45/60/55/757456055.db2.gz VHWDYNODHIHPHL-NWDGAFQWSA-N 0 2 308.382 0.749 20 0 DCADLN CC1CC(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)C1 ZINC001084270514 757766344 /nfs/dbraw/zinc/76/63/44/757766344.db2.gz IFAMBPVETTVSLL-RUJICJSRSA-N 0 2 305.382 0.589 20 0 DCADLN O=C([C@H]1C[C@H]2C[C@H]2C1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084597196 757925947 /nfs/dbraw/zinc/92/59/47/757925947.db2.gz BCAUOOBDMWHNNN-NAWOPXAZSA-N 0 2 317.393 0.589 20 0 DCADLN Cc1ccoc1C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001050822318 758119949 /nfs/dbraw/zinc/11/99/49/758119949.db2.gz JFKJNSSUBUBELG-SNVBAGLBSA-N 0 2 321.337 0.043 20 0 DCADLN CC[C@H](F)C(=O)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001085007936 758163497 /nfs/dbraw/zinc/16/34/97/758163497.db2.gz FVLUGXBINDYIJU-VWYCJHECSA-N 0 2 311.361 0.681 20 0 DCADLN CC[C@H](F)C(=O)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001085007936 758163511 /nfs/dbraw/zinc/16/35/11/758163511.db2.gz FVLUGXBINDYIJU-VWYCJHECSA-N 0 2 311.361 0.681 20 0 DCADLN Cc1ncc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001085511008 758973787 /nfs/dbraw/zinc/97/37/87/758973787.db2.gz IYUKGFUXADCHST-SECBINFHSA-N 0 2 322.394 0.622 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccn(C)c1 ZINC001085549265 759032147 /nfs/dbraw/zinc/03/21/47/759032147.db2.gz QZFMKEQWDSVHMB-NSHDSACASA-N 0 2 304.354 0.195 20 0 DCADLN CCc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001085933297 759487276 /nfs/dbraw/zinc/48/72/76/759487276.db2.gz VJGARUNWRPMMCO-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN Cc1nocc1C(=O)OCCOCCNC(=O)C(F)(F)F ZINC001122736408 767865857 /nfs/dbraw/zinc/86/58/57/767865857.db2.gz SDUMFVFUIAHDAC-UHFFFAOYSA-N 0 2 310.228 0.835 20 0 DCADLN O=C(N[C@H]1COC2(CN(C(=O)C3CC3)C2)C1)C(F)C(F)(F)F ZINC001068894884 760591246 /nfs/dbraw/zinc/59/12/46/760591246.db2.gz GDHZPFVZMZDBGP-BDAKNGLRSA-N 0 2 324.274 0.783 20 0 DCADLN O=C(N[C@H]1COC2(CN(C(=O)C3CC3)C2)C1)[C@H](F)C(F)(F)F ZINC001068894884 760591252 /nfs/dbraw/zinc/59/12/52/760591252.db2.gz GDHZPFVZMZDBGP-BDAKNGLRSA-N 0 2 324.274 0.783 20 0 DCADLN CCC1(NC(=O)c2cn[nH]n2)CCN(C(=O)c2ccn[nH]2)CC1 ZINC001069196372 760714564 /nfs/dbraw/zinc/71/45/64/760714564.db2.gz GGAZBGYEHJQNFB-UHFFFAOYSA-N 0 2 317.353 0.343 20 0 DCADLN CCC1(NC(=O)c2cnn[nH]2)CCN(C(=O)c2cc[nH]n2)CC1 ZINC001069196372 760714572 /nfs/dbraw/zinc/71/45/72/760714572.db2.gz GGAZBGYEHJQNFB-UHFFFAOYSA-N 0 2 317.353 0.343 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cccnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071319326 761776764 /nfs/dbraw/zinc/77/67/64/761776764.db2.gz MXMRJPFTGZGSKA-ZYHUDNBSSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccn[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071369633 761801011 /nfs/dbraw/zinc/80/10/11/761801011.db2.gz UVRUGVGJJAZJEV-BDAKNGLRSA-N 0 2 305.342 0.016 20 0 DCADLN CC(C)(C)/C=C/C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099750327 763005826 /nfs/dbraw/zinc/00/58/26/763005826.db2.gz OHOLINXEOYOMMG-MRUFAENASA-N 0 2 323.397 0.164 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)Nc1nccn2nnnc12 ZINC001098328193 763679490 /nfs/dbraw/zinc/67/94/90/763679490.db2.gz USIMVPWOTUYKTO-WDSKDSINSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)Nc1nccn2nnnc12 ZINC001098328193 763679495 /nfs/dbraw/zinc/67/94/95/763679495.db2.gz USIMVPWOTUYKTO-WDSKDSINSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)/C=C/C2CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131942667 764142928 /nfs/dbraw/zinc/14/29/28/764142928.db2.gz FSHQMYOIPCIVMD-NPNFKUNOSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)/C=C/C2CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131942667 764142931 /nfs/dbraw/zinc/14/29/31/764142931.db2.gz FSHQMYOIPCIVMD-NPNFKUNOSA-N 0 2 305.382 0.946 20 0 DCADLN C[S@](=O)c1ccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC001116893526 765923936 /nfs/dbraw/zinc/92/39/36/765923936.db2.gz OGXFUCOEAYFDSG-QFIPXVFZSA-N 0 2 322.390 0.539 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@@H]2CC[C@H](CNC(=O)c3nnc[nH]3)C2)o1 ZINC001086832206 766271524 /nfs/dbraw/zinc/27/15/24/766271524.db2.gz HFUDMFYVFVSNNO-DVVUODLYSA-N 0 2 319.369 0.745 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@@H]2CC[C@H](CNC(=O)c3ncn[nH]3)C2)o1 ZINC001086832206 766271534 /nfs/dbraw/zinc/27/15/34/766271534.db2.gz HFUDMFYVFVSNNO-DVVUODLYSA-N 0 2 319.369 0.745 20 0 DCADLN COCc1nnc(CN2C(=O)[C@@H](COC(C)C)N(C)C2=O)[nH]1 ZINC001118379711 766304159 /nfs/dbraw/zinc/30/41/59/766304159.db2.gz MUAAJEXANHTDCD-SECBINFHSA-N 0 2 311.342 0.139 20 0 DCADLN Cc1cc(N2C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C2)ncn1 ZINC001091190175 767015846 /nfs/dbraw/zinc/01/58/46/767015846.db2.gz JIGXVYLHABHGTM-WEDXCCLWSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1cc(N2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C2)ncn1 ZINC001091190175 767015854 /nfs/dbraw/zinc/01/58/54/767015854.db2.gz JIGXVYLHABHGTM-WEDXCCLWSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1nnc(CC(=O)Nc2ccc(OCc3nn[nH]n3)cc2)[nH]1 ZINC001147000475 767600285 /nfs/dbraw/zinc/60/02/85/767600285.db2.gz JLAOESRRCWKMBW-UHFFFAOYSA-N 0 2 314.309 0.386 20 0 DCADLN COc1ccc(C=O)cc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001149283549 768723812 /nfs/dbraw/zinc/72/38/12/768723812.db2.gz BJNDNZQLBHKULG-UHFFFAOYSA-N 0 2 303.274 0.639 20 0 DCADLN CCO[C@@H](CC)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231025606 769153053 /nfs/dbraw/zinc/15/30/53/769153053.db2.gz JXTLBVCCTZFHQZ-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C(=O)C(C)(C)C ZINC001231321321 769225253 /nfs/dbraw/zinc/22/52/53/769225253.db2.gz GERURWQDSBLEIR-SECBINFHSA-N 0 2 309.370 0.158 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC1CCOCC1 ZINC001231387848 769245565 /nfs/dbraw/zinc/24/55/65/769245565.db2.gz LFTUQNIJLZNFNU-GFCCVEGCSA-N 0 2 323.397 0.360 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2ccc(OC)c(C(=O)[O-])c2)CCN1C ZINC001231754608 769281249 /nfs/dbraw/zinc/28/12/49/769281249.db2.gz BSHPIGXPBLORFE-CYBMUJFWSA-N 0 2 322.361 0.682 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2ccc(OC)c(C(=O)[O-])c2)CCN1C ZINC001231754608 769281258 /nfs/dbraw/zinc/28/12/58/769281258.db2.gz BSHPIGXPBLORFE-CYBMUJFWSA-N 0 2 322.361 0.682 20 0 DCADLN CC[N@H+]1CCCC[C@H]1C(=O)NCC[NH2+]Cc1nccc(C)n1 ZINC001152446048 769557647 /nfs/dbraw/zinc/55/76/47/769557647.db2.gz RWFPRMSGIJJQEB-AWEZNQCLSA-N 0 2 305.426 0.865 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CCOCC1 ZINC001095088932 769587484 /nfs/dbraw/zinc/58/74/84/769587484.db2.gz JDRJOTSVEHQTAH-WOPDTQHZSA-N 0 2 321.381 0.158 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nncn1C)C(=O)C(F)C(F)(F)F ZINC001234426633 769654085 /nfs/dbraw/zinc/65/40/85/769654085.db2.gz WNNFOLPZZWLVQU-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nncn1C)C(=O)[C@H](F)C(F)(F)F ZINC001234426633 769654090 /nfs/dbraw/zinc/65/40/90/769654090.db2.gz WNNFOLPZZWLVQU-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N(CCO)CC(F)(F)F ZINC001153855573 769964828 /nfs/dbraw/zinc/96/48/28/769964828.db2.gz IJVCEOCPHJCHRI-UHFFFAOYSA-N 0 2 315.251 0.920 20 0 DCADLN Nc1ccc(F)cc1S(=O)(=O)Nc1cnn(CCO)c1 ZINC001176476427 770112720 /nfs/dbraw/zinc/11/27/20/770112720.db2.gz JWYXFAVKVXTUET-UHFFFAOYSA-N 0 2 300.315 0.398 20 0 DCADLN C/C=C\C(=O)Nc1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1 ZINC001176641491 770142292 /nfs/dbraw/zinc/14/22/92/770142292.db2.gz RLBFWONXMYAERM-ARJAWSKDSA-N 0 2 322.350 0.526 20 0 DCADLN O=C(CCCCc1c[nH]nn1)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001177867090 770555598 /nfs/dbraw/zinc/55/55/98/770555598.db2.gz WLPCCHCOHXYMPI-LLVKDONJSA-N 0 2 318.385 0.512 20 0 DCADLN O=C(CCCCc1cn[nH]n1)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001177867090 770555604 /nfs/dbraw/zinc/55/56/04/770555604.db2.gz WLPCCHCOHXYMPI-LLVKDONJSA-N 0 2 318.385 0.512 20 0 DCADLN CS(=O)(=O)c1ccc(CCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001180073402 771059408 /nfs/dbraw/zinc/05/94/08/771059408.db2.gz FJRPUKZXHFJYAD-UHFFFAOYSA-N 0 2 324.362 0.163 20 0 DCADLN O=C([O-])C(F)(F)C(F)(F)C(=O)N1CCc2[nH+]ccn2CC1 ZINC001180174855 771067853 /nfs/dbraw/zinc/06/78/53/771067853.db2.gz SGCYSUKQBWHFJD-UHFFFAOYSA-N 0 2 309.219 0.623 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H](O)c1ccc2c(c1)CCO2 ZINC001182661336 771532210 /nfs/dbraw/zinc/53/22/10/771532210.db2.gz DBCPQXYLTQYMBJ-SKDRFNHKSA-N 0 2 308.315 0.080 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1coc(=O)c2ccccc12 ZINC001182832080 771562694 /nfs/dbraw/zinc/56/26/94/771562694.db2.gz AUHFANCTIFVROD-UHFFFAOYSA-N 0 2 314.301 0.979 20 0 DCADLN CC(=O)OC[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC001183116636 771605672 /nfs/dbraw/zinc/60/56/72/771605672.db2.gz ZFEUYLUPHOPCSL-NSHDSACASA-N 0 2 304.306 0.473 20 0 DCADLN COc1ccc(C2(C(=O)NCc3n[nH]c(=O)[nH]3)CC2)c(OC)c1 ZINC001184140185 771747529 /nfs/dbraw/zinc/74/75/29/771747529.db2.gz AONTWTCZWBGMKC-UHFFFAOYSA-N 0 2 318.333 0.876 20 0 DCADLN COCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110373536 771980341 /nfs/dbraw/zinc/98/03/41/771980341.db2.gz KTMZUOQWTSMXNL-UTUOFQBUSA-N 0 2 323.397 0.549 20 0 DCADLN COCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110373536 771980344 /nfs/dbraw/zinc/98/03/44/771980344.db2.gz KTMZUOQWTSMXNL-UTUOFQBUSA-N 0 2 323.397 0.549 20 0 DCADLN CCO[C@@H]1COCC[C@H]1CC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001160879651 772131320 /nfs/dbraw/zinc/13/13/20/772131320.db2.gz QGYPGQGPSCCGID-WDEREUQCSA-N 0 2 312.370 0.391 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cc2n(n1)CC(C)(C)CO2 ZINC001188360524 772300290 /nfs/dbraw/zinc/30/02/90/772300290.db2.gz TWTIZWKHNZKMSK-UHFFFAOYSA-N 0 2 317.367 0.607 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1cccc(F)c1F)=C(C)O ZINC001189480629 772489909 /nfs/dbraw/zinc/48/99/09/772489909.db2.gz XJVPVHSFRUJZAU-LLVKDONJSA-N 0 2 321.301 0.515 20 0 DCADLN CC[C@H](C[N@H+](C)[C@@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1)OC ZINC001189664420 772516162 /nfs/dbraw/zinc/51/61/62/772516162.db2.gz NVDNTFTXJRFDFX-VXGBXAGGSA-N 0 2 310.398 0.995 20 0 DCADLN CC[C@H](C[N@@H+](C)[C@@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1)OC ZINC001189664420 772516164 /nfs/dbraw/zinc/51/61/64/772516164.db2.gz NVDNTFTXJRFDFX-VXGBXAGGSA-N 0 2 310.398 0.995 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2ncc(F)cc2F)c(=O)[nH]1 ZINC001190213809 772608679 /nfs/dbraw/zinc/60/86/79/772608679.db2.gz IQJBSSCBURHNDI-MRVPVSSYSA-N 0 2 302.262 0.036 20 0 DCADLN CN(C)C(=O)C[NH+](C)[C@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190946660 772696330 /nfs/dbraw/zinc/69/63/30/772696330.db2.gz LHUZFTGISAXRLQ-UONOGXRCSA-N 0 2 310.442 0.092 20 0 DCADLN C[NH+]1CCN(c2nccc(N3CC[C@H]([NH3+])C(F)(F)C3)n2)CC1 ZINC001165127140 772698692 /nfs/dbraw/zinc/69/86/92/772698692.db2.gz CSRLMSOTKSCODZ-NSHDSACASA-N 0 2 312.368 0.401 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(OC2CCOCC2)n1 ZINC001192339152 772906844 /nfs/dbraw/zinc/90/68/44/772906844.db2.gz RZDHDSXNPJXFFI-UHFFFAOYSA-N 0 2 319.321 0.393 20 0 DCADLN O=C(N[C@@H]1COCCC12OCCO2)c1c(F)ccc(F)c1O ZINC001192721080 772953086 /nfs/dbraw/zinc/95/30/86/772953086.db2.gz XVCIIAAEARNDPN-SNVBAGLBSA-N 0 2 315.272 0.932 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccccn2)C[C@H]1O)C(F)C(F)(F)F ZINC001193130796 773010564 /nfs/dbraw/zinc/01/05/64/773010564.db2.gz OEOZKWYIANQVJD-GMTAPVOTSA-N 0 2 321.274 0.643 20 0 DCADLN C[C@@H]1CN(Cc2nnnn2C)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207023776 773163731 /nfs/dbraw/zinc/16/37/31/773163731.db2.gz YODNVQVDHLIVNV-ZXFLCMHBSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@@H]1CN(Cc2nnnn2C)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001207023776 773163733 /nfs/dbraw/zinc/16/37/33/773163733.db2.gz YODNVQVDHLIVNV-ZXFLCMHBSA-N 0 2 324.282 0.047 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cnn(C3CCOCC3)c2)[nH]n1 ZINC001194278685 773166138 /nfs/dbraw/zinc/16/61/38/773166138.db2.gz INHPVRWVEWTTKS-UHFFFAOYSA-N 0 2 319.321 0.997 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cnn(C3CCOCC3)c2)n[nH]1 ZINC001194278685 773166140 /nfs/dbraw/zinc/16/61/40/773166140.db2.gz INHPVRWVEWTTKS-UHFFFAOYSA-N 0 2 319.321 0.997 20 0 DCADLN COCCn1nccc1NS(=O)(=O)c1cccnc1OC ZINC001194681083 773232426 /nfs/dbraw/zinc/23/24/26/773232426.db2.gz OEESLBGPYHOPPD-UHFFFAOYSA-N 0 2 312.351 0.734 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2nsnc2c1 ZINC001195931453 773504736 /nfs/dbraw/zinc/50/47/36/773504736.db2.gz PUPXVTMXNRKQPG-UHFFFAOYSA-N 0 2 301.349 0.996 20 0 DCADLN C[C@@H](CC(F)F)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001196558150 773617261 /nfs/dbraw/zinc/61/72/61/773617261.db2.gz RLMBMBCASZILIG-VIFPVBQESA-N 0 2 317.340 0.836 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ncn(Cc2ccccc2)n1 ZINC001197190367 773706273 /nfs/dbraw/zinc/70/62/73/773706273.db2.gz WEMPEIKAURGHEK-GFCCVEGCSA-N 0 2 317.330 0.365 20 0 DCADLN CS(=O)(=O)Cn1cc(-n2[nH]c(=O)c3cc(N)ccc32)cn1 ZINC001210786808 773738299 /nfs/dbraw/zinc/73/82/99/773738299.db2.gz FHCYVGXZQIYRQT-UHFFFAOYSA-N 0 2 307.335 0.512 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnn(CC(F)(F)F)c1 ZINC001197561963 773767966 /nfs/dbraw/zinc/76/79/66/773767966.db2.gz VEGSTDMEMISAIA-SSDOTTSWSA-N 0 2 308.241 0.484 20 0 DCADLN Cc1ccnc(S(=O)(=O)Nc2[nH]c(=O)nc3[nH]c(=O)[nH]c32)c1 ZINC001198025811 773845265 /nfs/dbraw/zinc/84/52/65/773845265.db2.gz RWIGHIGMCCHUMO-UHFFFAOYSA-N 0 2 322.306 0.268 20 0 DCADLN COc1ccc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)cc1F ZINC001213925208 773902287 /nfs/dbraw/zinc/90/22/87/773902287.db2.gz NOZSLUDBMLBJCK-UHFFFAOYSA-N 0 2 304.237 0.928 20 0 DCADLN COc1ccc(F)c(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c1 ZINC001213928279 773902673 /nfs/dbraw/zinc/90/26/73/773902673.db2.gz SHHFPQJWPNJCOW-UHFFFAOYSA-N 0 2 304.237 0.928 20 0 DCADLN COc1ccc(C(N)=O)cc1NS(=O)(=O)c1cncc(N)c1 ZINC001215330208 774061394 /nfs/dbraw/zinc/06/13/94/774061394.db2.gz DNAHDTBPCZSSBJ-UHFFFAOYSA-N 0 2 322.346 0.572 20 0 DCADLN O=C(NCCCn1cc[nH+]c1)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC001220541768 774840660 /nfs/dbraw/zinc/84/06/60/774840660.db2.gz XFICJGAJYHWDLY-NSHDSACASA-N 0 2 319.369 0.686 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(-c2csnn2)cc1 ZINC001137240014 775016729 /nfs/dbraw/zinc/01/67/29/775016729.db2.gz PDCPGPMIPVKZIN-UHFFFAOYSA-N 0 2 302.319 0.959 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001110984207 775377539 /nfs/dbraw/zinc/37/75/39/775377539.db2.gz AJRIDFLLRWIAGV-DCQANWLSSA-N 0 2 323.397 0.403 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCC1CCOCC1 ZINC001222640111 775403533 /nfs/dbraw/zinc/40/35/33/775403533.db2.gz UQEUIFXVSAZPHZ-CYBMUJFWSA-N 0 2 323.411 0.018 20 0 DCADLN O=C(NC[C@@]1(O)CCN(c2ncccn2)C1)C(F)C(F)(F)F ZINC001111140488 775540146 /nfs/dbraw/zinc/54/01/46/775540146.db2.gz LMZFMMGDOZULEB-KWQFWETISA-N 0 2 322.262 0.434 20 0 DCADLN O=C(NC[C@@]1(O)CCN(c2ncccn2)C1)[C@H](F)C(F)(F)F ZINC001111140488 775540154 /nfs/dbraw/zinc/54/01/54/775540154.db2.gz LMZFMMGDOZULEB-KWQFWETISA-N 0 2 322.262 0.434 20 0 DCADLN CC(C)[N@@H+]1CC[C@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c32)C1 ZINC001226888441 775890507 /nfs/dbraw/zinc/89/05/07/775890507.db2.gz QKRJTYUICDQSSI-ZETCQYMHSA-N 0 2 307.310 0.398 20 0 DCADLN CC(C)[N@@H+]1CC[C@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226888441 775890515 /nfs/dbraw/zinc/89/05/15/775890515.db2.gz QKRJTYUICDQSSI-ZETCQYMHSA-N 0 2 307.310 0.398 20 0 DCADLN CC(C)[N@H+]1CC[C@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226888441 775890521 /nfs/dbraw/zinc/89/05/21/775890521.db2.gz QKRJTYUICDQSSI-ZETCQYMHSA-N 0 2 307.310 0.398 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H](Oc2[nH]c(=O)nc3nc[nH]c32)CO1 ZINC001227069745 775922934 /nfs/dbraw/zinc/92/29/34/775922934.db2.gz IVWSNULYNQTPAN-SFYZADRCSA-N 0 2 308.294 0.548 20 0 DCADLN CO[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CCC1 ZINC001228493851 776090405 /nfs/dbraw/zinc/09/04/05/776090405.db2.gz VHRBUXWEWLGNKZ-ZDUSSCGKSA-N 0 2 323.397 0.406 20 0 DCADLN O=C1OCC[C@H]1Oc1nc(I)cc(=O)[nH]1 ZINC001228947120 776140412 /nfs/dbraw/zinc/14/04/12/776140412.db2.gz UNLRVUDDISRWJK-SCSAIBSYSA-N 0 2 322.058 0.481 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C=Cc1ccco1 ZINC001230639398 776364353 /nfs/dbraw/zinc/36/43/53/776364353.db2.gz VQBULNLXIIOYCV-DOGVGXBMSA-N 0 2 317.349 0.849 20 0 DCADLN O=C(c1ccco1)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041902674 777575884 /nfs/dbraw/zinc/57/58/84/777575884.db2.gz FQRPAJDCJKPOHP-WDEREUQCSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccco1)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041902674 777575893 /nfs/dbraw/zinc/57/58/93/777575893.db2.gz FQRPAJDCJKPOHP-WDEREUQCSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccon1)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041949491 777599482 /nfs/dbraw/zinc/59/94/82/777599482.db2.gz JCNQTPPEZIZSND-MWLCHTKSSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1ccon1)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041949491 777599487 /nfs/dbraw/zinc/59/94/87/777599487.db2.gz JCNQTPPEZIZSND-MWLCHTKSSA-N 0 2 318.337 0.235 20 0 DCADLN Cc1scc(C(=O)NC[C@](C)(NC(=O)C(N)=O)C2CC2)c1C ZINC001582656172 1166063376 /nfs/dbraw/zinc/06/33/76/1166063376.db2.gz NGSMHIBYJOLCLU-HNNXBMFYSA-N 0 2 323.418 0.865 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cc(Br)no1 ZINC001582806427 1166077474 /nfs/dbraw/zinc/07/74/74/1166077474.db2.gz CCTCHRXYDXOKDD-UHFFFAOYSA-N 0 2 324.098 0.222 20 0 DCADLN Cc1ccc(N2CCC(O)(COCCO)CC2)[nH+]c1C(=O)[O-] ZINC001600435975 1168183257 /nfs/dbraw/zinc/18/32/57/1168183257.db2.gz ZXIIXAQOUKUKAR-UHFFFAOYSA-N 0 2 310.350 0.428 20 0 DCADLN CN(CCNC(=O)[C@H]1CCCOC1)C(=O)C(F)C(F)(F)F ZINC001408536871 939173563 /nfs/dbraw/zinc/17/35/63/939173563.db2.gz GGPWDRGGSSFOJA-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@H]1CCCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001408536871 939173567 /nfs/dbraw/zinc/17/35/67/939173567.db2.gz GGPWDRGGSSFOJA-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN COC[C@H](C)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001408590615 939396898 /nfs/dbraw/zinc/39/68/98/939396898.db2.gz ZZVIZCBGVZAJHV-YUMQZZPRSA-N 0 2 302.268 0.744 20 0 DCADLN COC[C@H](C)C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001408590615 939396899 /nfs/dbraw/zinc/39/68/99/939396899.db2.gz ZZVIZCBGVZAJHV-YUMQZZPRSA-N 0 2 302.268 0.744 20 0 DCADLN CC(C)c1oncc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001480932396 939421987 /nfs/dbraw/zinc/42/19/87/939421987.db2.gz YTEVPGXSMWGUBT-UHFFFAOYSA-N 0 2 308.342 0.484 20 0 DCADLN CC(C)c1oncc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001480932396 939421988 /nfs/dbraw/zinc/42/19/88/939421988.db2.gz YTEVPGXSMWGUBT-UHFFFAOYSA-N 0 2 308.342 0.484 20 0 DCADLN Cc1cnc(C[NH2+]CCN(C)C(=O)C2=NC(=O)N(C)C2)s1 ZINC001481277051 939698276 /nfs/dbraw/zinc/69/82/76/939698276.db2.gz JZSFXFSJZRFECB-UHFFFAOYSA-N 0 2 309.395 0.752 20 0 DCADLN CCCC[C@@H](C(N)=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001481561158 939938431 /nfs/dbraw/zinc/93/84/31/939938431.db2.gz INFLXGMSLKDZOI-IUCAKERBSA-N 0 2 313.295 0.731 20 0 DCADLN CCCC[C@@H](C(N)=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001481561158 939938435 /nfs/dbraw/zinc/93/84/35/939938435.db2.gz INFLXGMSLKDZOI-IUCAKERBSA-N 0 2 313.295 0.731 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481562210 939938779 /nfs/dbraw/zinc/93/87/79/939938779.db2.gz BXYPZJPFZRUYEG-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN COCCCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C)c1[O-] ZINC001268935010 940415861 /nfs/dbraw/zinc/41/58/61/940415861.db2.gz NKVDFVWYAVSBRB-RYUDHWBXSA-N 0 2 308.382 0.749 20 0 DCADLN COCCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C)c1[O-] ZINC001268935010 940415863 /nfs/dbraw/zinc/41/58/63/940415863.db2.gz NKVDFVWYAVSBRB-RYUDHWBXSA-N 0 2 308.382 0.749 20 0 DCADLN O=C(C=Cc1ccco1)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001268996814 940587572 /nfs/dbraw/zinc/58/75/72/940587572.db2.gz WOXSQWHDBRBOCU-FSIBCCDJSA-N 0 2 303.322 0.507 20 0 DCADLN O=C(C=Cc1ccco1)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001268996814 940587575 /nfs/dbraw/zinc/58/75/75/940587575.db2.gz WOXSQWHDBRBOCU-FSIBCCDJSA-N 0 2 303.322 0.507 20 0 DCADLN CN(C(=O)C=Cc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001269750372 940770196 /nfs/dbraw/zinc/77/01/96/940770196.db2.gz BZKGYVMPDNXVAH-PLNGDYQASA-N 0 2 303.322 0.459 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)CSCC(=O)[O-] ZINC001610939023 970796410 /nfs/dbraw/zinc/79/64/10/970796410.db2.gz HLXMHLAJZQTUSY-UHFFFAOYSA-N 0 2 304.412 0.373 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)CSCC(=O)[O-] ZINC001610939023 970796420 /nfs/dbraw/zinc/79/64/20/970796420.db2.gz HLXMHLAJZQTUSY-UHFFFAOYSA-N 0 2 304.412 0.373 20 0 DCADLN O=C([C@@H](O)C(F)(F)F)N1CC[C@H]2[C@@H]1CCN2Cc1cn[nH]c1 ZINC001271533136 941398971 /nfs/dbraw/zinc/39/89/71/941398971.db2.gz FJQDDDYUCCUYKH-GARJFASQSA-N 0 2 318.299 0.508 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C)CC(F)(F)C1 ZINC001482640930 941607469 /nfs/dbraw/zinc/60/74/69/941607469.db2.gz LJBAWOBONGAZEF-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C)CC(F)(F)C1 ZINC001482640930 941607472 /nfs/dbraw/zinc/60/74/72/941607472.db2.gz LJBAWOBONGAZEF-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CC[C@@H]1CCCCO1 ZINC001414350049 941800618 /nfs/dbraw/zinc/80/06/18/941800618.db2.gz DBJOFWBCPJXREI-VIFPVBQESA-N 0 2 315.338 0.673 20 0 DCADLN COc1cc(C[N@H+](C)[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001409532618 942038628 /nfs/dbraw/zinc/03/86/28/942038628.db2.gz CWEZPKCQSVQOCJ-MRVPVSSYSA-N 0 2 323.353 0.671 20 0 DCADLN COc1cc(C[N@@H+](C)[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001409532618 942038633 /nfs/dbraw/zinc/03/86/33/942038633.db2.gz CWEZPKCQSVQOCJ-MRVPVSSYSA-N 0 2 323.353 0.671 20 0 DCADLN NS(=O)(=O)C1(CNC(=O)c2c(O)cc(F)cc2F)CC1 ZINC001412873513 942119070 /nfs/dbraw/zinc/11/90/70/942119070.db2.gz ZYDQZSZTTMGKCQ-UHFFFAOYSA-N 0 2 306.290 0.221 20 0 DCADLN COCCN1CN(Cc2nc(=O)c3sccc3[nH]2)CC1=O ZINC001412983474 942173944 /nfs/dbraw/zinc/17/39/44/942173944.db2.gz NYJOORJKVAFEIL-UHFFFAOYSA-N 0 2 308.363 0.645 20 0 DCADLN C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)CNC(=O)C[N@@H+]1CC[C@H](C)C1 ZINC001409738511 942179207 /nfs/dbraw/zinc/17/92/07/942179207.db2.gz ODESZCGUCGEZAS-QWHCGFSZSA-N 0 2 321.425 0.163 20 0 DCADLN CCc1ncncc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483422435 942350509 /nfs/dbraw/zinc/35/05/09/942350509.db2.gz UXRKBRKOXCZDAB-VIFPVBQESA-N 0 2 319.369 0.113 20 0 DCADLN CCc1ncncc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483422435 942350515 /nfs/dbraw/zinc/35/05/15/942350515.db2.gz UXRKBRKOXCZDAB-VIFPVBQESA-N 0 2 319.369 0.113 20 0 DCADLN COC1([C@H](C)NC(=O)C[C@@H]2SC(=N)NC2=O)CCOCC1 ZINC001413129010 942515693 /nfs/dbraw/zinc/51/56/93/942515693.db2.gz CQLJPFSXRUDKFM-IUCAKERBSA-N 0 2 315.395 0.243 20 0 DCADLN C[C@H](NC(=O)COC1CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409840316 942581774 /nfs/dbraw/zinc/58/17/74/942581774.db2.gz JEYHAPNRIYRHMS-JTQLQIEISA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)=C1CCCC1 ZINC001483644134 942617686 /nfs/dbraw/zinc/61/76/86/942617686.db2.gz LKFVFFMQZXHIID-SNVBAGLBSA-N 0 2 309.345 0.998 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409904482 942919408 /nfs/dbraw/zinc/91/94/08/942919408.db2.gz FOFVGJNYZJSJLI-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)Cn2cc[nH+]c2C)C[C@@H]1C ZINC001484986910 943645022 /nfs/dbraw/zinc/64/50/22/943645022.db2.gz VUDCMSXQEOJBOG-JSGCOSHPSA-N 0 2 321.425 0.297 20 0 DCADLN O=C(N[C@@H](CO)C(F)(F)F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC001413749178 943661143 /nfs/dbraw/zinc/66/11/43/943661143.db2.gz LLQORXBXXDMOAO-LURJTMIESA-N 0 2 318.211 0.089 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491352242 943934423 /nfs/dbraw/zinc/93/44/23/943934423.db2.gz CHNMOYRHCJDMDN-VGMNWLOBSA-N 0 2 314.279 0.934 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491352242 943934425 /nfs/dbraw/zinc/93/44/25/943934425.db2.gz CHNMOYRHCJDMDN-VGMNWLOBSA-N 0 2 314.279 0.934 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C(C)C ZINC001491353263 943935711 /nfs/dbraw/zinc/93/57/11/943935711.db2.gz NVDUJAHMBXNJKT-YUMQZZPRSA-N 0 2 302.268 0.790 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C(C)C ZINC001491353263 943935715 /nfs/dbraw/zinc/93/57/15/943935715.db2.gz NVDUJAHMBXNJKT-YUMQZZPRSA-N 0 2 302.268 0.790 20 0 DCADLN CC1(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)CCC1 ZINC001486512343 944949287 /nfs/dbraw/zinc/94/92/87/944949287.db2.gz AOLIOWHJSKKZOE-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN CC1(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)CCC1 ZINC001486512343 944949291 /nfs/dbraw/zinc/94/92/91/944949291.db2.gz AOLIOWHJSKKZOE-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1csc(-c2ncccc2F)n1 ZINC001319799226 945668400 /nfs/dbraw/zinc/66/84/00/945668400.db2.gz WMGPDQXGAMCNFA-UHFFFAOYSA-N 0 2 321.293 0.541 20 0 DCADLN Cc1nnc(C[NH2+]CC[C@H](C)NC(=O)CCc2nnc[nH]2)o1 ZINC001320390326 945853744 /nfs/dbraw/zinc/85/37/44/945853744.db2.gz VFPPENHJKNDXGS-VIFPVBQESA-N 0 2 307.358 0.113 20 0 DCADLN Cc1cnc(Cl)c(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001320633437 945958743 /nfs/dbraw/zinc/95/87/43/945958743.db2.gz RJSUOVQVPQAQLI-UHFFFAOYSA-N 0 2 310.701 0.122 20 0 DCADLN NC(=O)[C@@H]1COCCC12CN(C(=O)C=C(O)c1ccccc1)C2 ZINC001273307007 946459528 /nfs/dbraw/zinc/45/95/28/946459528.db2.gz PORYNSNPVBNOTN-ZDUSSCGKSA-N 0 2 316.357 0.610 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccnnc1)C(F)C(F)(F)F ZINC001410573929 946581691 /nfs/dbraw/zinc/58/16/91/946581691.db2.gz NAAJTAJHUICRHT-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccnnc1)[C@@H](F)C(F)(F)F ZINC001410573929 946581697 /nfs/dbraw/zinc/58/16/97/946581697.db2.gz NAAJTAJHUICRHT-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001410581536 946602337 /nfs/dbraw/zinc/60/23/37/946602337.db2.gz WKQWNPGZOZBRGD-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001410581536 946602343 /nfs/dbraw/zinc/60/23/43/946602343.db2.gz WKQWNPGZOZBRGD-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cc(C(N)=O)ccc1Cl ZINC001259024600 946896527 /nfs/dbraw/zinc/89/65/27/946896527.db2.gz BJOVPSXPPAAHCM-UHFFFAOYSA-N 0 2 306.727 0.354 20 0 DCADLN CCN1Cc2cc(NS(=O)(=O)CC(=O)OC)ccc2C1=O ZINC001259024896 946896857 /nfs/dbraw/zinc/89/68/57/946896857.db2.gz KMULJZHFUKSMJL-UHFFFAOYSA-N 0 2 312.347 0.577 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cc(C)nn2CCOC)cn1 ZINC001259833023 946995000 /nfs/dbraw/zinc/99/50/00/946995000.db2.gz GAYAYKVQRHHVKO-UHFFFAOYSA-N 0 2 313.383 0.855 20 0 DCADLN CCn1cnc2c1ncnc2NS(=O)(=O)C1CCOCC1 ZINC001259908593 947014694 /nfs/dbraw/zinc/01/46/94/947014694.db2.gz WFLZENYOMIHKRK-UHFFFAOYSA-N 0 2 311.367 0.767 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC001323299357 947022484 /nfs/dbraw/zinc/02/24/84/947022484.db2.gz DLHQZPJNOWVPPD-GFCCVEGCSA-N 0 2 306.366 0.480 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001410891686 947091914 /nfs/dbraw/zinc/09/19/14/947091914.db2.gz JJVWVWCTZAFILB-XVKPBYJWSA-N 0 2 314.279 0.981 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001410891686 947091925 /nfs/dbraw/zinc/09/19/25/947091925.db2.gz JJVWVWCTZAFILB-XVKPBYJWSA-N 0 2 314.279 0.981 20 0 DCADLN O=c1[nH]c2ccc(S(=O)(=O)Nc3ccncn3)cc2c(=O)[nH]1 ZINC001260610075 947129690 /nfs/dbraw/zinc/12/96/90/947129690.db2.gz BNWVPDCYIYAHSI-UHFFFAOYSA-N 0 2 319.302 0.632 20 0 DCADLN O=S(=O)(Nc1ccc2c(c1)S(=O)(=O)C=C2)c1cn[nH]c1 ZINC001260960820 947160050 /nfs/dbraw/zinc/16/00/50/947160050.db2.gz PNHPFQVPKZJIAF-UHFFFAOYSA-N 0 2 311.344 0.969 20 0 DCADLN CCOC(=O)C(C)(C)O[N-]C(=O)C1([NH+]2CCOCC2)CCC1 ZINC001324563081 947459186 /nfs/dbraw/zinc/45/91/86/947459186.db2.gz RCEORIXJMGNHDK-UHFFFAOYSA-N 0 2 314.382 0.631 20 0 DCADLN C/C(=C/C(=O)N1CCC[C@H](C)[C@@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001602734905 971413732 /nfs/dbraw/zinc/41/37/32/971413732.db2.gz BHXFNRNZGGSUHW-JQQVXKHSSA-N 0 2 310.394 0.977 20 0 DCADLN O=C(NCCNc1ncnc2nc[nH]c21)C(F)C(F)(F)F ZINC001094182494 947796069 /nfs/dbraw/zinc/79/60/69/947796069.db2.gz DVJDFHWPCKWKSE-LURJTMIESA-N 0 2 306.223 0.733 20 0 DCADLN O=C(NCCNc1ncnc2nc[nH]c21)[C@H](F)C(F)(F)F ZINC001094182494 947796077 /nfs/dbraw/zinc/79/60/77/947796077.db2.gz DVJDFHWPCKWKSE-LURJTMIESA-N 0 2 306.223 0.733 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC001326174340 948082747 /nfs/dbraw/zinc/08/27/47/948082747.db2.gz XXFHAAXMUIYQPS-GXFFZTMASA-N 0 2 302.396 0.031 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)C1(C(N)=O)CC1 ZINC001327259738 948439956 /nfs/dbraw/zinc/43/99/56/948439956.db2.gz ARPOASPYHXCNQJ-UHFFFAOYSA-N 0 2 306.347 0.621 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1(C)CC1)C(=O)C(F)C(F)(F)F ZINC001488369505 948741619 /nfs/dbraw/zinc/74/16/19/948741619.db2.gz XFHCTQLSFVGHOZ-HTQZYQBOSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1(C)CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001488369505 948741628 /nfs/dbraw/zinc/74/16/28/948741628.db2.gz XFHCTQLSFVGHOZ-HTQZYQBOSA-N 0 2 314.279 0.622 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCCCN(CC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001602788636 971538660 /nfs/dbraw/zinc/53/86/60/971538660.db2.gz HJFWWHGSZWZAJC-WDEREUQCSA-N 0 2 308.338 0.026 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000378704915 971573010 /nfs/dbraw/zinc/57/30/10/971573010.db2.gz MQMSZHPVQYOPCG-ZDUSSCGKSA-N 0 2 316.317 0.794 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000378704915 971573016 /nfs/dbraw/zinc/57/30/16/971573016.db2.gz MQMSZHPVQYOPCG-ZDUSSCGKSA-N 0 2 316.317 0.794 20 0 DCADLN CCC[N@H+]([C@@H](CC)C(=O)OCC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001589659946 949856350 /nfs/dbraw/zinc/85/63/50/949856350.db2.gz WBVSCEQTVGLTPH-NWDGAFQWSA-N 0 2 314.382 0.726 20 0 DCADLN CCC[N@@H+]([C@@H](CC)C(=O)OCC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001589659946 949856368 /nfs/dbraw/zinc/85/63/68/949856368.db2.gz WBVSCEQTVGLTPH-NWDGAFQWSA-N 0 2 314.382 0.726 20 0 DCADLN CC[C@H](NS(=O)(=O)c1cc(C(=O)OC)[nH]n1)C(F)(F)F ZINC001364824408 950017277 /nfs/dbraw/zinc/01/72/77/950017277.db2.gz RFWFMLWIWGFLTI-LURJTMIESA-N 0 2 315.273 0.816 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2C[C@H]2c2ccco2)[nH]1 ZINC001364996716 950317949 /nfs/dbraw/zinc/31/79/49/950317949.db2.gz BFGGOAIJTWHLGN-RKDXNWHRSA-N 0 2 324.362 0.362 20 0 DCADLN CC[N@H+](CC(=O)NCC(=O)[O-])Cc1ccc(OC)cc1OC ZINC001589719098 950397682 /nfs/dbraw/zinc/39/76/82/950397682.db2.gz SPZZXYUQTHDWIU-UHFFFAOYSA-N 0 2 310.350 0.727 20 0 DCADLN CC[N@@H+](CC(=O)NCC(=O)[O-])Cc1ccc(OC)cc1OC ZINC001589719098 950397701 /nfs/dbraw/zinc/39/77/01/950397701.db2.gz SPZZXYUQTHDWIU-UHFFFAOYSA-N 0 2 310.350 0.727 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC001365110514 950537193 /nfs/dbraw/zinc/53/71/93/950537193.db2.gz ZXXROMYDLVOTFC-UWVGGRQHSA-N 0 2 319.369 0.894 20 0 DCADLN Cc1nn(C)c(C)c1OCC(=O)N[C@H](C)c1nn(C)cc1O ZINC001365119214 950552333 /nfs/dbraw/zinc/55/23/33/950552333.db2.gz ITZAJKZMKOGHIK-MRVPVSSYSA-N 0 2 307.354 0.732 20 0 DCADLN CC1=NO[C@@H](CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001365233381 950782212 /nfs/dbraw/zinc/78/22/12/950782212.db2.gz QHBDSCGIBYKGTG-NXEZZACHSA-N 0 2 308.342 0.564 20 0 DCADLN CC[C@H](NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001365416346 951101309 /nfs/dbraw/zinc/10/13/09/951101309.db2.gz GCTDBBLIXZLWOO-VIFPVBQESA-N 0 2 315.399 0.337 20 0 DCADLN Cn1c(Cl)cnc1CNCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365487126 951180046 /nfs/dbraw/zinc/18/00/46/951180046.db2.gz NNXMLLHCULOZME-UHFFFAOYSA-N 0 2 318.790 0.026 20 0 DCADLN CC(=O)NC[C@H]1c2ccccc2CCN1Cc1n[nH]c(=O)[nH]1 ZINC001365614452 951325429 /nfs/dbraw/zinc/32/54/29/951325429.db2.gz MFDUCVVPHDRNAW-ZDUSSCGKSA-N 0 2 301.350 0.746 20 0 DCADLN COc1ncncc1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365769162 951517996 /nfs/dbraw/zinc/51/79/96/951517996.db2.gz UEBRCYSOAFUNJE-QMMMGPOBSA-N 0 2 319.325 0.720 20 0 DCADLN Cc1nc([C@H]2CCOC2)sc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001411880857 952501332 /nfs/dbraw/zinc/50/13/32/952501332.db2.gz GGUIQYWBPSHTHQ-ZETCQYMHSA-N 0 2 309.351 0.709 20 0 DCADLN Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001411887651 952516265 /nfs/dbraw/zinc/51/62/65/952516265.db2.gz NVTZFIUWGAGZPH-VIFPVBQESA-N 0 2 318.337 0.111 20 0 DCADLN Cc1cc(C)c(C(=O)NCCC(=O)[N-]S(C)(=O)=O)c(C)[nH+]1 ZINC001412028676 952608664 /nfs/dbraw/zinc/60/86/64/952608664.db2.gz NQIVVKVMFCOQTP-UHFFFAOYSA-N 0 2 313.379 0.203 20 0 DCADLN COCc1nnc(CNC(=O)[C@@H](C)OCc2ccncc2)[nH]1 ZINC001412323936 952748357 /nfs/dbraw/zinc/74/83/57/952748357.db2.gz AHOLISSDEIRLDG-SNVBAGLBSA-N 0 2 305.338 0.568 20 0 DCADLN COc1cccc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)n1 ZINC001412325135 952748425 /nfs/dbraw/zinc/74/84/25/952748425.db2.gz APUMDOIBIPAJPP-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN C[N@H+](Cc1ccc(C(=O)[O-])o1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000383295265 971862289 /nfs/dbraw/zinc/86/22/89/971862289.db2.gz OJVYPMAOFMAYQP-SECBINFHSA-N 0 2 302.352 0.444 20 0 DCADLN C[N@@H+](Cc1ccc(C(=O)[O-])o1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000383295265 971862294 /nfs/dbraw/zinc/86/22/94/971862294.db2.gz OJVYPMAOFMAYQP-SECBINFHSA-N 0 2 302.352 0.444 20 0 DCADLN CC(C)C[C@@H](C(=O)NCc1nc(O)cc(=O)[nH]1)n1ccnc1 ZINC001412375054 952768574 /nfs/dbraw/zinc/76/85/74/952768574.db2.gz LCWNNHMCALGWHU-JTQLQIEISA-N 0 2 305.338 0.988 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccoc1C1(O)CCOCC1 ZINC001412633174 952954013 /nfs/dbraw/zinc/95/40/13/952954013.db2.gz GJDZYAHJWMWLGT-UHFFFAOYSA-N 0 2 308.294 0.031 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)C1CCCCC1)c1nn(C)cc1O ZINC001412751040 953055002 /nfs/dbraw/zinc/05/50/02/953055002.db2.gz BJGJUQNVBDOUMO-SNVBAGLBSA-N 0 2 308.382 0.999 20 0 DCADLN C[C@H](NC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)c1nn(C)cc1O ZINC001412757433 953073990 /nfs/dbraw/zinc/07/39/90/953073990.db2.gz QVRXVEYYFAUZCE-IUCAKERBSA-N 0 2 318.337 0.079 20 0 DCADLN C[C@@H](NC(=O)CCC(=O)c1cnn(C)c1)c1nn(C)cc1O ZINC001412760960 953077491 /nfs/dbraw/zinc/07/74/91/953077491.db2.gz FSWAXBXEFYIJNA-SECBINFHSA-N 0 2 305.338 0.700 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCc2ncncc2C1)c1nn(C)cc1O ZINC001412762905 953078825 /nfs/dbraw/zinc/07/88/25/953078825.db2.gz HVFMWLOKKSXNQS-NXEZZACHSA-N 0 2 301.350 0.898 20 0 DCADLN C/C(=C\C(=O)N[C@@](C)(CCF)C(=O)[O-])C[NH+]1CCOCC1 ZINC001339540358 953101235 /nfs/dbraw/zinc/10/12/35/953101235.db2.gz TZJUIUQGSZJILS-MARXPDLDSA-N 0 2 302.346 0.584 20 0 DCADLN CCO[C@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001365939526 953436540 /nfs/dbraw/zinc/43/65/40/953436540.db2.gz RXRDOJRVZBUDJT-YPMHNXCESA-N 0 2 323.397 0.406 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H]([C@H](F)C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001344531511 953493354 /nfs/dbraw/zinc/49/33/54/953493354.db2.gz FCDNQXMQEHXRSR-SNQUMHBPSA-N 0 2 314.357 0.536 20 0 DCADLN C=C/C(C)=C\CC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001282735099 953662086 /nfs/dbraw/zinc/66/20/86/953662086.db2.gz VDTOBFVRHBZPND-VQNWOSHQSA-N 0 2 305.382 0.969 20 0 DCADLN O=C(NCCNC(=O)[C@@]1(F)CCOC1)C(F)C(F)(F)F ZINC001283056706 953963069 /nfs/dbraw/zinc/96/30/69/953963069.db2.gz FDUPYHFHJITJBG-IMTBSYHQSA-N 0 2 304.215 0.248 20 0 DCADLN O=C(NCCNC(=O)[C@@]1(F)CCOC1)[C@H](F)C(F)(F)F ZINC001283056706 953963078 /nfs/dbraw/zinc/96/30/78/953963078.db2.gz FDUPYHFHJITJBG-IMTBSYHQSA-N 0 2 304.215 0.248 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC001593731032 954317082 /nfs/dbraw/zinc/31/70/82/954317082.db2.gz CJSFBSLHMWQYMR-UHFFFAOYSA-N 0 2 319.361 0.052 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC001593744563 954375794 /nfs/dbraw/zinc/37/57/94/954375794.db2.gz VRSPFDDNPYUORY-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN CCCN(CCNC(=O)c1cnn[nH]1)Cc1nc(CC)no1 ZINC001366722324 954712388 /nfs/dbraw/zinc/71/23/88/954712388.db2.gz PRLHCCFRRSNMLB-UHFFFAOYSA-N 0 2 307.358 0.392 20 0 DCADLN CN(CCC(=O)[O-])S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC001593787968 954732774 /nfs/dbraw/zinc/73/27/74/954732774.db2.gz XQEUEIRFWDNYHB-UHFFFAOYSA-N 0 2 312.391 0.770 20 0 DCADLN CN(CCC(=O)[O-])S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC001593787968 954732786 /nfs/dbraw/zinc/73/27/86/954732786.db2.gz XQEUEIRFWDNYHB-UHFFFAOYSA-N 0 2 312.391 0.770 20 0 DCADLN CO[C@H](C)CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001366854137 954939411 /nfs/dbraw/zinc/93/94/11/954939411.db2.gz XQWHRCKOGCBEDP-MXWKQRLJSA-N 0 2 309.370 0.111 20 0 DCADLN CN(CCCNC(=O)c1ccnn1C)C(=O)C(F)C(F)(F)F ZINC001351310405 955283140 /nfs/dbraw/zinc/28/31/40/955283140.db2.gz YQHFOJBMMNDISD-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)c1ccnn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001351310405 955283145 /nfs/dbraw/zinc/28/31/45/955283145.db2.gz YQHFOJBMMNDISD-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN COC[C@H](C)C(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001351787516 955412629 /nfs/dbraw/zinc/41/26/29/955412629.db2.gz NHTNIUGMSRVLLS-NSHDSACASA-N 0 2 310.398 0.508 20 0 DCADLN CCN(C(=O)C(=O)N1CCC(N2CC[NH+](C)CC2)CC1)C1CC1 ZINC001351817434 955423570 /nfs/dbraw/zinc/42/35/70/955423570.db2.gz SDCMFCRQGQRSEU-UHFFFAOYSA-N 0 2 322.453 0.236 20 0 DCADLN C[C@@H](CN(C)C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC001589021814 955477792 /nfs/dbraw/zinc/47/77/92/955477792.db2.gz FZFXAPNEPBIYRB-VIFPVBQESA-N 0 2 304.306 0.452 20 0 DCADLN Cn1nccc1[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C(=O)[O-] ZINC001594588168 956018414 /nfs/dbraw/zinc/01/84/14/956018414.db2.gz RTYHNGNEQURISD-BXKDBHETSA-N 0 2 303.322 0.121 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2cnc(N(C)C)s2)CCO1 ZINC001593984306 956746352 /nfs/dbraw/zinc/74/63/52/956746352.db2.gz PJPOQARSRCEYKX-CYBMUJFWSA-N 0 2 315.395 0.511 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2cnc(N(C)C)s2)CCO1 ZINC001593984306 956746365 /nfs/dbraw/zinc/74/63/65/956746365.db2.gz PJPOQARSRCEYKX-CYBMUJFWSA-N 0 2 315.395 0.511 20 0 DCADLN C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001289598843 956764912 /nfs/dbraw/zinc/76/49/12/956764912.db2.gz KHWAYDBTPSVWGY-GXKQQMQTSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC001289598843 956764925 /nfs/dbraw/zinc/76/49/25/956764925.db2.gz KHWAYDBTPSVWGY-GXKQQMQTSA-N 0 2 312.263 0.541 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001594719225 956954301 /nfs/dbraw/zinc/95/43/01/956954301.db2.gz OVPPFOSPYIJCAY-OCCSQVGLSA-N 0 2 314.345 0.653 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001292522762 957000472 /nfs/dbraw/zinc/00/04/72/957000472.db2.gz YYYSZEHXOGPWCQ-QMMMGPOBSA-N 0 2 310.251 0.719 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)[nH]n1 ZINC001292522762 957000486 /nfs/dbraw/zinc/00/04/86/957000486.db2.gz YYYSZEHXOGPWCQ-QMMMGPOBSA-N 0 2 310.251 0.719 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)NCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001292643738 957085953 /nfs/dbraw/zinc/08/59/53/957085953.db2.gz KVKAISWFVITNAN-UHFFFAOYSA-N 0 2 311.386 0.000 20 0 DCADLN CC[N@@H+](C)C(C)(C)C(=O)NCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001292643738 957085969 /nfs/dbraw/zinc/08/59/69/957085969.db2.gz KVKAISWFVITNAN-UHFFFAOYSA-N 0 2 311.386 0.000 20 0 DCADLN Cn1nccc1C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001357648006 957345343 /nfs/dbraw/zinc/34/53/43/957345343.db2.gz SISWJNQJRGTJAF-GKQMSVHHSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1nccc1C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001357648006 957345354 /nfs/dbraw/zinc/34/53/54/957345354.db2.gz SISWJNQJRGTJAF-GKQMSVHHSA-N 0 2 322.262 0.723 20 0 DCADLN CN(CCCNC(=O)c1cncnc1)C(=O)C(F)C(F)(F)F ZINC001294037045 957468656 /nfs/dbraw/zinc/46/86/56/957468656.db2.gz IRCRWRWJOBFZOD-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1cncnc1)C(=O)[C@H](F)C(F)(F)F ZINC001294037045 957468660 /nfs/dbraw/zinc/46/86/60/957468660.db2.gz IRCRWRWJOBFZOD-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN C[C@@H](CNC(=O)C(C)(C)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001358518126 957473480 /nfs/dbraw/zinc/47/34/80/957473480.db2.gz WWQJZYUPZARTHX-NTSWFWBYSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@@H](CNC(=O)C(C)(C)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001358518126 957473485 /nfs/dbraw/zinc/47/34/85/957473485.db2.gz WWQJZYUPZARTHX-NTSWFWBYSA-N 0 2 315.267 0.019 20 0 DCADLN Cn1cnc2ccc(C(=O)NN3C(=O)c4ccccc4C3=O)nc21 ZINC001296098974 957899372 /nfs/dbraw/zinc/89/93/72/957899372.db2.gz NSXZTPWZEKEMLX-UHFFFAOYSA-N 0 2 321.296 0.909 20 0 DCADLN Cc1cc(C[N@@H+](C)CCN(C)C(=O)c2n[nH]c(C)c2[O-])on1 ZINC001367509845 957925992 /nfs/dbraw/zinc/92/59/92/957925992.db2.gz XQNFIGWHXVXMEM-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1cc(C[N@H+](C)CCN(C)C(=O)c2n[nH]c(C)c2[O-])on1 ZINC001367509845 957926008 /nfs/dbraw/zinc/92/60/08/957926008.db2.gz XQNFIGWHXVXMEM-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1cc(Cn2nnnc2N2CC[C@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001594309309 957998935 /nfs/dbraw/zinc/99/89/35/957998935.db2.gz UODBGSVZELJDRX-LBPRGKRZSA-N 0 2 302.338 0.644 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001361752458 958288670 /nfs/dbraw/zinc/28/86/70/958288670.db2.gz LQJSAWJOOSQVGC-BQBZGAKWSA-N 0 2 306.281 0.285 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001361752458 958288676 /nfs/dbraw/zinc/28/86/76/958288676.db2.gz LQJSAWJOOSQVGC-BQBZGAKWSA-N 0 2 306.281 0.285 20 0 DCADLN CO[C@H](C)C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001298292178 958518933 /nfs/dbraw/zinc/51/89/33/958518933.db2.gz YVTFGFJWOLGFKV-WLFSLNMXSA-N 0 2 300.252 0.710 20 0 DCADLN CO[C@H](C)C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001298292178 958518945 /nfs/dbraw/zinc/51/89/45/958518945.db2.gz YVTFGFJWOLGFKV-WLFSLNMXSA-N 0 2 300.252 0.710 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@H+](C)CCc2ccnn2C)c1[O-] ZINC001367797750 958603548 /nfs/dbraw/zinc/60/35/48/958603548.db2.gz UUAISVPYVBWCER-JTQLQIEISA-N 0 2 320.397 0.450 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@@H+](C)CCc2ccnn2C)c1[O-] ZINC001367797750 958603556 /nfs/dbraw/zinc/60/35/56/958603556.db2.gz UUAISVPYVBWCER-JTQLQIEISA-N 0 2 320.397 0.450 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001362024901 958620611 /nfs/dbraw/zinc/62/06/11/958620611.db2.gz RKOSZWNETUGSBA-UHFFFAOYSA-N 0 2 324.362 0.642 20 0 DCADLN Cc1n[nH]c(NC(=O)C2(CC(=O)N3CCOCC3)CCCC2)n1 ZINC001362033698 958632710 /nfs/dbraw/zinc/63/27/10/958632710.db2.gz WAEWPFUHNYERQK-UHFFFAOYSA-N 0 2 321.381 0.861 20 0 DCADLN CC(=O)N[C@H]1CCCN(C(=O)C[N@@H+]([C@H](C)C(=O)[O-])C2CC2)C1 ZINC001588501610 958705547 /nfs/dbraw/zinc/70/55/47/958705547.db2.gz DHEOOVOYOWYPEC-PWSUYJOCSA-N 0 2 311.382 0.051 20 0 DCADLN CC(=O)N[C@H]1CCCN(C(=O)C[N@H+]([C@H](C)C(=O)[O-])C2CC2)C1 ZINC001588501610 958705564 /nfs/dbraw/zinc/70/55/64/958705564.db2.gz DHEOOVOYOWYPEC-PWSUYJOCSA-N 0 2 311.382 0.051 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC2(c3ccccn3)CC2)S1 ZINC001362226129 958959137 /nfs/dbraw/zinc/95/91/37/958959137.db2.gz XTSWJWUPSHUWHL-VIFPVBQESA-N 0 2 304.375 0.786 20 0 DCADLN O=C(N[C@H]1COC2(CN(CCF)C2)C1)C(F)C(F)(F)F ZINC001377084073 959444062 /nfs/dbraw/zinc/44/40/62/959444062.db2.gz UYALHAKPZWOOET-HTQZYQBOSA-N 0 2 302.243 0.816 20 0 DCADLN O=C(N[C@H]1COC2(CN(CCF)C2)C1)[C@@H](F)C(F)(F)F ZINC001377084073 959444073 /nfs/dbraw/zinc/44/40/73/959444073.db2.gz UYALHAKPZWOOET-HTQZYQBOSA-N 0 2 302.243 0.816 20 0 DCADLN CCc1nnc(C)cc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377177859 959630065 /nfs/dbraw/zinc/63/00/65/959630065.db2.gz APTFWNUXCRCBDJ-UHFFFAOYSA-N 0 2 319.369 0.033 20 0 DCADLN CCc1nnc(C)cc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377177859 959630074 /nfs/dbraw/zinc/63/00/74/959630074.db2.gz APTFWNUXCRCBDJ-UHFFFAOYSA-N 0 2 319.369 0.033 20 0 DCADLN COc1n[nH]c(NC(=O)Cc2n[nH]c(C3CCOCC3)n2)n1 ZINC001362597259 959668884 /nfs/dbraw/zinc/66/88/84/959668884.db2.gz JPZOJNHXDJIFJF-UHFFFAOYSA-N 0 2 307.314 0.007 20 0 DCADLN CC(=O)[C@@H](Cc1ccccc1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001362618290 959709445 /nfs/dbraw/zinc/70/94/45/959709445.db2.gz RQASWGQOMNCZSA-VXGBXAGGSA-N 0 2 319.386 0.859 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@H]1c1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001377223505 959728918 /nfs/dbraw/zinc/72/89/18/959728918.db2.gz JPMMZXXFKBMDNU-NWDGAFQWSA-N 0 2 316.365 0.257 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1c1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001377223505 959728924 /nfs/dbraw/zinc/72/89/24/959728924.db2.gz JPMMZXXFKBMDNU-NWDGAFQWSA-N 0 2 316.365 0.257 20 0 DCADLN C[N@H+](CCNC(=O)c1cncnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377256369 959807058 /nfs/dbraw/zinc/80/70/58/959807058.db2.gz PVUGQSKLJWSMMQ-UHFFFAOYSA-N 0 2 317.353 0.040 20 0 DCADLN C[N@@H+](CCNC(=O)c1cncnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377256369 959807069 /nfs/dbraw/zinc/80/70/69/959807069.db2.gz PVUGQSKLJWSMMQ-UHFFFAOYSA-N 0 2 317.353 0.040 20 0 DCADLN COC(=O)c1cc(F)cc(C(=O)NCC2=NC(=O)CC(=O)N2)c1 ZINC001362722775 959890517 /nfs/dbraw/zinc/89/05/17/959890517.db2.gz KFZBRIAHFUASPF-UHFFFAOYSA-N 0 2 321.264 0.744 20 0 DCADLN COC(=O)c1cc(F)cc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001362722775 959890531 /nfs/dbraw/zinc/89/05/31/959890531.db2.gz KFZBRIAHFUASPF-UHFFFAOYSA-N 0 2 321.264 0.744 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N(CC2CC2)[C@H](C(N)=O)C2CC2)S1 ZINC001362787880 959986529 /nfs/dbraw/zinc/98/65/29/959986529.db2.gz FEBHHUUCVXHRNR-ONGXEEELSA-N 0 2 324.406 0.045 20 0 DCADLN CCCCCS(=O)(=O)CC(=O)N[C@H](C)c1nn(C)cc1O ZINC001304545304 960091433 /nfs/dbraw/zinc/09/14/33/960091433.db2.gz QSNULZKUVZBUBH-SNVBAGLBSA-N 0 2 317.411 0.908 20 0 DCADLN C[NH+](CC(=O)NC[C@@H]1CC[N@@H+](CC(N)=O)C1)Cc1ccccc1 ZINC001377475586 960158930 /nfs/dbraw/zinc/15/89/30/960158930.db2.gz ISSLHJBPLSBCHJ-HNNXBMFYSA-N 0 2 318.421 0.042 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@H](C)C1 ZINC001368691550 960163827 /nfs/dbraw/zinc/16/38/27/960163827.db2.gz HMOLSCXZFHAPCQ-PWSUYJOCSA-N 0 2 323.397 0.739 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC[C@H]2CCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368764619 960240666 /nfs/dbraw/zinc/24/06/66/960240666.db2.gz LNJKUSWOTMDQPG-WOPDTQHZSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC[C@H]2CCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368764619 960240679 /nfs/dbraw/zinc/24/06/79/960240679.db2.gz LNJKUSWOTMDQPG-WOPDTQHZSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1CCCC[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362984889 960258398 /nfs/dbraw/zinc/25/83/98/960258398.db2.gz JJYXEQQKANHUQR-UWVGGRQHSA-N 0 2 314.411 0.792 20 0 DCADLN Cn1ccnc1[C@H]1N(C(=O)C[C@H]2SC(=N)NC2=O)CC1(C)C ZINC001363008921 960293142 /nfs/dbraw/zinc/29/31/42/960293142.db2.gz VHKCUHKFRXWGPK-PSASIEDQSA-N 0 2 321.406 0.886 20 0 DCADLN O=C(NCCc1nc[nH]n1)c1nc[nH]c(=O)c1Br ZINC001363050715 960347075 /nfs/dbraw/zinc/34/70/75/960347075.db2.gz ZQIQQAJSOQKKNI-UHFFFAOYSA-N 0 2 313.115 0.035 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1Cc2ccc(O)cc2C1 ZINC001363097520 960395465 /nfs/dbraw/zinc/39/54/65/960395465.db2.gz PQFVWYFLDHILMN-UHFFFAOYSA-N 0 2 311.301 0.319 20 0 DCADLN CCCN(C(=O)C[N@H+]1CC[C@@H](C)C1)[C@H]1CC[NH+](CC(N)=O)C1 ZINC001377656801 960399438 /nfs/dbraw/zinc/39/94/38/960399438.db2.gz PKYGDRYRVBOREX-KGLIPLIRSA-N 0 2 310.442 0.126 20 0 DCADLN Cc1ccnc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001377684449 960433738 /nfs/dbraw/zinc/43/37/38/960433738.db2.gz DJVLBGQUUUAPLR-YUMQZZPRSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccnc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)n1 ZINC001377684449 960433753 /nfs/dbraw/zinc/43/37/53/960433753.db2.gz DJVLBGQUUUAPLR-YUMQZZPRSA-N 0 2 322.262 0.920 20 0 DCADLN NC(=O)NCCC(=O)Nc1nccc(Br)c1O ZINC001363170353 960528117 /nfs/dbraw/zinc/52/81/17/960528117.db2.gz GBFHGAGVTLUJEY-UHFFFAOYSA-N 0 2 303.116 0.547 20 0 DCADLN C[C@@H]1CCCCN1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363172837 960531343 /nfs/dbraw/zinc/53/13/43/960531343.db2.gz ZQRRHZPEWJECCU-SNVBAGLBSA-N 0 2 317.349 0.785 20 0 DCADLN CC1(C)C[C@H](O)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001363232860 960658933 /nfs/dbraw/zinc/65/89/33/960658933.db2.gz LFWBGDAJHNODHG-SNVBAGLBSA-N 0 2 319.365 0.081 20 0 DCADLN C[C@](O)(CC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001377810179 960705643 /nfs/dbraw/zinc/70/56/43/960705643.db2.gz ZWBOOXUXZNOYHM-ABAIWWIYSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1n[nH]c(C(=O)NCc2nc3c(c(=O)[nH]2)COCC3)c1C ZINC001363291752 960805455 /nfs/dbraw/zinc/80/54/55/960805455.db2.gz DPPONIWZOUWGEL-UHFFFAOYSA-N 0 2 303.322 0.525 20 0 DCADLN O=C([O-])c1ccnc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)c1 ZINC001571074346 960934037 /nfs/dbraw/zinc/93/40/37/960934037.db2.gz HIZPYUMVVSCKPE-LBPRGKRZSA-N 0 2 301.306 0.290 20 0 DCADLN Cc1nn(C(C)C)cc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571087742 961088858 /nfs/dbraw/zinc/08/88/58/961088858.db2.gz LVCFXSVNASHFCF-GFCCVEGCSA-N 0 2 305.338 0.921 20 0 DCADLN Cc1nn(C(C)C)cc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571087742 961088878 /nfs/dbraw/zinc/08/88/78/961088878.db2.gz LVCFXSVNASHFCF-GFCCVEGCSA-N 0 2 305.338 0.921 20 0 DCADLN COCC1(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCCC1 ZINC001377977837 961107178 /nfs/dbraw/zinc/10/71/78/961107178.db2.gz SMQVBTVPVNTMBM-UHFFFAOYSA-N 0 2 323.397 0.265 20 0 DCADLN Cc1nc(C(C)(C)C)[nH]c(=O)c1C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001363430065 961138062 /nfs/dbraw/zinc/13/80/62/961138062.db2.gz FYUFJWUCKOGICP-JTQLQIEISA-N 0 2 319.365 0.975 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2C[C@H](O)C[C@H]2c2ccccc2)S1 ZINC001363443046 961163211 /nfs/dbraw/zinc/16/32/11/961163211.db2.gz HFSZBDNCKWYYPT-WOPDTQHZSA-N 0 2 319.386 0.877 20 0 DCADLN C[C@H]1CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C[C@@H](C(=O)[O-])O1 ZINC001571102877 961251610 /nfs/dbraw/zinc/25/16/10/961251610.db2.gz QOKIKCXHNPXEBL-DCAQKATOSA-N 0 2 308.338 0.081 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001571106806 961289518 /nfs/dbraw/zinc/28/95/18/961289518.db2.gz RCTCZEYSPFBVQK-NWDGAFQWSA-N 0 2 309.391 0.898 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001571106806 961289532 /nfs/dbraw/zinc/28/95/32/961289532.db2.gz RCTCZEYSPFBVQK-NWDGAFQWSA-N 0 2 309.391 0.898 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)N2CC[C@H](c3nn[nH]n3)C2)C1 ZINC001363523732 961358876 /nfs/dbraw/zinc/35/88/76/961358876.db2.gz LIQHXELZNMINSU-WCABBAIRSA-N 0 2 301.354 0.605 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001430213417 1013254811 /nfs/dbraw/zinc/25/48/11/1013254811.db2.gz UCUQSHGQHLZGMD-OOZYFLPDSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC001430213417 1013254821 /nfs/dbraw/zinc/25/48/21/1013254821.db2.gz UCUQSHGQHLZGMD-OOZYFLPDSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(Cc1cccnc1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001430216501 1013255969 /nfs/dbraw/zinc/25/59/69/1013255969.db2.gz GTJCGDAVPFUAMR-NSHDSACASA-N 0 2 319.258 0.852 20 0 DCADLN O=C(Cc1cccnc1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430216501 1013255974 /nfs/dbraw/zinc/25/59/74/1013255974.db2.gz GTJCGDAVPFUAMR-NSHDSACASA-N 0 2 319.258 0.852 20 0 DCADLN CCc1ncoc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378132774 961489125 /nfs/dbraw/zinc/48/91/25/961489125.db2.gz SYVKMHPDQISVIK-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1ncoc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378132774 961489134 /nfs/dbraw/zinc/48/91/34/961489134.db2.gz SYVKMHPDQISVIK-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2cnnn2C)C1 ZINC001378151164 961534040 /nfs/dbraw/zinc/53/40/40/961534040.db2.gz LAZXTTBCFKZHJG-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN Cc1nc(C[NH2+]C[C@H](C)N(C)C(=O)CCc2cn[nH]n2)co1 ZINC001378827456 961556208 /nfs/dbraw/zinc/55/62/08/961556208.db2.gz NDCDTHHVWAQHBI-JTQLQIEISA-N 0 2 306.370 0.670 20 0 DCADLN Cc1cnn(C)c1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001363629810 961581074 /nfs/dbraw/zinc/58/10/74/961581074.db2.gz WJGPJRZCZYVIED-UHFFFAOYSA-N 0 2 305.338 0.553 20 0 DCADLN O=C(N[C@H]1C[C@@H](O)[C@@H](O)C1)C1=NN(c2ccccc2)CC1=O ZINC001363634454 961590069 /nfs/dbraw/zinc/59/00/69/961590069.db2.gz JKFKUGJSDZTIPO-YHPBZONMSA-N 0 2 303.318 0.192 20 0 DCADLN CN(C(=O)[C@@H]1C[C@@H]1C(=O)[O-])C1C[NH+](CCOc2ccccc2)C1 ZINC001571137373 961610804 /nfs/dbraw/zinc/61/08/04/961610804.db2.gz DGTIDSAGVRAJJI-CABCVRRESA-N 0 2 318.373 0.929 20 0 DCADLN CCn1ccc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n1 ZINC001363658857 961642056 /nfs/dbraw/zinc/64/20/56/961642056.db2.gz YDOWDTTXFPOSAG-UHFFFAOYSA-N 0 2 305.338 0.727 20 0 DCADLN Cn1nc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1C1CC1 ZINC001363664775 961654808 /nfs/dbraw/zinc/65/48/08/961654808.db2.gz CIKYICNYHZBABK-JTQLQIEISA-N 0 2 307.379 0.470 20 0 DCADLN CC1(C)CC(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001363670727 961665139 /nfs/dbraw/zinc/66/51/39/961665139.db2.gz GRBJSOUXRJLZNA-UHFFFAOYSA-N 0 2 300.384 0.402 20 0 DCADLN C[N@H+](CC(F)F)C1CCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)CC1 ZINC001571145492 961733175 /nfs/dbraw/zinc/73/31/75/961733175.db2.gz AIKCXLNPOFVOTF-MNOVXSKESA-N 0 2 320.336 0.807 20 0 DCADLN C[N@@H+](CC(F)F)C1CCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)CC1 ZINC001571145492 961733183 /nfs/dbraw/zinc/73/31/83/961733183.db2.gz AIKCXLNPOFVOTF-MNOVXSKESA-N 0 2 320.336 0.807 20 0 DCADLN CS(=O)(=O)C[C@H](O)CNC(=O)c1cccc(Cl)c1O ZINC001363775364 961852195 /nfs/dbraw/zinc/85/21/95/961852195.db2.gz BCCCDLSUWBKQDW-SSDOTTSWSA-N 0 2 307.755 0.181 20 0 DCADLN O=C(CNC(=O)C1CCCCC1)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571156549 961880206 /nfs/dbraw/zinc/88/02/06/961880206.db2.gz ZAINGXSGZCJUSK-GFCCVEGCSA-N 0 2 322.365 0.218 20 0 DCADLN O=C(CNC(=O)C1CCCCC1)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571156549 961880222 /nfs/dbraw/zinc/88/02/22/961880222.db2.gz ZAINGXSGZCJUSK-GFCCVEGCSA-N 0 2 322.365 0.218 20 0 DCADLN CNC(=O)[C@@H](C)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001363822297 961963658 /nfs/dbraw/zinc/96/36/58/961963658.db2.gz RQPGNNPJWODWRV-RXMQYKEDSA-N 0 2 307.759 0.618 20 0 DCADLN CCOC(=O)c1cc(C(=O)N[C@@H](C)c2nn(C)cc2O)on1 ZINC001363851994 962025786 /nfs/dbraw/zinc/02/57/86/962025786.db2.gz OQHOQDBORNASPV-ZETCQYMHSA-N 0 2 308.294 0.781 20 0 DCADLN CC[C@@H](F)C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001380024116 962516992 /nfs/dbraw/zinc/51/69/92/962516992.db2.gz WBPBHMPMHDIAQH-VXGBXAGGSA-N 0 2 321.356 0.461 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@@H]1CCN1CCF ZINC001380053736 962571228 /nfs/dbraw/zinc/57/12/28/962571228.db2.gz HNEQQKBVYITYRS-QMMMGPOBSA-N 0 2 313.295 0.604 20 0 DCADLN CCn1cnnc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364212699 962680625 /nfs/dbraw/zinc/68/06/25/962680625.db2.gz WUTKGIRYEMUING-VIFPVBQESA-N 0 2 320.357 0.211 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)NC[C@@H]2CCCC[N@@H+]2CCO)c1 ZINC001364289660 962826837 /nfs/dbraw/zinc/82/68/37/962826837.db2.gz UZLXCRBPJGVKGI-AWEZNQCLSA-N 0 2 309.414 0.109 20 0 DCADLN COCC(=O)N1CCC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001380199853 962855424 /nfs/dbraw/zinc/85/54/24/962855424.db2.gz SMRFFPYFIXXUNO-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N1CCC[C@H]1CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001380199853 962855431 /nfs/dbraw/zinc/85/54/31/962855431.db2.gz SMRFFPYFIXXUNO-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CN(C)c1cccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000314108674 963712591 /nfs/dbraw/zinc/71/25/91/963712591.db2.gz JULJKAXDTRNUAG-ZDUSSCGKSA-N 0 2 302.334 0.901 20 0 DCADLN CN(C)c1cccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000314108674 963712600 /nfs/dbraw/zinc/71/26/00/963712600.db2.gz JULJKAXDTRNUAG-ZDUSSCGKSA-N 0 2 302.334 0.901 20 0 DCADLN CNC(=O)C[NH2+]C[C@@H]1CCCCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001381276350 963936156 /nfs/dbraw/zinc/93/61/56/963936156.db2.gz RASTXBJKPWDENK-KGLIPLIRSA-N 0 2 321.425 0.551 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981201 964234208 /nfs/dbraw/zinc/23/42/08/964234208.db2.gz NXUZBFNLEBHDDK-QWRGUYRKSA-N 0 2 321.381 0.919 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981201 964234218 /nfs/dbraw/zinc/23/42/18/964234218.db2.gz NXUZBFNLEBHDDK-QWRGUYRKSA-N 0 2 321.381 0.919 20 0 DCADLN C[C@@H](CNC(=O)C1(C(F)F)CCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368982311 964234583 /nfs/dbraw/zinc/23/45/83/964234583.db2.gz TVLXTBGOQDKJIM-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](CNC(=O)C1(C(F)F)CCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368982311 964234592 /nfs/dbraw/zinc/23/45/92/964234592.db2.gz TVLXTBGOQDKJIM-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN Cc1nnc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001373627622 964387946 /nfs/dbraw/zinc/38/79/46/964387946.db2.gz JMJCCVZZWPGQGX-VXNVDRBHSA-N 0 2 309.267 0.704 20 0 DCADLN CN(C[C@H](O)C[N@H+](C)C/C=C/Cl)C(=O)CCc1nc[nH]n1 ZINC001369498824 965089961 /nfs/dbraw/zinc/08/99/61/965089961.db2.gz WAPMAWMEBMKFEC-ZXCPCRMDSA-N 0 2 315.805 0.241 20 0 DCADLN O=C(COC1CCCCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376132428 965253453 /nfs/dbraw/zinc/25/34/53/965253453.db2.gz PEQGPJCBXLXTBV-LLVKDONJSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@H](CNC(=O)c1ncc[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001369823929 965454648 /nfs/dbraw/zinc/45/46/48/965454648.db2.gz AGSBCAOTALSRCT-RNFRBKRXSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)c1ncc[nH]1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001369823929 965454651 /nfs/dbraw/zinc/45/46/51/965454651.db2.gz AGSBCAOTALSRCT-RNFRBKRXSA-N 0 2 310.251 0.887 20 0 DCADLN Cc1[nH]nc(C(=O)NCCN2CC[NH+](CC3CCC3)CC2)c1[O-] ZINC001369904513 965546763 /nfs/dbraw/zinc/54/67/63/965546763.db2.gz ZVSNRDWNHFJETQ-UHFFFAOYSA-N 0 2 321.425 0.571 20 0 DCADLN O=C(NC1CN(C(=O)c2ccccn2)C1)C(F)C(F)(F)F ZINC001374666564 965727253 /nfs/dbraw/zinc/72/72/53/965727253.db2.gz WHWZIRLARZUPCP-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)c2ccccn2)C1)[C@@H](F)C(F)(F)F ZINC001374666564 965727268 /nfs/dbraw/zinc/72/72/68/965727268.db2.gz WHWZIRLARZUPCP-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN COCC(=O)N(C)[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001370378208 966059436 /nfs/dbraw/zinc/05/94/36/966059436.db2.gz XCHDRWLACGTXJM-PSASIEDQSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N(C)[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001370378208 966059447 /nfs/dbraw/zinc/05/94/47/966059447.db2.gz XCHDRWLACGTXJM-PSASIEDQSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1nc([C@H](C)NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001370571601 966251603 /nfs/dbraw/zinc/25/16/03/966251603.db2.gz BHAJJJLEMPMTIO-IONNQARKSA-N 0 2 321.341 0.852 20 0 DCADLN CC(C)CCC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370643634 966322455 /nfs/dbraw/zinc/32/24/55/966322455.db2.gz BCCVHEUVLYRTAZ-SCZZXKLOSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001370643634 966322464 /nfs/dbraw/zinc/32/24/64/966322464.db2.gz BCCVHEUVLYRTAZ-SCZZXKLOSA-N 0 2 316.295 0.916 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001375108207 966362211 /nfs/dbraw/zinc/36/22/11/966362211.db2.gz QXCGENTZWYRAGI-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001375108207 966362219 /nfs/dbraw/zinc/36/22/19/966362219.db2.gz QXCGENTZWYRAGI-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CNC(=O)c1cc(Cl)c[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381493472 966460056 /nfs/dbraw/zinc/46/00/56/966460056.db2.gz MEDFEKGVDGCWEE-SSDOTTSWSA-N 0 2 312.761 0.742 20 0 DCADLN C[C@H](CNC(=O)c1cc(Cl)c[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381493472 966460062 /nfs/dbraw/zinc/46/00/62/966460062.db2.gz MEDFEKGVDGCWEE-SSDOTTSWSA-N 0 2 312.761 0.742 20 0 DCADLN CC(C)c1nnc(CN(C)[C@H](C)CNC(=O)c2cnn[nH]2)[nH]1 ZINC001448035528 1013725847 /nfs/dbraw/zinc/72/58/47/1013725847.db2.gz DWIKJOQPHIWSHR-SECBINFHSA-N 0 2 306.374 0.297 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C2=CCOCC2)C1)C(F)C(F)(F)F ZINC001372010875 967558197 /nfs/dbraw/zinc/55/81/97/967558197.db2.gz CHDVXAIYGMPDPZ-ZJUUUORDSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C2=CCOCC2)C1)[C@H](F)C(F)(F)F ZINC001372010875 967558200 /nfs/dbraw/zinc/55/82/00/967558200.db2.gz CHDVXAIYGMPDPZ-ZJUUUORDSA-N 0 2 324.274 0.951 20 0 DCADLN C[C@@H](CNC(=O)CCc1cccnc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448059790 1013741361 /nfs/dbraw/zinc/74/13/61/1013741361.db2.gz XZVQQRVNGZXZCU-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN C[C@@H](CNC(=O)CCc1cccnc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448059790 1013741363 /nfs/dbraw/zinc/74/13/63/1013741363.db2.gz XZVQQRVNGZXZCU-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CCN(C(=O)C[N@@H+](C)C(C)C)C2)c1[O-] ZINC001372236969 967776799 /nfs/dbraw/zinc/77/67/99/967776799.db2.gz GMEWXWDEFADTEW-LLVKDONJSA-N 0 2 323.397 0.095 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CCN(C(=O)C[N@H+](C)C(C)C)C2)c1[O-] ZINC001372236969 967776806 /nfs/dbraw/zinc/77/68/06/967776806.db2.gz GMEWXWDEFADTEW-LLVKDONJSA-N 0 2 323.397 0.095 20 0 DCADLN COCC1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001372537110 968096005 /nfs/dbraw/zinc/09/60/05/968096005.db2.gz YTUKIQNGEZKYJZ-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001372537110 968096015 /nfs/dbraw/zinc/09/60/15/968096015.db2.gz YTUKIQNGEZKYJZ-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1C[C@@]12CCOC2 ZINC001372888683 968503967 /nfs/dbraw/zinc/50/39/67/968503967.db2.gz SXTOFWNGSVHDCL-IAQYHMDHSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1C[C@@]12CCOC2 ZINC001372888683 968503976 /nfs/dbraw/zinc/50/39/76/968503976.db2.gz SXTOFWNGSVHDCL-IAQYHMDHSA-N 0 2 321.381 0.018 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnns1 ZINC001372913645 968524071 /nfs/dbraw/zinc/52/40/71/968524071.db2.gz YQQXTKJXDDDOQL-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnns1 ZINC001372913645 968524078 /nfs/dbraw/zinc/52/40/78/968524078.db2.gz YQQXTKJXDDDOQL-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN O=C(CCCc1cccnc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373466008 969127412 /nfs/dbraw/zinc/12/74/12/969127412.db2.gz IVCZKTVNQDVRGU-UHFFFAOYSA-N 0 2 316.365 0.229 20 0 DCADLN C[C@H](CCNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001431041304 1013881794 /nfs/dbraw/zinc/88/17/94/1013881794.db2.gz BIWYQJLWZOXKJZ-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1cncn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001431041304 1013881800 /nfs/dbraw/zinc/88/18/00/1013881800.db2.gz BIWYQJLWZOXKJZ-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CCc1nnc(C)cc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000317723817 970381705 /nfs/dbraw/zinc/38/17/05/970381705.db2.gz KBYIDALLMZVYRR-GFCCVEGCSA-N 0 2 303.322 0.496 20 0 DCADLN CCc1nnc(C)cc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000317723817 970381713 /nfs/dbraw/zinc/38/17/13/970381713.db2.gz KBYIDALLMZVYRR-GFCCVEGCSA-N 0 2 303.322 0.496 20 0 DCADLN C[C@@H](C(=O)[O-])N(C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C1CC1 ZINC001609705727 970476466 /nfs/dbraw/zinc/47/64/66/970476466.db2.gz GOFAVQVTUDYOGU-VIFPVBQESA-N 0 2 316.317 0.737 20 0 DCADLN Cc1nnsc1C[NH2+][C@@H](C)CN(C)C(=O)c1[nH]nnc1C ZINC001448698418 1014001234 /nfs/dbraw/zinc/00/12/34/1014001234.db2.gz JLPBTCFGZHGBLD-ZETCQYMHSA-N 0 2 309.399 0.523 20 0 DCADLN C[C@H]1C[C@@H](N2CCCC2)C[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001610068685 970657404 /nfs/dbraw/zinc/65/74/04/970657404.db2.gz XKDNLOYDBLATPH-NWDGAFQWSA-N 0 2 304.412 0.044 20 0 DCADLN C[C@H]1C[C@@H](N2CCCC2)C[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001610068685 970657411 /nfs/dbraw/zinc/65/74/11/970657411.db2.gz XKDNLOYDBLATPH-NWDGAFQWSA-N 0 2 304.412 0.044 20 0 DCADLN C[C@@H]1CCC[C@H](C(=O)[O-])[N@@H+]1CC(=O)NCC(=O)N1CCCC1 ZINC001604999627 972598945 /nfs/dbraw/zinc/59/89/45/972598945.db2.gz RVBXMLSIAHMOCY-VXGBXAGGSA-N 0 2 311.382 0.053 20 0 DCADLN C[C@@H]1CCC[C@H](C(=O)[O-])[N@H+]1CC(=O)NCC(=O)N1CCCC1 ZINC001604999627 972598954 /nfs/dbraw/zinc/59/89/54/972598954.db2.gz RVBXMLSIAHMOCY-VXGBXAGGSA-N 0 2 311.382 0.053 20 0 DCADLN O=C([O-])c1ccc([N+](=O)[O-])c(NC[C@H]2C[NH+]3CCN2CC3)n1 ZINC000394693532 972740763 /nfs/dbraw/zinc/74/07/63/972740763.db2.gz LQTPJJKLGVFXDQ-VIFPVBQESA-N 0 2 307.310 0.100 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)[C@@]1(C(=O)[O-])CC1(C)C ZINC001603375865 972929299 /nfs/dbraw/zinc/92/92/99/972929299.db2.gz WGBXNIFYPMJYAW-BFQNTYOBSA-N 0 2 310.394 0.809 20 0 DCADLN Cc1cc(C)c(CNC(=O)NCCn2cc(C(=O)[O-])nn2)c[nH+]1 ZINC000397589523 973010082 /nfs/dbraw/zinc/01/00/82/973010082.db2.gz JCHWXDPTJTUFNC-UHFFFAOYSA-N 0 2 318.337 0.488 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1Cn1nc(CO)n(C)c1=S ZINC001603392466 973018594 /nfs/dbraw/zinc/01/85/94/973018594.db2.gz TWMQGRHLVKEQRM-RKDXNWHRSA-N 0 2 300.384 0.586 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cn1nc(CO)n(C)c1=S ZINC001603392466 973018606 /nfs/dbraw/zinc/01/86/06/973018606.db2.gz TWMQGRHLVKEQRM-RKDXNWHRSA-N 0 2 300.384 0.586 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)[C@H](C(=O)[O-])C(C)C)C2 ZINC001605417139 973431682 /nfs/dbraw/zinc/43/16/82/973431682.db2.gz XDGPLKSTWOYIKU-BXUZGUMPSA-N 0 2 323.393 0.514 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001603492862 973444210 /nfs/dbraw/zinc/44/42/10/973444210.db2.gz VHCBVPVJCKMWGI-UPJWGTAASA-N 0 2 314.382 0.188 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001604526683 973480820 /nfs/dbraw/zinc/48/08/20/973480820.db2.gz LDVYGZALMSGKFC-NHYWBVRUSA-N 0 2 307.350 0.395 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH2+][C@H](CO)c2ccc(F)cc2F)C1=O ZINC001606244176 973526965 /nfs/dbraw/zinc/52/69/65/973526965.db2.gz ZJYJEOIKHJBAPR-VXGBXAGGSA-N 0 2 314.288 0.273 20 0 DCADLN CC[C@H](CNC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC001603560476 973669661 /nfs/dbraw/zinc/66/96/61/973669661.db2.gz YPFLGLPZTREVJG-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001603628574 973948086 /nfs/dbraw/zinc/94/80/86/973948086.db2.gz PXFSRSQMKIPMPL-FRRDWIJNSA-N 0 2 313.398 0.650 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001603628574 973948090 /nfs/dbraw/zinc/94/80/90/973948090.db2.gz PXFSRSQMKIPMPL-FRRDWIJNSA-N 0 2 313.398 0.650 20 0 DCADLN COc1cccc(C[NH+]2CCN(C(=O)[C@@H](OC)C(=O)[O-])CC2)c1 ZINC001604862943 974104630 /nfs/dbraw/zinc/10/46/30/974104630.db2.gz JAPBQJNALYIURV-CQSZACIVSA-N 0 2 322.361 0.439 20 0 DCADLN CCOC(=O)N1CCC[N@H+](Cc2c(C(=O)[O-])cnn2C)CC1 ZINC001603803746 974275887 /nfs/dbraw/zinc/27/58/87/974275887.db2.gz YVTDLWNHJPXCQN-UHFFFAOYSA-N 0 2 310.354 0.783 20 0 DCADLN CCOC(=O)N1CCC[N@@H+](Cc2c(C(=O)[O-])cnn2C)CC1 ZINC001603803746 974275891 /nfs/dbraw/zinc/27/58/91/974275891.db2.gz YVTDLWNHJPXCQN-UHFFFAOYSA-N 0 2 310.354 0.783 20 0 DCADLN O=C([O-])[C@]12COC[C@@H]1CN(C(=O)Cc1cn3c([nH+]1)CCCC3)C2 ZINC001549305386 1014215181 /nfs/dbraw/zinc/21/51/81/1014215181.db2.gz IMFPUDGNDINLFK-MEDUHNTESA-N 0 2 319.361 0.322 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)C1=CCOCC1 ZINC001528247683 1014238882 /nfs/dbraw/zinc/23/88/82/1014238882.db2.gz GHSGGAZCOUFGDZ-VIFPVBQESA-N 0 2 312.263 0.808 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)C1=CCOCC1 ZINC001528247683 1014238890 /nfs/dbraw/zinc/23/88/90/1014238890.db2.gz GHSGGAZCOUFGDZ-VIFPVBQESA-N 0 2 312.263 0.808 20 0 DCADLN O=C(NC[C@@H]1C[C@@H](c2nc[nH]n2)C[C@H]1O)c1ccc(O)c(F)c1 ZINC001549520465 1014286325 /nfs/dbraw/zinc/28/63/25/1014286325.db2.gz WNSIRUPBBBOZMC-GBIKHYSHSA-N 0 2 320.324 0.934 20 0 DCADLN CCN(CCNC(=O)[C@@H](C)OC)C(=O)C(F)C(F)(F)F ZINC001449318891 1014406467 /nfs/dbraw/zinc/40/64/67/1014406467.db2.gz ONIXNGROYTZCQP-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(CCNC(=O)[C@@H](C)OC)C(=O)[C@H](F)C(F)(F)F ZINC001449318891 1014406472 /nfs/dbraw/zinc/40/64/72/1014406472.db2.gz ONIXNGROYTZCQP-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN O=C(Cc1ccon1)NCCCNC(=O)C(F)C(F)(F)F ZINC001522865520 1014438504 /nfs/dbraw/zinc/43/85/04/1014438504.db2.gz UJLDZCUZMCGMAO-SECBINFHSA-N 0 2 311.235 0.740 20 0 DCADLN O=C(Cc1ccon1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522865520 1014438513 /nfs/dbraw/zinc/43/85/13/1014438513.db2.gz UJLDZCUZMCGMAO-SECBINFHSA-N 0 2 311.235 0.740 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(C(=O)c2cncc(C=O)c2)CC1 ZINC001592418726 978027641 /nfs/dbraw/zinc/02/76/41/978027641.db2.gz UZPSFZMLVFMVNI-LLVKDONJSA-N 0 2 305.334 0.515 20 0 DCADLN CC[C@@](C)(NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001594842520 982063384 /nfs/dbraw/zinc/06/33/84/982063384.db2.gz VIKIGWFDCXXLRE-TYNCELHUSA-N 0 2 314.382 0.236 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001594887655 982274216 /nfs/dbraw/zinc/27/42/16/982274216.db2.gz SIWYEWLUSBFVJZ-IINYFYTJSA-N 0 2 309.366 0.835 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001594887655 982274223 /nfs/dbraw/zinc/27/42/23/982274223.db2.gz SIWYEWLUSBFVJZ-IINYFYTJSA-N 0 2 309.366 0.835 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CC[C@](O)(C(F)F)C1)C(=O)[O-] ZINC001594893942 982314200 /nfs/dbraw/zinc/31/42/00/982314200.db2.gz XUIHJWVOBRXXMJ-IYYTYJHQSA-N 0 2 308.325 0.304 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CC[C@](O)(C(F)F)C1)C(=O)[O-] ZINC001594893942 982314210 /nfs/dbraw/zinc/31/42/10/982314210.db2.gz XUIHJWVOBRXXMJ-IYYTYJHQSA-N 0 2 308.325 0.304 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@@H+]1CC[C@@H](OC)C[C@@H]1C(=O)[O-] ZINC001595760377 982469215 /nfs/dbraw/zinc/46/92/15/982469215.db2.gz YPLNTYUGZQTVQG-GHMZBOCLSA-N 0 2 315.370 0.176 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@H+]1CC[C@@H](OC)C[C@@H]1C(=O)[O-] ZINC001595760377 982469219 /nfs/dbraw/zinc/46/92/19/982469219.db2.gz YPLNTYUGZQTVQG-GHMZBOCLSA-N 0 2 315.370 0.176 20 0 DCADLN CCCN1CCC[N@@H+]([C@H]2CCN(CCC(=O)[O-])C2=O)CC1=O ZINC001595908982 982992389 /nfs/dbraw/zinc/99/23/89/982992389.db2.gz AMKFYGKGQCPZPD-LBPRGKRZSA-N 0 2 311.382 0.006 20 0 DCADLN CCCN1CCC[N@H+]([C@H]2CCN(CCC(=O)[O-])C2=O)CC1=O ZINC001595908982 982992396 /nfs/dbraw/zinc/99/23/96/982992396.db2.gz AMKFYGKGQCPZPD-LBPRGKRZSA-N 0 2 311.382 0.006 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)N1CCN(Cc2ccco2)CC1 ZINC001596222389 983613909 /nfs/dbraw/zinc/61/39/09/983613909.db2.gz NSUTUHBHBJSWJM-UHFFFAOYSA-N 0 2 309.366 0.330 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)N1CCN(Cc2ccco2)CC1 ZINC001596222389 983613911 /nfs/dbraw/zinc/61/39/11/983613911.db2.gz NSUTUHBHBJSWJM-UHFFFAOYSA-N 0 2 309.366 0.330 20 0 DCADLN O=C(NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]n1 ZINC001524458191 1014982828 /nfs/dbraw/zinc/98/28/28/1014982828.db2.gz CYKMHXVSYFIXHR-XPUUQOCRSA-N 0 2 323.250 0.330 20 0 DCADLN C/C(=C\C(=O)N[C@]1(CC(=O)[O-])CCOC1)C[NH+]1CCOCC1 ZINC001588938294 984620916 /nfs/dbraw/zinc/62/09/16/984620916.db2.gz AKXGQECGJARBRE-JQVXPOPVSA-N 0 2 312.366 0.015 20 0 DCADLN CCOC(=O)c1c(C)n[nH]c1C[N@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001596696630 984838739 /nfs/dbraw/zinc/83/87/39/984838739.db2.gz KUBWISUIPRSJPE-ZJUUUORDSA-N 0 2 311.338 0.162 20 0 DCADLN CCOC(=O)c1c(C)n[nH]c1C[N@@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001596696630 984838755 /nfs/dbraw/zinc/83/87/55/984838755.db2.gz KUBWISUIPRSJPE-ZJUUUORDSA-N 0 2 311.338 0.162 20 0 DCADLN C[C@H](CN(C)C(=O)c1ncccn1)NC(=O)C(F)C(F)(F)F ZINC001383807497 985109399 /nfs/dbraw/zinc/10/93/99/985109399.db2.gz ZIFKKOXAIOXFIX-SFYZADRCSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1ncccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001383807497 985109404 /nfs/dbraw/zinc/10/94/04/985109404.db2.gz ZIFKKOXAIOXFIX-SFYZADRCSA-N 0 2 322.262 0.954 20 0 DCADLN CCO[C@@H]1C[C@](NC(=O)C[C@@H]([NH3+])C(F)F)(C(=O)[O-])C1(C)C ZINC001596818149 985401094 /nfs/dbraw/zinc/40/10/94/985401094.db2.gz KMDSQPBTZQOCKQ-RBDZCENOSA-N 0 2 308.325 0.744 20 0 DCADLN CCO[C@@H]1C[C@]([NH2+]Cc2nnc3n2CCOC3)(C(=O)[O-])C1(C)C ZINC001596836281 985480379 /nfs/dbraw/zinc/48/03/79/985480379.db2.gz AHAQZOOEUXIROW-BMIGLBTASA-N 0 2 324.381 0.556 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CCC[C@@H](CO)[C@H]2CO)c(C)c1C(=O)[O-] ZINC001599946070 985529972 /nfs/dbraw/zinc/52/99/72/985529972.db2.gz VELYKDHNOGCULL-NWDGAFQWSA-N 0 2 324.377 0.578 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CCC[C@@H](CO)[C@H]2CO)c(C)c1C(=O)[O-] ZINC001599946070 985529976 /nfs/dbraw/zinc/52/99/76/985529976.db2.gz VELYKDHNOGCULL-NWDGAFQWSA-N 0 2 324.377 0.578 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2nnc(C)s2)n[nH]1 ZINC001551655771 1015163577 /nfs/dbraw/zinc/16/35/77/1015163577.db2.gz AYMGWVWAFPUWPH-ZJUUUORDSA-N 0 2 322.394 0.918 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@](C)(O)C2CC2)n[nH]1 ZINC001551660208 1015166061 /nfs/dbraw/zinc/16/60/61/1015166061.db2.gz OAEBHYRSCAMWCG-XUJVJEKNSA-N 0 2 308.382 0.605 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)C2CCC(O)CC2)n[nH]1 ZINC001551664394 1015168078 /nfs/dbraw/zinc/16/80/78/1015168078.db2.gz DEDNHWMPURSUEB-NWINJMCUSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)Cc2ccn(C)n2)n[nH]1 ZINC001551663395 1015168965 /nfs/dbraw/zinc/16/89/65/1015168965.db2.gz YIDYJTCGUFABDN-QWHCGFSZSA-N 0 2 318.381 0.420 20 0 DCADLN CC(=O)N1CC(n2cc(CNC(=O)C(F)C(F)(F)F)nn2)C1 ZINC001384890396 985929920 /nfs/dbraw/zinc/92/99/20/985929920.db2.gz RQUYFIXPHCYGEG-VIFPVBQESA-N 0 2 323.250 0.198 20 0 DCADLN CC(=O)N1CC(n2cc(CNC(=O)[C@H](F)C(F)(F)F)nn2)C1 ZINC001384890396 985929928 /nfs/dbraw/zinc/92/99/28/985929928.db2.gz RQUYFIXPHCYGEG-VIFPVBQESA-N 0 2 323.250 0.198 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2cn(C)nc2C)n[nH]1 ZINC001551676831 1015175571 /nfs/dbraw/zinc/17/55/71/1015175571.db2.gz UTDXZJAKPMYVKX-AAEUAGOBSA-N 0 2 318.381 0.800 20 0 DCADLN CCOCCNC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccc(OC)cc1 ZINC001596929729 986023590 /nfs/dbraw/zinc/02/35/90/986023590.db2.gz VDGKGPAKYPSVDY-CQSZACIVSA-N 0 2 310.350 0.563 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2csc(C(=O)[O-])n2)[C@@H](C)CO1 ZINC001594527507 986079034 /nfs/dbraw/zinc/07/90/34/986079034.db2.gz LFFNWBQSXDVTHR-IUCAKERBSA-N 0 2 313.379 0.680 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2csc(C(=O)[O-])n2)[C@@H](C)CO1 ZINC001594527507 986079048 /nfs/dbraw/zinc/07/90/48/986079048.db2.gz LFFNWBQSXDVTHR-IUCAKERBSA-N 0 2 313.379 0.680 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCOC3)C[C@H]21)C(F)C(F)(F)F ZINC001526199468 1015230553 /nfs/dbraw/zinc/23/05/53/1015230553.db2.gz WVFZVQRUJBZWHW-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCOC3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001526199468 1015230560 /nfs/dbraw/zinc/23/05/60/1015230560.db2.gz WVFZVQRUJBZWHW-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCCO3)C[C@H]21)C(F)C(F)(F)F ZINC001526199413 1015231234 /nfs/dbraw/zinc/23/12/34/1015231234.db2.gz WCJHFDQOOWYVOH-SQXHDICFSA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCCO3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001526199413 1015231245 /nfs/dbraw/zinc/23/12/45/1015231245.db2.gz WCJHFDQOOWYVOH-SQXHDICFSA-N 0 2 324.274 0.639 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385828245 986614954 /nfs/dbraw/zinc/61/49/54/986614954.db2.gz RCPGEZNZGLRSQI-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385828245 986614956 /nfs/dbraw/zinc/61/49/56/986614956.db2.gz RCPGEZNZGLRSQI-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)CCOC ZINC001387214018 987710841 /nfs/dbraw/zinc/71/08/41/987710841.db2.gz JQCHDSLDLXSQCB-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)CCOC ZINC001387214018 987710846 /nfs/dbraw/zinc/71/08/46/987710846.db2.gz JQCHDSLDLXSQCB-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnccn1 ZINC001387794029 988158864 /nfs/dbraw/zinc/15/88/64/988158864.db2.gz KDSVFHZDSGNEKI-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnccn1 ZINC001387794029 988158868 /nfs/dbraw/zinc/15/88/68/988158868.db2.gz KDSVFHZDSGNEKI-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnon1 ZINC001388302772 988195602 /nfs/dbraw/zinc/19/56/02/988195602.db2.gz UJHQISQQRXQHKN-SSDOTTSWSA-N 0 2 312.223 0.548 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnon1 ZINC001388302772 988195610 /nfs/dbraw/zinc/19/56/10/988195610.db2.gz UJHQISQQRXQHKN-SSDOTTSWSA-N 0 2 312.223 0.548 20 0 DCADLN CCCN(CCNC(=O)Cc1c[nH]c[nH+]1)C(=O)[C@H]1CCC[N@@H+]1C ZINC001388606222 988436202 /nfs/dbraw/zinc/43/62/02/988436202.db2.gz YNYQAQJGKLDTLE-CQSZACIVSA-N 0 2 321.425 0.401 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001389062303 988776706 /nfs/dbraw/zinc/77/67/06/988776706.db2.gz PQUMZVGHKWGNOE-XPUUQOCRSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccco1 ZINC001389062303 988776717 /nfs/dbraw/zinc/77/67/17/988776717.db2.gz PQUMZVGHKWGNOE-XPUUQOCRSA-N 0 2 312.219 0.387 20 0 DCADLN CCc1oc(C[N@@H+]2CC[C@@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597796408 989354925 /nfs/dbraw/zinc/35/49/25/989354925.db2.gz OICFPTZBKDYIMV-SECBINFHSA-N 0 2 302.352 0.403 20 0 DCADLN CCc1oc(C[N@H+]2CC[C@@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597796408 989354935 /nfs/dbraw/zinc/35/49/35/989354935.db2.gz OICFPTZBKDYIMV-SECBINFHSA-N 0 2 302.352 0.403 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C1=CCOCC1)C(F)C(F)(F)F ZINC001389659562 989470151 /nfs/dbraw/zinc/47/01/51/989470151.db2.gz FJTPXNIDLBMPEO-UWVGGRQHSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C1=CCOCC1)[C@H](F)C(F)(F)F ZINC001389659562 989470158 /nfs/dbraw/zinc/47/01/58/989470158.db2.gz FJTPXNIDLBMPEO-UWVGGRQHSA-N 0 2 324.274 0.951 20 0 DCADLN CC[C@H](CNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001390370056 990033750 /nfs/dbraw/zinc/03/37/50/990033750.db2.gz JCCKAVLACIGWHB-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)c1cn(C)cn1)NC(=O)[C@H](F)C(F)(F)F ZINC001390370056 990033755 /nfs/dbraw/zinc/03/37/55/990033755.db2.gz JCCKAVLACIGWHB-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CN(C)[C@@H](C(=O)Nc1nc(CC(=O)[O-])cs1)c1c[nH+]cn1C ZINC001598164840 990677212 /nfs/dbraw/zinc/67/72/12/990677212.db2.gz IMPSEVDAORUTOV-LLVKDONJSA-N 0 2 323.378 0.745 20 0 DCADLN COCC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001391262272 990705768 /nfs/dbraw/zinc/70/57/68/990705768.db2.gz QLTJVVDRKKMNRP-PHIMTYICSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1ccoc1CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391502674 990899817 /nfs/dbraw/zinc/89/98/17/990899817.db2.gz LRGBAWNAMYDASS-UHFFFAOYSA-N 0 2 305.338 0.202 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)NC[C@H](F)C(=O)[O-])n1 ZINC001598256684 991068257 /nfs/dbraw/zinc/06/82/57/991068257.db2.gz VSSPGFWPTLALMY-QMMMGPOBSA-N 0 2 304.347 0.427 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[NH+]1CCC(O)(C(=O)[O-])CC1 ZINC001598360412 991501916 /nfs/dbraw/zinc/50/19/16/991501916.db2.gz SJVZJGAVOMKRMO-UHFFFAOYSA-N 0 2 314.773 0.608 20 0 DCADLN CN(CCN(C)C(=O)c1ncccc1F)Cc1n[nH]c(=O)[nH]1 ZINC001392307941 991729828 /nfs/dbraw/zinc/72/98/28/991729828.db2.gz AYJDCFXBNXJQBY-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ncccc1F ZINC001392307941 991729831 /nfs/dbraw/zinc/72/98/31/991729831.db2.gz AYJDCFXBNXJQBY-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ncccc1F ZINC001392307941 991729840 /nfs/dbraw/zinc/72/98/40/991729840.db2.gz AYJDCFXBNXJQBY-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CCc1noc([C@@H](C)[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001392471900 991971296 /nfs/dbraw/zinc/97/12/96/991971296.db2.gz SLOOHMPNBLMPRY-VXNVDRBHSA-N 0 2 322.369 0.838 20 0 DCADLN CS(=O)(=O)CCCC[N@@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001599750086 991979848 /nfs/dbraw/zinc/97/98/48/991979848.db2.gz GKSPFRQYTGBANX-LBPRGKRZSA-N 0 2 304.368 0.117 20 0 DCADLN CS(=O)(=O)CCCC[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001599750086 991979858 /nfs/dbraw/zinc/97/98/58/991979858.db2.gz GKSPFRQYTGBANX-LBPRGKRZSA-N 0 2 304.368 0.117 20 0 DCADLN Cn1nnc2cc(C(=O)O[C@H]3C[C@H](C(=O)[O-])[N@H+](C)C3)ccc21 ZINC001598577263 993296743 /nfs/dbraw/zinc/29/67/43/993296743.db2.gz YTNSWCQAZFYGAI-JOYOIKCWSA-N 0 2 304.306 0.282 20 0 DCADLN Cn1nnc2cc(C(=O)O[C@H]3C[C@H](C(=O)[O-])[N@@H+](C)C3)ccc21 ZINC001598577263 993296747 /nfs/dbraw/zinc/29/67/47/993296747.db2.gz YTNSWCQAZFYGAI-JOYOIKCWSA-N 0 2 304.306 0.282 20 0 DCADLN COCC(=O)N[C@]1(C)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001394227285 993553190 /nfs/dbraw/zinc/55/31/90/993553190.db2.gz UAJAYESGMSCMRG-PSASIEDQSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N[C@]1(C)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001394227285 993553194 /nfs/dbraw/zinc/55/31/94/993553194.db2.gz UAJAYESGMSCMRG-PSASIEDQSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)NC[C@H]1CCC[N@H+]1[C@@H](C)C(N)=O ZINC001399871793 993862200 /nfs/dbraw/zinc/86/22/00/993862200.db2.gz LPBIRAFOJJQQFG-GXTWGEPZSA-N 0 2 321.425 0.426 20 0 DCADLN CCNC(=O)C[NH+]1CCC[C@H]1CNC(=O)[C@H]1CCCC[N@@H+]1CC ZINC001399903104 993901852 /nfs/dbraw/zinc/90/18/52/993901852.db2.gz UWAYDBHNHUUGGY-LSDHHAIUSA-N 0 2 324.469 0.578 20 0 DCADLN C[C@H]1COCC[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400023956 994066253 /nfs/dbraw/zinc/06/62/53/994066253.db2.gz AUWDJYJTEQNONU-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1COCC[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400023956 994066258 /nfs/dbraw/zinc/06/62/58/994066258.db2.gz AUWDJYJTEQNONU-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC12CC2 ZINC001394806770 994176848 /nfs/dbraw/zinc/17/68/48/994176848.db2.gz FDGMAYMSOVLZAF-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC12CC2 ZINC001394806770 994176853 /nfs/dbraw/zinc/17/68/53/994176853.db2.gz FDGMAYMSOVLZAF-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN CNC(=O)C1CC[NH+](CC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)CC1 ZINC001598639137 994289482 /nfs/dbraw/zinc/28/94/82/994289482.db2.gz RBQMLMNXVMIHMQ-UHFFFAOYSA-N 0 2 321.377 0.970 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400382095 994459737 /nfs/dbraw/zinc/45/97/37/994459737.db2.gz QHYVTOLAIXBTBQ-ZCFIWIBFSA-N 0 2 307.276 0.399 20 0 DCADLN CCCC[C@](C)(F)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400507841 994600009 /nfs/dbraw/zinc/60/00/09/994600009.db2.gz BICHJIIIFUJVRD-AWEZNQCLSA-N 0 2 313.377 0.977 20 0 DCADLN O=C(Cc1cc[nH]n1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001400549251 994641155 /nfs/dbraw/zinc/64/11/55/994641155.db2.gz FGQGYYJDACDXRY-SECBINFHSA-N 0 2 322.262 0.618 20 0 DCADLN O=C(Cc1cc[nH]n1)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001400549251 994641162 /nfs/dbraw/zinc/64/11/62/994641162.db2.gz FGQGYYJDACDXRY-SECBINFHSA-N 0 2 322.262 0.618 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cnco1 ZINC001400626266 994723225 /nfs/dbraw/zinc/72/32/25/994723225.db2.gz KMNKCTSWFDJMMO-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1cnco1 ZINC001400626266 994723235 /nfs/dbraw/zinc/72/32/35/994723235.db2.gz KMNKCTSWFDJMMO-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001395591416 994785125 /nfs/dbraw/zinc/78/51/25/994785125.db2.gz QRAPIQZSBLEDAW-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc(Br)o2)n1 ZINC001464430652 1015908863 /nfs/dbraw/zinc/90/88/63/1015908863.db2.gz STJAPTQFVIGNRJ-UHFFFAOYSA-N 0 2 308.117 0.366 20 0 DCADLN C[C@H](NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1nnnn1C ZINC001464738773 1015937576 /nfs/dbraw/zinc/93/75/76/1015937576.db2.gz LJTGQNZITIQHEF-ZDVLTJLQSA-N 0 2 324.432 0.002 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])[N@H+](CC(=O)NC(C)(C)C)C1 ZINC001598779894 995972191 /nfs/dbraw/zinc/97/21/91/995972191.db2.gz QIBQDPDKDUSEEV-ZJUUUORDSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])[N@@H+](CC(=O)NC(C)(C)C)C1 ZINC001598779894 995972194 /nfs/dbraw/zinc/97/21/94/995972194.db2.gz QIBQDPDKDUSEEV-ZJUUUORDSA-N 0 2 300.355 0.239 20 0 DCADLN C[C@@H](SCC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC001593659842 996036422 /nfs/dbraw/zinc/03/64/22/996036422.db2.gz XUIQKUUMYLXTRM-SNVBAGLBSA-N 0 2 304.412 0.420 20 0 DCADLN C[C@]1(C(=O)[O-])CCC[N@H+](Cc2nnn(CC(F)(F)F)n2)C1 ZINC001593779173 996488878 /nfs/dbraw/zinc/48/88/78/996488878.db2.gz VLMUGEOOAUKCRP-JTQLQIEISA-N 0 2 307.276 0.922 20 0 DCADLN C[C@]1(C(=O)[O-])CCC[N@@H+](Cc2nnn(CC(F)(F)F)n2)C1 ZINC001593779173 996488881 /nfs/dbraw/zinc/48/88/81/996488881.db2.gz VLMUGEOOAUKCRP-JTQLQIEISA-N 0 2 307.276 0.922 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001398751391 996672734 /nfs/dbraw/zinc/67/27/34/996672734.db2.gz MDLQLBOUVTVVNH-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001398751391 996672736 /nfs/dbraw/zinc/67/27/36/996672736.db2.gz MDLQLBOUVTVVNH-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn[nH]n1 ZINC001404382127 997055543 /nfs/dbraw/zinc/05/55/43/997055543.db2.gz MQYWVXSSWDAYOV-FSPLSTOPSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cn[nH]n1 ZINC001404382127 997055555 /nfs/dbraw/zinc/05/55/55/997055555.db2.gz MQYWVXSSWDAYOV-FSPLSTOPSA-N 0 2 311.239 0.282 20 0 DCADLN COc1ccc([C@H](CCO)[NH2+]Cc2cn(CC(=O)[O-])nn2)cc1 ZINC001599514644 997361198 /nfs/dbraw/zinc/36/11/98/997361198.db2.gz CVVDTHSHOPKYOE-AWEZNQCLSA-N 0 2 320.349 0.585 20 0 DCADLN CO[C@@H]1CC[C@@H]2OCC[N@H+](Cc3cnc(C(=O)[O-])cn3)[C@@H]2C1 ZINC001599102165 997407177 /nfs/dbraw/zinc/40/71/77/997407177.db2.gz FSJUIBSQXIQBFR-BNOWGMLFSA-N 0 2 307.350 0.943 20 0 DCADLN CO[C@@H]1CC[C@@H]2OCC[N@@H+](Cc3cnc(C(=O)[O-])cn3)[C@@H]2C1 ZINC001599102165 997407183 /nfs/dbraw/zinc/40/71/83/997407183.db2.gz FSJUIBSQXIQBFR-BNOWGMLFSA-N 0 2 307.350 0.943 20 0 DCADLN CC(C)[C@H](C(=O)NC[C@H]1CCN1C(=O)Cc1[nH]cc[nH+]1)[NH+](C)C ZINC001417092771 997437911 /nfs/dbraw/zinc/43/79/11/997437911.db2.gz SPDWNRWWJVKRDD-IUODEOHRSA-N 0 2 321.425 0.256 20 0 DCADLN O=C(NCC[C@@H]1CCCCN1C(=O)c1ccn[nH]1)c1cn[nH]n1 ZINC001399512867 997463396 /nfs/dbraw/zinc/46/33/96/997463396.db2.gz VNJNVVWKPBOBNG-JTQLQIEISA-N 0 2 317.353 0.343 20 0 DCADLN CN(C(=O)CCC(F)(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405090566 997777567 /nfs/dbraw/zinc/77/75/67/997777567.db2.gz ALRYKPATTLEFQT-QMMMGPOBSA-N 0 2 321.303 0.886 20 0 DCADLN CN(C(=O)CCC(F)(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405090566 997777580 /nfs/dbraw/zinc/77/75/80/997777580.db2.gz ALRYKPATTLEFQT-QMMMGPOBSA-N 0 2 321.303 0.886 20 0 DCADLN O=C(CC(=O)NCC(F)(F)F)NCCc1n[nH]c(=S)o1 ZINC001467309785 1016155989 /nfs/dbraw/zinc/15/59/89/1016155989.db2.gz FVVPQYWVIQSCJJ-UHFFFAOYSA-N 0 2 312.273 0.086 20 0 DCADLN CC[C@]1(O)CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001467376004 1016162294 /nfs/dbraw/zinc/16/22/94/1016162294.db2.gz TYWOWIDKSKPTCK-HNNXBMFYSA-N 0 2 318.333 0.253 20 0 DCADLN COCC[N@H+](C)Cc1cn([C@@H](C)c2nc(C(=O)[O-])co2)nn1 ZINC001599232194 997880457 /nfs/dbraw/zinc/88/04/57/997880457.db2.gz NSEJJSDIOHDGAJ-VIFPVBQESA-N 0 2 309.326 0.652 20 0 DCADLN COCC[N@@H+](C)Cc1cn([C@@H](C)c2nc(C(=O)[O-])co2)nn1 ZINC001599232194 997880466 /nfs/dbraw/zinc/88/04/66/997880466.db2.gz NSEJJSDIOHDGAJ-VIFPVBQESA-N 0 2 309.326 0.652 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nc1 ZINC001598958371 998287089 /nfs/dbraw/zinc/28/70/89/998287089.db2.gz FTGWUPLVGGLXAR-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nc1 ZINC001598958371 998287097 /nfs/dbraw/zinc/28/70/97/998287097.db2.gz FTGWUPLVGGLXAR-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN CC(=O)CCCC(=O)N1CCC[C@@H]1CN(C)Cc1n[nH]c(=O)[nH]1 ZINC001505421243 1016225868 /nfs/dbraw/zinc/22/58/68/1016225868.db2.gz SIQDUROZXRDSBL-GFCCVEGCSA-N 0 2 323.397 0.692 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC2(CF)CCOCC2)S1 ZINC001468147554 1016227773 /nfs/dbraw/zinc/22/77/73/1016227773.db2.gz MPWTWOQLCNBSFX-MRVPVSSYSA-N 0 2 303.359 0.425 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CCN1c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC001594170964 998656698 /nfs/dbraw/zinc/65/66/98/998656698.db2.gz NQGDTCWBLPCUSW-QWRGUYRKSA-N 0 2 319.365 0.054 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CCN1c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC001594170964 998656701 /nfs/dbraw/zinc/65/67/01/998656701.db2.gz NQGDTCWBLPCUSW-QWRGUYRKSA-N 0 2 319.365 0.054 20 0 DCADLN COC(=O)c1ccc2[nH]c(C[NH2+][C@@](C)(CO)C(=O)[O-])nc2c1 ZINC001598987756 998709815 /nfs/dbraw/zinc/70/98/15/998709815.db2.gz IVTCPMXJTXAYDV-AWEZNQCLSA-N 0 2 307.306 0.275 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@@H+]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001598997704 998795170 /nfs/dbraw/zinc/79/51/70/998795170.db2.gz OAMOIRIVMAIJKU-AGIUHOORSA-N 0 2 309.318 0.026 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001598997704 998795176 /nfs/dbraw/zinc/79/51/76/998795176.db2.gz OAMOIRIVMAIJKU-AGIUHOORSA-N 0 2 309.318 0.026 20 0 DCADLN C[C@H]1CCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)C[C@@H]1n1cc[nH+]c1 ZINC001594407045 999525650 /nfs/dbraw/zinc/52/56/50/999525650.db2.gz LINFEFXUYLCPMF-RVMXOQNASA-N 0 2 307.350 0.925 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NCC(=O)OC(C)(C)C)[C@H](C(=O)[O-])C1 ZINC001594414609 999548107 /nfs/dbraw/zinc/54/81/07/999548107.db2.gz LCOAWMHHGWOWRV-MNOVXSKESA-N 0 2 314.382 0.629 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NCC(=O)OC(C)(C)C)[C@H](C(=O)[O-])C1 ZINC001594414609 999548109 /nfs/dbraw/zinc/54/81/09/999548109.db2.gz LCOAWMHHGWOWRV-MNOVXSKESA-N 0 2 314.382 0.629 20 0 DCADLN C[C@H]1CCn2cc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])nc2C1 ZINC001594452684 999652770 /nfs/dbraw/zinc/65/27/70/999652770.db2.gz VBSUOLGBONNGQY-ONGXEEELSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@H]1CCn2cc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])nc2C1 ZINC001594452684 999652772 /nfs/dbraw/zinc/65/27/72/999652772.db2.gz VBSUOLGBONNGQY-ONGXEEELSA-N 0 2 317.349 0.614 20 0 DCADLN Cn1ncc(CN2CCC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001418953535 1000642122 /nfs/dbraw/zinc/64/21/22/1000642122.db2.gz UIZRNNIZCNBTGY-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CCC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001418953535 1000642126 /nfs/dbraw/zinc/64/21/26/1000642126.db2.gz UIZRNNIZCNBTGY-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cccnc1 ZINC001401764152 1000701845 /nfs/dbraw/zinc/70/18/45/1000701845.db2.gz KUOUZZQRNIBFFW-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cccnc1 ZINC001401764152 1000701852 /nfs/dbraw/zinc/70/18/52/1000701852.db2.gz KUOUZZQRNIBFFW-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN CC(C)NC(=O)CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001419058675 1000732373 /nfs/dbraw/zinc/73/23/73/1000732373.db2.gz DRWQWGBBMLOJCB-WCBMZHEXSA-N 0 2 313.295 0.602 20 0 DCADLN CC[C@@H](F)C(=O)N[C@H]1CC[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001419063004 1000736714 /nfs/dbraw/zinc/73/67/14/1000736714.db2.gz IPZNNFJTXGYAMW-CMPLNLGQSA-N 0 2 321.356 0.461 20 0 DCADLN CC[C@@H](F)C(=O)N[C@H]1CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001419063004 1000736721 /nfs/dbraw/zinc/73/67/21/1000736721.db2.gz IPZNNFJTXGYAMW-CMPLNLGQSA-N 0 2 321.356 0.461 20 0 DCADLN CCN(C(=O)[C@@H](C)SC)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419141198 1000810794 /nfs/dbraw/zinc/81/07/94/1000810794.db2.gz XKQWOCCXFMGQQA-NXEZZACHSA-N 0 2 313.427 0.685 20 0 DCADLN Cc1[nH]nc(C(=O)NCc2ccccc2C[N@H+](C)CCO)c1[O-] ZINC001402465978 1001338423 /nfs/dbraw/zinc/33/84/23/1001338423.db2.gz MFZHUYYEOBEMBJ-UHFFFAOYSA-N 0 2 318.377 0.778 20 0 DCADLN Cc1[nH]nc(C(=O)NCc2ccccc2C[N@@H+](C)CCO)c1[O-] ZINC001402465978 1001338429 /nfs/dbraw/zinc/33/84/29/1001338429.db2.gz MFZHUYYEOBEMBJ-UHFFFAOYSA-N 0 2 318.377 0.778 20 0 DCADLN CC[C@H](OC)C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001402741119 1001486744 /nfs/dbraw/zinc/48/67/44/1001486744.db2.gz WFCSNFYDYLILKZ-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CCc1noc(C[NH2+][C@H](C)[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001402969959 1001609288 /nfs/dbraw/zinc/60/92/88/1001609288.db2.gz PIMNPLFRHAZVLH-SFYZADRCSA-N 0 2 322.369 0.666 20 0 DCADLN C[C@@H](CNC(=O)[C@H](C)n1cccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403141372 1001692876 /nfs/dbraw/zinc/69/28/76/1001692876.db2.gz UZZUFYPZXVUMCV-QWRGUYRKSA-N 0 2 306.370 0.510 20 0 DCADLN C[C@@H](CNC(=O)[C@H](C)n1cccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403141372 1001692878 /nfs/dbraw/zinc/69/28/78/1001692878.db2.gz UZZUFYPZXVUMCV-QWRGUYRKSA-N 0 2 306.370 0.510 20 0 DCADLN CN(CC[N@@H+](C)CC(=O)N(C)CC1CC1)C(=O)C[NH+]1CCCC1 ZINC001420051853 1001788493 /nfs/dbraw/zinc/78/84/93/1001788493.db2.gz RUTXWRDFWSRAEJ-UHFFFAOYSA-N 0 2 324.469 0.341 20 0 DCADLN COCC(=O)NC[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001424592831 1001833510 /nfs/dbraw/zinc/83/35/10/1001833510.db2.gz OAGGXPKIACSJFE-OORONAJNSA-N 0 2 312.263 0.354 20 0 DCADLN COCC(=O)NC[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21 ZINC001424592831 1001833524 /nfs/dbraw/zinc/83/35/24/1001833524.db2.gz OAGGXPKIACSJFE-OORONAJNSA-N 0 2 312.263 0.354 20 0 DCADLN CO[C@H](C)CC(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001403362258 1001878834 /nfs/dbraw/zinc/87/88/34/1001878834.db2.gz ORNYZTJRKXQTTD-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@H](C)CC(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001403362258 1001878849 /nfs/dbraw/zinc/87/88/49/1001878849.db2.gz ORNYZTJRKXQTTD-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN CCc1nocc1C[N@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001403539000 1002103041 /nfs/dbraw/zinc/10/30/41/1002103041.db2.gz IUYMVUGEKBEFQG-UHFFFAOYSA-N 0 2 307.354 0.836 20 0 DCADLN CCc1nocc1C[N@@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001403539000 1002103050 /nfs/dbraw/zinc/10/30/50/1002103050.db2.gz IUYMVUGEKBEFQG-UHFFFAOYSA-N 0 2 307.354 0.836 20 0 DCADLN Cc1cncc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001424929058 1002158599 /nfs/dbraw/zinc/15/85/99/1002158599.db2.gz FJWPGNAXFKSRHF-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cncc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001424929058 1002158605 /nfs/dbraw/zinc/15/86/05/1002158605.db2.gz FJWPGNAXFKSRHF-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN COc1csc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001403638726 1002250471 /nfs/dbraw/zinc/25/04/71/1002250471.db2.gz GNZIKMAGRCPDEG-UHFFFAOYSA-N 0 2 311.367 0.442 20 0 DCADLN COc1csc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001403638726 1002250480 /nfs/dbraw/zinc/25/04/80/1002250480.db2.gz GNZIKMAGRCPDEG-UHFFFAOYSA-N 0 2 311.367 0.442 20 0 DCADLN C[C@@H](CNC(=O)c1ncoc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425032883 1002273503 /nfs/dbraw/zinc/27/35/03/1002273503.db2.gz SBNQLOIYJKMOOI-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CNC(=O)c1ncoc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425032883 1002273510 /nfs/dbraw/zinc/27/35/10/1002273510.db2.gz SBNQLOIYJKMOOI-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCC[C@@H]1OC)Cc1n[nH]c(=O)[n-]1 ZINC001420405565 1002362871 /nfs/dbraw/zinc/36/28/71/1002362871.db2.gz PQVKSGIZNMSHQR-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCC[C@@H]1OC)Cc1n[nH]c(=O)[n-]1 ZINC001420405565 1002362887 /nfs/dbraw/zinc/36/28/87/1002362887.db2.gz PQVKSGIZNMSHQR-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=S)c1C(=O)N(Cc1nn[nH]n1)CC(C)C ZINC001471646445 1016616815 /nfs/dbraw/zinc/61/68/15/1016616815.db2.gz KLOUBCGVQIDARY-UHFFFAOYSA-N 0 2 323.382 0.591 20 0 DCADLN CCO[C@H](CC)C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463959 1002455293 /nfs/dbraw/zinc/45/52/93/1002455293.db2.gz HWEAYRJCARMBGC-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCO[C@H](CC)C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463959 1002455296 /nfs/dbraw/zinc/45/52/96/1002455296.db2.gz HWEAYRJCARMBGC-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420492680 1002495720 /nfs/dbraw/zinc/49/57/20/1002495720.db2.gz ZMDQBKKORUUNFP-ZYHUDNBSSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420492680 1002495725 /nfs/dbraw/zinc/49/57/25/1002495725.db2.gz ZMDQBKKORUUNFP-ZYHUDNBSSA-N 0 2 309.370 0.016 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(Cl)c[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001403798664 1002541477 /nfs/dbraw/zinc/54/14/77/1002541477.db2.gz HLAPEIBCOYZVPF-UHFFFAOYSA-N 0 2 312.761 0.744 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(Cl)c[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001403798664 1002541485 /nfs/dbraw/zinc/54/14/85/1002541485.db2.gz HLAPEIBCOYZVPF-UHFFFAOYSA-N 0 2 312.761 0.744 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC(F)(F)C1 ZINC001420584773 1002653413 /nfs/dbraw/zinc/65/34/13/1002653413.db2.gz VXYFSFSCSMBNGS-DTWKUNHWSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC(F)(F)C1 ZINC001420584773 1002653419 /nfs/dbraw/zinc/65/34/19/1002653419.db2.gz VXYFSFSCSMBNGS-DTWKUNHWSA-N 0 2 317.340 0.882 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420637055 1002722471 /nfs/dbraw/zinc/72/24/71/1002722471.db2.gz PXGRFKLDKXLRFM-QWRGUYRKSA-N 0 2 309.370 0.016 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420637055 1002722478 /nfs/dbraw/zinc/72/24/78/1002722478.db2.gz PXGRFKLDKXLRFM-QWRGUYRKSA-N 0 2 309.370 0.016 20 0 DCADLN Cn1ncnc1CN(CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001420667691 1002779140 /nfs/dbraw/zinc/77/91/40/1002779140.db2.gz XYLIUTPBGPZEHV-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN(CCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001420667691 1002779148 /nfs/dbraw/zinc/77/91/48/1002779148.db2.gz XYLIUTPBGPZEHV-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN Cc1cn(C)nc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001472062060 1016718625 /nfs/dbraw/zinc/71/86/25/1016718625.db2.gz SQUQMFZNJUANQK-UHFFFAOYSA-N 0 2 305.338 0.553 20 0 DCADLN Cc1cccc(O)c1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472084602 1016725094 /nfs/dbraw/zinc/72/50/94/1016725094.db2.gz GPSRSEPUYYIXSB-UHFFFAOYSA-N 0 2 324.362 0.293 20 0 DCADLN CCOCC1(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001505947857 1016753621 /nfs/dbraw/zinc/75/36/21/1016753621.db2.gz CJFKPJQELXIBRR-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CCOCC1(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001505947857 1016753628 /nfs/dbraw/zinc/75/36/28/1016753628.db2.gz CJFKPJQELXIBRR-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCCN1c1ncccn1)c1nn(C)cc1O ZINC001472237110 1016766860 /nfs/dbraw/zinc/76/68/60/1016766860.db2.gz QYSHVSMCJSYRFJ-QWRGUYRKSA-N 0 2 316.365 0.762 20 0 DCADLN Cc1cc(CC(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)no1 ZINC001421181588 1003411250 /nfs/dbraw/zinc/41/12/50/1003411250.db2.gz BPRMRVCAVAMHBY-AWEZNQCLSA-N 0 2 320.353 0.130 20 0 DCADLN Cc1cc(CC(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)no1 ZINC001421181588 1003411258 /nfs/dbraw/zinc/41/12/58/1003411258.db2.gz BPRMRVCAVAMHBY-AWEZNQCLSA-N 0 2 320.353 0.130 20 0 DCADLN COCCC(=O)N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001428395709 1003907343 /nfs/dbraw/zinc/90/73/43/1003907343.db2.gz ATJDQHSUQWDUOL-WDQPUEAGSA-N 0 2 312.263 0.496 20 0 DCADLN COCCC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21 ZINC001428395709 1003907350 /nfs/dbraw/zinc/90/73/50/1003907350.db2.gz ATJDQHSUQWDUOL-WDQPUEAGSA-N 0 2 312.263 0.496 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccncc1 ZINC001406610659 1003922238 /nfs/dbraw/zinc/92/22/38/1003922238.db2.gz SFTPSQBVXTZGRY-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccncc1 ZINC001406610659 1003922248 /nfs/dbraw/zinc/92/22/48/1003922248.db2.gz SFTPSQBVXTZGRY-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC001426692568 1003970263 /nfs/dbraw/zinc/97/02/63/1003970263.db2.gz UVRMYJPOHJHAAN-GHMZBOCLSA-N 0 2 319.369 0.685 20 0 DCADLN CCn1ncc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001406829835 1004130330 /nfs/dbraw/zinc/13/03/30/1004130330.db2.gz ODLMVDBJORWNAE-NXEZZACHSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncc(CN2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001406829835 1004130338 /nfs/dbraw/zinc/13/03/38/1004130338.db2.gz ODLMVDBJORWNAE-NXEZZACHSA-N 0 2 323.294 0.889 20 0 DCADLN COCCC(=O)N1CC([C@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001427058644 1004188009 /nfs/dbraw/zinc/18/80/09/1004188009.db2.gz SBOLQUMYGQURRW-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N1CC([C@H](C)NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001427058644 1004188012 /nfs/dbraw/zinc/18/80/12/1004188012.db2.gz SBOLQUMYGQURRW-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(CC[C@@H]1CCCCO1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001406886861 1004189617 /nfs/dbraw/zinc/18/96/17/1004189617.db2.gz YODBYDZJXKMMIJ-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN CN(CCNC(=O)c1ccc(=O)[nH]n1)C(=O)C(F)C(F)(F)F ZINC001429146801 1004412425 /nfs/dbraw/zinc/41/24/25/1004412425.db2.gz BMPDHKCUCOGXGK-MRVPVSSYSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)c1ccc(=O)[nH]n1)C(=O)[C@@H](F)C(F)(F)F ZINC001429146801 1004412438 /nfs/dbraw/zinc/41/24/38/1004412438.db2.gz BMPDHKCUCOGXGK-MRVPVSSYSA-N 0 2 324.234 0.271 20 0 DCADLN CCO[C@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001414486602 1005342359 /nfs/dbraw/zinc/34/23/59/1005342359.db2.gz HMMZTRBEHUBNRA-BDAKNGLRSA-N 0 2 314.279 0.983 20 0 DCADLN CCO[C@H](C)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001414486602 1005342364 /nfs/dbraw/zinc/34/23/64/1005342364.db2.gz HMMZTRBEHUBNRA-BDAKNGLRSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001414988225 1005436622 /nfs/dbraw/zinc/43/66/22/1005436622.db2.gz IFOFRWVBJBBNIS-BBVRLYRLSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001414988225 1005436624 /nfs/dbraw/zinc/43/66/24/1005436624.db2.gz IFOFRWVBJBBNIS-BBVRLYRLSA-N 0 2 308.235 0.687 20 0 DCADLN Cc1cnoc1C(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001415233323 1005486478 /nfs/dbraw/zinc/48/64/78/1005486478.db2.gz PUIKEJFUPYVLSV-SECBINFHSA-N 0 2 305.338 0.492 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](C[C@@H]3CCO[C@H](C)C3)CC2)c1[O-] ZINC001415826193 1005618646 /nfs/dbraw/zinc/61/86/46/1005618646.db2.gz QGVFQPJOTSOANJ-DGCLKSJQSA-N 0 2 322.409 0.997 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1)N(C)C(=O)C(F)C(F)(F)F ZINC001508753769 1016998096 /nfs/dbraw/zinc/99/80/96/1016998096.db2.gz RYEKASIGPJMBDT-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001508753769 1016998105 /nfs/dbraw/zinc/99/81/05/1016998105.db2.gz RYEKASIGPJMBDT-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN O=C(c1cccc(O)c1F)N1CCSC[C@@H]1c1nn[nH]n1 ZINC001417720537 1005875742 /nfs/dbraw/zinc/87/57/42/1005875742.db2.gz XDAFWTLXJBYBGB-MRVPVSSYSA-N 0 2 309.326 0.975 20 0 DCADLN Cc1nnc(C[NH2+]C[C@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001438638794 1005974464 /nfs/dbraw/zinc/97/44/64/1005974464.db2.gz BCERRTTZGBILTD-ZETCQYMHSA-N 0 2 307.358 0.101 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001418206868 1006170677 /nfs/dbraw/zinc/17/06/77/1006170677.db2.gz VGBYOSMNRYUBBF-XPUUQOCRSA-N 0 2 307.358 0.319 20 0 DCADLN Cc1cnccc1CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001438873875 1006187747 /nfs/dbraw/zinc/18/77/47/1006187747.db2.gz NTBMHJGQGKXPTD-UHFFFAOYSA-N 0 2 318.381 0.347 20 0 DCADLN Cc1cnccc1CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001438873875 1006187753 /nfs/dbraw/zinc/18/77/53/1006187753.db2.gz NTBMHJGQGKXPTD-UHFFFAOYSA-N 0 2 318.381 0.347 20 0 DCADLN C[C@@H](CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccn1 ZINC001436742522 1006319576 /nfs/dbraw/zinc/31/95/76/1006319576.db2.gz TVNCRAHGLYSCAG-QWRGUYRKSA-N 0 2 319.369 0.049 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001439409119 1006828393 /nfs/dbraw/zinc/82/83/93/1006828393.db2.gz OSIYVZUNYWWVCG-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001439409119 1006828408 /nfs/dbraw/zinc/82/84/08/1006828408.db2.gz OSIYVZUNYWWVCG-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1n[nH]c(SCC(=O)N2CC[C@@H](c3cc(=O)[nH][nH]3)C2)n1 ZINC001452725199 1007016339 /nfs/dbraw/zinc/01/63/39/1007016339.db2.gz ITIWMXNNNVPLPV-MRVPVSSYSA-N 0 2 308.367 0.650 20 0 DCADLN Cc1cc(C)nc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001437485645 1007029744 /nfs/dbraw/zinc/02/97/44/1007029744.db2.gz SRFNPEYKEDDLKW-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN Cc1cc(C)nc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001437485645 1007029756 /nfs/dbraw/zinc/02/97/56/1007029756.db2.gz SRFNPEYKEDDLKW-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN CCCC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001452747715 1007037448 /nfs/dbraw/zinc/03/74/48/1007037448.db2.gz TZDVIVGIWYETTJ-FJXKBIBVSA-N 0 2 300.252 0.375 20 0 DCADLN CCCC(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001452747715 1007037458 /nfs/dbraw/zinc/03/74/58/1007037458.db2.gz TZDVIVGIWYETTJ-FJXKBIBVSA-N 0 2 300.252 0.375 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001452828236 1007099082 /nfs/dbraw/zinc/09/90/82/1007099082.db2.gz OSLLCADGIBOUJL-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001452828236 1007099097 /nfs/dbraw/zinc/09/90/97/1007099097.db2.gz OSLLCADGIBOUJL-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1cscn1)Cc1n[nH]c(=O)[n-]1 ZINC001437624865 1007186922 /nfs/dbraw/zinc/18/69/22/1007186922.db2.gz FVAPJLGVSWMELS-UHFFFAOYSA-N 0 2 310.383 0.148 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1cscn1)Cc1n[nH]c(=O)[n-]1 ZINC001437624865 1007186927 /nfs/dbraw/zinc/18/69/27/1007186927.db2.gz FVAPJLGVSWMELS-UHFFFAOYSA-N 0 2 310.383 0.148 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cncnc1 ZINC001453415750 1007462026 /nfs/dbraw/zinc/46/20/26/1007462026.db2.gz MTWAIWDMCMVVRZ-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1cncnc1 ZINC001453415750 1007462032 /nfs/dbraw/zinc/46/20/32/1007462032.db2.gz MTWAIWDMCMVVRZ-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN COCCC(C)(C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438149904 1007670483 /nfs/dbraw/zinc/67/04/83/1007670483.db2.gz SUWZFNPAUVDDNV-UHFFFAOYSA-N 0 2 311.386 0.121 20 0 DCADLN Cc1nccn1CC(=O)N1CCCC[C@H]1C[N@H+](C)[C@@H](C)C(N)=O ZINC001440516877 1007856468 /nfs/dbraw/zinc/85/64/68/1007856468.db2.gz FJSCCYWEGMYJDH-JSGCOSHPSA-N 0 2 321.425 0.378 20 0 DCADLN CO[C@H](C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[N@@H+](C)C(C)C)CO2 ZINC001440515592 1007857486 /nfs/dbraw/zinc/85/74/86/1007857486.db2.gz JDMQNGMRMOBNDX-ZIAGYGMSSA-N 0 2 313.442 0.321 20 0 DCADLN Cc1noc(C[NH2+][C@@H](C)[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001440769165 1008007341 /nfs/dbraw/zinc/00/73/41/1008007341.db2.gz DAUUUXPSUDVDMB-NKWVEPMBSA-N 0 2 308.342 0.412 20 0 DCADLN C[C@H]1CC(=O)NN1C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC001440963314 1008146291 /nfs/dbraw/zinc/14/62/91/1008146291.db2.gz JKTGGJNFBONAOB-LURJTMIESA-N 0 2 319.239 0.724 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001433173169 1008578528 /nfs/dbraw/zinc/57/85/28/1008578528.db2.gz VZRRSQMVLOSPOQ-SECBINFHSA-N 0 2 324.278 0.851 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001433173169 1008578537 /nfs/dbraw/zinc/57/85/37/1008578537.db2.gz VZRRSQMVLOSPOQ-SECBINFHSA-N 0 2 324.278 0.851 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc2c(c1)NC(=O)CO2 ZINC001454192280 1008992786 /nfs/dbraw/zinc/99/27/86/1008992786.db2.gz XFWHPWDNLNMXAA-UHFFFAOYSA-N 0 2 316.273 0.149 20 0 DCADLN CC(C)[C@@](C)(O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433740494 1009137103 /nfs/dbraw/zinc/13/71/03/1009137103.db2.gz XRQZOIVUJJOBLQ-HNNXBMFYSA-N 0 2 320.349 0.403 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)c1nccs1 ZINC001454697996 1009271505 /nfs/dbraw/zinc/27/15/05/1009271505.db2.gz BSHHQLZQTKQKIO-MRVPVSSYSA-N 0 2 307.379 0.652 20 0 DCADLN CC(C)(O)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433924651 1009355059 /nfs/dbraw/zinc/35/50/59/1009355059.db2.gz YUIJMQKVUZHCTJ-UHFFFAOYSA-N 0 2 306.322 0.157 20 0 DCADLN Cc1ccc2nnc(CNC(=O)C[C@@H]3SC(=N)NC3=O)n2c1 ZINC001434101433 1009562721 /nfs/dbraw/zinc/56/27/21/1009562721.db2.gz YYSQBPXMCOXJDU-QMMMGPOBSA-N 0 2 318.362 0.210 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001442645220 1009567644 /nfs/dbraw/zinc/56/76/44/1009567644.db2.gz KNOCFVVDDOVXPV-PJXYFTJBSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001442645220 1009567649 /nfs/dbraw/zinc/56/76/49/1009567649.db2.gz KNOCFVVDDOVXPV-PJXYFTJBSA-N 0 2 307.398 0.885 20 0 DCADLN Cc1nnccc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455283057 1009632415 /nfs/dbraw/zinc/63/24/15/1009632415.db2.gz SOVFSRPKLNFRAY-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1nnccc1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001455283057 1009632424 /nfs/dbraw/zinc/63/24/24/1009632424.db2.gz SOVFSRPKLNFRAY-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN COCC(=O)N[C@@H]1Cc2ccccc2[C@H]1[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001422233427 1009683164 /nfs/dbraw/zinc/68/31/64/1009683164.db2.gz OMGCKIXAECTZFA-BXUZGUMPSA-N 0 2 317.349 0.029 20 0 DCADLN CC(=O)N[C@@H](C)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001434281206 1009722411 /nfs/dbraw/zinc/72/24/11/1009722411.db2.gz XLRITKGKVILDAA-CABZTGNLSA-N 0 2 317.349 0.435 20 0 DCADLN C[C@@H](NC(=O)[C@H]1COCCN1CC(F)F)c1nn(C)cc1O ZINC001434405958 1009910987 /nfs/dbraw/zinc/91/09/87/1009910987.db2.gz DFUKSBRJLNCGLQ-RKDXNWHRSA-N 0 2 318.324 0.269 20 0 DCADLN C[C@H](NC(=O)[C@H]1COCCN1CC(F)F)c1nn(C)cc1O ZINC001434405952 1009913129 /nfs/dbraw/zinc/91/31/29/1009913129.db2.gz DFUKSBRJLNCGLQ-DTWKUNHWSA-N 0 2 318.324 0.269 20 0 DCADLN O=C(NCC[C@H](O)C1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434414840 1009925030 /nfs/dbraw/zinc/92/50/30/1009925030.db2.gz HPNBTJJEUVXLNU-LBPRGKRZSA-N 0 2 318.333 0.157 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001442983352 1010005030 /nfs/dbraw/zinc/00/50/30/1010005030.db2.gz TVBYQLSQXKIBCA-MRVPVSSYSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1CC1 ZINC001442983352 1010005034 /nfs/dbraw/zinc/00/50/34/1010005034.db2.gz TVBYQLSQXKIBCA-MRVPVSSYSA-N 0 2 312.263 0.376 20 0 DCADLN CCOCc1nc([C@@H](C)NS(=O)(=O)c2conc2C)no1 ZINC001434571875 1010105995 /nfs/dbraw/zinc/10/59/95/1010105995.db2.gz IXEUVWHJJAETHH-MRVPVSSYSA-N 0 2 316.339 0.942 20 0 DCADLN C[C@H](CNC(=O)Cc1ccsc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422489518 1010170249 /nfs/dbraw/zinc/17/02/49/1010170249.db2.gz YGPNVRCMEUEINO-SECBINFHSA-N 0 2 309.395 0.751 20 0 DCADLN C[C@H](CNC(=O)Cc1ccsc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422489518 1010170260 /nfs/dbraw/zinc/17/02/60/1010170260.db2.gz YGPNVRCMEUEINO-SECBINFHSA-N 0 2 309.395 0.751 20 0 DCADLN C[C@H]1CCC[C@@H]1CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456306121 1010183507 /nfs/dbraw/zinc/18/35/07/1010183507.db2.gz CCFVRNUQOLRSQA-VHSXEESVSA-N 0 2 314.411 0.792 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(OC(F)F)cn1 ZINC001434728921 1010209786 /nfs/dbraw/zinc/20/97/86/1010209786.db2.gz QSTFODHWMNIGEJ-UHFFFAOYSA-N 0 2 300.225 0.828 20 0 DCADLN C[C@@H](CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001443626140 1010546601 /nfs/dbraw/zinc/54/66/01/1010546601.db2.gz AZMOOMPGGIUIAB-JTQLQIEISA-N 0 2 319.365 0.845 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C[C@H](C)[NH2+]Cc2ncc(C)cn2)c1[O-] ZINC001423041609 1010831034 /nfs/dbraw/zinc/83/10/34/1010831034.db2.gz TWONYPOTNHEHGW-JTQLQIEISA-N 0 2 318.381 0.772 20 0 DCADLN O=C(CCc1ccncc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423106803 1010892189 /nfs/dbraw/zinc/89/21/89/1010892189.db2.gz ITVXEFLAPBPYLM-GFCCVEGCSA-N 0 2 316.365 0.229 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001444203920 1010904844 /nfs/dbraw/zinc/90/48/44/1010904844.db2.gz ATKAOPOMCWYAFT-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccncc1 ZINC001444203920 1010904846 /nfs/dbraw/zinc/90/48/46/1010904846.db2.gz ATKAOPOMCWYAFT-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN CCC[NH+](C)CC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC ZINC001423353200 1011098351 /nfs/dbraw/zinc/09/83/51/1011098351.db2.gz MHHGWEGNUPLOFO-KFWWJZLASA-N 0 2 324.469 0.528 20 0 DCADLN CCCCC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001533182979 1011222464 /nfs/dbraw/zinc/22/24/64/1011222464.db2.gz KHVXUXAWSMYOPS-VXNVDRBHSA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001533182979 1011222476 /nfs/dbraw/zinc/22/24/76/1011222476.db2.gz KHVXUXAWSMYOPS-VXNVDRBHSA-N 0 2 302.268 0.670 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001431605406 1011454595 /nfs/dbraw/zinc/45/45/95/1011454595.db2.gz OHFOWAARUXCQFQ-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n(C)n1 ZINC001431605406 1011454607 /nfs/dbraw/zinc/45/46/07/1011454607.db2.gz OHFOWAARUXCQFQ-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ccccc1C1=NO[C@@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC001445413437 1011745005 /nfs/dbraw/zinc/74/50/05/1011745005.db2.gz KPXRJFNLBCKALU-LLVKDONJSA-N 0 2 301.306 0.628 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccon1)C(F)C(F)(F)F ZINC001432037633 1011893975 /nfs/dbraw/zinc/89/39/75/1011893975.db2.gz PPYXLKOQYABRBF-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccon1)[C@@H](F)C(F)(F)F ZINC001432037633 1011893987 /nfs/dbraw/zinc/89/39/87/1011893987.db2.gz PPYXLKOQYABRBF-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN CO[C@H](C)CCC(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001535697732 1011962478 /nfs/dbraw/zinc/96/24/78/1011962478.db2.gz SEXRMWOCLSUHRI-LLVKDONJSA-N 0 2 322.409 0.841 20 0 DCADLN Cn1cc(CNC(=O)c2c(O)cc(F)cc2F)c(=O)[nH]c1=O ZINC001458042955 1012057005 /nfs/dbraw/zinc/05/70/05/1012057005.db2.gz BKAXCBZVNVCGSX-UHFFFAOYSA-N 0 2 311.244 0.400 20 0 DCADLN C[C@@H](CN(C)Cc1ncnn1C)NC(=O)C(F)C(F)(F)F ZINC001445717849 1012157682 /nfs/dbraw/zinc/15/76/82/1012157682.db2.gz DNTVBONZGVLXLR-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CN(C)Cc1ncnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001445717849 1012157696 /nfs/dbraw/zinc/15/76/96/1012157696.db2.gz DNTVBONZGVLXLR-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)CNC(=O)CCc2[nH]cc[nH+]2)c1[O-] ZINC001458376851 1012159156 /nfs/dbraw/zinc/15/91/56/1012159156.db2.gz GWYBBGVMPVZFCH-QMMMGPOBSA-N 0 2 320.353 0.014 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)c1cnn(CCO)c1 ZINC001556542203 1012212005 /nfs/dbraw/zinc/21/20/05/1012212005.db2.gz YVSJOMIZTPOGMW-UHFFFAOYSA-N 0 2 314.305 0.618 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001432259684 1012217151 /nfs/dbraw/zinc/21/71/51/1012217151.db2.gz DYFBQIQIQKCSSA-DGCLKSJQSA-N 0 2 320.393 0.451 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CCCCO2)C1)C(F)C(F)(F)F ZINC001432260966 1012220859 /nfs/dbraw/zinc/22/08/59/1012220859.db2.gz RWGXBKJGAPVCCG-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CCCCO2)C1)[C@@H](F)C(F)(F)F ZINC001432260966 1012220874 /nfs/dbraw/zinc/22/08/74/1012220874.db2.gz RWGXBKJGAPVCCG-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(CSc1nnc2[nH]cnn21)NOCc1ccccc1 ZINC001559471116 1012425890 /nfs/dbraw/zinc/42/58/90/1012425890.db2.gz BIEZEDLULOBCEU-UHFFFAOYSA-N 0 2 304.335 0.793 20 0 DCADLN CSCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001432404349 1012441343 /nfs/dbraw/zinc/44/13/43/1012441343.db2.gz HXPYVRNQHOMZMU-QMMMGPOBSA-N 0 2 302.293 0.919 20 0 DCADLN CSCC(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432404349 1012441349 /nfs/dbraw/zinc/44/13/49/1012441349.db2.gz HXPYVRNQHOMZMU-QMMMGPOBSA-N 0 2 302.293 0.919 20 0 DCADLN CC[C@@H](CNC(=O)CCOC)NC(=O)C(F)C(F)(F)F ZINC001432569499 1012632251 /nfs/dbraw/zinc/63/22/51/1012632251.db2.gz OMIVSDGIUDEPDZ-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](CNC(=O)CCOC)NC(=O)[C@H](F)C(F)(F)F ZINC001432569499 1012632262 /nfs/dbraw/zinc/63/22/62/1012632262.db2.gz OMIVSDGIUDEPDZ-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@@H+]1CC[S@](C)=O ZINC001460311219 1012891558 /nfs/dbraw/zinc/89/15/58/1012891558.db2.gz QLRBRNWHNDEQKO-OWXODZSWSA-N 0 2 300.346 0.896 20 0 DCADLN C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC[S@](C)=O ZINC001460311219 1012891574 /nfs/dbraw/zinc/89/15/74/1012891574.db2.gz QLRBRNWHNDEQKO-OWXODZSWSA-N 0 2 300.346 0.896 20 0 DCADLN CC(=O)NC[C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001432924014 1013006997 /nfs/dbraw/zinc/00/69/97/1013006997.db2.gz NFEAWBBOQRQHPL-VIFPVBQESA-N 0 2 304.354 0.312 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccon2)C1 ZINC001506293330 1017186699 /nfs/dbraw/zinc/18/66/99/1017186699.db2.gz JPMNDDFXOORHHV-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2ccon2)C1 ZINC001506293330 1017186706 /nfs/dbraw/zinc/18/67/06/1017186706.db2.gz JPMNDDFXOORHHV-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN CC(=O)N[C@@H](C)CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001509942762 1017479466 /nfs/dbraw/zinc/47/94/66/1017479466.db2.gz TVSADAOYVBKTOJ-JTQLQIEISA-N 0 2 318.381 0.845 20 0 DCADLN C[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccsc1 ZINC001494594371 1017828442 /nfs/dbraw/zinc/82/84/42/1017828442.db2.gz WMJBUZYEFJXMLO-SECBINFHSA-N 0 2 321.406 0.924 20 0 DCADLN CC/C(C)=C/C(=O)N[C@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001501322826 1019050021 /nfs/dbraw/zinc/05/00/21/1019050021.db2.gz FHOWMISHRXHAKA-XKOZXHHJSA-N 0 2 323.397 0.310 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001496124264 1019090161 /nfs/dbraw/zinc/09/01/61/1019090161.db2.gz YZEBGKCMLSOKTF-HTQZYQBOSA-N 0 2 300.252 0.498 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001496124264 1019090174 /nfs/dbraw/zinc/09/01/74/1019090174.db2.gz YZEBGKCMLSOKTF-HTQZYQBOSA-N 0 2 300.252 0.498 20 0 DCADLN O=C(Cc1ncc[nH]1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001501888174 1019539225 /nfs/dbraw/zinc/53/92/25/1019539225.db2.gz MIZHQLAZHYVUIJ-SYBPUXJVSA-N 0 2 322.262 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccnnc2)C1)C(F)C(F)(F)F ZINC001493944578 1019836969 /nfs/dbraw/zinc/83/69/69/1019836969.db2.gz MFZRWDIRGPNMLN-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccnnc2)C1)[C@@H](F)C(F)(F)F ZINC001493944578 1019836980 /nfs/dbraw/zinc/83/69/80/1019836980.db2.gz MFZRWDIRGPNMLN-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC001494063125 1019956723 /nfs/dbraw/zinc/95/67/23/1019956723.db2.gz PNKXWTBETKEYOG-ZKWXMUAHSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ccncn1 ZINC001494063125 1019956729 /nfs/dbraw/zinc/95/67/29/1019956729.db2.gz PNKXWTBETKEYOG-ZKWXMUAHSA-N 0 2 320.246 0.754 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)O[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001606224983 1170122422 /nfs/dbraw/zinc/12/24/22/1170122422.db2.gz RPTZXROSKRNVTA-VXGBXAGGSA-N 0 2 312.366 0.480 20 0 DCADLN C[N@H+]1CCCC[C@@H]1C(=O)O[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001606224983 1170122426 /nfs/dbraw/zinc/12/24/26/1170122426.db2.gz RPTZXROSKRNVTA-VXGBXAGGSA-N 0 2 312.366 0.480 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cc[nH+]cc1C(=O)[O-])C1CC1 ZINC001606683285 1170260918 /nfs/dbraw/zinc/26/09/18/1170260918.db2.gz RHPFLXCCDXXROO-LLVKDONJSA-N 0 2 300.336 0.368 20 0 DCADLN Cn1[nH]c(C[NH2+][C@H](Cc2cc3ccccc3o2)C(=O)[O-])nc1=O ZINC001608148014 1126235798 /nfs/dbraw/zinc/23/57/98/1126235798.db2.gz GTIMGAWXXGSMON-LLVKDONJSA-N 0 2 316.317 0.640 20 0 DCADLN CCN(Cc1n[nH]c(=O)[nH]1)[C@H]1CCN(c2ccccc2)C1=O ZINC000092618738 185329420 /nfs/dbraw/zinc/32/94/20/185329420.db2.gz SFHLDFXOFQQUPX-LBPRGKRZSA-N 0 2 301.350 0.725 20 0 DCADLN CCS(=O)(=O)NCCC[N@@H+]1CCCC[C@@H]1c1n[nH]c(=O)[n-]1 ZINC000331302301 233006476 /nfs/dbraw/zinc/00/64/76/233006476.db2.gz FDLAODXXKOWWQO-SNVBAGLBSA-N 0 2 317.415 0.367 20 0 DCADLN CCS(=O)(=O)NCCC[N@H+]1CCCC[C@@H]1c1n[nH]c(=O)[n-]1 ZINC000331302301 233006483 /nfs/dbraw/zinc/00/64/83/233006483.db2.gz FDLAODXXKOWWQO-SNVBAGLBSA-N 0 2 317.415 0.367 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)c2ccc(C)cc2)n1 ZINC000174010059 198280521 /nfs/dbraw/zinc/28/05/21/198280521.db2.gz XDIIFOSOURZIBO-UHFFFAOYSA-N 0 2 309.351 0.133 20 0 DCADLN CCN(C)C(=O)CNC(=O)Cc1cccc(N2CCCC2=O)c1 ZINC000280279546 215507937 /nfs/dbraw/zinc/50/79/37/215507937.db2.gz PGAUWPSHNMEYCC-UHFFFAOYSA-N 0 2 317.389 0.950 20 0 DCADLN C[C@@H](CS(C)(=O)=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000112202678 295993863 /nfs/dbraw/zinc/99/38/63/295993863.db2.gz ZIWFZJREEGIBOF-QMMMGPOBSA-N 0 2 315.420 0.850 20 0 DCADLN O=C([O-])CNC(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000427294475 240357361 /nfs/dbraw/zinc/35/73/61/240357361.db2.gz IVSGLOSPPHOLKA-UHFFFAOYSA-N 0 2 316.317 0.726 20 0 DCADLN Cn1nnnc1CNC(=O)C(C)(C)Cc1nc2ccccc2[nH]1 ZINC000281140524 216105842 /nfs/dbraw/zinc/10/58/42/216105842.db2.gz AQBQBJLBUDNEQV-UHFFFAOYSA-N 0 2 313.365 0.972 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCCc2nc(C3CC3)no2)[C@@H](C[NH3+])C1 ZINC000162374884 291244016 /nfs/dbraw/zinc/24/40/16/291244016.db2.gz ZBXFSQNKBLKOCQ-LBPRGKRZSA-N 0 2 307.398 0.371 20 0 DCADLN Cc1ccc(CNC(=O)c2nc(=O)[nH][nH]2)c(O[C@H]2CCOC2)c1 ZINC000163167734 291245884 /nfs/dbraw/zinc/24/58/84/291245884.db2.gz GFTKTQHXAIROFA-NSHDSACASA-N 0 2 318.333 0.504 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)C(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001646249439 1172303911 /nfs/dbraw/zinc/30/39/11/1172303911.db2.gz VSRYBNAUPQQCDZ-VIFPVBQESA-N 0 2 313.379 0.677 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CCC[C@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000566297396 291292122 /nfs/dbraw/zinc/29/21/22/291292122.db2.gz JVNUYFDABDJXLG-AGIUHOORSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CCC[C@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000566297396 291292124 /nfs/dbraw/zinc/29/21/24/291292124.db2.gz JVNUYFDABDJXLG-AGIUHOORSA-N 0 2 320.393 0.985 20 0 DCADLN Cn1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c(C2CCC2)n1 ZINC000425194699 240307833 /nfs/dbraw/zinc/30/78/33/240307833.db2.gz PBHDBDJSIXALAD-UHFFFAOYSA-N 0 2 304.354 0.874 20 0 DCADLN C[C@@]1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCCCO1 ZINC000457493638 241010786 /nfs/dbraw/zinc/01/07/86/241010786.db2.gz QGTFZFZWLHDACK-JTQLQIEISA-N 0 2 320.396 0.807 20 0 DCADLN CCO[C@H]1C[C@@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000457866057 241043996 /nfs/dbraw/zinc/04/39/96/241043996.db2.gz YXCIDKGWZOUDNA-DTORHVGOSA-N 0 2 304.306 0.733 20 0 DCADLN CC(=O)Nc1cccc(C[NH+]2CCN(C(=O)C(=O)[O-])CC2)c1 ZINC000567989248 291395881 /nfs/dbraw/zinc/39/58/81/291395881.db2.gz ALGMBJDCWORLKX-UHFFFAOYSA-N 0 2 305.334 0.374 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc(N2CCCC2)cn1 ZINC000275143690 212147203 /nfs/dbraw/zinc/14/72/03/212147203.db2.gz QCSXFCVODKVUDY-UHFFFAOYSA-N 0 2 320.378 0.824 20 0 DCADLN C[C@@H](Cc1ccc(O)cc1)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275087974 212113135 /nfs/dbraw/zinc/11/31/35/212113135.db2.gz GCHAWXPBJNBSPI-QMMMGPOBSA-N 0 2 308.363 0.643 20 0 DCADLN COCCN1CN(C(=O)c2cc(F)c(O)c(F)c2)CC1=O ZINC000282467395 217016440 /nfs/dbraw/zinc/01/64/40/217016440.db2.gz NMYQOWNQHRTSQF-UHFFFAOYSA-N 0 2 300.261 0.559 20 0 DCADLN CC(C)OC(=O)[C@@H](C)N(C)C(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000271679276 209120270 /nfs/dbraw/zinc/12/02/70/209120270.db2.gz QBLJDLISOCVWAG-SECBINFHSA-N 0 2 321.333 0.825 20 0 DCADLN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(N(C)C)cc2)C1 ZINC000271681312 209121524 /nfs/dbraw/zinc/12/15/24/209121524.db2.gz JLOXUIMLHGFFEK-UHFFFAOYSA-N 0 2 320.349 0.258 20 0 DCADLN COCCOCCS(=O)(=O)Nc1ccc2nn[nH]c2c1 ZINC000339071580 253028936 /nfs/dbraw/zinc/02/89/36/253028936.db2.gz OPQQUZSTBMNGMS-UHFFFAOYSA-N 0 2 300.340 0.363 20 0 DCADLN Cn1cc(C(=O)N=c2nc(-c3cccnc3)[nH]s2)ccc1=O ZINC000339597438 253111285 /nfs/dbraw/zinc/11/12/85/253111285.db2.gz BTJMLCLWDRAMFR-UHFFFAOYSA-N 0 2 313.342 0.973 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(OCC(N)=O)c1 ZINC000340076156 253196569 /nfs/dbraw/zinc/19/65/69/253196569.db2.gz AOKPNUZUFBXVAJ-UHFFFAOYSA-N 0 2 310.335 0.085 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccccc1Cn1cncn1 ZINC000340080549 253197620 /nfs/dbraw/zinc/19/76/20/253197620.db2.gz IHSWFWXMWXUVNH-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2oc(=O)n(C)c2c1 ZINC000340096377 253199794 /nfs/dbraw/zinc/19/97/94/253199794.db2.gz XBQCMGCFFBVLED-UHFFFAOYSA-N 0 2 308.319 0.666 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cc([C@@H]2CCCO2)[nH]n1 ZINC000340636582 253286463 /nfs/dbraw/zinc/28/64/63/253286463.db2.gz QLFZBBNCNDJBKH-SCZZXKLOSA-N 0 2 316.383 0.480 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2C[C@H](C)OC2=O)cc1S(C)(=O)=O ZINC000288648823 220320702 /nfs/dbraw/zinc/32/07/02/220320702.db2.gz QSSFPZSQTHBSKW-GXSJLCMTSA-N 0 2 311.359 0.832 20 0 DCADLN C[C@@](CO)(CNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000346302026 254034665 /nfs/dbraw/zinc/03/46/65/254034665.db2.gz VJQDAOMJFYNDJN-AWEZNQCLSA-N 0 2 322.390 0.669 20 0 DCADLN O=C(Nc1ccc2nncn2c1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000347706190 254181382 /nfs/dbraw/zinc/18/13/82/254181382.db2.gz ZPYNLGWDGFPDAZ-UHFFFAOYSA-N 0 2 323.272 0.731 20 0 DCADLN COC(=O)[C@H](C)CN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348756941 254252523 /nfs/dbraw/zinc/25/25/23/254252523.db2.gz RPEQIHQMVVGXKR-SECBINFHSA-N 0 2 319.317 0.911 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn(CC(C)C)c2C)c1O ZINC000348682999 254249242 /nfs/dbraw/zinc/24/92/42/254249242.db2.gz YUGRGJPJLUESPB-NSHDSACASA-N 0 2 308.338 0.835 20 0 DCADLN COCCS(=O)(=O)Nc1cc(C(=O)N(C)C)ccc1OC ZINC000348738048 254251149 /nfs/dbraw/zinc/25/11/49/254251149.db2.gz FTXRALOEABEELB-UHFFFAOYSA-N 0 2 316.379 0.785 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)Cc1c(F)cccc1F)[C@@H](C)O ZINC000275818288 130393347 /nfs/dbraw/zinc/39/33/47/130393347.db2.gz RTTGDYKVEOSABO-RDDDGLTNSA-N 0 2 323.317 0.307 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)oc(=O)n3C)c1O ZINC000277956617 130651969 /nfs/dbraw/zinc/65/19/69/130651969.db2.gz CVICYMZREGUHBN-JTQLQIEISA-N 0 2 319.273 0.119 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N(C)CC(=O)N(C)C)c2=O ZINC000120249195 132143137 /nfs/dbraw/zinc/14/31/37/132143137.db2.gz RJSCKTYHNPZSBQ-UHFFFAOYSA-N 0 2 302.334 0.804 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1COCCO1 ZINC000030563244 395702817 /nfs/dbraw/zinc/70/28/17/395702817.db2.gz ATCMKYLLKYTYSY-SECBINFHSA-N 0 2 309.347 0.358 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc3c(c2)CC(=O)N3C)cn1 ZINC000032630785 395711567 /nfs/dbraw/zinc/71/15/67/395711567.db2.gz MXFVPPMVZNDHBI-UHFFFAOYSA-N 0 2 306.347 0.740 20 0 DCADLN CC(C)N(Cc1n[nH]c(=O)[nH]1)Cc1nc2ccccc2c(=O)[nH]1 ZINC000091599630 395726403 /nfs/dbraw/zinc/72/64/03/395726403.db2.gz CWPNYOGTZMUFFU-UHFFFAOYSA-N 0 2 314.349 0.745 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1ccc(CNC(N)=O)cc1 ZINC000194987533 395813040 /nfs/dbraw/zinc/81/30/40/395813040.db2.gz FBQMHAPPYJKMNI-UHFFFAOYSA-N 0 2 323.378 0.996 20 0 DCADLN COc1cc(C(=O)[O-])ccc1S(=O)(=O)N1CC[NH+](C)CC1 ZINC000223686652 395855819 /nfs/dbraw/zinc/85/58/19/395855819.db2.gz IULIXRORMSOWIA-UHFFFAOYSA-N 0 2 314.363 0.330 20 0 DCADLN COCC[NH+]1CCN(Cc2nc(=O)c3sccc3[n-]2)CC1 ZINC000194595839 395800418 /nfs/dbraw/zinc/80/04/18/395800418.db2.gz BEIOJLJIBBLAHA-UHFFFAOYSA-N 0 2 308.407 0.749 20 0 DCADLN CC(C)N(CC(F)F)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000123207081 395892554 /nfs/dbraw/zinc/89/25/54/395892554.db2.gz WJVZMQAWWGXXPW-UHFFFAOYSA-N 0 2 312.276 0.727 20 0 DCADLN CC[NH+]1CCN([C@H]2CC[N@@H+]([C@H](C)C(=O)NCC(C)C)C2)CC1 ZINC000245942877 395939650 /nfs/dbraw/zinc/93/96/50/395939650.db2.gz VNWCZMJGJQSMJD-CVEARBPZSA-N 0 2 310.486 0.859 20 0 DCADLN CC[C@H](CO)NC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000274580735 395959992 /nfs/dbraw/zinc/95/99/92/395959992.db2.gz GKOFUYKUKSMHBZ-LLVKDONJSA-N 0 2 319.365 0.954 20 0 DCADLN COC(=O)Cn1ccc(NS(=O)(=O)c2ccc(F)cc2)n1 ZINC000067899442 396037976 /nfs/dbraw/zinc/03/79/76/396037976.db2.gz QKYYGJKURQAKES-UHFFFAOYSA-N 0 2 313.310 0.996 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)Nc1cccc(C(N)=O)n1 ZINC000277070810 396048147 /nfs/dbraw/zinc/04/81/47/396048147.db2.gz SIBDRAMNYWYYLO-UHFFFAOYSA-N 0 2 318.255 0.576 20 0 DCADLN CN1CC[N@@H+](C)C[C@@H]1CNC(=O)[C@H]1Cc2ccccc2C[NH2+]1 ZINC000261993929 396088674 /nfs/dbraw/zinc/08/86/74/396088674.db2.gz UDPXMGIFKOPDOZ-JKSUJKDBSA-N 0 2 302.422 0.063 20 0 DCADLN COCC[N@H+](CC(=O)NCC(=O)[O-])Cc1ccc2c(c1)OCO2 ZINC000262156836 396104924 /nfs/dbraw/zinc/10/49/24/396104924.db2.gz BPOJNKZYMPSIJH-UHFFFAOYSA-N 0 2 324.333 0.065 20 0 DCADLN COCC[N@@H+](CC(=O)NCC(=O)[O-])Cc1ccc2c(c1)OCO2 ZINC000262156836 396104926 /nfs/dbraw/zinc/10/49/26/396104926.db2.gz BPOJNKZYMPSIJH-UHFFFAOYSA-N 0 2 324.333 0.065 20 0 DCADLN CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)Nc1nc(C)n[nH]1 ZINC000278107129 396105995 /nfs/dbraw/zinc/10/59/95/396105995.db2.gz ZHLNXZCMXXTPTP-SECBINFHSA-N 0 2 313.358 0.981 20 0 DCADLN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)Nc1nc(C)n[nH]1 ZINC000278107131 396106330 /nfs/dbraw/zinc/10/63/30/396106330.db2.gz ZHLNXZCMXXTPTP-VIFPVBQESA-N 0 2 313.358 0.981 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(C(=O)NC)c2)c1O ZINC000278113120 396107091 /nfs/dbraw/zinc/10/70/91/396107091.db2.gz PYHDNIKJOJJPRU-SNVBAGLBSA-N 0 2 305.290 0.034 20 0 DCADLN COC(=O)[C@H](NC(=O)c1cc(F)c(O)c(Cl)c1)[C@H](C)O ZINC000278702575 396140012 /nfs/dbraw/zinc/14/00/12/396140012.db2.gz QGSAZMXJDGVSPY-SSDLBLMSSA-N 0 2 305.689 0.837 20 0 DCADLN CC[C@@H]1C[C@H]1NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000280504809 396205104 /nfs/dbraw/zinc/20/51/04/396205104.db2.gz CMBXXMCQIFVPST-HZGVNTEJSA-N 0 2 310.335 0.513 20 0 DCADLN COC(=O)c1cn([C@@H]2CCN(c3cccc(C(=O)[O-])[nH+]3)C2)nn1 ZINC000263411778 396216346 /nfs/dbraw/zinc/21/63/46/396216346.db2.gz MNNAPMBAPYBNOA-SECBINFHSA-N 0 2 317.305 0.609 20 0 DCADLN COC(=O)CCCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000265761822 396341898 /nfs/dbraw/zinc/34/18/98/396341898.db2.gz CFHKPCVHYJRFLT-UHFFFAOYSA-N 0 2 320.305 0.427 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)Cc2ccccc2C)n1 ZINC000186594346 396353305 /nfs/dbraw/zinc/35/33/05/396353305.db2.gz RUALSHLBQSGNTE-UHFFFAOYSA-N 0 2 323.378 0.274 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc(N2CCCC2)nc1 ZINC000186841172 396359196 /nfs/dbraw/zinc/35/91/96/396359196.db2.gz CEQYFZAHTUEQDC-UHFFFAOYSA-N 0 2 320.378 0.824 20 0 DCADLN C[C@](O)(C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CC1 ZINC000285881756 396360109 /nfs/dbraw/zinc/36/01/09/396360109.db2.gz CHCNHJHTYPRUOF-SECBINFHSA-N 0 2 306.369 0.009 20 0 DCADLN COC(=O)N1CC(NC(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000269322099 396407393 /nfs/dbraw/zinc/40/73/93/396407393.db2.gz FLFSXAXTTJCHQT-UHFFFAOYSA-N 0 2 316.317 0.758 20 0 DCADLN COc1nnccc1NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000288937185 396450562 /nfs/dbraw/zinc/45/05/62/396450562.db2.gz NPOZPJCZFIXOPD-UHFFFAOYSA-N 0 2 306.244 0.881 20 0 DCADLN Cc1cc(C(=O)NCCC[C@H](C)CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000270420690 396465740 /nfs/dbraw/zinc/46/57/40/396465740.db2.gz GSDMGDJBUTWFFH-QMMMGPOBSA-N 0 2 320.349 0.883 20 0 DCADLN C/C=C/C[C@@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000519773720 396526304 /nfs/dbraw/zinc/52/63/04/396526304.db2.gz OEEZFCXPJOAAQW-QAVQXKDTSA-N 0 2 320.411 0.048 20 0 DCADLN CC(C)(C)c1cc(NCC2(O)CCOCC2)nc(C(=O)[O-])[nH+]1 ZINC000581134184 396528716 /nfs/dbraw/zinc/52/87/16/396528716.db2.gz NAQGELLZSVXUNQ-UHFFFAOYSA-N 0 2 309.366 0.848 20 0 DCADLN CO[C@@H]1COC[C@H]1NC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000581606167 396567999 /nfs/dbraw/zinc/56/79/99/396567999.db2.gz WANVBGMOYKTBKW-HTQZYQBOSA-N 0 2 300.384 0.793 20 0 DCADLN Cn1nccc1C[NH2+]C[C@@H](O)C[N@H+]1CCc2ccccc2C1 ZINC000292936457 396576926 /nfs/dbraw/zinc/57/69/26/396576926.db2.gz HLMGYVAAEHRYCN-QGZVFWFLSA-N 0 2 300.406 0.929 20 0 DCADLN Cc1nc(N2CCN(c3ncc(C(=O)[O-])cn3)CC2)cc[nH+]1 ZINC000563204192 396714252 /nfs/dbraw/zinc/71/42/52/396714252.db2.gz JHUYGSIJHGKPHA-UHFFFAOYSA-N 0 2 300.322 0.600 20 0 DCADLN CCNC(=O)NC(=O)C[N@@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000314616494 396784977 /nfs/dbraw/zinc/78/49/77/396784977.db2.gz FYSHUBKMLCGEQU-ZDUSSCGKSA-N 0 2 305.334 0.516 20 0 DCADLN CCNC(=O)NC(=O)C[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000314616494 396784982 /nfs/dbraw/zinc/78/49/82/396784982.db2.gz FYSHUBKMLCGEQU-ZDUSSCGKSA-N 0 2 305.334 0.516 20 0 DCADLN [NH3+][C@@H]1C[C@H]2C[N@H+](Cc3ccc(-n4cc[nH+]c4)cc3)CCN2C1=O ZINC000563432141 396732843 /nfs/dbraw/zinc/73/28/43/396732843.db2.gz KPPJEJREPYBXBG-JKSUJKDBSA-N 0 2 311.389 0.616 20 0 DCADLN COc1cc(C(=O)N=c2nc(C(C)(C)OC)[nH]s2)nn1C ZINC000634152011 396798220 /nfs/dbraw/zinc/79/82/20/396798220.db2.gz RIUDOBMZPUHAAS-UHFFFAOYSA-N 0 2 311.367 0.836 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(C)C ZINC000596712720 396821552 /nfs/dbraw/zinc/82/15/52/396821552.db2.gz CSGOPYNNIIXHGB-NSHDSACASA-N 0 2 319.317 0.957 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000590961952 396860071 /nfs/dbraw/zinc/86/00/71/396860071.db2.gz WNOMDXPZKZSCOM-VIFPVBQESA-N 0 2 323.330 0.403 20 0 DCADLN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cc(F)c(O)c(F)c1 ZINC000617887058 396861665 /nfs/dbraw/zinc/86/16/65/396861665.db2.gz CNFFAAAABVHJMS-UWVGGRQHSA-N 0 2 321.301 0.212 20 0 DCADLN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cc(F)c(O)c(F)c1 ZINC000617887057 396861938 /nfs/dbraw/zinc/86/19/38/396861938.db2.gz CNFFAAAABVHJMS-NXEZZACHSA-N 0 2 321.301 0.212 20 0 DCADLN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC2(C)COC2)cc1 ZINC000634197913 396811326 /nfs/dbraw/zinc/81/13/26/396811326.db2.gz JUKDDIXDTPKJBM-NSHDSACASA-N 0 2 312.391 0.567 20 0 DCADLN O=C(Cn1cccnc1=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000591187807 396884999 /nfs/dbraw/zinc/88/49/99/396884999.db2.gz ASKBLVMWTJGPJJ-UHFFFAOYSA-N 0 2 313.273 0.225 20 0 DCADLN CC(=O)N[C@H]1C(C)=NN(c2ncc(Br)cn2)C1=O ZINC000634595986 396889724 /nfs/dbraw/zinc/88/97/24/396889724.db2.gz SKNJJFKGHRTTIB-QMMMGPOBSA-N 0 2 312.127 0.466 20 0 DCADLN C[C@@H](CO)n1[nH]c(CN2C(=O)c3ccccc3C2=O)cc1=O ZINC000634616900 396891797 /nfs/dbraw/zinc/89/17/97/396891797.db2.gz AKKXVFXOVOQQOB-VIFPVBQESA-N 0 2 301.302 0.252 20 0 DCADLN CCOC(=O)c1ccc(NS(=O)(=O)c2cnnn2C)cn1 ZINC000600653684 396904918 /nfs/dbraw/zinc/90/49/18/396904918.db2.gz NSCSNLZVAZOPSF-UHFFFAOYSA-N 0 2 311.323 0.188 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)c2cnnn2C)cc1C ZINC000600648921 396905441 /nfs/dbraw/zinc/90/54/41/396905441.db2.gz WNRPLVJKNQOBIX-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COc1cc(CC(=O)N2CC[N@H+](C)C[C@@H]2C[NH3+])cc(OC)c1O ZINC000564943886 396906224 /nfs/dbraw/zinc/90/62/24/396906224.db2.gz AYMVYSRWBCQYAI-LBPRGKRZSA-N 0 2 323.393 0.053 20 0 DCADLN C[C@@](O)(CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C1CC1 ZINC000611693089 396913187 /nfs/dbraw/zinc/91/31/87/396913187.db2.gz GGQGGTJKNQLYNG-OAHLLOKOSA-N 0 2 303.318 0.921 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000630167818 396952778 /nfs/dbraw/zinc/95/27/78/396952778.db2.gz XQMPGOYZJCBDRQ-MBNYWOFBSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000630167818 396952784 /nfs/dbraw/zinc/95/27/84/396952784.db2.gz XQMPGOYZJCBDRQ-MBNYWOFBSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])[C@@H]1c2ccccc2CC[N@H+]1Cc1nnc2n1CCOC2 ZINC000571530066 396961353 /nfs/dbraw/zinc/96/13/53/396961353.db2.gz JDRQSKICZRVGFH-HNNXBMFYSA-N 0 2 314.345 0.992 20 0 DCADLN O=C([O-])[C@@H]1c2ccccc2CC[N@@H+]1Cc1nnc2n1CCOC2 ZINC000571530066 396961360 /nfs/dbraw/zinc/96/13/60/396961360.db2.gz JDRQSKICZRVGFH-HNNXBMFYSA-N 0 2 314.345 0.992 20 0 DCADLN CSC[C@H](CCO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597397409 396963687 /nfs/dbraw/zinc/96/36/87/396963687.db2.gz WQKAENXEZRCGMG-NSHDSACASA-N 0 2 323.374 0.874 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)CCOCC2CC2)c[nH]1 ZINC000591649299 396965196 /nfs/dbraw/zinc/96/51/96/396965196.db2.gz CLHOAJWCFXDAKC-UHFFFAOYSA-N 0 2 317.367 0.755 20 0 DCADLN CCOC(=O)c1ncc(NS(=O)(=O)CCOCC2CC2)[nH]1 ZINC000591649299 396965200 /nfs/dbraw/zinc/96/52/00/396965200.db2.gz CLHOAJWCFXDAKC-UHFFFAOYSA-N 0 2 317.367 0.755 20 0 DCADLN C[C@@H](C[S@@](C)=O)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597499032 396992373 /nfs/dbraw/zinc/99/23/73/396992373.db2.gz LGWTWKHASPYHCQ-HXNGOWOSSA-N 0 2 309.347 0.527 20 0 DCADLN C[N@H+]1CCOC[C@@H]1CNC(=O)Nc1ccc2c(c1)C[NH2+]CCO2 ZINC000625896793 397029615 /nfs/dbraw/zinc/02/96/15/397029615.db2.gz AMEUQAYSWKWDEZ-AWEZNQCLSA-N 0 2 320.393 0.621 20 0 DCADLN CN(CC(=O)Nc1ccc2c(c1)OCCO2)Cc1n[nH]c(=O)[nH]1 ZINC000626142584 397088084 /nfs/dbraw/zinc/08/80/84/397088084.db2.gz MUGTZJNEJQUSFO-UHFFFAOYSA-N 0 2 319.321 0.352 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2noc(C3CC3)n2)c1 ZINC000572318183 397046355 /nfs/dbraw/zinc/04/63/55/397046355.db2.gz MQPZDGPNSGWXPO-UHFFFAOYSA-N 0 2 324.318 0.929 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)NC[C@H]1CNC(=O)C1 ZINC000573693609 397196044 /nfs/dbraw/zinc/19/60/44/397196044.db2.gz JOCZICXNHNHPHK-SECBINFHSA-N 0 2 317.374 0.885 20 0 DCADLN Cc1cc(C(=O)NC2([C@@H](C)O)CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000620068612 397231853 /nfs/dbraw/zinc/23/18/53/397231853.db2.gz ZYJMZNFVQVSWHF-SSDOTTSWSA-N 0 2 304.306 0.388 20 0 DCADLN COC(=O)c1ccc(N2N=C(C)[C@@H](NC(C)=O)C2=O)c(F)c1 ZINC000601945895 397272012 /nfs/dbraw/zinc/27/20/12/397272012.db2.gz CEZRPGJKTVWMIE-GFCCVEGCSA-N 0 2 307.281 0.840 20 0 DCADLN COC(=O)c1ccc(-n2[nH]c(C)c(NC(C)=O)c2=O)c(F)c1 ZINC000601945895 397272019 /nfs/dbraw/zinc/27/20/19/397272019.db2.gz CEZRPGJKTVWMIE-GFCCVEGCSA-N 0 2 307.281 0.840 20 0 DCADLN C[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC[C@H]1O ZINC000613684428 397300699 /nfs/dbraw/zinc/30/06/99/397300699.db2.gz GJUGMIDLKSFXML-BXKDBHETSA-N 0 2 303.318 0.873 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@@H+]1CCCOc1ccc2c(c1)OCO2 ZINC000574838152 397303900 /nfs/dbraw/zinc/30/39/00/397303900.db2.gz PVERGAUJWCQCNA-LBPRGKRZSA-N 0 2 309.318 0.970 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@H+]1CCCOc1ccc2c(c1)OCO2 ZINC000574838152 397303902 /nfs/dbraw/zinc/30/39/02/397303902.db2.gz PVERGAUJWCQCNA-LBPRGKRZSA-N 0 2 309.318 0.970 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000578356885 397427259 /nfs/dbraw/zinc/42/72/59/397427259.db2.gz IRKWUPQDJUOQMM-LBPRGKRZSA-N 0 2 316.361 0.699 20 0 DCADLN O=C(COCC(F)(F)F)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000578773439 397482900 /nfs/dbraw/zinc/48/29/00/397482900.db2.gz MJHJHTCAGPYTDK-ZETCQYMHSA-N 0 2 308.260 0.795 20 0 DCADLN CN(C)C(=O)OC[C@H]1CCCC[N@H+]1C[C@H](O)C[NH+](C)C1CC1 ZINC000495849585 397560801 /nfs/dbraw/zinc/56/08/01/397560801.db2.gz KNPNWPAJJFINNS-HUUCEWRRSA-N 0 2 313.442 0.994 20 0 DCADLN Cc1ccncc1/C=C\C(=O)NS(=O)(=O)c1cnn(C)c1 ZINC000492500669 397522083 /nfs/dbraw/zinc/52/20/83/397522083.db2.gz LNNOVYOJJVOBPP-ARJAWSKDSA-N 0 2 306.347 0.642 20 0 DCADLN CNC(=O)c1cccc(NS(=O)(=O)c2cnn(C)c2)c1C ZINC000055589009 158023227 /nfs/dbraw/zinc/02/32/27/158023227.db2.gz ALKLGNVVLKSDDC-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)oc(=O)n3C)cn1 ZINC000076839371 158066936 /nfs/dbraw/zinc/06/69/36/158066936.db2.gz XMADWBITKXLMMO-UHFFFAOYSA-N 0 2 308.319 0.666 20 0 DCADLN Cn1cnc(CNC(=O)C2=NN(c3ccc(F)cc3)CC2=O)n1 ZINC000091869368 158111218 /nfs/dbraw/zinc/11/12/18/158111218.db2.gz PYRFLLQGHIWNNH-UHFFFAOYSA-N 0 2 316.296 0.776 20 0 DCADLN Cc1ccc(CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cc1 ZINC000092618420 158114652 /nfs/dbraw/zinc/11/46/52/158114652.db2.gz PCKOAVGRSUSZDT-UHFFFAOYSA-N 0 2 315.377 0.293 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)CN1Cc1nc(=O)c2sccc2[nH]1 ZINC000109760294 158138781 /nfs/dbraw/zinc/13/87/81/158138781.db2.gz VIPWDNYXZBVLBM-APPZFPTMSA-N 0 2 309.347 0.093 20 0 DCADLN C[C@@H](CS(C)(=O)=O)N(Cc1cc(=O)n2[nH]ccc2n1)C1CC1 ZINC000113262227 158145946 /nfs/dbraw/zinc/14/59/46/158145946.db2.gz RFNRZQBXLGSCPW-JTQLQIEISA-N 0 2 324.406 0.420 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCC[C@@H](C(N)=O)C1)c2=O ZINC000119276469 158186127 /nfs/dbraw/zinc/18/61/27/158186127.db2.gz PLLXQMZRUNMPQC-BDAKNGLRSA-N 0 2 317.349 0.448 20 0 DCADLN CNC(=O)C(C)(C)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119399254 158187322 /nfs/dbraw/zinc/18/73/22/158187322.db2.gz DPKUNPPWNDMSMQ-UHFFFAOYSA-N 0 2 305.338 0.176 20 0 DCADLN Cc1cc(C(=O)Nc2ccn(C)n2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000172602209 158396932 /nfs/dbraw/zinc/39/69/32/158396932.db2.gz KSFYVROUYRVMPB-UHFFFAOYSA-N 0 2 300.278 0.318 20 0 DCADLN O=C([O-])C1(O)CCN(C(=O)Cc2cn3ccccc3[nH+]2)CC1 ZINC000262558254 158824970 /nfs/dbraw/zinc/82/49/70/158824970.db2.gz YVSRPHCKKPQAFY-UHFFFAOYSA-N 0 2 303.318 0.315 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccccc1OCCC(N)=O ZINC000315860310 159001801 /nfs/dbraw/zinc/00/18/01/159001801.db2.gz CHFNJTFCOYOCGM-UHFFFAOYSA-N 0 2 324.362 0.773 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)C[C@H]3CCCO3)C2)[nH]1 ZINC000328840644 159034193 /nfs/dbraw/zinc/03/41/93/159034193.db2.gz OIKRJNFPXOQQMJ-VHSXEESVSA-N 0 2 316.383 0.199 20 0 DCADLN C[C@@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)C[C@@]2(CCOC2)O1 ZINC000329349515 159084450 /nfs/dbraw/zinc/08/44/50/159084450.db2.gz SRWWBVFGZGIESQ-PRHODGIISA-N 0 2 314.367 0.009 20 0 DCADLN C[C@@H]1CCCN1S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329596713 159105725 /nfs/dbraw/zinc/10/57/25/159105725.db2.gz PEWLORSNSHKDIM-NXEZZACHSA-N 0 2 315.399 0.419 20 0 DCADLN Cc1nc(CN2CCN(C(=O)c3cncc(O)c3)CC2)n[nH]1 ZINC000330480478 159180237 /nfs/dbraw/zinc/18/02/37/159180237.db2.gz NVDGAPOTOPKBHO-UHFFFAOYSA-N 0 2 302.338 0.172 20 0 DCADLN Cc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1S(C)(=O)=O ZINC000359283693 159262102 /nfs/dbraw/zinc/26/21/02/159262102.db2.gz XZOJRTFLWQLYNH-UHFFFAOYSA-N 0 2 310.335 0.152 20 0 DCADLN O=c1[nH]ccc2c1CN(S(=O)(=O)NCC(F)(F)F)CC2 ZINC000374206777 159723349 /nfs/dbraw/zinc/72/33/49/159723349.db2.gz URDVTVBDNBWKLJ-UHFFFAOYSA-N 0 2 311.285 0.542 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCOC[C@H]1[C@H]1CCCO1 ZINC000376218969 159846224 /nfs/dbraw/zinc/84/62/24/159846224.db2.gz MAINVVQVJPPPNR-DTWKUNHWSA-N 0 2 314.367 0.009 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)CC[C@H]1CCCCO1 ZINC000408450461 160111017 /nfs/dbraw/zinc/11/10/17/160111017.db2.gz JIEVHSNTIBAAMZ-LLVKDONJSA-N 0 2 315.395 0.883 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)CC[C@H]2CCCCO2)cnn1C ZINC000408485204 160118279 /nfs/dbraw/zinc/11/82/79/160118279.db2.gz PRHQELKTRDUQBQ-LLVKDONJSA-N 0 2 315.395 0.883 20 0 DCADLN CCn1c2ccccc2nc1[C@H](C)NC(=O)c1nc(=O)[nH][nH]1 ZINC000128055955 286980755 /nfs/dbraw/zinc/98/07/55/286980755.db2.gz WIPSKVXRGRRDQR-QMMMGPOBSA-N 0 2 300.322 0.959 20 0 DCADLN CCNC(=O)c1ccc(C)c(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000128730790 286984277 /nfs/dbraw/zinc/98/42/77/286984277.db2.gz FEYXSLUMMTVMIK-UHFFFAOYSA-N 0 2 318.337 0.478 20 0 DCADLN O=C(Cn1nnc2ccccc2c1=O)Nc1ccc2nn[nH]c2c1 ZINC000153105280 287046943 /nfs/dbraw/zinc/04/69/43/287046943.db2.gz XXDLRYGQMNIIMH-UHFFFAOYSA-N 0 2 321.300 0.702 20 0 DCADLN COC(=O)[C@@H]1CCN(S(=O)(=O)NCC(F)(F)F)C[C@@H]1C ZINC000249654615 287117376 /nfs/dbraw/zinc/11/73/76/287117376.db2.gz ZDBVVXLSBSUWNP-JGVFFNPUSA-N 0 2 318.317 0.514 20 0 DCADLN CCOC(=O)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266205566 287129849 /nfs/dbraw/zinc/12/98/49/287129849.db2.gz ZTRNSAGKWBWRDB-UHFFFAOYSA-N 0 2 306.278 0.037 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(F)ccc1-n1cccn1 ZINC000356287208 287200630 /nfs/dbraw/zinc/20/06/30/287200630.db2.gz UJOYWDKLTGNEPM-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN NS(=O)(=O)c1ccc(C(=O)Nc2nnc(C(F)F)s2)o1 ZINC000352457174 415180159 /nfs/dbraw/zinc/18/01/59/415180159.db2.gz VEGRWWCXHXUVMW-UHFFFAOYSA-N 0 2 324.290 0.968 20 0 DCADLN COc1cccc2[nH]cc(CC(=O)NCc3n[nH]c(=O)[nH]3)c21 ZINC000352451256 415180201 /nfs/dbraw/zinc/18/02/01/415180201.db2.gz SEJYVUNTIVEEMQ-UHFFFAOYSA-N 0 2 301.306 0.859 20 0 DCADLN CO[C@H]1CCC[C@H]1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342520059 415197483 /nfs/dbraw/zinc/19/74/83/415197483.db2.gz GROGFAZENKGAHT-KWQFWETISA-N 0 2 318.333 0.981 20 0 DCADLN CCc1ccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)cc1 ZINC000342771124 415292074 /nfs/dbraw/zinc/29/20/74/415292074.db2.gz MJTJZTKRGUFJSA-UHFFFAOYSA-N 0 2 308.363 0.732 20 0 DCADLN CN(C)C(=O)c1cc(F)ccc1NS(=O)(=O)N1CCC1 ZINC000332458875 415407712 /nfs/dbraw/zinc/40/77/12/415407712.db2.gz PAFBDRGXHAJMOL-UHFFFAOYSA-N 0 2 301.343 0.890 20 0 DCADLN Cc1ccccc1[C@@H](O)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274937796 415363587 /nfs/dbraw/zinc/36/35/87/415363587.db2.gz DLIJUZWSQSSPIV-JTQLQIEISA-N 0 2 308.363 0.348 20 0 DCADLN NC(C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2)C(F)(F)F ZINC000352925187 415374614 /nfs/dbraw/zinc/37/46/14/415374614.db2.gz XILYEKJCEUIRST-SECBINFHSA-N 0 2 308.281 0.845 20 0 DCADLN N[C@H](C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2)C(F)(F)F ZINC000352925187 415374619 /nfs/dbraw/zinc/37/46/19/415374619.db2.gz XILYEKJCEUIRST-SECBINFHSA-N 0 2 308.281 0.845 20 0 DCADLN COCCOc1cccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000080975310 415480608 /nfs/dbraw/zinc/48/06/08/415480608.db2.gz AYPZVZIXMXVAJO-UHFFFAOYSA-N 0 2 320.349 0.486 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCNC(=O)C2CC2)c1 ZINC000424536050 287307956 /nfs/dbraw/zinc/30/79/56/287307956.db2.gz DEKNHXYEEAPRTP-QFIPXVFZSA-N 0 2 324.402 0.445 20 0 DCADLN CO[N-]C(=O)C(=O)Nc1cccc([C@H](C)[NH+]2CCOCC2)c1 ZINC000276115975 415434677 /nfs/dbraw/zinc/43/46/77/415434677.db2.gz KZAMSIGONCBYNN-NSHDSACASA-N 0 2 307.350 0.696 20 0 DCADLN C[NH+](C)[C@@]1(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)CCSC1 ZINC000332975259 415519125 /nfs/dbraw/zinc/51/91/25/415519125.db2.gz GFLBLQWBIOJBBQ-SWLSCSKDSA-N 0 2 323.466 0.934 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCNC(=O)[C@H]2C)c1 ZINC000424635206 287311480 /nfs/dbraw/zinc/31/14/80/287311480.db2.gz BMGXJUVBCGLDOS-UZJPJQLHSA-N 0 2 310.375 0.150 20 0 DCADLN CO[C@H](C[NH3+])C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000353438075 415544071 /nfs/dbraw/zinc/54/40/71/415544071.db2.gz YVBGSCXKGRBJEE-MBNYWOFBSA-N 0 2 322.409 0.285 20 0 DCADLN Cc1nnc(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)s1 ZINC000353655962 415617458 /nfs/dbraw/zinc/61/74/58/415617458.db2.gz JDLVVESMCNYBDM-UHFFFAOYSA-N 0 2 318.318 1.157 20 0 DCADLN C[C@H](NC(=O)C[C@H]1NC(=O)NC1=O)c1ccc2n[nH]cc2c1 ZINC000343411930 415590596 /nfs/dbraw/zinc/59/05/96/415590596.db2.gz NGPWGRUKOLYVBT-WRWORJQWSA-N 0 2 301.306 0.338 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000108064807 415676065 /nfs/dbraw/zinc/67/60/65/415676065.db2.gz XFJXKHNPDWDEEY-JOCHJYFZSA-N 0 2 324.402 0.494 20 0 DCADLN CO[C@@H]1COC[C@@H]1NC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000333658440 415708560 /nfs/dbraw/zinc/70/85/60/415708560.db2.gz WANVBGMOYKTBKW-JGVFFNPUSA-N 0 2 300.384 0.793 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)CN=c1nc(C)[nH]s1 ZINC000354073398 415743715 /nfs/dbraw/zinc/74/37/15/415743715.db2.gz WUOFVPPJAFJUDE-SECBINFHSA-N 0 2 300.384 0.384 20 0 DCADLN Cc1nnc([C@H](C)NS(=O)(=O)c2c(N)noc2C)s1 ZINC000289942366 415737255 /nfs/dbraw/zinc/73/72/55/415737255.db2.gz RYQFAQLQEMYNRC-BYPYZUCNSA-N 0 2 303.369 0.765 20 0 DCADLN COC(=O)Cc1occc1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000354974989 415833939 /nfs/dbraw/zinc/83/39/39/415833939.db2.gz VTYHAJPWVRDXPU-UHFFFAOYSA-N 0 2 308.294 0.181 20 0 DCADLN Cc1c[nH]cc(C(=O)NC[C@@H]2C[N@H+](CC(C)C)CCO2)c1=O ZINC000334032559 415789481 /nfs/dbraw/zinc/78/94/81/415789481.db2.gz KHZLMBARIBJYON-CYBMUJFWSA-N 0 2 307.394 0.770 20 0 DCADLN Cc1c[nH]cc(C(=O)NC[C@@H]2CN(CC(C)C)CCO2)c1=O ZINC000334032559 415789496 /nfs/dbraw/zinc/78/94/96/415789496.db2.gz KHZLMBARIBJYON-CYBMUJFWSA-N 0 2 307.394 0.770 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@@H]3CC[C@H](CO)O3)c[nH]c2n1 ZINC000356562080 415951652 /nfs/dbraw/zinc/95/16/52/415951652.db2.gz ZLQQVLRJWAEHFX-WDEREUQCSA-N 0 2 317.345 0.914 20 0 DCADLN CN1CCOC[C@@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000344666955 415967709 /nfs/dbraw/zinc/96/77/09/415967709.db2.gz QKLCRKHKVDANIO-LLVKDONJSA-N 0 2 304.306 0.299 20 0 DCADLN CO[C@@H](C)c1nsc(NCCNC(=O)c2cccnc2)n1 ZINC000337920851 416021423 /nfs/dbraw/zinc/02/14/23/416021423.db2.gz ZQMRKTVRGNTFOW-VIFPVBQESA-N 0 2 307.379 0.904 20 0 DCADLN CCN(CC)S(=O)(=O)CCNc1nc([C@@H](C)OC)ns1 ZINC000337938343 416024448 /nfs/dbraw/zinc/02/44/48/416024448.db2.gz UWQBGQBTHADABL-SECBINFHSA-N 0 2 322.456 0.751 20 0 DCADLN Cc1n[nH]c(NC(=O)CNS(=O)(=O)c2cccs2)n1 ZINC000171549278 416040367 /nfs/dbraw/zinc/04/03/67/416040367.db2.gz BUVLJOANQRDZIE-UHFFFAOYSA-N 0 2 301.353 0.092 20 0 DCADLN COCCn1ccc(NS(=O)(=O)c2ccc(C(N)=O)cc2)n1 ZINC000357519683 416092019 /nfs/dbraw/zinc/09/20/19/416092019.db2.gz ONNNAJCGTOBZHL-UHFFFAOYSA-N 0 2 324.362 0.429 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2ccc(F)cn2)cn1C ZINC000345526907 416109269 /nfs/dbraw/zinc/10/92/69/416109269.db2.gz HTOQFFOACOLALA-UHFFFAOYSA-N 0 2 312.326 0.720 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)c1ccon1 ZINC000357939137 416156921 /nfs/dbraw/zinc/15/69/21/416156921.db2.gz GCTHNTCALILLIE-ZCFIWIBFSA-N 0 2 301.262 0.915 20 0 DCADLN NC(=O)C1(NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)CC1 ZINC000181192584 416203586 /nfs/dbraw/zinc/20/35/86/416203586.db2.gz CBZCCMOUJBIHNF-UHFFFAOYSA-N 0 2 304.281 0.465 20 0 DCADLN C[C@@H]1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@@H]1CO ZINC000358342903 416225180 /nfs/dbraw/zinc/22/51/80/416225180.db2.gz VARJASZJXVGCGH-BXKDBHETSA-N 0 2 304.350 0.907 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCC(=O)NC(C)(C)C)c2=O ZINC000358338145 416225333 /nfs/dbraw/zinc/22/53/33/416225333.db2.gz MYPQEWQTTKEWJK-UHFFFAOYSA-N 0 2 319.365 0.709 20 0 DCADLN CCC[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)OC ZINC000358362986 416230312 /nfs/dbraw/zinc/23/03/12/416230312.db2.gz XEDXQUBEISAAKG-JTQLQIEISA-N 0 2 306.322 0.745 20 0 DCADLN CC(C)[C@@H](C(=O)NC1(c2nn[nH]n2)CC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000348295004 416237501 /nfs/dbraw/zinc/23/75/01/416237501.db2.gz UZBKWCFNNZLIOC-TUAOUCFPSA-N 0 2 322.413 0.439 20 0 DCADLN CC1(C)CN(CCNS(=O)(=O)NCC(F)(F)F)CCO1 ZINC000192028544 416297493 /nfs/dbraw/zinc/29/74/93/416297493.db2.gz LOZRGXGGZXFXEC-UHFFFAOYSA-N 0 2 319.349 0.084 20 0 DCADLN C[C@@H](NC(=O)CC1CCCCC1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358955551 416297827 /nfs/dbraw/zinc/29/78/27/416297827.db2.gz QFFZJTRNZGYRBI-SECBINFHSA-N 0 2 309.370 0.602 20 0 DCADLN CC(C)S(=O)(=O)c1ccccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358957839 416298960 /nfs/dbraw/zinc/29/89/60/416298960.db2.gz WCKJYZRMXIMTPC-UHFFFAOYSA-N 0 2 324.362 0.622 20 0 DCADLN O=S(=O)(NCc1ccc(CO)c(F)c1)NCC(F)(F)F ZINC000195283261 416325859 /nfs/dbraw/zinc/32/58/59/416325859.db2.gz ZBTAJHNPYHKXHQ-UHFFFAOYSA-N 0 2 316.276 0.804 20 0 DCADLN NC(=O)[C@@H]1CCCC[C@@H]1NS(=O)(=O)NCC(F)(F)F ZINC000195336358 416326071 /nfs/dbraw/zinc/32/60/71/416326071.db2.gz YCVLKAXOJVLZNC-RQJHMYQMSA-N 0 2 303.306 0.017 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@@](O)(C(F)(F)F)C1 ZINC000195315275 416326321 /nfs/dbraw/zinc/32/63/21/416326321.db2.gz WFBCLYJVGSGUNG-YFKPBYRVSA-N 0 2 316.223 0.382 20 0 DCADLN CCC(CC)[C@H](C(=O)NCc1n[nH]c(=O)[n-]1)[NH+]1CCOCC1 ZINC000359812535 416360032 /nfs/dbraw/zinc/36/00/32/416360032.db2.gz GONBBKVPENEMPL-GFCCVEGCSA-N 0 2 311.386 0.264 20 0 DCADLN CC(C)[C@@]1(CO)CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000360171256 416376969 /nfs/dbraw/zinc/37/69/69/416376969.db2.gz NMDMABYELPTNQM-ZDUSSCGKSA-N 0 2 314.411 0.860 20 0 DCADLN CC(=O)Nc1cc(S(=O)(=O)Nc2ccn(C)n2)ccc1O ZINC000360499032 416393999 /nfs/dbraw/zinc/39/39/99/416393999.db2.gz DYGLOXHKCPMOJF-UHFFFAOYSA-N 0 2 310.335 0.885 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N[C@@H](C)C(F)(F)F ZINC000360671644 416402394 /nfs/dbraw/zinc/40/23/94/416402394.db2.gz DWRKWJGEVSHPOL-ZETCQYMHSA-N 0 2 302.256 0.941 20 0 DCADLN CC(C)[N@@H+]1CC[C@H](N(C)C(=O)NCC[NH+]2CCOC[C@@H]2C)C1 ZINC000458315739 416451951 /nfs/dbraw/zinc/45/19/51/416451951.db2.gz ZMPCZQUIXJFWEG-GJZGRUSLSA-N 0 2 312.458 0.831 20 0 DCADLN C[N@H+](CC(=O)[O-])[C@H]1CN(Cc2cc3ccccc3o2)C[C@H]1O ZINC000435631951 416528660 /nfs/dbraw/zinc/52/86/60/416528660.db2.gz JISQTBQYMVNBLX-UONOGXRCSA-N 0 2 304.346 0.994 20 0 DCADLN C[N@@H+](CC(=O)[O-])[C@H]1CN(Cc2cc3ccccc3o2)C[C@H]1O ZINC000435631951 416528663 /nfs/dbraw/zinc/52/86/63/416528663.db2.gz JISQTBQYMVNBLX-UONOGXRCSA-N 0 2 304.346 0.994 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)C[C@@H](OC)C(C)C)cn1 ZINC000592383200 416542518 /nfs/dbraw/zinc/54/25/18/416542518.db2.gz MAAXHRZUUIQSGD-SNVBAGLBSA-N 0 2 317.367 0.676 20 0 DCADLN O=C([O-])c1cn([C@H]2CCN(c3cc(NC4CC4)[nH+]cn3)C2)nn1 ZINC000584226792 416508031 /nfs/dbraw/zinc/50/80/31/416508031.db2.gz OMSWCWWVDISVMR-JTQLQIEISA-N 0 2 315.337 0.792 20 0 DCADLN O=C([O-])c1cn([C@H]2CCN(c3cc(NC4CC4)nc[nH+]3)C2)nn1 ZINC000584226792 416508035 /nfs/dbraw/zinc/50/80/35/416508035.db2.gz OMSWCWWVDISVMR-JTQLQIEISA-N 0 2 315.337 0.792 20 0 DCADLN COC(=O)[C@](C)(O)CNC(=O)c1cc(F)cc(Cl)c1O ZINC000436532902 416563176 /nfs/dbraw/zinc/56/31/76/416563176.db2.gz HPKZXXZTSFEHOZ-GFCCVEGCSA-N 0 2 305.689 0.839 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)c2cccnc2)n1 ZINC000424222473 416630107 /nfs/dbraw/zinc/63/01/07/416630107.db2.gz MZHTXZOGOLVYIM-UHFFFAOYSA-N 0 2 311.323 0.037 20 0 DCADLN CC(C)(CCNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)C(=O)[O-] ZINC000424398897 416640385 /nfs/dbraw/zinc/64/03/85/416640385.db2.gz BHXXJJPRTSXRTP-TXEJJXNPSA-N 0 2 313.398 0.650 20 0 DCADLN CC(C)(CCNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)C(=O)[O-] ZINC000424398897 416640388 /nfs/dbraw/zinc/64/03/88/416640388.db2.gz BHXXJJPRTSXRTP-TXEJJXNPSA-N 0 2 313.398 0.650 20 0 DCADLN Cc1cc(C[NH3+])cc(NC(=O)C(=O)NCCCn2cc[nH+]c2)c1 ZINC000424444015 416644441 /nfs/dbraw/zinc/64/44/41/416644441.db2.gz YTHIQTNZKDMTHV-UHFFFAOYSA-N 0 2 315.377 0.795 20 0 DCADLN COc1cccc(S([O-])=CC(=O)NCCn2cc[nH+]c2)c1 ZINC000424582620 416664949 /nfs/dbraw/zinc/66/49/49/416664949.db2.gz JNXXLBAWTKIWSD-NRFANRHFSA-N 0 2 307.375 0.816 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCC[C@@H]2C(N)=O)c1 ZINC000424893704 416673359 /nfs/dbraw/zinc/67/33/59/416673359.db2.gz PHMDZBIQSWODME-SASJJMKUSA-N 0 2 324.402 0.573 20 0 DCADLN Cc1ncc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c(N)n1 ZINC000614518711 416688387 /nfs/dbraw/zinc/68/83/87/416688387.db2.gz KWEZFLPBSIHEFS-MRVPVSSYSA-N 0 2 303.326 0.211 20 0 DCADLN CNC(=O)c1ccc(C[NH+](C)C[C@@H](O)C[N@H+](C)CCOC)cc1 ZINC000515118725 416692893 /nfs/dbraw/zinc/69/28/93/416692893.db2.gz KSLWXYHXJFIEOQ-INIZCTEOSA-N 0 2 323.437 0.417 20 0 DCADLN C[C@@H](O)CN(C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)[C@@H](C)CO ZINC000442702353 416706646 /nfs/dbraw/zinc/70/66/46/416706646.db2.gz FFUARUQBPSRWNQ-VHSXEESVSA-N 0 2 321.333 0.234 20 0 DCADLN CCc1nn(C)cc1CNS(=O)(=O)NCC(F)(F)F ZINC000443046269 416727691 /nfs/dbraw/zinc/72/76/91/416727691.db2.gz JEDXDTHLENZILF-UHFFFAOYSA-N 0 2 300.306 0.469 20 0 DCADLN CO[C@H](CCNS(=O)(=O)NCC(F)(F)F)C(F)(F)F ZINC000443210229 416735840 /nfs/dbraw/zinc/73/58/40/416735840.db2.gz HSZBZWIDLBKZKD-RXMQYKEDSA-N 0 2 318.239 0.940 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC000623177526 416762090 /nfs/dbraw/zinc/76/20/90/416762090.db2.gz ATCOMGJCDAPIAU-UEKVPHQBSA-N 0 2 305.334 0.005 20 0 DCADLN O=C(c1cc(F)cc2n[nH]nc21)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000558630889 416780231 /nfs/dbraw/zinc/78/02/31/416780231.db2.gz ZVJAXPNSQAQUPE-ZETCQYMHSA-N 0 2 316.300 0.630 20 0 DCADLN O=C(c1cc(F)cc2nn[nH]c21)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000558630889 416780243 /nfs/dbraw/zinc/78/02/43/416780243.db2.gz ZVJAXPNSQAQUPE-ZETCQYMHSA-N 0 2 316.300 0.630 20 0 DCADLN COc1cc(CS(=O)(=O)NCC(F)(F)CO)sn1 ZINC000641427652 416848522 /nfs/dbraw/zinc/84/85/22/416848522.db2.gz ATCXOEHTRCGARR-UHFFFAOYSA-N 0 2 302.324 0.199 20 0 DCADLN CCN1C[C@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1=O ZINC000615849382 416921724 /nfs/dbraw/zinc/92/17/24/416921724.db2.gz HOGOAJSQKHTFNQ-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN Cc1ccc(F)c(S(=O)(=O)NCc2n[nH]c(=O)n2C)c1 ZINC000641654215 416966464 /nfs/dbraw/zinc/96/64/64/416966464.db2.gz UFQCZUFAHFKWHV-UHFFFAOYSA-N 0 2 300.315 0.447 20 0 DCADLN CO[C@H](CNC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+])c1ccccc1 ZINC000521236460 416998041 /nfs/dbraw/zinc/99/80/41/416998041.db2.gz ZBFZHNVDVSYTSY-LSDHHAIUSA-N 0 2 306.410 0.658 20 0 DCADLN C[C@H](O)CNc1cc(NC[C@H](C(=O)[O-])c2ccccc2)[nH+]cn1 ZINC000566297724 417043823 /nfs/dbraw/zinc/04/38/23/417043823.db2.gz QDQRRFPLOZKQCB-AAEUAGOBSA-N 0 2 316.361 1.550 20 0 DCADLN C[C@H](O)CNc1cc(NC[C@H](C(=O)[O-])c2ccccc2)nc[nH+]1 ZINC000566297724 417043827 /nfs/dbraw/zinc/04/38/27/417043827.db2.gz QDQRRFPLOZKQCB-AAEUAGOBSA-N 0 2 316.361 1.550 20 0 DCADLN COC1CC(C(=O)Nc2nnc(NS(C)(=O)=O)s2)C1 ZINC000624517418 417052775 /nfs/dbraw/zinc/05/27/75/417052775.db2.gz WEPHKXUEXDFRPH-UHFFFAOYSA-N 0 2 306.369 0.273 20 0 DCADLN CCO[C@@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CC1 ZINC000624517436 417054636 /nfs/dbraw/zinc/05/46/36/417054636.db2.gz WYXVYDDBPYEZGM-SSDOTTSWSA-N 0 2 320.396 0.663 20 0 DCADLN O=C(N[C@H](CO)[C@H]1CCCO1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000448541888 417084609 /nfs/dbraw/zinc/08/46/09/417084609.db2.gz HVKVVACHWRDORH-VXGBXAGGSA-N 0 2 319.317 0.300 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc2c(c1)OCC(=O)N2C ZINC000524945332 417124762 /nfs/dbraw/zinc/12/47/62/417124762.db2.gz BSIHDUJSOWMSMF-UHFFFAOYSA-N 0 2 322.346 0.874 20 0 DCADLN O=C([O-])c1coc(NCc2ccc[nH+]c2N2CCOCC2)n1 ZINC000568399341 417132409 /nfs/dbraw/zinc/13/24/09/417132409.db2.gz KCDYPCHEKDPMME-UHFFFAOYSA-N 0 2 304.306 1.217 20 0 DCADLN O=C(Nc1ccc2c(c1)C(=O)NC2=O)c1c(=O)[nH][nH]c1C1CC1 ZINC000568747217 417170101 /nfs/dbraw/zinc/17/01/01/417170101.db2.gz FHZOKSLDPIIRAH-JTQLQIEISA-N 0 2 312.285 0.021 20 0 DCADLN CC(=O)N[C@H](CC(C)C)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000626929698 417174314 /nfs/dbraw/zinc/17/43/14/417174314.db2.gz QLMXUSZGDFZITK-NWDGAFQWSA-N 0 2 323.397 0.767 20 0 DCADLN COCC1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC000569579274 417266980 /nfs/dbraw/zinc/26/69/80/417266980.db2.gz IZEFQPZIHMBNHI-NSHDSACASA-N 0 2 324.381 0.660 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@H+]1CCC[C@@H](OCC2CC2)C1 ZINC000569619283 417276309 /nfs/dbraw/zinc/27/63/09/417276309.db2.gz QKVLWBHGXCBUKY-JKSUJKDBSA-N 0 2 300.443 0.817 20 0 DCADLN CC[C@H](CNS(C)(=O)=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000450674091 417258790 /nfs/dbraw/zinc/25/87/90/417258790.db2.gz MKWSPPHVOAUTHF-MRVPVSSYSA-N 0 2 306.457 0.997 20 0 DCADLN CC[C@@H](Nc1nc(N)c2cc(OC)c(OC)cc2[nH+]1)C(=O)[O-] ZINC000569557385 417263413 /nfs/dbraw/zinc/26/34/13/417263413.db2.gz OJWLVSCXDUKYGX-MRVPVSSYSA-N 0 2 306.322 0.926 20 0 DCADLN CC[C@@H](Nc1nc2cc(OC)c(OC)cc2c(N)[nH+]1)C(=O)[O-] ZINC000569557385 417263419 /nfs/dbraw/zinc/26/34/19/417263419.db2.gz OJWLVSCXDUKYGX-MRVPVSSYSA-N 0 2 306.322 0.926 20 0 DCADLN CCO[C@H]1C[C@]([NH3+])(C(=O)N=c2nc(COC)[n-]s2)C1(C)C ZINC000570159918 417317421 /nfs/dbraw/zinc/31/74/21/417317421.db2.gz RIUFLVOIUIGMMC-SDBXPKJASA-N 0 2 314.411 0.577 20 0 DCADLN CN(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NC[C@@H]2CCCO2)C1 ZINC000451998525 417426939 /nfs/dbraw/zinc/42/69/39/417426939.db2.gz SGPPHVXTBWKSCV-STQMWFEESA-N 0 2 312.414 0.017 20 0 DCADLN C[C@@H]1CCCN(C(=O)C[N@@H+]2CCC[C@@H](NC(=O)N(C)C)C2)C1 ZINC000451998186 417429160 /nfs/dbraw/zinc/42/91/60/417429160.db2.gz SBHUOPLSAZUYCZ-ZIAGYGMSSA-N 0 2 310.442 0.981 20 0 DCADLN CS(=O)(=O)NCC[N@@H+]1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000530169108 417546415 /nfs/dbraw/zinc/54/64/15/417546415.db2.gz QIQNJFORDVEDGT-UHFFFAOYSA-N 0 2 316.354 0.431 20 0 DCADLN CS(=O)(=O)NCC[N@H+]1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000530169108 417546418 /nfs/dbraw/zinc/54/64/18/417546418.db2.gz QIQNJFORDVEDGT-UHFFFAOYSA-N 0 2 316.354 0.431 20 0 DCADLN COc1c(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c(C)nn1C ZINC000575048332 417503433 /nfs/dbraw/zinc/50/34/33/417503433.db2.gz RPNACHKSVAWXRU-VIFPVBQESA-N 0 2 320.353 0.581 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cnc3c(c2)COCC3)cnn1C ZINC000644635047 417634984 /nfs/dbraw/zinc/63/49/84/417634984.db2.gz TWWPMRGOCRMWGG-UHFFFAOYSA-N 0 2 308.363 0.997 20 0 DCADLN CN=c1[nH]nc(CC(=O)N[C@H]2CCc3nc(N)sc3C2)s1 ZINC000578242025 417683462 /nfs/dbraw/zinc/68/34/62/417683462.db2.gz GMBBBRZRMAZXJV-LURJTMIESA-N 0 2 324.435 0.257 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2CCC[C@H](C(=O)[O-])C2)CCO1 ZINC000635508020 417681925 /nfs/dbraw/zinc/68/19/25/417681925.db2.gz SDMDEQLFTZWIEJ-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2CCC[C@H](C(=O)[O-])C2)CCO1 ZINC000635508020 417681929 /nfs/dbraw/zinc/68/19/29/417681929.db2.gz SDMDEQLFTZWIEJ-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN CCCN(C(=O)N[C@H](C)[C@@H]1C[NH+](C)CCO1)[C@H]1CC[N@H+](C)C1 ZINC000645378403 417737058 /nfs/dbraw/zinc/73/70/58/417737058.db2.gz HMRCCDPSLMBWAC-ILXRZTDVSA-N 0 2 312.458 0.831 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1CCCC(F)(F)C1 ZINC000651964111 417824158 /nfs/dbraw/zinc/82/41/58/417824158.db2.gz GQSBUDJQNIURMM-UHFFFAOYSA-N 0 2 313.308 0.233 20 0 DCADLN CC[C@H]1COC(C)(C)CN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651985419 417829366 /nfs/dbraw/zinc/82/93/66/417829366.db2.gz PQISJCPCCZQJJJ-NSHDSACASA-N 0 2 323.397 0.635 20 0 DCADLN CCN(C(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@@H]1CCCOC1 ZINC000652026601 417837530 /nfs/dbraw/zinc/83/75/30/417837530.db2.gz RQXGQNBAPLJQEZ-LLVKDONJSA-N 0 2 309.370 0.247 20 0 DCADLN CCCNC(=O)c1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000629286757 417771676 /nfs/dbraw/zinc/77/16/76/417771676.db2.gz CJRVOPFCBKBOBH-UHFFFAOYSA-N 0 2 323.378 0.756 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1c(C)nn(C)c1N1CCC1 ZINC000629352912 417788421 /nfs/dbraw/zinc/78/84/21/417788421.db2.gz FVQUVDOLCXKENP-UHFFFAOYSA-N 0 2 324.410 0.956 20 0 DCADLN CCOC[C@@H]1CCCCN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651848065 417796934 /nfs/dbraw/zinc/79/69/34/417796934.db2.gz CDJQVGQAFUIMHG-LBPRGKRZSA-N 0 2 323.397 0.637 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N2CCC[C@H](C)C2)[nH]1 ZINC000657104319 417812843 /nfs/dbraw/zinc/81/28/43/417812843.db2.gz MUTLIKLAZUEGTJ-VIFPVBQESA-N 0 2 303.388 0.017 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N[C@H](CO)C[NH+]3CCCC3)ccn12 ZINC000640320393 417817169 /nfs/dbraw/zinc/81/71/69/417817169.db2.gz QILALSRHOUXOPV-AWEZNQCLSA-N 0 2 302.378 0.829 20 0 DCADLN CCCCNc1cc(N[C@@H]2C[C@H](C(=O)[O-])C[C@H]2O)nc(N)[nH+]1 ZINC000662897105 417940313 /nfs/dbraw/zinc/94/03/13/417940313.db2.gz NCZAXZJMYBKWNU-IVZWLZJFSA-N 0 2 309.370 0.907 20 0 DCADLN CCCCNc1cc(N[C@@H]2C[C@H](C(=O)[O-])C[C@H]2O)[nH+]c(N)n1 ZINC000662897105 417940317 /nfs/dbraw/zinc/94/03/17/417940317.db2.gz NCZAXZJMYBKWNU-IVZWLZJFSA-N 0 2 309.370 0.907 20 0 DCADLN NC(=O)[C@H]1C[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000652791175 417968935 /nfs/dbraw/zinc/96/89/35/417968935.db2.gz CXOZZPXBYRUFQO-AOOOYVTPSA-N 0 2 300.318 0.572 20 0 DCADLN CC(C)c1[nH][nH]c(=O)c1C(=O)Nc1ccc2c(c1)C(=O)NC2=O ZINC000663220022 418001458 /nfs/dbraw/zinc/00/14/58/418001458.db2.gz WCUARLWEEHWGSR-SNVBAGLBSA-N 0 2 314.301 0.267 20 0 DCADLN NC(=O)[C@H]1C[C@@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000653039426 418015216 /nfs/dbraw/zinc/01/52/16/418015216.db2.gz DROWEZVIVAMFNC-AOOOYVTPSA-N 0 2 302.290 0.024 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NCC[C@]3(O)CCOC3)c[nH]c2n1 ZINC000653039959 418016770 /nfs/dbraw/zinc/01/67/70/418016770.db2.gz VBYYTLDAIUVQSE-INIZCTEOSA-N 0 2 317.345 0.915 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000663092838 417988753 /nfs/dbraw/zinc/98/87/53/417988753.db2.gz RXBOTFSLVZUJEL-AWEZNQCLSA-N 0 2 312.391 0.882 20 0 DCADLN O=C(c1c(O)cccc1F)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652900564 417994716 /nfs/dbraw/zinc/99/47/16/417994716.db2.gz RKNNGRLBKMIFDD-UHFFFAOYSA-N 0 2 305.313 0.607 20 0 DCADLN COc1ccc(NS(=O)(=O)c2cnn3c2OCCC3)cn1 ZINC000647920365 418076853 /nfs/dbraw/zinc/07/68/53/418076853.db2.gz VWKVRGFNBMLQFG-UHFFFAOYSA-N 0 2 310.335 0.870 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@@H]2CC[NH+](C3CC3)C2)[C@@H](C)CO1 ZINC000664130857 418089690 /nfs/dbraw/zinc/08/96/90/418089690.db2.gz XJUSZZSDZNOVMI-BFHYXJOUSA-N 0 2 310.442 0.632 20 0 DCADLN CC(C)(C)n1nnc(CN2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000653677453 418100765 /nfs/dbraw/zinc/10/07/65/418100765.db2.gz SYJAZPQQRYVTLX-VIFPVBQESA-N 0 2 306.374 0.631 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000664240720 418101529 /nfs/dbraw/zinc/10/15/29/418101529.db2.gz QCXUZWRWZZJIHQ-UONOGXRCSA-N 0 2 316.409 0.914 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000664240720 418101532 /nfs/dbraw/zinc/10/15/32/418101532.db2.gz QCXUZWRWZZJIHQ-UONOGXRCSA-N 0 2 316.409 0.914 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)NCC2(C(=O)[O-])CCC2)c[nH+]1 ZINC000659657747 418138687 /nfs/dbraw/zinc/13/86/87/418138687.db2.gz ZSWWMDMQFHFFTD-UHFFFAOYSA-N 0 2 319.361 0.686 20 0 DCADLN CN=c1[nH]nc(CC(=O)N2CCO[C@@]3(CCSC3)C2)s1 ZINC000648956201 418180255 /nfs/dbraw/zinc/18/02/55/418180255.db2.gz MNPIUCJSGBRJKM-LBPRGKRZSA-N 0 2 314.436 0.279 20 0 DCADLN CN(CC(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1)C(=O)C1CC1 ZINC000648888386 418170404 /nfs/dbraw/zinc/17/04/04/418170404.db2.gz RAKPEDNNXQQNPS-UHFFFAOYSA-N 0 2 307.354 0.085 20 0 DCADLN CC(C)(CNC(=O)c1cccc2[nH]nnc21)[C@@]1(O)CCOC1 ZINC000649087826 418196724 /nfs/dbraw/zinc/19/67/24/418196724.db2.gz GHSAKJAYFXYFNP-OAHLLOKOSA-N 0 2 304.350 0.865 20 0 DCADLN CN1C(=O)C[C@@H](NC(=O)c2cc(F)c(O)c(Cl)c2)C1=O ZINC000655061650 418221521 /nfs/dbraw/zinc/22/15/21/418221521.db2.gz IVTZGFLLIGTLPF-MRVPVSSYSA-N 0 2 300.673 0.672 20 0 DCADLN CC1(NS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC=CC1 ZINC000655628460 418283172 /nfs/dbraw/zinc/28/31/72/418283172.db2.gz YLWYCUKTSSPMPN-UHFFFAOYSA-N 0 2 322.346 0.823 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C[C@@H](C)c2cnn(C)c2)[nH]n1 ZINC000650819457 418326864 /nfs/dbraw/zinc/32/68/64/418326864.db2.gz UUCHBNJSZHEIPT-SECBINFHSA-N 0 2 302.338 0.124 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000655866933 418294397 /nfs/dbraw/zinc/29/43/97/418294397.db2.gz UJPDWESNBZOFBK-LBPRGKRZSA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000655866933 418294398 /nfs/dbraw/zinc/29/43/98/418294398.db2.gz UJPDWESNBZOFBK-LBPRGKRZSA-N 0 2 315.414 0.753 20 0 DCADLN CCN1C[C@H](CNC(=O)N=c2nc3n([nH]2)CCCC3)CC1=O ZINC000650240882 418299436 /nfs/dbraw/zinc/29/94/36/418299436.db2.gz YADHWDCZINAGJH-JTQLQIEISA-N 0 2 306.370 0.026 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cnc3n2[C@@H](C)CCC3)[nH]n1 ZINC000650819461 418327640 /nfs/dbraw/zinc/32/76/40/418327640.db2.gz UYWOADZQSSKNSE-VIFPVBQESA-N 0 2 314.349 0.604 20 0 DCADLN O=C([O-])c1cc(NCCCn2nc3n(c2=O)CCCC3)cc[nH+]1 ZINC000650766241 418323471 /nfs/dbraw/zinc/32/34/71/418323471.db2.gz AHTMVQJRXIUJOP-UHFFFAOYSA-N 0 2 317.349 0.977 20 0 DCADLN C[C@H](NC(=O)c1ccc(C(=O)[O-])cn1)[C@H](C)[NH+]1CCOCC1 ZINC000649465234 418255807 /nfs/dbraw/zinc/25/58/07/418255807.db2.gz WKBCCNSBVRXDJV-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1n[nH]c(C)c1C)[C@H]1CCOC1 ZINC000656730098 418374566 /nfs/dbraw/zinc/37/45/66/418374566.db2.gz FGNPQMFZHKENPS-WDEREUQCSA-N 0 2 303.384 0.820 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2c(C)cnn2C)cn1C ZINC000656739732 418375245 /nfs/dbraw/zinc/37/52/45/418375245.db2.gz UMKVPPXTLSTCFL-UHFFFAOYSA-N 0 2 311.367 0.227 20 0 DCADLN CC[C@@H]1CN2CCCC[C@H]2C[N@@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662204175 418389000 /nfs/dbraw/zinc/38/90/00/418389000.db2.gz GQPOYWPDRSOWFO-RDBSUJKOSA-N 0 2 309.410 0.621 20 0 DCADLN CC[C@@H]1CN2CCCC[C@H]2C[N@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662204175 418389002 /nfs/dbraw/zinc/38/90/02/418389002.db2.gz GQPOYWPDRSOWFO-RDBSUJKOSA-N 0 2 309.410 0.621 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC2(O)CCCCC2)[nH]1 ZINC000651578417 418394184 /nfs/dbraw/zinc/39/41/84/418394184.db2.gz OXFPCWIOAMAGIE-UHFFFAOYSA-N 0 2 309.370 0.031 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@]2(C)CCCC[C@@H]2O)[nH]1 ZINC000651599683 418396608 /nfs/dbraw/zinc/39/66/08/418396608.db2.gz YJVFIFPYFCXCBD-NHYWBVRUSA-N 0 2 323.397 0.277 20 0 DCADLN COc1c(N)[nH+]cnc1N1CCC(C[NH+]2CCOCC2)CC1 ZINC000656109091 418328009 /nfs/dbraw/zinc/32/80/09/418328009.db2.gz SHKPPDNAKWFBOT-UHFFFAOYSA-N 0 2 307.398 0.616 20 0 DCADLN C[C@H](c1ccc(S(C)(=O)=O)cc1)N(C)C(=O)[C@H]1CNC(=O)C1 ZINC000299421349 229107530 /nfs/dbraw/zinc/10/75/30/229107530.db2.gz HVXITFHFHVTOIM-ZYHUDNBSSA-N 0 2 324.402 0.746 20 0 DCADLN CC(C)[C@@H](CO)ONC(=O)CNC(=O)OCC(F)(F)F ZINC000495927571 287607863 /nfs/dbraw/zinc/60/78/63/287607863.db2.gz KJUSRISOSFDLKT-SSDOTTSWSA-N 0 2 302.249 0.340 20 0 DCADLN COc1ccc(OC)c([C@@H]2C[C@@H]2C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000350035290 261188081 /nfs/dbraw/zinc/18/80/81/261188081.db2.gz RCPCJSFZGLWUGC-ONGXEEELSA-N 0 2 318.333 0.947 20 0 DCADLN COC(=O)CNC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000354356959 261208128 /nfs/dbraw/zinc/20/81/28/261208128.db2.gz HPWMNKNXMBFTOX-UHFFFAOYSA-N 0 2 305.290 0.489 20 0 DCADLN Cc1ncc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)s1 ZINC000354452623 261220842 /nfs/dbraw/zinc/22/08/42/261220842.db2.gz WFFSOJRNEJTLJI-UHFFFAOYSA-N 0 2 319.393 0.927 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)c1nncn1C ZINC000355702586 261357281 /nfs/dbraw/zinc/35/72/81/261357281.db2.gz OGSDUVZCLBBOQG-ZETCQYMHSA-N 0 2 301.310 0.293 20 0 DCADLN Cc1c(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)cnn1C ZINC000362694136 262061461 /nfs/dbraw/zinc/06/14/61/262061461.db2.gz YFLKVZASRAHDCH-UHFFFAOYSA-N 0 2 300.278 0.730 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@@H](O)C(F)(F)F)c2=O ZINC000358387285 271051446 /nfs/dbraw/zinc/05/14/46/271051446.db2.gz RXPRNVBKGIPVHJ-SSDOTTSWSA-N 0 2 304.228 0.327 20 0 DCADLN O=C(Cc1ccc2n[nH]cc2c1)NCCCc1n[nH]c(=O)[nH]1 ZINC000359638527 271138124 /nfs/dbraw/zinc/13/81/24/271138124.db2.gz JKNAHXWLHDDMIJ-UHFFFAOYSA-N 0 2 300.322 0.678 20 0 DCADLN CC(C)[C@@H](O)C1(CNS(=O)(=O)NCC(F)(F)F)CC1 ZINC000443046271 271694952 /nfs/dbraw/zinc/69/49/52/271694952.db2.gz SVZIMNQAKAZJRB-MRVPVSSYSA-N 0 2 304.334 0.770 20 0 DCADLN CCCN(CC(N)=O)c1nc(NCC)nc(NC(C)(C)C)[nH+]1 ZINC000488778709 272050939 /nfs/dbraw/zinc/05/09/39/272050939.db2.gz DYKOBLSHGNGEAQ-UHFFFAOYSA-N 0 2 309.418 0.637 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2CC[C@H](CO)C2)s[nH]1 ZINC000490413896 272074769 /nfs/dbraw/zinc/07/47/69/272074769.db2.gz HFQXXWMCFJULDZ-QMMMGPOBSA-N 0 2 312.395 0.037 20 0 DCADLN C[C@H](CONC(=O)c1ccc(=O)[nH]n1)NC(=O)OC(C)(C)C ZINC000494102083 272209798 /nfs/dbraw/zinc/20/97/98/272209798.db2.gz KGJZNRYTSACNMT-MRVPVSSYSA-N 0 2 312.326 0.757 20 0 DCADLN COC(=O)NCCNC(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000496466523 272315231 /nfs/dbraw/zinc/31/52/31/272315231.db2.gz AJFSPDMWIKZHCH-UHFFFAOYSA-N 0 2 304.306 0.730 20 0 DCADLN CCOC(=O)N1CC[C@H](NS(=O)(=O)NCC(F)(F)F)C1 ZINC000496664025 272333432 /nfs/dbraw/zinc/33/34/32/272333432.db2.gz SUIWSYYABWGMFB-ZETCQYMHSA-N 0 2 319.305 0.204 20 0 DCADLN O=C(NCCn1cc[nH+]c1)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000507078885 272394742 /nfs/dbraw/zinc/39/47/42/272394742.db2.gz ULJKWXDYGFVXDG-UHFFFAOYSA-N 0 2 300.278 0.063 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1CCC(=O)NC1 ZINC000516193012 287662961 /nfs/dbraw/zinc/66/29/61/287662961.db2.gz NHZBQXHQQIYCDS-SNVBAGLBSA-N 0 2 315.333 0.950 20 0 DCADLN CC(=O)N[C@H]1CC[C@@H](C(F)(F)F)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC000352093492 278180916 /nfs/dbraw/zinc/18/09/16/278180916.db2.gz QOKDZGSQXCJXBH-YUMQZZPRSA-N 0 2 307.276 0.542 20 0 DCADLN CC(C)C[C@@H](Nc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000263528962 279004133 /nfs/dbraw/zinc/00/41/33/279004133.db2.gz VLPLTTVCEGZFLS-LLVKDONJSA-N 0 2 321.381 0.666 20 0 DCADLN CC(C)C[C@@H](Nc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000263528962 279004134 /nfs/dbraw/zinc/00/41/34/279004134.db2.gz VLPLTTVCEGZFLS-LLVKDONJSA-N 0 2 321.381 0.666 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1C[C@@H](O)C2(CC2)C1 ZINC000548651440 288163576 /nfs/dbraw/zinc/16/35/76/288163576.db2.gz HZWQXTINMPVGOC-LLVKDONJSA-N 0 2 301.302 0.627 20 0 DCADLN CS(=O)(=O)C1(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CC1 ZINC000552243890 288270786 /nfs/dbraw/zinc/27/07/86/288270786.db2.gz LEFZTMYYWNVEJK-UHFFFAOYSA-N 0 2 322.346 0.693 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)c2cc(Cl)cc(C(=O)[O-])c2)CC1 ZINC000560147687 288486173 /nfs/dbraw/zinc/48/61/73/288486173.db2.gz BYZZQAWMEDLZGA-UHFFFAOYSA-N 0 2 318.782 0.974 20 0 DCADLN CN1CCN(c2cc(NC(C)(C)CCC(=O)[O-])[nH+]cn2)CC1=O ZINC000263425887 290057529 /nfs/dbraw/zinc/05/75/29/290057529.db2.gz RDFYXZAFJLZUGA-UHFFFAOYSA-N 0 2 321.381 0.810 20 0 DCADLN CN1CCN(c2cc(NC(C)(C)CCC(=O)[O-])nc[nH+]2)CC1=O ZINC000263425887 290057530 /nfs/dbraw/zinc/05/75/30/290057530.db2.gz RDFYXZAFJLZUGA-UHFFFAOYSA-N 0 2 321.381 0.810 20 0 DCADLN CNC(=O)NC(=O)[C@H](C)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000131766009 290493743 /nfs/dbraw/zinc/49/37/43/290493743.db2.gz GGTOVHNKFXAADI-ZETCQYMHSA-N 0 2 323.378 0.261 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNc1cccc(C(=O)[O-])[nH+]1 ZINC000263415448 295535887 /nfs/dbraw/zinc/53/58/87/295535887.db2.gz NRWCBYHSIHTVDD-NXEZZACHSA-N 0 2 313.379 0.910 20 0 DCADLN C[C@@]1(O)CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000408055006 297922760 /nfs/dbraw/zinc/92/27/60/297922760.db2.gz VXWKOPIAZKUYHY-CQSZACIVSA-N 0 2 304.306 0.423 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCS[C@H]2COCC[C@@H]21 ZINC000195425411 304759734 /nfs/dbraw/zinc/75/97/34/304759734.db2.gz WZSKDSNUGWALPK-YUMQZZPRSA-N 0 2 320.358 0.589 20 0 DCADLN NC(=O)CONC(=O)C1(c2ccccc2Cl)CCOCC1 ZINC000272217972 303252596 /nfs/dbraw/zinc/25/25/96/303252596.db2.gz KAWKSKMZTAAVKS-UHFFFAOYSA-N 0 2 312.753 0.921 20 0 DCADLN [NH3+]C1(C(=O)N2CCC[N@H+](CC(=O)N3CCCC3)CC2)CCC1 ZINC000582060270 333194113 /nfs/dbraw/zinc/19/41/13/333194113.db2.gz ZUOLXPHOFMROBK-UHFFFAOYSA-N 0 2 308.426 0.025 20 0 DCADLN CN(C)c1ccc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)nc1 ZINC000582801241 337174661 /nfs/dbraw/zinc/17/46/61/337174661.db2.gz FXUPCBRJEGNLTA-UHFFFAOYSA-N 0 2 316.365 0.991 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H](C)S(C)(=O)=O ZINC000582858975 337208592 /nfs/dbraw/zinc/20/85/92/337208592.db2.gz BCCABIFSCWSQJH-QMMMGPOBSA-N 0 2 324.362 0.857 20 0 DCADLN Cc1c(CN(C)S(=O)(=O)NCC(F)(F)F)cnn1C ZINC000583301661 337311866 /nfs/dbraw/zinc/31/18/66/337311866.db2.gz JULUQWUBTFBOOZ-UHFFFAOYSA-N 0 2 300.306 0.557 20 0 DCADLN COc1cc(N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)ncn1 ZINC000575988469 341818714 /nfs/dbraw/zinc/81/87/14/341818714.db2.gz MEQJCHPNKXKQNQ-UHFFFAOYSA-N 0 2 315.333 0.905 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001447514952 1159654757 /nfs/dbraw/zinc/65/47/57/1159654757.db2.gz AXISGBZFJUMSDN-BKPPORCPSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)[nH]1 ZINC001447514952 1159654761 /nfs/dbraw/zinc/65/47/61/1159654761.db2.gz AXISGBZFJUMSDN-BKPPORCPSA-N 0 2 322.262 0.996 20 0 DCADLN COC(=O)Cn1ccc(NS(=O)(=O)C[C@H]2CCCCO2)n1 ZINC000265932302 523411571 /nfs/dbraw/zinc/41/15/71/523411571.db2.gz SEFVOFGXVADRHV-SNVBAGLBSA-N 0 2 317.367 0.367 20 0 DCADLN C[C@H]1C[C@H]1CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267659158 524956762 /nfs/dbraw/zinc/95/67/62/524956762.db2.gz MHTLWRIUEQJADW-BQBZGAKWSA-N 0 2 310.335 0.370 20 0 DCADLN C[C@@H](c1nnnn1C)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000189985791 526185482 /nfs/dbraw/zinc/18/54/82/526185482.db2.gz ULQQKPWIUOXASW-ZETCQYMHSA-N 0 2 305.367 0.701 20 0 DCADLN Cc1cc(C(=O)NC2CCOCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266805526 526264928 /nfs/dbraw/zinc/26/49/28/526264928.db2.gz GFAMTWSGFVYNMW-UHFFFAOYSA-N 0 2 304.306 0.653 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(N3CN=NC3=O)c2)cn1 ZINC000364033985 527291688 /nfs/dbraw/zinc/29/16/88/527291688.db2.gz NEPVETYZWMFPNV-UHFFFAOYSA-N 0 2 320.334 0.507 20 0 DCADLN CC1(C)CN(Cc2nc(=O)c3sccc3[nH]2)C[C@H](CO)O1 ZINC000163806961 535356791 /nfs/dbraw/zinc/35/67/91/535356791.db2.gz MTXXJPMBNYETJJ-SECBINFHSA-N 0 2 309.391 0.956 20 0 DCADLN CS(=O)(=O)N1CCCC[C@H]1C(=O)Nc1ccc2nn[nH]c2c1 ZINC000153954474 545834894 /nfs/dbraw/zinc/83/48/94/545834894.db2.gz ZNKIAQHDGBJCFK-LBPRGKRZSA-N 0 2 323.378 0.711 20 0 DCADLN Cc1cnc(NS(=O)(=O)CCCS(=O)(=O)N(C)C)o1 ZINC000349577624 546159797 /nfs/dbraw/zinc/15/97/97/546159797.db2.gz VAIAOBVHABYVCW-UHFFFAOYSA-N 0 2 311.385 0.006 20 0 DCADLN CO[C@@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CCC1 ZINC000666974837 546536970 /nfs/dbraw/zinc/53/69/70/546536970.db2.gz AJCGKAVASLBWTN-SSDOTTSWSA-N 0 2 320.396 0.663 20 0 DCADLN CCn1ncc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)c(C)nc21 ZINC000669608038 546997095 /nfs/dbraw/zinc/99/70/95/546997095.db2.gz KIFBFLZZMFVZRS-UHFFFAOYSA-N 0 2 301.310 0.513 20 0 DCADLN Cn1cc(CNS(=O)(=O)c2c(F)c(F)cc(F)c2F)nn1 ZINC000669841069 547021783 /nfs/dbraw/zinc/02/17/83/547021783.db2.gz CHTSJCXWEPHLCD-UHFFFAOYSA-N 0 2 324.259 0.850 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(CC(F)(F)F)C(=O)C2)c1O ZINC000672296608 547345290 /nfs/dbraw/zinc/34/52/90/547345290.db2.gz RYQSVPMXPHCYLT-UHFFFAOYSA-N 0 2 306.244 0.270 20 0 DCADLN O=C(CSc1ccc2c(c1)OCCO2)NCc1n[nH]c(=O)[nH]1 ZINC000673949629 547545147 /nfs/dbraw/zinc/54/51/47/547545147.db2.gz RISXWYRMXRCCJS-UHFFFAOYSA-N 0 2 322.346 0.690 20 0 DCADLN NC(=O)c1c[nH]c(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)c1 ZINC000674088870 547560939 /nfs/dbraw/zinc/56/09/39/547560939.db2.gz APVZXVPDUXVOIV-UHFFFAOYSA-N 0 2 313.273 0.709 20 0 DCADLN CC(=O)N1Cc2ccccc2C[C@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000674718672 547619916 /nfs/dbraw/zinc/61/99/16/547619916.db2.gz BAYCHOAGYSGMAB-LBPRGKRZSA-N 0 2 315.333 0.100 20 0 DCADLN C[C@H](C(=O)NCCc1ccccc1)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231977 547748452 /nfs/dbraw/zinc/74/84/52/547748452.db2.gz OHZUHHXBXILCPL-TZMCWYRMSA-N 0 2 306.362 0.519 20 0 DCADLN C[C@H](C(=O)NCCc1ccccc1)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231977 547748456 /nfs/dbraw/zinc/74/84/56/547748456.db2.gz OHZUHHXBXILCPL-TZMCWYRMSA-N 0 2 306.362 0.519 20 0 DCADLN CCN(CC)C(=O)CN1CCN(C(=O)c2n[nH]c(C)c2O)CC1 ZINC000676630054 547797421 /nfs/dbraw/zinc/79/74/21/547797421.db2.gz RFJGIKYZBKVXEO-UHFFFAOYSA-N 0 2 323.397 0.050 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2ccc(OC)cn2)cn1 ZINC000676635251 547798607 /nfs/dbraw/zinc/79/86/07/547798607.db2.gz ZBEOFTQJAGORIY-UHFFFAOYSA-N 0 2 312.351 0.734 20 0 DCADLN O=C(NCCCCNc1cccc[nH+]1)c1n[nH]c(=O)[n-]c1=O ZINC000677951116 547927945 /nfs/dbraw/zinc/92/79/45/547927945.db2.gz QLBPZTNGZCWTGN-UHFFFAOYSA-N 0 2 304.310 0.300 20 0 DCADLN Cn1nnnc1CCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000678577861 547992239 /nfs/dbraw/zinc/99/22/39/547992239.db2.gz IPZARCBCGUMTIF-UHFFFAOYSA-N 0 2 313.321 0.074 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cccc3c2OCCO3)[nH]n1 ZINC000679202892 548033425 /nfs/dbraw/zinc/03/34/25/548033425.db2.gz QEUFRFJGCJJMJZ-UHFFFAOYSA-N 0 2 324.318 0.081 20 0 DCADLN CCN1C[C@@H](C[N@H+](C)CC(=O)NCC(=O)[O-])Oc2ccccc21 ZINC000679656926 548080633 /nfs/dbraw/zinc/08/06/33/548080633.db2.gz SWQMEWPBYBLZHM-GFCCVEGCSA-N 0 2 321.377 0.407 20 0 DCADLN CCN1C[C@@H](C[N@@H+](C)CC(=O)NCC(=O)[O-])Oc2ccccc21 ZINC000679656926 548080636 /nfs/dbraw/zinc/08/06/36/548080636.db2.gz SWQMEWPBYBLZHM-GFCCVEGCSA-N 0 2 321.377 0.407 20 0 DCADLN O=C(NCCn1ccnn1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000679911140 548100874 /nfs/dbraw/zinc/10/08/74/548100874.db2.gz JADBDZIVBZYMLM-UHFFFAOYSA-N 0 2 316.296 0.739 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCNC(=O)[C@@H]2c2ccccc2F)c1O ZINC000680289013 548127595 /nfs/dbraw/zinc/12/75/95/548127595.db2.gz GHDSDBWDCHKTFQ-LBPRGKRZSA-N 0 2 318.308 0.876 20 0 DCADLN O=C(N[C@@H]1COc2cc(F)cc(F)c21)c1n[nH]c(=O)[nH]c1=O ZINC000680308582 548129850 /nfs/dbraw/zinc/12/98/50/548129850.db2.gz YONDKGBJISLKBY-ZCFIWIBFSA-N 0 2 310.216 0.425 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]1CCN(C)C1=O ZINC000681227625 548219226 /nfs/dbraw/zinc/21/92/26/548219226.db2.gz MIGQZKULYKULFC-MRVPVSSYSA-N 0 2 320.374 0.834 20 0 DCADLN Cc1cc(NC(=O)c2nc(-c3ccc(=O)n(C)c3)no2)no1 ZINC000683598338 548502538 /nfs/dbraw/zinc/50/25/38/548502538.db2.gz NRTRCAPNHHINJT-UHFFFAOYSA-N 0 2 301.262 0.984 20 0 DCADLN CCN1OC[C@@H](N(C)Cc2nc(=O)c3sccc3[nH]2)C1=O ZINC000683899437 548533739 /nfs/dbraw/zinc/53/37/39/548533739.db2.gz GRYRISKTEOLHHR-SECBINFHSA-N 0 2 308.363 0.991 20 0 DCADLN CS(=O)(=O)C1(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000684208979 548583618 /nfs/dbraw/zinc/58/36/18/548583618.db2.gz PCOWALLFLUXOFW-UHFFFAOYSA-N 0 2 323.330 0.546 20 0 DCADLN Cc1ccc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)nc2)cn1 ZINC000822259323 607259160 /nfs/dbraw/zinc/25/91/60/607259160.db2.gz ULOOOQIMIAZNMW-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN COC(=O)C1(NC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])CCCCC1 ZINC000818500494 597471881 /nfs/dbraw/zinc/47/18/81/597471881.db2.gz MVAJNSZZUQEPHG-JTQLQIEISA-N 0 2 300.355 0.383 20 0 DCADLN COC(=O)C1(NC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])CCCCC1 ZINC000818500494 597471882 /nfs/dbraw/zinc/47/18/82/597471882.db2.gz MVAJNSZZUQEPHG-JTQLQIEISA-N 0 2 300.355 0.383 20 0 DCADLN C[C@H](O)CNc1[nH+]c2ccccc2n1CC(=O)NCC(=O)[O-] ZINC000820162025 597759872 /nfs/dbraw/zinc/75/98/72/597759872.db2.gz WTTPHZHGOSGPLZ-VIFPVBQESA-N 0 2 306.322 0.030 20 0 DCADLN C[N@@H+](CC(=O)Nc1nc(CC(=O)[O-])cs1)C[C@H]1CCCO1 ZINC000737399524 599810678 /nfs/dbraw/zinc/81/06/78/599810678.db2.gz LTYPQSNCBNCURZ-SNVBAGLBSA-N 0 2 313.379 0.820 20 0 DCADLN C[N@H+](CC(=O)Nc1nc(CC(=O)[O-])cs1)C[C@H]1CCCO1 ZINC000737399524 599810679 /nfs/dbraw/zinc/81/06/79/599810679.db2.gz LTYPQSNCBNCURZ-SNVBAGLBSA-N 0 2 313.379 0.820 20 0 DCADLN CN(C(=O)C1CC[NH+](Cc2nccn2C)CC1)C(C)(C)C(=O)[O-] ZINC000737314178 599827908 /nfs/dbraw/zinc/82/79/08/599827908.db2.gz PAPSMKGJDAPHTK-UHFFFAOYSA-N 0 2 322.409 0.954 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2ccc(OCC(=O)[O-])cc2)C1 ZINC000320853396 599833014 /nfs/dbraw/zinc/83/30/14/599833014.db2.gz XFZUIYQVRZHGTE-ZDUSSCGKSA-N 0 2 323.349 0.602 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2ccc(OCC(=O)[O-])cc2)C1 ZINC000320853396 599833015 /nfs/dbraw/zinc/83/30/15/599833015.db2.gz XFZUIYQVRZHGTE-ZDUSSCGKSA-N 0 2 323.349 0.602 20 0 DCADLN COC(=O)C1CC[NH+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC000737586565 599967586 /nfs/dbraw/zinc/96/75/86/599967586.db2.gz AUFYWESYRTUTSA-LBPRGKRZSA-N 0 2 314.382 0.487 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[NH+]1CCC(C(=O)OC)CC1)C(=O)[O-] ZINC000736875738 599967762 /nfs/dbraw/zinc/96/77/62/599967762.db2.gz GRJYKHSXELHKMY-GWCFXTLKSA-N 0 2 314.382 0.487 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000387685772 599981678 /nfs/dbraw/zinc/98/16/78/599981678.db2.gz IBFOWMVMURYCBO-LBPRGKRZSA-N 0 2 306.318 0.248 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000387685772 599981681 /nfs/dbraw/zinc/98/16/81/599981681.db2.gz IBFOWMVMURYCBO-LBPRGKRZSA-N 0 2 306.318 0.248 20 0 DCADLN CCc1cccc(OCC[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC000737269859 600042194 /nfs/dbraw/zinc/04/21/94/600042194.db2.gz ITPVCTDRRBBZQG-CQSZACIVSA-N 0 2 306.362 0.903 20 0 DCADLN CCc1cccc(OCC[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC000737269859 600042197 /nfs/dbraw/zinc/04/21/97/600042197.db2.gz ITPVCTDRRBBZQG-CQSZACIVSA-N 0 2 306.362 0.903 20 0 DCADLN O=C([O-])Cn1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000738642254 600120440 /nfs/dbraw/zinc/12/04/40/600120440.db2.gz ZSXRMCDOVCRUFF-GFCCVEGCSA-N 0 2 303.322 0.331 20 0 DCADLN O=C([O-])Cn1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000738642254 600120443 /nfs/dbraw/zinc/12/04/43/600120443.db2.gz ZSXRMCDOVCRUFF-GFCCVEGCSA-N 0 2 303.322 0.331 20 0 DCADLN C[N@H+](CC(=O)N1CC(=O)Nc2ccccc21)C(C)(C)C(=O)[O-] ZINC000737385923 600134877 /nfs/dbraw/zinc/13/48/77/600134877.db2.gz WIXRIVHPRHFQLY-UHFFFAOYSA-N 0 2 305.334 0.767 20 0 DCADLN C[N@@H+](CC(=O)N1CC(=O)Nc2ccccc21)C(C)(C)C(=O)[O-] ZINC000737385923 600134880 /nfs/dbraw/zinc/13/48/80/600134880.db2.gz WIXRIVHPRHFQLY-UHFFFAOYSA-N 0 2 305.334 0.767 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC000737175658 600269062 /nfs/dbraw/zinc/26/90/62/600269062.db2.gz AVPWBLQKWSYNPY-UHFFFAOYSA-N 0 2 307.350 0.574 20 0 DCADLN C[N@H+](CCN1CCOCC1)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000737441060 600392348 /nfs/dbraw/zinc/39/23/48/600392348.db2.gz MCSFMQWSKLLRKW-UHFFFAOYSA-N 0 2 321.377 0.587 20 0 DCADLN C[N@@H+](CCN1CCOCC1)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000737441060 600392351 /nfs/dbraw/zinc/39/23/51/600392351.db2.gz MCSFMQWSKLLRKW-UHFFFAOYSA-N 0 2 321.377 0.587 20 0 DCADLN O=C([O-])CCCS(=O)(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000021791026 600400348 /nfs/dbraw/zinc/40/03/48/600400348.db2.gz GSQYVXLTKMMRPM-UHFFFAOYSA-N 0 2 313.379 0.398 20 0 DCADLN COC(=O)[C@H]1CCC[N@@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737584079 600440169 /nfs/dbraw/zinc/44/01/69/600440169.db2.gz ITMVKKNANVWPJI-TZMCWYRMSA-N 0 2 323.345 0.762 20 0 DCADLN COC(=O)[C@H]1CCC[N@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737584079 600440171 /nfs/dbraw/zinc/44/01/71/600440171.db2.gz ITMVKKNANVWPJI-TZMCWYRMSA-N 0 2 323.345 0.762 20 0 DCADLN CCN1C(=O)CN(C[N@@H+]2CCSC[C@H]2CC(=O)[O-])C1=O ZINC000737112766 600703489 /nfs/dbraw/zinc/70/34/89/600703489.db2.gz YCZSFIDWOXMOHR-SECBINFHSA-N 0 2 301.368 0.120 20 0 DCADLN CCN1C(=O)CN(C[N@H+]2CCSC[C@H]2CC(=O)[O-])C1=O ZINC000737112766 600703490 /nfs/dbraw/zinc/70/34/90/600703490.db2.gz YCZSFIDWOXMOHR-SECBINFHSA-N 0 2 301.368 0.120 20 0 DCADLN O=C([O-])C[C@@H]1CSCC[N@@H+]1CN1C(=O)[C@H]2CC=CC[C@@H]2C1=O ZINC000739657054 600702877 /nfs/dbraw/zinc/70/28/77/600702877.db2.gz AUQHXUMLGRNOEL-WOPDTQHZSA-N 0 2 324.402 0.787 20 0 DCADLN O=C([O-])C[C@@H]1CSCC[N@H+]1CN1C(=O)[C@H]2CC=CC[C@@H]2C1=O ZINC000739657054 600702879 /nfs/dbraw/zinc/70/28/79/600702879.db2.gz AUQHXUMLGRNOEL-WOPDTQHZSA-N 0 2 324.402 0.787 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)c[nH]1 ZINC000833301876 600739922 /nfs/dbraw/zinc/73/99/22/600739922.db2.gz NMOCNYVHHSZOLU-SNVBAGLBSA-N 0 2 324.362 0.935 20 0 DCADLN C[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)N1CCC(C(=O)[O-])CC1 ZINC000737518036 600759018 /nfs/dbraw/zinc/75/90/18/600759018.db2.gz MZGHAKZRWQPTCY-LBPRGKRZSA-N 0 2 312.366 0.337 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)OCC(=O)N1CCC(C(=O)[O-])CC1 ZINC000737518036 600759021 /nfs/dbraw/zinc/75/90/21/600759021.db2.gz MZGHAKZRWQPTCY-LBPRGKRZSA-N 0 2 312.366 0.337 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N2C[C@H](CC(=O)[O-])c3ccccc32)C1 ZINC000830960410 600967984 /nfs/dbraw/zinc/96/79/84/600967984.db2.gz MLOXXDLOLRIFFB-SMDDNHRTSA-N 0 2 304.346 0.922 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2C[C@H](CC(=O)[O-])c3ccccc32)C1 ZINC000830960410 600967985 /nfs/dbraw/zinc/96/79/85/600967985.db2.gz MLOXXDLOLRIFFB-SMDDNHRTSA-N 0 2 304.346 0.922 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2occc2C(=O)[O-])CC1 ZINC000832731064 601028570 /nfs/dbraw/zinc/02/85/70/601028570.db2.gz WRXNNQJXZNLKHS-FQEVSTJZSA-N 0 2 300.380 0.474 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)cc1 ZINC000388247088 601084327 /nfs/dbraw/zinc/08/43/27/601084327.db2.gz UQSHTCORZKEDJG-CQSZACIVSA-N 0 2 304.346 0.932 20 0 DCADLN CCc1nnsc1C(=O)N1CC[NH+]([C@H](C)CC(=O)[O-])CC1 ZINC000830339050 601104703 /nfs/dbraw/zinc/10/47/03/601104703.db2.gz KDFKAPNQPXKSQX-SECBINFHSA-N 0 2 312.395 0.722 20 0 DCADLN Cc1cc(F)ccc1[C@H](O)C[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000832890691 601112707 /nfs/dbraw/zinc/11/27/07/601112707.db2.gz YRSHVHYIGOZELO-CHWSQXEVSA-N 0 2 310.325 0.443 20 0 DCADLN Cc1cc(F)ccc1[C@H](O)C[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000832890691 601112708 /nfs/dbraw/zinc/11/27/08/601112708.db2.gz YRSHVHYIGOZELO-CHWSQXEVSA-N 0 2 310.325 0.443 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1ccnc(C(=O)[O-])c1 ZINC000828078320 601283661 /nfs/dbraw/zinc/28/36/61/601283661.db2.gz IBDGHZHXIRBURV-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)c1ccnc(C(=O)[O-])c1 ZINC000828078320 601283662 /nfs/dbraw/zinc/28/36/62/601283662.db2.gz IBDGHZHXIRBURV-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN C[C@@H](c1ccc([S@@](C)=O)cc1)[N@H+](C)CC(=O)NCC(=O)[O-] ZINC000820199556 601307733 /nfs/dbraw/zinc/30/77/33/601307733.db2.gz BHZPQKFOSLSKFI-CHNSCGDPSA-N 0 2 312.391 0.618 20 0 DCADLN C[C@@H](c1ccc([S@@](C)=O)cc1)[N@@H+](C)CC(=O)NCC(=O)[O-] ZINC000820199556 601307735 /nfs/dbraw/zinc/30/77/35/601307735.db2.gz BHZPQKFOSLSKFI-CHNSCGDPSA-N 0 2 312.391 0.618 20 0 DCADLN O=C([O-])c1cn(C[NH+]2CCC(OC[C@@H]3CCCO3)CC2)nn1 ZINC000833337628 601343001 /nfs/dbraw/zinc/34/30/01/601343001.db2.gz FMZQRMCDGDWSHU-LBPRGKRZSA-N 0 2 310.354 0.594 20 0 DCADLN O=C([O-])c1cn(C[NH+]2CCC(OC[C@H]3CCCCO3)CC2)nn1 ZINC000833338487 601400739 /nfs/dbraw/zinc/40/07/39/601400739.db2.gz QFGJKUSRGQYUFQ-CYBMUJFWSA-N 0 2 324.381 0.984 20 0 DCADLN CCNC(=O)CN1CC[NH+](Cc2cc(C(=O)[O-])oc2CC)CC1 ZINC000829790227 601411922 /nfs/dbraw/zinc/41/19/22/601411922.db2.gz IYPYXIBEIKSRJW-UHFFFAOYSA-N 0 2 323.393 0.794 20 0 DCADLN COc1ccccc1CNC(=O)C[N@@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000832613330 601444181 /nfs/dbraw/zinc/44/41/81/601444181.db2.gz KBPCATYIVYYWGT-OAHLLOKOSA-N 0 2 310.325 0.810 20 0 DCADLN COc1ccccc1CNC(=O)C[N@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000832613330 601444183 /nfs/dbraw/zinc/44/41/83/601444183.db2.gz KBPCATYIVYYWGT-OAHLLOKOSA-N 0 2 310.325 0.810 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NC[C@H]1COc2ccccc2O1)C1CC1 ZINC000833247840 601466084 /nfs/dbraw/zinc/46/60/84/601466084.db2.gz WXYFOIDSQVGKJG-LBPRGKRZSA-N 0 2 320.345 0.492 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NC[C@H]1COc2ccccc2O1)C1CC1 ZINC000833247840 601466085 /nfs/dbraw/zinc/46/60/85/601466085.db2.gz WXYFOIDSQVGKJG-LBPRGKRZSA-N 0 2 320.345 0.492 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@H+](CC(=O)NCc2ccc3c(c2)OCO3)C1 ZINC000833105335 601554430 /nfs/dbraw/zinc/55/44/30/601554430.db2.gz IHBWDSSXYGOCBX-HNNXBMFYSA-N 0 2 324.308 0.530 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@@H+](CC(=O)NCc2ccc3c(c2)OCO3)C1 ZINC000833105335 601554432 /nfs/dbraw/zinc/55/44/32/601554432.db2.gz IHBWDSSXYGOCBX-HNNXBMFYSA-N 0 2 324.308 0.530 20 0 DCADLN O=c1[n-]c(CNc2c(-c3nn[nH]n3)c[nH+]c3ccccc32)n[nH]1 ZINC000826501468 607522001 /nfs/dbraw/zinc/52/20/01/607522001.db2.gz RQHGBWVTQPRESM-UHFFFAOYSA-N 0 2 309.293 0.273 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)C(=O)[O-] ZINC000827543156 601801404 /nfs/dbraw/zinc/80/14/04/601801404.db2.gz ZAVVDFKLWSFUOC-VHSXEESVSA-N 0 2 301.368 0.640 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2cccc(CC(=O)[O-])c2)CC1 ZINC000737853145 602120022 /nfs/dbraw/zinc/12/00/22/602120022.db2.gz JZMHCRQFKPGDMG-UHFFFAOYSA-N 0 2 319.361 0.416 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000824908496 603622311 /nfs/dbraw/zinc/62/23/11/603622311.db2.gz VPMBEZPPJYKIPV-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1[C@H](C)OC(C)(C)N1C(=O)[O-] ZINC000825054608 603661372 /nfs/dbraw/zinc/66/13/72/603661372.db2.gz GAZZQGXFHLCMSV-VWYCJHECSA-N 0 2 310.354 0.891 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCN(C(=O)[O-])[C@H](C)C1 ZINC000824909363 603696917 /nfs/dbraw/zinc/69/69/17/603696917.db2.gz ZRQAFHLYOQCHIA-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)[C@H](O)c1ccccc1 ZINC000828312875 603754395 /nfs/dbraw/zinc/75/43/95/603754395.db2.gz SFPFYVDPPOPLSM-CQSZACIVSA-N 0 2 321.377 0.474 20 0 DCADLN CCCC[C@H](CNC(=O)[O-])NC(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000826796186 603853862 /nfs/dbraw/zinc/85/38/62/603853862.db2.gz UPEXQPHBKYICEI-NEPJUHHUSA-N 0 2 316.402 0.443 20 0 DCADLN CCCC[C@H](CNC(=O)[O-])NC(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000826796186 603853863 /nfs/dbraw/zinc/85/38/63/603853863.db2.gz UPEXQPHBKYICEI-NEPJUHHUSA-N 0 2 316.402 0.443 20 0 DCADLN O=C([O-])N1CC[C@@](F)(C(=O)NCc2cn3c([nH+]2)CCCC3)C1 ZINC000831900932 603875043 /nfs/dbraw/zinc/87/50/43/603875043.db2.gz CZSQYFCVWXCWPC-AWEZNQCLSA-N 0 2 310.329 0.928 20 0 DCADLN CC(C)(C)[C@@H](Cn1cc[nH+]c1)NC(=O)COC1CN(C(=O)[O-])C1 ZINC000823760650 603984686 /nfs/dbraw/zinc/98/46/86/603984686.db2.gz UJFOWBXIAYZQOL-GFCCVEGCSA-N 0 2 324.381 0.793 20 0 DCADLN O=C(COC1CN(C(=O)[O-])C1)NCCCNc1cccc[nH+]1 ZINC000831533347 604013007 /nfs/dbraw/zinc/01/30/07/604013007.db2.gz JPOOUTLOAXDSQS-UHFFFAOYSA-N 0 2 308.338 0.379 20 0 DCADLN CC[C@H](O)CCCNC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000826626381 604074963 /nfs/dbraw/zinc/07/49/63/604074963.db2.gz UJYNSYSFZFOTDP-LBPRGKRZSA-N 0 2 316.402 0.132 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2cccc(CNC(=O)[O-])c2)CC1 ZINC000828488244 604174405 /nfs/dbraw/zinc/17/44/05/604174405.db2.gz LZKSLVDSNBKKSY-UHFFFAOYSA-N 0 2 320.349 0.167 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@@H]2CN(C(=O)[O-])CCO2)C[C@H]1n1cc[nH+]c1 ZINC000825938854 604298252 /nfs/dbraw/zinc/29/82/52/604298252.db2.gz QQZIRHFSHPHHHT-UPJWGTAASA-N 0 2 322.365 0.671 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2c[nH]c3ncccc23)[C@H](CNC(=O)[O-])C1 ZINC000828508230 604396378 /nfs/dbraw/zinc/39/63/78/604396378.db2.gz YYUSTKBETKZNOQ-SNVBAGLBSA-N 0 2 317.349 0.587 20 0 DCADLN C[N@H+]1CCN(C(=O)c2c[nH]c3ncccc23)[C@H](CNC(=O)[O-])C1 ZINC000828508230 604396381 /nfs/dbraw/zinc/39/63/81/604396381.db2.gz YYUSTKBETKZNOQ-SNVBAGLBSA-N 0 2 317.349 0.587 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)Cc2ccc(O)cc2)CC1 ZINC000831558426 604411309 /nfs/dbraw/zinc/41/13/09/604411309.db2.gz QGVQTSWHZGSONY-UHFFFAOYSA-N 0 2 307.350 0.347 20 0 DCADLN CC(C)(C)C(=O)N1CC[NH+](CCc2cn(CC(=O)[O-])nn2)CC1 ZINC000833420532 604858672 /nfs/dbraw/zinc/85/86/72/604858672.db2.gz MCEQNIAJLXKFLX-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN COCc1nn(C[N@@H+]2CCC[C@H](C(C)(C)C(=O)[O-])C2)c(=O)o1 ZINC000833725574 604869066 /nfs/dbraw/zinc/86/90/66/604869066.db2.gz CULOMPUPMCPGBS-JTQLQIEISA-N 0 2 313.354 0.763 20 0 DCADLN COCc1nn(C[N@H+]2CCC[C@H](C(C)(C)C(=O)[O-])C2)c(=O)o1 ZINC000833725574 604869067 /nfs/dbraw/zinc/86/90/67/604869067.db2.gz CULOMPUPMCPGBS-JTQLQIEISA-N 0 2 313.354 0.763 20 0 DCADLN C[C@H](C(=O)NCCSCCC(=O)[O-])[NH+]1CCSCC1 ZINC000833404808 605011735 /nfs/dbraw/zinc/01/17/35/605011735.db2.gz PWFCMSYIKGHFCM-SNVBAGLBSA-N 0 2 306.453 0.748 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CC[C@@H](NC(=O)[O-])C2)C1 ZINC000833959342 605318365 /nfs/dbraw/zinc/31/83/65/605318365.db2.gz SFEPCQSETPSDRA-GHMZBOCLSA-N 0 2 307.354 0.035 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NC(C)(C)CNC(=O)[O-] ZINC000826093866 605610232 /nfs/dbraw/zinc/61/02/32/605610232.db2.gz NFKNLKGXHAJBAB-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NC(C)(C)CNC(=O)[O-] ZINC000826093866 605610236 /nfs/dbraw/zinc/61/02/36/605610236.db2.gz NFKNLKGXHAJBAB-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN C[C@@H](NC(=O)[C@@H](NC(=O)[O-])C(C)(C)C)[C@@H](C)[NH+]1CCOCC1 ZINC000825452945 605703106 /nfs/dbraw/zinc/70/31/06/605703106.db2.gz FYMCPJKUURKPGS-IJLUTSLNSA-N 0 2 315.414 0.894 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCC2CN(C(=O)[O-])C2)C1 ZINC000833955826 605737911 /nfs/dbraw/zinc/73/79/11/605737911.db2.gz UMAVZCAROSEGLL-LLVKDONJSA-N 0 2 307.354 0.529 20 0 DCADLN O=C([O-])N1CC[C@@H]([N@H+](CC(=O)Nc2nncs2)C2CC2)C1 ZINC000834004193 605774675 /nfs/dbraw/zinc/77/46/75/605774675.db2.gz QXNHTSPPTADNGH-SECBINFHSA-N 0 2 311.367 0.693 20 0 DCADLN O=C([O-])N1CC[C@@H]([N@@H+](CC(=O)Nc2nncs2)C2CC2)C1 ZINC000834004193 605774678 /nfs/dbraw/zinc/77/46/78/605774678.db2.gz QXNHTSPPTADNGH-SECBINFHSA-N 0 2 311.367 0.693 20 0 DCADLN Cc1noc([C@H]2CCC[N@H+](CN3C[C@@H](NC(=O)[O-])CC3=O)C2)n1 ZINC000833931422 605994138 /nfs/dbraw/zinc/99/41/38/605994138.db2.gz ATQVNSNGWPZKFW-QWRGUYRKSA-N 0 2 323.353 0.383 20 0 DCADLN Cc1noc([C@H]2CCC[N@@H+](CN3C[C@@H](NC(=O)[O-])CC3=O)C2)n1 ZINC000833931422 605994139 /nfs/dbraw/zinc/99/41/39/605994139.db2.gz ATQVNSNGWPZKFW-QWRGUYRKSA-N 0 2 323.353 0.383 20 0 DCADLN CCOC(=O)c1cnn(C[N@@H+]2CC[C@H](NC(=O)[O-])[C@H](C)C2)c1 ZINC000833861643 606036641 /nfs/dbraw/zinc/03/66/41/606036641.db2.gz STPKFWCYNLDXFH-PWSUYJOCSA-N 0 2 310.354 0.995 20 0 DCADLN CCOC(=O)c1cnn(C[N@H+]2CC[C@H](NC(=O)[O-])[C@H](C)C2)c1 ZINC000833861643 606036645 /nfs/dbraw/zinc/03/66/45/606036645.db2.gz STPKFWCYNLDXFH-PWSUYJOCSA-N 0 2 310.354 0.995 20 0 DCADLN CCOC(=O)/C=C\Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC000821325784 606703630 /nfs/dbraw/zinc/70/36/30/606703630.db2.gz MWYYDKPZDOZAEB-WAYWQWQTSA-N 0 2 304.310 0.160 20 0 DCADLN Cc1nnc([C@@H]2CCCN(c3ccc(-c4nn[nH]n4)nn3)C2)[nH]1 ZINC000826325365 608433484 /nfs/dbraw/zinc/43/34/84/608433484.db2.gz VGRNWDHUGQKIMM-SECBINFHSA-N 0 2 312.341 0.467 20 0 DCADLN CN(C(=O)c1cn[nH]c1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646248 665405955 /nfs/dbraw/zinc/40/59/55/665405955.db2.gz BFFZGZOPGMOWRI-BDAKNGLRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1cn[nH]c1)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938646248 665405956 /nfs/dbraw/zinc/40/59/56/665405956.db2.gz BFFZGZOPGMOWRI-BDAKNGLRSA-N 0 2 322.262 0.983 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC=CCC1 ZINC001027753952 660697213 /nfs/dbraw/zinc/69/72/13/660697213.db2.gz XIWCDFHYURODOO-VXGBXAGGSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC=CCC1 ZINC001027753952 660697214 /nfs/dbraw/zinc/69/72/14/660697214.db2.gz XIWCDFHYURODOO-VXGBXAGGSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCCO1 ZINC001027829934 660804488 /nfs/dbraw/zinc/80/44/88/660804488.db2.gz NKOSBLOPQCHJBX-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCCO1 ZINC001027829934 660804490 /nfs/dbraw/zinc/80/44/90/660804490.db2.gz NKOSBLOPQCHJBX-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(C[C@H]1C=CCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980680673 660981803 /nfs/dbraw/zinc/98/18/03/660981803.db2.gz DUXJKEQVXGGSRD-LBPRGKRZSA-N 0 2 305.382 0.901 20 0 DCADLN Cc1noc([C@@H](C)N2CCC[C@H]2CNC(=O)c2cnn[nH]2)n1 ZINC001027990904 661025464 /nfs/dbraw/zinc/02/54/64/661025464.db2.gz RQVUEJBBXGZRLS-SCZZXKLOSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@@H](C)[N@@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990904 661025466 /nfs/dbraw/zinc/02/54/66/661025466.db2.gz RQVUEJBBXGZRLS-SCZZXKLOSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@@H](C)[N@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990904 661025469 /nfs/dbraw/zinc/02/54/69/661025469.db2.gz RQVUEJBBXGZRLS-SCZZXKLOSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1nc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)cs1 ZINC001028095326 661157778 /nfs/dbraw/zinc/15/77/78/661157778.db2.gz FZNWBQONNYNXLI-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN CC(C)(C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC000981795829 661219041 /nfs/dbraw/zinc/21/90/41/661219041.db2.gz DOPADWIRSLTXGM-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN CCc1ncoc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981923713 661246728 /nfs/dbraw/zinc/24/67/28/661246728.db2.gz XPCSXQUEMUKFOL-UHFFFAOYSA-N 0 2 320.353 0.409 20 0 DCADLN C[C@H]1CCC[N@H+]1CC(=O)N1CCC[NH+]([C@H]2CCN(C)C2=O)CC1 ZINC000981953465 661254402 /nfs/dbraw/zinc/25/44/02/661254402.db2.gz OBGYMBLVZWVMOY-GJZGRUSLSA-N 0 2 322.453 0.236 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001028169706 661256792 /nfs/dbraw/zinc/25/67/92/661256792.db2.gz COWDWKSUNFIWQQ-NSHDSACASA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001028169706 661256793 /nfs/dbraw/zinc/25/67/93/661256793.db2.gz COWDWKSUNFIWQQ-NSHDSACASA-N 0 2 318.381 0.850 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC12CCC2 ZINC001028174617 661258377 /nfs/dbraw/zinc/25/83/77/661258377.db2.gz ZUANIANYCOEYCL-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC12CCC2 ZINC001028174617 661258379 /nfs/dbraw/zinc/25/83/79/661258379.db2.gz ZUANIANYCOEYCL-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1nccs1 ZINC000998316462 665435928 /nfs/dbraw/zinc/43/59/28/665435928.db2.gz WZLADJGSPOKIKZ-LURJTMIESA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1nccs1 ZINC000998316462 665435930 /nfs/dbraw/zinc/43/59/30/665435930.db2.gz WZLADJGSPOKIKZ-LURJTMIESA-N 0 2 311.260 0.984 20 0 DCADLN C[C@H](NC(=O)[C@@H]1C[C@H]1C1CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970565484 657637118 /nfs/dbraw/zinc/63/71/18/657637118.db2.gz ALXTXBPIEOKCAS-TVYUQYBPSA-N 0 2 319.409 0.883 20 0 DCADLN Cc1occc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969265666 657640120 /nfs/dbraw/zinc/64/01/20/657640120.db2.gz HMISKMUNMTZSEZ-MRVPVSSYSA-N 0 2 305.338 0.662 20 0 DCADLN C[C@@H]1CN(C(=O)CCc2c[nH]nn2)C[C@H]1[NH2+]Cc1nccs1 ZINC000939157900 665504806 /nfs/dbraw/zinc/50/48/06/665504806.db2.gz FWSAFIZITPYWFJ-ZYHUDNBSSA-N 0 2 320.422 0.831 20 0 DCADLN C[C@@H]1CN(C(=O)CCc2cnn[nH]2)C[C@H]1[NH2+]Cc1nccs1 ZINC000939157900 665504809 /nfs/dbraw/zinc/50/48/09/665504809.db2.gz FWSAFIZITPYWFJ-ZYHUDNBSSA-N 0 2 320.422 0.831 20 0 DCADLN O=C(CSCC(=O)N1CCCCC1)NOC1CCOCC1 ZINC000772703816 665507169 /nfs/dbraw/zinc/50/71/69/665507169.db2.gz AADDAFRLTTVBTG-UHFFFAOYSA-N 0 2 316.423 0.959 20 0 DCADLN Cc1ocnc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969360975 657752602 /nfs/dbraw/zinc/75/26/02/657752602.db2.gz FNXJBHYOWMFPHF-SSDOTTSWSA-N 0 2 306.326 0.057 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H]([NH2+]Cc3ccon3)C2)c1[O-] ZINC000998927678 665550914 /nfs/dbraw/zinc/55/09/14/665550914.db2.gz NSHRPROJVKYVDY-NSHDSACASA-N 0 2 305.338 0.806 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@@H](O)[C@H](F)C1 ZINC000890688135 658281830 /nfs/dbraw/zinc/28/18/30/658281830.db2.gz PZSCOYCXIYTMKY-RNFRBKRXSA-N 0 2 300.252 0.375 20 0 DCADLN Cc1nnc([C@@H](C)N2CC([C@@H](C)NC(=O)c3cnn[nH]3)C2)o1 ZINC000970031847 658370874 /nfs/dbraw/zinc/37/08/74/658370874.db2.gz LVKYSCJFVJWDOL-HTQZYQBOSA-N 0 2 305.342 0.307 20 0 DCADLN COc1nscc1C[NH2+][C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001020777537 658459793 /nfs/dbraw/zinc/45/97/93/658459793.db2.gz ZBIIFOGYJWVCKX-KYZUINATSA-N 0 2 308.367 0.320 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N2CC[C@@]3(C2)C[NH+](CC2CC2)CCO3)C1 ZINC000972314373 658554362 /nfs/dbraw/zinc/55/43/62/658554362.db2.gz CQGBPWGOPXDRPZ-WBVHZDCISA-N 0 2 323.437 0.030 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCO1 ZINC001024314647 658566379 /nfs/dbraw/zinc/56/63/79/658566379.db2.gz KVGSHYYEEHOTDS-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000939519551 665592640 /nfs/dbraw/zinc/59/26/40/665592640.db2.gz BDJXPJLRPDJLEA-HTRCEHHLSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000939519551 665592641 /nfs/dbraw/zinc/59/26/41/665592641.db2.gz BDJXPJLRPDJLEA-HTRCEHHLSA-N 0 2 308.235 0.641 20 0 DCADLN O=C([O-])[C@]1(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCSC1 ZINC000909350159 659123301 /nfs/dbraw/zinc/12/33/01/659123301.db2.gz AEULBZAZIZDHEU-AWEZNQCLSA-N 0 2 318.358 0.957 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000975001704 659756960 /nfs/dbraw/zinc/75/69/60/659756960.db2.gz BXCMVDNGKBQOMI-JTQLQIEISA-N 0 2 320.353 0.447 20 0 DCADLN C[C@@H]1CCC[NH+]1CC(=O)N[C@H]1C[N@@H+](CC(=O)N(C)C)CC1(C)C ZINC000975046530 659771858 /nfs/dbraw/zinc/77/18/58/659771858.db2.gz CVBLRWMSRJLOLU-KGLIPLIRSA-N 0 2 324.469 0.386 20 0 DCADLN Cc1cnc(C[NH2+]C[C@H]2CCN(C(=O)CCc3cnn[nH]3)C2)o1 ZINC001027074340 659786804 /nfs/dbraw/zinc/78/68/04/659786804.db2.gz XQTBRIIVFOUHHG-GFCCVEGCSA-N 0 2 318.381 0.672 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000999996887 665727309 /nfs/dbraw/zinc/72/73/09/665727309.db2.gz VCMCNFRUYRSLKR-BWVDBABLSA-N 0 2 312.263 0.639 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000999996887 665727312 /nfs/dbraw/zinc/72/73/12/665727312.db2.gz VCMCNFRUYRSLKR-BWVDBABLSA-N 0 2 312.263 0.639 20 0 DCADLN Cc1conc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028249082 661346846 /nfs/dbraw/zinc/34/68/46/661346846.db2.gz PCMRPRUPRADGGD-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN CN(Cc1ccns1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000894070425 661430643 /nfs/dbraw/zinc/43/06/43/661430643.db2.gz GVQYOUVVQQKTCV-UHFFFAOYSA-N 0 2 304.335 0.186 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2CCCN(C(=O)C[N@@H+]3CC[C@@H](C)C3)C2)o1 ZINC001000191894 665770257 /nfs/dbraw/zinc/77/02/57/665770257.db2.gz KVZYHDJYDFYSEN-TZMCWYRMSA-N 0 2 321.425 0.800 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000982851457 661700066 /nfs/dbraw/zinc/70/00/66/661700066.db2.gz ZFXJKIJWOXAPAW-SVRRBLITSA-N 0 2 324.234 0.548 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC000982851457 661700069 /nfs/dbraw/zinc/70/00/69/661700069.db2.gz ZFXJKIJWOXAPAW-SVRRBLITSA-N 0 2 324.234 0.548 20 0 DCADLN C[C@@]1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CCCOC1 ZINC000983025968 661742164 /nfs/dbraw/zinc/74/21/64/661742164.db2.gz HTJQSKDVBDGSLB-OAHLLOKOSA-N 0 2 323.397 0.361 20 0 DCADLN C[N@@H+]1C[C@@H](O)C[C@@H]1CNC(=O)CSc1n[n-]c(=S)s1 ZINC000924987143 661838501 /nfs/dbraw/zinc/83/85/01/661838501.db2.gz LBWQVQYDKPSMQK-RQJHMYQMSA-N 0 2 320.465 0.100 20 0 DCADLN C[N@H+]1C[C@@H](O)C[C@@H]1CNC(=O)CSc1n[n-]c(=S)s1 ZINC000924987143 661838502 /nfs/dbraw/zinc/83/85/02/661838502.db2.gz LBWQVQYDKPSMQK-RQJHMYQMSA-N 0 2 320.465 0.100 20 0 DCADLN Cn1ccc([C@H]2CCCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)n1 ZINC000928194959 661842049 /nfs/dbraw/zinc/84/20/49/661842049.db2.gz ZVODBTDJOZLRIE-ONGXEEELSA-N 0 2 321.406 0.683 20 0 DCADLN CN(C)S(=O)(=O)C1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC000894548371 661981295 /nfs/dbraw/zinc/98/12/95/661981295.db2.gz OURDQQSXLPPMDK-ZCFIWIBFSA-N 0 2 306.281 0.427 20 0 DCADLN CN(C)S(=O)(=O)C1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000894548371 661981296 /nfs/dbraw/zinc/98/12/96/661981296.db2.gz OURDQQSXLPPMDK-ZCFIWIBFSA-N 0 2 306.281 0.427 20 0 DCADLN CCc1cnccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038427735 662010478 /nfs/dbraw/zinc/01/04/78/662010478.db2.gz AOJBNWBWUZGHAQ-LLVKDONJSA-N 0 2 316.365 0.472 20 0 DCADLN Cc1nc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)co1 ZINC001029280684 662079855 /nfs/dbraw/zinc/07/98/55/662079855.db2.gz WUKHFWOUGVNNEA-AOOOYVTPSA-N 0 2 318.337 0.296 20 0 DCADLN Cc1cocc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029427167 662171932 /nfs/dbraw/zinc/17/19/32/662171932.db2.gz IHOUFJGVFXKQPH-PHIMTYICSA-N 0 2 317.349 0.901 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccoc1 ZINC001000440557 665840852 /nfs/dbraw/zinc/84/08/52/665840852.db2.gz FXXQSOIHTFAKDI-UHFFFAOYSA-N 0 2 303.322 0.665 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccoc1 ZINC001000440557 665840853 /nfs/dbraw/zinc/84/08/53/665840853.db2.gz FXXQSOIHTFAKDI-UHFFFAOYSA-N 0 2 303.322 0.665 20 0 DCADLN C[C@H]1C[C@@H]1CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029456516 662217418 /nfs/dbraw/zinc/21/74/18/662217418.db2.gz VACBKOIYVBVFMN-WHOHXGKFSA-N 0 2 305.382 0.732 20 0 DCADLN Cc1[nH+]cc2n1CC[C@@H](C(=O)N1C[C@H]3CC[C@@H](C1)[N@H+]3CCO)C2 ZINC001029474708 662269276 /nfs/dbraw/zinc/26/92/76/662269276.db2.gz GUTNWJTXWGGYLU-KFWWJZLASA-N 0 2 318.421 0.421 20 0 DCADLN Cc1ccncc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006995751 662274224 /nfs/dbraw/zinc/27/42/24/662274224.db2.gz GLIWEJJTSDMNIE-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN CN(C(=O)c1cn[nH]c1)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000984707981 662283196 /nfs/dbraw/zinc/28/31/96/662283196.db2.gz WLXLCOGVZVEISA-CYBMUJFWSA-N 0 2 316.365 0.439 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)CNc3cccc[nH+]3)C[C@H]1COCC2 ZINC000909564235 662368211 /nfs/dbraw/zinc/36/82/11/662368211.db2.gz BJPXBPKNEMBLQG-XHDPSFHLSA-N 0 2 305.334 0.443 20 0 DCADLN Cc1ocnc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000496456 665861618 /nfs/dbraw/zinc/86/16/18/665861618.db2.gz PSGYZJZVNOXQOS-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN Cc1ocnc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000496456 665861621 /nfs/dbraw/zinc/86/16/21/665861621.db2.gz PSGYZJZVNOXQOS-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H](O)c1cc(Cl)cc(Cl)c1 ZINC000305687690 662472343 /nfs/dbraw/zinc/47/23/43/662472343.db2.gz ARLYNYFBGBZUDP-SECBINFHSA-N 0 2 317.132 0.755 20 0 DCADLN COC[C@H](NC(=O)[C@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000909661349 662543528 /nfs/dbraw/zinc/54/35/28/662543528.db2.gz HHZCISXQZBEGTI-RNJOBUHISA-N 0 2 316.398 0.336 20 0 DCADLN COC[C@H](NC(=O)[C@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000909661349 662543530 /nfs/dbraw/zinc/54/35/30/662543530.db2.gz HHZCISXQZBEGTI-RNJOBUHISA-N 0 2 316.398 0.336 20 0 DCADLN Cc1nonc1C[NH2+][C@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])[C@H]1C ZINC000986157362 662562610 /nfs/dbraw/zinc/56/26/10/662562610.db2.gz IBGBFRWLRYHUAP-UWVGGRQHSA-N 0 2 320.353 0.508 20 0 DCADLN C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866953054 662662302 /nfs/dbraw/zinc/66/23/02/662662302.db2.gz FYMGIXRVEMDJRT-PWSUYJOCSA-N 0 2 321.468 0.565 20 0 DCADLN C[C@@H]1C[N@H+](C2CC2)C[C@@H]1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866953054 662662304 /nfs/dbraw/zinc/66/23/04/662662304.db2.gz FYMGIXRVEMDJRT-PWSUYJOCSA-N 0 2 321.468 0.565 20 0 DCADLN C[C@H]1C[N@@H+](C2CC2)C[C@@H]1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866953053 662662313 /nfs/dbraw/zinc/66/23/13/662662313.db2.gz FYMGIXRVEMDJRT-JQWIXIFHSA-N 0 2 321.468 0.565 20 0 DCADLN C[C@H]1C[N@H+](C2CC2)C[C@@H]1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866953053 662662314 /nfs/dbraw/zinc/66/23/14/662662314.db2.gz FYMGIXRVEMDJRT-JQWIXIFHSA-N 0 2 321.468 0.565 20 0 DCADLN O=C(c1ncc[nH]1)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000940971256 665898174 /nfs/dbraw/zinc/89/81/74/665898174.db2.gz ARWVWKMUYRQLOV-MRVPVSSYSA-N 0 2 322.262 0.985 20 0 DCADLN O=C(c1ncc[nH]1)N1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000940971256 665898177 /nfs/dbraw/zinc/89/81/77/665898177.db2.gz ARWVWKMUYRQLOV-MRVPVSSYSA-N 0 2 322.262 0.985 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937682189 662783769 /nfs/dbraw/zinc/78/37/69/662783769.db2.gz NFRULXQPGYOOCV-WCBMZHEXSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000937682189 662783770 /nfs/dbraw/zinc/78/37/70/662783770.db2.gz NFRULXQPGYOOCV-WCBMZHEXSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000937696882 662787107 /nfs/dbraw/zinc/78/71/07/662787107.db2.gz BDJXPJLRPDJLEA-POYBYMJQSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000937696882 662787106 /nfs/dbraw/zinc/78/71/06/662787106.db2.gz BDJXPJLRPDJLEA-POYBYMJQSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[nH]1)CC2)C(F)F ZINC000989885073 662985924 /nfs/dbraw/zinc/98/59/24/662985924.db2.gz YQMKWNHHCQBBGC-KRTXAFLBSA-N 0 2 301.297 0.389 20 0 DCADLN CCc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000990281573 663026075 /nfs/dbraw/zinc/02/60/75/663026075.db2.gz XEJVHAKXXMLXAE-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN CCc1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)[nH]n1 ZINC000990281573 663026077 /nfs/dbraw/zinc/02/60/77/663026077.db2.gz XEJVHAKXXMLXAE-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990575507 663068506 /nfs/dbraw/zinc/06/85/06/663068506.db2.gz CIYLAPXZVOPZCK-XVKPBYJWSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990575507 663068507 /nfs/dbraw/zinc/06/85/07/663068507.db2.gz CIYLAPXZVOPZCK-XVKPBYJWSA-N 0 2 312.263 0.640 20 0 DCADLN COc1cccc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1OC ZINC000899027788 663118532 /nfs/dbraw/zinc/11/85/32/663118532.db2.gz ZBOIGETXVUAIHD-MRVPVSSYSA-N 0 2 307.306 0.631 20 0 DCADLN CCn1cc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c(C2CC2)n1 ZINC000899042242 663119254 /nfs/dbraw/zinc/11/92/54/663119254.db2.gz ZPWVDWUULXFSLJ-MRVPVSSYSA-N 0 2 305.338 0.708 20 0 DCADLN CCOCCOCCn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000900601364 663259644 /nfs/dbraw/zinc/25/96/44/663259644.db2.gz OOMSGRJWSUZHFM-UHFFFAOYSA-N 0 2 310.276 0.510 20 0 DCADLN CC(C)(C)n1ncc2c1nc[nH+]c2N1CCOC[C@@H]1C(=O)[O-] ZINC000900946644 663294268 /nfs/dbraw/zinc/29/42/68/663294268.db2.gz XSBMNHORJOCTSA-SNVBAGLBSA-N 0 2 305.338 0.871 20 0 DCADLN Cc1cc(C)cc(-n2ncc(C[N@@H+]3CC[C@@](O)(C(=O)[O-])C3)n2)c1 ZINC000901904283 663373263 /nfs/dbraw/zinc/37/32/63/663373263.db2.gz YSLFDIAFAXVDEE-INIZCTEOSA-N 0 2 316.361 0.906 20 0 DCADLN Cc1cc(C)cc(-n2ncc(C[N@H+]3CC[C@@](O)(C(=O)[O-])C3)n2)c1 ZINC000901904283 663373266 /nfs/dbraw/zinc/37/32/66/663373266.db2.gz YSLFDIAFAXVDEE-INIZCTEOSA-N 0 2 316.361 0.906 20 0 DCADLN COCC[NH+]1CCN(C(=O)C2(C(=O)[O-])C(C)(C)C2(C)C)CC1 ZINC000901970078 663376270 /nfs/dbraw/zinc/37/62/70/663376270.db2.gz VGHQLFGTDAEBCM-UHFFFAOYSA-N 0 2 312.410 0.914 20 0 DCADLN CN(C)CC(N)=NOCc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000902614054 663422317 /nfs/dbraw/zinc/42/23/17/663422317.db2.gz WAEPKOIVKNSVIG-UHFFFAOYSA-N 0 2 314.411 0.497 20 0 DCADLN C[C@@H]1CCC[C@@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031939628 665965378 /nfs/dbraw/zinc/96/53/78/665965378.db2.gz UYYQQPFXJLFIRD-ZYHUDNBSSA-N 0 2 307.398 0.885 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccnn2[C@@H]2CCOC2)cn1 ZINC000903667926 663473394 /nfs/dbraw/zinc/47/33/94/663473394.db2.gz HIJDODVQFPSZQV-SNVBAGLBSA-N 0 2 311.367 0.862 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccnn1C1CCOCC1 ZINC000903682392 663473712 /nfs/dbraw/zinc/47/37/12/663473712.db2.gz GCQWMLUDFOTCIB-UHFFFAOYSA-N 0 2 311.367 0.769 20 0 DCADLN CN(C)c1nc(N2CC[C@](O)(C(=O)[O-])C2)[nH+]c2ccccc21 ZINC000906150620 663602472 /nfs/dbraw/zinc/60/24/72/663602472.db2.gz OGGVGTKBUFYCSK-OAHLLOKOSA-N 0 2 302.334 0.722 20 0 DCADLN Cc1cocc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001052322 665984414 /nfs/dbraw/zinc/98/44/14/665984414.db2.gz YFTMCAULWAIATM-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN Cc1cocc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001052322 665984415 /nfs/dbraw/zinc/98/44/15/665984415.db2.gz YFTMCAULWAIATM-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccon1 ZINC001001079822 665992645 /nfs/dbraw/zinc/99/26/45/665992645.db2.gz DWKQJIKGPNUWAX-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccon1 ZINC001001079822 665992647 /nfs/dbraw/zinc/99/26/47/665992647.db2.gz DWKQJIKGPNUWAX-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN O=C([O-])c1csc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)n1 ZINC000909259263 663789741 /nfs/dbraw/zinc/78/97/41/663789741.db2.gz HONNJHFGCMJNGT-MRVPVSSYSA-N 0 2 306.347 0.917 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@](C)(CC(=O)[O-])c2cccc(F)c2)C1 ZINC000909681854 663793065 /nfs/dbraw/zinc/79/30/65/663793065.db2.gz MNCPKYITMMMEKD-CZUORRHYSA-N 0 2 324.352 0.962 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N[C@](C)(CC(=O)[O-])c2cccc(F)c2)C1 ZINC000909681854 663793066 /nfs/dbraw/zinc/79/30/66/663793066.db2.gz MNCPKYITMMMEKD-CZUORRHYSA-N 0 2 324.352 0.962 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000909936392 663821776 /nfs/dbraw/zinc/82/17/76/663821776.db2.gz DUVSMYZASMTISE-NHYWBVRUSA-N 0 2 307.350 0.538 20 0 DCADLN Cc1nc(N2CCN(c3ncc(C(=O)[O-])c(C)n3)CC2)cc[nH+]1 ZINC000910300563 663865842 /nfs/dbraw/zinc/86/58/42/663865842.db2.gz XHPDZBQFKWJPMF-UHFFFAOYSA-N 0 2 314.349 0.908 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001006947264 663869208 /nfs/dbraw/zinc/86/92/08/663869208.db2.gz XEAOTHXAPAEYLO-SNVBAGLBSA-N 0 2 319.369 0.245 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@@H]3CCC[C@H]3[C@H]2C(=O)[O-])nc[nH+]1 ZINC000910453707 663885598 /nfs/dbraw/zinc/88/55/98/663885598.db2.gz LYURULKIRNLTOG-DYNIEEOBSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@@H]3CCC[C@H]3[C@H]2C(=O)[O-])[nH+]cn1 ZINC000910453707 663885599 /nfs/dbraw/zinc/88/55/99/663885599.db2.gz LYURULKIRNLTOG-DYNIEEOBSA-N 0 2 306.366 0.959 20 0 DCADLN O=C([O-])[C@]1(O)CCN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC000910520630 663895031 /nfs/dbraw/zinc/89/50/31/663895031.db2.gz JLTLJLYVTNHFDC-INIZCTEOSA-N 0 2 315.329 0.593 20 0 DCADLN O=C([O-])c1cnc(CCNC(=O)[C@H]2CCc3c[nH+]cn3C2)s1 ZINC000910999739 663969180 /nfs/dbraw/zinc/96/91/80/663969180.db2.gz BZCCBKXOLBAOHV-VIFPVBQESA-N 0 2 320.374 0.959 20 0 DCADLN Cn1nccc1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911180691 664002551 /nfs/dbraw/zinc/00/25/51/664002551.db2.gz NBCAYLMMLXUQLW-UHFFFAOYSA-N 0 2 315.333 0.476 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cnc(NC3CC3)s2)C(=O)N1C ZINC000912816909 664234244 /nfs/dbraw/zinc/23/42/44/664234244.db2.gz JJQZIJQWEBLANF-ZCFIWIBFSA-N 0 2 309.351 0.645 20 0 DCADLN CCS(=O)(=O)CC(=O)Nc1nccc(Br)c1O ZINC000913026056 664255869 /nfs/dbraw/zinc/25/58/69/664255869.db2.gz FTZGHIUPIRUMMP-UHFFFAOYSA-N 0 2 323.168 0.923 20 0 DCADLN COc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1F ZINC001030473944 664558513 /nfs/dbraw/zinc/55/85/13/664558513.db2.gz GGODLYSXVJERAP-UHFFFAOYSA-N 0 2 321.312 0.272 20 0 DCADLN Cc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(F)cc1F ZINC001030504003 664571281 /nfs/dbraw/zinc/57/12/81/664571281.db2.gz INHFCDPRHJYLHD-UHFFFAOYSA-N 0 2 323.303 0.711 20 0 DCADLN Cc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)s1 ZINC001030626312 664610732 /nfs/dbraw/zinc/61/07/32/664610732.db2.gz ZYCZLARGUIZOKC-UHFFFAOYSA-N 0 2 307.379 0.803 20 0 DCADLN CN(C(=O)[C@@H]1CCOC1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953853826 664622421 /nfs/dbraw/zinc/62/24/21/664622421.db2.gz UTULJBSGWICHND-VXNVDRBHSA-N 0 2 312.263 0.593 20 0 DCADLN CN(C(=O)[C@@H]1CCOC1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953853826 664622422 /nfs/dbraw/zinc/62/24/22/664622422.db2.gz UTULJBSGWICHND-VXNVDRBHSA-N 0 2 312.263 0.593 20 0 DCADLN O=C(C[C@H]1CC[C@H](C2CC2)O1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030713903 664644811 /nfs/dbraw/zinc/64/48/11/664644811.db2.gz PQSGLLWDKPRLMU-VXGBXAGGSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2ccsc2[nH]1 ZINC001030765589 664660229 /nfs/dbraw/zinc/66/02/29/664660229.db2.gz IWRSDQBDJJCWPV-UHFFFAOYSA-N 0 2 318.362 0.667 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030980529 664745948 /nfs/dbraw/zinc/74/59/48/664745948.db2.gz MOJGNFSTVGCRMF-ZWNOBZJWSA-N 0 2 315.377 0.369 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2cc[nH]c2c1 ZINC001031014011 664756281 /nfs/dbraw/zinc/75/62/81/664756281.db2.gz RUXANNQLXDWMHJ-UHFFFAOYSA-N 0 2 312.333 0.606 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(F)c(F)c1 ZINC000730514545 664783566 /nfs/dbraw/zinc/78/35/66/664783566.db2.gz KDXJNOVWYAYWJS-UHFFFAOYSA-N 0 2 305.244 0.988 20 0 DCADLN CN(Cc1ccsc1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730516769 664784537 /nfs/dbraw/zinc/78/45/37/664784537.db2.gz OEUFZMYJBVKBNN-UHFFFAOYSA-N 0 2 303.347 0.791 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccnc1Cl ZINC000730521246 664785059 /nfs/dbraw/zinc/78/50/59/664785059.db2.gz VLZMHGRRYHENST-UHFFFAOYSA-N 0 2 304.697 0.758 20 0 DCADLN C[C@H](Cc1ccco1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730525264 664785324 /nfs/dbraw/zinc/78/53/24/664785324.db2.gz MAOJLRDNJLLEDY-SECBINFHSA-N 0 2 301.306 0.412 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccco2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992968190 664787205 /nfs/dbraw/zinc/78/72/05/664787205.db2.gz SHHQPZLNSPENRF-UWVGGRQHSA-N 0 2 305.338 0.886 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]1C1CCC1 ZINC000730544778 664791513 /nfs/dbraw/zinc/79/15/13/664791513.db2.gz ZHPXZWJAFZKTEO-GFCCVEGCSA-N 0 2 301.350 0.862 20 0 DCADLN C[C@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1F ZINC001031119023 664796776 /nfs/dbraw/zinc/79/67/76/664796776.db2.gz DJTQHWAGYQGDRT-VIFPVBQESA-N 0 2 319.340 0.754 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C)on1 ZINC000993055244 664797589 /nfs/dbraw/zinc/79/75/89/664797589.db2.gz ZVTBUMBHIZKUBG-UWVGGRQHSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@@H]1CC1(Cl)Cl ZINC000730655795 664799529 /nfs/dbraw/zinc/79/95/29/664799529.db2.gz CDYIWJFPGKMDSZ-LURJTMIESA-N 0 2 317.132 0.808 20 0 DCADLN Cn1c(Cl)cnc1COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730658057 664799653 /nfs/dbraw/zinc/79/96/53/664799653.db2.gz VUKUCXJBQCSBIH-UHFFFAOYSA-N 0 2 322.712 0.202 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cocn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993100815 664808279 /nfs/dbraw/zinc/80/82/79/664808279.db2.gz DYJBFKUOOKPOIN-DTWKUNHWSA-N 0 2 306.326 0.281 20 0 DCADLN CC(C)[C@H]1C[C@@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031208217 664882805 /nfs/dbraw/zinc/88/28/05/664882805.db2.gz VZHVENWTVXYLDT-CMPLNLGQSA-N 0 2 323.397 0.262 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C)co1 ZINC000993232716 664914362 /nfs/dbraw/zinc/91/43/62/664914362.db2.gz INEULEDWIICPTK-WCBMZHEXSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000993276155 664920886 /nfs/dbraw/zinc/92/08/86/664920886.db2.gz CLTXHEVZNYGCNI-WDEREUQCSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000993276155 664920887 /nfs/dbraw/zinc/92/08/87/664920887.db2.gz CLTXHEVZNYGCNI-WDEREUQCSA-N 0 2 318.381 0.930 20 0 DCADLN CCOC(=O)COC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000732501325 664939131 /nfs/dbraw/zinc/93/91/31/664939131.db2.gz BILHLKGVCABNLV-UHFFFAOYSA-N 0 2 322.342 0.942 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ncc[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993333118 664941848 /nfs/dbraw/zinc/94/18/48/664941848.db2.gz VRJAXDKALYPGBB-DTWKUNHWSA-N 0 2 305.342 0.016 20 0 DCADLN Cc1ccc([C@H](C)C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031257825 664956756 /nfs/dbraw/zinc/95/67/56/664956756.db2.gz GHDAFAWVAONETO-VIFPVBQESA-N 0 2 321.406 0.984 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)[nH]1 ZINC001015668551 664969981 /nfs/dbraw/zinc/96/99/81/664969981.db2.gz KQQQHGILDHMGNZ-ZETCQYMHSA-N 0 2 310.745 0.496 20 0 DCADLN Cc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)c1 ZINC001031340507 665095264 /nfs/dbraw/zinc/09/52/64/665095264.db2.gz FDPLPOIIMITBTG-UHFFFAOYSA-N 0 2 301.350 0.741 20 0 DCADLN COCC(=O)Nc1ccc(NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000917735561 665141577 /nfs/dbraw/zinc/14/15/77/665141577.db2.gz WAGZWOKAAQIXLW-UHFFFAOYSA-N 0 2 324.362 0.806 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1F ZINC001031521480 665182852 /nfs/dbraw/zinc/18/28/52/665182852.db2.gz YJEYYNAZLUKXSL-UHFFFAOYSA-N 0 2 305.313 0.511 20 0 DCADLN Cc1cccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001031554555 665196425 /nfs/dbraw/zinc/19/64/25/665196425.db2.gz ATURTYBYIONPOL-UHFFFAOYSA-N 0 2 302.338 0.076 20 0 DCADLN CC1(C)C(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1(C)C ZINC001031602706 665223039 /nfs/dbraw/zinc/22/30/39/665223039.db2.gz NJIYANUAGGUCDE-UHFFFAOYSA-N 0 2 307.398 0.741 20 0 DCADLN C[C@@H](CNC(=S)NC1CC[NH+](C)CC1)[NH+]1CCOCC1 ZINC000920308805 665266573 /nfs/dbraw/zinc/26/65/73/665266573.db2.gz DTYUVAUXJGTZMT-LBPRGKRZSA-N 0 2 300.472 0.265 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)[C@]12C[C@H]1CCC2 ZINC001032530212 665312834 /nfs/dbraw/zinc/31/28/34/665312834.db2.gz JZTPFTNFQWGCSF-DZHLUBAWSA-N 0 2 303.366 0.486 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938393192 665353349 /nfs/dbraw/zinc/35/33/49/665353349.db2.gz NPWZXTLGPNMODF-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938393192 665353351 /nfs/dbraw/zinc/35/33/51/665353351.db2.gz NPWZXTLGPNMODF-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC000938512869 665376208 /nfs/dbraw/zinc/37/62/08/665376208.db2.gz QJUVCPRRNFRWRM-YUMQZZPRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ncccn1 ZINC000938512869 665376210 /nfs/dbraw/zinc/37/62/10/665376210.db2.gz QJUVCPRRNFRWRM-YUMQZZPRSA-N 0 2 320.246 0.708 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001165409 666003129 /nfs/dbraw/zinc/00/31/29/666003129.db2.gz FPUYZLNJNCZBFS-MFKMUULPSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001165409 666003131 /nfs/dbraw/zinc/00/31/31/666003131.db2.gz FPUYZLNJNCZBFS-MFKMUULPSA-N 0 2 321.381 0.184 20 0 DCADLN CC[C@H]1CCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031953342 666005640 /nfs/dbraw/zinc/00/56/40/666005640.db2.gz IUPMQEBWPIUZBC-NWDGAFQWSA-N 0 2 307.398 0.885 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001002947053 666249961 /nfs/dbraw/zinc/24/99/61/666249961.db2.gz WSTUFLPKGWGURG-NEPJUHHUSA-N 0 2 323.397 0.549 20 0 DCADLN CCc1noc(CN2CCC(NC(=O)c3cnn[nH]3)CC2)n1 ZINC001003236054 666287929 /nfs/dbraw/zinc/28/79/29/666287929.db2.gz YRTWTQCJWIKJAF-UHFFFAOYSA-N 0 2 305.342 0.145 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC12CCC2 ZINC001003709938 666348163 /nfs/dbraw/zinc/34/81/63/666348163.db2.gz XCTYTQBDOMUWLZ-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN CN(C(=O)c1cc(Cl)c[nH]1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032908765 666383018 /nfs/dbraw/zinc/38/30/18/666383018.db2.gz BCNHDWJHLQPJMC-SECBINFHSA-N 0 2 324.772 0.838 20 0 DCADLN Cc1nc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)sc1C ZINC001032195630 666414973 /nfs/dbraw/zinc/41/49/73/666414973.db2.gz CSKBDPOBKBLSPV-UHFFFAOYSA-N 0 2 322.394 0.445 20 0 DCADLN CCCn1nccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948555578 666436128 /nfs/dbraw/zinc/43/61/28/666436128.db2.gz ACMTXNFSSXDZJF-UHFFFAOYSA-N 0 2 319.369 0.075 20 0 DCADLN CN(C(=O)C1(C2CC2)CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033176610 666589971 /nfs/dbraw/zinc/58/99/71/666589971.db2.gz LSYFHGJWENMSNC-NSHDSACASA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)C1(C2CC2)CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033176610 666589972 /nfs/dbraw/zinc/58/99/72/666589972.db2.gz LSYFHGJWENMSNC-NSHDSACASA-N 0 2 305.382 0.733 20 0 DCADLN CO[C@H](C)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001033219122 666632101 /nfs/dbraw/zinc/63/21/01/666632101.db2.gz FSRRTERXTNYENO-OLZOCXBDSA-N 0 2 324.425 0.917 20 0 DCADLN CC[C@H]1OCCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948702671 666650188 /nfs/dbraw/zinc/65/01/88/666650188.db2.gz CGRMCYMTEZKCBD-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(c1ccccc1O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032287613 666694004 /nfs/dbraw/zinc/69/40/04/666694004.db2.gz LISGYUWSLWYMBB-UWVGGRQHSA-N 0 2 315.333 0.315 20 0 DCADLN Cc1conc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033568714 666762989 /nfs/dbraw/zinc/76/29/89/666762989.db2.gz ZPKPJQBKJBIRHS-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN Cc1nnccc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000996759263 666890007 /nfs/dbraw/zinc/89/00/07/666890007.db2.gz OJWJXXGMDLRBQS-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1nnccc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000996759263 666890010 /nfs/dbraw/zinc/89/00/10/666890010.db2.gz OJWJXXGMDLRBQS-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCO1 ZINC001034086372 666914530 /nfs/dbraw/zinc/91/45/30/666914530.db2.gz ALLHKZHSZDYOAP-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCO1 ZINC001034086372 666914531 /nfs/dbraw/zinc/91/45/31/666914531.db2.gz ALLHKZHSZDYOAP-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001034160780 666941008 /nfs/dbraw/zinc/94/10/08/666941008.db2.gz KSVJDNSVIPMKIK-GFCCVEGCSA-N 0 2 318.381 0.633 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001034160780 666941009 /nfs/dbraw/zinc/94/10/09/666941009.db2.gz KSVJDNSVIPMKIK-GFCCVEGCSA-N 0 2 318.381 0.633 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cc[nH]c1 ZINC001034224338 666961524 /nfs/dbraw/zinc/96/15/24/666961524.db2.gz WOGXLNAVEGIIQZ-LLVKDONJSA-N 0 2 304.354 0.623 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cc[nH]c1 ZINC001034224338 666961525 /nfs/dbraw/zinc/96/15/25/666961525.db2.gz WOGXLNAVEGIIQZ-LLVKDONJSA-N 0 2 304.354 0.623 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccnnc1 ZINC001034416032 667019746 /nfs/dbraw/zinc/01/97/46/667019746.db2.gz LOTCIMRQXMOLLP-NSHDSACASA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccnnc1 ZINC001034416032 667019750 /nfs/dbraw/zinc/01/97/50/667019750.db2.gz LOTCIMRQXMOLLP-NSHDSACASA-N 0 2 317.353 0.085 20 0 DCADLN C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001032360533 667037655 /nfs/dbraw/zinc/03/76/55/667037655.db2.gz RTYCIHBCQCJGNN-WOPDTQHZSA-N 0 2 316.365 0.358 20 0 DCADLN C[C@H](CC(=O)OCc1ccccc1)NC(=O)c1nc(=O)[nH][nH]1 ZINC000119181202 667094306 /nfs/dbraw/zinc/09/43/06/667094306.db2.gz DQUKJTQCRBHEIN-SECBINFHSA-N 0 2 304.306 0.350 20 0 DCADLN C[C@H]1CO[C@H](C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001005737885 667157618 /nfs/dbraw/zinc/15/76/18/667157618.db2.gz YPLMWSIJLRFORU-PWSUYJOCSA-N 0 2 323.397 0.358 20 0 DCADLN CN(CCNC(=O)C(C)(C)NC(=O)C(F)(F)F)CC(F)F ZINC000863808651 667185128 /nfs/dbraw/zinc/18/51/28/667185128.db2.gz NSNRKQUMSUGOBH-UHFFFAOYSA-N 0 2 319.274 0.757 20 0 DCADLN C[NH+]1CCN(c2ccccc2NC(=O)CCc2nn[n-]n2)CC1 ZINC000864681309 667274785 /nfs/dbraw/zinc/27/47/85/667274785.db2.gz CYTGPLPXWAMFKP-UHFFFAOYSA-N 0 2 315.381 0.523 20 0 DCADLN C/C=C(/C)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035683182 667398224 /nfs/dbraw/zinc/39/82/24/667398224.db2.gz JOCLKSBRJNOSJV-JYOAFUTRSA-N 0 2 305.382 0.901 20 0 DCADLN C[C@H]1C[C@H]1CC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035787247 667409610 /nfs/dbraw/zinc/40/96/10/667409610.db2.gz NOKPOUWCYVPPGW-RYUDHWBXSA-N 0 2 319.409 0.981 20 0 DCADLN O=C(CNC(=O)c1ccc(F)cc1)NCCc1n[nH]c(=S)o1 ZINC000788146431 667747733 /nfs/dbraw/zinc/74/77/33/667747733.db2.gz BFEKDBQZAALOGY-UHFFFAOYSA-N 0 2 324.337 0.586 20 0 DCADLN C[NH+]1CCN(c2cc[nH+]c(NC(=O)C[C@H]3COC(=O)C3)c2)CC1 ZINC000870667451 667750897 /nfs/dbraw/zinc/75/08/97/667750897.db2.gz XVBCDXLVULHCKO-GFCCVEGCSA-N 0 2 318.377 0.725 20 0 DCADLN CC(=O)Nc1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000742508832 667792583 /nfs/dbraw/zinc/79/25/83/667792583.db2.gz WXZVQFDOZPTDTJ-NSHDSACASA-N 0 2 320.374 0.818 20 0 DCADLN COc1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c(OC)c1 ZINC000742523700 667792956 /nfs/dbraw/zinc/79/29/56/667792956.db2.gz FFXSHFRMJSVKKU-NSHDSACASA-N 0 2 323.374 0.876 20 0 DCADLN CCOc1ncccc1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742524175 667793116 /nfs/dbraw/zinc/79/31/16/667793116.db2.gz VAVGXDKATIRMMD-VIFPVBQESA-N 0 2 308.363 0.653 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cccc3c2OCO3)S1 ZINC000742570524 667794004 /nfs/dbraw/zinc/79/40/04/667794004.db2.gz RWIMSFHUBGKDRQ-SECBINFHSA-N 0 2 307.331 0.588 20 0 DCADLN COc1c(C)cnc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c1C ZINC000742598683 667794202 /nfs/dbraw/zinc/79/42/02/667794202.db2.gz GAIZXZOZCDBSJN-JTQLQIEISA-N 0 2 322.390 0.880 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc3c(c2)CCO3)S1 ZINC000742613615 667795096 /nfs/dbraw/zinc/79/50/96/667795096.db2.gz OEGKNRBTSILDIS-NSHDSACASA-N 0 2 305.359 0.794 20 0 DCADLN CCn1nc(C)c(CNC(=O)C[C@@H]2SC(=N)NC2=O)c1C ZINC000742624489 667795614 /nfs/dbraw/zinc/79/56/14/667795614.db2.gz UTRKPPOKHFUHQC-JTQLQIEISA-N 0 2 309.395 0.693 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@@H](C)S1 ZINC000742771688 667798822 /nfs/dbraw/zinc/79/88/22/667798822.db2.gz DRLUWLLYSBPYMP-RKDXNWHRSA-N 0 2 307.379 0.424 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](C2CCC2)[C@@H]2CCOC2)S1 ZINC000871295021 667806765 /nfs/dbraw/zinc/80/67/65/667806765.db2.gz MOYGVFSPZGZTHF-JFGNBEQYSA-N 0 2 311.407 0.864 20 0 DCADLN COC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1ccc(C)o1 ZINC000746641362 667884431 /nfs/dbraw/zinc/88/44/31/667884431.db2.gz QFZROMWNHVMENP-WCBMZHEXSA-N 0 2 311.363 0.948 20 0 DCADLN COC[C@@H](Cc1ccccc1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000746657089 667885089 /nfs/dbraw/zinc/88/50/89/667885089.db2.gz LFXMCOKWRICACD-NEPJUHHUSA-N 0 2 321.402 0.917 20 0 DCADLN Cc1ccc(C)n1-c1c(C(=O)NCc2n[nH]c(=O)[nH]2)cnn1C ZINC000748057767 667917401 /nfs/dbraw/zinc/91/74/01/667917401.db2.gz RSDMCNSUXLKDLN-UHFFFAOYSA-N 0 2 315.337 0.581 20 0 DCADLN COc1ccc(C=CC(=O)NCc2n[nH]c(=O)[nH]2)cc1OC ZINC000748057605 667917796 /nfs/dbraw/zinc/91/77/96/667917796.db2.gz OQPVIGWURPKOIX-XQRVVYSFSA-N 0 2 304.306 0.857 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2COc3ccccc3[C@@H]2O)S1 ZINC000752907687 668023236 /nfs/dbraw/zinc/02/32/36/668023236.db2.gz JLXQYKKNSHDQSD-QRTLGDNMSA-N 0 2 321.358 0.154 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC([C@H]3CCOC3)CC2)S1 ZINC000752971951 668024220 /nfs/dbraw/zinc/02/42/20/668024220.db2.gz BDCHAZWKFPRTIF-WDEREUQCSA-N 0 2 311.407 0.818 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)S1 ZINC000754195905 668042448 /nfs/dbraw/zinc/04/24/48/668042448.db2.gz IIGHNYMFKDCSOE-HLFFCZDVSA-N 0 2 309.391 0.617 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N[C@H]3C[C@@H](O)C3)cc2[nH]c1=S ZINC000839790167 668143150 /nfs/dbraw/zinc/14/31/50/668143150.db2.gz SOBKXCDOFHDYNU-AOOOYVTPSA-N 0 2 319.386 0.958 20 0 DCADLN COC(=O)c1cccc(CNC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000758312700 668166705 /nfs/dbraw/zinc/16/67/05/668166705.db2.gz DMBINBBWDBFGIP-SNVBAGLBSA-N 0 2 321.358 0.646 20 0 DCADLN CO[N-]C(=O)CNC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000924029915 668186681 /nfs/dbraw/zinc/18/66/81/668186681.db2.gz BNUVPLPJKWMZRR-ZDUSSCGKSA-N 0 2 317.349 0.601 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCC(=O)N1CCCC1=O ZINC000759116916 668207148 /nfs/dbraw/zinc/20/71/48/668207148.db2.gz MZEVVJAZRUNNAM-UHFFFAOYSA-N 0 2 324.255 0.136 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)OCCC(N)=O)cc2[nH]c1=S ZINC000759547881 668225163 /nfs/dbraw/zinc/22/51/63/668225163.db2.gz XOZXUMFBEQWRLB-UHFFFAOYSA-N 0 2 307.331 0.254 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@H](O)c1ccncc1 ZINC000760468151 668273720 /nfs/dbraw/zinc/27/37/20/668273720.db2.gz CUUQUYHLPYCXCQ-VIFPVBQESA-N 0 2 319.283 0.688 20 0 DCADLN COC(=O)NCc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000762218633 668352217 /nfs/dbraw/zinc/35/22/17/668352217.db2.gz SBMUGYCERUNGQF-UHFFFAOYSA-N 0 2 320.309 0.688 20 0 DCADLN CC(C)C[C@H](CCO)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000762694996 668371918 /nfs/dbraw/zinc/37/19/18/668371918.db2.gz FRWGMAGOZQXSGP-VHSXEESVSA-N 0 2 301.412 0.704 20 0 DCADLN COC1(c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)CCCC1 ZINC000763356325 668406390 /nfs/dbraw/zinc/40/63/90/668406390.db2.gz CBIYWAWUMVCMHQ-UHFFFAOYSA-N 0 2 316.321 0.918 20 0 DCADLN COCCN(C(=O)C(F)C(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000764499314 668450382 /nfs/dbraw/zinc/45/03/82/668450382.db2.gz MNJBUDCLKJUAMC-JGVFFNPUSA-N 0 2 321.292 0.549 20 0 DCADLN COCCN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000764499314 668450385 /nfs/dbraw/zinc/45/03/85/668450385.db2.gz MNJBUDCLKJUAMC-JGVFFNPUSA-N 0 2 321.292 0.549 20 0 DCADLN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(F)C(F)(F)F)O1 ZINC000764645849 668456435 /nfs/dbraw/zinc/45/64/35/668456435.db2.gz AJSZNYDQAQQFNJ-GJMOJQLCSA-N 0 2 300.252 0.639 20 0 DCADLN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)O1 ZINC000764645849 668456440 /nfs/dbraw/zinc/45/64/40/668456440.db2.gz AJSZNYDQAQQFNJ-GJMOJQLCSA-N 0 2 300.252 0.639 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccnc2ccccc21 ZINC000764980462 668470272 /nfs/dbraw/zinc/47/02/72/668470272.db2.gz FMZUJDXXUOJKSZ-UHFFFAOYSA-N 0 2 320.312 0.680 20 0 DCADLN Cc1ccc(-c2cc(C(=O)OCc3nc(=O)n(C)[nH]3)[nH]n2)o1 ZINC000765451247 668493110 /nfs/dbraw/zinc/49/31/10/668493110.db2.gz ZCEIYLQXNRNRSS-UHFFFAOYSA-N 0 2 303.278 0.757 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](CO)Cc2ccco2)S1 ZINC000769111119 668635053 /nfs/dbraw/zinc/63/50/53/668635053.db2.gz NLHNOTTUIUETNF-SCZZXKLOSA-N 0 2 311.363 0.103 20 0 DCADLN COc1ccc(CN(C)C(=O)C[C@H]2SC(=N)NC2=O)cc1O ZINC000769295369 668642324 /nfs/dbraw/zinc/64/23/24/668642324.db2.gz VNORHGWOUYLPBS-LLVKDONJSA-N 0 2 323.374 0.916 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Oc1ccc2cncnc2c1 ZINC000772505737 668775300 /nfs/dbraw/zinc/77/53/00/668775300.db2.gz AEQKTPGQEMFISO-UHFFFAOYSA-N 0 2 322.284 0.620 20 0 DCADLN CC(=O)NCc1ccc(C(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC000773084872 668792860 /nfs/dbraw/zinc/79/28/60/668792860.db2.gz AKQLCOMCJKSTNJ-UHFFFAOYSA-N 0 2 320.374 0.967 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCc3ccccc3[C@@H]2CO)S1 ZINC000773501078 668804865 /nfs/dbraw/zinc/80/48/65/668804865.db2.gz MEUFJKMWDJKZSX-RYUDHWBXSA-N 0 2 319.386 0.661 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2COC3(CCCC3)O2)S1 ZINC000773681162 668811165 /nfs/dbraw/zinc/81/11/65/668811165.db2.gz LHINQUVKXHURBN-RKDXNWHRSA-N 0 2 313.379 0.345 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)C1=NN(c2ccccc2)C(=O)CC1 ZINC000774874614 668849417 /nfs/dbraw/zinc/84/94/17/668849417.db2.gz XSXJFTDWBNELLE-UHFFFAOYSA-N 0 2 315.289 0.737 20 0 DCADLN CC(C)(C)C(=O)Nc1nccnc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774957767 668852285 /nfs/dbraw/zinc/85/22/85/668852285.db2.gz HDTFVMKNJCAPNX-UHFFFAOYSA-N 0 2 320.309 0.642 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cc(N2CCNC2=O)ccc1F ZINC000774961695 668853105 /nfs/dbraw/zinc/85/31/05/668853105.db2.gz OXXKOPRIANGZDW-UHFFFAOYSA-N 0 2 321.268 0.536 20 0 DCADLN O=C(Cc1cn2ccccc2n1)NCCc1n[nH]c(=S)o1 ZINC000776535163 668877306 /nfs/dbraw/zinc/87/73/06/668877306.db2.gz BXSRALQPAKGQOG-UHFFFAOYSA-N 0 2 303.347 0.907 20 0 DCADLN O=C(c1ccc(O)cc1F)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000776563746 668877715 /nfs/dbraw/zinc/87/77/15/668877715.db2.gz JYSMWQFNLWCYQO-SNVBAGLBSA-N 0 2 309.326 0.975 20 0 DCADLN COc1ccc(-n2cnnc2SCC(=O)NOCCO)cc1 ZINC000776584894 668878394 /nfs/dbraw/zinc/87/83/94/668878394.db2.gz XKVIYXYNJUQWRQ-UHFFFAOYSA-N 0 2 324.362 0.408 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2[C@H]2CCCC2=O)S1 ZINC000778405405 668899085 /nfs/dbraw/zinc/89/90/85/668899085.db2.gz MNKIKWIYPDIRPQ-KKZNHRDASA-N 0 2 309.391 0.903 20 0 DCADLN Cc1nn(C)c(-n2cccn2)c1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000778477256 668900074 /nfs/dbraw/zinc/90/00/74/668900074.db2.gz QACNTZSJXBVGFS-UHFFFAOYSA-N 0 2 303.282 0.095 20 0 DCADLN CN(C[C@@H]1CCCC[C@@H]1O)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000778504257 668900524 /nfs/dbraw/zinc/90/05/24/668900524.db2.gz LJLNIHXJAZWPRC-JQWIXIFHSA-N 0 2 319.365 0.081 20 0 DCADLN Cn1nnc2ccc(C(=O)NCCc3n[nH]c(=S)o3)cc21 ZINC000779541380 668912495 /nfs/dbraw/zinc/91/24/95/668912495.db2.gz YRDIQQKGFCRFMN-UHFFFAOYSA-N 0 2 304.335 0.613 20 0 DCADLN COC(=O)[C@H]1CCCCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000781375495 669039504 /nfs/dbraw/zinc/03/95/04/669039504.db2.gz LBCKKAFQWJDSQN-BDAKNGLRSA-N 0 2 313.379 0.487 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](OC(F)F)C2)S1 ZINC000781412354 669042330 /nfs/dbraw/zinc/04/23/30/669042330.db2.gz FXIXDZHUWPVSDC-BQBZGAKWSA-N 0 2 307.322 0.773 20 0 DCADLN CCc1cnc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000784202491 669193772 /nfs/dbraw/zinc/19/37/72/669193772.db2.gz RZYHNJRKASQNOF-UHFFFAOYSA-N 0 2 319.346 0.834 20 0 DCADLN Cc1cscc1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000785641659 669263921 /nfs/dbraw/zinc/26/39/21/669263921.db2.gz MAABNTFGTGGWFK-UHFFFAOYSA-N 0 2 303.347 0.758 20 0 DCADLN CC(C)COC(=O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881309420 669321221 /nfs/dbraw/zinc/32/12/21/669321221.db2.gz XXGUMVKKWJCDPN-UHFFFAOYSA-N 0 2 308.260 0.656 20 0 DCADLN Cc1ncc(Cn2cc(CNC(=O)C(F)(F)F)nn2)cn1 ZINC000881365290 669328878 /nfs/dbraw/zinc/32/88/78/669328878.db2.gz PWIZQEKPZGQZAP-UHFFFAOYSA-N 0 2 300.244 0.603 20 0 DCADLN Cc1ccc(Br)nc1C(=O)NN1CC(=O)NC1=O ZINC000786780549 669330475 /nfs/dbraw/zinc/33/04/75/669330475.db2.gz CCMMRLFCVDOFQV-UHFFFAOYSA-N 0 2 313.111 0.349 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2cnns2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000947099808 669498604 /nfs/dbraw/zinc/49/86/04/669498604.db2.gz SGOUSBBKDYLKGB-HTQZYQBOSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2cnns2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000947099808 669498610 /nfs/dbraw/zinc/49/86/10/669498610.db2.gz SGOUSBBKDYLKGB-HTQZYQBOSA-N 0 2 323.382 0.145 20 0 DCADLN CCC[C@@H](NC(=O)c1cc2n[nH]nc2cc1F)c1nn[nH]n1 ZINC000789805936 669511483 /nfs/dbraw/zinc/51/14/83/669511483.db2.gz KBMZUYPUIDNIKL-MRVPVSSYSA-N 0 2 304.289 0.881 20 0 DCADLN O=C([C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000935845240 669783415 /nfs/dbraw/zinc/78/34/15/669783415.db2.gz UGHGWRLOTLLIKV-ZSOJELSESA-N 0 2 304.350 0.640 20 0 DCADLN O=C(NOCCO)C1(c2cncc(Br)c2)CC1 ZINC000798994295 670032783 /nfs/dbraw/zinc/03/27/83/670032783.db2.gz FAKCMFPBJILVIT-UHFFFAOYSA-N 0 2 301.140 0.916 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnco1 ZINC000951287293 670238114 /nfs/dbraw/zinc/23/81/14/670238114.db2.gz YBRHPZXXFVUMGA-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cnco1 ZINC000951287293 670238116 /nfs/dbraw/zinc/23/81/16/670238116.db2.gz YBRHPZXXFVUMGA-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN CCCC(=O)N1CCC2(CC1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000949279168 670302918 /nfs/dbraw/zinc/30/29/18/670302918.db2.gz VEYUWUMUCYRYTD-UHFFFAOYSA-N 0 2 323.397 0.504 20 0 DCADLN Cn1cnc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)c1 ZINC000949408712 670335622 /nfs/dbraw/zinc/33/56/22/670335622.db2.gz ZTQACZRDLBSZDX-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)NC[C@@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)c1 ZINC000949408712 670335624 /nfs/dbraw/zinc/33/56/24/670335624.db2.gz ZTQACZRDLBSZDX-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccc(C2(O)COC2)cc1 ZINC000808119854 670390854 /nfs/dbraw/zinc/39/08/54/670390854.db2.gz CSTBLVZFBUTWGF-UHFFFAOYSA-N 0 2 323.374 0.922 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1(C(F)F)CCCC1 ZINC000809368668 670449946 /nfs/dbraw/zinc/44/99/46/670449946.db2.gz MQMXWEJAMGZUQM-UHFFFAOYSA-N 0 2 311.292 0.765 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1C[C@H](c2ccccc2)OC1=O ZINC000809706435 670459174 /nfs/dbraw/zinc/45/91/74/670459174.db2.gz YUAJXKSRNNVQKN-NXEZZACHSA-N 0 2 302.290 0.431 20 0 DCADLN CCN(C(=O)c1coc(C)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949962680 670472393 /nfs/dbraw/zinc/47/23/93/670472393.db2.gz KNPBZJRXSNQDDN-UHFFFAOYSA-N 0 2 305.338 0.758 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000848447118 670684977 /nfs/dbraw/zinc/68/49/77/670684977.db2.gz JGSJZEGPXCVCPU-XHDPSFHLSA-N 0 2 307.350 0.538 20 0 DCADLN C[C@H]1C[C@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c2ncnn21 ZINC000855327967 670697869 /nfs/dbraw/zinc/69/78/69/670697869.db2.gz NXBQIGQBOVBGGV-BQBZGAKWSA-N 0 2 319.287 0.857 20 0 DCADLN CCc1noc(C[C@@]2(O)CCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC000856677994 670839090 /nfs/dbraw/zinc/83/90/90/670839090.db2.gz UKZPUNAXJVNQRN-ZDUSSCGKSA-N 0 2 308.342 0.025 20 0 DCADLN CCc1noc(C[C@@]2(O)CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC000856677994 670839099 /nfs/dbraw/zinc/83/90/99/670839099.db2.gz UKZPUNAXJVNQRN-ZDUSSCGKSA-N 0 2 308.342 0.025 20 0 DCADLN CC(C)Oc1ccc([C@@H](O)CNC(=O)c2nc(=O)[nH][nH]2)cc1 ZINC000116463483 671058232 /nfs/dbraw/zinc/05/82/32/671058232.db2.gz JHWONPABPZZVNA-NSHDSACASA-N 0 2 306.322 0.349 20 0 DCADLN COC[C@@]1(C)C[N@H+](CC(=O)[N-]OCc2ccccc2)CCO1 ZINC000860203085 671189939 /nfs/dbraw/zinc/18/99/39/671189939.db2.gz WIAZNAZESMDUPN-MRXNPFEDSA-N 0 2 308.378 0.972 20 0 DCADLN COC[C@@]1(C)C[N@@H+](CC(=O)[N-]OCc2ccccc2)CCO1 ZINC000860203085 671189942 /nfs/dbraw/zinc/18/99/42/671189942.db2.gz WIAZNAZESMDUPN-MRXNPFEDSA-N 0 2 308.378 0.972 20 0 DCADLN O=S(=O)(Nc1noc(C2CC2)n1)c1cnn2c1OCCC2 ZINC000820802429 671229046 /nfs/dbraw/zinc/22/90/46/671229046.db2.gz VFPBQNVJAGGHDP-UHFFFAOYSA-N 0 2 311.323 0.727 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(CC(F)(F)CO)CC1 ZINC000824276237 671425900 /nfs/dbraw/zinc/42/59/00/671425900.db2.gz VOYFXAMFERMUMX-ZETCQYMHSA-N 0 2 308.222 0.659 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCN(CC(F)(F)CO)CC1 ZINC000824276237 671425901 /nfs/dbraw/zinc/42/59/01/671425901.db2.gz VOYFXAMFERMUMX-ZETCQYMHSA-N 0 2 308.222 0.659 20 0 DCADLN CC(F)(F)C(C)(C)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000825856476 671473320 /nfs/dbraw/zinc/47/33/20/671473320.db2.gz FRPHGUXJMYYKKA-UHFFFAOYSA-N 0 2 313.308 0.869 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2C[C@@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000832169715 671706339 /nfs/dbraw/zinc/70/63/39/671706339.db2.gz DUZFKGPLMXRLNA-ZWNOBZJWSA-N 0 2 320.345 0.604 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2C[C@@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000832169715 671706342 /nfs/dbraw/zinc/70/63/42/671706342.db2.gz DUZFKGPLMXRLNA-ZWNOBZJWSA-N 0 2 320.345 0.604 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCC(CO)(CO)CC1 ZINC000832544383 671724539 /nfs/dbraw/zinc/72/45/39/671724539.db2.gz MRXQSDSFDUJRDK-UHFFFAOYSA-N 0 2 301.289 0.877 20 0 DCADLN COc1cc(CCO)ccc1NC(=O)CC1SC(=N)NC1=O ZINC000834522675 671768938 /nfs/dbraw/zinc/76/89/38/671768938.db2.gz RXILTAIJTRDIQP-LLVKDONJSA-N 0 2 323.374 0.725 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)N[C@H](CO)CC(F)F ZINC000867191508 671831029 /nfs/dbraw/zinc/83/10/29/671831029.db2.gz QQHZFSRGFJRCHS-ZETCQYMHSA-N 0 2 306.356 0.099 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NC[C@]1(O)CCCC1(C)C ZINC000867308186 672044282 /nfs/dbraw/zinc/04/42/82/672044282.db2.gz GGGWQRYXXZXWKP-ZMZPIMSZSA-N 0 2 312.457 0.880 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@@H](Nc3ncccn3)C2)S1 ZINC000843941667 672129966 /nfs/dbraw/zinc/12/99/66/672129966.db2.gz IWBYPYWZWSBQNP-BDAKNGLRSA-N 0 2 320.378 0.046 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CCC[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000844214136 672164425 /nfs/dbraw/zinc/16/44/25/672164425.db2.gz JVNUYFDABDJXLG-JHJVBQTASA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CCC[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000844214136 672164426 /nfs/dbraw/zinc/16/44/26/672164426.db2.gz JVNUYFDABDJXLG-JHJVBQTASA-N 0 2 320.393 0.985 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)[N-]S(=O)(=O)c1ccccn1 ZINC000845472854 672251211 /nfs/dbraw/zinc/25/12/11/672251211.db2.gz ZBFQPRQDOODDAM-UHFFFAOYSA-N 0 2 320.374 0.662 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)[C@@H]1CCCOC1)n1cc[nH+]c1 ZINC000845510032 672254445 /nfs/dbraw/zinc/25/44/45/672254445.db2.gz VFPGHLLBCYCTKP-WDEREUQCSA-N 0 2 301.368 0.459 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)Cc2cn3c([nH+]2)CCCC3)CCCOC1 ZINC000846119928 672294582 /nfs/dbraw/zinc/29/45/82/672294582.db2.gz MGJFQJRLYVWKAT-MRXNPFEDSA-N 0 2 321.377 0.902 20 0 DCADLN CCO[N-]C(=O)CNC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000846489853 672317698 /nfs/dbraw/zinc/31/76/98/672317698.db2.gz FCJMSDXDZXHKOF-UHFFFAOYSA-N 0 2 316.361 0.658 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2cccc(Cl)c2O)C1 ZINC000775748222 685338629 /nfs/dbraw/zinc/33/86/29/685338629.db2.gz YIMDNPNXJDTUNW-MRVPVSSYSA-N 0 2 318.782 0.809 20 0 DCADLN Cc1c[nH]cc1C(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024802026 693833504 /nfs/dbraw/zinc/83/35/04/693833504.db2.gz RQAGKGDTDQGXTB-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN Cc1c[nH]cc1C(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024802026 693833508 /nfs/dbraw/zinc/83/35/08/693833508.db2.gz RQAGKGDTDQGXTB-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccccc1F ZINC001038007062 693983943 /nfs/dbraw/zinc/98/39/43/693983943.db2.gz DMBITMAXGKWAQV-VIFPVBQESA-N 0 2 305.313 0.654 20 0 DCADLN CCc1nocc1C[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038164506 694002045 /nfs/dbraw/zinc/00/20/45/694002045.db2.gz KJSZSNRXGAHKBH-NSHDSACASA-N 0 2 319.365 0.978 20 0 DCADLN CCc1nocc1C[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038164506 694002051 /nfs/dbraw/zinc/00/20/51/694002051.db2.gz KJSZSNRXGAHKBH-NSHDSACASA-N 0 2 319.365 0.978 20 0 DCADLN O=C(c1c[nH]nc1C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957042386 686942298 /nfs/dbraw/zinc/94/22/98/686942298.db2.gz OKTUCJABSYNKEQ-UHFFFAOYSA-N 0 2 317.353 0.069 20 0 DCADLN COc1ccsc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957416420 687058294 /nfs/dbraw/zinc/05/82/94/687058294.db2.gz HOUOZXXJTGROJR-UHFFFAOYSA-N 0 2 323.378 0.538 20 0 DCADLN CCn1cccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957671581 687160219 /nfs/dbraw/zinc/16/02/19/687160219.db2.gz UFMAXGIOBBUQPZ-UHFFFAOYSA-N 0 2 304.354 0.290 20 0 DCADLN CC(C)n1cccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957673843 687161140 /nfs/dbraw/zinc/16/11/40/687161140.db2.gz ISTHZOXFLGBKSE-UHFFFAOYSA-N 0 2 318.381 0.851 20 0 DCADLN C[C@@H](C[C@H]1CCCO1)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957846334 687219022 /nfs/dbraw/zinc/21/90/22/687219022.db2.gz WDWMTBKQJUAEEH-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cncc(C)c1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038511336 694103111 /nfs/dbraw/zinc/10/31/11/694103111.db2.gz VDDRGMTXKMAWER-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1occ2c1CCC2 ZINC001038555123 694114012 /nfs/dbraw/zinc/11/40/12/694114012.db2.gz NGJOIOAMMHRABV-JTQLQIEISA-N 0 2 317.349 0.596 20 0 DCADLN CCN(C(=O)c1ncc[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000967938405 690130446 /nfs/dbraw/zinc/13/04/46/690130446.db2.gz WHPHHCIQKHNWCZ-QMMMGPOBSA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)c1ncc[nH]1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000967938405 690130450 /nfs/dbraw/zinc/13/04/50/690130450.db2.gz WHPHHCIQKHNWCZ-QMMMGPOBSA-N 0 2 322.262 0.983 20 0 DCADLN CNC(=O)C[N@H+]1CCCCC[C@@H]1CNC(=O)[C@@H]1CCC[NH+]1C ZINC000968781707 690390708 /nfs/dbraw/zinc/39/07/08/690390708.db2.gz PMQOKGSADPLFGO-KGLIPLIRSA-N 0 2 310.442 0.187 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1CCOC1 ZINC000972980394 690500297 /nfs/dbraw/zinc/50/02/97/690500297.db2.gz OYPWLXOUIOOKBS-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1CCOC1 ZINC000972980394 690500299 /nfs/dbraw/zinc/50/02/99/690500299.db2.gz OYPWLXOUIOOKBS-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN CC[C@@]1(C)C[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000983412412 690521780 /nfs/dbraw/zinc/52/17/80/690521780.db2.gz REWAETMJIQXDCW-ABAIWWIYSA-N 0 2 307.398 0.981 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007530149 690695021 /nfs/dbraw/zinc/69/50/21/690695021.db2.gz QQJHZUMEMHGXBI-MDZLAQPJSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@]12C[C@H]1CCC2 ZINC001008000087 690785868 /nfs/dbraw/zinc/78/58/68/690785868.db2.gz UKDKBPBAEGBKEO-UEKVPHQBSA-N 0 2 305.382 0.781 20 0 DCADLN CC1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC=CC1 ZINC001008431044 690863109 /nfs/dbraw/zinc/86/31/09/690863109.db2.gz WBRTWBUMHCZTGN-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncccc1F ZINC001008974310 690965943 /nfs/dbraw/zinc/96/59/43/690965943.db2.gz ASHADUBBHQGZHR-SECBINFHSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncccc1F ZINC001008974312 690966245 /nfs/dbraw/zinc/96/62/45/690966245.db2.gz ASHADUBBHQGZHR-VIFPVBQESA-N 0 2 320.328 0.439 20 0 DCADLN Cc1ccncc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010426517 691274215 /nfs/dbraw/zinc/27/42/15/691274215.db2.gz FKGLQTSPWKAYAM-SNVBAGLBSA-N 0 2 302.338 0.218 20 0 DCADLN O=C(C[C@@H]1CCCCO1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010525871 691302508 /nfs/dbraw/zinc/30/25/08/691302508.db2.gz DVNUVDUQIUWOHI-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3ccnn3C)C2)c1[O-] ZINC001010619994 691327872 /nfs/dbraw/zinc/32/78/72/691327872.db2.gz LPCPVFDRRQQTMT-JTQLQIEISA-N 0 2 304.354 0.162 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3ccnn3C)C2)c1[O-] ZINC001010619994 691327876 /nfs/dbraw/zinc/32/78/76/691327876.db2.gz LPCPVFDRRQQTMT-JTQLQIEISA-N 0 2 304.354 0.162 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C2CC2)C1)C(F)C(F)(F)F ZINC001040069395 694475568 /nfs/dbraw/zinc/47/55/68/694475568.db2.gz KAQVKNIEDZOUCL-GZMMTYOYSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C2CC2)C1)[C@H](F)C(F)(F)F ZINC001040069395 694475572 /nfs/dbraw/zinc/47/55/72/694475572.db2.gz KAQVKNIEDZOUCL-GZMMTYOYSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(C2CC2)on1 ZINC001015428880 692295586 /nfs/dbraw/zinc/29/55/86/692295586.db2.gz FKYSPQLYIQKVBK-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN Cc1sccc1CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015798141 692447878 /nfs/dbraw/zinc/44/78/78/692447878.db2.gz XUPOBDBNMVOPHO-LLVKDONJSA-N 0 2 321.406 0.813 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(Cl)no1 ZINC001016054339 692558363 /nfs/dbraw/zinc/55/83/63/692558363.db2.gz HQZYDIXLAMWZKJ-LURJTMIESA-N 0 2 312.717 0.156 20 0 DCADLN C[C@H](C(N)=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001017570719 692941251 /nfs/dbraw/zinc/94/12/51/692941251.db2.gz GOAYTWCXNOPUKF-HXFLIBJXSA-N 0 2 311.279 0.436 20 0 DCADLN C[C@H](C(N)=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](F)C(F)(F)F ZINC001017570719 692941255 /nfs/dbraw/zinc/94/12/55/692941255.db2.gz GOAYTWCXNOPUKF-HXFLIBJXSA-N 0 2 311.279 0.436 20 0 DCADLN O=C(c1ccccc1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075500448 694954510 /nfs/dbraw/zinc/95/45/10/694954510.db2.gz FVKIFOZXJBXVLL-QWHCGFSZSA-N 0 2 313.361 0.857 20 0 DCADLN O=C(c1ccccc1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075500448 694954511 /nfs/dbraw/zinc/95/45/11/694954511.db2.gz FVKIFOZXJBXVLL-QWHCGFSZSA-N 0 2 313.361 0.857 20 0 DCADLN CC1CC(C(=O)N2CC[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)C1 ZINC001075674061 694967339 /nfs/dbraw/zinc/96/73/39/694967339.db2.gz MHWNDATVGSHPSZ-POSLAHMBSA-N 0 2 305.382 0.589 20 0 DCADLN CC1CC(C(=O)N2CC[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)C1 ZINC001075674061 694967340 /nfs/dbraw/zinc/96/73/40/694967340.db2.gz MHWNDATVGSHPSZ-POSLAHMBSA-N 0 2 305.382 0.589 20 0 DCADLN CC1(C)CC(C(=O)N2CC[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)C1 ZINC001075756304 694973965 /nfs/dbraw/zinc/97/39/65/694973965.db2.gz VNOJBHVQPJNJRM-CMPLNLGQSA-N 0 2 319.409 0.979 20 0 DCADLN CC1(C)CC(C(=O)N2CC[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)C1 ZINC001075756304 694973966 /nfs/dbraw/zinc/97/39/66/694973966.db2.gz VNOJBHVQPJNJRM-CMPLNLGQSA-N 0 2 319.409 0.979 20 0 DCADLN C(C1CC1)n1c(-c2nn[nH]n2)nnc1N1CCN(C2CC2)CC1 ZINC001772534060 1157524303 /nfs/dbraw/zinc/52/43/03/1157524303.db2.gz HQKCFTIBANHRJC-UHFFFAOYSA-N 0 2 315.385 0.153 20 0 DCADLN C[C@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001446388343 1159400737 /nfs/dbraw/zinc/40/07/37/1159400737.db2.gz KHDSDANFDKCSHU-ZCFIWIBFSA-N 0 2 307.276 0.351 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cncnc2)C1)C(F)C(F)(F)F ZINC001446515458 1159446471 /nfs/dbraw/zinc/44/64/71/1159446471.db2.gz FUMQIOOPYWYWIN-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cncnc2)C1)[C@H](F)C(F)(F)F ZINC001446515458 1159446474 /nfs/dbraw/zinc/44/64/74/1159446474.db2.gz FUMQIOOPYWYWIN-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)c1ccncc1F ZINC001448108228 1159719758 /nfs/dbraw/zinc/71/97/58/1159719758.db2.gz DLRBOMFOIVOIET-SECBINFHSA-N 0 2 319.340 0.729 20 0 DCADLN COC(C[N@H+](CCC(=O)[O-])CC(=O)N1CCCCCC1)OC ZINC001606674304 1159796586 /nfs/dbraw/zinc/79/65/86/1159796586.db2.gz GVMRUAGBNGMDPB-UHFFFAOYSA-N 0 2 316.398 0.785 20 0 DCADLN COC(C[N@@H+](CCC(=O)[O-])CC(=O)N1CCCCCC1)OC ZINC001606674304 1159796593 /nfs/dbraw/zinc/79/65/93/1159796593.db2.gz GVMRUAGBNGMDPB-UHFFFAOYSA-N 0 2 316.398 0.785 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C=Cc2ccco2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001566078606 1159961247 /nfs/dbraw/zinc/96/12/47/1159961247.db2.gz SKERUNURKGINHC-PRANIUCASA-N 0 2 317.349 0.896 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C=Cc2ccco2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001566078606 1159961253 /nfs/dbraw/zinc/96/12/53/1159961253.db2.gz SKERUNURKGINHC-PRANIUCASA-N 0 2 317.349 0.896 20 0 DCADLN CC1(C)OC[C@H](/C=C\C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001568148077 1160585231 /nfs/dbraw/zinc/58/52/31/1160585231.db2.gz YTZKLTFLWFJLAT-MXFLAHGMSA-N 0 2 322.365 0.924 20 0 DCADLN O=C(c1cc2ncccn2n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001568147884 1160585629 /nfs/dbraw/zinc/58/56/29/1160585629.db2.gz XFIIFPUHCSJYEB-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN CCn1ncn(NC(=O)c2cnn3c2cccc3OC)c1=O ZINC001569833820 1161281656 /nfs/dbraw/zinc/28/16/56/1161281656.db2.gz MKONANCQEXKJGZ-UHFFFAOYSA-N 0 2 302.294 0.105 20 0 DCADLN CC(=O)NC1CCC(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1 ZINC001573427102 1163432656 /nfs/dbraw/zinc/43/26/56/1163432656.db2.gz SEVDMXKRIQTXJD-GCZXYKMCSA-N 0 2 322.365 0.217 20 0 DCADLN CC(=O)NC1CCC(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1 ZINC001573427102 1163432663 /nfs/dbraw/zinc/43/26/63/1163432663.db2.gz SEVDMXKRIQTXJD-GCZXYKMCSA-N 0 2 322.365 0.217 20 0 DCADLN CC[N@H+](Cc1cnc2c(C(=O)[O-])cnn2c1)[C@H]1CCNC1=O ZINC001573777352 1163550486 /nfs/dbraw/zinc/55/04/86/1163550486.db2.gz RHMNJDRHBHNNAI-NSHDSACASA-N 0 2 303.322 0.138 20 0 DCADLN CC[N@@H+](Cc1cnc2c(C(=O)[O-])cnn2c1)[C@H]1CCNC1=O ZINC001573777352 1163550491 /nfs/dbraw/zinc/55/04/91/1163550491.db2.gz RHMNJDRHBHNNAI-NSHDSACASA-N 0 2 303.322 0.138 20 0 DCADLN Cc1nc(C)c(C(=O)NCCNC(=O)Cc2[nH]c[nH+]c2C)[nH]1 ZINC001575548715 1164057828 /nfs/dbraw/zinc/05/78/28/1164057828.db2.gz KQSNILBDDXRVJA-UHFFFAOYSA-N 0 2 304.354 0.147 20 0 DCADLN CCC[C@H](O)CCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001577325464 1164671563 /nfs/dbraw/zinc/67/15/63/1164671563.db2.gz BKERQZPKKJEKEH-VIFPVBQESA-N 0 2 320.353 0.557 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([C@@H](C)[NH2+]Cc3cnn[nH]3)C2)c1[O-] ZINC001577518272 1164711899 /nfs/dbraw/zinc/71/18/99/1164711899.db2.gz DBZUIRMARZESOL-PSASIEDQSA-N 0 2 319.369 0.182 20 0 DCADLN O=C(Cc1cnoc1)NCC1=CCN(Cc2cn[nH]n2)CC1 ZINC001577966343 1164866317 /nfs/dbraw/zinc/86/63/17/1164866317.db2.gz YIRGDLLCNCSJNM-UHFFFAOYSA-N 0 2 302.338 0.284 20 0 DCADLN O=C(Cc1cnoc1)NCC1=CCN(Cc2c[nH]nn2)CC1 ZINC001577966343 1164866326 /nfs/dbraw/zinc/86/63/26/1164866326.db2.gz YIRGDLLCNCSJNM-UHFFFAOYSA-N 0 2 302.338 0.284 20 0 DCADLN O=C(Cn1cccn1)NCCC[C@@H]1CCC[N@@H+]1Cc1cnn[nH]1 ZINC001577968310 1164869002 /nfs/dbraw/zinc/86/90/02/1164869002.db2.gz YFAZETUTCHBWQK-CQSZACIVSA-N 0 2 317.397 0.562 20 0 DCADLN O=C(Cn1cccn1)NCCC[C@@H]1CCC[N@H+]1Cc1cnn[nH]1 ZINC001577968310 1164869006 /nfs/dbraw/zinc/86/90/06/1164869006.db2.gz YFAZETUTCHBWQK-CQSZACIVSA-N 0 2 317.397 0.562 20 0 DCADLN O=C(NCCC[C@H]1CCC[N@@H+]1Cc1cnn[nH]1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001578005570 1164887802 /nfs/dbraw/zinc/88/78/02/1164887802.db2.gz MXOZLEQTPBTNAV-BYNSBNAKSA-N 0 2 319.409 0.558 20 0 DCADLN O=C(NCCC[C@H]1CCC[N@H+]1Cc1cnn[nH]1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001578005570 1164887810 /nfs/dbraw/zinc/88/78/10/1164887810.db2.gz MXOZLEQTPBTNAV-BYNSBNAKSA-N 0 2 319.409 0.558 20 0 DCADLN CO[C@H](CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C(C)C ZINC001579592829 1165356028 /nfs/dbraw/zinc/35/60/28/1165356028.db2.gz QBMSUKRJCBZIPR-SNVBAGLBSA-N 0 2 320.353 0.677 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H](C)C[C@@H](C)O ZINC001580604324 1165653135 /nfs/dbraw/zinc/65/31/35/1165653135.db2.gz QIADICLENQHEOF-IONNQARKSA-N 0 2 320.353 0.413 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCC(C)(C)CO ZINC001580605749 1165654970 /nfs/dbraw/zinc/65/49/70/1165654970.db2.gz HKYFVIASGRRKLO-UHFFFAOYSA-N 0 2 320.353 0.414 20 0 DCADLN Fc1cc(-c2nn[nH]n2)cnc1N1CCC[C@@H](c2nc[nH]n2)C1 ZINC001581852015 1165887546 /nfs/dbraw/zinc/88/75/46/1165887546.db2.gz IABIHGRVSIKBGI-MRVPVSSYSA-N 0 2 315.316 0.903 20 0 DCADLN O=C(Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O)c1ccc(F)cc1 ZINC001582185892 1165978462 /nfs/dbraw/zinc/97/84/62/1165978462.db2.gz XOBSKVQAJCIZIT-UHFFFAOYSA-N 0 2 316.252 0.151 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccc(F)cc1F ZINC001582197834 1165981243 /nfs/dbraw/zinc/98/12/43/1165981243.db2.gz BGIUNXSTJZNWSF-UHFFFAOYSA-N 0 2 318.243 0.585 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cccc(F)c1Cl ZINC001582805983 1166085300 /nfs/dbraw/zinc/08/53/00/1166085300.db2.gz BHYFNDIFPDQNCX-UHFFFAOYSA-N 0 2 322.687 0.970 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cc(F)ccc1Cl ZINC001582806506 1166086122 /nfs/dbraw/zinc/08/61/22/1166086122.db2.gz MJLAGKHQAIFUFM-UHFFFAOYSA-N 0 2 322.687 0.970 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCc1c(F)cccc1F ZINC001582806446 1166086472 /nfs/dbraw/zinc/08/64/72/1166086472.db2.gz JXHUKJNPLYRPLC-UHFFFAOYSA-N 0 2 320.259 0.650 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CC[C@@H](O)c1ccccc1 ZINC001582807161 1166089331 /nfs/dbraw/zinc/08/93/31/1166089331.db2.gz WYFZSVGPSMUVAC-LLVKDONJSA-N 0 2 314.305 0.253 20 0 DCADLN C[C@H]1CC[N@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CCS1 ZINC001590273070 1166835226 /nfs/dbraw/zinc/83/52/26/1166835226.db2.gz UUARKXVFJMPQTL-JTQLQIEISA-N 0 2 306.395 0.813 20 0 DCADLN C[C@H]1CC[N@@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CCS1 ZINC001590273070 1166835228 /nfs/dbraw/zinc/83/52/28/1166835228.db2.gz UUARKXVFJMPQTL-JTQLQIEISA-N 0 2 306.395 0.813 20 0 DCADLN CC[C@H](NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)[C@@H](C)O ZINC001590457505 1166915598 /nfs/dbraw/zinc/91/55/98/1166915598.db2.gz REASBEMLPNPFQQ-APPZFPTMSA-N 0 2 306.326 0.165 20 0 DCADLN CCC[C@H](NC(=O)c1cccc(-c2nn[nH]n2)n1)c1nn[nH]n1 ZINC001590560115 1166944246 /nfs/dbraw/zinc/94/42/46/1166944246.db2.gz GMHCWJHKACHCRZ-ZETCQYMHSA-N 0 2 314.313 0.046 20 0 DCADLN CCN(CC)C(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001590684159 1166974198 /nfs/dbraw/zinc/97/41/98/1166974198.db2.gz RPPIEPSGIMTCBU-UHFFFAOYSA-N 0 2 316.365 0.386 20 0 DCADLN CCc1nnc(Cn2cc(-c3nn[nH]n3)c(=O)c3ccccc32)[nH]1 ZINC001590913959 1167047937 /nfs/dbraw/zinc/04/79/37/1167047937.db2.gz SHPRNNKSJOCQTL-UHFFFAOYSA-N 0 2 322.332 0.910 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2scnc2C)c1=O ZINC001590949300 1167057741 /nfs/dbraw/zinc/05/77/41/1167057741.db2.gz CFSNGCATCZQBEC-UHFFFAOYSA-N 0 2 319.350 0.023 20 0 DCADLN CN(C)C(=O)Cn1c(=O)c(-c2nn[nH]n2)ccc1C(F)(F)F ZINC001591004288 1167074574 /nfs/dbraw/zinc/07/45/74/1167074574.db2.gz YZGMEGCQXDQQBK-UHFFFAOYSA-N 0 2 316.243 0.135 20 0 DCADLN CN(Cc1n[nH]c(=O)o1)C(=O)c1csc(-c2nn[nH]n2)c1 ZINC001591152059 1167116991 /nfs/dbraw/zinc/11/69/91/1167116991.db2.gz OMBHQRNSFFXABT-UHFFFAOYSA-N 0 2 307.295 0.289 20 0 DCADLN COCCONC(=O)C1(c2cccc(-c3nn[nH]n3)c2)CC1 ZINC001591439297 1167290185 /nfs/dbraw/zinc/29/01/85/1167290185.db2.gz JDYCYINRSIPJTN-UHFFFAOYSA-N 0 2 303.322 0.593 20 0 DCADLN CSCC[C@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001591699436 1167357863 /nfs/dbraw/zinc/35/78/63/1167357863.db2.gz IQPCSUDOWVAPFK-LURJTMIESA-N 0 2 311.371 0.220 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC2(CCC2)C1 ZINC001591835200 1167390451 /nfs/dbraw/zinc/39/04/51/1167390451.db2.gz NPICMBYMSBJXPA-UHFFFAOYSA-N 0 2 300.322 0.902 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](C)CCCCO ZINC001591834877 1167390514 /nfs/dbraw/zinc/39/05/14/1167390514.db2.gz UROURYRZVZYWIK-VIFPVBQESA-N 0 2 320.353 0.557 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)N2CCCC[C@H]2C)c1 ZINC001591836327 1167391546 /nfs/dbraw/zinc/39/15/46/1167391546.db2.gz MLHVXTBTIFIVKN-LLVKDONJSA-N 0 2 316.365 0.738 20 0 DCADLN Cc1ccn(Cc2nc(C3CC3)nn2C)c(=O)c1-c1nn[nH]n1 ZINC001592265894 1167480640 /nfs/dbraw/zinc/48/06/40/1167480640.db2.gz OCGIKEFSKBVJCZ-UHFFFAOYSA-N 0 2 312.337 0.391 20 0 DCADLN Cc1ccn(Cc2ncnn2CC(C)C)c(=O)c1-c1nn[nH]n1 ZINC001592265550 1167480648 /nfs/dbraw/zinc/48/06/48/1167480648.db2.gz GAYKAOFNKWEQIK-UHFFFAOYSA-N 0 2 314.353 0.633 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cccnc2)cc1-c1nn[nH]n1 ZINC001592414753 1167516499 /nfs/dbraw/zinc/51/64/99/1167516499.db2.gz MPCNCLIDSMYFAP-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2CCC[C@H](F)C2)c(-c2nn[nH]n2)c1=O ZINC001592545633 1167549527 /nfs/dbraw/zinc/54/95/27/1167549527.db2.gz HLSNXTJIMMTOPV-NKWVEPMBSA-N 0 2 309.305 0.359 20 0 DCADLN Cn1c(=O)[nH]c(NCCOC2CCCC2)c(-c2nn[nH]n2)c1=O ZINC001592546995 1167553000 /nfs/dbraw/zinc/55/30/00/1167553000.db2.gz ZUFRFXIPLCUJDX-UHFFFAOYSA-N 0 2 321.341 0.037 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@]2(C)C[C@H]3C[C@H]3C2)c(-c2nn[nH]n2)c1=O ZINC001592546739 1167553396 /nfs/dbraw/zinc/55/33/96/1167553396.db2.gz RZXOQVJOBHBKHC-IFCRSTKPSA-N 0 2 317.353 0.514 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CC[C@H]3C[C@H]3C2)c1=O ZINC001592558261 1167559320 /nfs/dbraw/zinc/55/93/20/1167559320.db2.gz XOYJHKIWPGZAHK-KXUCPTDWSA-N 0 2 302.338 0.163 20 0 DCADLN Cn1ccnc1Cn1cc(-c2nn[nH]n2)c2ccccc2c1=O ZINC001592585450 1167571462 /nfs/dbraw/zinc/57/14/62/1167571462.db2.gz LJSBUNXDWWAZDY-UHFFFAOYSA-N 0 2 307.317 0.963 20 0 DCADLN O=C(CCc1nc[nH]n1)Nc1nc2ccc(-c3nn[nH]n3)cc2[nH]1 ZINC001592859662 1167652181 /nfs/dbraw/zinc/65/21/81/1167652181.db2.gz XPLRJVSGENITNJ-UHFFFAOYSA-N 0 2 324.308 0.432 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1CC1CCOCC1)CCC2 ZINC001593491086 1167875801 /nfs/dbraw/zinc/87/58/01/1167875801.db2.gz VZAHVIVTHLRUOU-UHFFFAOYSA-N 0 2 301.350 0.944 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cnn(-c2ccccc2)c1 ZINC001593495583 1167880509 /nfs/dbraw/zinc/88/05/09/1167880509.db2.gz JZJCXUDYMYKDHA-UHFFFAOYSA-N 0 2 320.316 0.657 20 0 DCADLN COC(=O)[C@@]1(OC)CC[N@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001598725532 1168031127 /nfs/dbraw/zinc/03/11/27/1168031127.db2.gz FDPZMPMJDAPLIO-GFCCVEGCSA-N 0 2 300.336 0.605 20 0 DCADLN COC(=O)[C@@]1(OC)CC[N@@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001598725532 1168031128 /nfs/dbraw/zinc/03/11/28/1168031128.db2.gz FDPZMPMJDAPLIO-GFCCVEGCSA-N 0 2 300.336 0.605 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2CC[C@@H](C(=O)[O-])[C@H]2C)c[nH+]1 ZINC001600159669 1168128108 /nfs/dbraw/zinc/12/81/08/1168128108.db2.gz FDSKPEZJKILHCJ-DGCLKSJQSA-N 0 2 319.361 0.636 20 0 DCADLN Cc1cc(NCCNC(=O)COCC(=O)[O-])nc(C(C)C)[nH+]1 ZINC001600280889 1168155457 /nfs/dbraw/zinc/15/54/57/1168155457.db2.gz HFOMLUGZXYJFAJ-UHFFFAOYSA-N 0 2 310.354 0.538 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](CO)c2c[nH+]cn2C)cc1C(=O)[O-] ZINC001600338133 1168168988 /nfs/dbraw/zinc/16/89/88/1168168988.db2.gz UIIIKFVYUACSPS-LBPRGKRZSA-N 0 2 303.318 0.890 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)[NH+]1CC(C)(C(N)=O)C1 ZINC001600352907 1168171931 /nfs/dbraw/zinc/17/19/31/1168171931.db2.gz QOUBWMDMDLXYHQ-JTQLQIEISA-N 0 2 319.361 0.827 20 0 DCADLN Cc1ncc(C[N@@H+]2CCCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)cn1 ZINC001600736102 1168267556 /nfs/dbraw/zinc/26/75/56/1168267556.db2.gz POGMOJSZMGTTKY-ZIAGYGMSSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1ncc(C[N@H+]2CCCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)cn1 ZINC001600736102 1168267564 /nfs/dbraw/zinc/26/75/64/1168267564.db2.gz POGMOJSZMGTTKY-ZIAGYGMSSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1[nH+]ccn1CCCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001600755699 1168279160 /nfs/dbraw/zinc/27/91/60/1168279160.db2.gz ZETWDOWWYYQHMV-UHFFFAOYSA-N 0 2 314.323 0.246 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1CCN(CCO)[C@@H](C)C1 ZINC001600785701 1168296952 /nfs/dbraw/zinc/29/69/52/1168296952.db2.gz FSHDABYIUZLTRD-LBPRGKRZSA-N 0 2 324.425 0.473 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1CCN(CCO)[C@@H](C)C1 ZINC001600785701 1168296957 /nfs/dbraw/zinc/29/69/57/1168296957.db2.gz FSHDABYIUZLTRD-LBPRGKRZSA-N 0 2 324.425 0.473 20 0 DCADLN Cc1nnc2ccc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cn12 ZINC001600800785 1168299872 /nfs/dbraw/zinc/29/98/72/1168299872.db2.gz CPPBGOJXZDPHFJ-NSHDSACASA-N 0 2 314.305 0.187 20 0 DCADLN Cc1nnc2ccc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cn12 ZINC001600800785 1168299873 /nfs/dbraw/zinc/29/98/73/1168299873.db2.gz CPPBGOJXZDPHFJ-NSHDSACASA-N 0 2 314.305 0.187 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@@H](CCC1OCCO1)C(=O)[O-] ZINC001600985171 1168545235 /nfs/dbraw/zinc/54/52/35/1168545235.db2.gz GOVMBBSJRVMRLN-JTQLQIEISA-N 0 2 311.338 0.075 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC001600985128 1168546721 /nfs/dbraw/zinc/54/67/21/1168546721.db2.gz FRPQUIBKNXLZLK-LBPRGKRZSA-N 0 2 309.366 0.835 20 0 DCADLN Cn1c[nH+]cc1C1CCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)CC1 ZINC001600992855 1168549902 /nfs/dbraw/zinc/54/99/02/1168549902.db2.gz CNLFQEGQKCROQY-OLZOCXBDSA-N 0 2 307.350 0.758 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@@H](Cc1ccccc1O)C(=O)[O-] ZINC001600993093 1168550211 /nfs/dbraw/zinc/55/02/11/1168550211.db2.gz HKAQCDAPMWTVAI-LBPRGKRZSA-N 0 2 303.318 0.480 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)N[C@@H](C(=O)[O-])c1cccnc1 ZINC001601231046 1168670936 /nfs/dbraw/zinc/67/09/36/1168670936.db2.gz IHWJRYIHAMHXEN-KWEXDPCDSA-N 0 2 305.334 0.212 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001601251843 1168677912 /nfs/dbraw/zinc/67/79/12/1168677912.db2.gz NVWGWKKVRRGCJJ-RWMBFGLXSA-N 0 2 307.350 0.800 20 0 DCADLN O=C([O-])c1c(NC(=O)C[NH+]2CCC(CO)CC2)nc2n1CCC2 ZINC001601305082 1168697337 /nfs/dbraw/zinc/69/73/37/1168697337.db2.gz JCVIBJDELSKCOO-UHFFFAOYSA-N 0 2 322.365 0.170 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cn1ccc(C(F)F)n1 ZINC001601386832 1168728936 /nfs/dbraw/zinc/72/89/36/1168728936.db2.gz LDIXHQOJWLBQKR-SECBINFHSA-N 0 2 313.264 0.356 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)Cn1ccc(C(F)F)n1 ZINC001601386832 1168728941 /nfs/dbraw/zinc/72/89/41/1168728941.db2.gz LDIXHQOJWLBQKR-SECBINFHSA-N 0 2 313.264 0.356 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cn2c(n1)CCCC2 ZINC001601426124 1168742499 /nfs/dbraw/zinc/74/24/99/1168742499.db2.gz RSDVMYDHHCFACK-LLVKDONJSA-N 0 2 303.322 0.299 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)[C@H]1CCC[N@@H+]1Cc1ccccn1 ZINC001601645665 1168800989 /nfs/dbraw/zinc/80/09/89/1168800989.db2.gz UGZRUPYTIBQAMI-QWHCGFSZSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)[C@H]1CCC[N@H+]1Cc1ccccn1 ZINC001601645665 1168801005 /nfs/dbraw/zinc/80/10/05/1168801005.db2.gz UGZRUPYTIBQAMI-QWHCGFSZSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001601650348 1168804244 /nfs/dbraw/zinc/80/42/44/1168804244.db2.gz PODOMLGLBIJVIZ-STQMWFEESA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001601650348 1168804262 /nfs/dbraw/zinc/80/42/62/1168804262.db2.gz PODOMLGLBIJVIZ-STQMWFEESA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCc2ccccc2O1 ZINC001601663604 1168809046 /nfs/dbraw/zinc/80/90/46/1168809046.db2.gz VQAAIELRUSUXET-OCCSQVGLSA-N 0 2 315.329 0.846 20 0 DCADLN O=C([O-])[C@@H]([NH2+][C@@H]1CCN(C2CCOCC2)C1=O)c1cccnc1 ZINC001601665886 1168809685 /nfs/dbraw/zinc/80/96/85/1168809685.db2.gz IIUYSPPSMSCEHN-KGLIPLIRSA-N 0 2 319.361 0.577 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001601768957 1168867431 /nfs/dbraw/zinc/86/74/31/1168867431.db2.gz KWFPIJTZKXLQCH-NEPJUHHUSA-N 0 2 313.375 0.228 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001601768957 1168867439 /nfs/dbraw/zinc/86/74/39/1168867439.db2.gz KWFPIJTZKXLQCH-NEPJUHHUSA-N 0 2 313.375 0.228 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001601779689 1168886712 /nfs/dbraw/zinc/88/67/12/1168886712.db2.gz QKBQNFNPYIJDPL-RYUDHWBXSA-N 0 2 309.391 0.551 20 0 DCADLN O=C([O-])[C@@H]1Cc2ccc(O)cc2CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001601867238 1168920427 /nfs/dbraw/zinc/92/04/27/1168920427.db2.gz VJJUMYQTTQFZBZ-LBPRGKRZSA-N 0 2 301.302 0.696 20 0 DCADLN O=C([O-])C[C@@]1([NH2+]CC2=NS(=O)(=O)c3ccccc32)CCOC1 ZINC001601935101 1168938532 /nfs/dbraw/zinc/93/85/32/1168938532.db2.gz QAPPAWXACDWIEH-AWEZNQCLSA-N 0 2 324.358 0.401 20 0 DCADLN O=C([O-])CC1([NH2+]Cc2cnsn2)CCS(=O)(=O)CC1 ZINC001601937337 1168940819 /nfs/dbraw/zinc/94/08/19/1168940819.db2.gz MSXWUPFZJRZDRC-UHFFFAOYSA-N 0 2 305.381 0.050 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)Cc1ccnn1C1CCC1 ZINC001602055191 1168977412 /nfs/dbraw/zinc/97/74/12/1168977412.db2.gz HFGHMYDKTPBEAY-UHFFFAOYSA-N 0 2 322.409 0.827 20 0 DCADLN O=C([O-])CS(=O)(=O)Cc1ccc(C[NH2+]Cc2c[nH]cn2)cc1 ZINC001602096842 1168993933 /nfs/dbraw/zinc/99/39/33/1168993933.db2.gz HRUXHRKYSYVBGP-UHFFFAOYSA-N 0 2 323.374 0.699 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CCC(N3CCNC3=O)CC2)c1 ZINC001602149924 1169003438 /nfs/dbraw/zinc/00/34/38/1169003438.db2.gz TXYWUPCGAIZOBP-UHFFFAOYSA-N 0 2 304.350 0.703 20 0 DCADLN O=C([O-])c1cc(C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)cs1 ZINC001602255858 1169046003 /nfs/dbraw/zinc/04/60/03/1169046003.db2.gz WLFWZCJKUXNONI-AOOOYVTPSA-N 0 2 301.389 0.923 20 0 DCADLN O=C([O-])c1cc(C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)cs1 ZINC001602255858 1169046013 /nfs/dbraw/zinc/04/60/13/1169046013.db2.gz WLFWZCJKUXNONI-AOOOYVTPSA-N 0 2 301.389 0.923 20 0 DCADLN O=C([O-])c1cncc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001602538676 1169110183 /nfs/dbraw/zinc/11/01/83/1169110183.db2.gz JPEFFRLVASKERX-BETUJISGSA-N 0 2 306.318 0.800 20 0 DCADLN O=C([O-])c1cncc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001602538676 1169110186 /nfs/dbraw/zinc/11/01/86/1169110186.db2.gz JPEFFRLVASKERX-BETUJISGSA-N 0 2 306.318 0.800 20 0 DCADLN C[C@H](C(=O)N[C@@H](C(=O)[O-])c1ccnn1C)[NH+]1CCSCC1 ZINC001603154083 1169257718 /nfs/dbraw/zinc/25/77/18/1169257718.db2.gz XNRNDSGTAQOATL-MWLCHTKSSA-N 0 2 312.395 0.099 20 0 DCADLN C[C@@H]1CCC[C@H](CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)O1 ZINC001603885645 1169420799 /nfs/dbraw/zinc/42/07/99/1169420799.db2.gz DZJCBBYLUCUACQ-HTQZYQBOSA-N 0 2 321.341 0.036 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)c2cncc(C(=O)[O-])c2)CC1 ZINC001603896801 1169425715 /nfs/dbraw/zinc/42/57/15/1169425715.db2.gz HSLMFLKBQBZWAR-UHFFFAOYSA-N 0 2 313.379 0.495 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCC(F)(F)[C@@H](CO)C1)C(=O)[O-] ZINC001603971690 1169459982 /nfs/dbraw/zinc/45/99/82/1169459982.db2.gz FUOLIZTYYAHLDC-KOLCDFICSA-N 0 2 308.325 0.161 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCC(F)(F)[C@@H](CO)C1)C(=O)[O-] ZINC001603971690 1169459984 /nfs/dbraw/zinc/45/99/84/1169459984.db2.gz FUOLIZTYYAHLDC-KOLCDFICSA-N 0 2 308.325 0.161 20 0 DCADLN CCn1cc(CC(=O)NOc2cccc(-c3nn[nH]n3)c2)cn1 ZINC001604604148 1169628852 /nfs/dbraw/zinc/62/88/52/1169628852.db2.gz MCDWYHYTUHQOEG-UHFFFAOYSA-N 0 2 313.321 0.736 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[NH2+]C(C)(C)c1nocc1C(=O)[O-] ZINC001605494390 1169939175 /nfs/dbraw/zinc/93/91/75/1169939175.db2.gz BNRARHFCOJLULK-ZETCQYMHSA-N 0 2 312.326 0.432 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](CO)CC1CC1 ZINC001605506717 1169942369 /nfs/dbraw/zinc/94/23/69/1169942369.db2.gz MWQQYYAHWGJCKX-VIFPVBQESA-N 0 2 318.337 0.167 20 0 DCADLN CCc1nc(NC(=O)[C@@]2(C)C[C@H](O)C[N@@H+]2C)sc1C(=O)[O-] ZINC001605854074 1170013352 /nfs/dbraw/zinc/01/33/52/1170013352.db2.gz OLUBEPJNWNGULT-WPPNPWJKSA-N 0 2 313.379 0.797 20 0 DCADLN CCc1nc(NC(=O)[C@@]2(C)C[C@H](O)C[N@H+]2C)sc1C(=O)[O-] ZINC001605854074 1170013359 /nfs/dbraw/zinc/01/33/59/1170013359.db2.gz OLUBEPJNWNGULT-WPPNPWJKSA-N 0 2 313.379 0.797 20 0 DCADLN CCc1noc([C@@H](C)[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001605874189 1170020121 /nfs/dbraw/zinc/02/01/21/1170020121.db2.gz QVUWTZLUYOXLRM-RKDXNWHRSA-N 0 2 306.326 0.930 20 0 DCADLN CCc1noc([C@@H](C)[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001605874189 1170020123 /nfs/dbraw/zinc/02/01/23/1170020123.db2.gz QVUWTZLUYOXLRM-RKDXNWHRSA-N 0 2 306.326 0.930 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@@]2(C)CC2(C)C)c(-c2nn[nH]n2)c1=O ZINC001606205557 1170112999 /nfs/dbraw/zinc/11/29/99/1170112999.db2.gz FXMBIWUBTNGGSI-CYBMUJFWSA-N 0 2 305.342 0.514 20 0 DCADLN Cn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@H](CC(=O)[O-])C1 ZINC001606224470 1170123271 /nfs/dbraw/zinc/12/32/71/1170123271.db2.gz JFEYMZJVTSUREL-VXGBXAGGSA-N 0 2 323.397 0.652 20 0 DCADLN Cn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCO[C@H](CC(=O)[O-])C1 ZINC001606224470 1170123274 /nfs/dbraw/zinc/12/32/74/1170123274.db2.gz JFEYMZJVTSUREL-VXGBXAGGSA-N 0 2 323.397 0.652 20 0 DCADLN CNC(=O)C[NH2+][C@H](C(=O)[O-])c1cccc(Br)c1 ZINC001606273226 1170144012 /nfs/dbraw/zinc/14/40/12/1170144012.db2.gz MDTQAHHTINEHPM-JTQLQIEISA-N 0 2 301.140 0.910 20 0 DCADLN COC(=O)COc1c(C)cc(C[NH+]2CC(O)(C(=O)[O-])C2)cc1C ZINC001606474389 1170216027 /nfs/dbraw/zinc/21/60/27/1170216027.db2.gz WRXILECHPZBSPY-UHFFFAOYSA-N 0 2 323.345 0.487 20 0 DCADLN COC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001606516570 1170226764 /nfs/dbraw/zinc/22/67/64/1170226764.db2.gz ULGNNDHAPSOGDJ-JTQLQIEISA-N 0 2 321.333 0.603 20 0 DCADLN COC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001606516570 1170226766 /nfs/dbraw/zinc/22/67/66/1170226766.db2.gz ULGNNDHAPSOGDJ-JTQLQIEISA-N 0 2 321.333 0.603 20 0 DCADLN COC[C@](C)([NH2+]CC(=O)N1CCC(c2ccccc2)=N1)C(=O)[O-] ZINC001606790846 1170291248 /nfs/dbraw/zinc/29/12/48/1170291248.db2.gz HIZXKJFSNYRORC-INIZCTEOSA-N 0 2 319.361 0.702 20 0 DCADLN O=C(Nc1cccc2[nH]nnc21)c1ccc(-c2nn[nH]n2)nc1 ZINC001606938973 1170334409 /nfs/dbraw/zinc/33/44/09/1170334409.db2.gz NIFWMZUOIWFBQK-UHFFFAOYSA-N 0 2 307.277 0.785 20 0 DCADLN O=c1c(-c2nn[nH]n2)ccc(C(F)(F)F)n1CCCCO ZINC001607091200 1170389486 /nfs/dbraw/zinc/38/94/86/1170389486.db2.gz AXCFIFGCNYMDTO-UHFFFAOYSA-N 0 2 303.244 0.820 20 0 DCADLN COc1cccc([C@H](NC(=O)C[C@@H]([NH3+])C(F)F)C(=O)[O-])c1 ZINC001607331465 1170429451 /nfs/dbraw/zinc/42/94/51/1170429451.db2.gz SUDKHSUOOFWQOL-KOLCDFICSA-N 0 2 302.277 0.920 20 0 DCADLN Cc1cc(C[NH3+])oc1C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1 ZINC001607639299 1170486765 /nfs/dbraw/zinc/48/67/65/1170486765.db2.gz AGSARQCJEALZOP-NSHDSACASA-N 0 2 309.366 0.668 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@@H](NC(N)=O)C1 ZINC001607741290 1170502070 /nfs/dbraw/zinc/50/20/70/1170502070.db2.gz HHKDVRZOTKKAAJ-LLVKDONJSA-N 0 2 320.349 0.374 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@@H](NC(N)=O)C1 ZINC001607741290 1170502075 /nfs/dbraw/zinc/50/20/75/1170502075.db2.gz HHKDVRZOTKKAAJ-LLVKDONJSA-N 0 2 320.349 0.374 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@H](NC(N)=O)C1 ZINC001607741292 1170503044 /nfs/dbraw/zinc/50/30/44/1170503044.db2.gz HHKDVRZOTKKAAJ-NSHDSACASA-N 0 2 320.349 0.374 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@H](NC(N)=O)C1 ZINC001607741292 1170503048 /nfs/dbraw/zinc/50/30/48/1170503048.db2.gz HHKDVRZOTKKAAJ-NSHDSACASA-N 0 2 320.349 0.374 20 0 DCADLN Cc1cn(C(C)(C)C(=O)NCCn2cc(C(=O)[O-])nn2)c[nH+]1 ZINC001607900866 1170520154 /nfs/dbraw/zinc/52/01/54/1170520154.db2.gz FATFRUNFQQWRRL-UHFFFAOYSA-N 0 2 306.326 0.033 20 0 DCADLN Cc1nc(CC[NH+]2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)cs1 ZINC001607954624 1170532996 /nfs/dbraw/zinc/53/29/96/1170532996.db2.gz MNVCXFKJDSHEKK-OLZOCXBDSA-N 0 2 323.418 0.859 20 0 DCADLN Cc1noc(C[N@@H+]2CCC3(CN(C(=O)[C@@H]4C[C@@H]4C(=O)[O-])C3)C2)n1 ZINC001608028069 1170552611 /nfs/dbraw/zinc/55/26/11/1170552611.db2.gz QRRQDAPZPUCQQS-MNOVXSKESA-N 0 2 320.349 0.133 20 0 DCADLN Cc1noc(C[N@H+]2CCC3(CN(C(=O)[C@@H]4C[C@@H]4C(=O)[O-])C3)C2)n1 ZINC001608028069 1170552619 /nfs/dbraw/zinc/55/26/19/1170552619.db2.gz QRRQDAPZPUCQQS-MNOVXSKESA-N 0 2 320.349 0.133 20 0 DCADLN Cn1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C(F)F)n1 ZINC001608097937 1170570512 /nfs/dbraw/zinc/57/05/12/1170570512.db2.gz XQCZAGYAZDDDNZ-MRVPVSSYSA-N 0 2 313.264 0.507 20 0 DCADLN Cn1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C(F)F)n1 ZINC001608097937 1170570517 /nfs/dbraw/zinc/57/05/17/1170570517.db2.gz XQCZAGYAZDDDNZ-MRVPVSSYSA-N 0 2 313.264 0.507 20 0 DCADLN Cn1nc2c(c1C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-])CCC2 ZINC001608151535 1170597658 /nfs/dbraw/zinc/59/76/58/1170597658.db2.gz LYKURJPOMSLGAD-LBPRGKRZSA-N 0 2 306.366 0.074 20 0 DCADLN Cn1nc2c(c1C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-])CCC2 ZINC001608151535 1170597665 /nfs/dbraw/zinc/59/76/65/1170597665.db2.gz LYKURJPOMSLGAD-LBPRGKRZSA-N 0 2 306.366 0.074 20 0 DCADLN NS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])c(F)c2)C1 ZINC001608258524 1170635055 /nfs/dbraw/zinc/63/50/55/1170635055.db2.gz KRZKWZOFWXVYAX-SNVBAGLBSA-N 0 2 316.354 0.777 20 0 DCADLN NS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])c(F)c2)C1 ZINC001608258524 1170635062 /nfs/dbraw/zinc/63/50/62/1170635062.db2.gz KRZKWZOFWXVYAX-SNVBAGLBSA-N 0 2 316.354 0.777 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)CN1CCCCCCC1=O ZINC001608330872 1170652243 /nfs/dbraw/zinc/65/22/43/1170652243.db2.gz GLMGUJHGDSKILF-LBPRGKRZSA-N 0 2 322.365 0.245 20 0 DCADLN O=C([O-])c1cnc(NC/C=C\CNC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001608370002 1170660863 /nfs/dbraw/zinc/66/08/63/1170660863.db2.gz QUDLKWVXHZQOTQ-UPHRSURJSA-N 0 2 316.321 0.225 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1CNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC001608460597 1170684965 /nfs/dbraw/zinc/68/49/65/1170684965.db2.gz FBZJKMWXZQTRHR-IJLUTSLNSA-N 0 2 304.350 0.680 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc2cnccc2c1 ZINC001608486163 1170689354 /nfs/dbraw/zinc/68/93/54/1170689354.db2.gz WIMHMXJQALFKPY-LBPRGKRZSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc2cnccc2c1 ZINC001608486163 1170689358 /nfs/dbraw/zinc/68/93/58/1170689358.db2.gz WIMHMXJQALFKPY-LBPRGKRZSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001608532286 1170697227 /nfs/dbraw/zinc/69/72/27/1170697227.db2.gz RABYUQGQDWBALX-DDHJBXDOSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001608532286 1170697238 /nfs/dbraw/zinc/69/72/38/1170697238.db2.gz RABYUQGQDWBALX-DDHJBXDOSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCC[N@@H+]1CC(=O)N1CCc2ccccc2C1 ZINC001608594470 1170710671 /nfs/dbraw/zinc/71/06/71/1170710671.db2.gz GZEGEXGGGJODII-GOEBONIOSA-N 0 2 318.373 0.481 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCC[N@H+]1CC(=O)N1CCc2ccccc2C1 ZINC001608594470 1170710676 /nfs/dbraw/zinc/71/06/76/1170710676.db2.gz GZEGEXGGGJODII-GOEBONIOSA-N 0 2 318.373 0.481 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)CN(C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001608603912 1170714163 /nfs/dbraw/zinc/71/41/63/1170714163.db2.gz IUJSWIHADZOUMY-ONGXEEELSA-N 0 2 304.306 0.634 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)Cc2c[nH]c[nH+]2)c2ccc(F)cc2O1 ZINC001608643193 1170729556 /nfs/dbraw/zinc/72/95/56/1170729556.db2.gz YXSPTGBEXVSYKS-LBPRGKRZSA-N 0 2 305.265 0.970 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)c2ccc(F)cc2O1 ZINC001608643193 1170729560 /nfs/dbraw/zinc/72/95/60/1170729560.db2.gz YXSPTGBEXVSYKS-LBPRGKRZSA-N 0 2 305.265 0.970 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@]2(CO)CCCc3ccccc32)nn1 ZINC001608797431 1170756504 /nfs/dbraw/zinc/75/65/04/1170756504.db2.gz GDNUPYKLNRMFMV-INIZCTEOSA-N 0 2 316.361 0.676 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)N(C)CC2CC2)c1 ZINC001609386655 1170959381 /nfs/dbraw/zinc/95/93/81/1170959381.db2.gz TVIDWXHVFLJSTH-UHFFFAOYSA-N 0 2 302.338 0.205 20 0 DCADLN Cc1ccn(C[C@@H]2CCCS(=O)(=O)C2)c(=O)c1-c1nn[nH]n1 ZINC001609437509 1170975694 /nfs/dbraw/zinc/97/56/94/1170975694.db2.gz JFBDLOOGWOIXPU-JTQLQIEISA-N 0 2 323.378 0.162 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(Cl)c(-c2nn[nH]n2)c1 ZINC001609578175 1171028228 /nfs/dbraw/zinc/02/82/28/1171028228.db2.gz CLSCDPQLLVVEPG-UHFFFAOYSA-N 0 2 321.684 0.701 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCc2ccccc2[C@H]1CO)C(=O)[O-] ZINC001609716385 1171072698 /nfs/dbraw/zinc/07/26/98/1171072698.db2.gz GSUPFMMERYVAJK-ZBFHGGJFSA-N 0 2 320.389 0.804 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCc2ccccc2[C@H]1CO)C(=O)[O-] ZINC001609716385 1171072702 /nfs/dbraw/zinc/07/27/02/1171072702.db2.gz GSUPFMMERYVAJK-ZBFHGGJFSA-N 0 2 320.389 0.804 20 0 DCADLN COC(=O)C(C)(C)N1CC[NH+](Cc2oncc2C(=O)[O-])CC1 ZINC001610150184 1171178635 /nfs/dbraw/zinc/17/86/35/1171178635.db2.gz ZNAQBYQVBMIQIT-UHFFFAOYSA-N 0 2 311.338 0.442 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1cc(C(=O)[O-])co1 ZINC001610153647 1171180080 /nfs/dbraw/zinc/18/00/80/1171180080.db2.gz ITKCYCICQWJYAS-VIFPVBQESA-N 0 2 307.262 0.146 20 0 DCADLN COC(=O)[C@H]([NH2+]CCC(=O)N(C)CC(=O)[O-])C1CCCCC1 ZINC001610155466 1171180912 /nfs/dbraw/zinc/18/09/12/1171180912.db2.gz FUDPULCZNMOELW-CQSZACIVSA-N 0 2 314.382 0.631 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCOC[C@H]1CCCO1 ZINC001610166489 1171184126 /nfs/dbraw/zinc/18/41/26/1171184126.db2.gz ROPHGEYHMUYZSF-UPJWGTAASA-N 0 2 315.366 0.520 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCOC[C@H]1CCCO1 ZINC001610166489 1171184131 /nfs/dbraw/zinc/18/41/31/1171184131.db2.gz ROPHGEYHMUYZSF-UPJWGTAASA-N 0 2 315.366 0.520 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CC[N@@H+]1Cc1ccc(C(N)=O)cc1 ZINC001610164431 1171184238 /nfs/dbraw/zinc/18/42/38/1171184238.db2.gz OOSJKIXBIAITGD-CHWSQXEVSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CC[N@H+]1Cc1ccc(C(N)=O)cc1 ZINC001610164431 1171184242 /nfs/dbraw/zinc/18/42/42/1171184242.db2.gz OOSJKIXBIAITGD-CHWSQXEVSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CC[C@H](OC)C[C@@H]2C(=O)[O-])nc1 ZINC001610212177 1171197371 /nfs/dbraw/zinc/19/73/71/1171197371.db2.gz GBEFZKPSXNKJLI-QWHCGFSZSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CC[C@H](OC)C[C@@H]2C(=O)[O-])nc1 ZINC001610212177 1171197374 /nfs/dbraw/zinc/19/73/74/1171197374.db2.gz GBEFZKPSXNKJLI-QWHCGFSZSA-N 0 2 308.334 0.932 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001610229422 1171201456 /nfs/dbraw/zinc/20/14/56/1171201456.db2.gz FYEANZHUOGPWKN-VHSXEESVSA-N 0 2 310.354 0.712 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001610229422 1171201466 /nfs/dbraw/zinc/20/14/66/1171201466.db2.gz FYEANZHUOGPWKN-VHSXEESVSA-N 0 2 310.354 0.712 20 0 DCADLN COc1cc(C[N@H+](C)CC(=O)NCCC(=O)[O-])cc(OC)c1 ZINC001610293001 1171216924 /nfs/dbraw/zinc/21/69/24/1171216924.db2.gz PTUXPMKNYOLQPT-UHFFFAOYSA-N 0 2 310.350 0.727 20 0 DCADLN COc1cc(C[N@@H+](C)CC(=O)NCCC(=O)[O-])cc(OC)c1 ZINC001610293001 1171216929 /nfs/dbraw/zinc/21/69/29/1171216929.db2.gz PTUXPMKNYOLQPT-UHFFFAOYSA-N 0 2 310.350 0.727 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1C[C@H](C)O[C@H](CO)C1 ZINC001610570491 1171256759 /nfs/dbraw/zinc/25/67/59/1171256759.db2.gz CNFGDZQNMYVPAF-GWCFXTLKSA-N 0 2 311.382 0.556 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1C[C@H](C)O[C@H](CO)C1 ZINC001610570491 1171256764 /nfs/dbraw/zinc/25/67/64/1171256764.db2.gz CNFGDZQNMYVPAF-GWCFXTLKSA-N 0 2 311.382 0.556 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1csc2cncn21 ZINC001610682463 1171290468 /nfs/dbraw/zinc/29/04/68/1171290468.db2.gz WAIWIWIUPXBCIO-QMMMGPOBSA-N 0 2 305.319 0.476 20 0 DCADLN CCn1[nH]cc(-c2nnc(N3CCC[C@@H](C(N)=O)C3)n2C)c1=O ZINC001649216641 1171516819 /nfs/dbraw/zinc/51/68/19/1171516819.db2.gz DWKZFGJSVDZMRN-SECBINFHSA-N 0 2 319.369 0.106 20 0 DCADLN O=C(NNC(=O)c1csc(-c2ccco2)n1)C(=O)NC1CC1 ZINC000044008334 1171557831 /nfs/dbraw/zinc/55/78/31/1171557831.db2.gz NROCEIKRCNWRPD-UHFFFAOYSA-N 0 2 320.330 0.443 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CC(c2cccnc2)=NO1 ZINC001633468059 1171643298 /nfs/dbraw/zinc/64/32/98/1171643298.db2.gz LNDWESDACRNFLQ-SNVBAGLBSA-N 0 2 319.346 0.605 20 0 DCADLN Cc1nccn1-c1cc[nH+]cc1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001633802731 1171653657 /nfs/dbraw/zinc/65/36/57/1171653657.db2.gz NCUXXVIHWYZCGR-UHFFFAOYSA-N 0 2 315.293 0.217 20 0 DCADLN Cc1cc(C(=O)NCCc2n[nH]c(=S)o2)c2cnn(C)c2n1 ZINC001634590886 1171678044 /nfs/dbraw/zinc/67/80/44/1171678044.db2.gz JHLGMWRWCYJQNM-UHFFFAOYSA-N 0 2 318.362 0.921 20 0 DCADLN CC(=O)NCc1cccc(C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC001634591312 1171678120 /nfs/dbraw/zinc/67/81/20/1171678120.db2.gz ZIYKXILTYNJXJJ-UHFFFAOYSA-N 0 2 320.374 0.967 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635457485 1171703056 /nfs/dbraw/zinc/70/30/56/1171703056.db2.gz YXSGTNMWODYANM-GFCCVEGCSA-N 0 2 312.333 0.876 20 0 DCADLN O=C(C[C@H]1CC(=O)N1)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635456050 1171703794 /nfs/dbraw/zinc/70/37/94/1171703794.db2.gz MXPMZAPVKHINPJ-MNOVXSKESA-N 0 2 315.333 0.189 20 0 DCADLN O=C(NC1CC1)c1c[nH]nc1NC(=O)c1cnc2nc[nH]c2c1 ZINC001637326834 1171763664 /nfs/dbraw/zinc/76/36/64/1171763664.db2.gz PNWGENHJJOOFRR-UHFFFAOYSA-N 0 2 311.305 0.826 20 0 DCADLN CCCCc1nc(CS(=O)(=O)c2n[nH]c(COC)n2)no1 ZINC001645608427 1172074524 /nfs/dbraw/zinc/07/45/24/1172074524.db2.gz WYMOIYWFOMLQQE-UHFFFAOYSA-N 0 2 315.355 0.651 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@@H+]1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001646090138 1172247285 /nfs/dbraw/zinc/24/72/85/1172247285.db2.gz PJPDZNSSSDGOPO-SNVBAGLBSA-N 0 2 307.354 0.154 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@H+]1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001646090138 1172247293 /nfs/dbraw/zinc/24/72/93/1172247293.db2.gz PJPDZNSSSDGOPO-SNVBAGLBSA-N 0 2 307.354 0.154 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)C(=O)N[C@H](C)c1nn(C)cc1O ZINC001646310102 1172333057 /nfs/dbraw/zinc/33/30/57/1172333057.db2.gz LTQILHBEASNYMQ-MRVPVSSYSA-N 0 2 305.338 0.536 20 0 DCADLN Cc1nnc(CN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F)o1 ZINC001647308747 1172801015 /nfs/dbraw/zinc/80/10/15/1172801015.db2.gz ODRCNWAMKKWUGW-UHFFFAOYSA-N 0 2 308.260 0.794 20 0 DCADLN C[C@@H](c1nncn1C)n1cc(CNC(=O)C(F)(F)F)nn1 ZINC001647370315 1172847231 /nfs/dbraw/zinc/84/72/31/1172847231.db2.gz NSSGOCJJIXPVNS-LURJTMIESA-N 0 2 303.248 0.194 20 0 DCADLN COc1cnc([C@@H]2CCC[N@@H+]2CCc2cn(C)nn2)[n-]c1=O ZINC001647457902 1172907498 /nfs/dbraw/zinc/90/74/98/1172907498.db2.gz JTSHOIDFLSFCLG-NSHDSACASA-N 0 2 304.354 0.699 20 0 DCADLN COc1cnc([C@@H]2CCC[N@H+]2CCc2cn(C)nn2)[n-]c1=O ZINC001647457902 1172907501 /nfs/dbraw/zinc/90/75/01/1172907501.db2.gz JTSHOIDFLSFCLG-NSHDSACASA-N 0 2 304.354 0.699 20 0 DCADLN C[C@@H]1CS(=O)(=O)CCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001647458568 1172908681 /nfs/dbraw/zinc/90/86/81/1172908681.db2.gz KKPPFUVCOOYENG-SNVBAGLBSA-N 0 2 310.379 0.032 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ocnc1C(F)(F)F ZINC001648597268 1173078148 /nfs/dbraw/zinc/07/81/48/1173078148.db2.gz GNSFCBWICLEUCJ-UHFFFAOYSA-N 0 2 305.216 0.880 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2C[C@@H]2CCOC2)S1 ZINC001649706004 1173176735 /nfs/dbraw/zinc/17/67/35/1173176735.db2.gz ALYYUJMVOPGTCY-IUCAKERBSA-N 0 2 323.378 0.415 20 0 DCADLN NC(=O)c1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001649794714 1173230010 /nfs/dbraw/zinc/23/00/10/1173230010.db2.gz YLTWZNIWLDGIIR-SECBINFHSA-N 0 2 316.321 0.024 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2ccc(Cl)cn2)n[nH]1 ZINC001649795984 1173230621 /nfs/dbraw/zinc/23/06/21/1173230621.db2.gz ZAOIRIQXCIZCFK-UHFFFAOYSA-N 0 2 302.743 0.973 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1CC2(CSC2)C1 ZINC001649945192 1173328168 /nfs/dbraw/zinc/32/81/68/1173328168.db2.gz ICCNZHUXTMJPJF-UHFFFAOYSA-N 0 2 305.363 0.083 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC001649951354 1173332729 /nfs/dbraw/zinc/33/27/29/1173332729.db2.gz DPTGLGFWRBRXSB-PRJMDXOYSA-N 0 2 303.277 0.780 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC001649951354 1173332734 /nfs/dbraw/zinc/33/27/34/1173332734.db2.gz DPTGLGFWRBRXSB-PRJMDXOYSA-N 0 2 303.277 0.780 20 0 DCADLN COc1ccc([C@H]2C[NH2+]CCN2C(=O)CCc2c[nH]nn2)cc1 ZINC001650381454 1173604424 /nfs/dbraw/zinc/60/44/24/1173604424.db2.gz LFTGQTNFFFUWAM-OAHLLOKOSA-N 0 2 315.377 0.919 20 0 DCADLN COc1ccc([C@H]2C[NH2+]CCN2C(=O)CCc2cnn[nH]2)cc1 ZINC001650381454 1173604429 /nfs/dbraw/zinc/60/44/29/1173604429.db2.gz LFTGQTNFFFUWAM-OAHLLOKOSA-N 0 2 315.377 0.919 20 0 DCADLN CN(CCOCCNC(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001679640569 1175744055 /nfs/dbraw/zinc/74/40/55/1175744055.db2.gz GAWIZGQEQZOKJG-ZCFIWIBFSA-N 0 2 324.221 0.743 20 0 DCADLN CN(CCOCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(F)F ZINC001679640569 1175744061 /nfs/dbraw/zinc/74/40/61/1175744061.db2.gz GAWIZGQEQZOKJG-ZCFIWIBFSA-N 0 2 324.221 0.743 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCCO1)C(F)C(F)(F)F ZINC001686876173 1176239352 /nfs/dbraw/zinc/23/93/52/1176239352.db2.gz ALRYIEHJCMJFIQ-SFYZADRCSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCCO1)[C@H](F)C(F)(F)F ZINC001686876173 1176239356 /nfs/dbraw/zinc/23/93/56/1176239356.db2.gz ALRYIEHJCMJFIQ-SFYZADRCSA-N 0 2 300.252 0.688 20 0 DCADLN CN(CCNC(=O)c1cc[n+]([O-])cc1)C(=O)[C@H](F)C(F)(F)F ZINC001688305667 1176440152 /nfs/dbraw/zinc/44/01/52/1176440152.db2.gz IENIPVMNMRTSPK-VIFPVBQESA-N 0 2 323.246 0.409 20 0 DCADLN CN(CCNC(=O)c1cc[n+]([O-])cc1)C(=O)C(F)C(F)(F)F ZINC001688305667 1176440147 /nfs/dbraw/zinc/44/01/47/1176440147.db2.gz IENIPVMNMRTSPK-VIFPVBQESA-N 0 2 323.246 0.409 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)Cc1nnnn1C ZINC001689803919 1177061566 /nfs/dbraw/zinc/06/15/66/1177061566.db2.gz ZNSMAGXAKQZLTA-MRVPVSSYSA-N 0 2 312.271 0.049 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)Cc1nnnn1C ZINC001689803919 1177061571 /nfs/dbraw/zinc/06/15/71/1177061571.db2.gz ZNSMAGXAKQZLTA-MRVPVSSYSA-N 0 2 312.271 0.049 20 0 DCADLN CC[C@H](C(=O)NC1C[NH+](CCCO[C@@H]2CCOC2)C1)[NH+](C)C ZINC001692256131 1177464649 /nfs/dbraw/zinc/46/46/49/1177464649.db2.gz VUALMVAGVGVICN-HUUCEWRRSA-N 0 2 313.442 0.323 20 0 DCADLN CN(CCCNC(=O)[C@H]1CCC[N@@H+]1C)C(=O)CCn1cc[nH+]c1 ZINC001703554614 1179588275 /nfs/dbraw/zinc/58/82/75/1179588275.db2.gz OPGRCLVNEZVODL-CQSZACIVSA-N 0 2 321.425 0.332 20 0 DCADLN CC(C)C[C@@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001704797382 1180140414 /nfs/dbraw/zinc/14/04/14/1180140414.db2.gz QURXHGFJYKFMLL-BQBZGAKWSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)C[C@@H](CNC(=O)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001704797382 1180140420 /nfs/dbraw/zinc/14/04/20/1180140420.db2.gz QURXHGFJYKFMLL-BQBZGAKWSA-N 0 2 315.267 0.019 20 0 DCADLN CCN(CC[NH2+]Cc1ncnn1CC)C(=O)c1n[nH]c(C)c1[O-] ZINC001732770521 1185959362 /nfs/dbraw/zinc/95/93/62/1185959362.db2.gz OECZSOCCQUJEAC-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN C[C@H](CNC(=O)C=Cc1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001734026809 1186524210 /nfs/dbraw/zinc/52/42/10/1186524210.db2.gz YFMAXBRHKCDKBH-WWQCOOJYSA-N 0 2 305.338 0.753 20 0 DCADLN C[C@H](CNC(=O)C=Cc1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001734026809 1186524214 /nfs/dbraw/zinc/52/42/14/1186524214.db2.gz YFMAXBRHKCDKBH-WWQCOOJYSA-N 0 2 305.338 0.753 20 0 DCADLN CC(C)=CC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001735929954 1186956910 /nfs/dbraw/zinc/95/69/10/1186956910.db2.gz MEDQGPAHSLCWHT-KHQFGBGNSA-N 0 2 312.263 0.541 20 0 DCADLN CC(C)=CC(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001735929954 1186956913 /nfs/dbraw/zinc/95/69/13/1186956913.db2.gz MEDQGPAHSLCWHT-KHQFGBGNSA-N 0 2 312.263 0.541 20 0 DCADLN O=C(CC1=CCOCC1)NCCNC(=O)C(F)C(F)(F)F ZINC001736785471 1187104902 /nfs/dbraw/zinc/10/49/02/1187104902.db2.gz PBJJRGWZXIZWJR-SNVBAGLBSA-N 0 2 312.263 0.856 20 0 DCADLN O=C(CC1=CCOCC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736785471 1187104904 /nfs/dbraw/zinc/10/49/04/1187104904.db2.gz PBJJRGWZXIZWJR-SNVBAGLBSA-N 0 2 312.263 0.856 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cnccn1 ZINC001742716202 1188035971 /nfs/dbraw/zinc/03/59/71/1188035971.db2.gz OKOJWFDCMPWRBQ-MRVPVSSYSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1cnccn1 ZINC001742716202 1188035976 /nfs/dbraw/zinc/03/59/76/1188035976.db2.gz OKOJWFDCMPWRBQ-MRVPVSSYSA-N 0 2 308.235 0.613 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001743499999 1188139795 /nfs/dbraw/zinc/13/97/95/1188139795.db2.gz MJSRFYGAJOCQEX-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001743499999 1188139799 /nfs/dbraw/zinc/13/97/99/1188139799.db2.gz MJSRFYGAJOCQEX-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNCc1nc(=O)n(C)[nH]1)C(=O)c1ccccc1O ZINC001754034720 1188717360 /nfs/dbraw/zinc/71/73/60/1188717360.db2.gz RFOLBRGMQMTJIF-UHFFFAOYSA-N 0 2 319.365 0.066 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)C(=O)[C@H]1CC1(F)F ZINC001758419536 1189879328 /nfs/dbraw/zinc/87/93/28/1189879328.db2.gz DWFGMFRDZOITLO-SNVBAGLBSA-N 0 2 314.336 0.881 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H]1CCCO1)C(F)C(F)(F)F ZINC001759645567 1190245296 /nfs/dbraw/zinc/24/52/96/1190245296.db2.gz JRIAYXJHQVJYAN-NFXAZMQOSA-N 0 2 312.263 0.854 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H]1CCCO1)[C@H](F)C(F)(F)F ZINC001759645567 1190245298 /nfs/dbraw/zinc/24/52/98/1190245298.db2.gz JRIAYXJHQVJYAN-NFXAZMQOSA-N 0 2 312.263 0.854 20 0 DCADLN CCNC(=O)CS(=O)(=O)c1n[nH]c(-c2ccc(CC)cc2)n1 ZINC001771675774 1190464873 /nfs/dbraw/zinc/46/48/73/1190464873.db2.gz VYBXOZIYQOXULQ-UHFFFAOYSA-N 0 2 322.390 0.944 20 0 DCADLN CCOC(=O)N(C)C1CCN(C(=O)[C@@H]2CSCN2)CC1 ZINC001577966921 1164865001 /nfs/dbraw/zinc/86/50/01/1164865001.db2.gz ATVNCGPWVLTYFD-NSHDSACASA-N 0 2 301.412 0.728 20 0 DCADLN CC/C(C)=C/C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001212237163 751398787 /nfs/dbraw/zinc/39/87/87/751398787.db2.gz HXLHISDNEZFSDU-UJQHZETGSA-N 0 2 309.370 0.182 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001042434917 751642138 /nfs/dbraw/zinc/64/21/38/751642138.db2.gz PMHNARZRZTUPID-GWCFXTLKSA-N 0 2 315.377 0.321 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001042434920 751642332 /nfs/dbraw/zinc/64/23/32/751642332.db2.gz PMHNARZRZTUPID-ZWNOBZJWSA-N 0 2 315.377 0.321 20 0 DCADLN COCc1noc(CNCCNC(=O)C(F)C(F)(F)F)n1 ZINC001127777796 752060161 /nfs/dbraw/zinc/06/01/61/752060161.db2.gz BTGAWKXMBHKSSN-QMMMGPOBSA-N 0 2 314.239 0.322 20 0 DCADLN CN(C(=O)C12CCC(CC1)C2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043102211 752076692 /nfs/dbraw/zinc/07/66/92/752076692.db2.gz YSXJGPKXFWXPNE-UHFFFAOYSA-N 0 2 305.382 0.733 20 0 DCADLN COC(=O)c1ccc(N)cc1S(=O)(=O)Nc1cncc(N)c1 ZINC001210441610 747095852 /nfs/dbraw/zinc/09/58/52/747095852.db2.gz BWAGPKKGJIRPNG-UHFFFAOYSA-N 0 2 322.346 0.833 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nnc(C(C)(C)C)o2)c1[O-] ZINC001125744288 747546361 /nfs/dbraw/zinc/54/63/61/747546361.db2.gz AJQQSDMBUPMRMT-UHFFFAOYSA-N 0 2 322.369 0.624 20 0 DCADLN C[C@]1(CNC(=O)C2CCCC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107679746 752206219 /nfs/dbraw/zinc/20/62/19/752206219.db2.gz HIDRCONUWFJGIV-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(CNC(=O)c2ccco2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107693862 752220025 /nfs/dbraw/zinc/22/00/25/752220025.db2.gz CPFWCXVCTRDARW-CQSZACIVSA-N 0 2 321.337 0.124 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H]2CCCC[NH+]2CC)CC[N@@H+]1CC(=O)NC ZINC001087840180 749078128 /nfs/dbraw/zinc/07/81/28/749078128.db2.gz LEJKZAIFOSYQBW-RRFJBIMHSA-N 0 2 324.469 0.576 20 0 DCADLN COCCN(CCNC(=O)C(F)C(F)(F)F)c1ncccn1 ZINC001126555787 749098682 /nfs/dbraw/zinc/09/86/82/749098682.db2.gz SDTNIAZDGUXLCR-VIFPVBQESA-N 0 2 324.278 0.946 20 0 DCADLN COCCN(CCNC(=O)[C@H](F)C(F)(F)F)c1ncccn1 ZINC001126555787 749098686 /nfs/dbraw/zinc/09/86/86/749098686.db2.gz SDTNIAZDGUXLCR-VIFPVBQESA-N 0 2 324.278 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@@H]2C=CCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088462369 749469591 /nfs/dbraw/zinc/46/95/91/749469591.db2.gz RROZNWQPFJXZFB-UTUOFQBUSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@@H]2C=CCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088462369 749469597 /nfs/dbraw/zinc/46/95/97/749469597.db2.gz RROZNWQPFJXZFB-UTUOFQBUSA-N 0 2 305.382 0.946 20 0 DCADLN CN(CCNc1ccc2nnnn2n1)C(=O)C(F)C(F)(F)F ZINC001102002783 749929454 /nfs/dbraw/zinc/92/94/54/749929454.db2.gz AQTLQVAGFNNOBL-QMMMGPOBSA-N 0 2 321.238 0.290 20 0 DCADLN CN(CCNc1ccc2nnnn2n1)C(=O)[C@H](F)C(F)(F)F ZINC001102002783 749929461 /nfs/dbraw/zinc/92/94/61/749929461.db2.gz AQTLQVAGFNNOBL-QMMMGPOBSA-N 0 2 321.238 0.290 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088761067 749983100 /nfs/dbraw/zinc/98/31/00/749983100.db2.gz POVVAJCJEGSVIB-WYUUTHIRSA-N 0 2 323.397 0.404 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088761067 749983105 /nfs/dbraw/zinc/98/31/05/749983105.db2.gz POVVAJCJEGSVIB-WYUUTHIRSA-N 0 2 323.397 0.404 20 0 DCADLN CC(C)(C)n1cc(C(=O)C(=O)NCc2nc(O)cc(=O)[nH]2)cn1 ZINC001168429694 750823332 /nfs/dbraw/zinc/82/33/32/750823332.db2.gz HJQMEKFSXZPAPX-UHFFFAOYSA-N 0 2 319.321 0.338 20 0 DCADLN Cc1ncc(C)c(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142818 750980504 /nfs/dbraw/zinc/98/05/04/750980504.db2.gz ZCAWQSWDAWWNGB-IUCAKERBSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1ncc(C)c(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001106142818 750980507 /nfs/dbraw/zinc/98/05/07/750980507.db2.gz ZCAWQSWDAWWNGB-IUCAKERBSA-N 0 2 324.278 0.883 20 0 DCADLN C[C@@]1(CNC(=O)CC2CCC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107843467 752406461 /nfs/dbraw/zinc/40/64/61/752406461.db2.gz IAEJAPCFPZSARU-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001043843057 752415289 /nfs/dbraw/zinc/41/52/89/752415289.db2.gz IREMFIOOFLUOTR-VIFPVBQESA-N 0 2 305.338 0.550 20 0 DCADLN CCCc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001044128785 752583699 /nfs/dbraw/zinc/58/36/99/752583699.db2.gz QVKWOZDGZLWMNU-UHFFFAOYSA-N 0 2 320.353 0.407 20 0 DCADLN CC[C@H]1C[C@@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001044154770 752598998 /nfs/dbraw/zinc/59/89/98/752598998.db2.gz ZMXLKCKKVAVMBN-JQWIXIFHSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1 ZINC001044325730 752690914 /nfs/dbraw/zinc/69/09/14/752690914.db2.gz HKIGQDAPYSUIMZ-UHFFFAOYSA-N 0 2 316.365 0.479 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113141707 762156864 /nfs/dbraw/zinc/15/68/64/762156864.db2.gz GNRSLLSLFIGDNC-MRVPVSSYSA-N 0 2 321.303 0.743 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C(F)C(F)(F)F)C(F)F ZINC001061173749 753542322 /nfs/dbraw/zinc/54/23/22/753542322.db2.gz YYDKRZBHCXUMCP-RITPCOANSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)[C@H](F)C(F)(F)F)C(F)F ZINC001061173749 753542327 /nfs/dbraw/zinc/54/23/27/753542327.db2.gz YYDKRZBHCXUMCP-RITPCOANSA-N 0 2 322.205 0.496 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC001046270604 753603788 /nfs/dbraw/zinc/60/37/88/753603788.db2.gz XLMWPTWQRLMQNY-ZDUSSCGKSA-N 0 2 322.394 0.670 20 0 DCADLN Cc1ccc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)nn1 ZINC001046305916 753632867 /nfs/dbraw/zinc/63/28/67/753632867.db2.gz RKKBODHBRAWMRJ-AWEZNQCLSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1nnccc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046455865 753732508 /nfs/dbraw/zinc/73/25/08/753732508.db2.gz DUSXYJYBALORII-AWEZNQCLSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1cnoc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046745286 753921851 /nfs/dbraw/zinc/92/18/51/753921851.db2.gz IBDVAFBQPNHPLX-CYBMUJFWSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(c1cn[nH]c1)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049355138 755231542 /nfs/dbraw/zinc/23/15/42/755231542.db2.gz WMMKBCPZCDMJOV-WDEREUQCSA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1cn[nH]c1)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049355138 755231547 /nfs/dbraw/zinc/23/15/47/755231547.db2.gz WMMKBCPZCDMJOV-WDEREUQCSA-N 0 2 317.353 0.113 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001079471634 755862024 /nfs/dbraw/zinc/86/20/24/755862024.db2.gz LHQCHZDQYLBFHV-VXNVDRBHSA-N 0 2 306.326 0.057 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cncc(F)c1 ZINC001079557346 755908431 /nfs/dbraw/zinc/90/84/31/755908431.db2.gz YRTPNHFFVWBILW-LDYMZIIASA-N 0 2 320.328 0.295 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCOC2(CN(CCF)C2)C1 ZINC001053263681 755964012 /nfs/dbraw/zinc/96/40/12/755964012.db2.gz UTFHWEJBZGYYGE-QMMMGPOBSA-N 0 2 302.243 0.770 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCOC2(CN(CCF)C2)C1 ZINC001053263681 755964019 /nfs/dbraw/zinc/96/40/19/755964019.db2.gz UTFHWEJBZGYYGE-QMMMGPOBSA-N 0 2 302.243 0.770 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cc[nH]n2)C1 ZINC001079901532 756033218 /nfs/dbraw/zinc/03/32/18/756033218.db2.gz QHAQXQDVTMUGRX-VIFPVBQESA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001079901532 756033226 /nfs/dbraw/zinc/03/32/26/756033226.db2.gz QHAQXQDVTMUGRX-VIFPVBQESA-N 0 2 322.262 0.983 20 0 DCADLN C[C@@H]1C[N@H+](CCO[C@@H]2CC2(F)F)C[C@H]1NC(=O)c1cnn[nH]1 ZINC001080029566 756080899 /nfs/dbraw/zinc/08/08/99/756080899.db2.gz GJJRSCPQVIWJPX-FBIMIBRVSA-N 0 2 315.324 0.279 20 0 DCADLN CC1CC(C(=O)N[C@H]2COC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)C1 ZINC001053771712 756194198 /nfs/dbraw/zinc/19/41/98/756194198.db2.gz UDIKXHNZACFVTK-VQXHTEKXSA-N 0 2 321.381 0.016 20 0 DCADLN CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc3oc(=O)nc-3[n-]1)CO2 ZINC001053983421 756316017 /nfs/dbraw/zinc/31/60/17/756316017.db2.gz XSUSVCJNXWXIBS-VIFPVBQESA-N 0 2 318.333 0.521 20 0 DCADLN CC(=O)N[C@]1(CO)CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001055678187 756857379 /nfs/dbraw/zinc/85/73/79/756857379.db2.gz WEPAQOBVKFEIGP-PSASIEDQSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N[C@]1(CO)CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001055678187 756857383 /nfs/dbraw/zinc/85/73/83/756857383.db2.gz WEPAQOBVKFEIGP-PSASIEDQSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(CCC1CC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084239228 757755981 /nfs/dbraw/zinc/75/59/81/757755981.db2.gz HHOTXSNENNAKDR-VXGBXAGGSA-N 0 2 305.382 0.733 20 0 DCADLN CCC(C)(C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084622987 757935926 /nfs/dbraw/zinc/93/59/26/757935926.db2.gz SLZKNZDTMFHWHK-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1conc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084760422 758019568 /nfs/dbraw/zinc/01/95/68/758019568.db2.gz IKLDECNIGPXFPA-NXEZZACHSA-N 0 2 318.337 0.153 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cccc(F)c1 ZINC001085463571 758906445 /nfs/dbraw/zinc/90/64/45/758906445.db2.gz HMYIGRXRACJPOJ-GFCCVEGCSA-N 0 2 319.340 0.996 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001085522095 758997571 /nfs/dbraw/zinc/99/75/71/758997571.db2.gz DRLFSNUCLYSBTG-SNVBAGLBSA-N 0 2 319.369 0.197 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CCCCO1 ZINC001085545252 759026469 /nfs/dbraw/zinc/02/64/69/759026469.db2.gz GTUUYNGKHYKWOF-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CCCCO1 ZINC001085545255 759027106 /nfs/dbraw/zinc/02/71/06/759027106.db2.gz GTUUYNGKHYKWOF-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN CCn1ccnc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085570145 759065488 /nfs/dbraw/zinc/06/54/88/759065488.db2.gz CMIKEHSBWUBZDA-SNVBAGLBSA-N 0 2 319.369 0.073 20 0 DCADLN Cc1cnccc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085588841 759097467 /nfs/dbraw/zinc/09/74/67/759097467.db2.gz WVOZJHWKGYUWAE-NSHDSACASA-N 0 2 316.365 0.560 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1C=CC=CC=C1 ZINC001085622225 759134171 /nfs/dbraw/zinc/13/41/71/759134171.db2.gz NMRATIJMVGWWJB-ZDUSSCGKSA-N 0 2 315.377 0.841 20 0 DCADLN Cc1c(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccn1C ZINC001085806752 759334378 /nfs/dbraw/zinc/33/43/78/759334378.db2.gz PMOBEEGXRAJBOY-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071310676 761772650 /nfs/dbraw/zinc/77/26/50/761772650.db2.gz RXDGCNMCGZUGKQ-WDEREUQCSA-N 0 2 316.365 0.688 20 0 DCADLN O=C(CCC(F)(F)F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112747434 761879427 /nfs/dbraw/zinc/87/94/27/761879427.db2.gz JFKOWYAEBNHIMY-UHFFFAOYSA-N 0 2 307.276 0.497 20 0 DCADLN Cc1cc(=O)n2nc(NC(=O)c3ncc(Cl)cn3)[nH]c2n1 ZINC001129409497 762930469 /nfs/dbraw/zinc/93/04/69/762930469.db2.gz UVAYGPXPKOJAKM-UHFFFAOYSA-N 0 2 305.685 0.422 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C(=O)C(C)(C)C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001131935009 764141385 /nfs/dbraw/zinc/14/13/85/764141385.db2.gz QNVWRMAQKWAZAN-VHSXEESVSA-N 0 2 323.397 0.595 20 0 DCADLN Cc1ccnc(NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001124808737 768307299 /nfs/dbraw/zinc/30/72/99/768307299.db2.gz KOFAXIWFHNFSBZ-BDAKNGLRSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1ccnc(NC[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F)n1 ZINC001124808737 768307306 /nfs/dbraw/zinc/30/73/06/768307306.db2.gz KOFAXIWFHNFSBZ-BDAKNGLRSA-N 0 2 324.278 0.917 20 0 DCADLN O=C(NCC1(NCc2nncs2)CCCCC1)c1cn[nH]n1 ZINC001115613889 765768721 /nfs/dbraw/zinc/76/87/21/765768721.db2.gz MCFUYIGAYOQYFN-UHFFFAOYSA-N 0 2 321.410 0.879 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnc2ccccc2c1O)c1nn[nH]n1 ZINC001116667529 765894827 /nfs/dbraw/zinc/89/48/27/765894827.db2.gz HZVVLVHLQDFQJR-VIFPVBQESA-N 0 2 312.333 0.917 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001118334059 766292779 /nfs/dbraw/zinc/29/27/79/766292779.db2.gz NARRKRRAQHZRKX-AWEZNQCLSA-N 0 2 320.393 0.620 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001118334059 766292781 /nfs/dbraw/zinc/29/27/81/766292781.db2.gz NARRKRRAQHZRKX-AWEZNQCLSA-N 0 2 320.393 0.620 20 0 DCADLN O=C([O-])[C@H](C(=O)N1CC[NH+](CCc2cnccn2)CC1)C1CC1 ZINC001119539065 766718800 /nfs/dbraw/zinc/71/88/00/766718800.db2.gz MNBJTIBXBYNFRF-AWEZNQCLSA-N 0 2 318.377 0.274 20 0 DCADLN C/C(=C\C(=O)N[C@@H]1C[C@H]2C[C@@]2(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001119583971 766739874 /nfs/dbraw/zinc/73/98/74/766739874.db2.gz RZKTZHZSZPDJRP-GHTMOEBKSA-N 0 2 308.378 0.634 20 0 DCADLN COC(=O)CN1CC[NH+](Cc2[nH]c(C)c(C(=O)[O-])c2C)CC1 ZINC001140496122 768533775 /nfs/dbraw/zinc/53/37/75/768533775.db2.gz RZZPTADISQGDIJ-UHFFFAOYSA-N 0 2 309.366 0.620 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)C[C@@H]1CC(=O)N1 ZINC001141314409 768574310 /nfs/dbraw/zinc/57/43/10/768574310.db2.gz OLTHHNXDGSNEAE-ZETCQYMHSA-N 0 2 306.347 0.634 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc2[nH]c(CO)nc2c1 ZINC001149308745 768729693 /nfs/dbraw/zinc/72/96/93/768729693.db2.gz ZJNGVMNOCPJPER-LLVKDONJSA-N 0 2 306.303 0.094 20 0 DCADLN O=C(N[C@@H](c1nn[nH]n1)c1ccccc1)[C@@H]1CCCc2nn[nH]c21 ZINC001149501482 768768498 /nfs/dbraw/zinc/76/84/98/768768498.db2.gz UUPOQYMQXLFQJD-ZYHUDNBSSA-N 0 2 324.348 0.644 20 0 DCADLN Cc1cc[nH]c2nc(O[C@H]3CO[C@@H]4[C@H](O[N+](=O)[O-])CO[C@H]34)nc1-2 ZINC001231057731 769158998 /nfs/dbraw/zinc/15/89/98/769158998.db2.gz YQBLHKDFDXCRSW-SCVMZPAESA-N 0 2 322.277 0.388 20 0 DCADLN O=C(NOC[C@H]1CCOC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001234258530 769605533 /nfs/dbraw/zinc/60/55/33/769605533.db2.gz NNFIMXREHQYNJK-VHSXEESVSA-N 0 2 311.342 0.367 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095271587 769729551 /nfs/dbraw/zinc/72/95/51/769729551.db2.gz QBABYHNNZGWUKU-UTLUCORTSA-N 0 2 318.337 0.342 20 0 DCADLN CCOC(=O)[C@@H](C)CNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153862511 769971693 /nfs/dbraw/zinc/97/16/93/769971693.db2.gz XGTUWWLVCYSSHI-VIFPVBQESA-N 0 2 303.318 0.852 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1nnc2cccc(Cl)n21 ZINC001156026735 770694523 /nfs/dbraw/zinc/69/45/23/770694523.db2.gz PZQMUDQRSPSJTG-VIFPVBQESA-N 0 2 311.710 0.422 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)C1=NO[C@@H](c2ccccc2)C1 ZINC001178805017 770868029 /nfs/dbraw/zinc/86/80/29/770868029.db2.gz BZEZUHXDVGYUTM-BXKDBHETSA-N 0 2 305.315 0.929 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2cc3occc3[nH]2)[nH]1 ZINC001179021265 770921050 /nfs/dbraw/zinc/92/10/50/770921050.db2.gz PXYHLHGRLUXRQK-UHFFFAOYSA-N 0 2 323.334 0.354 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])NC(=O)c1ccc2[nH+]c(N)[nH]c2c1 ZINC001156975939 770988143 /nfs/dbraw/zinc/98/81/43/770988143.db2.gz YFRWLBOQPRKIAX-VIFPVBQESA-N 0 2 320.305 0.281 20 0 DCADLN C[C@@H](NC(=O)c1cncc(-n2ccnn2)c1)c1nn(C)cc1O ZINC001158305562 771755826 /nfs/dbraw/zinc/75/58/26/771755826.db2.gz GXHOXDLVVYFDCY-SECBINFHSA-N 0 2 313.321 0.592 20 0 DCADLN O=S(=O)([N-]c1cc[nH+]c(CO)c1)c1cnc(Cl)nc1 ZINC001185004886 771850835 /nfs/dbraw/zinc/85/08/35/771850835.db2.gz XQLQXIYWUMJXBR-UHFFFAOYSA-N 0 2 300.727 0.818 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cc(F)cc2c1NC(=O)C2 ZINC001188387897 772295670 /nfs/dbraw/zinc/29/56/70/772295670.db2.gz GFJSGLFDSVASTJ-UHFFFAOYSA-N 0 2 316.310 0.625 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188487984 772307810 /nfs/dbraw/zinc/30/78/10/772307810.db2.gz HKNGOFIQFJYXCT-UHFFFAOYSA-N 0 2 323.378 0.453 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(NCC[C@H]2CCOC23C[NH2+]C3)cc1 ZINC001163096120 772461157 /nfs/dbraw/zinc/46/11/57/772461157.db2.gz QPGNCGRXNSEYBT-NSHDSACASA-N 0 2 312.306 0.670 20 0 DCADLN O=[P@]([O-])(O)c1ccc(NCC[C@H]2CCOC23C[NH2+]C3)cc1 ZINC001163096120 772461162 /nfs/dbraw/zinc/46/11/62/772461162.db2.gz QPGNCGRXNSEYBT-NSHDSACASA-N 0 2 312.306 0.670 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(OC2COC2)c(F)c1 ZINC001190483124 772630134 /nfs/dbraw/zinc/63/01/34/772630134.db2.gz CNQFHZKVSBOLPK-UHFFFAOYSA-N 0 2 308.269 0.357 20 0 DCADLN CCCNC(=O)C[NH+](C)[C@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190948485 772696323 /nfs/dbraw/zinc/69/63/23/772696323.db2.gz VKQCBEOJPQTEBJ-LSDHHAIUSA-N 0 2 324.469 0.530 20 0 DCADLN CCNC(=O)C[NH+](C)[C@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190946918 772696436 /nfs/dbraw/zinc/69/64/36/772696436.db2.gz PQQQGCKVHBTBJX-KBPBESRZSA-N 0 2 310.442 0.140 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1nc(Cc2ccccc2)no1 ZINC001192276364 772898860 /nfs/dbraw/zinc/89/88/60/772898860.db2.gz CANIARVAJNMELC-UHFFFAOYSA-N 0 2 300.278 0.414 20 0 DCADLN Nc1ccc(S(=O)(=O)Nc2cnn3cccnc23)cc1N ZINC001205978202 773038130 /nfs/dbraw/zinc/03/81/30/773038130.db2.gz VMNXIRIGWANSGR-UHFFFAOYSA-N 0 2 304.335 0.695 20 0 DCADLN C[C@@H]1CN(Cc2cnnn2C)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207023336 773163560 /nfs/dbraw/zinc/16/35/60/773163560.db2.gz UYWBTALDHMKUIW-QNSHHTMESA-N 0 2 323.294 0.652 20 0 DCADLN COc1cc(S(=O)(=O)Nc2nnn(C)n2)cnc1Cl ZINC001194407764 773187379 /nfs/dbraw/zinc/18/73/79/773187379.db2.gz DZYNRAKVECMHTG-UHFFFAOYSA-N 0 2 304.719 0.068 20 0 DCADLN Cc1nn(CC(F)(F)F)c(C)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001195585560 773437577 /nfs/dbraw/zinc/43/75/77/773437577.db2.gz MNJPMJCYJBHSNJ-UHFFFAOYSA-N 0 2 318.259 0.816 20 0 DCADLN CC(C)(C)OCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195562221 773442132 /nfs/dbraw/zinc/44/21/32/773442132.db2.gz FYDHJSHOTLUBCR-UHFFFAOYSA-N 0 2 311.386 0.360 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc(O)cc2)n2ncnc2n1 ZINC001195762914 773469959 /nfs/dbraw/zinc/46/99/59/773469959.db2.gz HENDKBMKIUXBBT-UHFFFAOYSA-N 0 2 305.319 0.939 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2nnc(CC)n2c1 ZINC001195941441 773498973 /nfs/dbraw/zinc/49/89/73/773498973.db2.gz HLFHPCMBKYPBDR-UHFFFAOYSA-N 0 2 312.351 0.597 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2c(c1)CN(C)C2=O ZINC001195973268 773509137 /nfs/dbraw/zinc/50/91/37/773509137.db2.gz NNDMZVJSEJBWRP-UHFFFAOYSA-N 0 2 312.347 0.577 20 0 DCADLN O=S(=O)(NCc1nc(-c2ccc(F)cc2)n[nH]1)c1ncc[nH]1 ZINC001209305716 773588689 /nfs/dbraw/zinc/58/86/89/773588689.db2.gz NHSQDXOQBOTAFX-UHFFFAOYSA-N 0 2 322.325 0.812 20 0 DCADLN COc1nonc1Nc1ccc(C(=O)N2CCOCC2)nc1 ZINC001210652246 773726352 /nfs/dbraw/zinc/72/63/52/773726352.db2.gz USZYUFXPYSZAQG-UHFFFAOYSA-N 0 2 305.294 0.689 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1c(F)cccc1F)=C(C)O ZINC001197622578 773771345 /nfs/dbraw/zinc/77/13/45/773771345.db2.gz JMCYVGUELKFPES-NSHDSACASA-N 0 2 321.301 0.515 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccnn2Br)n1C ZINC001198364531 773907378 /nfs/dbraw/zinc/90/73/78/773907378.db2.gz VGPJJXOPMKWOAQ-UHFFFAOYSA-N 0 2 320.172 0.884 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccc3c(c2)CNC3=O)n1C ZINC001198399137 773916104 /nfs/dbraw/zinc/91/61/04/773916104.db2.gz XTZNDHVYHNLOJJ-UHFFFAOYSA-N 0 2 306.347 0.773 20 0 DCADLN COCC[NH2+][C@H](CNC(=O)c1ncn[nH]1)c1ccccc1OC ZINC001198940204 774005156 /nfs/dbraw/zinc/00/51/56/774005156.db2.gz QDEIQRFDZHTABQ-GFCCVEGCSA-N 0 2 319.365 0.520 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnc(OC2CCC2)cn1 ZINC001199146998 774038419 /nfs/dbraw/zinc/03/84/19/774038419.db2.gz HVUPLVSHZBVTEU-LLVKDONJSA-N 0 2 308.319 0.447 20 0 DCADLN [NH3+][C@@H](Cc1nn[nH]n1)C(=O)Nc1ccc2[n-]c(=S)sc2c1 ZINC001218905241 774325140 /nfs/dbraw/zinc/32/51/40/774325140.db2.gz DCIGAQAHOCMKDA-LURJTMIESA-N 0 2 321.391 0.607 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccccn2)C[C@@H]1O)C(F)C(F)(F)F ZINC001219665555 774574945 /nfs/dbraw/zinc/57/49/45/774574945.db2.gz OEOZKWYIANQVJD-VWYCJHECSA-N 0 2 321.274 0.643 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21)[NH+](C)C ZINC001114464387 774883227 /nfs/dbraw/zinc/88/32/27/774883227.db2.gz SGUXFPDRAVXEGM-BARDWOONSA-N 0 2 320.441 0.185 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)Oc1ccc(F)cc1 ZINC001225248164 775658591 /nfs/dbraw/zinc/65/85/91/775658591.db2.gz KZNCQGHQGUEMRZ-CYBMUJFWSA-N 0 2 319.354 0.793 20 0 DCADLN Cc1ccnc(NCCN(CCO)C(=O)C(F)C(F)(F)F)n1 ZINC001111579750 775670418 /nfs/dbraw/zinc/67/04/18/775670418.db2.gz OZJPRPWNLJKZHF-VIFPVBQESA-N 0 2 324.278 0.918 20 0 DCADLN Cc1ccnc(NCCN(CCO)C(=O)[C@H](F)C(F)(F)F)n1 ZINC001111579750 775670430 /nfs/dbraw/zinc/67/04/30/775670430.db2.gz OZJPRPWNLJKZHF-VIFPVBQESA-N 0 2 324.278 0.918 20 0 DCADLN CC1(C)OC[C@H](CCOc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)O1 ZINC001226887950 775891436 /nfs/dbraw/zinc/89/14/36/775891436.db2.gz LCKZFYRDCSJHMK-LURJTMIESA-N 0 2 324.293 0.457 20 0 DCADLN CN(CCCN(C)C(=O)C(F)C(F)(F)F)C(=O)CC(N)=O ZINC001067054570 776782030 /nfs/dbraw/zinc/78/20/30/776782030.db2.gz DPJUNHQJZOYRPJ-VIFPVBQESA-N 0 2 315.267 0.069 20 0 DCADLN CN(CCCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)CC(N)=O ZINC001067054570 776782037 /nfs/dbraw/zinc/78/20/37/776782037.db2.gz DPJUNHQJZOYRPJ-VIFPVBQESA-N 0 2 315.267 0.069 20 0 DCADLN CCc1cc(C(=O)N2CC[C@]3(CC[N@H+](CC(=O)NC)C3)C2)n[nH]1 ZINC001041084429 777049477 /nfs/dbraw/zinc/04/94/77/777049477.db2.gz PIJCZMPLOQLESY-INIZCTEOSA-N 0 2 319.409 0.256 20 0 DCADLN CC(C)(F)C(=O)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041886798 777567630 /nfs/dbraw/zinc/56/76/30/777567630.db2.gz BZBDUSKAQASABM-NXEZZACHSA-N 0 2 311.361 0.681 20 0 DCADLN CC(C)(F)C(=O)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041886798 777567636 /nfs/dbraw/zinc/56/76/36/777567636.db2.gz BZBDUSKAQASABM-NXEZZACHSA-N 0 2 311.361 0.681 20 0 DCADLN O=C(c1cnco1)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001042169035 777754998 /nfs/dbraw/zinc/75/49/98/777754998.db2.gz BVFHYTQHGZINBN-VHSXEESVSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cnco1)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001042169035 777755006 /nfs/dbraw/zinc/75/50/06/777755006.db2.gz BVFHYTQHGZINBN-VHSXEESVSA-N 0 2 318.337 0.235 20 0 DCADLN CN(CCn1cc[nH+]c1)S(=O)(=O)[C@H]1CCC[C@@H]1C(=O)[O-] ZINC001465106344 804077770 /nfs/dbraw/zinc/07/77/70/804077770.db2.gz KPBHYPJTJBBCCF-QWRGUYRKSA-N 0 2 301.368 0.398 20 0 DCADLN NS(=O)(=O)C1CC[NH+]([C@H](C(=O)[O-])c2ccsc2)CC1 ZINC001601191979 1168645015 /nfs/dbraw/zinc/64/50/15/1168645015.db2.gz FNDVPTGUXZPDMP-JTQLQIEISA-N 0 2 304.393 0.627 20 0 DCADLN CC(C)(CO)C[N@@H+]1C[C@@H]2COC[C@H](C1)N2CCn1cc[nH+]c1 ZINC001277967826 945070390 /nfs/dbraw/zinc/07/03/90/945070390.db2.gz HGCFZHGQVBMZDP-GASCZTMLSA-N 0 2 308.426 0.287 20 0 DCADLN CC/C(C)=C\C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001287793985 945168793 /nfs/dbraw/zinc/16/87/93/945168793.db2.gz ZTYJHPJHJHZTPY-SLTPTINOSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C\C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001287793985 945168797 /nfs/dbraw/zinc/16/87/97/945168797.db2.gz ZTYJHPJHJHZTPY-SLTPTINOSA-N 0 2 314.279 0.836 20 0 DCADLN C[N@H+](CCNC(=O)CCc1ccccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001480945713 939465880 /nfs/dbraw/zinc/46/58/80/939465880.db2.gz GXJKPPDGLMKVSB-UHFFFAOYSA-N 0 2 321.356 0.830 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1ccccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001480945713 939465882 /nfs/dbraw/zinc/46/58/82/939465882.db2.gz GXJKPPDGLMKVSB-UHFFFAOYSA-N 0 2 321.356 0.830 20 0 DCADLN C[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001481621558 939976665 /nfs/dbraw/zinc/97/66/65/939976665.db2.gz RXWJGDSGYTZPFJ-JTQLQIEISA-N 0 2 304.354 0.121 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)(F)F)CC1 ZINC001481646472 940001914 /nfs/dbraw/zinc/00/19/14/940001914.db2.gz KISWUOCVUGVXDD-UHFFFAOYSA-N 0 2 319.287 0.401 20 0 DCADLN CN1C(=O)COCC12CN(C(=O)c1cccc(Cl)c1O)C2 ZINC001269982647 940809333 /nfs/dbraw/zinc/80/93/33/940809333.db2.gz HBKOHKVODSTEHZ-UHFFFAOYSA-N 0 2 310.737 0.729 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NCc1nnc(C2CC2)[nH]1 ZINC001414268777 940856714 /nfs/dbraw/zinc/85/67/14/940856714.db2.gz HDMMYZCSOCDTIU-UHFFFAOYSA-N 0 2 321.428 0.524 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NCc1n[nH]c(C2CC2)n1 ZINC001414268777 940856715 /nfs/dbraw/zinc/85/67/15/940856715.db2.gz HDMMYZCSOCDTIU-UHFFFAOYSA-N 0 2 321.428 0.524 20 0 DCADLN CCCSCC(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001270548304 940921461 /nfs/dbraw/zinc/92/14/61/940921461.db2.gz IYXGXEJNUIUUHK-ZDUSSCGKSA-N 0 2 313.427 0.734 20 0 DCADLN COCc1ccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001482612937 941593334 /nfs/dbraw/zinc/59/33/34/941593334.db2.gz VRBKOVSCBAWRFE-UHFFFAOYSA-N 0 2 323.353 0.454 20 0 DCADLN COCc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001482612937 941593336 /nfs/dbraw/zinc/59/33/36/941593336.db2.gz VRBKOVSCBAWRFE-UHFFFAOYSA-N 0 2 323.353 0.454 20 0 DCADLN C[C@@H](C(=O)N(C)CCN(C)Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001482620575 941599232 /nfs/dbraw/zinc/59/92/32/941599232.db2.gz UQYYMWFLBVJTDT-ZETCQYMHSA-N 0 2 309.292 0.599 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001482620575 941599233 /nfs/dbraw/zinc/59/92/33/941599233.db2.gz UQYYMWFLBVJTDT-ZETCQYMHSA-N 0 2 309.292 0.599 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001482620575 941599235 /nfs/dbraw/zinc/59/92/35/941599235.db2.gz UQYYMWFLBVJTDT-ZETCQYMHSA-N 0 2 309.292 0.599 20 0 DCADLN CCN(CC[NH2+]Cc1csnn1)C(=O)[C@@H]1CCCC[N@@H+]1C ZINC001482933211 941716772 /nfs/dbraw/zinc/71/67/72/941716772.db2.gz DBSIETPUGAWRSX-ZDUSSCGKSA-N 0 2 311.455 0.961 20 0 DCADLN Cc1cnc(C[NH2+]C[C@H](C)NC(=O)CCc2nc[nH]n2)s1 ZINC001483218455 941944094 /nfs/dbraw/zinc/94/40/94/941944094.db2.gz WSTVYCLPHZDHPR-VIFPVBQESA-N 0 2 308.411 0.797 20 0 DCADLN COCC[NH+](C)[C@H](C)CNC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC001409571572 942061574 /nfs/dbraw/zinc/06/15/74/942061574.db2.gz LYXRALYSXJYDRW-IUODEOHRSA-N 0 2 323.441 0.873 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000322397024 970882579 /nfs/dbraw/zinc/88/25/79/970882579.db2.gz SOADDRSUDMIYCQ-NSHDSACASA-N 0 2 322.252 0.883 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000322397024 970882587 /nfs/dbraw/zinc/88/25/87/970882587.db2.gz SOADDRSUDMIYCQ-NSHDSACASA-N 0 2 322.252 0.883 20 0 DCADLN C[C@@H](NC(=O)Cc1cscn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409889664 942866722 /nfs/dbraw/zinc/86/67/22/942866722.db2.gz BANXZAXJGNTUTB-MRVPVSSYSA-N 0 2 322.394 0.146 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1COCCO1 ZINC001413409289 942959447 /nfs/dbraw/zinc/95/94/47/942959447.db2.gz OHVMILYIXBFRDM-VXGBXAGGSA-N 0 2 318.333 0.326 20 0 DCADLN COc1cc(F)c(S(=O)(=O)Nc2nnn(C)n2)cc1F ZINC001413429372 942973877 /nfs/dbraw/zinc/97/38/77/942973877.db2.gz OVCZNZOXBRFKIV-UHFFFAOYSA-N 0 2 305.266 0.298 20 0 DCADLN CCO[C@@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001484043468 943113348 /nfs/dbraw/zinc/11/33/48/943113348.db2.gz AWDIIGSGCMTKPA-DOMZBBRYSA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001484043468 943113354 /nfs/dbraw/zinc/11/33/54/943113354.db2.gz AWDIIGSGCMTKPA-DOMZBBRYSA-N 0 2 323.397 0.406 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)c2cnc(C(=O)[O-])cn2)C1 ZINC001611283062 970972487 /nfs/dbraw/zinc/97/24/87/970972487.db2.gz ZHABWQSKSLBTCX-SNVBAGLBSA-N 0 2 315.333 0.928 20 0 DCADLN O=C(CC1CC1)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056775446 943408057 /nfs/dbraw/zinc/40/80/57/943408057.db2.gz ODJXDXQNHJQOCF-SNVBAGLBSA-N 0 2 314.279 0.624 20 0 DCADLN O=C(CC1CC1)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001056775446 943408065 /nfs/dbraw/zinc/40/80/65/943408065.db2.gz ODJXDXQNHJQOCF-SNVBAGLBSA-N 0 2 314.279 0.624 20 0 DCADLN Cc1cccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001413672359 943562680 /nfs/dbraw/zinc/56/26/80/943562680.db2.gz NDNYLWFQRLLAAG-UHFFFAOYSA-N 0 2 308.363 0.588 20 0 DCADLN C[C@H](CNC(=O)c1cccc(F)c1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485271699 943789863 /nfs/dbraw/zinc/78/98/63/943789863.db2.gz XRFJRRVUCLHVDK-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN C[C@H](CNC(=O)c1cccc(F)c1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485271699 943789865 /nfs/dbraw/zinc/78/98/65/943789865.db2.gz XRFJRRVUCLHVDK-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491159559 943795756 /nfs/dbraw/zinc/79/57/56/943795756.db2.gz AITCAUGCGQAAJA-VXNVDRBHSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491159559 943795759 /nfs/dbraw/zinc/79/57/59/943795759.db2.gz AITCAUGCGQAAJA-VXNVDRBHSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](C[S@@](C)=O)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001413779481 944085771 /nfs/dbraw/zinc/08/57/71/944085771.db2.gz OOHVYXZAZMWITP-KRNBLGIKSA-N 0 2 315.399 0.166 20 0 DCADLN NC(=O)c1[nH]nc2c1CN(C(=O)c1ccc(F)c(F)c1O)C2 ZINC001276859104 944330528 /nfs/dbraw/zinc/33/05/28/944330528.db2.gz XLWHFEQONHLYEI-UHFFFAOYSA-N 0 2 308.244 0.648 20 0 DCADLN COc1ccc(C[N@@H+](C)CCCNC(=O)Cn2cc[nH+]c2)cn1 ZINC001316868889 944605519 /nfs/dbraw/zinc/60/55/19/944605519.db2.gz NJHWNJXNDGTLOF-UHFFFAOYSA-N 0 2 317.393 0.925 20 0 DCADLN COCCN(CCNC(=O)C=C1CCC1)Cc1n[nH]c(=O)[nH]1 ZINC001486428107 944877461 /nfs/dbraw/zinc/87/74/61/944877461.db2.gz OCWGZYKMFBBWJQ-UHFFFAOYSA-N 0 2 309.370 0.185 20 0 DCADLN COC[C@H](O)C[N@H+]1CC=C(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001486519140 944952554 /nfs/dbraw/zinc/95/25/54/944952554.db2.gz ILARNWDXUHGXBR-CQSZACIVSA-N 0 2 322.409 0.016 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc2occc2[nH]1 ZINC001320007604 945715959 /nfs/dbraw/zinc/71/59/59/945715959.db2.gz RUDFFKJZTISUPG-UHFFFAOYSA-N 0 2 318.337 0.789 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc2occc2[nH]1 ZINC001320007604 945715967 /nfs/dbraw/zinc/71/59/67/945715967.db2.gz RUDFFKJZTISUPG-UHFFFAOYSA-N 0 2 318.337 0.789 20 0 DCADLN C[C@H](NC(=O)N1CC[C@H](C(=O)[O-])[C@H]1C)[C@H]1CN(C)CC[N@@H+]1C ZINC001604280451 1169544939 /nfs/dbraw/zinc/54/49/39/1169544939.db2.gz ZYRAQRXPFLQNGQ-QNWHQSFQSA-N 0 2 312.414 0.125 20 0 DCADLN C[C@H](NC(=O)N1CC[C@H](C(=O)[O-])[C@H]1C)[C@H]1CN(C)CC[N@H+]1C ZINC001604280451 1169544948 /nfs/dbraw/zinc/54/49/48/1169544948.db2.gz ZYRAQRXPFLQNGQ-QNWHQSFQSA-N 0 2 312.414 0.125 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cnc3c(cnn3C)c2)n(C)n1 ZINC001321448038 946334896 /nfs/dbraw/zinc/33/48/96/946334896.db2.gz KITDJZDLKMNCPQ-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN C[C@@H](CNC(=O)c1ccccc1O)NC(=O)c1[nH]ncc1F ZINC001410462903 946438774 /nfs/dbraw/zinc/43/87/74/946438774.db2.gz TUZMRGBOZMGYDC-QMMMGPOBSA-N 0 2 306.297 0.803 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ncc[nH]1 ZINC001410701645 946793884 /nfs/dbraw/zinc/79/38/84/946793884.db2.gz FNFIKHOBPSTDDE-ZCFIWIBFSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1ncc[nH]1 ZINC001410701645 946793890 /nfs/dbraw/zinc/79/38/90/946793890.db2.gz FNFIKHOBPSTDDE-ZCFIWIBFSA-N 0 2 308.235 0.689 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)c1c(C)noc1C)C(F)(F)F ZINC001258762099 946863636 /nfs/dbraw/zinc/86/36/36/946863636.db2.gz OLJOJOBIZAYSBK-ZETCQYMHSA-N 0 2 316.257 0.674 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2ccnnc2)cc1 ZINC001259139983 946920384 /nfs/dbraw/zinc/92/03/84/946920384.db2.gz FWCYEOIIALLGPP-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(C3=NCCO3)c2)cn1 ZINC001259827483 946992042 /nfs/dbraw/zinc/99/20/42/946992042.db2.gz BBANRDDEKOZSPW-UHFFFAOYSA-N 0 2 306.347 0.998 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc3c(c2)CCC3)S1 ZINC001259862507 947003428 /nfs/dbraw/zinc/00/34/28/947003428.db2.gz UUJSMNYAOQKXFI-LLVKDONJSA-N 0 2 312.372 0.763 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cc[nH]n2)C1 ZINC001410888623 947089271 /nfs/dbraw/zinc/08/92/71/947089271.db2.gz BZLCKTHZIXTLGX-QMMMGPOBSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001410888623 947089284 /nfs/dbraw/zinc/08/92/84/947089284.db2.gz BZLCKTHZIXTLGX-QMMMGPOBSA-N 0 2 308.235 0.593 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cnc(OCC2CC2)nc1 ZINC001260584940 947116131 /nfs/dbraw/zinc/11/61/31/947116131.db2.gz GLYXOAZSBQVIPB-UHFFFAOYSA-N 0 2 321.380 0.009 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cccc2c1NC(=O)NC2 ZINC001260592362 947122705 /nfs/dbraw/zinc/12/27/05/947122705.db2.gz GDHKAKWRIWOUAC-UHFFFAOYSA-N 0 2 319.364 0.066 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ncc[nH]1 ZINC001411184482 947450780 /nfs/dbraw/zinc/45/07/80/947450780.db2.gz CPKWGRNGMHHXRW-UHFFFAOYSA-N 0 2 307.358 0.216 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ncc[nH]1 ZINC001411184482 947450782 /nfs/dbraw/zinc/45/07/82/947450782.db2.gz CPKWGRNGMHHXRW-UHFFFAOYSA-N 0 2 307.358 0.216 20 0 DCADLN CNC(=O)CCCCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001324555853 947457850 /nfs/dbraw/zinc/45/78/50/947457850.db2.gz XJOODLCAAWXAGI-JTQLQIEISA-N 0 2 309.370 0.523 20 0 DCADLN C/C(=C\C(=O)N1CCC[C@H](C)[C@@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001602734908 971413742 /nfs/dbraw/zinc/41/37/42/971413742.db2.gz BHXFNRNZGGSUHW-YLNVSZPXSA-N 0 2 310.394 0.977 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H](C)[C@@H](C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001602735186 971417248 /nfs/dbraw/zinc/41/72/48/971417248.db2.gz UWZZCWPMZRBQJF-BHBDMTODSA-N 0 2 310.394 0.834 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001273656133 948007850 /nfs/dbraw/zinc/00/78/50/948007850.db2.gz VHVHHPOSJPNBKJ-LBQOUHFTSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)C=CCC1 ZINC001326646417 948230173 /nfs/dbraw/zinc/23/01/73/948230173.db2.gz DHQVZSRYRVYVIG-IAQYHMDHSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)C=CCC1 ZINC001326646419 948230239 /nfs/dbraw/zinc/23/02/39/948230239.db2.gz DHQVZSRYRVYVIG-XHDPSFHLSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001487884384 948324748 /nfs/dbraw/zinc/32/47/48/948324748.db2.gz VSLLRHVEDUKIIV-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN CCOc1n[nH]c(NC(=O)c2ccc(-c3nn[nH]n3)c(O)c2)n1 ZINC001570918915 948579981 /nfs/dbraw/zinc/57/99/81/948579981.db2.gz VTLGJUAQRZCXIZ-UHFFFAOYSA-N 0 2 316.281 0.341 20 0 DCADLN CC(C)(C(=O)[N-]Oc1cccc(-c2nn[nH]n2)c1)c1c[nH]c[nH+]1 ZINC001573783215 949146635 /nfs/dbraw/zinc/14/66/35/949146635.db2.gz YUCXJYLUHACPRN-UHFFFAOYSA-N 0 2 313.321 0.978 20 0 DCADLN C[C@H](NC(=O)CCC(=O)N1CCC(O)CC1)c1nn(C)cc1O ZINC001364396524 949185085 /nfs/dbraw/zinc/18/50/85/949185085.db2.gz INRSOYIHCOUENR-JTQLQIEISA-N 0 2 324.381 0.066 20 0 DCADLN O=C([O-])c1ccc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC001595220470 949236497 /nfs/dbraw/zinc/23/64/97/949236497.db2.gz PABBILJNEFTGCO-OKILXGFUSA-N 0 2 304.346 0.978 20 0 DCADLN O=C([O-])c1ccc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC001595220470 949236513 /nfs/dbraw/zinc/23/65/13/949236513.db2.gz PABBILJNEFTGCO-OKILXGFUSA-N 0 2 304.346 0.978 20 0 DCADLN COC(=O)N1CCC(C(=O)NCCc2n[nH]c(=S)o2)CC1 ZINC001329260167 949467445 /nfs/dbraw/zinc/46/74/45/949467445.db2.gz GWVTYOXCKQGURD-UHFFFAOYSA-N 0 2 314.367 0.495 20 0 DCADLN CCCC(=O)NC1CC[NH+](CN2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001589628014 949518968 /nfs/dbraw/zinc/51/89/68/949518968.db2.gz AXKPOIGAXORVJW-LLVKDONJSA-N 0 2 311.382 0.258 20 0 DCADLN Cc1nocc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364717372 949804573 /nfs/dbraw/zinc/80/45/73/949804573.db2.gz JCYNZFBSWGMGHI-VIFPVBQESA-N 0 2 306.326 0.896 20 0 DCADLN CCCNC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001589677342 950012450 /nfs/dbraw/zinc/01/24/50/950012450.db2.gz JNYHDEFSCVJLRA-LLVKDONJSA-N 0 2 315.370 0.178 20 0 DCADLN CCCNC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001589677342 950012471 /nfs/dbraw/zinc/01/24/71/950012471.db2.gz JNYHDEFSCVJLRA-LLVKDONJSA-N 0 2 315.370 0.178 20 0 DCADLN CCc1nc([C@H](C)NS(=O)(=O)c2csnc2OC)n[nH]1 ZINC001364834282 950041756 /nfs/dbraw/zinc/04/17/56/950041756.db2.gz CDLIRDSNBHGKHM-LURJTMIESA-N 0 2 317.396 0.872 20 0 DCADLN CC(C)(C(=O)[O-])C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001602836828 971663471 /nfs/dbraw/zinc/66/34/71/971663471.db2.gz OVVQOUNRUQSBLX-UHFFFAOYSA-N 0 2 310.354 0.265 20 0 DCADLN O=C([O-])CC1(C(=O)N2CC[NH+](CCn3cncn3)CC2)CCC1 ZINC001594973069 950881127 /nfs/dbraw/zinc/88/11/27/950881127.db2.gz JFUQZNCEXLAVEZ-UHFFFAOYSA-N 0 2 321.381 0.067 20 0 DCADLN O=C([O-])CC1(C(=O)NC2CC[NH+]([C@@H]3CCOC3=O)CC2)CCC1 ZINC001594974526 950906202 /nfs/dbraw/zinc/90/62/02/950906202.db2.gz QQGDVYWRONJGLL-GFCCVEGCSA-N 0 2 324.377 0.528 20 0 DCADLN COC(=O)N1CC2CCC1C(CNC(=O)CC1CCC(=O)N1)C2 ZINC000854983175 951139616 /nfs/dbraw/zinc/13/96/16/951139616.db2.gz WVNVKSCIMDPFGR-RVMXOQNASA-N 0 2 323.393 0.638 20 0 DCADLN Cn1cc(CCNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001365547877 951247699 /nfs/dbraw/zinc/24/76/99/951247699.db2.gz XQOBUGUSTAKABV-LLVKDONJSA-N 0 2 319.369 0.376 20 0 DCADLN COC(=O)[C@@]1(NC(=O)c2cc(F)c(O)c(F)c2)C[C@H](O)C1 ZINC001548773622 1013112014 /nfs/dbraw/zinc/11/20/14/1013112014.db2.gz KQYPHPRKOUCLQM-PPRHIFSWSA-N 0 2 301.245 0.467 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH2+][C@@H]1COc2cc(F)cc(F)c21 ZINC001595124790 952004431 /nfs/dbraw/zinc/00/44/31/952004431.db2.gz GCEBYVFNBQEWPL-SECBINFHSA-N 0 2 321.301 0.487 20 0 DCADLN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)c1cncc(O)c1)C2 ZINC001275624370 952316171 /nfs/dbraw/zinc/31/61/71/952316171.db2.gz XBCPAVSFZFFQHY-UHFFFAOYSA-N 0 2 301.306 0.368 20 0 DCADLN COCCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001446438168 952441768 /nfs/dbraw/zinc/44/17/68/952441768.db2.gz AEADLNLRIRJTQJ-SECBINFHSA-N 0 2 300.252 0.594 20 0 DCADLN COCCC(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001446438168 952441769 /nfs/dbraw/zinc/44/17/69/952441769.db2.gz AEADLNLRIRJTQJ-SECBINFHSA-N 0 2 300.252 0.594 20 0 DCADLN O=C(CS(=O)(=O)C1CC1)Nc1cccc(-c2nnc[nH]2)c1 ZINC001411797741 952456031 /nfs/dbraw/zinc/45/60/31/952456031.db2.gz SUFYHAQQLHCXKJ-UHFFFAOYSA-N 0 2 306.347 0.987 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CCCNC(=O)[C@H]1CCOC1 ZINC001336251714 952667048 /nfs/dbraw/zinc/66/70/48/952667048.db2.gz YHKJPXIYIOXFSK-YPMHNXCESA-N 0 2 322.409 0.698 20 0 DCADLN COc1cc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)ccn1 ZINC001412198643 952690004 /nfs/dbraw/zinc/69/00/04/952690004.db2.gz CEDCTBDWOVSUSZ-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN Cn1ncc2c1n(C)cc(C(=O)NCc1csc(O)n1)c2=O ZINC001344129414 953418179 /nfs/dbraw/zinc/41/81/79/953418179.db2.gz LXLXVPNALKTGRI-UHFFFAOYSA-N 0 2 319.346 0.364 20 0 DCADLN Cn1ncnc1CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001365938374 953437352 /nfs/dbraw/zinc/43/73/52/953437352.db2.gz PYLQKPBWZYZLMI-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CCC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001365938374 953437358 /nfs/dbraw/zinc/43/73/58/953437358.db2.gz PYLQKPBWZYZLMI-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN COCC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCCC1 ZINC001365985677 953523404 /nfs/dbraw/zinc/52/34/04/953523404.db2.gz ZRYLUBVHPDJAMV-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN C[C@H]1C[C@H](c2cccnc2)[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001589295498 953643460 /nfs/dbraw/zinc/64/34/60/953643460.db2.gz SKRMLVZPOVJTKI-WCQYABFASA-N 0 2 312.391 0.964 20 0 DCADLN C[C@H]1C[C@H](c2cccnc2)[N@@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001589295498 953643462 /nfs/dbraw/zinc/64/34/62/953643462.db2.gz SKRMLVZPOVJTKI-WCQYABFASA-N 0 2 312.391 0.964 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001282951319 953818719 /nfs/dbraw/zinc/81/87/19/953818719.db2.gz CWDZAOAURDIZGT-QMMMGPOBSA-N 0 2 310.251 0.649 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001282951319 953818731 /nfs/dbraw/zinc/81/87/31/953818731.db2.gz CWDZAOAURDIZGT-QMMMGPOBSA-N 0 2 310.251 0.649 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ccncc1F ZINC001282954362 953824141 /nfs/dbraw/zinc/82/41/41/953824141.db2.gz CIUFDCUFLAJEHN-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1ccncc1F ZINC001282954362 953824154 /nfs/dbraw/zinc/82/41/54/953824154.db2.gz CIUFDCUFLAJEHN-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cnn1 ZINC001282986729 953878061 /nfs/dbraw/zinc/87/80/61/953878061.db2.gz UAEVHQBDMBGRIK-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cnn1 ZINC001282986729 953878076 /nfs/dbraw/zinc/87/80/76/953878076.db2.gz UAEVHQBDMBGRIK-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN C[C@@H]1CCCN(S(=O)(=O)CCn2cc[nH+]c2)[C@H]1C(=O)[O-] ZINC001589333185 953963015 /nfs/dbraw/zinc/96/30/15/953963015.db2.gz KXLDTVHRKNAGIM-GHMZBOCLSA-N 0 2 301.368 0.398 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ncccn1 ZINC001283563999 954452161 /nfs/dbraw/zinc/45/21/61/954452161.db2.gz VWXRRZBPGSNVLV-QMMMGPOBSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ncccn1 ZINC001283563999 954452166 /nfs/dbraw/zinc/45/21/66/954452166.db2.gz VWXRRZBPGSNVLV-QMMMGPOBSA-N 0 2 322.262 0.955 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CCC[C@H]2C(=O)[O-])C1 ZINC001593787475 954725388 /nfs/dbraw/zinc/72/53/88/954725388.db2.gz LLRBELLPQLQTMM-ZDUSSCGKSA-N 0 2 324.402 0.912 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CCC[C@H]2C(=O)[O-])C1 ZINC001593787475 954725396 /nfs/dbraw/zinc/72/53/96/954725396.db2.gz LLRBELLPQLQTMM-ZDUSSCGKSA-N 0 2 324.402 0.912 20 0 DCADLN C[C@@H]1CO[C@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366748687 954769521 /nfs/dbraw/zinc/76/95/21/954769521.db2.gz JUJABBTVNQWHET-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1CO[C@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366748687 954769532 /nfs/dbraw/zinc/76/95/32/954769532.db2.gz JUJABBTVNQWHET-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C)CC(=O)[O-] ZINC001603002710 972048934 /nfs/dbraw/zinc/04/89/34/972048934.db2.gz GMYVSAWDIKXZJG-JQWIXIFHSA-N 0 2 324.381 0.463 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C)CC(=O)[O-] ZINC001603002710 972048939 /nfs/dbraw/zinc/04/89/39/972048939.db2.gz GMYVSAWDIKXZJG-JQWIXIFHSA-N 0 2 324.381 0.463 20 0 DCADLN CCOCCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001284258058 954961684 /nfs/dbraw/zinc/96/16/84/954961684.db2.gz XZOPTRXAWHELTJ-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCCC(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001284258058 954961697 /nfs/dbraw/zinc/96/16/97/954961697.db2.gz XZOPTRXAWHELTJ-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001284258061 954962958 /nfs/dbraw/zinc/96/29/58/954962958.db2.gz XZOPTRXAWHELTJ-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCCC(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001284258061 954962969 /nfs/dbraw/zinc/96/29/69/954962969.db2.gz XZOPTRXAWHELTJ-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)C[N@H+](CCS(=O)(=O)CC(=O)[O-])Cc1cnn(C)c1 ZINC001603025418 972084833 /nfs/dbraw/zinc/08/48/33/972084833.db2.gz DMFYFJHCYSJJLD-UHFFFAOYSA-N 0 2 317.411 0.378 20 0 DCADLN CC(C)C[N@@H+](CCS(=O)(=O)CC(=O)[O-])Cc1cnn(C)c1 ZINC001603025418 972084838 /nfs/dbraw/zinc/08/48/38/972084838.db2.gz DMFYFJHCYSJJLD-UHFFFAOYSA-N 0 2 317.411 0.378 20 0 DCADLN C[C@@H](CC(=O)O[C@H]1CCCCN(CC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001589007160 955369762 /nfs/dbraw/zinc/36/97/62/955369762.db2.gz DFMYBNYIWAFPOC-RYUDHWBXSA-N 0 2 323.349 0.843 20 0 DCADLN Cc1ccc(C#N)cc1S(=O)(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC000387069609 972101540 /nfs/dbraw/zinc/10/15/40/972101540.db2.gz LVWOMMMCBOCFCM-UHFFFAOYSA-N 0 2 323.374 0.258 20 0 DCADLN COC(=O)c1c(C[N@@H+]2CC[C@H](C(=O)[O-])C2)snc1OC ZINC001593867237 955592472 /nfs/dbraw/zinc/59/24/72/955592472.db2.gz PYTOCLFSFHMNFT-ZETCQYMHSA-N 0 2 300.336 0.845 20 0 DCADLN COC(=O)c1c(C[N@H+]2CC[C@H](C(=O)[O-])C2)snc1OC ZINC001593867237 955592481 /nfs/dbraw/zinc/59/24/81/955592481.db2.gz PYTOCLFSFHMNFT-ZETCQYMHSA-N 0 2 300.336 0.845 20 0 DCADLN C[C@H](CNC(=O)[C@@]1(C(=O)[O-])CCCO1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589042938 955595619 /nfs/dbraw/zinc/59/56/19/955595619.db2.gz BBUBXRSTUOYBIB-MCYUEQNJSA-N 0 2 314.382 0.234 20 0 DCADLN C[C@H](CNC(=O)[C@@]1(C(=O)[O-])CCCO1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589042938 955595632 /nfs/dbraw/zinc/59/56/32/955595632.db2.gz BBUBXRSTUOYBIB-MCYUEQNJSA-N 0 2 314.382 0.234 20 0 DCADLN Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)[C@@]2(C(=O)[O-])CCCO2)C1 ZINC001594555253 955754337 /nfs/dbraw/zinc/75/43/37/955754337.db2.gz FNQOPAKHPIFHSM-MLGOLLRUSA-N 0 2 321.377 0.835 20 0 DCADLN C[C@@H](NC(=O)Nc1cncc(C(=O)[O-])c1)[C@H]1CN(C)CC[N@@H+]1C ZINC001589109320 956060096 /nfs/dbraw/zinc/06/00/96/956060096.db2.gz WMZQCWGKHFVYFN-ZWNOBZJWSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@@H](NC(=O)Nc1cncc(C(=O)[O-])c1)[C@H]1CN(C)CC[N@H+]1C ZINC001589109320 956060107 /nfs/dbraw/zinc/06/01/07/956060107.db2.gz WMZQCWGKHFVYFN-ZWNOBZJWSA-N 0 2 321.381 0.536 20 0 DCADLN CO[C@]1(C(F)(F)F)CC[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001593941843 956284078 /nfs/dbraw/zinc/28/40/78/956284078.db2.gz KDHUAWKEOHNUFQ-GZMMTYOYSA-N 0 2 310.272 0.325 20 0 DCADLN CO[C@]1(C(F)(F)F)CC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001593941843 956284091 /nfs/dbraw/zinc/28/40/91/956284091.db2.gz KDHUAWKEOHNUFQ-GZMMTYOYSA-N 0 2 310.272 0.325 20 0 DCADLN C[C@H](CNC(=O)c1ccc2nccn2c1)NC(=O)c1cnn[nH]1 ZINC001354556601 956429314 /nfs/dbraw/zinc/42/93/14/956429314.db2.gz PDHQHXDZWNKNLG-SECBINFHSA-N 0 2 313.321 0.001 20 0 DCADLN O=C(C=C1CCC1)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001287793711 956473171 /nfs/dbraw/zinc/47/31/71/956473171.db2.gz UOBZICDLOUSXKU-SCZZXKLOSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001287793711 956473178 /nfs/dbraw/zinc/47/31/78/956473178.db2.gz UOBZICDLOUSXKU-SCZZXKLOSA-N 0 2 312.263 0.590 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001593963582 956519086 /nfs/dbraw/zinc/51/90/86/956519086.db2.gz IEZBOULXNOXSDG-UEKVPHQBSA-N 0 2 307.350 0.473 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+]([C@H](C)C(=O)N2CCCCC2)CCO1 ZINC001593981930 956718641 /nfs/dbraw/zinc/71/86/41/956718641.db2.gz CRXZDRIOXDRLLR-DOMZBBRYSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+]([C@H](C)C(=O)N2CCCCC2)CCO1 ZINC001593981930 956718648 /nfs/dbraw/zinc/71/86/48/956718648.db2.gz CRXZDRIOXDRLLR-DOMZBBRYSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2cnn(C3CCC3)c2)CCO1 ZINC001593985392 956768136 /nfs/dbraw/zinc/76/81/36/956768136.db2.gz YHWHLMGFIDGEFZ-OAHLLOKOSA-N 0 2 309.366 0.910 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2cnn(C3CCC3)c2)CCO1 ZINC001593985392 956768150 /nfs/dbraw/zinc/76/81/50/956768150.db2.gz YHWHLMGFIDGEFZ-OAHLLOKOSA-N 0 2 309.366 0.910 20 0 DCADLN C/C=C(/C)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001289644795 956771133 /nfs/dbraw/zinc/77/11/33/956771133.db2.gz MCHUSDZDWRUAPV-ABSFWTIFSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(/C)C(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001289644795 956771138 /nfs/dbraw/zinc/77/11/38/956771138.db2.gz MCHUSDZDWRUAPV-ABSFWTIFSA-N 0 2 312.263 0.541 20 0 DCADLN COCC[N@H+](CCC(=O)[O-])Cc1nc(C(=O)OC)cs1 ZINC001594011291 957001523 /nfs/dbraw/zinc/00/15/23/957001523.db2.gz BPZTYCNZSUMGNM-UHFFFAOYSA-N 0 2 302.352 0.853 20 0 DCADLN COCC[N@@H+](CCC(=O)[O-])Cc1nc(C(=O)OC)cs1 ZINC001594011291 957001538 /nfs/dbraw/zinc/00/15/38/957001538.db2.gz BPZTYCNZSUMGNM-UHFFFAOYSA-N 0 2 302.352 0.853 20 0 DCADLN COCC[N@H+](Cc1ccccn1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001594012574 957009931 /nfs/dbraw/zinc/00/99/31/957009931.db2.gz SPHBVPSWDJQDKV-ZDUSSCGKSA-N 0 2 307.350 0.216 20 0 DCADLN COCC[N@@H+](Cc1ccccn1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001594012574 957009948 /nfs/dbraw/zinc/00/99/48/957009948.db2.gz SPHBVPSWDJQDKV-ZDUSSCGKSA-N 0 2 307.350 0.216 20 0 DCADLN C[C@H](CN(C)C(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)C(=O)[O-] ZINC001603145183 972241700 /nfs/dbraw/zinc/24/17/00/972241700.db2.gz NHRWVSXDGLLMPE-GHMZBOCLSA-N 0 2 322.365 0.295 20 0 DCADLN COCCn1c(C[NH+]2CCCC2)nnc1N1CC[C@@H](C(=O)[O-])C1 ZINC001594024481 957697064 /nfs/dbraw/zinc/69/70/64/957697064.db2.gz OFGAUBWUXSNMFE-GFCCVEGCSA-N 0 2 323.397 0.431 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1conc1C1CC1 ZINC001367533627 957983280 /nfs/dbraw/zinc/98/32/80/957983280.db2.gz RXVRRYQJOIAITO-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1conc1C1CC1 ZINC001367533627 957983289 /nfs/dbraw/zinc/98/32/89/957983289.db2.gz RXVRRYQJOIAITO-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@]1(O)CCc2ccccc2C1 ZINC001296733125 958042815 /nfs/dbraw/zinc/04/28/15/958042815.db2.gz ATUKHLLREFAYLE-OAHLLOKOSA-N 0 2 319.386 0.937 20 0 DCADLN CC(C)C[C@@H](C(=O)N1CCO[C@H](c2nn[nH]n2)C1)n1ccnc1 ZINC001361785088 958326787 /nfs/dbraw/zinc/32/67/87/958326787.db2.gz QXEPRVQBGINYTG-RYUDHWBXSA-N 0 2 319.369 0.584 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361957966 958541525 /nfs/dbraw/zinc/54/15/25/958541525.db2.gz MWQIUYDBRDLLOA-NRFANRHFSA-N 0 2 311.367 0.494 20 0 DCADLN O=C(c1[nH]ncc1C(F)(F)F)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001362128338 958786833 /nfs/dbraw/zinc/78/68/33/958786833.db2.gz YRUBTUWAXZQJAZ-LURJTMIESA-N 0 2 317.231 0.155 20 0 DCADLN Cc1nnc(C[NH2+]C2(CNC(=O)C[N@@H+](C)C(C)C)CCC2)o1 ZINC001376818269 958912395 /nfs/dbraw/zinc/91/23/95/958912395.db2.gz KRKOTHIJAAKNPE-UHFFFAOYSA-N 0 2 309.414 0.847 20 0 DCADLN Cn1nncc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001367941677 958920276 /nfs/dbraw/zinc/92/02/76/958920276.db2.gz VEIAQKWGVDQQSZ-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1nncc1CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001367941677 958920284 /nfs/dbraw/zinc/92/02/84/958920284.db2.gz VEIAQKWGVDQQSZ-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN O=C(NC1(C2CC2)CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362218143 958945918 /nfs/dbraw/zinc/94/59/18/958945918.db2.gz HELFKZHDCXJYNP-UHFFFAOYSA-N 0 2 300.318 0.939 20 0 DCADLN Nc1nccnc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001491638095 958988009 /nfs/dbraw/zinc/98/80/09/958988009.db2.gz HSMKCZMZYMKFRW-SSDOTTSWSA-N 0 2 323.250 0.195 20 0 DCADLN Nc1nccnc1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491638095 958988026 /nfs/dbraw/zinc/98/80/26/958988026.db2.gz HSMKCZMZYMKFRW-SSDOTTSWSA-N 0 2 323.250 0.195 20 0 DCADLN O=C(CCC1CC1)N[C@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001447007896 1013185315 /nfs/dbraw/zinc/18/53/15/1013185315.db2.gz IZAXTUAWDQYASV-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(COc1ccsn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362385652 959258785 /nfs/dbraw/zinc/25/87/85/959258785.db2.gz OKRYVUSKTMNWAM-MRVPVSSYSA-N 0 2 309.351 0.752 20 0 DCADLN Cc1nc(C[N@@H+]2C[C@@H](c3cnn(C)c3)C[C@H](C(=O)[O-])C2)no1 ZINC001594452613 959393289 /nfs/dbraw/zinc/39/32/89/959393289.db2.gz TVJIPEPGUQBOKG-QWRGUYRKSA-N 0 2 305.338 0.802 20 0 DCADLN Cc1nc(C[N@H+]2C[C@@H](c3cnn(C)c3)C[C@H](C(=O)[O-])C2)no1 ZINC001594452613 959393302 /nfs/dbraw/zinc/39/33/02/959393302.db2.gz TVJIPEPGUQBOKG-QWRGUYRKSA-N 0 2 305.338 0.802 20 0 DCADLN CC(C)(C)[C@H](C(=O)[O-])C(=O)N1CC[NH+](CCn2cncn2)CC1 ZINC001588631447 959616545 /nfs/dbraw/zinc/61/65/45/959616545.db2.gz LBSNNQKTQVJMSM-LBPRGKRZSA-N 0 2 323.397 0.169 20 0 DCADLN Cc1ccccc1CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377256025 959804213 /nfs/dbraw/zinc/80/42/13/959804213.db2.gz KWPFFLZFMRQKNA-UHFFFAOYSA-N 0 2 303.366 0.609 20 0 DCADLN Cc1ccccc1CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377256025 959804220 /nfs/dbraw/zinc/80/42/20/959804220.db2.gz KWPFFLZFMRQKNA-UHFFFAOYSA-N 0 2 303.366 0.609 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)Cc1n[nH]c(=O)[n-]1 ZINC001492352883 959869967 /nfs/dbraw/zinc/86/99/67/959869967.db2.gz RYIVMFWTZMFPQB-SDDRHHMPSA-N 0 2 307.398 0.885 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)Cc1n[nH]c(=O)[n-]1 ZINC001492352883 959869976 /nfs/dbraw/zinc/86/99/76/959869976.db2.gz RYIVMFWTZMFPQB-SDDRHHMPSA-N 0 2 307.398 0.885 20 0 DCADLN O=C([C@H]1CCNC(=O)CC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362727467 959899704 /nfs/dbraw/zinc/89/97/04/959899704.db2.gz VBEZEIOJNKEXOW-NXEZZACHSA-N 0 2 307.354 0.133 20 0 DCADLN CC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1cc(OC)no1 ZINC001377311454 959905457 /nfs/dbraw/zinc/90/54/57/959905457.db2.gz ZJTAJDBJKZZETP-UHFFFAOYSA-N 0 2 323.353 0.672 20 0 DCADLN CC[N@@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1cc(OC)no1 ZINC001377311454 959905465 /nfs/dbraw/zinc/90/54/65/959905465.db2.gz ZJTAJDBJKZZETP-UHFFFAOYSA-N 0 2 323.353 0.672 20 0 DCADLN CC[C@@H](CO)N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362771613 959965153 /nfs/dbraw/zinc/96/51/53/959965153.db2.gz YNBGOYGCIZVRCF-JTQLQIEISA-N 0 2 306.322 0.109 20 0 DCADLN Cc1cn2nc(C(=O)N[C@H](C)c3nn(C)cc3O)cc2c(=O)[nH]1 ZINC001304550405 960090707 /nfs/dbraw/zinc/09/07/07/960090707.db2.gz AJEVLCYARUOJNE-MRVPVSSYSA-N 0 2 316.321 0.261 20 0 DCADLN Cc1c(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)cnn1C ZINC001377457947 960135019 /nfs/dbraw/zinc/13/50/19/960135019.db2.gz MKEVFFFLZKJISL-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1c(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)cnn1C ZINC001377457947 960135027 /nfs/dbraw/zinc/13/50/27/960135027.db2.gz MKEVFFFLZKJISL-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCn2cccc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368793420 960279168 /nfs/dbraw/zinc/27/91/68/960279168.db2.gz JOQQIIKBTNPTRW-NEPJUHHUSA-N 0 2 318.381 0.481 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCn2cccc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368793420 960279179 /nfs/dbraw/zinc/27/91/79/960279179.db2.gz JOQQIIKBTNPTRW-NEPJUHHUSA-N 0 2 318.381 0.481 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)NCC[N@@H+](C/C=C/Cl)C1CC1 ZINC001377573155 960298543 /nfs/dbraw/zinc/29/85/43/960298543.db2.gz XMSYQMREVDWROG-DAFODLJHSA-N 0 2 315.761 0.022 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)NCC[N@H+](C/C=C/Cl)C1CC1 ZINC001377573155 960298550 /nfs/dbraw/zinc/29/85/50/960298550.db2.gz XMSYQMREVDWROG-DAFODLJHSA-N 0 2 315.761 0.022 20 0 DCADLN COc1c[nH]c(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1=O ZINC001363055644 960352210 /nfs/dbraw/zinc/35/22/10/960352210.db2.gz NPLNPYKXMRUGOD-QMMMGPOBSA-N 0 2 319.321 0.227 20 0 DCADLN CN1C(=O)CCC[C@@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363056985 960353764 /nfs/dbraw/zinc/35/37/64/960353764.db2.gz UCVAJYUEQDAGID-VHSXEESVSA-N 0 2 307.354 0.227 20 0 DCADLN O=C(CCc1nn[n-]n1)N1CC[NH2+][C@H](Cc2ccccc2)C1 ZINC001363121149 960429989 /nfs/dbraw/zinc/42/99/89/960429989.db2.gz NRGAFDSWTIUQOG-CYBMUJFWSA-N 0 2 300.366 0.175 20 0 DCADLN Cc1nc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)c[nH]1 ZINC001378380747 960672706 /nfs/dbraw/zinc/67/27/06/960672706.db2.gz UXNGVBGXQABENB-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc(C(=O)N2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)c[nH]1 ZINC001378380747 960672718 /nfs/dbraw/zinc/67/27/18/960672718.db2.gz UXNGVBGXQABENB-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001378381705 960673596 /nfs/dbraw/zinc/67/35/96/960673596.db2.gz WVLWBBMFXJOZQQ-BQBZGAKWSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001378381705 960673615 /nfs/dbraw/zinc/67/36/15/960673615.db2.gz WVLWBBMFXJOZQQ-BQBZGAKWSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(N[C@@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1=CCCC1 ZINC001447016134 1013200572 /nfs/dbraw/zinc/20/05/72/1013200572.db2.gz ORMBHNSMLWYWAD-HNNXBMFYSA-N 0 2 321.381 0.064 20 0 DCADLN Cc1ncsc1CC[NH+]1CC(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])C1 ZINC001571107280 961298625 /nfs/dbraw/zinc/29/86/25/961298625.db2.gz YBHVVEBVTUPPJV-GHMZBOCLSA-N 0 2 309.391 0.515 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC2(c3ncccn3)CCC2)S1 ZINC001363537110 961392426 /nfs/dbraw/zinc/39/24/26/961392426.db2.gz DIIAAPDPXGJQIJ-QMMMGPOBSA-N 0 2 305.363 0.528 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H]1COC(=O)N1 ZINC001363559331 961442406 /nfs/dbraw/zinc/44/24/06/961442406.db2.gz JCPWNFQSBMAOOQ-UWVGGRQHSA-N 0 2 317.305 0.019 20 0 DCADLN O=C(CCc1nccs1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378143921 961518684 /nfs/dbraw/zinc/51/86/84/961518684.db2.gz WTRXEWOAMVVUTA-SECBINFHSA-N 0 2 322.394 0.290 20 0 DCADLN C[NH+](C)[C@H](CNS(=O)(=O)[C@H]1C[C@@H](C(=O)[O-])C1)c1ccco1 ZINC001571131745 961563704 /nfs/dbraw/zinc/56/37/04/961563704.db2.gz RNJGYVWJPQIUQS-OUAUKWLOSA-N 0 2 316.379 0.665 20 0 DCADLN C[C@H](CNC(=O)c1cc(Cl)no1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378185408 961618832 /nfs/dbraw/zinc/61/88/32/961618832.db2.gz BPMFOGQAFMEJNC-ZCFIWIBFSA-N 0 2 314.733 0.402 20 0 DCADLN C[C@H](CNC(=O)c1cc(Cl)no1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378185408 961618848 /nfs/dbraw/zinc/61/88/48/961618848.db2.gz BPMFOGQAFMEJNC-ZCFIWIBFSA-N 0 2 314.733 0.402 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H](C)c1nn(C)cc1O)N1CCCC1=O ZINC001363660231 961646405 /nfs/dbraw/zinc/64/64/05/961646405.db2.gz FTGUBOQYTQCPKM-HZMBPMFUSA-N 0 2 308.382 0.950 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2c3ccccc3CC[C@H]2O)S1 ZINC001363687601 961700924 /nfs/dbraw/zinc/70/09/24/961700924.db2.gz MSZRUHWIRMVHTD-WZRBSPASSA-N 0 2 319.386 0.707 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CCC2CCCC2)[nH]1 ZINC001363706924 961731186 /nfs/dbraw/zinc/73/11/86/961731186.db2.gz QGGKMECSOIUGLL-UHFFFAOYSA-N 0 2 314.411 0.936 20 0 DCADLN Cc1cc(C(=O)[O-])nc(NC2CC[NH+]([C@H]3CCOC3=O)CC2)n1 ZINC001571145814 961741839 /nfs/dbraw/zinc/74/18/39/961741839.db2.gz KWQZMOMAIKJWLY-LBPRGKRZSA-N 0 2 320.349 0.675 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC001334026468 961750880 /nfs/dbraw/zinc/75/08/80/961750880.db2.gz WNRDIUUEWAXGCJ-MZBZXASESA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC001334026468 961750892 /nfs/dbraw/zinc/75/08/92/961750892.db2.gz WNRDIUUEWAXGCJ-MZBZXASESA-N 0 2 308.334 0.828 20 0 DCADLN Cc1nonc1C[NH+]1CCC(N(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001571155964 961866638 /nfs/dbraw/zinc/86/66/38/961866638.db2.gz IXSUPLLLSLQRCL-NWDGAFQWSA-N 0 2 322.365 0.522 20 0 DCADLN C[N@H+](CCS(=O)(=O)CC(=O)[O-])C[C@@H](O)Cc1ccccc1 ZINC001574013698 961928658 /nfs/dbraw/zinc/92/86/58/961928658.db2.gz BFHUJUONYKGEFF-ZDUSSCGKSA-N 0 2 315.391 0.021 20 0 DCADLN C[N@@H+](CCS(=O)(=O)CC(=O)[O-])C[C@@H](O)Cc1ccccc1 ZINC001574013698 961928666 /nfs/dbraw/zinc/92/86/66/961928666.db2.gz BFHUJUONYKGEFF-ZDUSSCGKSA-N 0 2 315.391 0.021 20 0 DCADLN CC(=O)N[C@H](C(=O)N[C@H](C)c1nn(C)cc1O)C1CCCC1 ZINC001363828829 961978162 /nfs/dbraw/zinc/97/81/62/961978162.db2.gz IFYGMSRLRNCEID-OTYXRUKQSA-N 0 2 308.382 0.998 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2CO[C@H]2c2ccccc2)S1 ZINC001363858104 962036458 /nfs/dbraw/zinc/03/64/58/962036458.db2.gz ZWMWVNUBPATMMH-SCVCMEIPSA-N 0 2 305.359 0.799 20 0 DCADLN CO[C@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)C(C)C ZINC001430295901 1013328390 /nfs/dbraw/zinc/32/83/90/1013328390.db2.gz MMKGCIFLAYEYMG-IUCAKERBSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@H](C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)C(C)C ZINC001430295901 1013328396 /nfs/dbraw/zinc/32/83/96/1013328396.db2.gz MMKGCIFLAYEYMG-IUCAKERBSA-N 0 2 314.279 0.885 20 0 DCADLN COc1cc(C)c(S(=O)(=O)Nc2nnn(C)n2)cc1OC ZINC001364037188 962341724 /nfs/dbraw/zinc/34/17/24/962341724.db2.gz CMKUZNQJVUPTOF-UHFFFAOYSA-N 0 2 313.339 0.337 20 0 DCADLN O=C(c1c[nH]cc(Cl)c1=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364082604 962434656 /nfs/dbraw/zinc/43/46/56/962434656.db2.gz BMINWOYORHOYCQ-ZETCQYMHSA-N 0 2 323.740 0.872 20 0 DCADLN C[C@@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001364180180 962624129 /nfs/dbraw/zinc/62/41/29/962624129.db2.gz GOXMSTGRWBSUIR-SNVBAGLBSA-N 0 2 318.333 0.517 20 0 DCADLN COc1cccc(OC)c1CC(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001364205030 962667399 /nfs/dbraw/zinc/66/73/99/962667399.db2.gz MRFDLVJUJGPADC-UHFFFAOYSA-N 0 2 319.317 0.764 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C[NH2+]Cc2nnc(C3CC3)[nH]2)c1[O-] ZINC001379351467 962690209 /nfs/dbraw/zinc/69/02/09/962690209.db2.gz UXGLMDMLZANSOB-SSDOTTSWSA-N 0 2 319.369 0.327 20 0 DCADLN Cc1cc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001364219808 962696780 /nfs/dbraw/zinc/69/67/80/962696780.db2.gz RNRWQHCVMSNDCC-SECBINFHSA-N 0 2 305.342 0.631 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001379362742 962728511 /nfs/dbraw/zinc/72/85/11/962728511.db2.gz DCSBKZNSBBDWPS-ZETCQYMHSA-N 0 2 310.207 0.253 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001379362742 962728525 /nfs/dbraw/zinc/72/85/25/962728525.db2.gz DCSBKZNSBBDWPS-ZETCQYMHSA-N 0 2 310.207 0.253 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001379373162 962759133 /nfs/dbraw/zinc/75/91/33/962759133.db2.gz YWWIRNSMLCAWJP-RKDXNWHRSA-N 0 2 314.279 0.573 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001379373162 962759143 /nfs/dbraw/zinc/75/91/43/962759143.db2.gz YWWIRNSMLCAWJP-RKDXNWHRSA-N 0 2 314.279 0.573 20 0 DCADLN CC[C@@H](C)[C@@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364285174 962817940 /nfs/dbraw/zinc/81/79/40/962817940.db2.gz MRWFBEYMSONEMY-RKDXNWHRSA-N 0 2 302.400 0.648 20 0 DCADLN C[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)c1ccccn1 ZINC001364286692 962824637 /nfs/dbraw/zinc/82/46/37/962824637.db2.gz RHYNFQAOIWZONF-VIFPVBQESA-N 0 2 323.378 0.164 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)[C@H]1CC[C@H]1C(=O)[O-])C2 ZINC001574192655 962856381 /nfs/dbraw/zinc/85/63/81/962856381.db2.gz NXDFWLKDQRQEOU-SDDRHHMPSA-N 0 2 321.377 0.268 20 0 DCADLN CC(C)OCC[NH+]1CC(O)(CNC(=O)C[N@H+](C)C2CCC2)C1 ZINC001380603572 963413277 /nfs/dbraw/zinc/41/32/77/963413277.db2.gz VTHWVDWAEAGTRQ-UHFFFAOYSA-N 0 2 313.442 0.059 20 0 DCADLN Cn1c[nH+]c(CCNc2ccc(S(N)(=O)=O)cc2C(=O)[O-])c1 ZINC000313266825 963631965 /nfs/dbraw/zinc/63/19/65/963631965.db2.gz TVEAXWWRMJBGIU-UHFFFAOYSA-N 0 2 324.362 0.420 20 0 DCADLN COc1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(O)c1 ZINC000314139908 963717028 /nfs/dbraw/zinc/71/70/28/963717028.db2.gz SSSHDWWDSQEUKN-NSHDSACASA-N 0 2 305.290 0.550 20 0 DCADLN C[C@H](CNC(=O)C1CCOCC1)NC(=O)C(F)C(F)(F)F ZINC001430408328 1013440272 /nfs/dbraw/zinc/44/02/72/1013440272.db2.gz PVGXPHIFQRXXLP-APPZFPTMSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)C1CCOCC1)NC(=O)[C@H](F)C(F)(F)F ZINC001430408328 1013440277 /nfs/dbraw/zinc/44/02/77/1013440277.db2.gz PVGXPHIFQRXXLP-APPZFPTMSA-N 0 2 314.279 0.934 20 0 DCADLN CC(C)OCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001430454260 1013488147 /nfs/dbraw/zinc/48/81/47/1013488147.db2.gz FGBGGPNDGCVODB-APPZFPTMSA-N 0 2 302.268 0.933 20 0 DCADLN CC(C)OCC(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001430454260 1013488157 /nfs/dbraw/zinc/48/81/57/1013488157.db2.gz FGBGGPNDGCVODB-APPZFPTMSA-N 0 2 302.268 0.933 20 0 DCADLN Cc1nnc(CN2CC([C@H](C)NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001369070826 964362888 /nfs/dbraw/zinc/36/28/88/964362888.db2.gz FFLROVPCMWBFCZ-WKEGUHRASA-N 0 2 323.294 0.950 20 0 DCADLN CS[C@@H](C)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001369111629 964416712 /nfs/dbraw/zinc/41/67/12/964416712.db2.gz QYIDRMKPCQADKK-DTWKUNHWSA-N 0 2 313.427 0.588 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)CNC(=O)C(F)C(F)(F)F ZINC001375602482 964597093 /nfs/dbraw/zinc/59/70/93/964597093.db2.gz UXIJYGPCBBZWOL-IONNQARKSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001375602482 964597103 /nfs/dbraw/zinc/59/71/03/964597103.db2.gz UXIJYGPCBBZWOL-IONNQARKSA-N 0 2 324.278 0.803 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ncc[nH]1 ZINC001373871086 964736107 /nfs/dbraw/zinc/73/61/07/964736107.db2.gz UIXRRPGWERBGOJ-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN C[C@@]1(NC(=O)CC[C@@H]2CCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375818756 964869617 /nfs/dbraw/zinc/86/96/17/964869617.db2.gz GKZUUMQLAZROPU-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(NC(=O)CC[C@@H]2CCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375818756 964869619 /nfs/dbraw/zinc/86/96/19/964869619.db2.gz GKZUUMQLAZROPU-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1cnccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001374077206 964981724 /nfs/dbraw/zinc/98/17/24/964981724.db2.gz KDZAYUYCPYZRDW-UHFFFAOYSA-N 0 2 302.338 0.172 20 0 DCADLN CCC1(C(=O)NC[C@]2(O)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001376051199 965136997 /nfs/dbraw/zinc/13/69/97/965136997.db2.gz OLKOEGWOIMRLFV-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN CCC1(C(=O)NC[C@]2(O)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001376051199 965137008 /nfs/dbraw/zinc/13/70/08/965137008.db2.gz OLKOEGWOIMRLFV-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN C[C@@H](CNC(=O)Cc1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001447813411 1013560262 /nfs/dbraw/zinc/56/02/62/1013560262.db2.gz AAKMIAHHXHGTCD-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)Cc1cnn(C)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001447813411 1013560269 /nfs/dbraw/zinc/56/02/69/1013560269.db2.gz AAKMIAHHXHGTCD-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN COCCC(=O)N(C)[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001369865543 965496329 /nfs/dbraw/zinc/49/63/29/965496329.db2.gz VJQWBWQGTUDAKS-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N(C)[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001369865543 965496339 /nfs/dbraw/zinc/49/63/39/965496339.db2.gz VJQWBWQGTUDAKS-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CCCOC2)C1)C(F)C(F)(F)F ZINC001374666694 965729181 /nfs/dbraw/zinc/72/91/81/965729181.db2.gz YFWMJNFYAGEPOM-APPZFPTMSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CCCOC2)C1)[C@H](F)C(F)(F)F ZINC001374666694 965729183 /nfs/dbraw/zinc/72/91/83/965729183.db2.gz YFWMJNFYAGEPOM-APPZFPTMSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001430589244 1013609413 /nfs/dbraw/zinc/60/94/13/1013609413.db2.gz RVVVIDIOMYSRHG-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001430589244 1013609414 /nfs/dbraw/zinc/60/94/14/1013609414.db2.gz RVVVIDIOMYSRHG-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001370465499 966155528 /nfs/dbraw/zinc/15/55/28/966155528.db2.gz NKYLJCIWYVIOOH-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn[nH]c1)NC(=O)[C@H](F)C(F)(F)F ZINC001370465499 966155530 /nfs/dbraw/zinc/15/55/30/966155530.db2.gz NKYLJCIWYVIOOH-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN CC1(C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)CCC1 ZINC001370757041 966447008 /nfs/dbraw/zinc/44/70/08/966447008.db2.gz HPMWHUYLGXSSOV-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)CCC1 ZINC001370757041 966447013 /nfs/dbraw/zinc/44/70/13/966447013.db2.gz HPMWHUYLGXSSOV-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001447983337 1013684277 /nfs/dbraw/zinc/68/42/77/1013684277.db2.gz ZUFRSCNJNTXVTA-POYBYMJQSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001447983337 1013684282 /nfs/dbraw/zinc/68/42/82/1013684282.db2.gz ZUFRSCNJNTXVTA-POYBYMJQSA-N 0 2 310.251 0.853 20 0 DCADLN O=C(CCCF)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001371463736 967012934 /nfs/dbraw/zinc/01/29/34/967012934.db2.gz LESQMXJNBBJGBW-ZXFLCMHBSA-N 0 2 318.242 0.324 20 0 DCADLN O=C(CCCF)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001371463736 967012939 /nfs/dbraw/zinc/01/29/39/967012939.db2.gz LESQMXJNBBJGBW-ZXFLCMHBSA-N 0 2 318.242 0.324 20 0 DCADLN CC1(C)[C@H](O)C[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001448022054 1013715522 /nfs/dbraw/zinc/71/55/22/1013715522.db2.gz DERAVQAICVUEGE-GHMZBOCLSA-N 0 2 318.333 0.155 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372154300 967688982 /nfs/dbraw/zinc/68/89/82/967688982.db2.gz BQOUNFKNMOMLFQ-MRVPVSSYSA-N 0 2 322.369 0.467 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372154300 967688986 /nfs/dbraw/zinc/68/89/86/967688986.db2.gz BQOUNFKNMOMLFQ-MRVPVSSYSA-N 0 2 322.369 0.467 20 0 DCADLN CCC[C@@H](OC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001373571606 969243255 /nfs/dbraw/zinc/24/32/55/969243255.db2.gz DIWJLGGNUSBYKB-GARJFASQSA-N 0 2 309.370 0.111 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H]1CCCC12CC2)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001448688955 1013997079 /nfs/dbraw/zinc/99/70/79/1013997079.db2.gz YHPPIQKEEWMTMS-NWDGAFQWSA-N 0 2 321.425 0.625 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](CC(=O)N1CCN(C(=O)C2CC2)CC1)C1CC1 ZINC001609708332 970485927 /nfs/dbraw/zinc/48/59/27/970485927.db2.gz DJTYGTKHUOFIBH-NSHDSACASA-N 0 2 323.393 0.005 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](CC(=O)N1CCN(C(=O)C2CC2)CC1)C1CC1 ZINC001609708332 970485935 /nfs/dbraw/zinc/48/59/35/970485935.db2.gz DJTYGTKHUOFIBH-NSHDSACASA-N 0 2 323.393 0.005 20 0 DCADLN COc1cccc(OC)c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000319212607 970514612 /nfs/dbraw/zinc/51/46/12/970514612.db2.gz GVYNMLYOPDFPGC-SNVBAGLBSA-N 0 2 319.317 0.853 20 0 DCADLN COc1cccc(OC)c1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000319212607 970514623 /nfs/dbraw/zinc/51/46/23/970514623.db2.gz GVYNMLYOPDFPGC-SNVBAGLBSA-N 0 2 319.317 0.853 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000320931417 970730242 /nfs/dbraw/zinc/73/02/42/970730242.db2.gz WLJYAULNHBZKCP-NSHDSACASA-N 0 2 322.252 0.883 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000320931417 970730248 /nfs/dbraw/zinc/73/02/48/970730248.db2.gz WLJYAULNHBZKCP-NSHDSACASA-N 0 2 322.252 0.883 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)CCC2CCC2)CC1 ZINC000394394994 972709726 /nfs/dbraw/zinc/70/97/26/972709726.db2.gz ARYUKOCDJYQWOI-UHFFFAOYSA-N 0 2 304.412 0.599 20 0 DCADLN O=C([O-])c1ccc([N+](=O)[O-])c(NC[C@@H]2C[NH+]3CCN2CC3)n1 ZINC000394693530 972740782 /nfs/dbraw/zinc/74/07/82/972740782.db2.gz LQTPJJKLGVFXDQ-SECBINFHSA-N 0 2 307.310 0.100 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CC1(O)CCC1 ZINC001448917016 1014095433 /nfs/dbraw/zinc/09/54/33/1014095433.db2.gz GVJAOKJITSSTKP-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)CC1(O)CCC1 ZINC001448917016 1014095435 /nfs/dbraw/zinc/09/54/35/1014095435.db2.gz GVJAOKJITSSTKP-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NCCC(C)(C)C(=O)[O-] ZINC001603460212 973281498 /nfs/dbraw/zinc/28/14/98/973281498.db2.gz ARMSOEYLQDLGKF-NEPJUHHUSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NCCC(C)(C)C(=O)[O-] ZINC001603460212 973281504 /nfs/dbraw/zinc/28/15/04/973281504.db2.gz ARMSOEYLQDLGKF-NEPJUHHUSA-N 0 2 315.414 0.896 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000401807102 973402941 /nfs/dbraw/zinc/40/29/41/973402941.db2.gz ARJWNFFYRJANGE-ZJUUUORDSA-N 0 2 323.305 0.301 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000401807102 973402945 /nfs/dbraw/zinc/40/29/45/973402945.db2.gz ARJWNFFYRJANGE-ZJUUUORDSA-N 0 2 323.305 0.301 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC000401882599 973490008 /nfs/dbraw/zinc/49/00/08/973490008.db2.gz MWJNGQRHENWJJT-PSASIEDQSA-N 0 2 314.288 0.671 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC000401882599 973490009 /nfs/dbraw/zinc/49/00/09/973490009.db2.gz MWJNGQRHENWJJT-PSASIEDQSA-N 0 2 314.288 0.671 20 0 DCADLN CC(C)NC(=O)C[NH2+][C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@H]1C ZINC001448987265 1014119544 /nfs/dbraw/zinc/11/95/44/1014119544.db2.gz SNUNIODTYOSTCE-GXTWGEPZSA-N 0 2 321.425 0.446 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](Cc2nc3ccccc3c(=O)[nH]2)C1 ZINC000401952774 973558876 /nfs/dbraw/zinc/55/88/76/973558876.db2.gz NKKYIJCTRRTAQK-NXEZZACHSA-N 0 2 303.318 0.603 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](Cc2nc3ccccc3c(=O)[nH]2)C1 ZINC000401952774 973558885 /nfs/dbraw/zinc/55/88/85/973558885.db2.gz NKKYIJCTRRTAQK-NXEZZACHSA-N 0 2 303.318 0.603 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCCO1)C(=O)C(F)C(F)(F)F ZINC001527898063 1014131424 /nfs/dbraw/zinc/13/14/24/1014131424.db2.gz HATGQLRXBNNZAY-YUMQZZPRSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCCO1)C(=O)[C@H](F)C(F)(F)F ZINC001527898063 1014131429 /nfs/dbraw/zinc/13/14/29/1014131429.db2.gz HATGQLRXBNNZAY-YUMQZZPRSA-N 0 2 300.252 0.640 20 0 DCADLN CCC[N@H+]([C@H](C)C(=O)OCC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001603655291 974029889 /nfs/dbraw/zinc/02/98/89/974029889.db2.gz ZSKKZIRXIJKVDF-MNOVXSKESA-N 0 2 300.355 0.336 20 0 DCADLN CCC[N@@H+]([C@H](C)C(=O)OCC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001603655291 974029902 /nfs/dbraw/zinc/02/99/02/974029902.db2.gz ZSKKZIRXIJKVDF-MNOVXSKESA-N 0 2 300.355 0.336 20 0 DCADLN COC1CC(C(=O)NCCNC(=O)C(F)C(F)(F)F)C1 ZINC001522687477 1014291774 /nfs/dbraw/zinc/29/17/74/1014291774.db2.gz JUFURAJCAWFRBK-KAVNDROISA-N 0 2 300.252 0.544 20 0 DCADLN COC1CC(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001522687477 1014291787 /nfs/dbraw/zinc/29/17/87/1014291787.db2.gz JUFURAJCAWFRBK-KAVNDROISA-N 0 2 300.252 0.544 20 0 DCADLN CCC[C@@H](OC)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001522689178 1014299639 /nfs/dbraw/zinc/29/96/39/1014299639.db2.gz RGXUKGGAQWBODO-HTQZYQBOSA-N 0 2 302.268 0.934 20 0 DCADLN CCC[C@@H](OC)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522689178 1014299649 /nfs/dbraw/zinc/29/96/49/1014299649.db2.gz RGXUKGGAQWBODO-HTQZYQBOSA-N 0 2 302.268 0.934 20 0 DCADLN CN(CCNC(=O)Cc1[nH]cc[nH+]1)C(=O)[C@H]1CCCC[N@@H+]1C ZINC001528566262 1014343433 /nfs/dbraw/zinc/34/34/33/1014343433.db2.gz FHNRGLIKLNIIOM-GFCCVEGCSA-N 0 2 307.398 0.011 20 0 DCADLN CC(C)c1nnc(C[NH2+]C2(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)[nH]1 ZINC001592019345 976704497 /nfs/dbraw/zinc/70/44/97/976704497.db2.gz CFIFDPNZXJMHHG-UWVGGRQHSA-N 0 2 321.381 0.387 20 0 DCADLN CCN(CCNC(=O)[C@H](C)OC)C(=O)C(F)C(F)(F)F ZINC001449318887 1014406320 /nfs/dbraw/zinc/40/63/20/1014406320.db2.gz ONIXNGROYTZCQP-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(CCNC(=O)[C@H](C)OC)C(=O)[C@@H](F)C(F)(F)F ZINC001449318887 1014406328 /nfs/dbraw/zinc/40/63/28/1014406328.db2.gz ONIXNGROYTZCQP-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN Cn1cncc1CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001522936211 1014479148 /nfs/dbraw/zinc/47/91/48/1014479148.db2.gz TUBNMYROOWHUHA-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN O=C(NCCCNC(=O)c1ccc2nccn2c1)c1cnn[nH]1 ZINC001522963594 1014494609 /nfs/dbraw/zinc/49/46/09/1014494609.db2.gz ZXVCLRMQMFBTIJ-UHFFFAOYSA-N 0 2 313.321 0.002 20 0 DCADLN CCC(CC)[C@@H](C(=O)NC[C@H](F)C(=O)[O-])[NH+]1CCOCC1 ZINC001595105404 979422175 /nfs/dbraw/zinc/42/21/75/979422175.db2.gz MFZJIOIHYTYFPQ-RYUDHWBXSA-N 0 2 304.362 0.662 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530422781 1014741407 /nfs/dbraw/zinc/74/14/07/1014741407.db2.gz GIOZQDYFTZMOLE-SFYZADRCSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001530422781 1014741420 /nfs/dbraw/zinc/74/14/20/1014741420.db2.gz GIOZQDYFTZMOLE-SFYZADRCSA-N 0 2 300.252 0.231 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)CSCC[NH+]1CCOCC1)C(=O)[O-] ZINC001594900836 982352415 /nfs/dbraw/zinc/35/24/15/982352415.db2.gz BMPBDVJIOCAVBV-WCQYABFASA-N 0 2 318.439 0.667 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)CSCC[NH+]1CCOCC1)C(=O)[O-] ZINC001594900835 982352575 /nfs/dbraw/zinc/35/25/75/982352575.db2.gz BMPBDVJIOCAVBV-DGCLKSJQSA-N 0 2 318.439 0.667 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@H+](CCC(=O)[O-])C[C@@H]2C)n[nH]1 ZINC001596052530 983352317 /nfs/dbraw/zinc/35/23/17/983352317.db2.gz CVAPMNQRVLKOKG-NSHDSACASA-N 0 2 308.382 0.983 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@@H+](CCC(=O)[O-])C[C@@H]2C)n[nH]1 ZINC001596052530 983352320 /nfs/dbraw/zinc/35/23/20/983352320.db2.gz CVAPMNQRVLKOKG-NSHDSACASA-N 0 2 308.382 0.983 20 0 DCADLN CCn1ccnc1C(=O)N(C)C[C@H]1OCC[C@@H]1c1n[nH]c(C)n1 ZINC001551459731 1015093908 /nfs/dbraw/zinc/09/39/08/1015093908.db2.gz LBKFKHRZEQNBRF-NWDGAFQWSA-N 0 2 318.381 0.974 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2cncnc2C)n[nH]1 ZINC001551461199 1015095918 /nfs/dbraw/zinc/09/59/18/1015095918.db2.gz QXOZXQBMXICHJC-YPMHNXCESA-N 0 2 316.365 0.856 20 0 DCADLN CC(=O)NC1CCC(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])CC1 ZINC001589118301 985375246 /nfs/dbraw/zinc/37/52/46/985375246.db2.gz MTXLGHTWLUEJSA-WXRRBKDZSA-N 0 2 322.365 0.147 20 0 DCADLN CCO[C@@H]1C[C@@](NC(=O)C[C@@H]([NH3+])C(F)F)(C(=O)[O-])C1(C)C ZINC001596818148 985401348 /nfs/dbraw/zinc/40/13/48/985401348.db2.gz KMDSQPBTZQOCKQ-OTPXZMOZSA-N 0 2 308.325 0.744 20 0 DCADLN Cc1[nH]c(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c(C)c1C(=O)[O-] ZINC001599953122 985644373 /nfs/dbraw/zinc/64/43/73/985644373.db2.gz OHEXIIBIZVKGFH-TXEJJXNPSA-N 0 2 321.377 0.923 20 0 DCADLN Cc1[nH]c(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c(C)c1C(=O)[O-] ZINC001599953122 985644381 /nfs/dbraw/zinc/64/43/81/985644381.db2.gz OHEXIIBIZVKGFH-TXEJJXNPSA-N 0 2 321.377 0.923 20 0 DCADLN C[C@@H]1C[N@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C[C@@H](C2CC2)O1 ZINC001594509435 985775770 /nfs/dbraw/zinc/77/57/70/985775770.db2.gz YLLXMJTVMVCNAK-RISCZKNCSA-N 0 2 310.394 0.809 20 0 DCADLN C[C@@H]1C[N@@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C[C@@H](C2CC2)O1 ZINC001594509435 985775780 /nfs/dbraw/zinc/77/57/80/985775780.db2.gz YLLXMJTVMVCNAK-RISCZKNCSA-N 0 2 310.394 0.809 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@H](O)C2CCC2)n[nH]1 ZINC001551656514 1015166024 /nfs/dbraw/zinc/16/60/24/1015166024.db2.gz CQMXVQMGQSDOAM-AGIUHOORSA-N 0 2 308.382 0.605 20 0 DCADLN CCC1(C(=O)N(C)C[C@H]2OCC[C@H]2c2n[nH]c(C)n2)COC1 ZINC001551664472 1015168614 /nfs/dbraw/zinc/16/86/14/1015168614.db2.gz DOPZVDNEBFRXTE-VXGBXAGGSA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2cnns2)n[nH]1 ZINC001551670306 1015174584 /nfs/dbraw/zinc/17/45/84/1015174584.db2.gz VAKMMOBRTBWQNO-IUCAKERBSA-N 0 2 308.367 0.609 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2cc(C)ncn2)n[nH]1 ZINC001551679688 1015175464 /nfs/dbraw/zinc/17/54/64/1015175464.db2.gz ZLPKOVJRNSBVBZ-WCQYABFASA-N 0 2 316.365 0.856 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)c1csc(CN)n1 ZINC001594521120 985987419 /nfs/dbraw/zinc/98/74/19/985987419.db2.gz BZCDBWQCZRFWDY-VIFPVBQESA-N 0 2 312.395 0.223 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1csc(CN)n1 ZINC001594521120 985987430 /nfs/dbraw/zinc/98/74/30/985987430.db2.gz BZCDBWQCZRFWDY-VIFPVBQESA-N 0 2 312.395 0.223 20 0 DCADLN C[C@H]1C[N@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C[C@@H]1O ZINC001594547147 986221870 /nfs/dbraw/zinc/22/18/70/986221870.db2.gz GSPVTXOWHLQWCX-UFBFGSQYSA-N 0 2 303.318 0.846 20 0 DCADLN C[C@H]1C[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C[C@@H]1O ZINC001594547147 986221879 /nfs/dbraw/zinc/22/18/79/986221879.db2.gz GSPVTXOWHLQWCX-UFBFGSQYSA-N 0 2 303.318 0.846 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccncn2)C1)C(F)C(F)(F)F ZINC001385575571 986442918 /nfs/dbraw/zinc/44/29/18/986442918.db2.gz BEQJTHADIWEQLJ-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccncn2)C1)[C@H](F)C(F)(F)F ZINC001385575571 986442924 /nfs/dbraw/zinc/44/29/24/986442924.db2.gz BEQJTHADIWEQLJ-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@@H+]1CCNC(=O)CC1 ZINC001589414255 986628686 /nfs/dbraw/zinc/62/86/86/986628686.db2.gz COWTVPMQLDAJHU-NSHDSACASA-N 0 2 319.361 0.211 20 0 DCADLN C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+]1CCNC(=O)CC1 ZINC001589414255 986628689 /nfs/dbraw/zinc/62/86/89/986628689.db2.gz COWTVPMQLDAJHU-NSHDSACASA-N 0 2 319.361 0.211 20 0 DCADLN CCCC(=O)N(C)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001388130540 988077169 /nfs/dbraw/zinc/07/71/69/988077169.db2.gz ZFXPLHUVRGTLIT-UHFFFAOYSA-N 0 2 318.381 0.799 20 0 DCADLN O=C(NC1CN(C(=O)C2CCOCC2)C1)C(F)C(F)(F)F ZINC001387747172 988112100 /nfs/dbraw/zinc/11/21/00/988112100.db2.gz IFPFIGLOANCHMG-SECBINFHSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)C2CCOCC2)C1)[C@@H](F)C(F)(F)F ZINC001387747172 988112102 /nfs/dbraw/zinc/11/21/02/988112102.db2.gz IFPFIGLOANCHMG-SECBINFHSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001387815468 988177913 /nfs/dbraw/zinc/17/79/13/988177913.db2.gz BGBSNOQNJFJWCS-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001387815468 988177921 /nfs/dbraw/zinc/17/79/21/988177921.db2.gz BGBSNOQNJFJWCS-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cc(C)c(CNC(=O)N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)c[nH+]1 ZINC001552398484 1015417341 /nfs/dbraw/zinc/41/73/41/1015417341.db2.gz KEWLGHUVYZUQDK-BBRMVZONSA-N 0 2 319.361 0.941 20 0 DCADLN Cc1cc(CNC(=O)N2C[C@H]3COC[C@]3(C(=O)[O-])C2)cc(C)[nH+]1 ZINC001552399813 1015417560 /nfs/dbraw/zinc/41/75/60/1015417560.db2.gz ROPUDYMKQJAYRZ-XJKSGUPXSA-N 0 2 319.361 0.941 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NC(C)(C)C[NH+]2CCOCC2)O[C@H]1C(=O)[O-] ZINC001599874232 989397010 /nfs/dbraw/zinc/39/70/10/989397010.db2.gz XKWMJMUDYGNKSS-IJLUTSLNSA-N 0 2 314.382 0.092 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CCC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001590328568 989762130 /nfs/dbraw/zinc/76/21/30/989762130.db2.gz DQAJOKQLJPSDJI-SNVBAGLBSA-N 0 2 310.354 0.988 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CCC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001590328568 989762135 /nfs/dbraw/zinc/76/21/35/989762135.db2.gz DQAJOKQLJPSDJI-SNVBAGLBSA-N 0 2 310.354 0.988 20 0 DCADLN Cn1nnc(CN2CCC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001391060237 990551037 /nfs/dbraw/zinc/55/10/37/990551037.db2.gz XHJNGKSICGOZJT-VXNVDRBHSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CCC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001391060237 990551044 /nfs/dbraw/zinc/55/10/44/990551044.db2.gz XHJNGKSICGOZJT-VXNVDRBHSA-N 0 2 324.282 0.191 20 0 DCADLN O=C(CCc1ccoc1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391387572 990796200 /nfs/dbraw/zinc/79/62/00/990796200.db2.gz INKURRJQNVPWNB-LBPRGKRZSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)F)CC1 ZINC001391577391 990970595 /nfs/dbraw/zinc/97/05/95/990970595.db2.gz SXLZGWHJCHYRDU-SSDOTTSWSA-N 0 2 301.297 0.246 20 0 DCADLN CC[C@@H](SC)C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391619736 991022603 /nfs/dbraw/zinc/02/26/03/991022603.db2.gz PNJWNFRASSKLNH-VHSXEESVSA-N 0 2 313.427 0.685 20 0 DCADLN CC[C@@H](SC)C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391619725 991024560 /nfs/dbraw/zinc/02/45/60/991024560.db2.gz PNJWNFRASSKLNH-NXEZZACHSA-N 0 2 313.427 0.685 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)NCC2(C(=O)[O-])CC2)n1 ZINC001598255262 991057898 /nfs/dbraw/zinc/05/78/98/991057898.db2.gz BIRQESXJRBYATL-UHFFFAOYSA-N 0 2 312.395 0.869 20 0 DCADLN COc1cc[nH+]c(CN2CC(NC(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)c1 ZINC001599721054 991538966 /nfs/dbraw/zinc/53/89/66/991538966.db2.gz DISOEBZGRJXDKT-CHWSQXEVSA-N 0 2 305.334 0.111 20 0 DCADLN CC(C)CC(=O)N1CC[NH+](CCc2cn(CC(=O)[O-])nn2)CC1 ZINC001591106366 991738485 /nfs/dbraw/zinc/73/84/85/991738485.db2.gz GHINCPMDYABLBP-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN C[C@H](CNC(=O)Cc1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001392391371 991860051 /nfs/dbraw/zinc/86/00/51/991860051.db2.gz QDKMVXSLMRFGOQ-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)Cc1ccnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001392391371 991860059 /nfs/dbraw/zinc/86/00/59/991860059.db2.gz QDKMVXSLMRFGOQ-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN CCN(CC[NH2+][C@H]1CCCNC1=O)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001392451545 991947019 /nfs/dbraw/zinc/94/70/19/991947019.db2.gz AZOWRSWTJUPQBQ-KBPBESRZSA-N 0 2 321.425 0.551 20 0 DCADLN CS(=O)(=O)CC[N@@H+]1C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C1 ZINC001599751404 991996269 /nfs/dbraw/zinc/99/62/69/991996269.db2.gz LKCDTNVPGFVKML-SFYZADRCSA-N 0 2 303.302 0.616 20 0 DCADLN CS(=O)(=O)CC[N@H+]1C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C1 ZINC001599751404 991996276 /nfs/dbraw/zinc/99/62/76/991996276.db2.gz LKCDTNVPGFVKML-SFYZADRCSA-N 0 2 303.302 0.616 20 0 DCADLN Cc1cc(C[N@@H+](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])nn1C ZINC001392652670 992209047 /nfs/dbraw/zinc/20/90/47/992209047.db2.gz SNPKCCZWOHOJNR-SECBINFHSA-N 0 2 320.397 0.716 20 0 DCADLN Cc1cc(C[N@H+](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])nn1C ZINC001392652670 992209060 /nfs/dbraw/zinc/20/90/60/992209060.db2.gz SNPKCCZWOHOJNR-SECBINFHSA-N 0 2 320.397 0.716 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001392824147 992429333 /nfs/dbraw/zinc/42/93/33/992429333.db2.gz SKCFELGYOSSIHV-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001392824147 992429338 /nfs/dbraw/zinc/42/93/38/992429338.db2.gz SKCFELGYOSSIHV-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN CN1CC(=O)N(CC[N@@H+]2Cc3ccc(C(=O)[O-])cc3C2)C1=O ZINC001598559685 993077577 /nfs/dbraw/zinc/07/75/77/993077577.db2.gz DNWQPLQLLPZYBG-UHFFFAOYSA-N 0 2 303.318 0.594 20 0 DCADLN CN1CC(=O)N(CC[N@H+]2Cc3ccc(C(=O)[O-])cc3C2)C1=O ZINC001598559685 993077580 /nfs/dbraw/zinc/07/75/80/993077580.db2.gz DNWQPLQLLPZYBG-UHFFFAOYSA-N 0 2 303.318 0.594 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cn[nH]c1 ZINC001393679679 993174541 /nfs/dbraw/zinc/17/45/41/993174541.db2.gz UPIBGQJNHUDRPB-SSDOTTSWSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1cn[nH]c1 ZINC001393679679 993174548 /nfs/dbraw/zinc/17/45/48/993174548.db2.gz UPIBGQJNHUDRPB-SSDOTTSWSA-N 0 2 308.235 0.689 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1(CNC(=O)c2ccnnc2)CC1 ZINC001393864094 993303481 /nfs/dbraw/zinc/30/34/81/993303481.db2.gz GHJSHFGZVXEOSK-UHFFFAOYSA-N 0 2 314.349 0.129 20 0 DCADLN C[C@@H](CNC(=O)[C@]12CCC[C@H]1OCC2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394082312 993463467 /nfs/dbraw/zinc/46/34/67/993463467.db2.gz JQDFJRLWVFMUIM-RWSFTLGLSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](CNC(=O)[C@]12CCC[C@H]1OCC2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394082312 993463472 /nfs/dbraw/zinc/46/34/72/993463472.db2.gz JQDFJRLWVFMUIM-RWSFTLGLSA-N 0 2 323.397 0.406 20 0 DCADLN CC(=O)NC1(CCO)CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001394140444 993510581 /nfs/dbraw/zinc/51/05/81/993510581.db2.gz FQTUWFGFAZDFDY-UHFFFAOYSA-N 0 2 322.390 0.470 20 0 DCADLN CC[C@@H]1C[C@@H](C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001399628863 993618266 /nfs/dbraw/zinc/61/82/66/993618266.db2.gz IQZHGPQHPZGAIC-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@@H]1C[C@@H](C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001399628863 993618270 /nfs/dbraw/zinc/61/82/70/993618270.db2.gz IQZHGPQHPZGAIC-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(Cc1cccnc1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399828341 993821438 /nfs/dbraw/zinc/82/14/38/993821438.db2.gz JPDULJNNYRDJAK-LBPRGKRZSA-N 0 2 316.365 0.229 20 0 DCADLN O=C(Cc1cccnc1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399828341 993821441 /nfs/dbraw/zinc/82/14/41/993821441.db2.gz JPDULJNNYRDJAK-LBPRGKRZSA-N 0 2 316.365 0.229 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)Nc2ccc3cnn(CC(=O)[O-])c3c2)C1 ZINC001598623688 994051253 /nfs/dbraw/zinc/05/12/53/994051253.db2.gz NPPCIXKPMREBFQ-ZDUSSCGKSA-N 0 2 318.333 0.390 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)Nc2ccc3cnn(CC(=O)[O-])c3c2)C1 ZINC001598623688 994051258 /nfs/dbraw/zinc/05/12/58/994051258.db2.gz NPPCIXKPMREBFQ-ZDUSSCGKSA-N 0 2 318.333 0.390 20 0 DCADLN CCn1ncc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001400346199 994430072 /nfs/dbraw/zinc/43/00/72/994430072.db2.gz FSYCVCCRNHGEIO-VIFPVBQESA-N 0 2 309.267 0.499 20 0 DCADLN CCn1ncc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001400346199 994430077 /nfs/dbraw/zinc/43/00/77/994430077.db2.gz FSYCVCCRNHGEIO-VIFPVBQESA-N 0 2 309.267 0.499 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCC[C@H](C(=O)[O-])C1 ZINC001598655687 994517636 /nfs/dbraw/zinc/51/76/36/994517636.db2.gz MFGSGHVFUAXJLZ-NHCYSSNCSA-N 0 2 322.365 0.074 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCC[C@H](C(=O)[O-])C1 ZINC001598655687 994517652 /nfs/dbraw/zinc/51/76/52/994517652.db2.gz MFGSGHVFUAXJLZ-NHCYSSNCSA-N 0 2 322.365 0.074 20 0 DCADLN CCCC[C@@](C)(F)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400507844 994600028 /nfs/dbraw/zinc/60/00/28/994600028.db2.gz BICHJIIIFUJVRD-CQSZACIVSA-N 0 2 313.377 0.977 20 0 DCADLN CCCC[C@H](C(N)=O)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[NH+](C)CCC ZINC001400593425 994685505 /nfs/dbraw/zinc/68/55/05/994685505.db2.gz SBKVRPQWQWFXBJ-SOUVJXGZSA-N 0 2 324.469 0.657 20 0 DCADLN CNS(=O)(=O)[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])c(C)[nH]2)C1 ZINC001598669298 994727675 /nfs/dbraw/zinc/72/76/75/994727675.db2.gz JTBRKBXEDQIHQK-SNVBAGLBSA-N 0 2 301.368 0.145 20 0 DCADLN CNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cc(C(=O)[O-])c(C)[nH]2)C1 ZINC001598669298 994727682 /nfs/dbraw/zinc/72/76/82/994727682.db2.gz JTBRKBXEDQIHQK-SNVBAGLBSA-N 0 2 301.368 0.145 20 0 DCADLN CNc1cc(N2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)nc[nH+]1 ZINC001598678344 994884860 /nfs/dbraw/zinc/88/48/60/994884860.db2.gz QXAMVWOYTDKLOB-VIFPVBQESA-N 0 2 303.326 0.650 20 0 DCADLN CNc1cc(N2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)[nH+]cn1 ZINC001598678344 994884868 /nfs/dbraw/zinc/88/48/68/994884868.db2.gz QXAMVWOYTDKLOB-VIFPVBQESA-N 0 2 303.326 0.650 20 0 DCADLN CC(C)(C)CC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395692235 994890111 /nfs/dbraw/zinc/89/01/11/994890111.db2.gz NNXYUKJKGDYOLT-VXNVDRBHSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001395692235 994890116 /nfs/dbraw/zinc/89/01/16/994890116.db2.gz NNXYUKJKGDYOLT-VXNVDRBHSA-N 0 2 316.295 0.916 20 0 DCADLN COC(=O)[C@H](c1cccc(OC)c1)[N@@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001598715335 995399214 /nfs/dbraw/zinc/39/92/14/995399214.db2.gz DJBNRQHEAQEGRO-OBJOEFQTSA-N 0 2 323.345 0.677 20 0 DCADLN COC(=O)[C@H](c1cccc(OC)c1)[N@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001598715335 995399218 /nfs/dbraw/zinc/39/92/18/995399218.db2.gz DJBNRQHEAQEGRO-OBJOEFQTSA-N 0 2 323.345 0.677 20 0 DCADLN COC(=O)C1([NH2+]CCc2cn(CC(=O)[O-])nn2)CCCCCC1 ZINC001598726099 995520730 /nfs/dbraw/zinc/52/07/30/995520730.db2.gz XMSYXALRBTXZGS-UHFFFAOYSA-N 0 2 324.381 0.761 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001396747430 995581697 /nfs/dbraw/zinc/58/16/97/995581697.db2.gz YSAUQBXSXWTINP-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001396747430 995581708 /nfs/dbraw/zinc/58/17/08/995581708.db2.gz YSAUQBXSXWTINP-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCN1CCOC[C@H]1C ZINC001598757694 995740299 /nfs/dbraw/zinc/74/02/99/995740299.db2.gz JSEDQIZDJLJUKW-AGIUHOORSA-N 0 2 314.382 0.045 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCN1CCOC[C@H]1C ZINC001598757694 995740306 /nfs/dbraw/zinc/74/03/06/995740306.db2.gz JSEDQIZDJLJUKW-AGIUHOORSA-N 0 2 314.382 0.045 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])CN1C(=O)CCc1[nH+]ccn1C ZINC001598769966 995874991 /nfs/dbraw/zinc/87/49/91/995874991.db2.gz VLIRUYJXOCIUMQ-WDEREUQCSA-N 0 2 323.349 0.218 20 0 DCADLN C[C@H](Oc1ccccc1C[N@@H+]1CCO[C@H](CC(N)=O)C1)C(=O)[O-] ZINC001593646386 995984248 /nfs/dbraw/zinc/98/42/48/995984248.db2.gz QUCXVSXPKFAVDK-WCQYABFASA-N 0 2 322.361 0.615 20 0 DCADLN C[C@H](Oc1ccccc1C[N@H+]1CCO[C@H](CC(N)=O)C1)C(=O)[O-] ZINC001593646386 995984254 /nfs/dbraw/zinc/98/42/54/995984254.db2.gz QUCXVSXPKFAVDK-WCQYABFASA-N 0 2 322.361 0.615 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001397647561 996114998 /nfs/dbraw/zinc/11/49/98/996114998.db2.gz MVEIUGZOASFURH-GXTWGEPZSA-N 0 2 319.409 0.011 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@]1(F)CCOC1 ZINC001397936948 996267111 /nfs/dbraw/zinc/26/71/11/996267111.db2.gz HCTKILULPYJERO-XCBNKYQSSA-N 0 2 318.242 0.590 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@]1(F)CCOC1 ZINC001397936948 996267115 /nfs/dbraw/zinc/26/71/15/996267115.db2.gz HCTKILULPYJERO-XCBNKYQSSA-N 0 2 318.242 0.590 20 0 DCADLN C[C@@H](Cc1ccccc1)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492867208 996350645 /nfs/dbraw/zinc/35/06/45/996350645.db2.gz MJWUGKHEILIYJD-LBPRGKRZSA-N 0 2 317.393 0.937 20 0 DCADLN C[C@@H](Cc1ccccc1)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492867208 996350647 /nfs/dbraw/zinc/35/06/47/996350647.db2.gz MJWUGKHEILIYJD-LBPRGKRZSA-N 0 2 317.393 0.937 20 0 DCADLN O=C(CCc1cscn1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001398329353 996471916 /nfs/dbraw/zinc/47/19/16/996471916.db2.gz DCJZMKFGKFWWEQ-SECBINFHSA-N 0 2 322.394 0.290 20 0 DCADLN CC(C)[C@@H](C(=O)NC[C@H]1CCC[N@H+](Cc2cnon2)C1)[NH+](C)C ZINC001398711071 996651195 /nfs/dbraw/zinc/65/11/95/996651195.db2.gz ZVYACPXYFDTEMX-HIFRSBDPSA-N 0 2 323.441 0.984 20 0 DCADLN CC(C)[C@@H](C(=O)NC[C@@H]1CCC[N@H+](Cc2cnon2)C1)[NH+](C)C ZINC001398711080 996651584 /nfs/dbraw/zinc/65/15/84/996651584.db2.gz ZVYACPXYFDTEMX-ZFWWWQNUSA-N 0 2 323.441 0.984 20 0 DCADLN CC(C)(O)CC(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001398757149 996673880 /nfs/dbraw/zinc/67/38/80/996673880.db2.gz VBURUOXJQRSFEJ-VIFPVBQESA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)(O)CC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001398757149 996673877 /nfs/dbraw/zinc/67/38/77/996673877.db2.gz VBURUOXJQRSFEJ-VIFPVBQESA-N 0 2 314.279 0.622 20 0 DCADLN C[C@H](C(=O)NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)C(C)(F)F ZINC001404265500 996880515 /nfs/dbraw/zinc/88/05/15/996880515.db2.gz ABXVDNCQPYGTFJ-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(C)(F)F ZINC001404265500 996880519 /nfs/dbraw/zinc/88/05/19/996880519.db2.gz ABXVDNCQPYGTFJ-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(C)(F)F ZINC001404265500 996880526 /nfs/dbraw/zinc/88/05/26/996880526.db2.gz ABXVDNCQPYGTFJ-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CC(=O)CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001404388492 997061558 /nfs/dbraw/zinc/06/15/58/997061558.db2.gz SQEWYNZTBAZBJI-TXEJJXNPSA-N 0 2 321.381 0.445 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@H+](C)CCS(N)(=O)=O ZINC001599499078 997076845 /nfs/dbraw/zinc/07/68/45/997076845.db2.gz QWQOLTOONAVFGH-UHFFFAOYSA-N 0 2 302.352 0.114 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@@H+](C)CCS(N)(=O)=O ZINC001599499078 997076854 /nfs/dbraw/zinc/07/68/54/997076854.db2.gz QWQOLTOONAVFGH-UHFFFAOYSA-N 0 2 302.352 0.114 20 0 DCADLN C/C=C(\C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510973830 1016096656 /nfs/dbraw/zinc/09/66/56/1016096656.db2.gz VIIKDNVKZCJQOO-VUVOCEGMSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(\C)C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001510973830 1016096666 /nfs/dbraw/zinc/09/66/66/1016096666.db2.gz VIIKDNVKZCJQOO-VUVOCEGMSA-N 0 2 300.252 0.446 20 0 DCADLN COC[C@]1(C)C[N@@H+]([C@H]2CCCN(CCC(=O)[O-])C2=O)CCO1 ZINC001599195154 997201167 /nfs/dbraw/zinc/20/11/67/997201167.db2.gz MWVIABLXBDFMQV-WFASDCNBSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@]1(C)C[N@H+]([C@H]2CCCN(CCC(=O)[O-])C2=O)CCO1 ZINC001599195154 997201172 /nfs/dbraw/zinc/20/11/72/997201172.db2.gz MWVIABLXBDFMQV-WFASDCNBSA-N 0 2 314.382 0.189 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc(C(=O)[O-])n1 ZINC001598903431 997216953 /nfs/dbraw/zinc/21/69/53/997216953.db2.gz ILQAFDKRSCJCMH-NSHDSACASA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc(C(=O)[O-])n1 ZINC001598903431 997216961 /nfs/dbraw/zinc/21/69/61/997216961.db2.gz ILQAFDKRSCJCMH-NSHDSACASA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)[C@H]1CCCC[C@H]1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001598907146 997285572 /nfs/dbraw/zinc/28/55/72/997285572.db2.gz VFDGVOJRFJSVIY-GRYCIOLGSA-N 0 2 323.349 0.432 20 0 DCADLN CC(C)[C@H](C(=O)NC[C@@H]1CCN1C(=O)Cc1[nH]cc[nH+]1)[NH+](C)C ZINC001417092779 997438252 /nfs/dbraw/zinc/43/82/52/997438252.db2.gz SPDWNRWWJVKRDD-SWLSCSKDSA-N 0 2 321.425 0.256 20 0 DCADLN CCN(CC)C(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404770502 997449418 /nfs/dbraw/zinc/44/94/18/997449418.db2.gz OJSRANVRWXLZFR-SNVBAGLBSA-N 0 2 313.295 0.556 20 0 DCADLN CCN(CC)C(=O)CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404770502 997449423 /nfs/dbraw/zinc/44/94/23/997449423.db2.gz OJSRANVRWXLZFR-SNVBAGLBSA-N 0 2 313.295 0.556 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1COc2ccc(O)cc2O1 ZINC001467312340 1016155931 /nfs/dbraw/zinc/15/59/31/1016155931.db2.gz CRSNRHIESWQWOD-JTQLQIEISA-N 0 2 323.330 0.563 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])[nH]c1C ZINC001598933240 997811384 /nfs/dbraw/zinc/81/13/84/997811384.db2.gz UOUFJKXULCFGBH-LBPRGKRZSA-N 0 2 323.349 0.275 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])[nH]c1C ZINC001598933240 997811394 /nfs/dbraw/zinc/81/13/94/997811394.db2.gz UOUFJKXULCFGBH-LBPRGKRZSA-N 0 2 323.349 0.275 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001405197483 997922730 /nfs/dbraw/zinc/92/27/30/997922730.db2.gz SNRIYBYQFNNCIQ-IONNQARKSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccccn1 ZINC001405197483 997922739 /nfs/dbraw/zinc/92/27/39/997922739.db2.gz SNRIYBYQFNNCIQ-IONNQARKSA-N 0 2 323.246 0.189 20 0 DCADLN C[C@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@H]1CCOC1 ZINC001467534238 1016179823 /nfs/dbraw/zinc/17/98/23/1016179823.db2.gz WEZDFGSTCIAKOO-ONGXEEELSA-N 0 2 318.333 0.421 20 0 DCADLN COc1ccc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c(OC)c1 ZINC001599562224 998219822 /nfs/dbraw/zinc/21/98/22/998219822.db2.gz XABMIERUQGMWQW-CYBMUJFWSA-N 0 2 322.361 0.869 20 0 DCADLN COc1ccc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c(OC)c1 ZINC001599562224 998219835 /nfs/dbraw/zinc/21/98/35/998219835.db2.gz XABMIERUQGMWQW-CYBMUJFWSA-N 0 2 322.361 0.869 20 0 DCADLN Cc1cnc(C[NH2+]C[C@@](C)(NC(=O)c2nnc[nH]2)C2CC2)o1 ZINC001405507518 998283755 /nfs/dbraw/zinc/28/37/55/998283755.db2.gz VNIMWHRWIJIVPQ-CQSZACIVSA-N 0 2 304.354 0.789 20 0 DCADLN Cc1cnc(C[NH2+]C[C@@](C)(NC(=O)c2ncn[nH]2)C2CC2)o1 ZINC001405507518 998283762 /nfs/dbraw/zinc/28/37/62/998283762.db2.gz VNIMWHRWIJIVPQ-CQSZACIVSA-N 0 2 304.354 0.789 20 0 DCADLN CN(C[C@@H](O)CNC(=O)CC1CC1)C(=O)C(F)C(F)(F)F ZINC001405606772 998385654 /nfs/dbraw/zinc/38/56/54/998385654.db2.gz ACEAJOVZJOOKIL-WPRPVWTQSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)CC1CC1)C(=O)[C@H](F)C(F)(F)F ZINC001405606772 998385664 /nfs/dbraw/zinc/38/56/64/998385664.db2.gz ACEAJOVZJOOKIL-WPRPVWTQSA-N 0 2 314.279 0.622 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001594179383 998695068 /nfs/dbraw/zinc/69/50/68/998695068.db2.gz LUYBIVSWVAPSNA-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001594179383 998695069 /nfs/dbraw/zinc/69/50/69/998695069.db2.gz LUYBIVSWVAPSNA-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@H+](C)C(C)(C)C(=O)[O-])n1 ZINC001599000256 998817837 /nfs/dbraw/zinc/81/78/37/998817837.db2.gz VOMRNQNOTVTCBR-UHFFFAOYSA-N 0 2 309.322 0.602 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@@H+](C)C(C)(C)C(=O)[O-])n1 ZINC001599000256 998817840 /nfs/dbraw/zinc/81/78/40/998817840.db2.gz VOMRNQNOTVTCBR-UHFFFAOYSA-N 0 2 309.322 0.602 20 0 DCADLN COC(=O)c1cnc([C@H](C)[N@@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)s1 ZINC001599007756 998870209 /nfs/dbraw/zinc/87/02/09/998870209.db2.gz ODWGRSDURNBTQD-CIUDSAMLSA-N 0 2 314.363 0.758 20 0 DCADLN COC(=O)c1cnc([C@H](C)[N@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)s1 ZINC001599007756 998870215 /nfs/dbraw/zinc/87/02/15/998870215.db2.gz ODWGRSDURNBTQD-CIUDSAMLSA-N 0 2 314.363 0.758 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)C(=O)[O-] ZINC001594251347 998967406 /nfs/dbraw/zinc/96/74/06/998967406.db2.gz UXKOMGFUWVLMDJ-NEPJUHHUSA-N 0 2 313.398 0.602 20 0 DCADLN COC(=O)c1sccc1C[NH2+][C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC001599023734 999000505 /nfs/dbraw/zinc/00/05/05/999000505.db2.gz LLSSTKQMNNDMIG-YUMQZZPRSA-N 0 2 314.363 0.602 20 0 DCADLN C[C@H]1C[C@@H](O)C[N@@H+]1Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001594266071 999032231 /nfs/dbraw/zinc/03/22/31/999032231.db2.gz LSXCKYLFDSABHD-WCBMZHEXSA-N 0 2 303.318 0.989 20 0 DCADLN C[C@H]1C[C@@H](O)C[N@H+]1Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001594266071 999032232 /nfs/dbraw/zinc/03/22/32/999032232.db2.gz LSXCKYLFDSABHD-WCBMZHEXSA-N 0 2 303.318 0.989 20 0 DCADLN CN(CCCS(C)(=O)=O)CCNC(=O)C(F)C(F)(F)F ZINC001418460338 1000246125 /nfs/dbraw/zinc/24/61/25/1000246125.db2.gz VEDBMQLPTQURJC-QMMMGPOBSA-N 0 2 322.324 0.370 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H](C)CSC)Cc1n[nH]c(=O)[n-]1 ZINC001418539024 1000326062 /nfs/dbraw/zinc/32/60/62/1000326062.db2.gz HWFJQKYVJVGKCU-SECBINFHSA-N 0 2 301.416 0.448 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H](C)CSC)Cc1n[nH]c(=O)[n-]1 ZINC001418539024 1000326068 /nfs/dbraw/zinc/32/60/68/1000326068.db2.gz HWFJQKYVJVGKCU-SECBINFHSA-N 0 2 301.416 0.448 20 0 DCADLN Cc1ncoc1C[N@@H+](C)CCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001401365035 1000381492 /nfs/dbraw/zinc/38/14/92/1000381492.db2.gz PKGFZRFLMVALKF-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1ncoc1C[N@H+](C)CCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001401365035 1000381495 /nfs/dbraw/zinc/38/14/95/1000381495.db2.gz PKGFZRFLMVALKF-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NC[C@@H]2CC[NH+](Cc3nncs3)C2)C1 ZINC001418674423 1000441614 /nfs/dbraw/zinc/44/16/14/1000441614.db2.gz QSSIYRQYZXOUNV-OLZOCXBDSA-N 0 2 323.466 0.818 20 0 DCADLN CCCN(C(=O)[C@H]1C[C@@H]1C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001418869666 1000578644 /nfs/dbraw/zinc/57/86/44/1000578644.db2.gz QRLJRXDBJPACGS-SRVKXCTJSA-N 0 2 307.398 0.979 20 0 DCADLN CCCN(C(=O)[C@H]1C[C@@H]1C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001418869666 1000578647 /nfs/dbraw/zinc/57/86/47/1000578647.db2.gz QRLJRXDBJPACGS-SRVKXCTJSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C[NH2+]Cc2nc(C(C)C)no2)c1[O-] ZINC001401678496 1000618983 /nfs/dbraw/zinc/61/89/83/1000618983.db2.gz GXKVLUUQOCWLOQ-QMMMGPOBSA-N 0 2 322.369 0.838 20 0 DCADLN CCCn1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001401772914 1000712012 /nfs/dbraw/zinc/71/20/12/1000712012.db2.gz DEOKWBUONODXAK-JTQLQIEISA-N 0 2 321.385 0.367 20 0 DCADLN CCCn1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001401772914 1000712017 /nfs/dbraw/zinc/71/20/17/1000712017.db2.gz DEOKWBUONODXAK-JTQLQIEISA-N 0 2 321.385 0.367 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001401887557 1000820317 /nfs/dbraw/zinc/82/03/17/1000820317.db2.gz GOQKJTWGLJHVKW-MNOVXSKESA-N 0 2 323.397 0.272 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001401887557 1000820323 /nfs/dbraw/zinc/82/03/23/1000820323.db2.gz GOQKJTWGLJHVKW-MNOVXSKESA-N 0 2 323.397 0.272 20 0 DCADLN CC(C)NC(=O)CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001401898175 1000832756 /nfs/dbraw/zinc/83/27/56/1000832756.db2.gz XYVKZTZNIKKBSS-SCZZXKLOSA-N 0 2 313.295 0.602 20 0 DCADLN CC(C)NC(=O)CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001401898175 1000832761 /nfs/dbraw/zinc/83/27/61/1000832761.db2.gz XYVKZTZNIKKBSS-SCZZXKLOSA-N 0 2 313.295 0.602 20 0 DCADLN C[C@@H]1CC[C@H](C)[N@H+]1CC(=O)NCC1([NH2+]Cc2ncnn2C)CC1 ZINC001401984625 1000927040 /nfs/dbraw/zinc/92/70/40/1000927040.db2.gz HRFPMYBXZSVPSG-BETUJISGSA-N 0 2 320.441 0.426 20 0 DCADLN CCO[C@H](C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001401992640 1000931842 /nfs/dbraw/zinc/93/18/42/1000931842.db2.gz FPDYWOFZULMBNS-UTUOFQBUSA-N 0 2 323.397 0.501 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@H]1CCCN1C(=O)c1cc(C)[nH]n1 ZINC001419446767 1001056642 /nfs/dbraw/zinc/05/66/42/1001056642.db2.gz XXOBYJIDAHLBOM-SNVBAGLBSA-N 0 2 318.337 0.990 20 0 DCADLN CCc1nnc([C@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001419730392 1001258859 /nfs/dbraw/zinc/25/88/59/1001258859.db2.gz OWQNMDHYOJOIGS-CBAPKCEASA-N 0 2 322.369 0.838 20 0 DCADLN CCc1noc(C[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001419730395 1001260368 /nfs/dbraw/zinc/26/03/68/1001260368.db2.gz OZIQWGBHWWCRSR-SSDOTTSWSA-N 0 2 308.342 0.277 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCN[C@@H](c2ccc(O)cc2)C1 ZINC001470724816 1016484422 /nfs/dbraw/zinc/48/44/22/1016484422.db2.gz ICSKVOBZHXCTRH-CYBMUJFWSA-N 0 2 316.365 0.401 20 0 DCADLN CC[C@@H](C)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001402711924 1001478551 /nfs/dbraw/zinc/47/85/51/1001478551.db2.gz WIRUFRAXDMOYHZ-ZJUUUORDSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)n1cccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403141366 1001692608 /nfs/dbraw/zinc/69/26/08/1001692608.db2.gz UZZUFYPZXVUMCV-MNOVXSKESA-N 0 2 306.370 0.510 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)n1cccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403141366 1001692609 /nfs/dbraw/zinc/69/26/09/1001692609.db2.gz UZZUFYPZXVUMCV-MNOVXSKESA-N 0 2 306.370 0.510 20 0 DCADLN C[C@H](NC(=O)C1(C(F)F)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001403309466 1001799114 /nfs/dbraw/zinc/79/91/14/1001799114.db2.gz YJZJPMHQADWSQW-ZETCQYMHSA-N 0 2 315.324 0.492 20 0 DCADLN COC(=O)C[C@](C)(NC(=O)C[C@@H]1SC(=N)NC1=O)C1CC1 ZINC001471280365 1016551317 /nfs/dbraw/zinc/55/13/17/1016551317.db2.gz PHPKHDMCWWNXLS-SDBXPKJASA-N 0 2 313.379 0.391 20 0 DCADLN CC(C)N(CCN(C)Cc1n[nH]c(=O)[nH]1)C(=O)C(C)(C)F ZINC001507738391 1016558685 /nfs/dbraw/zinc/55/86/85/1016558685.db2.gz VEQZZKCEZKZVMK-UHFFFAOYSA-N 0 2 301.366 0.927 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(C)(C)F ZINC001507738391 1016558697 /nfs/dbraw/zinc/55/86/97/1016558697.db2.gz VEQZZKCEZKZVMK-UHFFFAOYSA-N 0 2 301.366 0.927 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(C)(C)F ZINC001507738391 1016558713 /nfs/dbraw/zinc/55/87/13/1016558713.db2.gz VEQZZKCEZKZVMK-UHFFFAOYSA-N 0 2 301.366 0.927 20 0 DCADLN C[N@H+](CCNC(=O)COc1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001420341361 1002251682 /nfs/dbraw/zinc/25/16/82/1002251682.db2.gz BCBAJCWPLAKKJA-UHFFFAOYSA-N 0 2 323.328 0.276 20 0 DCADLN C[N@@H+](CCNC(=O)COc1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001420341361 1002251687 /nfs/dbraw/zinc/25/16/87/1002251687.db2.gz BCBAJCWPLAKKJA-UHFFFAOYSA-N 0 2 323.328 0.276 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ccsc1)Cc1n[nH]c(=O)[n-]1 ZINC001420369007 1002291545 /nfs/dbraw/zinc/29/15/45/1002291545.db2.gz VQBUOFDDFWQWTD-UHFFFAOYSA-N 0 2 309.395 0.753 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ccsc1)Cc1n[nH]c(=O)[n-]1 ZINC001420369007 1002291556 /nfs/dbraw/zinc/29/15/56/1002291556.db2.gz VQBUOFDDFWQWTD-UHFFFAOYSA-N 0 2 309.395 0.753 20 0 DCADLN CC[N@H+](CCNC(=O)CCc1ccccn1)Cc1n[nH]c(=O)[n-]1 ZINC001420414225 1002378561 /nfs/dbraw/zinc/37/85/61/1002378561.db2.gz JYHMZZLAFIBBIA-UHFFFAOYSA-N 0 2 318.381 0.476 20 0 DCADLN CC[N@@H+](CCNC(=O)CCc1ccccn1)Cc1n[nH]c(=O)[n-]1 ZINC001420414225 1002378574 /nfs/dbraw/zinc/37/85/74/1002378574.db2.gz JYHMZZLAFIBBIA-UHFFFAOYSA-N 0 2 318.381 0.476 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1nnc(C)[nH]1 ZINC001403853367 1002632393 /nfs/dbraw/zinc/63/23/93/1002632393.db2.gz XSDCRKKBYIDZRS-SECBINFHSA-N 0 2 311.283 0.952 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H](C[NH2+]Cc2cnon2)C2CC2)c1[O-] ZINC001425482525 1002722080 /nfs/dbraw/zinc/72/20/80/1002722080.db2.gz DTABSGHQWPNWKX-LLVKDONJSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1nnc(C[NH2+][C@@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001425565627 1002825296 /nfs/dbraw/zinc/82/52/96/1002825296.db2.gz LPMKOTKBAHMCES-ZETCQYMHSA-N 0 2 307.358 0.101 20 0 DCADLN COCC(=O)NCC1(CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001512622438 1016698309 /nfs/dbraw/zinc/69/83/09/1016698309.db2.gz QHALKAOSTYTOID-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN COCC(=O)NCC1(CCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001512622438 1016698325 /nfs/dbraw/zinc/69/83/25/1016698325.db2.gz QHALKAOSTYTOID-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001420807276 1002969240 /nfs/dbraw/zinc/96/92/40/1002969240.db2.gz CDERKXFPHWQAKE-WDEREUQCSA-N 0 2 304.354 0.264 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420871333 1003060614 /nfs/dbraw/zinc/06/06/14/1003060614.db2.gz GTNQYDAVUCOBGE-QWRGUYRKSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CCC(F)(F)C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505931375 1016729485 /nfs/dbraw/zinc/72/94/85/1016729485.db2.gz ZDYOYDMVWISGMK-DTWKUNHWSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CCC(F)(F)C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505931375 1016729503 /nfs/dbraw/zinc/72/95/03/1016729503.db2.gz ZDYOYDMVWISGMK-DTWKUNHWSA-N 0 2 317.340 0.882 20 0 DCADLN COCC(C)(C)CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421083007 1003301442 /nfs/dbraw/zinc/30/14/42/1003301442.db2.gz REGWDOLHNZAEQX-UHFFFAOYSA-N 0 2 311.386 0.216 20 0 DCADLN CN(CCN(C)C(=O)c1ccccc1F)Cc1n[nH]c(=O)[nH]1 ZINC001406058052 1003306367 /nfs/dbraw/zinc/30/63/67/1003306367.db2.gz KBVAUFQEQFGJCZ-UHFFFAOYSA-N 0 2 307.329 0.853 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccccc1F ZINC001406058052 1003306374 /nfs/dbraw/zinc/30/63/74/1003306374.db2.gz KBVAUFQEQFGJCZ-UHFFFAOYSA-N 0 2 307.329 0.853 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccccc1F ZINC001406058052 1003306382 /nfs/dbraw/zinc/30/63/82/1003306382.db2.gz KBVAUFQEQFGJCZ-UHFFFAOYSA-N 0 2 307.329 0.853 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCC(C)(F)F ZINC001406122196 1003390765 /nfs/dbraw/zinc/39/07/65/1003390765.db2.gz WDMSWYHTSLMDJH-UHFFFAOYSA-N 0 2 305.329 0.836 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCC(C)(F)F ZINC001406122196 1003390771 /nfs/dbraw/zinc/39/07/71/1003390771.db2.gz WDMSWYHTSLMDJH-UHFFFAOYSA-N 0 2 305.329 0.836 20 0 DCADLN CCCn1c(C)nnc1C[NH2+]C[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001406412716 1003694271 /nfs/dbraw/zinc/69/42/71/1003694271.db2.gz SPJBANVEMGGEGJ-SECBINFHSA-N 0 2 306.374 0.023 20 0 DCADLN CCCn1c(C)nnc1C[NH2+]C[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001406412716 1003694274 /nfs/dbraw/zinc/69/42/74/1003694274.db2.gz SPJBANVEMGGEGJ-SECBINFHSA-N 0 2 306.374 0.023 20 0 DCADLN CCOCC(=O)N1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001406490990 1003755143 /nfs/dbraw/zinc/75/51/43/1003755143.db2.gz ODFHEXYTOWWFGZ-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N1CC[C@@H]1CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001406490990 1003755148 /nfs/dbraw/zinc/75/51/48/1003755148.db2.gz ODFHEXYTOWWFGZ-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CCC(=O)NCCN(CCOC)C(=O)C(F)C(F)(F)F ZINC001428404038 1003910330 /nfs/dbraw/zinc/91/03/30/1003910330.db2.gz QUDLNZYWLKLFJV-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCC(=O)NCCN(CCOC)C(=O)[C@H](F)C(F)(F)F ZINC001428404038 1003910337 /nfs/dbraw/zinc/91/03/37/1003910337.db2.gz QUDLNZYWLKLFJV-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCO[C@@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001406611606 1003922740 /nfs/dbraw/zinc/92/27/40/1003922740.db2.gz NXSGLOLOAYWHOX-JOYOIKCWSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001406611606 1003922749 /nfs/dbraw/zinc/92/27/49/1003922749.db2.gz NXSGLOLOAYWHOX-JOYOIKCWSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)N(C)C(=O)C(F)C(F)(F)F ZINC001426709010 1003976334 /nfs/dbraw/zinc/97/63/34/1003976334.db2.gz SGDQKRLEDFANBG-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001426709010 1003976349 /nfs/dbraw/zinc/97/63/49/1003976349.db2.gz SGDQKRLEDFANBG-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@]1(NC(=O)C[C@@H]2CCCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407172854 1004443351 /nfs/dbraw/zinc/44/33/51/1004443351.db2.gz DGTJNOIXTFQPGC-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(NC(=O)C[C@@H]2CCCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407172854 1004443355 /nfs/dbraw/zinc/44/33/55/1004443355.db2.gz DGTJNOIXTFQPGC-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001407505081 1004695999 /nfs/dbraw/zinc/69/59/99/1004695999.db2.gz YKQZFYOTFFSNKD-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001407505081 1004696004 /nfs/dbraw/zinc/69/60/04/1004696004.db2.gz YKQZFYOTFFSNKD-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN O=C(NCC1(O)CN(Cc2cccnc2)C1)C(F)C(F)(F)F ZINC001407517639 1004706605 /nfs/dbraw/zinc/70/66/05/1004706605.db2.gz NRWRIJOKAYZLNJ-SNVBAGLBSA-N 0 2 321.274 0.645 20 0 DCADLN O=C(NCC1(O)CN(Cc2cccnc2)C1)[C@@H](F)C(F)(F)F ZINC001407517639 1004706611 /nfs/dbraw/zinc/70/66/11/1004706611.db2.gz NRWRIJOKAYZLNJ-SNVBAGLBSA-N 0 2 321.274 0.645 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cncnc2)C1)C(F)C(F)(F)F ZINC001407747768 1004834221 /nfs/dbraw/zinc/83/42/21/1004834221.db2.gz FUMQIOOPYWYWIN-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cncnc2)C1)[C@@H](F)C(F)(F)F ZINC001407747768 1004834227 /nfs/dbraw/zinc/83/42/27/1004834227.db2.gz FUMQIOOPYWYWIN-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CC2(C)CCOCC2)[nH]1 ZINC001414311206 1005283329 /nfs/dbraw/zinc/28/33/29/1005283329.db2.gz YWOVQHYDRHVZSN-UHFFFAOYSA-N 0 2 318.399 0.187 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)NC(=O)C(F)C(F)(F)F ZINC001415249973 1005489373 /nfs/dbraw/zinc/48/93/73/1005489373.db2.gz CDQQYISVALGCGI-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001415249973 1005489375 /nfs/dbraw/zinc/48/93/75/1005489375.db2.gz CDQQYISVALGCGI-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001415516536 1005551626 /nfs/dbraw/zinc/55/16/26/1005551626.db2.gz XFQGEIDHONTCHG-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)n(C)n1 ZINC001415516536 1005551629 /nfs/dbraw/zinc/55/16/29/1005551629.db2.gz XFQGEIDHONTCHG-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CC(C)CC(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001415809149 1005614216 /nfs/dbraw/zinc/61/42/16/1005614216.db2.gz CNTGSNVKYNGOHG-UHFFFAOYSA-N 0 2 317.393 0.713 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CC2(F)F)C1)C(F)C(F)(F)F ZINC001416025241 1005651339 /nfs/dbraw/zinc/65/13/39/1005651339.db2.gz APWQBTZKUGAGIM-RITPCOANSA-N 0 2 304.190 0.869 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CC2(F)F)C1)[C@H](F)C(F)(F)F ZINC001416025241 1005651340 /nfs/dbraw/zinc/65/13/40/1005651340.db2.gz APWQBTZKUGAGIM-RITPCOANSA-N 0 2 304.190 0.869 20 0 DCADLN CS(C)(=O)=Nc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001417663943 1005863234 /nfs/dbraw/zinc/86/32/34/1005863234.db2.gz ZQOQVTXKRPESBC-UHFFFAOYSA-N 0 2 309.351 0.799 20 0 DCADLN CC(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001417731717 1005877933 /nfs/dbraw/zinc/87/79/33/1005877933.db2.gz NVNMPWMGOPVYMW-ZDUSSCGKSA-N 0 2 317.393 0.711 20 0 DCADLN CC(C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001417731717 1005877935 /nfs/dbraw/zinc/87/79/35/1005877935.db2.gz NVNMPWMGOPVYMW-ZDUSSCGKSA-N 0 2 317.393 0.711 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(CF)CCC1 ZINC001417745420 1005881566 /nfs/dbraw/zinc/88/15/66/1005881566.db2.gz VLRYRSGPXKAZCO-SNVBAGLBSA-N 0 2 311.361 0.683 20 0 DCADLN CCc1nc(C[NH2+][C@H]2C[C@H](CNC(=O)[C@H](CC)[NH+](C)C)C2)no1 ZINC001417790793 1005892114 /nfs/dbraw/zinc/89/21/14/1005892114.db2.gz FSZSRVOIICWSAB-AVGNSLFASA-N 0 2 323.441 0.957 20 0 DCADLN CCCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001417904700 1005920175 /nfs/dbraw/zinc/92/01/75/1005920175.db2.gz MAYGATXOFPUOLQ-NSHDSACASA-N 0 2 323.397 0.144 20 0 DCADLN CCCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001417904700 1005920176 /nfs/dbraw/zinc/92/01/76/1005920176.db2.gz MAYGATXOFPUOLQ-NSHDSACASA-N 0 2 323.397 0.144 20 0 DCADLN C[C@H]1CC[C@H](CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)O1 ZINC001417926844 1005927805 /nfs/dbraw/zinc/92/78/05/1005927805.db2.gz HWLODUIKNDXPOO-JOYOIKCWSA-N 0 2 318.333 0.564 20 0 DCADLN Cc1coc(C(=O)N2CC3(C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C3)c1 ZINC001434846438 1006040339 /nfs/dbraw/zinc/04/03/39/1006040339.db2.gz IKDYYXGNWARLIE-UHFFFAOYSA-N 0 2 317.349 0.760 20 0 DCADLN Cc1coc(C(=O)N2CC3(C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C3)c1 ZINC001434846438 1006040352 /nfs/dbraw/zinc/04/03/52/1006040352.db2.gz IKDYYXGNWARLIE-UHFFFAOYSA-N 0 2 317.349 0.760 20 0 DCADLN Cc1nonc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001434862154 1006059223 /nfs/dbraw/zinc/05/92/23/1006059223.db2.gz KHWCELDXEWBPFX-SECBINFHSA-N 0 2 310.251 0.923 20 0 DCADLN Cc1nonc1CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001434862154 1006059237 /nfs/dbraw/zinc/05/92/37/1006059237.db2.gz KHWCELDXEWBPFX-SECBINFHSA-N 0 2 310.251 0.923 20 0 DCADLN C[C@H](NC(=O)C1=NN(c2ccccc2)CC1=O)c1nnnn1C ZINC001514767775 1017036573 /nfs/dbraw/zinc/03/65/73/1017036573.db2.gz LDOOGSTXDBMMQM-VIFPVBQESA-N 0 2 313.321 0.592 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)CNC(=O)C(F)C(F)(F)F ZINC001451599303 1006300331 /nfs/dbraw/zinc/30/03/31/1006300331.db2.gz CDBBNRKEAQOVMV-VXNVDRBHSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001451599303 1006300338 /nfs/dbraw/zinc/30/03/38/1006300338.db2.gz CDBBNRKEAQOVMV-VXNVDRBHSA-N 0 2 322.262 0.859 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(C)F ZINC001451774971 1006404883 /nfs/dbraw/zinc/40/48/83/1006404883.db2.gz MKVMXHPNXGNVAW-BQBZGAKWSA-N 0 2 320.258 0.570 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C(C)(C)F ZINC001451774971 1006404890 /nfs/dbraw/zinc/40/48/90/1006404890.db2.gz MKVMXHPNXGNVAW-BQBZGAKWSA-N 0 2 320.258 0.570 20 0 DCADLN Cc1nc(C[NH2+][C@H]2C[C@@H](NC(=O)CCc3nnc[nH]3)C2)oc1C ZINC001436945171 1006471198 /nfs/dbraw/zinc/47/11/98/1006471198.db2.gz LAMHPWDFAJAHHC-TXEJJXNPSA-N 0 2 318.381 0.779 20 0 DCADLN Cn1cnc(CNS(=O)(=O)c2onc(C3CC3)c2Cl)n1 ZINC001475105731 1017060104 /nfs/dbraw/zinc/06/01/04/1017060104.db2.gz LYSHZQROIMOYBQ-UHFFFAOYSA-N 0 2 317.758 0.812 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001439541039 1006981148 /nfs/dbraw/zinc/98/11/48/1006981148.db2.gz ULBVKSGZVPKHEQ-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN CCOCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001452672856 1006981797 /nfs/dbraw/zinc/98/17/97/1006981797.db2.gz QRAGXZQIHQDWOQ-MRTMQBJTSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C ZINC001452672856 1006981812 /nfs/dbraw/zinc/98/18/12/1006981812.db2.gz QRAGXZQIHQDWOQ-MRTMQBJTSA-N 0 2 314.279 0.886 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1occc1C)Cc1n[nH]c(=O)[n-]1 ZINC001437615011 1007179371 /nfs/dbraw/zinc/17/93/71/1007179371.db2.gz BMSHEMAWXBVOFX-UHFFFAOYSA-N 0 2 307.354 0.593 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1occc1C)Cc1n[nH]c(=O)[n-]1 ZINC001437615011 1007179380 /nfs/dbraw/zinc/17/93/80/1007179380.db2.gz BMSHEMAWXBVOFX-UHFFFAOYSA-N 0 2 307.354 0.593 20 0 DCADLN CCCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ncn[nH]2)C(C)(C)C1 ZINC001440619051 1007921323 /nfs/dbraw/zinc/92/13/23/1007921323.db2.gz IYYXBIJOQYYRQP-LLVKDONJSA-N 0 2 322.413 0.161 20 0 DCADLN CCCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ncn[nH]2)C(C)(C)C1 ZINC001440619051 1007921327 /nfs/dbraw/zinc/92/13/27/1007921327.db2.gz IYYXBIJOQYYRQP-LLVKDONJSA-N 0 2 322.413 0.161 20 0 DCADLN CC1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CCCC1 ZINC001438582300 1008011816 /nfs/dbraw/zinc/01/18/16/1008011816.db2.gz SXYBHEJSLCNDEN-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@H](CNC(=O)CC[C@@H]1CCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440911106 1008107141 /nfs/dbraw/zinc/10/71/41/1008107141.db2.gz FLIVMZGWLVYTIH-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)CC[C@@H]1CCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440911106 1008107145 /nfs/dbraw/zinc/10/71/45/1008107145.db2.gz FLIVMZGWLVYTIH-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CCO[C@H]1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440944369 1008133134 /nfs/dbraw/zinc/13/31/34/1008133134.db2.gz LUMDAPHVKIPZER-XWIASGKRSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CCO[C@H]1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440944369 1008133137 /nfs/dbraw/zinc/13/31/37/1008133137.db2.gz LUMDAPHVKIPZER-XWIASGKRSA-N 0 2 323.397 0.262 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001440994009 1008165795 /nfs/dbraw/zinc/16/57/95/1008165795.db2.gz SUNPIHVLNOWBLB-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001440994009 1008165797 /nfs/dbraw/zinc/16/57/97/1008165797.db2.gz SUNPIHVLNOWBLB-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]([NH2+]Cc2cnsn2)C2CC2)c1[O-] ZINC001441182265 1008260372 /nfs/dbraw/zinc/26/03/72/1008260372.db2.gz WDGXQVWPBHQACD-SNVBAGLBSA-N 0 2 322.394 0.573 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNC(=O)[C@@H]1CCCOC1 ZINC001433469042 1008819875 /nfs/dbraw/zinc/81/98/75/1008819875.db2.gz WSPSAWYYIMFOPF-ZYHUDNBSSA-N 0 2 308.382 0.308 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001433484628 1008840574 /nfs/dbraw/zinc/84/05/74/1008840574.db2.gz UKMHMQPHQWNZFZ-LLVKDONJSA-N 0 2 309.414 0.303 20 0 DCADLN Cn1cnnc1CNC[C@@H](NC(=O)CC(C)(C)O)C(C)(C)C ZINC001442162035 1009034683 /nfs/dbraw/zinc/03/46/83/1009034683.db2.gz ZAQAPAQJSHJCBM-LLVKDONJSA-N 0 2 311.430 0.597 20 0 DCADLN CC[C@@H](C)CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001421779976 1009079057 /nfs/dbraw/zinc/07/90/57/1009079057.db2.gz SJRGMKPXZCQBCR-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001454390163 1009114848 /nfs/dbraw/zinc/11/48/48/1009114848.db2.gz KZTLQICDFDYVTH-BBVRLYRLSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001454390163 1009114854 /nfs/dbraw/zinc/11/48/54/1009114854.db2.gz KZTLQICDFDYVTH-BBVRLYRLSA-N 0 2 323.250 0.093 20 0 DCADLN CC(C)[C@H](CCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433810963 1009203660 /nfs/dbraw/zinc/20/36/60/1009203660.db2.gz XQKJMKOTJRVJSA-LBPRGKRZSA-N 0 2 320.349 0.403 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@@H]2CC2(F)F)CC1 ZINC001433954364 1009396150 /nfs/dbraw/zinc/39/61/50/1009396150.db2.gz QFDYXOYFGJQYOM-VIFPVBQESA-N 0 2 312.320 0.681 20 0 DCADLN C[C@@H](CCO)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434024455 1009467708 /nfs/dbraw/zinc/46/77/08/1009467708.db2.gz SPOJFUQPYIKBMM-SNVBAGLBSA-N 0 2 320.349 0.405 20 0 DCADLN CCc1nc(CNC(=O)NCCCC[NH+]2CCOCC2)n[nH]1 ZINC001477326601 1017276161 /nfs/dbraw/zinc/27/61/61/1017276161.db2.gz QNJREXXGGFJZLU-UHFFFAOYSA-N 0 2 310.402 0.279 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnn[nH]1)[C@H](C)C(=O)NC1CCCC1 ZINC001442694487 1009636052 /nfs/dbraw/zinc/63/60/52/1009636052.db2.gz MPZSBZKYTHJCDB-LLVKDONJSA-N 0 2 322.413 0.304 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)N(C)C(=O)C(F)C(F)(F)F ZINC001442702684 1009646326 /nfs/dbraw/zinc/64/63/26/1009646326.db2.gz IHCCGBMVYVNDJH-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001442702684 1009646331 /nfs/dbraw/zinc/64/63/31/1009646331.db2.gz IHCCGBMVYVNDJH-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN O=C(NCc1nc(CO)n[nH]1)c1cccc(C(F)(F)F)c1O ZINC001434205366 1009661636 /nfs/dbraw/zinc/66/16/36/1009661636.db2.gz VPCJMMLHMRPTKO-UHFFFAOYSA-N 0 2 316.239 0.951 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccn(C2CCOCC2)n1 ZINC001434231718 1009681871 /nfs/dbraw/zinc/68/18/71/1009681871.db2.gz JVKIEFAEZSEISM-UHFFFAOYSA-N 0 2 319.321 0.366 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@H](O)c1c[nH]cn1 ZINC001434366268 1009842037 /nfs/dbraw/zinc/84/20/37/1009842037.db2.gz RBZPLUYDAYSEQP-ZETCQYMHSA-N 0 2 308.260 0.016 20 0 DCADLN Cc1cnc(C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)c(O)c1 ZINC001434390061 1009888322 /nfs/dbraw/zinc/88/83/22/1009888322.db2.gz QVCWSRWPNWCZRT-UHFFFAOYSA-N 0 2 318.333 0.920 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCOCC1 ZINC001442997639 1010032742 /nfs/dbraw/zinc/03/27/42/1010032742.db2.gz VYUAMDMTXYORJT-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCOCC1 ZINC001442997639 1010032756 /nfs/dbraw/zinc/03/27/56/1010032756.db2.gz VYUAMDMTXYORJT-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN Cc1[nH]c(=O)ccc1C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001456254456 1010152436 /nfs/dbraw/zinc/15/24/36/1010152436.db2.gz UEETZPLMOMQYQU-UHFFFAOYSA-N 0 2 318.333 0.920 20 0 DCADLN CCn1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)cn1 ZINC001456254782 1010153241 /nfs/dbraw/zinc/15/32/41/1010153241.db2.gz WHLXFVNIYOUWAY-UHFFFAOYSA-N 0 2 305.338 0.727 20 0 DCADLN O=C(Cc1ccc[nH]1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001456290227 1010171343 /nfs/dbraw/zinc/17/13/43/1010171343.db2.gz VKRZELDNYGLELA-JTQLQIEISA-N 0 2 307.247 0.785 20 0 DCADLN O=C(Cc1ccc[nH]1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001456290227 1010171351 /nfs/dbraw/zinc/17/13/51/1010171351.db2.gz VKRZELDNYGLELA-JTQLQIEISA-N 0 2 307.247 0.785 20 0 DCADLN O=C(NC1CN(C(=O)c2cc[nH]c(=O)c2)C1)C(F)C(F)(F)F ZINC001456287519 1010171931 /nfs/dbraw/zinc/17/19/31/1010171931.db2.gz ROTQUAHBCBWCOB-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)c2cc[nH]c(=O)c2)C1)[C@@H](F)C(F)(F)F ZINC001456287519 1010171937 /nfs/dbraw/zinc/17/19/37/1010171937.db2.gz ROTQUAHBCBWCOB-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN Cc1cc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c(C)[nH]1 ZINC001456306085 1010182901 /nfs/dbraw/zinc/18/29/01/1010182901.db2.gz BYXLRGNFKOPULY-UHFFFAOYSA-N 0 2 311.367 0.224 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001443524832 1010471575 /nfs/dbraw/zinc/47/15/75/1010471575.db2.gz ZRFUMQRKMRNXKJ-ATRFCDNQSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC001443524832 1010471583 /nfs/dbraw/zinc/47/15/83/1010471583.db2.gz ZRFUMQRKMRNXKJ-ATRFCDNQSA-N 0 2 324.234 0.595 20 0 DCADLN CS[C@@H](C)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001443664500 1010572268 /nfs/dbraw/zinc/57/22/68/1010572268.db2.gz JUKJPYYOFPNNJJ-GUBZILKMSA-N 0 2 311.411 0.437 20 0 DCADLN CCCn1nccc1CNCc1n[nH]c(CS(C)(=O)=O)n1 ZINC001422924951 1010744696 /nfs/dbraw/zinc/74/46/96/1010744696.db2.gz KIQGNCYSMLYLAS-UHFFFAOYSA-N 0 2 312.399 0.246 20 0 DCADLN CC(C)[C@@H](O)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001444231999 1010916144 /nfs/dbraw/zinc/91/61/44/1010916144.db2.gz DETSFAWFRATHKD-LBPRGKRZSA-N 0 2 320.349 0.403 20 0 DCADLN CC1(CCC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001444465449 1011030894 /nfs/dbraw/zinc/03/08/94/1011030894.db2.gz IGZJUVZYUQVRDK-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)c1cnn(C)c1 ZINC001444489216 1011040221 /nfs/dbraw/zinc/04/02/21/1011040221.db2.gz PKDPMBNIYGTTOX-UHFFFAOYSA-N 0 2 314.305 0.276 20 0 DCADLN CCCCC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001533182975 1011222948 /nfs/dbraw/zinc/22/29/48/1011222948.db2.gz KHVXUXAWSMYOPS-CBAPKCEASA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001533182975 1011222959 /nfs/dbraw/zinc/22/29/59/1011222959.db2.gz KHVXUXAWSMYOPS-CBAPKCEASA-N 0 2 302.268 0.670 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001444966211 1011260109 /nfs/dbraw/zinc/26/01/09/1011260109.db2.gz DRZJHWMXZZZICZ-UHFFFAOYSA-N 0 2 307.358 0.054 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001444966211 1011260119 /nfs/dbraw/zinc/26/01/19/1011260119.db2.gz DRZJHWMXZZZICZ-UHFFFAOYSA-N 0 2 307.358 0.054 20 0 DCADLN C[C@@H](NC(=O)Cn1nnc2c1CCCC2)c1nn(C)cc1O ZINC001456786577 1011461569 /nfs/dbraw/zinc/46/15/69/1011461569.db2.gz GDXVNICLKZISCA-SECBINFHSA-N 0 2 304.354 0.473 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNC(=O)c1cccnc1C ZINC001431706198 1011565431 /nfs/dbraw/zinc/56/54/31/1011565431.db2.gz LMLXVQXRJLMQSR-SNVBAGLBSA-N 0 2 315.377 0.899 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2ccnn2C2CC2)c1 ZINC001457062767 1011576624 /nfs/dbraw/zinc/57/66/24/1011576624.db2.gz RUJIMGOUPKWWCY-UHFFFAOYSA-N 0 2 322.346 0.823 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnco1)C(F)C(F)(F)F ZINC001534848755 1011698813 /nfs/dbraw/zinc/69/88/13/1011698813.db2.gz BTBFMFOCINYRKE-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnco1)[C@@H](F)C(F)(F)F ZINC001534848755 1011698815 /nfs/dbraw/zinc/69/88/15/1011698815.db2.gz BTBFMFOCINYRKE-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN Cc1ccccc1C1=NO[C@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC001445413441 1011744246 /nfs/dbraw/zinc/74/42/46/1011744246.db2.gz KPXRJFNLBCKALU-NSHDSACASA-N 0 2 301.306 0.628 20 0 DCADLN CC(C)C[C@@]1(C(=O)NCCCc2n[nH]c(=O)[nH]2)CCNC1=O ZINC001457671793 1011798154 /nfs/dbraw/zinc/79/81/54/1011798154.db2.gz QBWJNSSVBXRXAI-CQSZACIVSA-N 0 2 309.370 0.112 20 0 DCADLN CCOC(Cn1cc(C(=O)Nn2ccccc2=O)cn1)OCC ZINC001457731597 1011837960 /nfs/dbraw/zinc/83/79/60/1011837960.db2.gz KHXFZTYSIINUFI-UHFFFAOYSA-N 0 2 320.349 0.828 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001424043525 1011907050 /nfs/dbraw/zinc/90/70/50/1011907050.db2.gz AWNIYBKOVJRLNV-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001424043525 1011907064 /nfs/dbraw/zinc/90/70/64/1011907064.db2.gz AWNIYBKOVJRLNV-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C[C@H]1C1CC1 ZINC001553471572 1012006877 /nfs/dbraw/zinc/00/68/77/1012006877.db2.gz KEPOYMWKZXDOBR-FRRDWIJNSA-N 0 2 318.377 0.950 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccnn1CC(F)F ZINC001556283766 1012193959 /nfs/dbraw/zinc/19/39/59/1012193959.db2.gz CUNNIPBEMBZJPT-UHFFFAOYSA-N 0 2 303.294 0.792 20 0 DCADLN C[C@H](CNC(=O)CCn1cc[nH+]c1)N(C)C(=O)[C@H]1CCC[N@@H+]1C ZINC001424231823 1012206041 /nfs/dbraw/zinc/20/60/41/1012206041.db2.gz LTHOTYSZIBBGON-ZIAGYGMSSA-N 0 2 321.425 0.331 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001458723294 1012325409 /nfs/dbraw/zinc/32/54/09/1012325409.db2.gz HPURSOUFXGLDKV-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001458723294 1012325418 /nfs/dbraw/zinc/32/54/18/1012325418.db2.gz HPURSOUFXGLDKV-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001432430444 1012479102 /nfs/dbraw/zinc/47/91/02/1012479102.db2.gz TWDWPWRONRSBKC-IONNQARKSA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432430444 1012479109 /nfs/dbraw/zinc/47/91/09/1012479109.db2.gz TWDWPWRONRSBKC-IONNQARKSA-N 0 2 314.279 0.886 20 0 DCADLN O=C([O-])c1ccc2c(n1)CN(C(=O)CCn1cc[nH+]c1)CC2 ZINC001548597016 1012773044 /nfs/dbraw/zinc/77/30/44/1012773044.db2.gz HTKZOQLCNVLMBA-UHFFFAOYSA-N 0 2 300.318 0.951 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CC(=O)N2CCC2)C1 ZINC001446364510 1012779042 /nfs/dbraw/zinc/77/90/42/1012779042.db2.gz YGCBJCYCDQRVRG-SNVBAGLBSA-N 0 2 311.279 0.262 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(CC(=O)N2CCC2)C1 ZINC001446364510 1012779056 /nfs/dbraw/zinc/77/90/56/1012779056.db2.gz YGCBJCYCDQRVRG-SNVBAGLBSA-N 0 2 311.279 0.262 20 0 DCADLN O=S(=O)([N-]CC(F)(F)F)NC1CC[NH+](CCF)CC1 ZINC001459980039 1012842173 /nfs/dbraw/zinc/84/21/73/1012842173.db2.gz OFTRPKNHCZLIIR-UHFFFAOYSA-N 0 2 307.313 0.407 20 0 DCADLN O=C(NCCC1CCN(C(=O)c2ccn[nH]2)CC1)c1cn[nH]n1 ZINC001432887415 1012979330 /nfs/dbraw/zinc/97/93/30/1012979330.db2.gz GJQPNJHTWLPPHN-UHFFFAOYSA-N 0 2 317.353 0.200 20 0 DCADLN O=C(NCCC1CCN(C(=O)c2cc[nH]n2)CC1)c1cnn[nH]1 ZINC001432887415 1012979339 /nfs/dbraw/zinc/97/93/39/1012979339.db2.gz GJQPNJHTWLPPHN-UHFFFAOYSA-N 0 2 317.353 0.200 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001461020010 1013006188 /nfs/dbraw/zinc/00/61/88/1013006188.db2.gz FTEJNPWNUCXQJD-POYBYMJQSA-N 0 2 314.235 0.167 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001461020010 1013006203 /nfs/dbraw/zinc/00/62/03/1013006203.db2.gz FTEJNPWNUCXQJD-POYBYMJQSA-N 0 2 314.235 0.167 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)CNC(=O)C(F)C(F)(F)F ZINC001432934835 1013025911 /nfs/dbraw/zinc/02/59/11/1013025911.db2.gz PWFQCDRXFYRASC-CBAPKCEASA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001432934835 1013025939 /nfs/dbraw/zinc/02/59/39/1013025939.db2.gz PWFQCDRXFYRASC-CBAPKCEASA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CCC1 ZINC001478365610 1017344710 /nfs/dbraw/zinc/34/47/10/1017344710.db2.gz LPDRREKAXHJDET-MRVPVSSYSA-N 0 2 315.399 0.337 20 0 DCADLN CCNC(=O)CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001494686157 1017950644 /nfs/dbraw/zinc/95/06/44/1017950644.db2.gz LOFDWTJJPUBHNT-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN CCNC(=O)CC(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001494686157 1017950653 /nfs/dbraw/zinc/95/06/53/1017950653.db2.gz LOFDWTJJPUBHNT-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498223126 1018772755 /nfs/dbraw/zinc/77/27/55/1018772755.db2.gz JZIJLMVVKWTQBO-VIFPVBQESA-N 0 2 308.235 0.180 20 0 DCADLN CN(CCNC(=O)[C@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001495894470 1018837733 /nfs/dbraw/zinc/83/77/33/1018837733.db2.gz QOMNLNJLUGYDNU-JGVFFNPUSA-N 0 2 300.252 0.498 20 0 DCADLN CN(CCNC(=O)[C@H]1CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001495894470 1018837745 /nfs/dbraw/zinc/83/77/45/1018837745.db2.gz QOMNLNJLUGYDNU-JGVFFNPUSA-N 0 2 300.252 0.498 20 0 DCADLN Cc1nc(C[NH2+]C[C@@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])co1 ZINC001496036515 1018989714 /nfs/dbraw/zinc/98/97/14/1018989714.db2.gz KTUQFOGWSWRXFF-MRVPVSSYSA-N 0 2 307.354 0.970 20 0 DCADLN CC/C(C)=C/C(=O)N[C@@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001501322825 1019052144 /nfs/dbraw/zinc/05/21/44/1019052144.db2.gz FHOWMISHRXHAKA-USYSOWRXSA-N 0 2 323.397 0.310 20 0 DCADLN CCCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)C1([NH+](C)C)CCC1 ZINC001493109461 1019107491 /nfs/dbraw/zinc/10/74/91/1019107491.db2.gz TZQNOPXRTOQAKZ-AWEZNQCLSA-N 0 2 324.469 0.578 20 0 DCADLN Cc1ncccc1CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001499066852 1019703423 /nfs/dbraw/zinc/70/34/23/1019703423.db2.gz QLDJWHBROPQLCT-GFCCVEGCSA-N 0 2 316.365 0.147 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCCOC1 ZINC001499089730 1019727001 /nfs/dbraw/zinc/72/70/01/1019727001.db2.gz LZXYXPVBJLZERM-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1C[C@H](CO)O[C@@H](C)C1 ZINC001610570492 1171256516 /nfs/dbraw/zinc/25/65/16/1171256516.db2.gz CNFGDZQNMYVPAF-GXFFZTMASA-N 0 2 311.382 0.556 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1C[C@H](CO)O[C@@H](C)C1 ZINC001610570492 1171256521 /nfs/dbraw/zinc/25/65/21/1171256521.db2.gz CNFGDZQNMYVPAF-GXFFZTMASA-N 0 2 311.382 0.556 20 0 DCADLN COCc1nnc(CNC(=O)CONC(=O)OC(C)(C)C)[nH]1 ZINC001632233446 1171613199 /nfs/dbraw/zinc/61/31/99/1171613199.db2.gz GLDFVAQLQCQWRQ-UHFFFAOYSA-N 0 2 315.330 0.024 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(C(=O)Cn2cc(Br)cn2)C1 ZINC000272870708 210262730 /nfs/dbraw/zinc/26/27/30/210262730.db2.gz WSFGSGBAXUTNOK-RKDXNWHRSA-N 0 2 302.172 0.875 20 0 DCADLN CCn1ccnc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000279139025 186494935 /nfs/dbraw/zinc/49/49/35/186494935.db2.gz CVUQJOYBJLWMSF-UHFFFAOYSA-N 0 2 316.368 0.378 20 0 DCADLN CC(C)(C)C[C@H](O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000172308903 198077391 /nfs/dbraw/zinc/07/73/91/198077391.db2.gz KVRUXGRPWHNQGY-VIFPVBQESA-N 0 2 320.349 0.138 20 0 DCADLN CO[C@@H](CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)(C)C ZINC000174767557 198381919 /nfs/dbraw/zinc/38/19/19/198381919.db2.gz YCKVGAGRKLPNRB-JTQLQIEISA-N 0 2 320.349 0.402 20 0 DCADLN Cc1n[nH]cc1CNC(=O)C1=C(C)N(C)c2ncnn2[C@@H]1C ZINC000281139730 216105853 /nfs/dbraw/zinc/10/58/53/216105853.db2.gz FUQLFFIGGIBIAY-SNVBAGLBSA-N 0 2 301.354 0.911 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C1=C(C)N(C)c2ncnn2[C@@H]1C ZINC000281139730 216105855 /nfs/dbraw/zinc/10/58/55/216105855.db2.gz FUQLFFIGGIBIAY-SNVBAGLBSA-N 0 2 301.354 0.911 20 0 DCADLN COc1ccc(C[C@H](C)CNC(=O)c2nc(=O)[nH][nH]2)cc1OC ZINC000155989681 291222424 /nfs/dbraw/zinc/22/24/24/291222424.db2.gz XRAKNUSESOSDDT-VIFPVBQESA-N 0 2 320.349 0.724 20 0 DCADLN CC(=O)NC[C@H]1C[N@@H+]([C@@H](C(=O)[O-])c2ccccc2C)CCO1 ZINC000566359321 291296701 /nfs/dbraw/zinc/29/67/01/291296701.db2.gz HTYFEYPKIBCMNW-DZGCQCFKSA-N 0 2 306.362 0.958 20 0 DCADLN CC(=O)NC[C@H]1C[N@H+]([C@@H](C(=O)[O-])c2ccccc2C)CCO1 ZINC000566359321 291296702 /nfs/dbraw/zinc/29/67/02/291296702.db2.gz HTYFEYPKIBCMNW-DZGCQCFKSA-N 0 2 306.362 0.958 20 0 DCADLN CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)C(=O)NCCC(=O)[O-] ZINC000420585772 240046641 /nfs/dbraw/zinc/04/66/41/240046641.db2.gz BUZZNDGSYYVMRE-LLVKDONJSA-N 0 2 322.365 0.548 20 0 DCADLN CC(C)N(C[C@@H](O)CO)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000421051681 240075904 /nfs/dbraw/zinc/07/59/04/240075904.db2.gz ASTHLVVDYQEMFM-SECBINFHSA-N 0 2 316.427 0.853 20 0 DCADLN C[C@H](O[C@@H](C)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)C(=O)[O-] ZINC000421809820 240106322 /nfs/dbraw/zinc/10/63/22/240106322.db2.gz WAZIRBDKSQCQQK-SDDRHHMPSA-N 0 2 307.350 0.655 20 0 DCADLN CC[C@H](C)CONC(=O)CNC(=O)CNC(=O)OC(C)(C)C ZINC000496285313 241240537 /nfs/dbraw/zinc/24/05/37/241240537.db2.gz RLTDRGGMQWAOHQ-JTQLQIEISA-N 0 2 317.386 0.721 20 0 DCADLN O=C(c1cnn2c1OCCC2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000567959807 291393762 /nfs/dbraw/zinc/39/37/62/291393762.db2.gz KMGNWUOWYKLRHZ-VIFPVBQESA-N 0 2 318.337 0.509 20 0 DCADLN COCCN(C)S(=O)(=O)Nc1cccc(Cl)c1C(N)=O ZINC000567999109 291396420 /nfs/dbraw/zinc/39/64/20/291396420.db2.gz JCAUHOZVPMOPJI-UHFFFAOYSA-N 0 2 321.786 0.674 20 0 DCADLN C[C@@H](NC(=O)C1=NN(c2ccc(Cl)cc2)CC1=O)C(N)=O ZINC000193727769 296109113 /nfs/dbraw/zinc/10/91/13/296109113.db2.gz GAMKUURQFRCDHQ-SSDOTTSWSA-N 0 2 308.725 0.835 20 0 DCADLN Cc1cc(NS(=O)(=O)N2CCCC2)c(C(N)=O)cc1F ZINC000266025063 205036817 /nfs/dbraw/zinc/03/68/17/205036817.db2.gz LCAKKDXQXBZXBE-UHFFFAOYSA-N 0 2 301.343 0.986 20 0 DCADLN C[C@H]1CCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C[C@H]1C(=O)[O-] ZINC000573723876 291651497 /nfs/dbraw/zinc/65/14/97/291651497.db2.gz CSJWYXRPSBCWBJ-WDEREUQCSA-N 0 2 315.333 0.845 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000262081375 296355656 /nfs/dbraw/zinc/35/56/56/296355656.db2.gz FHHQVUVTIYBFPH-IJLUTSLNSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000262081375 296355658 /nfs/dbraw/zinc/35/56/58/296355658.db2.gz FHHQVUVTIYBFPH-IJLUTSLNSA-N 0 2 311.382 0.940 20 0 DCADLN Cc1c(C(=O)N=c2nc(-c3cccnc3)[nH]s2)nnn1C ZINC000339600044 253111786 /nfs/dbraw/zinc/11/17/86/253111786.db2.gz GRXCGDLAVJIGRM-UHFFFAOYSA-N 0 2 301.335 0.711 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cc([C@@H]2CCCO2)[nH]n1 ZINC000340636584 253286423 /nfs/dbraw/zinc/28/64/23/253286423.db2.gz QLFZBBNCNDJBKH-WPRPVWTQSA-N 0 2 316.383 0.480 20 0 DCADLN CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCCOC1 ZINC000341141783 253348878 /nfs/dbraw/zinc/34/88/78/253348878.db2.gz FUOFKXXIVTZRLA-SECBINFHSA-N 0 2 304.306 0.687 20 0 DCADLN C[C@@](NC(=O)C[C@H]1CCCC(=O)N1)(C(N)=O)c1ccccc1 ZINC000288648554 220321825 /nfs/dbraw/zinc/32/18/25/220321825.db2.gz UIIZXJSAUNMVSL-WBMJQRKESA-N 0 2 303.362 0.562 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)n[nH]1 ZINC000286530329 219038642 /nfs/dbraw/zinc/03/86/42/219038642.db2.gz YLSKKKMVSZFGIP-SVRRBLITSA-N 0 2 321.303 0.993 20 0 DCADLN C[NH+]1CCN(CCCNC(=O)CCCCc2c[nH]nn2)CC1 ZINC000346824978 254100183 /nfs/dbraw/zinc/10/01/83/254100183.db2.gz RYMVQFJQBQFUKX-UHFFFAOYSA-N 0 2 308.430 0.271 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC(=O)N1 ZINC000348875177 254262694 /nfs/dbraw/zinc/26/26/94/254262694.db2.gz JYZOWLSBRSANJB-PSASIEDQSA-N 0 2 316.317 0.883 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cc(C(=O)OC)n(C)c2)no1 ZINC000350644896 254322090 /nfs/dbraw/zinc/32/20/90/254322090.db2.gz ADWJZBSAOIQSSR-UHFFFAOYSA-N 0 2 314.323 0.558 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1nccc(C)n1 ZINC000351710947 254361101 /nfs/dbraw/zinc/36/11/01/254361101.db2.gz WVTAEIANERILSX-UHFFFAOYSA-N 0 2 314.348 0.829 20 0 DCADLN CCOC(=O)C[C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000351946680 254383252 /nfs/dbraw/zinc/38/32/52/254383252.db2.gz HAKJLDLQPGEMBU-ZETCQYMHSA-N 0 2 320.305 0.508 20 0 DCADLN COCCN(Cc1n[nH]c(=O)[nH]1)Cc1ccc2c(c1)OCO2 ZINC000091575468 395725962 /nfs/dbraw/zinc/72/59/62/395725962.db2.gz NLZRLNVGMBDRBW-UHFFFAOYSA-N 0 2 306.322 0.888 20 0 DCADLN Cc1cccc(C)c1-n1nnnc1SCc1n[nH]c(=O)[nH]1 ZINC000091577644 395726033 /nfs/dbraw/zinc/72/60/33/395726033.db2.gz RDDOGKRYRBSDQY-UHFFFAOYSA-N 0 2 303.351 0.983 20 0 DCADLN CS(=O)(=O)c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000037731552 395728150 /nfs/dbraw/zinc/72/81/50/395728150.db2.gz VFXGCORMONHNEG-UHFFFAOYSA-N 0 2 301.349 0.614 20 0 DCADLN Cc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1S(N)(=O)=O ZINC000040384346 395733982 /nfs/dbraw/zinc/73/39/82/395733982.db2.gz VTJSROHOUVQAGQ-UHFFFAOYSA-N 0 2 316.364 0.166 20 0 DCADLN O=C1CN(S(=O)(=O)NCC(F)(F)F)[C@@H]2CCCC[C@@H]2N1 ZINC000195377287 395825457 /nfs/dbraw/zinc/82/54/57/395825457.db2.gz GQIFZWHWPYQVQD-JGVFFNPUSA-N 0 2 315.317 0.126 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2 ZINC000195434351 395826802 /nfs/dbraw/zinc/82/68/02/395826802.db2.gz SGDOJCVJPRFJBN-HLTSFMKQSA-N 0 2 314.329 0.930 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)CC1CCCCC1 ZINC000049043388 395827039 /nfs/dbraw/zinc/82/70/39/395827039.db2.gz YZQSHQHAJSQBGD-GFCCVEGCSA-N 0 2 315.395 0.905 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)CC1CCCCC1 ZINC000049043388 395827041 /nfs/dbraw/zinc/82/70/41/395827041.db2.gz YZQSHQHAJSQBGD-GFCCVEGCSA-N 0 2 315.395 0.905 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1CCO[C@@H](C2CC2)C1 ZINC000195454794 395827918 /nfs/dbraw/zinc/82/79/18/395827918.db2.gz IUYNGEJVNZKFGY-RKDXNWHRSA-N 0 2 302.318 0.930 20 0 DCADLN O=C1C[C@@H]([NH2+]C[C@H]2CCC=CO2)CN1CC[NH+]1CCOCC1 ZINC000194564474 395799770 /nfs/dbraw/zinc/79/97/70/395799770.db2.gz DTLHTQBCVNCIJH-HUUCEWRRSA-N 0 2 309.410 0.202 20 0 DCADLN CO[C@@H]1C[C@@H](C(=O)[O-])N(C(=O)Cc2cn3ccccc3[nH+]2)C1 ZINC000237126323 395903803 /nfs/dbraw/zinc/90/38/03/395903803.db2.gz BBBLKSLLJHJCFX-NEPJUHHUSA-N 0 2 303.318 0.577 20 0 DCADLN CCN(CC)C(=O)c1ccc(CNC(=O)c2nc(=O)[nH][nH]2)cc1 ZINC000129494572 395920459 /nfs/dbraw/zinc/92/04/59/395920459.db2.gz NGKVXSKADTWOFY-UHFFFAOYSA-N 0 2 317.349 0.510 20 0 DCADLN CCNC(=O)COc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000057117367 395939716 /nfs/dbraw/zinc/93/97/16/395939716.db2.gz MPXXDIJBCBDRAD-UHFFFAOYSA-N 0 2 324.362 0.725 20 0 DCADLN Cc1ncc(C(=O)NN2C(=O)NC3(CCCCC3)C2=O)c(C)n1 ZINC000276551781 396009649 /nfs/dbraw/zinc/00/96/49/396009649.db2.gz KCLDIHQRWUUQBF-UHFFFAOYSA-N 0 2 317.349 0.993 20 0 DCADLN O=C([O-])[C@H]1CCCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000262126410 396101780 /nfs/dbraw/zinc/10/17/80/396101780.db2.gz NCCHUMFMGCZDDF-NWDGAFQWSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@H]1CCCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000262126410 396101783 /nfs/dbraw/zinc/10/17/83/396101783.db2.gz NCCHUMFMGCZDDF-NWDGAFQWSA-N 0 2 306.366 0.739 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cccc3nc[nH]c32)C1=O ZINC000278581049 396132729 /nfs/dbraw/zinc/13/27/29/396132729.db2.gz CSLNQYZJPLQRJP-AWEZNQCLSA-N 0 2 301.306 0.928 20 0 DCADLN CCOc1ccccc1C(=O)NCC(=O)NOCC(C)(C)O ZINC000278606531 396134648 /nfs/dbraw/zinc/13/46/48/396134648.db2.gz LMTHFVTTYLZGOH-UHFFFAOYSA-N 0 2 310.350 0.634 20 0 DCADLN CCCN(C1CC1)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000176693457 396159027 /nfs/dbraw/zinc/15/90/27/396159027.db2.gz NGRZERDFVSPPBH-UHFFFAOYSA-N 0 2 324.362 0.175 20 0 DCADLN Cn1cc[nH+]c1C[C@H](NC(=O)c1n[nH]c(=O)[n-]1)c1ccccc1 ZINC000075212938 396177520 /nfs/dbraw/zinc/17/75/20/396177520.db2.gz CHEDKAQGJKVMQC-NSHDSACASA-N 0 2 312.333 0.545 20 0 DCADLN Cc1cccc(N2CCN(C(=O)C[N@H+](C)CC(=O)[O-])CC2)c1 ZINC000178911997 396214277 /nfs/dbraw/zinc/21/42/77/396214277.db2.gz MRJKGNLSOGHAOH-UHFFFAOYSA-N 0 2 305.378 0.660 20 0 DCADLN Cc1cccc(N2CCN(C(=O)C[N@@H+](C)CC(=O)[O-])CC2)c1 ZINC000178911997 396214280 /nfs/dbraw/zinc/21/42/80/396214280.db2.gz MRJKGNLSOGHAOH-UHFFFAOYSA-N 0 2 305.378 0.660 20 0 DCADLN O=C(NC[C@@]1(O)CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000178927355 396215409 /nfs/dbraw/zinc/21/54/09/396215409.db2.gz LBIVPWJGSJLUKZ-HNNXBMFYSA-N 0 2 303.318 0.459 20 0 DCADLN COCC[N@H+]1CC[C@@H](NC(=O)Cc2cn3ccccc3[nH+]2)C1 ZINC000264508121 396285923 /nfs/dbraw/zinc/28/59/23/396285923.db2.gz LEVOFJOQOYGRFP-CYBMUJFWSA-N 0 2 302.378 0.714 20 0 DCADLN O=S(=O)(NCc1nnc(COc2ccccc2)[nH]1)C1CC1 ZINC000185207236 396321729 /nfs/dbraw/zinc/32/17/29/396321729.db2.gz PPRYRKRYYWSDGK-UHFFFAOYSA-N 0 2 308.363 0.966 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCO2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265823718 396344871 /nfs/dbraw/zinc/34/48/71/396344871.db2.gz OWDUSELAMDBPBY-MRVPVSSYSA-N 0 2 304.306 0.653 20 0 DCADLN COCCCCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000265842193 396346099 /nfs/dbraw/zinc/34/60/99/396346099.db2.gz SIAWTCFLGGWDCB-UHFFFAOYSA-N 0 2 306.322 0.901 20 0 DCADLN COCC[C@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000265860552 396347178 /nfs/dbraw/zinc/34/71/78/396347178.db2.gz WSFIAKMOTXUQAN-QMMMGPOBSA-N 0 2 306.322 0.899 20 0 DCADLN NC(=O)c1c(Cl)cccc1NS(=O)(=O)N1CCOCC1 ZINC000268792403 396372354 /nfs/dbraw/zinc/37/23/54/396372354.db2.gz VOEZEGHEYWGONV-UHFFFAOYSA-N 0 2 319.770 0.428 20 0 DCADLN COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)[C@]2(C)CCCC[NH2+]2)C1 ZINC000580832462 396502917 /nfs/dbraw/zinc/50/29/17/396502917.db2.gz CZRJPHFCLDOXNG-BBRMVZONSA-N 0 2 311.426 0.520 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288981855 396451578 /nfs/dbraw/zinc/45/15/78/396451578.db2.gz GMGVOAJZBDVDGO-WDEREUQCSA-N 0 2 316.317 0.903 20 0 DCADLN CC(=O)N1CCC[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288988218 396451941 /nfs/dbraw/zinc/45/19/41/396451941.db2.gz HAQUSKCNZWJTGG-GFCCVEGCSA-N 0 2 315.333 0.714 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H]1COc2ccccc21 ZINC000290654164 396468893 /nfs/dbraw/zinc/46/88/93/396468893.db2.gz NNLWRMYWHCQBPI-QMMMGPOBSA-N 0 2 306.347 0.483 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1NC(=O)O[C@H]1C ZINC000497045678 396487261 /nfs/dbraw/zinc/48/72/61/396487261.db2.gz RICDGEGATQMRBL-OIBJUYFYSA-N 0 2 317.305 0.921 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)[C@@H]2CCc3nnnn3CC2)s[nH]1 ZINC000581136531 396529591 /nfs/dbraw/zinc/52/95/91/396529591.db2.gz VFGRHWDIXPWUEJ-MRVPVSSYSA-N 0 2 321.410 0.835 20 0 DCADLN Cn1cnc(CC[NH2+]C[C@H](O)C[N@@H+]2CCc3ccccc3C2)n1 ZINC000581543543 396563089 /nfs/dbraw/zinc/56/30/89/396563089.db2.gz LDFQPSCZDPCGQG-INIZCTEOSA-N 0 2 315.421 0.366 20 0 DCADLN CN(C)c1cccc(CNC(=O)N2CC[N@@H+](C)C[C@H]2C[NH3+])c1 ZINC000584769240 396621445 /nfs/dbraw/zinc/62/14/45/396621445.db2.gz CKMVJKLRVGXGHZ-OAHLLOKOSA-N 0 2 305.426 0.537 20 0 DCADLN COC(=O)c1[nH]c(C)cc1NS(=O)(=O)c1c(C)cnn1C ZINC000586550827 396661469 /nfs/dbraw/zinc/66/14/69/396661469.db2.gz KCEKEJZXRANJDE-UHFFFAOYSA-N 0 2 312.351 0.952 20 0 DCADLN Cc1nccnc1CCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000294375778 396670694 /nfs/dbraw/zinc/67/06/94/396670694.db2.gz CXYKEAVNMAHWDJ-UHFFFAOYSA-N 0 2 318.299 0.901 20 0 DCADLN COc1ccc(-c2nc(S(=O)(=O)[C@H](C)C(N)=O)n[nH]2)cc1 ZINC000562598111 396671354 /nfs/dbraw/zinc/67/13/54/396671354.db2.gz CFDYJVWGVABQOA-SSDOTTSWSA-N 0 2 310.335 0.128 20 0 DCADLN CCOC(=O)c1cccc(S(=O)(=O)Nc2ncn(C)n2)c1 ZINC000295222730 396686118 /nfs/dbraw/zinc/68/61/18/396686118.db2.gz RJJRTMHQZSMPNR-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN O=C([O-])[C@H]1CCCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000563129790 396705635 /nfs/dbraw/zinc/70/56/35/396705635.db2.gz BFSQWSPBPNZXKX-GXTWGEPZSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])[C@H]1CCCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000563129790 396705637 /nfs/dbraw/zinc/70/56/37/396705637.db2.gz BFSQWSPBPNZXKX-GXTWGEPZSA-N 0 2 310.394 0.953 20 0 DCADLN C[N@@H+]1CCO[C@@H]([C@@H](NC(=O)C(=O)[O-])c2ccc(Cl)cc2)C1 ZINC000375155130 396714277 /nfs/dbraw/zinc/71/42/77/396714277.db2.gz WXRUVAHUPMDZCR-NEPJUHHUSA-N 0 2 312.753 0.913 20 0 DCADLN C[N@H+]1CCO[C@@H]([C@@H](NC(=O)C(=O)[O-])c2ccc(Cl)cc2)C1 ZINC000375155130 396714281 /nfs/dbraw/zinc/71/42/81/396714281.db2.gz WXRUVAHUPMDZCR-NEPJUHHUSA-N 0 2 312.753 0.913 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NC(=O)NCc1ccccc1 ZINC000610896808 396777624 /nfs/dbraw/zinc/77/76/24/396777624.db2.gz PPVJYYVIKUAQGU-UHFFFAOYSA-N 0 2 323.349 0.045 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NC(=O)NCc1ccccc1 ZINC000610896808 396777631 /nfs/dbraw/zinc/77/76/31/396777631.db2.gz PPVJYYVIKUAQGU-UHFFFAOYSA-N 0 2 323.349 0.045 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000633894625 396736579 /nfs/dbraw/zinc/73/65/79/396736579.db2.gz ATCWDUCDPDMYQZ-UHFFFAOYSA-N 0 2 302.334 0.779 20 0 DCADLN C[C@H](CS(=O)(=O)c1ccccc1)[NH2+]C[C@@H]1C[NH2+]CCN1C ZINC000563447645 396738508 /nfs/dbraw/zinc/73/85/08/396738508.db2.gz WMZRHKMFPVQESK-KGLIPLIRSA-N 0 2 311.451 0.342 20 0 DCADLN Cc1n[nH]c(NC(=O)CCc2ccc(S(N)(=O)=O)cc2)n1 ZINC000590833184 396845929 /nfs/dbraw/zinc/84/59/29/396845929.db2.gz FTGLYCJXTWHNHK-UHFFFAOYSA-N 0 2 309.351 0.332 20 0 DCADLN CS(=O)(=O)CCC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000590961455 396860289 /nfs/dbraw/zinc/86/02/89/396860289.db2.gz WQYCJLKESPNCHN-UHFFFAOYSA-N 0 2 311.319 0.403 20 0 DCADLN C[C@@H]1CN(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C[C@H](C)O1 ZINC000625217572 396804856 /nfs/dbraw/zinc/80/48/56/396804856.db2.gz OCAHMSVYHRVGEN-AXFHLTTASA-N 0 2 309.370 0.919 20 0 DCADLN C[C@H](CO)n1[nH]c(CN2C(=O)c3ccccc3C2=O)cc1=O ZINC000634616899 396891444 /nfs/dbraw/zinc/89/14/44/396891444.db2.gz AKKXVFXOVOQQOB-SECBINFHSA-N 0 2 301.302 0.252 20 0 DCADLN CS(=O)(=O)N1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000376426285 396927951 /nfs/dbraw/zinc/92/79/51/396927951.db2.gz GPMSPBLRDVYTFC-SSDOTTSWSA-N 0 2 306.281 0.381 20 0 DCADLN CS(=O)(=O)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000376426285 396927953 /nfs/dbraw/zinc/92/79/53/396927953.db2.gz GPMSPBLRDVYTFC-SSDOTTSWSA-N 0 2 306.281 0.381 20 0 DCADLN CC[C@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(=O)OC ZINC000597363852 396953210 /nfs/dbraw/zinc/95/32/10/396953210.db2.gz LBJXKGWWQWNZBS-JTQLQIEISA-N 0 2 305.290 0.711 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCCC1)[C@@H]1CCNCC1(F)F ZINC000343418384 396996969 /nfs/dbraw/zinc/99/69/69/396996969.db2.gz OFNHXGKUPKTPQO-QMMMGPOBSA-N 0 2 300.313 0.330 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@H](CO)CC2CC2)cn1 ZINC000619038592 397068862 /nfs/dbraw/zinc/06/88/62/397068862.db2.gz VJOICNOZXXYGOP-LLVKDONJSA-N 0 2 303.366 0.949 20 0 DCADLN O=C(c1cccc2ncnn21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000572725374 397088483 /nfs/dbraw/zinc/08/84/83/397088483.db2.gz JZNMBJOGDZEMEZ-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN O=C(N[C@H](CO)CC1CC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613207209 397218185 /nfs/dbraw/zinc/21/81/85/397218185.db2.gz SBTPKMUANYSLCG-LBPRGKRZSA-N 0 2 303.318 0.921 20 0 DCADLN NC(=O)CCCCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613233174 397224758 /nfs/dbraw/zinc/22/47/58/397224758.db2.gz XWBJZFAORJFGGP-UHFFFAOYSA-N 0 2 304.306 0.415 20 0 DCADLN COC(=O)c1ccc(N2N=C(C)[C@@H](NC(C)=O)C2=O)cc1F ZINC000601947748 397272288 /nfs/dbraw/zinc/27/22/88/397272288.db2.gz LGVWIWJKFUMPTC-GFCCVEGCSA-N 0 2 307.281 0.840 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@]1(C)CO ZINC000620453301 397273606 /nfs/dbraw/zinc/27/36/06/397273606.db2.gz ZRBSFRZXVHPUNJ-ANRSDYALSA-N 0 2 318.333 0.527 20 0 DCADLN C[C@@H](CN(C)C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C(=O)[O-] ZINC000574651440 397287929 /nfs/dbraw/zinc/28/79/29/397287929.db2.gz GIPMNYWXJMUZRX-VIFPVBQESA-N 0 2 304.306 0.452 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)c2cc(Cl)ccc2C(=O)[O-])CC1 ZINC000577629129 397348284 /nfs/dbraw/zinc/34/82/84/397348284.db2.gz IVYLVMDGILKSAJ-UHFFFAOYSA-N 0 2 318.782 0.974 20 0 DCADLN O=C(C1CC1)C1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC000578655210 397467027 /nfs/dbraw/zinc/46/70/27/397467027.db2.gz WPZKXPFPMVPCHW-JTQLQIEISA-N 0 2 304.350 0.976 20 0 DCADLN CC(=O)N1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)CC1 ZINC000078532625 158072792 /nfs/dbraw/zinc/07/27/92/158072792.db2.gz DVPDGJPQIDNKCV-UHFFFAOYSA-N 0 2 314.345 0.882 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCCCNC1=O)c2=O ZINC000119151620 158183825 /nfs/dbraw/zinc/18/38/25/158183825.db2.gz QERJQQNXFIGMAK-JTQLQIEISA-N 0 2 303.322 0.072 20 0 DCADLN Cc1nc(CN2CCN(C(=O)c3ccc(F)cc3O)CC2)n[nH]1 ZINC000123843911 158234157 /nfs/dbraw/zinc/23/41/57/158234157.db2.gz JGOSCMDESYFBIA-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN CC(C)[NH+](C)CC(=O)N1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000127451055 158272276 /nfs/dbraw/zinc/27/22/76/158272276.db2.gz LJMLLDOMTMCTCN-UHFFFAOYSA-N 0 2 324.469 0.483 20 0 DCADLN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000127650489 158275210 /nfs/dbraw/zinc/27/52/10/158275210.db2.gz RONAHEKDMQOAGZ-QMMMGPOBSA-N 0 2 318.317 0.658 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(-n2cccn2)nc1 ZINC000137083256 158345333 /nfs/dbraw/zinc/34/53/33/158345333.db2.gz XPFIFVWFVOZQNG-UHFFFAOYSA-N 0 2 300.282 0.000 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000328815831 159032480 /nfs/dbraw/zinc/03/24/80/159032480.db2.gz GLXUVYIPZJVHHP-SNVBAGLBSA-N 0 2 323.378 0.782 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2CCn3ncnc3C2)s[nH]1 ZINC000329928328 159129406 /nfs/dbraw/zinc/12/94/06/159129406.db2.gz MGMKIWKVRQTEPW-UHFFFAOYSA-N 0 2 307.383 0.897 20 0 DCADLN CC(C)(C)C(=O)NCC(=O)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000330607126 159202140 /nfs/dbraw/zinc/20/21/40/159202140.db2.gz JKFFFQCEGXXLJJ-SECBINFHSA-N 0 2 309.370 0.726 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](O)C[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000351819163 159244387 /nfs/dbraw/zinc/24/43/87/159244387.db2.gz ZFYMAKKZYWOVGE-SFYZADRCSA-N 0 2 304.306 0.340 20 0 DCADLN CCS(=O)(=O)c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000359273405 159260255 /nfs/dbraw/zinc/26/02/55/159260255.db2.gz OOXKLCISBATBQL-UHFFFAOYSA-N 0 2 310.335 0.234 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](CCOc2ccccc2Cl)CC1 ZINC000360406975 159268357 /nfs/dbraw/zinc/26/83/57/159268357.db2.gz JBUUBDBBDFQMKL-UHFFFAOYSA-N 0 2 312.753 0.948 20 0 DCADLN C[C@@H]1CN(C(=O)N=c2nc(C(C)(C)C)[nH]s2)C[C@H](CO)O1 ZINC000408277804 160074976 /nfs/dbraw/zinc/07/49/76/160074976.db2.gz BQLPDTNOLJNWSI-RKDXNWHRSA-N 0 2 314.411 0.871 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)CC[C@@H]1CC[C@H](C)O1 ZINC000408446342 160109479 /nfs/dbraw/zinc/10/94/79/160109479.db2.gz JZXBBDREWIYMPP-ONGXEEELSA-N 0 2 315.395 0.881 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(Br)o1 ZINC000080973802 286927624 /nfs/dbraw/zinc/92/76/24/286927624.db2.gz WZFLJPYWFVCZNO-UHFFFAOYSA-N 0 2 315.127 0.816 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)c1ncccc1O ZINC000127189170 286976228 /nfs/dbraw/zinc/97/62/28/286976228.db2.gz LLDOSVYPBZIIRF-UHFFFAOYSA-N 0 2 313.317 0.670 20 0 DCADLN CN(Cc1nccc(N)n1)Cc1nc(=O)c2sccc2[nH]1 ZINC000131667519 287001480 /nfs/dbraw/zinc/00/14/80/287001480.db2.gz ZJBBQPIBWUQUBK-UHFFFAOYSA-N 0 2 302.363 0.989 20 0 DCADLN CC[N@H+](CC(=O)NC[C@@H](C(C)C)[NH+]1CCN(C)CC1)C1CC1 ZINC000136039887 287025192 /nfs/dbraw/zinc/02/51/92/287025192.db2.gz XXPVEPYPCCAZFW-INIZCTEOSA-N 0 2 310.486 0.859 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CC[C@@H](CO)CC1)c2=O ZINC000181268860 287056578 /nfs/dbraw/zinc/05/65/78/287056578.db2.gz YSVGGUAOZTZTPC-MGCOHNPYSA-N 0 2 304.350 0.955 20 0 DCADLN CS(=O)(=O)CCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000266512506 415140128 /nfs/dbraw/zinc/14/01/28/415140128.db2.gz HOVHWNWGPGYJSN-UHFFFAOYSA-N 0 2 323.374 0.742 20 0 DCADLN CC(C)OCCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000047477556 415271005 /nfs/dbraw/zinc/27/10/05/415271005.db2.gz JSGLUWMLMIXUBH-UHFFFAOYSA-N 0 2 306.322 0.569 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)N1C[C@H](O)C[C@@H]1CO ZINC000332153157 415272649 /nfs/dbraw/zinc/27/26/49/415272649.db2.gz HPSDRYSBCIXFFY-GHMZBOCLSA-N 0 2 320.374 0.586 20 0 DCADLN CCOC(=O)c1cc(S(=O)(=O)Nc2cncnc2)cn1C ZINC000274286017 415350997 /nfs/dbraw/zinc/35/09/97/415350997.db2.gz MAFQVHLOTCRTEO-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN NC(=O)Cn1ccc(NS(=O)(=O)c2ccc3c(c2)CCO3)n1 ZINC000342789949 415298622 /nfs/dbraw/zinc/29/86/22/415298622.db2.gz MIRQCDBNYWHJSQ-UHFFFAOYSA-N 0 2 322.346 0.104 20 0 DCADLN COC[C@@H](CO)NC(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000353033419 415414278 /nfs/dbraw/zinc/41/42/78/415414278.db2.gz NHIXERMMODLFJU-SNVBAGLBSA-N 0 2 308.363 0.756 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)s1 ZINC000074175146 415427272 /nfs/dbraw/zinc/42/72/72/415427272.db2.gz QBEMZXXPOMJLCL-UHFFFAOYSA-N 0 2 300.365 0.690 20 0 DCADLN Cc1csc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)n1 ZINC000074174306 415427976 /nfs/dbraw/zinc/42/79/76/415427976.db2.gz DYMFYPNPWDDFRF-UHFFFAOYSA-N 0 2 300.365 0.690 20 0 DCADLN COC[C@@H]1CN(S(=O)(=O)NCC(F)(F)F)CC(C)(C)O1 ZINC000343184143 415489218 /nfs/dbraw/zinc/48/92/18/415489218.db2.gz ZBRBWGSLFMLVQT-QMMMGPOBSA-N 0 2 320.333 0.509 20 0 DCADLN CSC(C)(C)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000075365166 415441115 /nfs/dbraw/zinc/44/11/15/415441115.db2.gz YTIXHLUQOTWEAW-UHFFFAOYSA-N 0 2 308.363 0.895 20 0 DCADLN CN1CCC[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1=O ZINC000332698393 415444092 /nfs/dbraw/zinc/44/40/92/415444092.db2.gz VMNMFUBKKHPOCN-GFCCVEGCSA-N 0 2 314.345 0.929 20 0 DCADLN COc1ccc(NC(=O)C(N)C(F)(F)F)cc1OCC(N)=O ZINC000353129823 415445910 /nfs/dbraw/zinc/44/59/10/415445910.db2.gz NODNICSVBZTQLB-SNVBAGLBSA-N 0 2 321.255 0.387 20 0 DCADLN COc1ccc(NC(=O)[C@@H](N)C(F)(F)F)cc1OCC(N)=O ZINC000353129823 415445917 /nfs/dbraw/zinc/44/59/17/415445917.db2.gz NODNICSVBZTQLB-SNVBAGLBSA-N 0 2 321.255 0.387 20 0 DCADLN CCc1noc(CC)c1CC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000088392941 415517122 /nfs/dbraw/zinc/51/71/22/415517122.db2.gz SLGRXZRVMADRCY-UHFFFAOYSA-N 0 2 307.354 0.502 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2cnn(C)c2)cn1C ZINC000343444521 415601542 /nfs/dbraw/zinc/60/15/42/415601542.db2.gz NJHQAJPOUKVSOM-UHFFFAOYSA-N 0 2 312.351 0.736 20 0 DCADLN Cc1n[nH]c(NC(=O)CCN2C(=O)[C@H]3CCCC[C@@H]3C2=O)n1 ZINC000103253311 415612055 /nfs/dbraw/zinc/61/20/55/415612055.db2.gz XPUWZSILFQTICF-UWVGGRQHSA-N 0 2 305.338 0.617 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1cc(C(=O)OC)n(C)c1 ZINC000343551017 415636681 /nfs/dbraw/zinc/63/66/81/415636681.db2.gz NLOKZIULTUKQNS-UHFFFAOYSA-N 0 2 312.351 0.829 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N3CCCCO3)cc-2c(=O)[nH]1 ZINC000333568842 415684229 /nfs/dbraw/zinc/68/42/29/415684229.db2.gz SVOYZDFZQPGYDC-UHFFFAOYSA-N 0 2 312.307 0.152 20 0 DCADLN COCc1nc(S(=O)(=O)CC(=O)Nc2ccccc2)n[nH]1 ZINC000353901457 415688844 /nfs/dbraw/zinc/68/88/44/415688844.db2.gz KFHNLAYOIUFZEJ-UHFFFAOYSA-N 0 2 310.335 0.364 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N[C@H]2CNC(=O)C2)=N1 ZINC000353939320 415696265 /nfs/dbraw/zinc/69/62/65/415696265.db2.gz MXPILTSYUUMCFK-SNVBAGLBSA-N 0 2 318.308 0.644 20 0 DCADLN C[C@@H]1C[N@@H+](C2CC2)C[C@H]1NC(=O)NC(C)(C)CN1CCOCC1 ZINC000334024428 415789438 /nfs/dbraw/zinc/78/94/38/415789438.db2.gz GEXYQUDDSNDGIF-UKRRQHHQSA-N 0 2 324.469 0.879 20 0 DCADLN CNS(=O)(=O)N1CCN(C(=O)C[C@@H]2C=CCCC2)CC1 ZINC000334026291 415789558 /nfs/dbraw/zinc/78/95/58/415789558.db2.gz HLXBCNWCXFVJPX-GFCCVEGCSA-N 0 2 301.412 0.341 20 0 DCADLN CCNC(=O)N1CC[C@H](NC(=O)N(C)CCCn2cc[nH+]c2)C1 ZINC000121956835 415823519 /nfs/dbraw/zinc/82/35/19/415823519.db2.gz AHFSXXVVLXTAPS-ZDUSSCGKSA-N 0 2 322.413 0.718 20 0 DCADLN NS(=O)(=O)c1cccc(S(=O)(=O)Nc2ccccn2)c1 ZINC000344348067 415895978 /nfs/dbraw/zinc/89/59/78/415895978.db2.gz GQRPFUUPLHICQF-UHFFFAOYSA-N 0 2 313.360 0.530 20 0 DCADLN COc1cncc(S(=O)(=O)Nc2ccnc3ncnn32)c1 ZINC000337308477 415940516 /nfs/dbraw/zinc/94/05/16/415940516.db2.gz SUVFRUBHEZQEOA-UHFFFAOYSA-N 0 2 306.307 0.329 20 0 DCADLN Cc1nc(NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)nn1C ZINC000344550417 415948363 /nfs/dbraw/zinc/94/83/63/415948363.db2.gz ZTTZVARLFNHZDT-UHFFFAOYSA-N 0 2 316.296 1.406 20 0 DCADLN CC(C)(CO)ONC(=O)CNC(=O)c1cc2ccccc2[nH]1 ZINC000297282486 415961655 /nfs/dbraw/zinc/96/16/55/415961655.db2.gz SUFUNFSXWGFBLV-UHFFFAOYSA-N 0 2 305.334 0.716 20 0 DCADLN Cc1n[nH]cc1NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000356811374 415991362 /nfs/dbraw/zinc/99/13/62/415991362.db2.gz JJGPDWXESIWXDI-UHFFFAOYSA-N 0 2 308.367 0.866 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(-c2ncon2)cc1 ZINC000345188306 416058864 /nfs/dbraw/zinc/05/88/64/416058864.db2.gz JYFIJINCFUIIJQ-UHFFFAOYSA-N 0 2 301.266 0.882 20 0 DCADLN NS(=O)(=O)c1ccc(C(=O)Nc2ccc3nn[nH]c3c2)cc1 ZINC000344895776 416004120 /nfs/dbraw/zinc/00/41/20/416004120.db2.gz XLKYPVVSKKPFTG-UHFFFAOYSA-N 0 2 317.330 0.858 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)[N-]c1ccc([NH+](C)C)cc1C(N)=O ZINC000337794957 416010424 /nfs/dbraw/zinc/01/04/24/416010424.db2.gz CRDNTZPHZYNFPA-VIFPVBQESA-N 0 2 315.395 0.628 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc(OCC(N)=O)cc2)cn1C ZINC000357028478 416024315 /nfs/dbraw/zinc/02/43/15/416024315.db2.gz AYQWKXMBIQNPLX-UHFFFAOYSA-N 0 2 324.362 0.393 20 0 DCADLN Cc1nnc(NC(=O)c2cc(F)ccc2NS(C)(=O)=O)[nH]1 ZINC000171549300 416040973 /nfs/dbraw/zinc/04/09/73/416040973.db2.gz VMHXGXFELJEJLY-UHFFFAOYSA-N 0 2 313.314 0.876 20 0 DCADLN O=c1[nH]nc(CNc2cc(C(F)(F)F)nc3ncnn32)[nH]1 ZINC000301593226 416086993 /nfs/dbraw/zinc/08/69/93/416086993.db2.gz YYRLJXSTVCNUJS-UHFFFAOYSA-N 0 2 300.204 0.167 20 0 DCADLN COC[C@@H](O)CNC(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000338435689 416097363 /nfs/dbraw/zinc/09/73/63/416097363.db2.gz AHCOVNBDZGFKDD-JTQLQIEISA-N 0 2 308.363 0.756 20 0 DCADLN Cc1ccc(C)c(C(=O)N2CC[NH+](CCO)CC2)c1C(=O)[O-] ZINC000319332607 416119426 /nfs/dbraw/zinc/11/94/26/416119426.db2.gz PIKYJBRMKOHTSF-UHFFFAOYSA-N 0 2 306.362 0.752 20 0 DCADLN C[NH+](C)CCOc1cccc(NC(=O)[C@H]2C[N@H+](C)CCO2)c1 ZINC000345689752 416133500 /nfs/dbraw/zinc/13/35/00/416133500.db2.gz KFBJQBIAWYTBQM-OAHLLOKOSA-N 0 2 307.394 0.896 20 0 DCADLN C[C@@H](CNC(=O)c1c[nH]c2c(cnn2C)c1=O)N1CCOCC1 ZINC000179421945 416186010 /nfs/dbraw/zinc/18/60/10/416186010.db2.gz MJVNERLOGVMPPB-JTQLQIEISA-N 0 2 319.365 0.124 20 0 DCADLN C[C@H](CN1CCOCC1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179431115 416186415 /nfs/dbraw/zinc/18/64/15/416186415.db2.gz VNQGGSIVRBUCHD-SNVBAGLBSA-N 0 2 319.365 0.124 20 0 DCADLN CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179459954 416188885 /nfs/dbraw/zinc/18/88/85/416188885.db2.gz LGBWFXTVSZOFPQ-RKDXNWHRSA-N 0 2 319.365 0.707 20 0 DCADLN O=C(N[C@H]1C=C[C@H](CO)C1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000341734957 416228636 /nfs/dbraw/zinc/22/86/36/416228636.db2.gz YPFLYCWNIBVOIW-CBAPKCEASA-N 0 2 302.290 0.103 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc3c2OCCC3)c1O ZINC000348600497 416242124 /nfs/dbraw/zinc/24/21/24/416242124.db2.gz XEQMAXOOQYBQDJ-LLVKDONJSA-N 0 2 304.302 1.000 20 0 DCADLN Cn1cc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)ccc1=O ZINC000342121895 416283379 /nfs/dbraw/zinc/28/33/79/416283379.db2.gz GGYZKFWEDIGDBN-UHFFFAOYSA-N 0 2 313.273 0.387 20 0 DCADLN CCOC(=O)[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@H](C)CC ZINC000195318005 416326043 /nfs/dbraw/zinc/32/60/43/416326043.db2.gz YAVRSFLXGLEOOU-SFYZADRCSA-N 0 2 320.333 0.951 20 0 DCADLN CCOC(=O)C[C@H](C)CNS(=O)(=O)NCC(F)(F)F ZINC000195438219 416326127 /nfs/dbraw/zinc/32/61/27/416326127.db2.gz SXYCREUWHWIRIN-ZETCQYMHSA-N 0 2 306.306 0.562 20 0 DCADLN C[C@H](CO[C@H]1CCOC1)NS(=O)(=O)NCC(F)(F)F ZINC000195345583 416326216 /nfs/dbraw/zinc/32/62/16/416326216.db2.gz VBFSJOQZAQWEQR-SFYZADRCSA-N 0 2 306.306 0.167 20 0 DCADLN CC(C)C[C@H]1C(=O)NCCN1S(=O)(=O)NCC(F)(F)F ZINC000195465595 416326565 /nfs/dbraw/zinc/32/65/65/416326565.db2.gz JRJWFSRIXRRORK-QMMMGPOBSA-N 0 2 317.333 0.230 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)N=c1cc(C)[nH]cc1C ZINC000533009352 416468801 /nfs/dbraw/zinc/46/88/01/416468801.db2.gz SUHYMMNHNWAFGR-UHFFFAOYSA-N 0 2 324.362 0.821 20 0 DCADLN Cc1[nH]nc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)c1C ZINC000590473919 416527137 /nfs/dbraw/zinc/52/71/37/416527137.db2.gz LXQWHDBJZAYFIP-UHFFFAOYSA-N 0 2 300.278 1.028 20 0 DCADLN COC[C@]1(C(=O)NOCCO)CCN(C(=O)OC(C)(C)C)C1 ZINC000368653588 416678360 /nfs/dbraw/zinc/67/83/60/416678360.db2.gz UFKYUOIAQNDNBG-AWEZNQCLSA-N 0 2 318.370 0.300 20 0 DCADLN COC[C@@](C)(CO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613549059 416681713 /nfs/dbraw/zinc/68/17/13/416681713.db2.gz BHQMPYYQFSYCQE-CQSZACIVSA-N 0 2 307.306 0.157 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCCOC1 ZINC000542816185 416632240 /nfs/dbraw/zinc/63/22/40/416632240.db2.gz NLXLOWPIRLNFFC-RKDXNWHRSA-N 0 2 318.333 0.981 20 0 DCADLN CCC(CC)(CNC(=O)C(=O)N(C)Cc1[nH+]ccn1C)C(=O)[O-] ZINC000424498613 416657220 /nfs/dbraw/zinc/65/72/20/416657220.db2.gz XENRGPGVOFLRAM-UHFFFAOYSA-N 0 2 324.381 0.386 20 0 DCADLN COCc1ccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)cc1 ZINC000442617667 416699607 /nfs/dbraw/zinc/69/96/07/416699607.db2.gz PJBHBQOMYYWIJZ-UHFFFAOYSA-N 0 2 324.362 0.466 20 0 DCADLN NC(=O)C[C@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000614905491 416722074 /nfs/dbraw/zinc/72/20/74/416722074.db2.gz GFONQKOJUPYGDQ-SECBINFHSA-N 0 2 316.317 0.367 20 0 DCADLN C[C@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@H](C2CC2)O1 ZINC000369123292 416726498 /nfs/dbraw/zinc/72/64/98/416726498.db2.gz ZSUXUVVAZMGYEZ-IONNQARKSA-N 0 2 302.318 0.882 20 0 DCADLN CCn1cccc(CNS(=O)(=O)NCC(F)(F)F)c1=O ZINC000443198538 416737940 /nfs/dbraw/zinc/73/79/40/416737940.db2.gz CCFYSRJBQDNQPZ-UHFFFAOYSA-N 0 2 313.301 0.355 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC([N@H+]3CCOC[C@@H]3C)CC2)c1[O-] ZINC000615088075 416758277 /nfs/dbraw/zinc/75/82/77/416758277.db2.gz BNALOQRQIBVUGT-JTQLQIEISA-N 0 2 308.382 0.749 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC([N@@H+]3CCOC[C@@H]3C)CC2)c1[O-] ZINC000615088075 416758283 /nfs/dbraw/zinc/75/82/83/416758283.db2.gz BNALOQRQIBVUGT-JTQLQIEISA-N 0 2 308.382 0.749 20 0 DCADLN CC(C)(NC(=O)Nc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000443512761 416762371 /nfs/dbraw/zinc/76/23/71/416762371.db2.gz TYXXMTVCFBZCBE-UHFFFAOYSA-N 0 2 318.337 0.727 20 0 DCADLN CCOCCOC[C@@H](O)CNC(=O)c1cccc2[nH]nnc21 ZINC000558817450 416795794 /nfs/dbraw/zinc/79/57/94/416795794.db2.gz QWPICRBTNGRIDR-JTQLQIEISA-N 0 2 308.338 0.102 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2cnn(C)c2C)cc1 ZINC000427129890 416801595 /nfs/dbraw/zinc/80/15/95/416801595.db2.gz BRQCFNHIQKLAKQ-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN CN(C)C(=O)c1ccc(C[NH2+]CCC[N@@H+]2CCC[C@H]2C(N)=O)[nH]1 ZINC000623618417 416854070 /nfs/dbraw/zinc/85/40/70/416854070.db2.gz QOIQMESBXHVMGC-AWEZNQCLSA-N 0 2 321.425 0.146 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(-n3cccn3)c2)c1O ZINC000445895210 416901630 /nfs/dbraw/zinc/90/16/30/416901630.db2.gz SVKCTXRBIHBYHC-LBPRGKRZSA-N 0 2 314.301 0.860 20 0 DCADLN CCc1ncncc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000565026824 416909981 /nfs/dbraw/zinc/90/99/81/416909981.db2.gz YDXPDHAKHREMPY-SECBINFHSA-N 0 2 302.338 0.883 20 0 DCADLN COC(=O)c1sccc1NC(=O)C[N@H+](C)[C@H](C)C(=O)[O-] ZINC000565044109 416914065 /nfs/dbraw/zinc/91/40/65/416914065.db2.gz MAENFOUHMXGAQA-SSDOTTSWSA-N 0 2 300.336 0.878 20 0 DCADLN COC(=O)c1sccc1NC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-] ZINC000565044109 416914070 /nfs/dbraw/zinc/91/40/70/416914070.db2.gz MAENFOUHMXGAQA-SSDOTTSWSA-N 0 2 300.336 0.878 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCO[C@H](CF)C1 ZINC000616254294 416999982 /nfs/dbraw/zinc/99/99/82/416999982.db2.gz CUGOFSOKPIGRFU-LLVKDONJSA-N 0 2 307.281 0.840 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N[C@@H](CO)[C@@H]2CCCO2)s[nH]1 ZINC000448019468 417057899 /nfs/dbraw/zinc/05/78/99/417057899.db2.gz WAHKDKOKLJNHHV-IUCAKERBSA-N 0 2 314.411 0.919 20 0 DCADLN CC(C)(C)c1nc(=N[C@H]2CCN(S(C)(=O)=O)C2)s[nH]1 ZINC000400290048 417110833 /nfs/dbraw/zinc/11/08/33/417110833.db2.gz VYYBTVHKIWUAIG-QMMMGPOBSA-N 0 2 304.441 0.703 20 0 DCADLN O=C(NC1(c2ncon2)CCOCC1)c1cccc2[nH]nnc21 ZINC000527114637 417213585 /nfs/dbraw/zinc/21/35/85/417213585.db2.gz SQAGMHCAIJVXOD-UHFFFAOYSA-N 0 2 314.305 0.777 20 0 DCADLN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)c1cccc2[nH]nnc21 ZINC000527114450 417214826 /nfs/dbraw/zinc/21/48/26/417214826.db2.gz DDKCOBQFVZULNG-HNNXBMFYSA-N 0 2 320.349 0.780 20 0 DCADLN CNC(=O)NC(=O)[C@@H](C)S(=O)(=O)c1nc2ccccc2[nH]1 ZINC000414215446 417266246 /nfs/dbraw/zinc/26/62/46/417266246.db2.gz XXGOUKXYVKUYRQ-SSDOTTSWSA-N 0 2 310.335 0.181 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(OCC(F)(F)F)cn1 ZINC000433688790 417284178 /nfs/dbraw/zinc/28/41/78/417284178.db2.gz MBEVNUPAXFPZAY-UHFFFAOYSA-N 0 2 317.227 0.776 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)c2nc3n(n2)CCCN3)s[nH]1 ZINC000643737703 417331459 /nfs/dbraw/zinc/33/14/59/417331459.db2.gz MZOAYNBOHHZWBH-UHFFFAOYSA-N 0 2 307.383 0.174 20 0 DCADLN COc1ccccc1C(=O)NCC(=O)N(C)CC1N=NC(=O)O1 ZINC000622118753 417401797 /nfs/dbraw/zinc/40/17/97/417401797.db2.gz JGCGWPMCVUAPBL-UHFFFAOYSA-N 0 2 320.305 0.172 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C1(CO)CC1 ZINC000622146473 417408461 /nfs/dbraw/zinc/40/84/61/417408461.db2.gz USJNEAJFVPNDOS-VIFPVBQESA-N 0 2 303.318 0.921 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N[C@@H]2COC[C@@H]2O)=N1 ZINC000633853645 417495291 /nfs/dbraw/zinc/49/52/91/417495291.db2.gz CIPUXPLTEVWITI-MFKMUULPSA-N 0 2 321.308 0.515 20 0 DCADLN Cc1nc(CN(C)C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)no1 ZINC000622488470 417452489 /nfs/dbraw/zinc/45/24/89/417452489.db2.gz WRUNGQNSSPUPMK-UHFFFAOYSA-N 0 2 315.289 0.994 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1cnn(CC[NH+](C)C)c1 ZINC000633615988 417464321 /nfs/dbraw/zinc/46/43/21/417464321.db2.gz ANCKDAPYZIIKIC-KGLIPLIRSA-N 0 2 323.441 0.284 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000576403291 417607728 /nfs/dbraw/zinc/60/77/28/417607728.db2.gz SQAASQCYJNCDFE-UPJWGTAASA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000576403291 417607734 /nfs/dbraw/zinc/60/77/34/417607734.db2.gz SQAASQCYJNCDFE-UPJWGTAASA-N 0 2 320.393 0.985 20 0 DCADLN COCn1nc(C)c(S(=O)(=O)Nc2nc(C)n(C)n2)c1C ZINC000644636989 417635509 /nfs/dbraw/zinc/63/55/09/417635509.db2.gz PWSOBBHLPFFSRK-UHFFFAOYSA-N 0 2 314.371 0.342 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CCC[C@H](CO)C1 ZINC000531766586 417637217 /nfs/dbraw/zinc/63/72/17/417637217.db2.gz JPPLTNDXRRXYBM-JTQLQIEISA-N 0 2 303.318 0.874 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NC3(C4CC4)CC3)cc-2c(=O)[nH]1 ZINC000531603240 417629954 /nfs/dbraw/zinc/62/99/54/417629954.db2.gz WHOZJJBVWNECBJ-UHFFFAOYSA-N 0 2 322.346 0.657 20 0 DCADLN CCCCNc1cc(N2CCO[C@@H](CC(=O)[O-])C2)nc(N)[nH+]1 ZINC000580201410 417698322 /nfs/dbraw/zinc/69/83/22/417698322.db2.gz LHLYVMZVJYENCV-JTQLQIEISA-N 0 2 309.370 0.951 20 0 DCADLN CCCCNc1cc(N2CCO[C@@H](CC(=O)[O-])C2)[nH+]c(N)n1 ZINC000580201410 417698327 /nfs/dbraw/zinc/69/83/27/417698327.db2.gz LHLYVMZVJYENCV-JTQLQIEISA-N 0 2 309.370 0.951 20 0 DCADLN O=C1CCCN1[C@@H]1CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000443206567 287414814 /nfs/dbraw/zinc/41/48/14/287414814.db2.gz XBKZYZLXDJFZQG-MRVPVSSYSA-N 0 2 315.317 0.080 20 0 DCADLN CC(C)O[C@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651967716 417826775 /nfs/dbraw/zinc/82/67/75/417826775.db2.gz RKOMWTGJRZWGPZ-LBPRGKRZSA-N 0 2 323.397 0.635 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)[C@@H](CC)CO1 ZINC000651988685 417829219 /nfs/dbraw/zinc/82/92/19/417829219.db2.gz XHAYBPPCCFASLY-NWDGAFQWSA-N 0 2 323.397 0.635 20 0 DCADLN CO[C@@H]1CCCC[C@H]1NC(=O)C(=O)N=c1cc(C)c(C)n[nH]1 ZINC000652032202 417837380 /nfs/dbraw/zinc/83/73/80/417837380.db2.gz DKFZEBASBMRNJB-VXGBXAGGSA-N 0 2 306.366 0.528 20 0 DCADLN O=C(N=c1nc(-c2ccsc2)[nH]s1)N[C@H]1COC[C@H]1O ZINC000639968615 417770858 /nfs/dbraw/zinc/77/08/58/417770858.db2.gz SJXDXELFCLIANV-JGVFFNPUSA-N 0 2 312.376 0.570 20 0 DCADLN Cn1cc(C(=O)NC[C@@H]2CCC[N@@H+]2CCc2c[nH+]cn2C)cn1 ZINC000639972144 417771257 /nfs/dbraw/zinc/77/12/57/417771257.db2.gz MSCKWEGUMLIUCO-HNNXBMFYSA-N 0 2 316.409 0.591 20 0 DCADLN COCCOc1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000629287517 417771709 /nfs/dbraw/zinc/77/17/09/417771709.db2.gz OAGZXTQNQSXWBT-UHFFFAOYSA-N 0 2 312.351 0.641 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccnc1N1CCCC1 ZINC000629347565 417785018 /nfs/dbraw/zinc/78/50/18/417785018.db2.gz CTYDLPVOCFGITA-UHFFFAOYSA-N 0 2 308.367 0.611 20 0 DCADLN COCC1(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCCC1 ZINC000651842816 417797398 /nfs/dbraw/zinc/79/73/98/417797398.db2.gz JCGQWBSZVLAGRH-UHFFFAOYSA-N 0 2 323.397 0.542 20 0 DCADLN CCN(CC1CC1)S(=O)(=O)NCc1nnc(COC)[nH]1 ZINC000657103458 417813291 /nfs/dbraw/zinc/81/32/91/417813291.db2.gz FFOGMOJDLTZBAH-UHFFFAOYSA-N 0 2 303.388 0.017 20 0 DCADLN O=S(=O)(Nc1ccnc(OCCO)c1)c1cnc2n1CCC2 ZINC000663302063 418008909 /nfs/dbraw/zinc/00/89/09/418008909.db2.gz ZSTVCUWMZPRLSM-UHFFFAOYSA-N 0 2 324.362 0.396 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNc1[nH+]cccc1C(=O)[O-] ZINC000647452074 418011707 /nfs/dbraw/zinc/01/17/07/418011707.db2.gz QMTGWJDYIJAASG-MWLCHTKSSA-N 0 2 313.379 0.910 20 0 DCADLN COCCO[C@H]1C[C@H](CO)CN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC000647454616 418012027 /nfs/dbraw/zinc/01/20/27/418012027.db2.gz WZFDUHCRBUTGBO-AAEUAGOBSA-N 0 2 310.350 0.630 20 0 DCADLN Cc1nc(=NC(=O)N[C@H](CO)[C@H](C)c2ccccc2)[nH]n1C ZINC000653238731 418046280 /nfs/dbraw/zinc/04/62/80/418046280.db2.gz PZLQSQDRBGWSST-ZWNOBZJWSA-N 0 2 303.366 0.832 20 0 DCADLN Cc1nc(=NC(=O)N[C@H](CO)[C@@H](C)c2ccccc2)[nH]n1C ZINC000653238729 418046344 /nfs/dbraw/zinc/04/63/44/418046344.db2.gz PZLQSQDRBGWSST-GXFFZTMASA-N 0 2 303.366 0.832 20 0 DCADLN CC(C)(C)[C@H](C(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000663075994 417986787 /nfs/dbraw/zinc/98/67/87/417986787.db2.gz MLTXATCPAHYETH-NSHDSACASA-N 0 2 300.399 0.960 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000663108426 417990790 /nfs/dbraw/zinc/99/07/90/417990790.db2.gz SPMXZROAGQACKB-HNNXBMFYSA-N 0 2 323.349 0.024 20 0 DCADLN O=C(c1cccc2n[nH]nc21)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652900130 417993714 /nfs/dbraw/zinc/99/37/14/417993714.db2.gz BXRDVPDJDWXEMV-UHFFFAOYSA-N 0 2 312.337 0.034 20 0 DCADLN COc1ccc(NC(=O)CC[N@@H+]2CCOC[C@H]2C(=O)[O-])cc1 ZINC000653379757 418064937 /nfs/dbraw/zinc/06/49/37/418064937.db2.gz GKCUSEXXJYCYDC-ZDUSSCGKSA-N 0 2 308.334 0.809 20 0 DCADLN COc1ccc(NC(=O)CC[N@H+]2CCOC[C@H]2C(=O)[O-])cc1 ZINC000653379757 418064939 /nfs/dbraw/zinc/06/49/39/418064939.db2.gz GKCUSEXXJYCYDC-ZDUSSCGKSA-N 0 2 308.334 0.809 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c(C)nn1 ZINC000648893532 418171737 /nfs/dbraw/zinc/17/17/37/418171737.db2.gz BSINXAQTLPQPOP-SNVBAGLBSA-N 0 2 302.338 0.937 20 0 DCADLN CC(C)C(=O)NCCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665393453 418204697 /nfs/dbraw/zinc/20/46/97/418204697.db2.gz XESWOWVNSYYFJR-UHFFFAOYSA-N 0 2 318.333 0.532 20 0 DCADLN C[C@H]1C(=O)N(C)CN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000649238146 418213358 /nfs/dbraw/zinc/21/33/58/418213358.db2.gz XAOZDTTXTBBRMX-QMMMGPOBSA-N 0 2 302.290 0.290 20 0 DCADLN O=C(N[C@H]1CCNC(=O)CC1)C1=NN(c2ccccc2)CC1=O ZINC000665657272 418230102 /nfs/dbraw/zinc/23/01/02/418230102.db2.gz LSIGENBRJMOXPJ-LLVKDONJSA-N 0 2 314.345 0.976 20 0 DCADLN CCc1nnc(C)cc1C(=O)N=c1ccc(C(=O)NC)n[nH]1 ZINC000650817914 418326620 /nfs/dbraw/zinc/32/66/20/418326620.db2.gz RAAKKWPRBQQYNF-UHFFFAOYSA-N 0 2 300.322 0.171 20 0 DCADLN C[C@H](CCO)N(C)C(=O)N=c1ncn(Cc2ccccc2)[nH]1 ZINC000650010952 418287935 /nfs/dbraw/zinc/28/79/35/418287935.db2.gz MOJJFWQXSKRZRE-GFCCVEGCSA-N 0 2 303.366 0.983 20 0 DCADLN C[C@@H](CNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1)C(=O)[O-] ZINC000655861515 418293750 /nfs/dbraw/zinc/29/37/50/418293750.db2.gz QTWLBBALDSQGJQ-NSHDSACASA-N 0 2 322.365 0.438 20 0 DCADLN CC(C)[C@H](CNC(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC000655867635 418294202 /nfs/dbraw/zinc/29/42/02/418294202.db2.gz KXIDTGHFTWGKKC-XQQFMLRXSA-N 0 2 315.414 0.752 20 0 DCADLN O=C([O-])c1cc(NC[C@H](N2CCOCC2)C(F)(F)F)cc[nH+]1 ZINC000650771260 418323836 /nfs/dbraw/zinc/32/38/36/418323836.db2.gz RFYPDYQEQVXWER-NSHDSACASA-N 0 2 319.283 0.877 20 0 DCADLN CC[C@@H](C)[C@](C)(O)CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651580287 418393926 /nfs/dbraw/zinc/39/39/26/418393926.db2.gz FVXJJKYTXVNNJF-QMTHXVAHSA-N 0 2 311.386 0.133 20 0 DCADLN CCOC[C@@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651598712 418396146 /nfs/dbraw/zinc/39/61/46/418396146.db2.gz SCTNNJZQDXESTJ-LLVKDONJSA-N 0 2 309.370 0.104 20 0 DCADLN CC[C@]1(C)CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCO1 ZINC000651741210 418408102 /nfs/dbraw/zinc/40/81/02/418408102.db2.gz AMIBSOGPIZNTKO-CQSZACIVSA-N 0 2 309.370 0.247 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCCO1 ZINC000651771092 418409231 /nfs/dbraw/zinc/40/92/31/418409231.db2.gz NMHNGFLCDBYKKF-NSHDSACASA-N 0 2 309.370 0.247 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)Nc2cccc3c2CCO3)[nH]1 ZINC000651764589 418409715 /nfs/dbraw/zinc/40/97/15/418409715.db2.gz GBEKCMMYKYYNIZ-UHFFFAOYSA-N 0 2 315.333 0.793 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@]3(C2)CCCOC3)[nH]1 ZINC000651778350 418409995 /nfs/dbraw/zinc/40/99/95/418409995.db2.gz UVFDURRVNIMQCJ-HNNXBMFYSA-N 0 2 321.381 0.249 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCS1 ZINC000171570007 296933269 /nfs/dbraw/zinc/93/32/69/296933269.db2.gz OHIUNBLODGJXMR-SSDOTTSWSA-N 0 2 306.347 0.189 20 0 DCADLN Cc1ccc(/C=C/C(=O)NS(=O)(=O)c2cnn(C)c2)nc1 ZINC000492497449 287576253 /nfs/dbraw/zinc/57/62/53/287576253.db2.gz FHUQLBTWOZVQDN-AATRIKPKSA-N 0 2 306.347 0.642 20 0 DCADLN O=C(CNC(=O)OCc1ccccc1)OCc1n[nH]c(=O)[nH]1 ZINC000496377974 287628030 /nfs/dbraw/zinc/62/80/30/287628030.db2.gz UCOIXTBGQYEKLS-UHFFFAOYSA-N 0 2 306.278 0.480 20 0 DCADLN COc1ccc(OC)c([C@H]2C[C@H]2C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000350035288 261188048 /nfs/dbraw/zinc/18/80/48/261188048.db2.gz RCPCJSFZGLWUGC-MWLCHTKSSA-N 0 2 318.333 0.947 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N(C)CC(N)=O)=N2)cc1 ZINC000354395909 261213988 /nfs/dbraw/zinc/21/39/88/261213988.db2.gz LICSWRBJLWYNEE-UHFFFAOYSA-N 0 2 304.306 0.144 20 0 DCADLN C[C@H]1CN(c2cncc(C(N)=O)n2)CC[N@@H+]1C1CC[NH+](C)CC1 ZINC000354705657 261262363 /nfs/dbraw/zinc/26/23/63/261262363.db2.gz LPCUSAOKBYYGRR-LBPRGKRZSA-N 0 2 318.425 0.180 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355697051 261357139 /nfs/dbraw/zinc/35/71/39/261357139.db2.gz KBJXJUBMUAWSAG-NSHDSACASA-N 0 2 320.349 0.991 20 0 DCADLN O=C(CN1CSCC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000355862004 261371822 /nfs/dbraw/zinc/37/18/22/261371822.db2.gz TUYNFIHLWPYCOM-UHFFFAOYSA-N 0 2 319.346 0.649 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc2ccsc21 ZINC000355989399 261382168 /nfs/dbraw/zinc/38/21/68/261382168.db2.gz NAHPIUSEUCSYHA-UHFFFAOYSA-N 0 2 317.330 0.980 20 0 DCADLN NC(=O)[C@H]1CC[C@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)O1 ZINC000356013635 261382910 /nfs/dbraw/zinc/38/29/10/261382910.db2.gz ZTJLMUGLOHGMKO-NXEZZACHSA-N 0 2 317.305 0.149 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc(-n2cccn2)nc1 ZINC000362347573 262024415 /nfs/dbraw/zinc/02/44/15/262024415.db2.gz XGSWMUTZOYFJBY-UHFFFAOYSA-N 0 2 317.334 0.822 20 0 DCADLN CC[C@H]1CN(CCNc2nc(CCOC)ns2)CCO1 ZINC000362681312 262060363 /nfs/dbraw/zinc/06/03/63/262060363.db2.gz OAXYVIWPSUCTNK-NSHDSACASA-N 0 2 300.428 0.672 20 0 DCADLN CCCc1nc(C)c(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)s1 ZINC000362761261 262069492 /nfs/dbraw/zinc/06/94/92/262069492.db2.gz WTDBWDMFSGIXPQ-UHFFFAOYSA-N 0 2 324.366 0.483 20 0 DCADLN CCc1ccccc1[C@H]([NH3+])CNC(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000417550478 262254862 /nfs/dbraw/zinc/25/48/62/262254862.db2.gz LKTSRARJDKABSR-GDBMZVCRSA-N 0 2 320.437 0.879 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000420600295 262378371 /nfs/dbraw/zinc/37/83/71/262378371.db2.gz ZRJVCETZWHYAKK-LBPRGKRZSA-N 0 2 321.333 0.042 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1ccc(C(N)=O)cc1OC)OC ZINC000421166520 262391165 /nfs/dbraw/zinc/39/11/65/262391165.db2.gz QFTPQSVYTBHRIR-SNVBAGLBSA-N 0 2 316.379 0.961 20 0 DCADLN O=C(N[C@H](CO)C1CCCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000359613932 271133158 /nfs/dbraw/zinc/13/31/58/271133158.db2.gz SNMKTCHPZIMXIT-LLVKDONJSA-N 0 2 318.333 0.717 20 0 DCADLN CN(CC(=O)NCc1n[nH]c(=O)[nH]1)c1nc2ccccc2s1 ZINC000359794131 271145569 /nfs/dbraw/zinc/14/55/69/271145569.db2.gz BPNQIAZFTAESLW-UHFFFAOYSA-N 0 2 318.362 0.873 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CCc2ccccc2O1 ZINC000412166699 271237468 /nfs/dbraw/zinc/23/74/68/271237468.db2.gz XFMONJPBQVLPDP-GFCCVEGCSA-N 0 2 302.334 0.953 20 0 DCADLN COCCOCCS(=O)(=O)Nc1ccn([C@H](C)COC)n1 ZINC000489034001 272054675 /nfs/dbraw/zinc/05/46/75/272054675.db2.gz BJKJKIVEZUYSLW-LLVKDONJSA-N 0 2 321.399 0.495 20 0 DCADLN CC(C)(C)OC(=O)N1CC2(C[C@H]2C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000492819084 272151723 /nfs/dbraw/zinc/15/17/23/272151723.db2.gz NDXYTVMLLOPXLZ-QMMMGPOBSA-N 0 2 324.337 0.811 20 0 DCADLN C[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000492968118 272161425 /nfs/dbraw/zinc/16/14/25/272161425.db2.gz IATCZPWQYNTRAJ-MRVPVSSYSA-N 0 2 313.358 0.472 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C\c2ccc(C)nc2)cnn1C ZINC000493017829 272163962 /nfs/dbraw/zinc/16/39/62/272163962.db2.gz ODSGFRJQOCHAJA-SREVYHEPSA-N 0 2 320.374 0.950 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C\c1cncc(F)c1 ZINC000493051256 272165544 /nfs/dbraw/zinc/16/55/44/272165544.db2.gz PIHGZPSMWHDKEG-ARJAWSKDSA-N 0 2 324.337 0.781 20 0 DCADLN Cn1nccc1C=CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493262586 272177828 /nfs/dbraw/zinc/17/78/28/272177828.db2.gz SVHNEZGCYWZTLW-YEZKRMTDSA-N 0 2 302.338 0.663 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)C1(COC)CC1 ZINC000493450083 272189668 /nfs/dbraw/zinc/18/96/68/272189668.db2.gz HVWJOQCVSTZBBQ-SNAWJCMRSA-N 0 2 313.379 0.541 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C\c1cnccc1C ZINC000493611298 272199402 /nfs/dbraw/zinc/19/94/02/272199402.db2.gz BQYBVEUJTFXWSU-PLNGDYQASA-N 0 2 320.374 0.950 20 0 DCADLN O=C(C=Cc1ccncn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493727365 272206394 /nfs/dbraw/zinc/20/63/94/272206394.db2.gz QHIZFXZFSRDLKY-FSIBCCDJSA-N 0 2 300.322 0.720 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCC(=O)N(C)C)cn1 ZINC000495030558 272236697 /nfs/dbraw/zinc/23/66/97/272236697.db2.gz PZVRYVBPOABMDV-UHFFFAOYSA-N 0 2 304.354 0.501 20 0 DCADLN COC[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1nc(C)no1 ZINC000495678629 272264531 /nfs/dbraw/zinc/26/45/31/272264531.db2.gz BDNZBNOCTRBAOO-QMMMGPOBSA-N 0 2 300.315 0.278 20 0 DCADLN O=C(CCN1CCOC1=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000496101824 272292762 /nfs/dbraw/zinc/29/27/62/272292762.db2.gz IPNXMRGDYQNYEO-VIFPVBQESA-N 0 2 309.326 0.059 20 0 DCADLN CC(C)(C)c1cc(N[C@@H]2CCc3ncnn3C2)nc(C(=O)[O-])[nH+]1 ZINC000519161190 287670425 /nfs/dbraw/zinc/67/04/25/287670425.db2.gz BGDXZZBRLMYIFT-SECBINFHSA-N 0 2 316.365 0.913 20 0 DCADLN COc1cccc(COCC(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000531358135 287775538 /nfs/dbraw/zinc/77/55/38/287775538.db2.gz DBXLFYASJREFIZ-UHFFFAOYSA-N 0 2 320.349 0.785 20 0 DCADLN CN1CCOC[C@H]1C(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000539956891 287899462 /nfs/dbraw/zinc/89/94/62/287899462.db2.gz SRYZLQQAQUTXBB-NSHDSACASA-N 0 2 304.375 0.896 20 0 DCADLN CC1(C)C[C@@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000155736382 281316443 /nfs/dbraw/zinc/31/64/43/281316443.db2.gz IUWWDEHTYXFVQE-VIFPVBQESA-N 0 2 318.333 0.299 20 0 DCADLN CCc1nsc(NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)n1 ZINC000370206152 288613981 /nfs/dbraw/zinc/61/39/81/288613981.db2.gz JYCWOESBQYDBII-ISVAXAHUSA-N 0 2 309.395 0.655 20 0 DCADLN COC(=O)[C@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)CC1CC1 ZINC000563545109 288684766 /nfs/dbraw/zinc/68/47/66/288684766.db2.gz SSRTZSUZWHCAOC-QMMMGPOBSA-N 0 2 314.367 0.308 20 0 DCADLN C[C@]1(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCCOC1 ZINC000332579812 300832804 /nfs/dbraw/zinc/83/28/04/300832804.db2.gz FLHFBARIVKXQOK-AWEZNQCLSA-N 0 2 304.306 0.735 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](O)C[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000351819164 301120770 /nfs/dbraw/zinc/12/07/70/301120770.db2.gz ZFYMAKKZYWOVGE-YUMQZZPRSA-N 0 2 304.306 0.340 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1C[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000329664887 303638989 /nfs/dbraw/zinc/63/89/89/303638989.db2.gz QOQGIGOICPLFRJ-MFKMUULPSA-N 0 2 310.379 0.772 20 0 DCADLN Cn1cc[nH+]c1CN1CCN(C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)CC1 ZINC000576039695 341821983 /nfs/dbraw/zinc/82/19/83/341821983.db2.gz JJVNGMHKYDUGTI-QWHCGFSZSA-N 0 2 320.393 0.421 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CC(n2cncn2)C1 ZINC000365539247 519818122 /nfs/dbraw/zinc/81/81/22/519818122.db2.gz TWFIJTWLXFJOFV-VIFPVBQESA-N 0 2 319.287 0.365 20 0 DCADLN CCN(C)C(=O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000187251593 520174155 /nfs/dbraw/zinc/17/41/55/520174155.db2.gz AORSKMXXRIUBIT-UHFFFAOYSA-N 0 2 320.324 0.925 20 0 DCADLN COCCc1nsc(N[C@H]2CCN(c3cnn(C)c3)C2)n1 ZINC000364878567 522030493 /nfs/dbraw/zinc/03/04/93/522030493.db2.gz QUJNIFUDOPFTPS-JTQLQIEISA-N 0 2 308.411 0.573 20 0 DCADLN CC(=O)N[C@H]1CCN(C(=O)N=c2nc(C(C)(C)C)[nH]s2)C1 ZINC000421064164 534667706 /nfs/dbraw/zinc/66/77/06/534667706.db2.gz NKRPSOGBUGPFBM-VIFPVBQESA-N 0 2 311.411 1.000 20 0 DCADLN COC(=O)CCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000265794229 523097638 /nfs/dbraw/zinc/09/76/38/523097638.db2.gz JCJTUZNJRVPVRH-UHFFFAOYSA-N 0 2 306.278 0.037 20 0 DCADLN CC[C@H]1CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)CCO1 ZINC000266780945 523251306 /nfs/dbraw/zinc/25/13/06/523251306.db2.gz CFKXHKVMHYAGLP-VIFPVBQESA-N 0 2 318.333 0.995 20 0 DCADLN CNC(=O)CCCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266832300 524064562 /nfs/dbraw/zinc/06/45/62/524064562.db2.gz LHAKBBJDEJCFEW-UHFFFAOYSA-N 0 2 319.321 0.000 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CC[C@H]2CCOC2)s1 ZINC000267563857 525229443 /nfs/dbraw/zinc/22/94/43/525229443.db2.gz HAMBNMDGMYBFAF-ZETCQYMHSA-N 0 2 320.396 0.665 20 0 DCADLN O=C(CS(=O)(=O)c1n[nH]c(-c2ccccc2F)n1)NC1CC1 ZINC000195127068 527008662 /nfs/dbraw/zinc/00/86/62/527008662.db2.gz LDEYDFUSLJMXGO-UHFFFAOYSA-N 0 2 324.337 0.663 20 0 DCADLN CC(C)NS(=O)(=O)CCNC(=O)c1cc(F)c(O)c(F)c1 ZINC000186717046 527741447 /nfs/dbraw/zinc/74/14/47/527741447.db2.gz QCSZJMPWVBWAMZ-UHFFFAOYSA-N 0 2 322.333 0.728 20 0 DCADLN CC[C@H](C)NC(=O)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275744170 536154704 /nfs/dbraw/zinc/15/47/04/536154704.db2.gz XQQSSVOCRPRARN-JTQLQIEISA-N 0 2 318.381 0.845 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC(=O)N[C@H](C)C2)c1 ZINC000424870910 536915895 /nfs/dbraw/zinc/91/58/95/536915895.db2.gz LLNGAXHNXUOBGS-UZJPJQLHSA-N 0 2 310.375 0.150 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCCOCCO)c1 ZINC000424760867 536918675 /nfs/dbraw/zinc/91/86/75/536918675.db2.gz MFZWJHYSPLGPDC-NRFANRHFSA-N 0 2 315.391 0.318 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(Cn2cccn2)c1 ZINC000128697756 545780242 /nfs/dbraw/zinc/78/02/42/545780242.db2.gz LJARGJBEIPEPGW-UHFFFAOYSA-N 0 2 313.321 0.665 20 0 DCADLN COCCCNC(=O)C[N@@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000314873495 546025105 /nfs/dbraw/zinc/02/51/05/546025105.db2.gz XXNOIMZCNXAAIS-HNNXBMFYSA-N 0 2 306.362 0.823 20 0 DCADLN COCCCNC(=O)C[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000314873495 546025111 /nfs/dbraw/zinc/02/51/11/546025111.db2.gz XXNOIMZCNXAAIS-HNNXBMFYSA-N 0 2 306.362 0.823 20 0 DCADLN C[C@@H](c1ccccc1)N(C)C(=O)C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000386746320 546217035 /nfs/dbraw/zinc/21/70/35/546217035.db2.gz AQVPSRSSFIBNQS-GXTWGEPZSA-N 0 2 306.362 0.991 20 0 DCADLN C[C@@H](c1ccccc1)N(C)C(=O)C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000386746320 546217040 /nfs/dbraw/zinc/21/70/40/546217040.db2.gz AQVPSRSSFIBNQS-GXTWGEPZSA-N 0 2 306.362 0.991 20 0 DCADLN CO[C@H]1CC[C@@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)C1 ZINC000666974290 546537322 /nfs/dbraw/zinc/53/73/22/546537322.db2.gz MPGMVBVNMRGRLK-RQJHMYQMSA-N 0 2 320.396 0.663 20 0 DCADLN CCn1cnc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)c1 ZINC000666975746 546538183 /nfs/dbraw/zinc/53/81/83/546538183.db2.gz XUFLYLUPDPTUKT-UHFFFAOYSA-N 0 2 316.368 0.378 20 0 DCADLN C[NH+](C)CCn1ccc(NC(=O)[C@@H]2CCc3[nH+]c[nH]c3C2)n1 ZINC000667617204 546629652 /nfs/dbraw/zinc/62/96/52/546629652.db2.gz KXHPAPQGJXIMMB-LLVKDONJSA-N 0 2 302.382 0.911 20 0 DCADLN C[NH+](C)CCn1ccc(NC(=O)[C@@H]2CCc3[nH]c[nH+]c3C2)n1 ZINC000667617204 546629655 /nfs/dbraw/zinc/62/96/55/546629655.db2.gz KXHPAPQGJXIMMB-LLVKDONJSA-N 0 2 302.382 0.911 20 0 DCADLN CS(=O)(=O)NC1CC(NC(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000669923862 547029985 /nfs/dbraw/zinc/02/99/85/547029985.db2.gz JPRLQURPLUDFRM-UHFFFAOYSA-N 0 2 320.317 0.480 20 0 DCADLN Cn1cncc1CCC(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000670325612 547069680 /nfs/dbraw/zinc/06/96/80/547069680.db2.gz WGMKWFIQCUDFQK-AWEZNQCLSA-N 0 2 311.349 0.772 20 0 DCADLN O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)c1c[n-]n2c1nccc2=O ZINC000670419715 547082520 /nfs/dbraw/zinc/08/25/20/547082520.db2.gz DEUCOKBQMBFFBN-LLVKDONJSA-N 0 2 324.344 0.426 20 0 DCADLN Cc1nnc(CNC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)o1 ZINC000673642278 547508434 /nfs/dbraw/zinc/50/84/34/547508434.db2.gz OOHKQHXDVKJCTC-UHFFFAOYSA-N 0 2 316.277 0.366 20 0 DCADLN CCNC(=O)C1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000674744376 547622691 /nfs/dbraw/zinc/62/26/91/547622691.db2.gz JLRXCNLTCMGSJO-UHFFFAOYSA-N 0 2 316.317 0.238 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)OCCCO2 ZINC000674765792 547626046 /nfs/dbraw/zinc/62/60/46/547626046.db2.gz WLUSCJTUGMIFPV-UHFFFAOYSA-N 0 2 305.294 0.993 20 0 DCADLN Cc1sc(C(N)=O)cc1NS(=O)(=O)c1ccn(C)n1 ZINC000676077351 547732136 /nfs/dbraw/zinc/73/21/36/547732136.db2.gz OQUVXYSBNROEGO-UHFFFAOYSA-N 0 2 300.365 0.690 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCc1cc(F)cc(F)c1 ZINC000676230235 547746625 /nfs/dbraw/zinc/74/66/25/547746625.db2.gz YQVFMSGDFOHJAK-UHFFFAOYSA-N 0 2 316.304 0.614 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCc1cc(F)cc(F)c1 ZINC000676230235 547746629 /nfs/dbraw/zinc/74/66/29/547746629.db2.gz YQVFMSGDFOHJAK-UHFFFAOYSA-N 0 2 316.304 0.614 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NC1C2CC3CC(C2)CC1C3 ZINC000676229954 547747407 /nfs/dbraw/zinc/74/74/07/547747407.db2.gz DQIZTWRUKLLATP-UHFFFAOYSA-N 0 2 324.421 0.960 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NC1C2CC3CC(C2)CC1C3 ZINC000676229954 547747413 /nfs/dbraw/zinc/74/74/13/547747413.db2.gz DQIZTWRUKLLATP-UHFFFAOYSA-N 0 2 324.421 0.960 20 0 DCADLN C[C@H](C(=O)NCCc1ccccc1)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231976 547748290 /nfs/dbraw/zinc/74/82/90/547748290.db2.gz OHZUHHXBXILCPL-OCCSQVGLSA-N 0 2 306.362 0.519 20 0 DCADLN C[C@H](C(=O)NCCc1ccccc1)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231976 547748294 /nfs/dbraw/zinc/74/82/94/547748294.db2.gz OHZUHHXBXILCPL-OCCSQVGLSA-N 0 2 306.362 0.519 20 0 DCADLN Cc1n[nH]c(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)c1[O-] ZINC000676691983 547804389 /nfs/dbraw/zinc/80/43/89/547804389.db2.gz GPXMOAHXPOCGKO-UHFFFAOYSA-N 0 2 317.349 0.585 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)[C@@H]([NH3+])c1c(F)cccc1F ZINC000677833407 547908181 /nfs/dbraw/zinc/90/81/81/547908181.db2.gz KTVMLGONENZTMN-LBPRGKRZSA-N 0 2 302.277 0.524 20 0 DCADLN COCc1ccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)cc1 ZINC000679202561 548033893 /nfs/dbraw/zinc/03/38/93/548033893.db2.gz JZMCMZFCTGGCAI-UHFFFAOYSA-N 0 2 310.335 0.456 20 0 DCADLN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000679409334 548054944 /nfs/dbraw/zinc/05/49/44/548054944.db2.gz ZFWDAFNVBARPFR-NOZJJQNGSA-N 0 2 302.334 0.548 20 0 DCADLN CC(=O)NCCc1ccc(S(=O)(=O)Nc2cncnc2)cc1 ZINC000680995605 548192015 /nfs/dbraw/zinc/19/20/15/548192015.db2.gz WIGDXDRETNSVRA-UHFFFAOYSA-N 0 2 320.374 0.956 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccc(CC(=O)NC)cc1 ZINC000681130689 548207184 /nfs/dbraw/zinc/20/71/84/548207184.db2.gz XJBWKOOVTIGMID-UHFFFAOYSA-N 0 2 322.390 0.992 20 0 DCADLN CN(C)C(=O)c1ccc(CNC2(c3nn[nH]n3)CCCC2)[nH]1 ZINC000681166182 548210937 /nfs/dbraw/zinc/21/09/37/548210937.db2.gz SJYJKJAOTZGDHK-UHFFFAOYSA-N 0 2 303.370 0.789 20 0 DCADLN O=C(NCc1nnnn1-c1ccccc1)c1cccc2[nH]nnc21 ZINC000682157137 548353767 /nfs/dbraw/zinc/35/37/67/548353767.db2.gz YYTJEMSYXJBYNG-UHFFFAOYSA-N 0 2 320.316 0.864 20 0 DCADLN Cn1cnnc1-c1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000682832630 548429704 /nfs/dbraw/zinc/42/97/04/548429704.db2.gz AZMFBLNYGAIFNF-UHFFFAOYSA-N 0 2 319.350 0.411 20 0 DCADLN CCCNC(=O)CS(=O)(=O)c1n[nH]c(-c2ccccc2)n1 ZINC000683687218 548510879 /nfs/dbraw/zinc/51/08/79/548510879.db2.gz RMYFBBGGCJFTLW-UHFFFAOYSA-N 0 2 308.363 0.772 20 0 DCADLN CC(C)COCCC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824277533 603511588 /nfs/dbraw/zinc/51/15/88/603511588.db2.gz XSZBNCVFEVHTLX-GFCCVEGCSA-N 0 2 301.387 0.459 20 0 DCADLN O=C([O-])CCNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000819477779 597221909 /nfs/dbraw/zinc/22/19/09/597221909.db2.gz NLILZUSSLQRUGA-UHFFFAOYSA-N 0 2 321.377 0.867 20 0 DCADLN COC(=O)Nc1ccc(CNC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])cc1 ZINC000818512917 597476637 /nfs/dbraw/zinc/47/66/37/597476637.db2.gz SEHXVWTYCKFGHM-JTQLQIEISA-N 0 2 323.349 0.886 20 0 DCADLN COC(=O)Nc1ccc(CNC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])cc1 ZINC000818512917 597476640 /nfs/dbraw/zinc/47/66/40/597476640.db2.gz SEHXVWTYCKFGHM-JTQLQIEISA-N 0 2 323.349 0.886 20 0 DCADLN COC(=O)c1nn(C[N@@H+]2CC[C@@H](C(=O)[O-])C2)c(C)c1Cl ZINC000818528176 597538270 /nfs/dbraw/zinc/53/82/70/597538270.db2.gz MHWMULZJOIARIG-MRVPVSSYSA-N 0 2 301.730 0.996 20 0 DCADLN COC(=O)c1nn(C[N@H+]2CC[C@@H](C(=O)[O-])C2)c(C)c1Cl ZINC000818528176 597538271 /nfs/dbraw/zinc/53/82/71/597538271.db2.gz MHWMULZJOIARIG-MRVPVSSYSA-N 0 2 301.730 0.996 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)[N@H+](C)CC(=O)[O-] ZINC000819882633 598043757 /nfs/dbraw/zinc/04/37/57/598043757.db2.gz AYZZRYDXNNTZQY-LBPRGKRZSA-N 0 2 321.377 0.446 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)[N@@H+](C)CC(=O)[O-] ZINC000819882633 598043759 /nfs/dbraw/zinc/04/37/59/598043759.db2.gz AYZZRYDXNNTZQY-LBPRGKRZSA-N 0 2 321.377 0.446 20 0 DCADLN CN(C)C(=O)COc1ccccc1C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000820530541 598125345 /nfs/dbraw/zinc/12/53/45/598125345.db2.gz SQAWCHZWJIFYAL-AWEZNQCLSA-N 0 2 322.361 0.439 20 0 DCADLN CN(C)C(=O)COc1ccccc1C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000820530541 598125348 /nfs/dbraw/zinc/12/53/48/598125348.db2.gz SQAWCHZWJIFYAL-AWEZNQCLSA-N 0 2 322.361 0.439 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000736207847 600435008 /nfs/dbraw/zinc/43/50/08/600435008.db2.gz KAVDZBXXIGCIOF-BDAKNGLRSA-N 0 2 312.288 0.619 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000736207847 600435009 /nfs/dbraw/zinc/43/50/09/600435009.db2.gz KAVDZBXXIGCIOF-BDAKNGLRSA-N 0 2 312.288 0.619 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC(=O)C(C)C)c1 ZINC000736941088 598434459 /nfs/dbraw/zinc/43/44/59/598434459.db2.gz OIOYLEBZQUGPII-UHFFFAOYSA-N 0 2 305.294 0.040 20 0 DCADLN Cc1cc(NCC[N@@H+]2CCO[C@@H](C)C2)n2nc(C(=O)[O-])nc2n1 ZINC000738461977 599706554 /nfs/dbraw/zinc/70/65/54/599706554.db2.gz ZZJQLXJLHATEQK-JTQLQIEISA-N 0 2 320.353 0.264 20 0 DCADLN Cc1cc(NCC[N@H+]2CCO[C@@H](C)C2)n2nc(C(=O)[O-])nc2n1 ZINC000738461977 599706556 /nfs/dbraw/zinc/70/65/56/599706556.db2.gz ZZJQLXJLHATEQK-JTQLQIEISA-N 0 2 320.353 0.264 20 0 DCADLN COc1cccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1OC ZINC000378732597 599778827 /nfs/dbraw/zinc/77/88/27/599778827.db2.gz XQAQVMMFPZWNOZ-NSHDSACASA-N 0 2 319.317 0.853 20 0 DCADLN COc1cccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1OC ZINC000378732597 599778828 /nfs/dbraw/zinc/77/88/28/599778828.db2.gz XQAQVMMFPZWNOZ-NSHDSACASA-N 0 2 319.317 0.853 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)C2CCCCC2)CC1 ZINC000038137279 599935518 /nfs/dbraw/zinc/93/55/18/599935518.db2.gz PIQKJUBCQJSEMB-UHFFFAOYSA-N 0 2 304.412 0.741 20 0 DCADLN C[C@H](c1ccc(F)cc1)N(C)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736718592 600139958 /nfs/dbraw/zinc/13/99/58/600139958.db2.gz ROVNCOAVJHVVSC-HONMWMINSA-N 0 2 324.352 0.865 20 0 DCADLN C[C@H](c1ccc(F)cc1)N(C)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736718592 600139961 /nfs/dbraw/zinc/13/99/61/600139961.db2.gz ROVNCOAVJHVVSC-HONMWMINSA-N 0 2 324.352 0.865 20 0 DCADLN C[N@H+](CCC(=O)NCC(=O)[O-])Cc1nc2ccccc2c(=O)[nH]1 ZINC000737411852 600284943 /nfs/dbraw/zinc/28/49/43/600284943.db2.gz CDOYXZHSZCWLOB-UHFFFAOYSA-N 0 2 318.333 0.358 20 0 DCADLN C[N@@H+](CCC(=O)NCC(=O)[O-])Cc1nc2ccccc2c(=O)[nH]1 ZINC000737411852 600284945 /nfs/dbraw/zinc/28/49/45/600284945.db2.gz CDOYXZHSZCWLOB-UHFFFAOYSA-N 0 2 318.333 0.358 20 0 DCADLN CC[C@H]1CN(S(=O)(=O)CCCC(=O)[O-])CC[N@@H+]1CCOC ZINC000736942842 600500720 /nfs/dbraw/zinc/50/07/20/600500720.db2.gz DVXYEEFHRNQIHQ-LBPRGKRZSA-N 0 2 322.427 0.224 20 0 DCADLN CC[C@H]1CN(S(=O)(=O)CCCC(=O)[O-])CC[N@H+]1CCOC ZINC000736942842 600500722 /nfs/dbraw/zinc/50/07/22/600500722.db2.gz DVXYEEFHRNQIHQ-LBPRGKRZSA-N 0 2 322.427 0.224 20 0 DCADLN CC(C)(C)C(=O)NC[C@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736242815 600539369 /nfs/dbraw/zinc/53/93/69/600539369.db2.gz ZKOQMDNZPNBGBI-LLVKDONJSA-N 0 2 323.397 0.808 20 0 DCADLN CC(C)(C)C(=O)NC[C@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736242815 600539371 /nfs/dbraw/zinc/53/93/71/600539371.db2.gz ZKOQMDNZPNBGBI-LLVKDONJSA-N 0 2 323.397 0.808 20 0 DCADLN COc1cc(NC(=O)[C@@H]2C[N@H+](C)CCO2)c(C(=O)[O-])cc1OC ZINC000832016395 600883950 /nfs/dbraw/zinc/88/39/50/600883950.db2.gz BUJDDYDSMICYKD-ZDUSSCGKSA-N 0 2 324.333 0.671 20 0 DCADLN COc1cc(NC(=O)[C@@H]2C[N@@H+](C)CCO2)c(C(=O)[O-])cc1OC ZINC000832016395 600883952 /nfs/dbraw/zinc/88/39/52/600883952.db2.gz BUJDDYDSMICYKD-ZDUSSCGKSA-N 0 2 324.333 0.671 20 0 DCADLN C[C@H](CS(=O)(=O)NC[C@H](c1ccco1)[NH+](C)C)C(=O)[O-] ZINC000827558234 601272794 /nfs/dbraw/zinc/27/27/94/601272794.db2.gz KLLYNAAXANWKDL-NXEZZACHSA-N 0 2 304.368 0.522 20 0 DCADLN CN(C(=O)OC(C)(C)C)[C@H]1CC[N@H+](CC(=O)NCC(=O)[O-])C1 ZINC000820524233 601376573 /nfs/dbraw/zinc/37/65/73/601376573.db2.gz LZNXKFSUVNOXJE-JTQLQIEISA-N 0 2 315.370 0.128 20 0 DCADLN CN(C(=O)OC(C)(C)C)[C@H]1CC[N@@H+](CC(=O)NCC(=O)[O-])C1 ZINC000820524233 601376574 /nfs/dbraw/zinc/37/65/74/601376574.db2.gz LZNXKFSUVNOXJE-JTQLQIEISA-N 0 2 315.370 0.128 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@@H+]([C@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000833356974 601442490 /nfs/dbraw/zinc/44/24/90/601442490.db2.gz XYUCEKCYSLGRIT-FZMZJTMJSA-N 0 2 300.330 0.265 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@H+]([C@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000833356974 601442491 /nfs/dbraw/zinc/44/24/91/601442491.db2.gz XYUCEKCYSLGRIT-FZMZJTMJSA-N 0 2 300.330 0.265 20 0 DCADLN COCc1ccc(CNC(=O)C[N@@H+]2CC[C@@](F)(C(=O)[O-])C2)cc1 ZINC000831813192 601552886 /nfs/dbraw/zinc/55/28/86/601552886.db2.gz OZLODNGLSBCSCN-INIZCTEOSA-N 0 2 324.352 0.948 20 0 DCADLN COCc1ccc(CNC(=O)C[N@H+]2CC[C@@](F)(C(=O)[O-])C2)cc1 ZINC000831813192 601552887 /nfs/dbraw/zinc/55/28/87/601552887.db2.gz OZLODNGLSBCSCN-INIZCTEOSA-N 0 2 324.352 0.948 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@H+]2CCC[C@@H](CO)C2)n1 ZINC000833268805 601635332 /nfs/dbraw/zinc/63/53/32/601635332.db2.gz GNCSPVAFRGGNFH-SECBINFHSA-N 0 2 313.379 0.413 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@@H+]2CCC[C@@H](CO)C2)n1 ZINC000833268805 601635334 /nfs/dbraw/zinc/63/53/34/601635334.db2.gz GNCSPVAFRGGNFH-SECBINFHSA-N 0 2 313.379 0.413 20 0 DCADLN C[C@@H](NC(=O)NCC(C)(C)C(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000827658741 601673976 /nfs/dbraw/zinc/67/39/76/601673976.db2.gz IVIWMUGLXVAGLJ-MNOVXSKESA-N 0 2 301.387 0.506 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000739400613 601689391 /nfs/dbraw/zinc/68/93/91/601689391.db2.gz DDCNVTYQXUBRSL-UHFFFAOYSA-N 0 2 321.377 0.868 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000739400613 601689393 /nfs/dbraw/zinc/68/93/93/601689393.db2.gz DDCNVTYQXUBRSL-UHFFFAOYSA-N 0 2 321.377 0.868 20 0 DCADLN O=C([O-])c1sccc1C[N@@H+]1CCO[C@H](Cn2cncn2)C1 ZINC000833348957 601825131 /nfs/dbraw/zinc/82/51/31/601825131.db2.gz WOFHOYZVEYBMLM-NSHDSACASA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])c1sccc1C[N@H+]1CCO[C@H](Cn2cncn2)C1 ZINC000833348957 601825134 /nfs/dbraw/zinc/82/51/34/601825134.db2.gz WOFHOYZVEYBMLM-NSHDSACASA-N 0 2 308.363 0.939 20 0 DCADLN CC(C)(CNC(=O)N1CC[C@@](C)(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000827996837 602055771 /nfs/dbraw/zinc/05/57/71/602055771.db2.gz TUZYMLNRENDXJA-OAHLLOKOSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H](NC(=O)N1CC[C@](C)(C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000827646528 602067556 /nfs/dbraw/zinc/06/75/56/602067556.db2.gz RSDYNULQMMPKIH-HUBLWGQQSA-N 0 2 313.398 0.602 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000830781071 602373955 /nfs/dbraw/zinc/37/39/55/602373955.db2.gz GHBFSYDJUYAEGJ-UHFFFAOYSA-N 0 2 310.354 0.519 20 0 DCADLN CC(C)(CC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)NC(=O)[O-] ZINC000823881446 603036758 /nfs/dbraw/zinc/03/67/58/603036758.db2.gz GQAYKIKAYPJOBR-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)CN(C)C(=O)[O-])nc[nH+]1 ZINC000828593511 603095388 /nfs/dbraw/zinc/09/53/88/603095388.db2.gz BNZWLGHYNFAAIR-JTQLQIEISA-N 0 2 322.369 0.213 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)CN(C)C(=O)[O-])[nH+]cn1 ZINC000828593511 603095392 /nfs/dbraw/zinc/09/53/92/603095392.db2.gz BNZWLGHYNFAAIR-JTQLQIEISA-N 0 2 322.369 0.213 20 0 DCADLN CC(C)(CNC(=O)[C@H]1CC[C@H](NC(=O)[O-])C1)[NH+]1CCOCC1 ZINC000823900828 603424334 /nfs/dbraw/zinc/42/43/34/603424334.db2.gz XKDQXEKSWFPUDW-RYUDHWBXSA-N 0 2 313.398 0.650 20 0 DCADLN CCCCO[C@H](C)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826842906 603506766 /nfs/dbraw/zinc/50/67/66/603506766.db2.gz ZLKAYHILADPLMA-VXGBXAGGSA-N 0 2 301.387 0.602 20 0 DCADLN CCCCO[C@H](C)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826842906 603506767 /nfs/dbraw/zinc/50/67/67/603506767.db2.gz ZLKAYHILADPLMA-VXGBXAGGSA-N 0 2 301.387 0.602 20 0 DCADLN CC(C)COCCC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824277533 603511585 /nfs/dbraw/zinc/51/15/85/603511585.db2.gz XSZBNCVFEVHTLX-GFCCVEGCSA-N 0 2 301.387 0.459 20 0 DCADLN CC(C)(CNC(=O)[C@@H]1CCCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000823906524 603598868 /nfs/dbraw/zinc/59/88/68/603598868.db2.gz FXTOLHKUMCGBNM-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H](CNC(=O)N1CC[C@@H](N(C)C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000824930724 603619696 /nfs/dbraw/zinc/61/96/96/603619696.db2.gz QAGCBYBJFUMVIE-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000824908495 603622017 /nfs/dbraw/zinc/62/20/17/603622017.db2.gz VPMBEZPPJYKIPV-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(C)(C)N1CCN(C(=O)[O-])CC1 ZINC000825055708 603661565 /nfs/dbraw/zinc/66/15/65/603661565.db2.gz MLBKZYGBVYLGPQ-LBPRGKRZSA-N 0 2 323.397 0.462 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1[C@H](C)OC(C)(C)N1C(=O)[O-] ZINC000825054606 603661593 /nfs/dbraw/zinc/66/15/93/603661593.db2.gz GAZZQGXFHLCMSV-OUAUKWLOSA-N 0 2 310.354 0.891 20 0 DCADLN O=C([O-])N1CC(OCC(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000831859264 603741000 /nfs/dbraw/zinc/74/10/00/603741000.db2.gz VNFDOEYVFAFEMN-UHFFFAOYSA-N 0 2 320.349 0.109 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H](C)C(F)(F)F)c1=O ZINC000825796577 607656569 /nfs/dbraw/zinc/65/65/69/607656569.db2.gz IFNRPQZEFRKVQU-ZCFIWIBFSA-N 0 2 318.259 0.408 20 0 DCADLN C[C@@]1(C(=O)NCC[N@@H+]2CCOCC2(C)C)CCN(C(=O)[O-])C1 ZINC000825663336 603925781 /nfs/dbraw/zinc/92/57/81/603925781.db2.gz OEZDXRACTGEHPI-OAHLLOKOSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@]1(C(=O)NCC[N@H+]2CCOCC2(C)C)CCN(C(=O)[O-])C1 ZINC000825663336 603925784 /nfs/dbraw/zinc/92/57/84/603925784.db2.gz OEZDXRACTGEHPI-OAHLLOKOSA-N 0 2 313.398 0.603 20 0 DCADLN Cn1cc(N2CC[N@H+](CC3CCN(C(=O)[O-])CC3)CC2=O)cn1 ZINC000831009269 603972772 /nfs/dbraw/zinc/97/27/72/603972772.db2.gz OZYQEHMSVRUIFK-UHFFFAOYSA-N 0 2 321.381 0.459 20 0 DCADLN Cn1cc(N2CC[N@@H+](CC3CCN(C(=O)[O-])CC3)CC2=O)cn1 ZINC000831009269 603972776 /nfs/dbraw/zinc/97/27/76/603972776.db2.gz OZYQEHMSVRUIFK-UHFFFAOYSA-N 0 2 321.381 0.459 20 0 DCADLN COC(=O)c1cn(C[N@@H+]2CCC[C@@H]([C@@H](C)NC(=O)[O-])C2)nn1 ZINC000828805151 604019644 /nfs/dbraw/zinc/01/96/44/604019644.db2.gz WADDTRYGVSZDPG-NXEZZACHSA-N 0 2 311.342 0.390 20 0 DCADLN COC(=O)c1cn(C[N@H+]2CCC[C@@H]([C@@H](C)NC(=O)[O-])C2)nn1 ZINC000828805151 604019648 /nfs/dbraw/zinc/01/96/48/604019648.db2.gz WADDTRYGVSZDPG-NXEZZACHSA-N 0 2 311.342 0.390 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000824830803 604195790 /nfs/dbraw/zinc/19/57/90/604195790.db2.gz FIGGEQHJNMHBIT-OLZOCXBDSA-N 0 2 313.398 0.556 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000317348062 604282308 /nfs/dbraw/zinc/28/23/08/604282308.db2.gz YPOXZFJQAKEDAW-VIFPVBQESA-N 0 2 309.366 0.780 20 0 DCADLN O=C([O-])NCCN1CC[NH+](Cc2ccc3c(c2)OCCO3)CC1 ZINC000832641703 604357296 /nfs/dbraw/zinc/35/72/96/604357296.db2.gz GCCDLQKISIFXQJ-UHFFFAOYSA-N 0 2 321.377 0.843 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc(Cl)n2)[C@@H](CNC(=O)[O-])C1 ZINC000828513587 604399749 /nfs/dbraw/zinc/39/97/49/604399749.db2.gz MNEIRWQKHIWWFP-VIFPVBQESA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc(Cl)n2)[C@@H](CNC(=O)[O-])C1 ZINC000828513587 604399752 /nfs/dbraw/zinc/39/97/52/604399752.db2.gz MNEIRWQKHIWWFP-VIFPVBQESA-N 0 2 312.757 0.759 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccnc(Cl)c2)[C@H](CNC(=O)[O-])C1 ZINC000828512990 604410588 /nfs/dbraw/zinc/41/05/88/604410588.db2.gz DQBVHYWWCVUFIK-SNVBAGLBSA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccnc(Cl)c2)[C@H](CNC(=O)[O-])C1 ZINC000828512990 604410589 /nfs/dbraw/zinc/41/05/89/604410589.db2.gz DQBVHYWWCVUFIK-SNVBAGLBSA-N 0 2 312.757 0.759 20 0 DCADLN C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000833631466 604552294 /nfs/dbraw/zinc/55/22/94/604552294.db2.gz OWNLKCVVTDKIJH-UWVGGRQHSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000833631466 604552296 /nfs/dbraw/zinc/55/22/96/604552296.db2.gz OWNLKCVVTDKIJH-UWVGGRQHSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833630495 604553323 /nfs/dbraw/zinc/55/33/23/604553323.db2.gz INVWWNAJMZMQPY-DTWKUNHWSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833630495 604553327 /nfs/dbraw/zinc/55/33/27/604553327.db2.gz INVWWNAJMZMQPY-DTWKUNHWSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)[C@@H](C)C[N@@H+]1C ZINC000833632378 604701471 /nfs/dbraw/zinc/70/14/71/604701471.db2.gz VWFWMBWDCVXNGL-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)[C@@H](C)C[N@H+]1C ZINC000833632378 604701473 /nfs/dbraw/zinc/70/14/73/604701473.db2.gz VWFWMBWDCVXNGL-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000833586578 604802960 /nfs/dbraw/zinc/80/29/60/604802960.db2.gz GODSXFAHOOSUHL-QWRGUYRKSA-N 0 2 319.427 0.052 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000833586578 604802961 /nfs/dbraw/zinc/80/29/61/604802961.db2.gz GODSXFAHOOSUHL-QWRGUYRKSA-N 0 2 319.427 0.052 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[NH+]2CCN(C(=O)[C@@H]3CCCO3)CC2)O1 ZINC000833579787 604823336 /nfs/dbraw/zinc/82/33/36/604823336.db2.gz MUTDPHYDEFGLOO-OCCSQVGLSA-N 0 2 324.377 0.457 20 0 DCADLN O=C(C[C@@H]1CN(C(=O)[O-])CCO1)NCCCCn1cc[nH+]c1 ZINC000831380541 604908770 /nfs/dbraw/zinc/90/87/70/604908770.db2.gz FDYSACQPRPKTGX-GFCCVEGCSA-N 0 2 310.354 0.548 20 0 DCADLN CCNC(=O)N1CC[NH+](Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC000833656223 605293610 /nfs/dbraw/zinc/29/36/10/605293610.db2.gz ABHVDJSMLWZPHA-UHFFFAOYSA-N 0 2 321.377 0.997 20 0 DCADLN O=C([O-])NCC(=O)NCC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000834198137 605367516 /nfs/dbraw/zinc/36/75/16/605367516.db2.gz CQVRXMKCCNLGCE-UHFFFAOYSA-N 0 2 317.305 0.195 20 0 DCADLN O=C([O-])N1CC[C@H](CNC(=O)NCCCCn2cc[nH+]c2)C1 ZINC000834040804 605376427 /nfs/dbraw/zinc/37/64/27/605376427.db2.gz TYLFZSHEKYHMAE-GFCCVEGCSA-N 0 2 309.370 0.962 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)O1 ZINC000833576881 605387613 /nfs/dbraw/zinc/38/76/13/605387613.db2.gz AVURPTORAGVLEP-GXSJLCMTSA-N 0 2 318.395 0.100 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)O1 ZINC000833576881 605387622 /nfs/dbraw/zinc/38/76/22/605387622.db2.gz AVURPTORAGVLEP-GXSJLCMTSA-N 0 2 318.395 0.100 20 0 DCADLN Cc1cccnc1NC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830595822 605453675 /nfs/dbraw/zinc/45/36/75/605453675.db2.gz VBTRBNPPCBGNLU-NSHDSACASA-N 0 2 307.354 0.806 20 0 DCADLN Cc1cccnc1NC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830595822 605453678 /nfs/dbraw/zinc/45/36/78/605453678.db2.gz VBTRBNPPCBGNLU-NSHDSACASA-N 0 2 307.354 0.806 20 0 DCADLN O=C([O-])Nc1ccc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)c(O)c1 ZINC000834257492 605666087 /nfs/dbraw/zinc/66/60/87/605666087.db2.gz NCGSKWHMBCTOGS-LLVKDONJSA-N 0 2 320.349 0.212 20 0 DCADLN O=C([O-])N1CCC[C@H](CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000834026022 605756723 /nfs/dbraw/zinc/75/67/23/605756723.db2.gz HFGMXLIZJAXPFP-VXGBXAGGSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CC[C@H](C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834017133 605975608 /nfs/dbraw/zinc/97/56/08/605975608.db2.gz ICTWYIFLJAIMAV-RYUDHWBXSA-N 0 2 304.350 0.776 20 0 DCADLN O=C(Cc1ccc(-c2nn[nH]n2)cc1)N1CCc2[nH]nnc2C1 ZINC000823078419 606286100 /nfs/dbraw/zinc/28/61/00/606286100.db2.gz MKTVMNDGKPGSLK-UHFFFAOYSA-N 0 2 310.321 0.112 20 0 DCADLN O=S(=O)(Nc1ncccc1F)c1ccc(-c2nn[nH]n2)nc1 ZINC000826493359 609342520 /nfs/dbraw/zinc/34/25/20/609342520.db2.gz HGMHWBRJNOQRKD-UHFFFAOYSA-N 0 2 321.297 0.597 20 0 DCADLN Cc1nnsc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027746684 660688005 /nfs/dbraw/zinc/68/80/05/660688005.db2.gz BBHDGBCHZIRSMV-QMMMGPOBSA-N 0 2 323.382 0.065 20 0 DCADLN Cc1cc(C(=O)[O-])ccc1S(=O)(=O)NCCn1cc[nH+]c1 ZINC000184882034 660743331 /nfs/dbraw/zinc/74/33/31/660743331.db2.gz DWEPTEQEQQRRMU-UHFFFAOYSA-N 0 2 309.347 0.868 20 0 DCADLN CCn1nccc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027846047 660828379 /nfs/dbraw/zinc/82/83/79/660828379.db2.gz XZLHVLUEHYXRDK-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1nccc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027846047 660828381 /nfs/dbraw/zinc/82/83/81/660828381.db2.gz XZLHVLUEHYXRDK-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN Cc1noc(C[NH2+]C[C@H]2C[C@H](C)N(C(=O)Cc3ccn[nH]3)C2)n1 ZINC000980460285 660944787 /nfs/dbraw/zinc/94/47/87/660944787.db2.gz HVHVZDTVUIJZDY-CMPLNLGQSA-N 0 2 318.381 0.670 20 0 DCADLN O=C(C[C@@H]1CCCCO1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980953166 661051203 /nfs/dbraw/zinc/05/12/03/661051203.db2.gz LCMPRFINHYYHJL-LBPRGKRZSA-N 0 2 323.397 0.504 20 0 DCADLN Cc1ncsc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010310828 661132360 /nfs/dbraw/zinc/13/23/60/661132360.db2.gz GHGOOXOPHGZAKB-QMMMGPOBSA-N 0 2 308.367 0.280 20 0 DCADLN O=C(C[C@@H]1C[C@H]1C1CC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981648258 661186347 /nfs/dbraw/zinc/18/63/47/661186347.db2.gz IASAKUOKNLJISH-STQMWFEESA-N 0 2 319.409 0.981 20 0 DCADLN C[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C(F)(F)F ZINC000981767403 661213819 /nfs/dbraw/zinc/21/38/19/661213819.db2.gz SCZFIOWMILEKLB-MRVPVSSYSA-N 0 2 321.303 0.743 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)O1 ZINC001028151667 661244992 /nfs/dbraw/zinc/24/49/92/661244992.db2.gz WXJDXSHRVKHMTI-OUAUKWLOSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)O1 ZINC001028151667 661244993 /nfs/dbraw/zinc/24/49/93/661244993.db2.gz WXJDXSHRVKHMTI-OUAUKWLOSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@H]1CCCO1 ZINC000981914084 661246256 /nfs/dbraw/zinc/24/62/56/661246256.db2.gz YWVXYJYTVHOAHU-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN O=C([C@@H]1C[C@H]2CC[C@@H]1O2)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982003990 661270780 /nfs/dbraw/zinc/27/07/80/661270780.db2.gz MMDIZWDGWBVAPQ-UTUOFQBUSA-N 0 2 321.381 0.112 20 0 DCADLN Cc1cncc(C[N@H+]2CCC[C@@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001028211296 661279197 /nfs/dbraw/zinc/27/91/97/661279197.db2.gz MKVRTACXEXOLOA-CYBMUJFWSA-N 0 2 300.366 0.903 20 0 DCADLN CCc1ncoc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032617634 665427534 /nfs/dbraw/zinc/42/75/34/665427534.db2.gz KBXFAUSWGXPVCJ-IUCAKERBSA-N 0 2 318.337 0.160 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC000938969878 665455775 /nfs/dbraw/zinc/45/57/75/665455775.db2.gz VVHMSLHAEKOGBN-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC000938969878 665455777 /nfs/dbraw/zinc/45/57/77/665455777.db2.gz VVHMSLHAEKOGBN-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970391761 657464731 /nfs/dbraw/zinc/46/47/31/657464731.db2.gz CLASFDAXMZFSTO-CKYFFXLPSA-N 0 2 307.398 0.883 20 0 DCADLN CCc1ncoc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970394465 657468779 /nfs/dbraw/zinc/46/87/79/657468779.db2.gz HGOFASAYJOTUBI-MRVPVSSYSA-N 0 2 320.353 0.311 20 0 DCADLN Cc1oncc1C[NH2+]C1CC(N(C)C(=O)[C@H]2C[N@H+](C)CCO2)C1 ZINC000998584982 665484943 /nfs/dbraw/zinc/48/49/43/665484943.db2.gz IOGZFFXSRHHMGA-YMAMQOFZSA-N 0 2 322.409 0.393 20 0 DCADLN CCc1occc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038218393 657511661 /nfs/dbraw/zinc/51/16/61/657511661.db2.gz DQFNQZCEDTXDIO-VIFPVBQESA-N 0 2 305.338 0.670 20 0 DCADLN O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)C(F)C(F)(F)F ZINC000890535735 657611044 /nfs/dbraw/zinc/61/10/44/657611044.db2.gz UKHANFRNGFYXND-IMTBSYHQSA-N 0 2 308.235 0.778 20 0 DCADLN O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)[C@@H](F)C(F)(F)F ZINC000890535735 657611046 /nfs/dbraw/zinc/61/10/46/657611046.db2.gz UKHANFRNGFYXND-IMTBSYHQSA-N 0 2 308.235 0.778 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC(Cc2ccoc2)C1 ZINC000890569292 657683320 /nfs/dbraw/zinc/68/33/20/657683320.db2.gz JUFWBDFYMAVBTE-UHFFFAOYSA-N 0 2 313.317 0.365 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC[C@H]2C[C@H]21 ZINC001031793860 665508020 /nfs/dbraw/zinc/50/80/20/665508020.db2.gz PIKOHDVMZONGIB-QJPTWQEYSA-N 0 2 305.382 0.495 20 0 DCADLN C[C@H](NC(=O)c1ccn(C)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969492942 657930438 /nfs/dbraw/zinc/93/04/38/657930438.db2.gz FFYKOMXMAFRQSG-VIFPVBQESA-N 0 2 304.354 0.099 20 0 DCADLN Cc1nc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC000969529654 657953101 /nfs/dbraw/zinc/95/31/01/657953101.db2.gz MEVVXAHADQOIJN-SSDOTTSWSA-N 0 2 306.326 0.057 20 0 DCADLN C[C@H]([NH2+][C@@H]1CCN(C(=O)[C@H]2CCC[N@@H+]2C)C1)c1csnn1 ZINC000969595363 658012845 /nfs/dbraw/zinc/01/28/45/658012845.db2.gz XQHJXDUZEGTQIK-DMDPSCGWSA-N 0 2 309.439 0.884 20 0 DCADLN CC(C)CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ncn[nH]3)C2)C1 ZINC000972633189 658127439 /nfs/dbraw/zinc/12/74/39/658127439.db2.gz YOWZANREALSMMX-INIZCTEOSA-N 0 2 321.425 0.697 20 0 DCADLN C[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1CNC(=O)c1cn[nH]n1 ZINC001020699630 658401395 /nfs/dbraw/zinc/40/13/95/658401395.db2.gz ABKKCDMGQHJFAT-VHSXEESVSA-N 0 2 317.353 0.056 20 0 DCADLN C[C@H]1CN(C(=O)c2cc[nH]n2)CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001020699630 658401402 /nfs/dbraw/zinc/40/14/02/658401402.db2.gz ABKKCDMGQHJFAT-VHSXEESVSA-N 0 2 317.353 0.056 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)[C@H]1CCCO1 ZINC000972783472 658413679 /nfs/dbraw/zinc/41/36/79/658413679.db2.gz QADOOWXUTIKNJF-ZJUUUORDSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1oc(C)c(S(=O)(=O)NCCn2cc[nH+]c2)c1C(=O)[O-] ZINC000048686974 658428039 /nfs/dbraw/zinc/42/80/39/658428039.db2.gz JXXGHJAQDGCSCJ-UHFFFAOYSA-N 0 2 313.335 0.770 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C[C@H]1CCCO1 ZINC000972826185 658464775 /nfs/dbraw/zinc/46/47/75/658464775.db2.gz WBQGVYVBESBVHR-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C[C@H]1CCCO1 ZINC000972826185 658464781 /nfs/dbraw/zinc/46/47/81/658464781.db2.gz WBQGVYVBESBVHR-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038140454 658483209 /nfs/dbraw/zinc/48/32/09/658483209.db2.gz HQHFSUFWGNEGEI-SECBINFHSA-N 0 2 306.326 0.119 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1=COCCC1 ZINC001024354528 658584910 /nfs/dbraw/zinc/58/49/10/658584910.db2.gz LHKIYBMOPARPBV-GFCCVEGCSA-N 0 2 321.381 0.675 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1=COCCC1 ZINC001024354528 658584915 /nfs/dbraw/zinc/58/49/15/658584915.db2.gz LHKIYBMOPARPBV-GFCCVEGCSA-N 0 2 321.381 0.675 20 0 DCADLN Cn1cc(C[N@H+](C)[C@H]2CCN(C(=O)CCc3cnn[nH]3)C2)cn1 ZINC000972373930 658634078 /nfs/dbraw/zinc/63/40/78/658634078.db2.gz NWUGRERXKDOLTP-AWEZNQCLSA-N 0 2 317.397 0.204 20 0 DCADLN Cn1cc(C[N@@H+](C)[C@H]2CCN(C(=O)CCc3cnn[nH]3)C2)cn1 ZINC000972373930 658634089 /nfs/dbraw/zinc/63/40/89/658634089.db2.gz NWUGRERXKDOLTP-AWEZNQCLSA-N 0 2 317.397 0.204 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(OCCCO)cc2)S1 ZINC000804758379 658636515 /nfs/dbraw/zinc/63/65/15/658636515.db2.gz CVZHOVILSCKHAU-LLVKDONJSA-N 0 2 323.374 0.943 20 0 DCADLN Cc1nc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cs1 ZINC000949834408 658734886 /nfs/dbraw/zinc/73/48/86/658734886.db2.gz CYIVSJULAUBBPW-UHFFFAOYSA-N 0 2 308.367 0.233 20 0 DCADLN C[NH+]1CCO[C@H](C(=O)N2C[C@H]3CCC[C@@H](C2)[N@H+]3CC2CC2)C1 ZINC001039536815 658924522 /nfs/dbraw/zinc/92/45/22/658924522.db2.gz LEXNCYKPGYRQDT-XHSDSOJGSA-N 0 2 307.438 0.792 20 0 DCADLN O=C(N[C@@H]1CCC[NH+](CCF)C1)[C@H]1C[N@@H+]2CC3CC2(C3)CO1 ZINC001007987543 659160511 /nfs/dbraw/zinc/16/05/11/659160511.db2.gz CNYPOEVNOSJEPA-MYMJJRTCSA-N 0 2 311.401 0.400 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)c3cocn3)C[C@H]21 ZINC000976928479 659498299 /nfs/dbraw/zinc/49/82/99/659498299.db2.gz VAZSGUUUSYUVRE-MSRIBSCDSA-N 0 2 315.333 0.135 20 0 DCADLN Cc1ncc(C(=O)N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1C ZINC000936337721 659525885 /nfs/dbraw/zinc/52/58/85/659525885.db2.gz XOLRYFPFCRGDCY-LLVKDONJSA-N 0 2 316.365 0.025 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC000977408345 659684375 /nfs/dbraw/zinc/68/43/75/659684375.db2.gz IUKIGJXRPJKEQG-RKDXNWHRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC000977408345 659684378 /nfs/dbraw/zinc/68/43/78/659684378.db2.gz IUKIGJXRPJKEQG-RKDXNWHRSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000974894958 659713153 /nfs/dbraw/zinc/71/31/53/659713153.db2.gz OFFFFESBFXHWQK-SNVBAGLBSA-N 0 2 319.369 0.182 20 0 DCADLN CC(C)[N@@H+]1CCC[C@@H]1C(=O)NC[C@@H]1CC[NH+](Cc2cnon2)C1 ZINC001028537119 661602337 /nfs/dbraw/zinc/60/23/37/661602337.db2.gz HJKBYPXBJHMEBX-DZGCQCFKSA-N 0 2 321.425 0.881 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000982849715 661699209 /nfs/dbraw/zinc/69/92/09/661699209.db2.gz SDLMMGOTFWQZKW-SFYZADRCSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000982849715 661699212 /nfs/dbraw/zinc/69/92/12/661699212.db2.gz SDLMMGOTFWQZKW-SFYZADRCSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000982851425 661700505 /nfs/dbraw/zinc/70/05/05/661700505.db2.gz ZDGFAPAWLXBGSQ-SVRRBLITSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(NC[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C1)c1nc[nH]n1 ZINC001028876061 661860666 /nfs/dbraw/zinc/86/06/66/661860666.db2.gz PNENZTFCXPEPNO-JTQLQIEISA-N 0 2 304.329 0.591 20 0 DCADLN O=C(NC[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C1)c1nc[nH]n1 ZINC001028876061 661860669 /nfs/dbraw/zinc/86/06/69/661860669.db2.gz PNENZTFCXPEPNO-JTQLQIEISA-N 0 2 304.329 0.591 20 0 DCADLN Cc1ccoc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029212417 662051714 /nfs/dbraw/zinc/05/17/14/662051714.db2.gz QHRHRKNWICZYBV-PHIMTYICSA-N 0 2 317.349 0.901 20 0 DCADLN Cc1ocnc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029233587 662061188 /nfs/dbraw/zinc/06/11/88/662061188.db2.gz HDVXSEIZVMNXBH-AOOOYVTPSA-N 0 2 318.337 0.296 20 0 DCADLN Cn1c[nH+]cc1CC(=O)NCCOc1ccc(C(=O)[O-])cc1 ZINC000909434525 662068147 /nfs/dbraw/zinc/06/81/47/662068147.db2.gz FNOYBIXUAZMTKS-UHFFFAOYSA-N 0 2 303.318 0.856 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C(F)F)C1)C(F)C(F)(F)F ZINC001040068864 662352918 /nfs/dbraw/zinc/35/29/18/662352918.db2.gz BQNLCYRBDWMTFI-SSDLBLMSSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C(F)F)C1)[C@H](F)C(F)(F)F ZINC001040068864 662352922 /nfs/dbraw/zinc/35/29/22/662352922.db2.gz BQNLCYRBDWMTFI-SSDLBLMSSA-N 0 2 322.205 0.232 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001007010113 662357239 /nfs/dbraw/zinc/35/72/39/662357239.db2.gz NCOBYZRVCXYUSR-JTQLQIEISA-N 0 2 320.353 0.510 20 0 DCADLN Cn1cnnc1C[NH+](C)C[C@@H]1CCN(C(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001029672710 662447184 /nfs/dbraw/zinc/44/71/84/662447184.db2.gz NJPLZBODFFHDJE-KBPBESRZSA-N 0 2 320.441 0.190 20 0 DCADLN C[NH+](Cc1nncs1)C[C@H]1CCN(C(=O)[C@H]2CCC[N@H+]2C)C1 ZINC001029675625 662448551 /nfs/dbraw/zinc/44/85/51/662448551.db2.gz RUCHETPWVGGLDF-CHWSQXEVSA-N 0 2 323.466 0.913 20 0 DCADLN Cn1cncc1C[N@H+](C)C[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001029853878 662498983 /nfs/dbraw/zinc/49/89/83/662498983.db2.gz SFTGFDJHPQRXRX-ZDUSSCGKSA-N 0 2 316.409 0.666 20 0 DCADLN C[C@H]1[C@@H]([NH2+]Cc2ncccn2)CCN1C(=O)CCc1cn[nH]n1 ZINC000987019102 662635441 /nfs/dbraw/zinc/63/54/41/662635441.db2.gz VDSXSXAXJFIIMZ-AAEUAGOBSA-N 0 2 315.381 0.307 20 0 DCADLN O=C([O-])[C@@H](CC(F)(F)F)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000909671468 662688565 /nfs/dbraw/zinc/68/85/65/662688565.db2.gz PLUKWVXWJFXYQA-VXNVDRBHSA-N 0 2 305.256 0.967 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)[C@@H]1CCC(=O)NC1=O ZINC000788022807 662715990 /nfs/dbraw/zinc/71/59/90/662715990.db2.gz GWYDEFZZMHOGPR-MRVPVSSYSA-N 0 2 306.347 0.634 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)C1=COCCO1 ZINC000990141306 663009258 /nfs/dbraw/zinc/00/92/58/663009258.db2.gz ISDXUZYFXZFYKX-QMMMGPOBSA-N 0 2 312.219 0.102 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)C1=COCCO1 ZINC000990141306 663009259 /nfs/dbraw/zinc/00/92/59/663009259.db2.gz ISDXUZYFXZFYKX-QMMMGPOBSA-N 0 2 312.219 0.102 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990575501 663068427 /nfs/dbraw/zinc/06/84/27/663068427.db2.gz CIYLAPXZVOPZCK-OIBJUYFYSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990575501 663068428 /nfs/dbraw/zinc/06/84/28/663068428.db2.gz CIYLAPXZVOPZCK-OIBJUYFYSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1ncoc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990663408 663079744 /nfs/dbraw/zinc/07/97/44/663079744.db2.gz AJMANQVEJRQCAR-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1ncoc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990663408 663079746 /nfs/dbraw/zinc/07/97/46/663079746.db2.gz AJMANQVEJRQCAR-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN CN(C)[C@@H](C(=O)NCc1nc(O)cc(=O)[nH]1)c1ccccc1 ZINC000898750262 663106162 /nfs/dbraw/zinc/10/61/62/663106162.db2.gz GCLDHRJUOAPYSS-CQSZACIVSA-N 0 2 302.334 0.807 20 0 DCADLN COc1ccc(OC)c(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1 ZINC000899025218 663118094 /nfs/dbraw/zinc/11/80/94/663118094.db2.gz HWMYFSFCIQINRW-MRVPVSSYSA-N 0 2 307.306 0.631 20 0 DCADLN Cc1c(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)cnn1CC(C)C ZINC000899031607 663119595 /nfs/dbraw/zinc/11/95/95/663119595.db2.gz BGNCBJAJOCHOMD-SNVBAGLBSA-N 0 2 307.354 0.775 20 0 DCADLN CSCc1nc(CNC(=O)C2C[NH+]([C@H]3CCOC3)C2)n[nH]1 ZINC000899473849 663146801 /nfs/dbraw/zinc/14/68/01/663146801.db2.gz RXKRNFGZNPDBCT-JTQLQIEISA-N 0 2 311.411 0.005 20 0 DCADLN CSCc1nnc(CNC(=O)[C@@H](C)C[NH+]2CCOCC2)[nH]1 ZINC000899473714 663147041 /nfs/dbraw/zinc/14/70/41/663147041.db2.gz RDAXEEYJTOBORH-JTQLQIEISA-N 0 2 313.427 0.252 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C(=O)[O-])c1 ZINC000899788957 663189481 /nfs/dbraw/zinc/18/94/81/663189481.db2.gz JNTRSRGFKGDQHM-IZBNFRDHSA-N 0 2 303.362 0.992 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)C1(C(=O)[O-])C(C)(C)C1(C)C ZINC000901967708 663376320 /nfs/dbraw/zinc/37/63/20/663376320.db2.gz OGUBHTJYHYEYFM-NSHDSACASA-N 0 2 312.410 0.960 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000902063559 663383521 /nfs/dbraw/zinc/38/35/21/663383521.db2.gz VQWCZVMGNCAHJJ-UYUMYWFVSA-N 0 2 305.334 0.083 20 0 DCADLN CC[C@@]1(C)CC(=O)N(CN2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)C1 ZINC000902614472 663422303 /nfs/dbraw/zinc/42/23/03/663422303.db2.gz IFOJWQBVULUSAI-DYEKYZERSA-N 0 2 317.455 0.449 20 0 DCADLN CCOC(=O)c1cnc(NS(=O)(=O)CC2(F)CC2)n1C ZINC000903625466 663471055 /nfs/dbraw/zinc/47/10/55/663471055.db2.gz XEFPUWVHHACLFX-UHFFFAOYSA-N 0 2 305.331 0.841 20 0 DCADLN COc1cc(NS(=O)(=O)c2cnc3n2CCCC3)n(C)n1 ZINC000903649011 663472349 /nfs/dbraw/zinc/47/23/49/663472349.db2.gz PLRLXTXPJRNENB-UHFFFAOYSA-N 0 2 311.367 0.762 20 0 DCADLN Cn1nc(NS(=O)(=O)N=S(C)(C)=O)cc1C(F)(F)F ZINC000903658431 663472830 /nfs/dbraw/zinc/47/28/30/663472830.db2.gz NOTAJBJTHJEASK-UHFFFAOYSA-N 0 2 320.318 0.823 20 0 DCADLN CCOCc1nc(C)cc(N2N=C(C)[C@@H](NC(C)=O)C2=O)n1 ZINC000905022940 663526798 /nfs/dbraw/zinc/52/67/98/663526798.db2.gz HVQLKKNTBQXQGE-CYBMUJFWSA-N 0 2 305.338 0.549 20 0 DCADLN CO[C@]1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCSC1 ZINC000928496517 668590730 /nfs/dbraw/zinc/59/07/30/668590730.db2.gz BRVFXIXGSCDYMK-HQJQHLMTSA-N 0 2 303.409 0.181 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@]12C[C@@H]1CCCC2 ZINC000927449336 663606222 /nfs/dbraw/zinc/60/62/22/663606222.db2.gz QNYACDBMUNRECX-ZUZCIYMTSA-N 0 2 301.350 0.768 20 0 DCADLN Cn1cncc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000941363827 665981244 /nfs/dbraw/zinc/98/12/44/665981244.db2.gz NHCZSWRKNNTSHF-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000941363827 665981248 /nfs/dbraw/zinc/98/12/48/665981248.db2.gz NHCZSWRKNNTSHF-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN CC[C@H](C)c1nc([C@H](C)NC(=O)[C@@]2(C)C[C@H](O)C[N@H+]2C)n[nH]1 ZINC000907723208 663714519 /nfs/dbraw/zinc/71/45/19/663714519.db2.gz XFJIUSUQWLVNMC-USXUVBGUSA-N 0 2 309.414 0.951 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000909059728 663780292 /nfs/dbraw/zinc/78/02/92/663780292.db2.gz OVYLVKRIFOPSDE-AWEZNQCLSA-N 0 2 315.329 0.727 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)CCc2c[nH+]cn2C)C1 ZINC000909716131 663795302 /nfs/dbraw/zinc/79/53/02/663795302.db2.gz UBIBTEMAFJMDNU-HNNXBMFYSA-N 0 2 309.366 0.693 20 0 DCADLN CCn1ncc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991186960 663795677 /nfs/dbraw/zinc/79/56/77/663795677.db2.gz IOXRQNYGKRFIEJ-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1ncc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000991186960 663795678 /nfs/dbraw/zinc/79/56/78/663795678.db2.gz IOXRQNYGKRFIEJ-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN O=C([O-])c1cnn(C2CCN(C(=O)Cn3cc[nH+]c3)CC2)c1 ZINC000909826931 663806896 /nfs/dbraw/zinc/80/68/96/663806896.db2.gz BBKYQNLRNGZTKP-UHFFFAOYSA-N 0 2 303.322 0.642 20 0 DCADLN COC1(C[C@@H](NC(=O)[C@@H]2CCn3cc[nH+]c3C2)C(=O)[O-])CCC1 ZINC000909896705 663818560 /nfs/dbraw/zinc/81/85/60/663818560.db2.gz WVGHOUIHEWVTIR-VXGBXAGGSA-N 0 2 321.377 0.974 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@H]2CCn3cc[nH+]c3C2)[C@@](C)(C(=O)[O-])C1 ZINC000910265376 663861341 /nfs/dbraw/zinc/86/13/41/663861341.db2.gz QEBNIWLTAFRGMC-ZIBATOQPSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])[C@H](CC1CCOCC1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000910456860 663886016 /nfs/dbraw/zinc/88/60/16/663886016.db2.gz ZRTNZANHBXCCKC-STQMWFEESA-N 0 2 321.377 0.832 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N(C)[C@H]3C[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910474885 663889693 /nfs/dbraw/zinc/88/96/93/663889693.db2.gz FRKQJAXHYZURNP-XQHKEYJVSA-N 0 2 320.393 0.983 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N(C)[C@H]3C[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910474885 663889696 /nfs/dbraw/zinc/88/96/96/663889696.db2.gz FRKQJAXHYZURNP-XQHKEYJVSA-N 0 2 320.393 0.983 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CC[C@@](O)(C(=O)[O-])C3)[nH+]c12 ZINC000910528930 663900124 /nfs/dbraw/zinc/90/01/24/663900124.db2.gz GYGHOCFCLJDACI-HNNXBMFYSA-N 0 2 303.318 0.233 20 0 DCADLN O=C([O-])C12CC(C(=O)N3CCN(c4cccc[nH+]4)CC3)(C1)C2 ZINC000911093979 663981262 /nfs/dbraw/zinc/98/12/62/663981262.db2.gz VHKZGPPKHNFYKA-UHFFFAOYSA-N 0 2 301.346 0.985 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@@H](O)C3CCC3)CC2)[nH+]c1 ZINC000911166939 663996969 /nfs/dbraw/zinc/99/69/69/663996969.db2.gz AUIKCJJOPFBLJO-AWEZNQCLSA-N 0 2 319.361 0.589 20 0 DCADLN C[C@@H]1CO[C@H](C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)C1 ZINC000911170477 664000788 /nfs/dbraw/zinc/00/07/88/664000788.db2.gz UNGSMNFOTLCNCZ-AAEUAGOBSA-N 0 2 319.361 0.853 20 0 DCADLN COC[C@H](OC)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911168994 664000883 /nfs/dbraw/zinc/00/08/83/664000883.db2.gz DGVCCTHMWTYWJU-LBPRGKRZSA-N 0 2 323.349 0.090 20 0 DCADLN CCCOCC(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171909 664001175 /nfs/dbraw/zinc/00/11/75/664001175.db2.gz CESYNRHVHOQQTN-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN CO[C@@H]1C[C@H]1C(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911307387 664024715 /nfs/dbraw/zinc/02/47/15/664024715.db2.gz DCQWOFGQCZBMON-CHWSQXEVSA-N 0 2 319.361 0.853 20 0 DCADLN O=C([O-])c1cnn(CC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)c1 ZINC000911335141 664030145 /nfs/dbraw/zinc/03/01/45/664030145.db2.gz UBOQXQPYOQFLCK-GFCCVEGCSA-N 0 2 303.322 0.642 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000911320821 664032975 /nfs/dbraw/zinc/03/29/75/664032975.db2.gz YYWFEHSDEANFJS-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000911320821 664032978 /nfs/dbraw/zinc/03/29/78/664032978.db2.gz YYWFEHSDEANFJS-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN O=C([O-])COc1cccc(C(=O)N[C@@H]2Cc3c[nH+]cn3C2)c1 ZINC000911755882 664106584 /nfs/dbraw/zinc/10/65/84/664106584.db2.gz QXCHZECHBQKIKW-LLVKDONJSA-N 0 2 301.302 0.701 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C[C@H](C)[NH2+]1 ZINC000911797553 664110523 /nfs/dbraw/zinc/11/05/23/664110523.db2.gz SCDQKLFMYSLUNX-BARDWOONSA-N 0 2 311.426 0.075 20 0 DCADLN CN(CC1C[NH+](C)C1)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000912455251 664177527 /nfs/dbraw/zinc/17/75/27/664177527.db2.gz PPDSCNXUUFBRSK-UHFFFAOYSA-N 0 2 320.437 0.454 20 0 DCADLN CCOc1nc(C)ccc1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC000912819392 664232677 /nfs/dbraw/zinc/23/26/77/664232677.db2.gz QLUMJNKYTIOXLV-SECBINFHSA-N 0 2 306.322 0.716 20 0 DCADLN Cc1ccnn1CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991591069 664458522 /nfs/dbraw/zinc/45/85/22/664458522.db2.gz JFLQFCVEUCEMQA-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN Cc1ccnn1CC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000991591069 664458525 /nfs/dbraw/zinc/45/85/25/664458525.db2.gz JFLQFCVEUCEMQA-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN CC[C@@H]1CCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950099104 664492982 /nfs/dbraw/zinc/49/29/82/664492982.db2.gz GOSAZQLQAONWMW-NEPJUHHUSA-N 0 2 307.398 0.981 20 0 DCADLN C[C@@H]1C[C@H]1c1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001030302824 664507132 /nfs/dbraw/zinc/50/71/32/664507132.db2.gz NQBWAAWQLXPKSG-PSASIEDQSA-N 0 2 317.349 0.841 20 0 DCADLN CCn1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001030825251 664678972 /nfs/dbraw/zinc/67/89/72/664678972.db2.gz FKVAHNSMGMHXNP-UHFFFAOYSA-N 0 2 304.354 0.254 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1nc(Cl)cs1 ZINC001030842392 664683631 /nfs/dbraw/zinc/68/36/31/664683631.db2.gz UPTPFRQIHFVTDB-UHFFFAOYSA-N 0 2 314.758 0.234 20 0 DCADLN Cc1ccc(F)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031011594 664755707 /nfs/dbraw/zinc/75/57/07/664755707.db2.gz SSHOCNDQNSKVEU-UHFFFAOYSA-N 0 2 305.313 0.572 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1scnc1C1CC1 ZINC001031070904 664784586 /nfs/dbraw/zinc/78/45/86/664784586.db2.gz VXSZNFVGMPRMGX-UHFFFAOYSA-N 0 2 320.378 0.458 20 0 DCADLN Cc1nc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)sc1C ZINC000730531586 664787150 /nfs/dbraw/zinc/78/71/50/664787150.db2.gz SDOAYNKGVJTRDM-UHFFFAOYSA-N 0 2 304.335 0.783 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(C[C@H]1CCOC1)C1CC1 ZINC000730535816 664790168 /nfs/dbraw/zinc/79/01/68/664790168.db2.gz YYIUKYZFIUDCJI-SNVBAGLBSA-N 0 2 317.349 0.099 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]1c1ccccn1 ZINC000730536855 664790717 /nfs/dbraw/zinc/79/07/17/664790717.db2.gz WOKRDKCEYHBALH-ZDUSSCGKSA-N 0 2 324.344 0.830 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C)on1 ZINC000993055245 664797539 /nfs/dbraw/zinc/79/75/39/664797539.db2.gz ZVTBUMBHIZKUBG-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1ccc(F)cc1 ZINC000730657191 664799258 /nfs/dbraw/zinc/79/92/58/664799258.db2.gz KLQGRWOMPSXBRV-UHFFFAOYSA-N 0 2 302.265 0.954 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cncs2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993092140 664806857 /nfs/dbraw/zinc/80/68/57/664806857.db2.gz PMPJNSPFWGCGPG-BDAKNGLRSA-N 0 2 322.394 0.750 20 0 DCADLN CC(C)(C)NS(=O)(=O)CCNc1[nH+]cccc1C(=O)[O-] ZINC000914787442 664823610 /nfs/dbraw/zinc/82/36/10/664823610.db2.gz PBLYUKCXJPDLAO-UHFFFAOYSA-N 0 2 301.368 0.910 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000993735511 664997088 /nfs/dbraw/zinc/99/70/88/664997088.db2.gz WULUTXYQWBUGAU-GXSJLCMTSA-N 0 2 320.353 0.590 20 0 DCADLN COc1cccc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031345401 665098840 /nfs/dbraw/zinc/09/88/40/665098840.db2.gz QPKMWKCFXQYJSZ-UHFFFAOYSA-N 0 2 317.349 0.062 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CC[N@@H+](C2CC2)C1 ZINC000918892000 665188628 /nfs/dbraw/zinc/18/86/28/665188628.db2.gz CXGRSWDVWHXFAR-KBPBESRZSA-N 0 2 310.442 0.633 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CC[N@@H+](C2CC2)C1 ZINC000918892002 665188649 /nfs/dbraw/zinc/18/86/49/665188649.db2.gz CXGRSWDVWHXFAR-UONOGXRCSA-N 0 2 310.442 0.633 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2C[NH+](Cc3cnc(C)nc3)C2)c1[O-] ZINC001031616035 665232845 /nfs/dbraw/zinc/23/28/45/665232845.db2.gz LRCIPGGWEBVJSF-UHFFFAOYSA-N 0 2 316.365 0.384 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1=CCCCCC1 ZINC001031631606 665248528 /nfs/dbraw/zinc/24/85/28/665248528.db2.gz YDYDUOKAGRUGKM-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938393193 665353466 /nfs/dbraw/zinc/35/34/66/665353466.db2.gz NPWZXTLGPNMODF-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938393193 665353468 /nfs/dbraw/zinc/35/34/68/665353468.db2.gz NPWZXTLGPNMODF-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN C[C@@H](c1nncn1C)[NH+]1CCC(CNC(=O)c2nnc[nH]2)CC1 ZINC001001952496 666114366 /nfs/dbraw/zinc/11/43/66/666114366.db2.gz GJXNTFRKRDVPEP-JTQLQIEISA-N 0 2 318.385 0.136 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC=CCC1 ZINC001002330305 666156362 /nfs/dbraw/zinc/15/63/62/666156362.db2.gz XQLFAEMTJLRDIP-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN Cn1ccnc1C[NH2+]C[C@@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000995244847 666165533 /nfs/dbraw/zinc/16/55/33/666165533.db2.gz VCFXFANCYXSIPN-ZIAGYGMSSA-N 0 2 316.409 0.691 20 0 DCADLN Cc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)cnn1 ZINC001002687974 666206369 /nfs/dbraw/zinc/20/63/69/666206369.db2.gz JNAQOJFGSKRAOH-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(NCC1C[NH+](CCOc2ccccc2F)C1)c1ncn[nH]1 ZINC001032060810 666239922 /nfs/dbraw/zinc/23/99/22/666239922.db2.gz HESMGWPHTDWAGM-UHFFFAOYSA-N 0 2 319.340 0.684 20 0 DCADLN C[C@H]1C[C@@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001003198198 666284144 /nfs/dbraw/zinc/28/41/44/666284144.db2.gz OBNDIZYPVWJTSM-VHSXEESVSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2ccnn2C)CC[C@@H]1NC(=O)c1nc[nH]n1 ZINC000943674545 666307056 /nfs/dbraw/zinc/30/70/56/666307056.db2.gz IVXHBUIJWRDCPR-PWSUYJOCSA-N 0 2 303.370 0.179 20 0 DCADLN CCc1oc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001032123372 666353718 /nfs/dbraw/zinc/35/37/18/666353718.db2.gz ZFOVPJXVTQJBAH-UHFFFAOYSA-N 0 2 319.365 0.836 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001003812700 666367193 /nfs/dbraw/zinc/36/71/93/666367193.db2.gz VNOYBZLWHLMWIF-ZETCQYMHSA-N 0 2 310.207 0.158 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC001003812700 666367195 /nfs/dbraw/zinc/36/71/95/666367195.db2.gz VNOYBZLWHLMWIF-ZETCQYMHSA-N 0 2 310.207 0.158 20 0 DCADLN Cc1ccc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001032887939 666371651 /nfs/dbraw/zinc/37/16/51/666371651.db2.gz QPKBOWMSADMMCQ-SNVBAGLBSA-N 0 2 305.338 0.758 20 0 DCADLN CN(C(=O)c1ccc(F)nc1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032958470 666405706 /nfs/dbraw/zinc/40/57/06/666405706.db2.gz AMNJSSZMTZAGLQ-JTQLQIEISA-N 0 2 320.328 0.391 20 0 DCADLN CCn1cnc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001003986397 666422912 /nfs/dbraw/zinc/42/29/12/666422912.db2.gz XMEXGZVCHGGGOG-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CN(C(=O)[C@H]1CCCOCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033040790 666450905 /nfs/dbraw/zinc/45/09/05/666450905.db2.gz AEAKKUKAQRBPFV-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@H]1CCCOCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033040790 666450908 /nfs/dbraw/zinc/45/09/08/666450908.db2.gz AEAKKUKAQRBPFV-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(C(F)F)N1C[C@@H]2C[C@H]1CN2Cc1cc(=O)n2[nH]ccc2n1 ZINC001032239080 666576404 /nfs/dbraw/zinc/57/64/04/666576404.db2.gz SRMYLSCGIIMKIO-UWVGGRQHSA-N 0 2 323.303 0.073 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC001004749934 666587941 /nfs/dbraw/zinc/58/79/41/666587941.db2.gz JRMGEACNQIRJAW-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC001004749934 666587943 /nfs/dbraw/zinc/58/79/43/666587943.db2.gz JRMGEACNQIRJAW-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN CN(C(=O)c1ccc[nH]1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005104754 666680088 /nfs/dbraw/zinc/68/00/88/666680088.db2.gz VADIWFRZHWXVGB-UHFFFAOYSA-N 0 2 304.354 0.575 20 0 DCADLN CN(C(=O)Cc1cscn1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033476527 666722425 /nfs/dbraw/zinc/72/24/25/666722425.db2.gz BQAMFQFJMMFGRB-SNVBAGLBSA-N 0 2 322.394 0.242 20 0 DCADLN CN(C(=O)Cc1cscn1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033476527 666722428 /nfs/dbraw/zinc/72/24/28/666722428.db2.gz BQAMFQFJMMFGRB-SNVBAGLBSA-N 0 2 322.394 0.242 20 0 DCADLN CN(C(=O)C1(C)CCOCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033508380 666730286 /nfs/dbraw/zinc/73/02/86/666730286.db2.gz LXWPETCCDYUKPB-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)C1(C)CCOCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033508380 666730288 /nfs/dbraw/zinc/73/02/88/666730288.db2.gz LXWPETCCDYUKPB-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@H]1CCCCO1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005255562 666778539 /nfs/dbraw/zinc/77/85/39/666778539.db2.gz JKASJIYACZACCM-GFCCVEGCSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)C1=COCCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033781386 666825787 /nfs/dbraw/zinc/82/57/87/666825787.db2.gz XYGKLEAARQIMQK-GFCCVEGCSA-N 0 2 321.381 0.627 20 0 DCADLN CCN(C(=O)C1=COCCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033781386 666825789 /nfs/dbraw/zinc/82/57/89/666825789.db2.gz XYGKLEAARQIMQK-GFCCVEGCSA-N 0 2 321.381 0.627 20 0 DCADLN CCN(C(=O)[C@H]1OCC[C@H]1C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033957674 666877988 /nfs/dbraw/zinc/87/79/88/666877988.db2.gz JGYNNBYJWRUORQ-MDZLAQPJSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)[C@H]1OCC[C@H]1C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033957674 666877992 /nfs/dbraw/zinc/87/79/92/666877992.db2.gz JGYNNBYJWRUORQ-MDZLAQPJSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)c1nccs1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033999050 666891528 /nfs/dbraw/zinc/89/15/28/666891528.db2.gz KUOJKNDCIKXQHJ-VIFPVBQESA-N 0 2 322.394 0.703 20 0 DCADLN CCC[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)OCC ZINC000862417190 667042795 /nfs/dbraw/zinc/04/27/95/667042795.db2.gz DFXXJUSTJYHHAE-SNVBAGLBSA-N 0 2 307.354 0.393 20 0 DCADLN COCCC1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000862431432 667043602 /nfs/dbraw/zinc/04/36/02/667043602.db2.gz MIPHNNRBFCZVFR-UHFFFAOYSA-N 0 2 319.365 0.346 20 0 DCADLN Cc1nscc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032365176 667064808 /nfs/dbraw/zinc/06/48/08/667064808.db2.gz NHCOMBZSEXHISK-IUCAKERBSA-N 0 2 320.378 0.374 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H]2C[NH2+]Cc2cnon2)c1[O-] ZINC001034908312 667181058 /nfs/dbraw/zinc/18/10/58/667181058.db2.gz HYOBRGLMDUBDPU-SNVBAGLBSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)[C@@H]1CC=CCC1 ZINC001035294422 667284199 /nfs/dbraw/zinc/28/41/99/667284199.db2.gz SFWYMWALKIJHDR-NEPJUHHUSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C(C)(C)C ZINC001032476727 667299993 /nfs/dbraw/zinc/29/99/93/667299993.db2.gz UIPMSYHXTJXDMB-DCAQKATOSA-N 0 2 307.398 0.978 20 0 DCADLN CN1CCC[C@H]1CNS(=O)(=O)c1nc[nH]c1Br ZINC000866602704 667383292 /nfs/dbraw/zinc/38/32/92/667383292.db2.gz RXDXFKBDFWHMEZ-ZETCQYMHSA-N 0 2 323.216 0.545 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NC[C@@H](O)c1ccccc1F ZINC000866833847 667404086 /nfs/dbraw/zinc/40/40/86/667404086.db2.gz UYIICYRRKFJCHE-NSPYISDASA-N 0 2 324.399 0.811 20 0 DCADLN C[C@@H](O)[C@@H](NS(=O)(=O)N=S(C)(C)=O)c1ccccc1F ZINC000867458462 667405222 /nfs/dbraw/zinc/40/52/22/667405222.db2.gz NDADLEFUOKXVFP-LDYMZIIASA-N 0 2 324.399 0.810 20 0 DCADLN CC(C)(C)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035840523 667414092 /nfs/dbraw/zinc/41/40/92/667414092.db2.gz KYSUFTZZXQVKAA-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@@H+]1Cc1cncc(C(=O)OC)c1 ZINC000923247006 667481913 /nfs/dbraw/zinc/48/19/13/667481913.db2.gz LLMSSQNCWMZSMV-OAHLLOKOSA-N 0 2 308.334 0.934 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@H+]1Cc1cncc(C(=O)OC)c1 ZINC000923247006 667481914 /nfs/dbraw/zinc/48/19/14/667481914.db2.gz LLMSSQNCWMZSMV-OAHLLOKOSA-N 0 2 308.334 0.934 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCN(c3cccc(O)c3)CC2)C1=O ZINC000923252783 667484630 /nfs/dbraw/zinc/48/46/30/667484630.db2.gz YKDNIECIDNQEMU-CQSZACIVSA-N 0 2 319.361 0.200 20 0 DCADLN O=C(CSCC(=O)N1CCOCC1)NOCC(F)(F)F ZINC000135224199 667579482 /nfs/dbraw/zinc/57/94/82/667579482.db2.gz DNSNFOPRQGEXHV-UHFFFAOYSA-N 0 2 316.301 0.189 20 0 DCADLN CC1(C)CO[C@@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000869390589 667653174 /nfs/dbraw/zinc/65/31/74/667653174.db2.gz ZRRNLPITRSJOBL-SECBINFHSA-N 0 2 306.322 0.430 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC(CCF)CC1 ZINC000870276651 667726779 /nfs/dbraw/zinc/72/67/79/667726779.db2.gz FQYPIDPSVCLPHN-UHFFFAOYSA-N 0 2 307.329 0.669 20 0 DCADLN COc1ccnc(OC)c1NS(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000886430161 667766346 /nfs/dbraw/zinc/76/63/46/667766346.db2.gz FUULMIOGFZTMRX-QMMMGPOBSA-N 0 2 317.367 0.317 20 0 DCADLN Cc1ncc2c(n1)CC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C2 ZINC000742520871 667792886 /nfs/dbraw/zinc/79/28/86/667792886.db2.gz DCUSEYJWNIKQNM-GXSJLCMTSA-N 0 2 319.390 0.315 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCC(=O)N2CCCCC2)S1 ZINC000742552459 667793659 /nfs/dbraw/zinc/79/36/59/667793659.db2.gz SJFDYIGBBCNROE-VIFPVBQESA-N 0 2 312.395 0.062 20 0 DCADLN CCOC(=O)CN(CC(C)C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742589688 667794402 /nfs/dbraw/zinc/79/44/02/667794402.db2.gz DQRZHQNETAJNFI-SECBINFHSA-N 0 2 315.395 0.591 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2ccc3c(c2)CCO3)S1 ZINC000742675320 667796852 /nfs/dbraw/zinc/79/68/52/667796852.db2.gz BFLMRKRCOYLSBA-GFCCVEGCSA-N 0 2 319.386 0.837 20 0 DCADLN O=C([O-])c1cc(F)cc(S(=O)(=O)NCC[NH+]2CCC2)c1 ZINC000871923995 667857276 /nfs/dbraw/zinc/85/72/76/667857276.db2.gz YZUMZFGFGKSRMC-UHFFFAOYSA-N 0 2 302.327 0.508 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cnn(C)c2C(F)(F)F)nn1C ZINC000872005310 667866223 /nfs/dbraw/zinc/86/62/23/667866223.db2.gz XRXQIUYALIVMBA-UHFFFAOYSA-N 0 2 324.288 0.677 20 0 DCADLN C[C@](O)(CNC(=O)C[C@H]1SC(=N)NC1=O)c1ccsc1 ZINC000748550419 667932006 /nfs/dbraw/zinc/93/20/06/667932006.db2.gz JALRXGFZUIBXSI-PELKAZGASA-N 0 2 313.404 0.628 20 0 DCADLN C[C@]1(NC(=O)C2=NN(c3ccccc3)CC2=O)CCNC1=O ZINC000889029741 667949825 /nfs/dbraw/zinc/94/98/25/667949825.db2.gz QWXJIJOBLYASNA-HNNXBMFYSA-N 0 2 300.318 0.586 20 0 DCADLN CO[C@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000753261201 668028218 /nfs/dbraw/zinc/02/82/18/668028218.db2.gz NICWEUOQLPJTQI-ZJUUUORDSA-N 0 2 305.338 0.001 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2CCN(c3ccccn3)C2)S1 ZINC000753736624 668034592 /nfs/dbraw/zinc/03/45/92/668034592.db2.gz YQQNCHBRQGQRKD-NXEZZACHSA-N 0 2 319.390 0.333 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](C3CCOCC3)C2)S1 ZINC000755217234 668057934 /nfs/dbraw/zinc/05/79/34/668057934.db2.gz YEMLPTCQDNCEKA-QWRGUYRKSA-N 0 2 311.407 0.818 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC12CCC(CC1)C2 ZINC000757256023 668119027 /nfs/dbraw/zinc/11/90/27/668119027.db2.gz XOXOCEJKAMEGQB-UHFFFAOYSA-N 0 2 301.350 0.768 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](NC(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000874412446 668181806 /nfs/dbraw/zinc/18/18/06/668181806.db2.gz FLXDHEJULRPWNW-TXEJJXNPSA-N 0 2 304.350 0.776 20 0 DCADLN Cc1cc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)ncn1 ZINC000758879365 668199133 /nfs/dbraw/zinc/19/91/33/668199133.db2.gz LOKWMQNRWDSCSE-UHFFFAOYSA-N 0 2 304.272 0.858 20 0 DCADLN COC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1O ZINC000759708521 668232875 /nfs/dbraw/zinc/23/28/75/668232875.db2.gz MPHQWBXHGJCJGG-MRVPVSSYSA-N 0 2 323.330 0.674 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCCNc1ncccn1 ZINC000760150592 668259789 /nfs/dbraw/zinc/25/97/89/668259789.db2.gz XOQQIVCISNDLRT-UHFFFAOYSA-N 0 2 319.287 0.462 20 0 DCADLN Cn1cc([C@@H](O)CNC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC000760441748 668273072 /nfs/dbraw/zinc/27/30/72/668273072.db2.gz FGOVBXTVQZEJRY-QMMMGPOBSA-N 0 2 322.287 0.027 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@H](O)c1cccnc1 ZINC000760463886 668273574 /nfs/dbraw/zinc/27/35/74/668273574.db2.gz PPSWKKFOJSSHGY-VIFPVBQESA-N 0 2 319.283 0.688 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](O)Cc2ccccc2)S1 ZINC000762249858 668353683 /nfs/dbraw/zinc/35/36/83/668353683.db2.gz XIVJQDFICJDUGN-MNOVXSKESA-N 0 2 307.375 0.263 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1COc2ccccc2O1 ZINC000762999095 668389785 /nfs/dbraw/zinc/38/97/85/668389785.db2.gz WUNNKFAQJDNRMN-SNVBAGLBSA-N 0 2 307.331 0.857 20 0 DCADLN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(F)C(F)(F)F)O1 ZINC000764645848 668456426 /nfs/dbraw/zinc/45/64/26/668456426.db2.gz AJSZNYDQAQQFNJ-FXQIFTODSA-N 0 2 300.252 0.639 20 0 DCADLN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)O1 ZINC000764645848 668456427 /nfs/dbraw/zinc/45/64/27/668456427.db2.gz AJSZNYDQAQQFNJ-FXQIFTODSA-N 0 2 300.252 0.639 20 0 DCADLN Cn1cc(NC(=O)CC2SC(=N)NC2=O)c(C(F)(F)F)n1 ZINC000765210111 668481283 /nfs/dbraw/zinc/48/12/83/668481283.db2.gz LTKAGERXGIXRMG-RXMQYKEDSA-N 0 2 321.284 0.934 20 0 DCADLN C[N@@H+]1CC[C@@H](NC(=O)c2cc(=O)[nH][n-]2)[C@H]1c1ccc(F)c(F)c1 ZINC000765419901 668489267 /nfs/dbraw/zinc/48/92/67/668489267.db2.gz YXQIRXKZKUGEBM-BXUZGUMPSA-N 0 2 322.315 0.702 20 0 DCADLN C[N@H+]1CC[C@@H](NC(=O)c2cc(=O)[nH][n-]2)[C@H]1c1ccc(F)c(F)c1 ZINC000765419901 668489271 /nfs/dbraw/zinc/48/92/71/668489271.db2.gz YXQIRXKZKUGEBM-BXUZGUMPSA-N 0 2 322.315 0.702 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2c[nH]nc2-c2ccccn2)S1 ZINC000767763707 668585807 /nfs/dbraw/zinc/58/58/07/668585807.db2.gz JNDZRNRHTSKTMR-VIFPVBQESA-N 0 2 316.346 0.967 20 0 DCADLN C[C@H](Cc1ccc(O)cc1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000769441821 668648713 /nfs/dbraw/zinc/64/87/13/668648713.db2.gz QPBLYRNNOUFZGY-KCJUWKMLSA-N 0 2 307.375 0.996 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)C(F)(F)C2CCOCC2)C1=O ZINC000769820278 668665182 /nfs/dbraw/zinc/66/51/82/668665182.db2.gz ZVSFXPYYBJQGGK-GFCCVEGCSA-N 0 2 319.308 0.800 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCSCC(F)(F)F)S1 ZINC000770220241 668684707 /nfs/dbraw/zinc/68/47/07/668684707.db2.gz FHEUSRZCXFKRHP-RXMQYKEDSA-N 0 2 315.342 0.955 20 0 DCADLN CN1C(=O)CN(NC(=O)c2ccc(OC(F)F)cn2)C1=O ZINC000773561418 668808152 /nfs/dbraw/zinc/80/81/52/668808152.db2.gz AMHKNTRLLVJPQH-UHFFFAOYSA-N 0 2 300.221 0.222 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(Cc2ccco2)C1 ZINC000774888565 668849924 /nfs/dbraw/zinc/84/99/24/668849924.db2.gz IFUSNSUPVQDXIS-MRVPVSSYSA-N 0 2 306.278 0.195 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cccc(N2CCCC2=O)c1 ZINC000774909034 668850936 /nfs/dbraw/zinc/85/09/36/668850936.db2.gz SANDPKFCGODKFP-UHFFFAOYSA-N 0 2 302.290 0.994 20 0 DCADLN CCOc1ccc(C(=O)NCC(=O)OCc2n[nH]c(=O)[nH]2)cc1 ZINC000774908468 668851091 /nfs/dbraw/zinc/85/10/91/668851091.db2.gz HIUXJRFBHLZILR-UHFFFAOYSA-N 0 2 320.305 0.382 20 0 DCADLN Cc1csc2ncc(C(=O)OCc3n[nH]c(=O)[nH]3)c(=O)n12 ZINC000776461638 668876626 /nfs/dbraw/zinc/87/66/26/668876626.db2.gz QNSSJVNYDMPDPE-UHFFFAOYSA-N 0 2 307.291 0.245 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cccc(-n2cnnn2)c1 ZINC000776537699 668877349 /nfs/dbraw/zinc/87/73/49/668877349.db2.gz QQVXKUIGBKFYRT-UHFFFAOYSA-N 0 2 317.334 0.307 20 0 DCADLN C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000777396567 668886966 /nfs/dbraw/zinc/88/69/66/668886966.db2.gz CPBGGSZLPCJNHS-SFYZADRCSA-N 0 2 314.411 0.304 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCC[S@](C)=O)=N2)cc1 ZINC000779513588 668911925 /nfs/dbraw/zinc/91/19/25/668911925.db2.gz HRVPKPGDSZOLDV-QFIPXVFZSA-N 0 2 323.374 0.695 20 0 DCADLN CC(C)CN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000780543525 668992907 /nfs/dbraw/zinc/99/29/07/668992907.db2.gz PZMRTABWGJREDP-UHFFFAOYSA-N 0 2 315.414 0.849 20 0 DCADLN CC(C)CN(CC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000780543525 668992911 /nfs/dbraw/zinc/99/29/11/668992911.db2.gz PZMRTABWGJREDP-UHFFFAOYSA-N 0 2 315.414 0.849 20 0 DCADLN C[C@](NC(=O)NCC[N@@H+]1CCOCC1(C)C)(C(=O)[O-])C1CC1 ZINC000780543414 668993378 /nfs/dbraw/zinc/99/33/78/668993378.db2.gz NZZHAIRTORTBOK-OAHLLOKOSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@](NC(=O)NCC[N@H+]1CCOCC1(C)C)(C(=O)[O-])C1CC1 ZINC000780543414 668993381 /nfs/dbraw/zinc/99/33/81/668993381.db2.gz NZZHAIRTORTBOK-OAHLLOKOSA-N 0 2 313.398 0.650 20 0 DCADLN Cc1nnc(N2CCN(C(=O)C(F)C(F)(F)F)CC2)n1C ZINC000880574854 669242012 /nfs/dbraw/zinc/24/20/12/669242012.db2.gz PGDPFWNQBKCWJC-MRVPVSSYSA-N 0 2 309.267 0.673 20 0 DCADLN Cc1nnc(N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)n1C ZINC000880574854 669242016 /nfs/dbraw/zinc/24/20/16/669242016.db2.gz PGDPFWNQBKCWJC-MRVPVSSYSA-N 0 2 309.267 0.673 20 0 DCADLN COC(=O)CC1(Cn2cc(CNC(=O)C(F)(F)F)nn2)CC1 ZINC000881360869 669328892 /nfs/dbraw/zinc/32/88/92/669328892.db2.gz CJFJPXFSEJSMKX-UHFFFAOYSA-N 0 2 320.271 0.800 20 0 DCADLN CCn1nc(C)c(Cn2cc(CNC(=O)C(F)(F)F)nn2)n1 ZINC000881377989 669331386 /nfs/dbraw/zinc/33/13/86/669331386.db2.gz AKGKAMHOSZMKOY-UHFFFAOYSA-N 0 2 317.275 0.425 20 0 DCADLN CC(C)COC[C@H](O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881400348 669335930 /nfs/dbraw/zinc/33/59/30/669335930.db2.gz JZLOTMYZDHTSJG-SNVBAGLBSA-N 0 2 324.303 0.490 20 0 DCADLN O=C(CCn1cc[nH]c(=O)c1=O)Nc1nc2ccc(F)cc2[nH]1 ZINC000787685125 669389276 /nfs/dbraw/zinc/38/92/76/669389276.db2.gz KCKOWOQYFYXOHR-UHFFFAOYSA-N 0 2 317.280 0.581 20 0 DCADLN COC(=O)CC(C)(C)CC(=O)NCCc1n[nH]c(=S)o1 ZINC000788601127 669449181 /nfs/dbraw/zinc/44/91/81/669449181.db2.gz FOKCCDRGKAAWJO-UHFFFAOYSA-N 0 2 301.368 0.996 20 0 DCADLN O=C(CN1CCc2ccccc2C1=O)OCc1n[nH]c(=O)[nH]1 ZINC000789276779 669481409 /nfs/dbraw/zinc/48/14/09/669481409.db2.gz ASTYARIZCFXKFF-UHFFFAOYSA-N 0 2 302.290 0.252 20 0 DCADLN O=c1cc(CN2CCOC[C@H]2C[C@H]2CCCO2)nc2cc[nH]n21 ZINC000933693025 669520054 /nfs/dbraw/zinc/52/00/54/669520054.db2.gz RFWZBOXXXPJWBY-ZIAGYGMSSA-N 0 2 318.377 0.793 20 0 DCADLN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2c(O)cc(F)cc2F)C1 ZINC000882674472 669565956 /nfs/dbraw/zinc/56/59/56/669565956.db2.gz BWTDMDPWXNWFEF-ZKCHVHJHSA-N 0 2 305.302 0.976 20 0 DCADLN O=C([C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000935845238 669782847 /nfs/dbraw/zinc/78/28/47/669782847.db2.gz UGHGWRLOTLLIKV-IWTNGPMKSA-N 0 2 304.350 0.640 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCCn2cc[nH+]c2)ccc1O ZINC000041063371 669822554 /nfs/dbraw/zinc/82/25/54/669822554.db2.gz XRVASBDTFLZLAH-UHFFFAOYSA-N 0 2 311.319 0.265 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@H]1CCC[C@@H](O)C1 ZINC000795411440 669887252 /nfs/dbraw/zinc/88/72/52/669887252.db2.gz DTMJKDKIDKBXFH-NWDGAFQWSA-N 0 2 319.361 0.968 20 0 DCADLN Cn1nccc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949050862 669945869 /nfs/dbraw/zinc/94/58/69/669945869.db2.gz HWRNCDKFYZDAEQ-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000949050862 669945872 /nfs/dbraw/zinc/94/58/72/669945872.db2.gz HWRNCDKFYZDAEQ-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(OCCOCCO)c1nn(-c2ccccc2F)cc1O ZINC000801377410 670126899 /nfs/dbraw/zinc/12/68/99/670126899.db2.gz XCLJUOOORCRHTL-UHFFFAOYSA-N 0 2 310.281 0.883 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@@H]1CSC(=O)N1 ZINC000803405386 670246876 /nfs/dbraw/zinc/24/68/76/670246876.db2.gz HEJUCDKKAXMHDX-QMMMGPOBSA-N 0 2 305.319 0.941 20 0 DCADLN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2C(=O)C[C@@H]1SC(=N)NC1=O ZINC000804386103 670292586 /nfs/dbraw/zinc/29/25/86/670292586.db2.gz RMVGPQYUSBHXRW-FJGLPXQJSA-N 0 2 309.391 0.425 20 0 DCADLN Cc1cnc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c(C)c1 ZINC000949410064 670335986 /nfs/dbraw/zinc/33/59/86/670335986.db2.gz ZTUWJSTVOOHPHV-UHFFFAOYSA-N 0 2 316.365 0.480 20 0 DCADLN CCN(C(=O)[C@@H]1CCOC[C@H]1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951703997 670343663 /nfs/dbraw/zinc/34/36/63/670343663.db2.gz FDBHOTNTFMYBCR-ZYHUDNBSSA-N 0 2 323.397 0.216 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cccnn1 ZINC000951801393 670375919 /nfs/dbraw/zinc/37/59/19/670375919.db2.gz LXHBKCLNWRCHLY-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cccnn1 ZINC000951801393 670375922 /nfs/dbraw/zinc/37/59/22/670375922.db2.gz LXHBKCLNWRCHLY-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN CCN(C(=O)c1cccn1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949692514 670405999 /nfs/dbraw/zinc/40/59/99/670405999.db2.gz WCKXXRTUBTZJSH-UHFFFAOYSA-N 0 2 304.354 0.195 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1C[C@@H](c2ccccc2)OC1=O ZINC000808588611 670416666 /nfs/dbraw/zinc/41/66/66/670416666.db2.gz ZLINPGFHAURGOO-ZJUUUORDSA-N 0 2 303.274 0.858 20 0 DCADLN CCc1ocnc1C(=O)N(CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949820746 670440324 /nfs/dbraw/zinc/44/03/24/670440324.db2.gz ZIEATEPWAWZGBZ-UHFFFAOYSA-N 0 2 320.353 0.407 20 0 DCADLN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)C(F)C(F)(F)F ZINC000810467866 670485994 /nfs/dbraw/zinc/48/59/94/670485994.db2.gz FYNCNLCTKDPLDQ-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)[C@@H](F)C(F)(F)F ZINC000810467866 670485997 /nfs/dbraw/zinc/48/59/97/670485997.db2.gz FYNCNLCTKDPLDQ-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCCc2cccnc21 ZINC000847141204 670520830 /nfs/dbraw/zinc/52/08/30/670520830.db2.gz CDYROZPARHQFKF-UHFFFAOYSA-N 0 2 310.317 0.446 20 0 DCADLN CCC[C@@]1(CO)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000811565081 670535970 /nfs/dbraw/zinc/53/59/70/670535970.db2.gz PSNBRVLPLHHUGI-OAHLLOKOSA-N 0 2 319.365 0.082 20 0 DCADLN CNS(=O)(=O)c1cc(NC(=O)C(=O)C(C)(C)C)ccc1O ZINC000854386246 670558145 /nfs/dbraw/zinc/55/81/45/670558145.db2.gz MELKHGLEWQJMCS-UHFFFAOYSA-N 0 2 314.363 0.854 20 0 DCADLN CCc1nocc1COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000848716256 670718065 /nfs/dbraw/zinc/71/80/65/670718065.db2.gz AIQBJIVVTFAZTA-UHFFFAOYSA-N 0 2 303.278 0.365 20 0 DCADLN Cn1nccc1C(=O)COC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000848744862 670720989 /nfs/dbraw/zinc/72/09/89/670720989.db2.gz GZYAITVZVMJJOP-UHFFFAOYSA-N 0 2 321.255 0.603 20 0 DCADLN C[C@H]1COC2(CCC2)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855519214 670728605 /nfs/dbraw/zinc/72/86/05/670728605.db2.gz POZQQKQXUBWIEM-JTQLQIEISA-N 0 2 317.349 0.241 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2C[C@@H](O)c3ccccc32)S1 ZINC000855718924 670751298 /nfs/dbraw/zinc/75/12/98/670751298.db2.gz JJYJGEXBPJCQJD-AXFHLTTASA-N 0 2 305.359 0.837 20 0 DCADLN CS(=O)(=O)[C@@H]1CSCCN1C(=O)C(F)C(F)(F)F ZINC000815679299 670755014 /nfs/dbraw/zinc/75/50/14/670755014.db2.gz FOFVZPLMSAGHJS-PHDIDXHHSA-N 0 2 309.306 0.833 20 0 DCADLN CS(=O)(=O)[C@@H]1CSCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000815679299 670755015 /nfs/dbraw/zinc/75/50/15/670755015.db2.gz FOFVZPLMSAGHJS-PHDIDXHHSA-N 0 2 309.306 0.833 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCCC[C@H]4CO)nc[nH+]3)C[C@@H]21 ZINC000849348707 670778890 /nfs/dbraw/zinc/77/88/90/670778890.db2.gz LTMVHWDAWYKJHZ-UUIJZJDISA-N 0 2 318.377 0.595 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCCC[C@H]4CO)[nH+]cn3)C[C@@H]21 ZINC000849348707 670778897 /nfs/dbraw/zinc/77/88/97/670778897.db2.gz LTMVHWDAWYKJHZ-UUIJZJDISA-N 0 2 318.377 0.595 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCCCc1nnc[nH]1 ZINC000816490263 670815502 /nfs/dbraw/zinc/81/55/02/670815502.db2.gz QHWRZMZYNJHSNK-VIFPVBQESA-N 0 2 321.303 0.557 20 0 DCADLN CC1(C)C[N@H+](CC(=O)[N-]OCc2ccccc2)C[C@H](CO)O1 ZINC000817279627 670909799 /nfs/dbraw/zinc/90/97/99/670909799.db2.gz QXDAAJHMBZMJGN-CQSZACIVSA-N 0 2 308.378 0.706 20 0 DCADLN CC1(C)C[N@@H+](CC(=O)[N-]OCc2ccccc2)C[C@H](CO)O1 ZINC000817279627 670909803 /nfs/dbraw/zinc/90/98/03/670909803.db2.gz QXDAAJHMBZMJGN-CQSZACIVSA-N 0 2 308.378 0.706 20 0 DCADLN Cc1nc([C@@](C)(NC(=O)C[C@@H]2SC(=N)NC2=O)C2CC2)no1 ZINC000817624042 670926972 /nfs/dbraw/zinc/92/69/72/670926972.db2.gz REJBNCBHGSSNRR-SDBXPKJASA-N 0 2 323.378 0.676 20 0 DCADLN CCc1nocc1CN(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000818557573 671030560 /nfs/dbraw/zinc/03/05/60/671030560.db2.gz HAJOAPJSVDZRDH-UHFFFAOYSA-N 0 2 316.321 0.280 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1nc(CC)no1 ZINC000820801031 671229220 /nfs/dbraw/zinc/22/92/20/671229220.db2.gz SMPQUYFPVGYTGX-UHFFFAOYSA-N 0 2 315.311 0.333 20 0 DCADLN CCCC[C@@H]1NC(=O)N(C[N@@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000826815246 671501288 /nfs/dbraw/zinc/50/12/88/671501288.db2.gz PUAKTIGXRSJDBH-MNOVXSKESA-N 0 2 312.370 0.739 20 0 DCADLN CCCC[C@@H]1NC(=O)N(C[N@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000826815246 671501289 /nfs/dbraw/zinc/50/12/89/671501289.db2.gz PUAKTIGXRSJDBH-MNOVXSKESA-N 0 2 312.370 0.739 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC[C@@H](O)[C@@H](O)C1 ZINC000827581657 671532760 /nfs/dbraw/zinc/53/27/60/671532760.db2.gz SAWKOELOKSYVHJ-NEPJUHHUSA-N 0 2 303.318 0.146 20 0 DCADLN O=C([O-])[C@@H]([NH2+][C@H]1CCN(CC(F)(F)F)C1=O)c1cccnc1 ZINC000833168039 671746441 /nfs/dbraw/zinc/74/64/41/671746441.db2.gz SMYXTHLZDKISJC-UWVGGRQHSA-N 0 2 317.267 0.960 20 0 DCADLN O=C([O-])c1ccsc1N1CC[C@@H]([N@@H+]2CCNC(=O)CC2)C1=O ZINC000833356535 671747072 /nfs/dbraw/zinc/74/70/72/671747072.db2.gz LVDILVHNWJJIEC-SNVBAGLBSA-N 0 2 323.374 0.374 20 0 DCADLN O=C([O-])c1ccsc1N1CC[C@@H]([N@H+]2CCNC(=O)CC2)C1=O ZINC000833356535 671747074 /nfs/dbraw/zinc/74/70/74/671747074.db2.gz LVDILVHNWJJIEC-SNVBAGLBSA-N 0 2 323.374 0.374 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCC[C@@H]1C=CCC1 ZINC000834334119 671755368 /nfs/dbraw/zinc/75/53/68/671755368.db2.gz IWFGCDPMSHQALG-LLVKDONJSA-N 0 2 301.350 0.934 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCO[C@H]2CCCCO2)S1 ZINC000834831307 671783683 /nfs/dbraw/zinc/78/36/83/671783683.db2.gz JDANQNVAWBSKMD-ONGXEEELSA-N 0 2 315.395 0.592 20 0 DCADLN C[C@@H](O)[C@H]1CN(c2c3ccccc3[nH+]nc2C(=O)[O-])CCO1 ZINC000844210411 672163650 /nfs/dbraw/zinc/16/36/50/672163650.db2.gz WLYXZDDBUFHKHT-BXKDBHETSA-N 0 2 303.318 0.914 20 0 DCADLN CN(CC(C)(CO)CO)c1c2ccccc2[nH+]nc1C(=O)[O-] ZINC000844208935 672163837 /nfs/dbraw/zinc/16/38/37/672163837.db2.gz BUYGHAHQVGFFEY-UHFFFAOYSA-N 0 2 305.334 0.755 20 0 DCADLN CS[C@@H]1CCCC[C@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000844721906 672196940 /nfs/dbraw/zinc/19/69/40/672196940.db2.gz GSQCWDGRKWZNRF-GHMZBOCLSA-N 0 2 321.406 0.862 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)c1cnc2n1CCC2)n1cc[nH+]c1 ZINC000845522901 672255399 /nfs/dbraw/zinc/25/53/99/672255399.db2.gz DVBDIQMAVQMPSX-SNVBAGLBSA-N 0 2 323.378 0.482 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)[N-]C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845554646 672260726 /nfs/dbraw/zinc/26/07/26/672260726.db2.gz JHDLJOLGIUGTKF-SSDOTTSWSA-N 0 2 313.339 0.218 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H](C(C)C)[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000846495974 672318915 /nfs/dbraw/zinc/31/89/15/672318915.db2.gz GQUVSKLPQPOIAP-OBJOEFQTSA-N 0 2 315.414 0.304 20 0 DCADLN COCCOCCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000846616539 672331030 /nfs/dbraw/zinc/33/10/30/672331030.db2.gz BSLQLVQHNUMAJY-JTQLQIEISA-N 0 2 316.295 0.694 20 0 DCADLN CCON(C)C(=O)CNC(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000846661418 672333957 /nfs/dbraw/zinc/33/39/57/672333957.db2.gz KCPVOXYCELEPTC-UHFFFAOYSA-N 0 2 318.333 0.784 20 0 DCADLN CCCN(CCNC(=O)CC(N)=O)C(=O)C(F)C(F)(F)F ZINC001704371703 1158651081 /nfs/dbraw/zinc/65/10/81/1158651081.db2.gz COTXZOWWQAEIBV-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN CCCN(CCNC(=O)CC(N)=O)C(=O)[C@H](F)C(F)(F)F ZINC001704371703 1158651090 /nfs/dbraw/zinc/65/10/90/1158651090.db2.gz COTXZOWWQAEIBV-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN O=C(NNC(=O)[C@H]1CC(=O)N(C2CCCC2)C1)c1ccccn1 ZINC000017329061 685050548 /nfs/dbraw/zinc/05/05/48/685050548.db2.gz HWTSFEXGGAQMEE-NSHDSACASA-N 0 2 316.361 0.634 20 0 DCADLN O=C(NNC(=O)[C@@H]1CC(=O)N(C2CCCC2)C1)c1ccccn1 ZINC000017329060 685050598 /nfs/dbraw/zinc/05/05/98/685050598.db2.gz HWTSFEXGGAQMEE-LLVKDONJSA-N 0 2 316.361 0.634 20 0 DCADLN CN(C(=O)C1=CCOCC1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954128962 685950622 /nfs/dbraw/zinc/95/06/22/685950622.db2.gz PJRIODJVVCIHSH-SNVBAGLBSA-N 0 2 324.274 0.903 20 0 DCADLN CN(C(=O)C1=CCOCC1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954128962 685950624 /nfs/dbraw/zinc/95/06/24/685950624.db2.gz PJRIODJVVCIHSH-SNVBAGLBSA-N 0 2 324.274 0.903 20 0 DCADLN CCN(C(=O)[C@H]1C[C@]1(C)CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957067159 686951387 /nfs/dbraw/zinc/95/13/87/686951387.db2.gz JPSXRBRWOXITJF-ABAIWWIYSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(C(C1CC1)C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957125675 686970443 /nfs/dbraw/zinc/97/04/43/686970443.db2.gz ZXNPRSACDQMAHL-UHFFFAOYSA-N 0 2 305.382 0.591 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1F ZINC001038476812 694095014 /nfs/dbraw/zinc/09/50/14/694095014.db2.gz RAXSRSPKBHKERJ-NSHDSACASA-N 0 2 319.340 0.962 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c(C)o1 ZINC000958205313 687435944 /nfs/dbraw/zinc/43/59/44/687435944.db2.gz YJDFZVGSPFMZBM-PJXYFTJBSA-N 0 2 317.349 0.580 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c(C)o1 ZINC000958205313 687435949 /nfs/dbraw/zinc/43/59/49/687435949.db2.gz YJDFZVGSPFMZBM-PJXYFTJBSA-N 0 2 317.349 0.580 20 0 DCADLN COCC[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2cccc3[nH+]ccn32)C1 ZINC000958258334 687486037 /nfs/dbraw/zinc/48/60/37/687486037.db2.gz PMEXOEBWBKKVJL-JSGCOSHPSA-N 0 2 318.377 0.003 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1C[C@H]1c1ccco1 ZINC001038716258 694172861 /nfs/dbraw/zinc/17/28/61/694172861.db2.gz CEWYPOACJKJTSE-GMTAPVOTSA-N 0 2 317.349 0.598 20 0 DCADLN CCCn1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001038767446 694186389 /nfs/dbraw/zinc/18/63/89/694186389.db2.gz MFWUMJATDTXOLA-LLVKDONJSA-N 0 2 319.369 0.121 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccccn1 ZINC000964632120 689274518 /nfs/dbraw/zinc/27/45/18/689274518.db2.gz IPZFFUVGFXUTFA-PWSUYJOCSA-N 0 2 316.365 0.546 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=COCCC1 ZINC000965472494 689451585 /nfs/dbraw/zinc/45/15/85/689451585.db2.gz DJSVYXUJUZOTKR-ZYHUDNBSSA-N 0 2 321.381 0.531 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=COCCC1 ZINC000965472494 689451589 /nfs/dbraw/zinc/45/15/89/689451589.db2.gz DJSVYXUJUZOTKR-ZYHUDNBSSA-N 0 2 321.381 0.531 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1n[nH]cc1F ZINC000968258474 690214023 /nfs/dbraw/zinc/21/40/23/690214023.db2.gz ZKLDMERCJCIECH-APPZFPTMSA-N 0 2 323.332 0.013 20 0 DCADLN O=C(c1ccon1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039519549 694376637 /nfs/dbraw/zinc/37/66/37/694376637.db2.gz RFAMSFANPWGUOA-AOOOYVTPSA-N 0 2 318.337 0.377 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@@H]2C[NH+](CCCF)CC2(C)C)C1 ZINC000972992760 690503065 /nfs/dbraw/zinc/50/30/65/690503065.db2.gz TXWSSMNZLYWVSJ-CHWSQXEVSA-N 0 2 301.406 0.503 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001006765621 690582788 /nfs/dbraw/zinc/58/27/88/690582788.db2.gz GSOITQOTMSYLBL-GFCCVEGCSA-N 0 2 316.365 0.608 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001007092216 690627151 /nfs/dbraw/zinc/62/71/51/690627151.db2.gz NYKGWRXPRFBFFR-LLVKDONJSA-N 0 2 304.354 0.243 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC1(F)F ZINC001007283187 690657613 /nfs/dbraw/zinc/65/76/13/690657613.db2.gz DAQLHGGJTUJFQT-SFYZADRCSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(C[C@@H]1CCCCO1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010525867 691302310 /nfs/dbraw/zinc/30/23/10/691302310.db2.gz DVNUVDUQIUWOHI-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN O=C(C[C@H]1CCCCO1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010525863 691302561 /nfs/dbraw/zinc/30/25/61/691302561.db2.gz DVNUVDUQIUWOHI-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@@]1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC=CCC1 ZINC001014555253 692042160 /nfs/dbraw/zinc/04/21/60/692042160.db2.gz XMOXXYMVTWTDIB-XHDPSFHLSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc1F ZINC001015168249 692214171 /nfs/dbraw/zinc/21/41/71/692214171.db2.gz VXNVLROLGNQPRN-LLVKDONJSA-N 0 2 319.340 0.962 20 0 DCADLN Cn1cc(Cl)cc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015197578 692224616 /nfs/dbraw/zinc/22/46/16/692224616.db2.gz BEWLFHVUDIRWLS-SECBINFHSA-N 0 2 324.772 0.507 20 0 DCADLN Cc1ccoc1CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015676183 692391738 /nfs/dbraw/zinc/39/17/38/692391738.db2.gz ITKMTHJUUDMOIE-JTQLQIEISA-N 0 2 305.338 0.345 20 0 DCADLN Cc1noc(C)c1CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016235082 692608854 /nfs/dbraw/zinc/60/88/54/692608854.db2.gz DBEMVFNELGKORP-SNVBAGLBSA-N 0 2 320.353 0.048 20 0 DCADLN O=C(CCc1nnc[nH]1)N1CC[C@H]([NH2+]Cc2cscn2)C1 ZINC001018687311 693194509 /nfs/dbraw/zinc/19/45/09/693194509.db2.gz WSKPUSDXFPSZSW-JTQLQIEISA-N 0 2 306.395 0.585 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)[C@@H]1CC12CC2 ZINC001073791370 694782243 /nfs/dbraw/zinc/78/22/43/694782243.db2.gz HIZWKPIICIWBEY-MNOVXSKESA-N 0 2 321.381 0.018 20 0 DCADLN CC(C)CC(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074147816 694827325 /nfs/dbraw/zinc/82/73/25/694827325.db2.gz KZXIPLXISUUYIV-VXGBXAGGSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]3OCC[N@H+](CC4CC4)[C@@H]3C2)c1[O-] ZINC001074219792 694843560 /nfs/dbraw/zinc/84/35/60/694843560.db2.gz WJDGOQVVZWYQCJ-OLZOCXBDSA-N 0 2 320.393 0.749 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]3OCC[N@@H+](CC4CC4)[C@@H]3C2)c1[O-] ZINC001074219792 694843562 /nfs/dbraw/zinc/84/35/62/694843562.db2.gz WJDGOQVVZWYQCJ-OLZOCXBDSA-N 0 2 320.393 0.749 20 0 DCADLN CCOCC(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001075491874 694954111 /nfs/dbraw/zinc/95/41/11/694954111.db2.gz TUWZUKCKEXFUCC-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)NCC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001075491874 694954112 /nfs/dbraw/zinc/95/41/12/694954112.db2.gz TUWZUKCKEXFUCC-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN C[C@@H](CCNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001075568743 694959586 /nfs/dbraw/zinc/95/95/86/694959586.db2.gz NXOGXFBYDNJPCE-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1cnn(C)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001075568743 694959587 /nfs/dbraw/zinc/95/95/87/694959587.db2.gz NXOGXFBYDNJPCE-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN Cc1coc(C(=O)N2CC[C@H]3CN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)c1 ZINC001076155253 695002007 /nfs/dbraw/zinc/00/20/07/695002007.db2.gz XQODEQFXHGLEAD-WDEREUQCSA-N 0 2 317.349 0.758 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001076856855 695077516 /nfs/dbraw/zinc/07/75/16/695077516.db2.gz FVNPCHTVMOGLAI-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001076856855 695077523 /nfs/dbraw/zinc/07/75/23/695077523.db2.gz FVNPCHTVMOGLAI-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN CCOCC(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076857306 695077650 /nfs/dbraw/zinc/07/76/50/695077650.db2.gz NHSMQPHDMIEHNG-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)N[C@@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001076857306 695077654 /nfs/dbraw/zinc/07/76/54/695077654.db2.gz NHSMQPHDMIEHNG-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCCN1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001570759892 1162613818 /nfs/dbraw/zinc/61/38/18/1162613818.db2.gz RVFFMMBCYRZTIA-SECBINFHSA-N 0 2 316.295 0.476 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc2[nH]ccc2c1)Cc1n[nH]c(=O)[n-]1 ZINC001690972245 1157385600 /nfs/dbraw/zinc/38/56/00/1157385600.db2.gz PXTCEXBOJPJMKM-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc2[nH]ccc2c1)Cc1n[nH]c(=O)[n-]1 ZINC001690972245 1157385605 /nfs/dbraw/zinc/38/56/05/1157385605.db2.gz PXTCEXBOJPJMKM-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN CN(CCCNC(=O)c1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001720477752 1157638337 /nfs/dbraw/zinc/63/83/37/1157638337.db2.gz OWSYMIVLZPPVRC-ZETCQYMHSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)c1ncc[nH]1)C(=O)[C@H](F)C(F)(F)F ZINC001720477752 1157638339 /nfs/dbraw/zinc/63/83/39/1157638339.db2.gz OWSYMIVLZPPVRC-ZETCQYMHSA-N 0 2 310.251 0.888 20 0 DCADLN CCC[C@@H](CNS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC001771745461 1158272857 /nfs/dbraw/zinc/27/28/57/1158272857.db2.gz SKKAZGRSDHIJHI-LBPRGKRZSA-N 0 2 322.427 0.129 20 0 DCADLN O=C(NO[C@H]1CCCCO1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001625985396 1158333577 /nfs/dbraw/zinc/33/35/77/1158333577.db2.gz WMYGSVMXEKNEAX-NSHDSACASA-N 0 2 320.305 0.454 20 0 DCADLN CC[C@H](C)C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001720020012 1158532032 /nfs/dbraw/zinc/53/20/32/1158532032.db2.gz QUXWJTYIHOWAJU-JTQLQIEISA-N 0 2 318.381 0.702 20 0 DCADLN CC[C@@H](SC)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367505549 1158622538 /nfs/dbraw/zinc/62/25/38/1158622538.db2.gz DIVSUYVUOABTBQ-SECBINFHSA-N 0 2 301.416 0.542 20 0 DCADLN CC[C@@H](SC)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367505549 1158622541 /nfs/dbraw/zinc/62/25/41/1158622541.db2.gz DIVSUYVUOABTBQ-SECBINFHSA-N 0 2 301.416 0.542 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCCO1)C(F)C(F)(F)F ZINC001720063713 1158790274 /nfs/dbraw/zinc/79/02/74/1158790274.db2.gz DYYMIMOTKRQEND-JGVFFNPUSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCCO1)[C@@H](F)C(F)(F)F ZINC001720063713 1158790278 /nfs/dbraw/zinc/79/02/78/1158790278.db2.gz DYYMIMOTKRQEND-JGVFFNPUSA-N 0 2 300.252 0.688 20 0 DCADLN CC(=O)NCC[N@H+](CCC(=O)[O-])[C@@H](C)C(=O)OC(C)(C)C ZINC001573375876 1163418882 /nfs/dbraw/zinc/41/88/82/1163418882.db2.gz SABVQJOVKMJVRX-JTQLQIEISA-N 0 2 302.371 0.629 20 0 DCADLN CC(=O)NCC[N@@H+](CCC(=O)[O-])[C@@H](C)C(=O)OC(C)(C)C ZINC001573375876 1163418886 /nfs/dbraw/zinc/41/88/86/1163418886.db2.gz SABVQJOVKMJVRX-JTQLQIEISA-N 0 2 302.371 0.629 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)c1nc(C(=O)[O-])n[nH]1 ZINC001573559809 1163498623 /nfs/dbraw/zinc/49/86/23/1163498623.db2.gz JXPHKBYHQNYLNI-JGVFFNPUSA-N 0 2 304.310 0.139 20 0 DCADLN CCn1cc(C(=O)[O-])c(NC(=O)NCc2ccc(N)[nH+]c2)n1 ZINC001573846354 1163565353 /nfs/dbraw/zinc/56/53/53/1163565353.db2.gz CZGGMHNQTLPHRI-UHFFFAOYSA-N 0 2 304.310 0.900 20 0 DCADLN C[N@H+](Cc1nc(C(=O)[O-])no1)[C@H](CC(N)=O)c1ccccc1 ZINC001573877250 1163575291 /nfs/dbraw/zinc/57/52/91/1163575291.db2.gz LLGZJYOXEGYCIR-SNVBAGLBSA-N 0 2 304.306 0.816 20 0 DCADLN C[N@@H+](Cc1nc(C(=O)[O-])no1)[C@H](CC(N)=O)c1ccccc1 ZINC001573877250 1163575299 /nfs/dbraw/zinc/57/52/99/1163575299.db2.gz LLGZJYOXEGYCIR-SNVBAGLBSA-N 0 2 304.306 0.816 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001575309965 1163970273 /nfs/dbraw/zinc/97/02/73/1163970273.db2.gz AJZSZABJGPUFAF-VIFPVBQESA-N 0 2 324.278 0.729 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n(C)n1 ZINC001575309965 1163970277 /nfs/dbraw/zinc/97/02/77/1163970277.db2.gz AJZSZABJGPUFAF-VIFPVBQESA-N 0 2 324.278 0.729 20 0 DCADLN C[C@@H](NC(=O)c1cc(F)c(-c2nn[nH]n2)c(F)c1)c1nnc[nH]1 ZINC001575317649 1163971703 /nfs/dbraw/zinc/97/17/03/1163971703.db2.gz BLCXBJYOARPYQT-RXMQYKEDSA-N 0 2 320.263 0.754 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nn[nH]n2)nc1)C1=NN(C)CC1=O ZINC001575326708 1163975816 /nfs/dbraw/zinc/97/58/16/1163975816.db2.gz MSIXVLVHYPGVNW-ZETCQYMHSA-N 0 2 314.309 0.192 20 0 DCADLN CC[C@H]1CN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C[C@@H]1O ZINC001577170061 1164619572 /nfs/dbraw/zinc/61/95/72/1164619572.db2.gz UJVGBYPNAOCIDB-WPRPVWTQSA-N 0 2 318.337 0.119 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(Cc2cncs2)c1 ZINC001579477605 1165327186 /nfs/dbraw/zinc/32/71/86/1165327186.db2.gz UVNQPXGNHWOKQJ-UHFFFAOYSA-N 0 2 318.318 0.320 20 0 DCADLN COC(C)(C)CN(C)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001579534416 1165341183 /nfs/dbraw/zinc/34/11/83/1165341183.db2.gz SGVZEBBPUHTAKJ-UHFFFAOYSA-N 0 2 320.353 0.773 20 0 DCADLN COc1cc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)on1 ZINC001579929330 1165439687 /nfs/dbraw/zinc/43/96/87/1165439687.db2.gz FREQCYZYGBSDDO-UHFFFAOYSA-N 0 2 314.305 0.562 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](C)c1ncon1 ZINC001580604236 1165652468 /nfs/dbraw/zinc/65/24/68/1165652468.db2.gz ZYAQJYZMPQALLE-LURJTMIESA-N 0 2 316.281 0.150 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC[C@H](O)C1CC1 ZINC001580605865 1165653882 /nfs/dbraw/zinc/65/38/82/1165653882.db2.gz NCZYWDAYTPSBGT-JTQLQIEISA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(NC(=O)Cn2cc(C)cc(-c3nn[nH]n3)c2=O)on1 ZINC001580608482 1165656277 /nfs/dbraw/zinc/65/62/77/1165656277.db2.gz CPNFTQLCKOCWOB-UHFFFAOYSA-N 0 2 315.293 0.272 20 0 DCADLN Cn1c(=O)[nH]c(SCc2ccccc2)c(-c2nn[nH]n2)c1=O ZINC001581748504 1165865685 /nfs/dbraw/zinc/86/56/85/1165865685.db2.gz FTSSKSOOEJOIEJ-UHFFFAOYSA-N 0 2 316.346 0.546 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)[C@H]1Cc2ccccc21 ZINC001582198243 1165982339 /nfs/dbraw/zinc/98/23/39/1165982339.db2.gz RVRLVGMUERIFOK-NSHDSACASA-N 0 2 308.301 0.332 20 0 DCADLN C/C=C(/C)C(=O)NC[C@](C)(NC(=O)[C@H]1CCC(=O)N1C)C1CC1 ZINC001582655534 1166062791 /nfs/dbraw/zinc/06/27/91/1166062791.db2.gz DJSPDPROPBAWPR-BSDXABSKSA-N 0 2 321.421 0.975 20 0 DCADLN C[C@@](CNC(=O)C(N)=O)(NC(=O)CCc1ccccc1)C1CC1 ZINC001582660069 1166063663 /nfs/dbraw/zinc/06/36/63/1166063663.db2.gz VPHXFSBSBNAZAK-KRWDZBQOSA-N 0 2 317.389 0.506 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@@H]1CCC[C@]2(CCOC2)O1 ZINC001582807195 1166089937 /nfs/dbraw/zinc/08/99/37/1166089937.db2.gz RJLNGCNNWUGAJS-IINYFYTJSA-N 0 2 318.337 0.152 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001582998297 1166166301 /nfs/dbraw/zinc/16/63/01/1166166301.db2.gz UNIBFNWWWLHETL-SLTPTINOSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001582998297 1166166305 /nfs/dbraw/zinc/16/63/05/1166166305.db2.gz UNIBFNWWWLHETL-SLTPTINOSA-N 0 2 314.279 0.836 20 0 DCADLN COc1cccc(-n2cc(C(=O)NCc3n[nH]c(=O)[nH]3)nn2)c1 ZINC001587727423 1166512419 /nfs/dbraw/zinc/51/24/19/1166512419.db2.gz RFHYMHPIEFTNEJ-UHFFFAOYSA-N 0 2 315.293 0.030 20 0 DCADLN C[C@@H](CO)Cn1cc(Br)cc(-c2nn[nH]n2)c1=O ZINC001589882882 1166702935 /nfs/dbraw/zinc/70/29/35/1166702935.db2.gz UKSPAMMIDQDGPW-ZCFIWIBFSA-N 0 2 314.143 0.419 20 0 DCADLN C[C@H]1CCC[C@@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001590256195 1166829880 /nfs/dbraw/zinc/82/98/80/1166829880.db2.gz XSXTUPIVUHGXBK-JGVFFNPUSA-N 0 2 305.342 0.657 20 0 DCADLN C[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@H](C2CC2)O1 ZINC001590311178 1166856313 /nfs/dbraw/zinc/85/63/13/1166856313.db2.gz WIZBLJGGSWNOTI-ZWNOBZJWSA-N 0 2 316.365 0.485 20 0 DCADLN CC[C@H](C)[C@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)OC ZINC001590398439 1166898620 /nfs/dbraw/zinc/89/86/20/1166898620.db2.gz BLEXUQZFCYZZSU-YUMQZZPRSA-N 0 2 323.357 0.139 20 0 DCADLN CCC[C@@H](CC)Sc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590554581 1166942586 /nfs/dbraw/zinc/94/25/86/1166942586.db2.gz FSLHKFSNKLLXPR-MRVPVSSYSA-N 0 2 324.410 0.935 20 0 DCADLN CCOC[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)C(C)C ZINC001590799779 1167024776 /nfs/dbraw/zinc/02/47/76/1167024776.db2.gz CANKOTHWMCVRLY-QMMMGPOBSA-N 0 2 323.357 0.139 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)OCCCO ZINC001591787609 1167380710 /nfs/dbraw/zinc/38/07/10/1167380710.db2.gz INNGMAZDPVTLAF-UHFFFAOYSA-N 0 2 321.337 0.442 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2ncnn2CC(C)C)c1 ZINC001591836967 1167389834 /nfs/dbraw/zinc/38/98/34/1167389834.db2.gz QHUBEODEXZGZOR-UHFFFAOYSA-N 0 2 314.353 0.633 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCc1ccoc1 ZINC001591835639 1167389891 /nfs/dbraw/zinc/38/98/91/1167389891.db2.gz NUKKDVQIZFSPOP-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCOC(C)C ZINC001591835792 1167390088 /nfs/dbraw/zinc/39/00/88/1167390088.db2.gz UMEHLZAWQHDEPP-UHFFFAOYSA-N 0 2 306.326 0.431 20 0 DCADLN Cc1ccn(CN2CCC3(CCO3)CC2)c(=O)c1-c1nn[nH]n1 ZINC001592267274 1167480790 /nfs/dbraw/zinc/48/07/90/1167480790.db2.gz NYHKWYJIGBKIRH-UHFFFAOYSA-N 0 2 316.365 0.549 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)[C@H]1CCCCNC1=O ZINC001592496095 1167539137 /nfs/dbraw/zinc/53/91/37/1167539137.db2.gz WAFVGXXMSQLMMQ-JTQLQIEISA-N 0 2 318.337 0.509 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC001592497026 1167539712 /nfs/dbraw/zinc/53/97/12/1167539712.db2.gz RSPKULQPQGPZOJ-MRVPVSSYSA-N 0 2 304.310 0.106 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1[C@H](C)C[NH+](C)C[C@H]1C ZINC001592496287 1167539826 /nfs/dbraw/zinc/53/98/26/1167539826.db2.gz CTYUZHHPOMNOSK-RKDXNWHRSA-N 0 2 304.354 0.933 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1[C@H](C)CN(C)C[C@H]1C ZINC001592496287 1167539837 /nfs/dbraw/zinc/53/98/37/1167539837.db2.gz CTYUZHHPOMNOSK-RKDXNWHRSA-N 0 2 304.354 0.933 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(NC(=O)c2cccc(F)c2O)n1 ZINC001592559797 1167560954 /nfs/dbraw/zinc/56/09/54/1167560954.db2.gz FDWXCNFVIFLTFR-UHFFFAOYSA-N 0 2 303.257 0.697 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1csc(-c2nn[nH]n2)c1 ZINC001593079964 1167700710 /nfs/dbraw/zinc/70/07/10/1167700710.db2.gz AXQXTAZCANZYLY-UHFFFAOYSA-N 0 2 320.338 0.115 20 0 DCADLN O=C(NCc1ccc(-c2nn[nH]n2)cn1)[C@@H]1CCCc2[nH]ncc21 ZINC001593134464 1167721653 /nfs/dbraw/zinc/72/16/53/1167721653.db2.gz MPYCGVCZPIIRGI-LLVKDONJSA-N 0 2 324.348 0.721 20 0 DCADLN O=C(c1ccc(-c2nn[nH]n2)o1)N1CCC(c2nc[nH]n2)CC1 ZINC001593340903 1167780433 /nfs/dbraw/zinc/78/04/33/1167780433.db2.gz ODGBTNYEMSEFNC-UHFFFAOYSA-N 0 2 314.309 0.598 20 0 DCADLN O=c1ccc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)o2)c[nH]1 ZINC001593445144 1167847213 /nfs/dbraw/zinc/84/72/13/1167847213.db2.gz LMXLUEDDEGASQO-UHFFFAOYSA-N 0 2 308.279 0.361 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1nc2c(o1)CCCC2 ZINC001593489409 1167871913 /nfs/dbraw/zinc/87/19/13/1167871913.db2.gz LOUINUDCWDLWNI-UHFFFAOYSA-N 0 2 315.293 0.044 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cccc2nsnc21 ZINC001593495736 1167881128 /nfs/dbraw/zinc/88/11/28/1167881128.db2.gz SMMWRBHLKSXKNT-UHFFFAOYSA-N 0 2 312.318 0.476 20 0 DCADLN c1ccc(C[NH+]2CCN(c3cc[nH+]cc3-c3nn[nH]n3)CC2)nc1 ZINC001593573941 1167944664 /nfs/dbraw/zinc/94/46/64/1167944664.db2.gz HZWKLSOJIDGUHI-UHFFFAOYSA-N 0 2 322.376 0.979 20 0 DCADLN c1sc(CNCCOC[C@H]2CCOC2)nc1-c1nn[nH]n1 ZINC001593595433 1167959293 /nfs/dbraw/zinc/95/92/93/1167959293.db2.gz SPHZQYURISNODX-VIFPVBQESA-N 0 2 310.383 0.466 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)NC2CC(CC(=O)[O-])C2)c[nH+]1 ZINC001600160132 1168129818 /nfs/dbraw/zinc/12/98/18/1168129818.db2.gz OXCHLRTXYXHJQS-UHFFFAOYSA-N 0 2 319.361 0.684 20 0 DCADLN Cc1cc(F)cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1N ZINC001600229230 1168141647 /nfs/dbraw/zinc/14/16/47/1168141647.db2.gz KMDJNYIBAINAQN-NSHDSACASA-N 0 2 306.297 0.865 20 0 DCADLN Cc1cc(F)cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1N ZINC001600229230 1168141651 /nfs/dbraw/zinc/14/16/51/1168141651.db2.gz KMDJNYIBAINAQN-NSHDSACASA-N 0 2 306.297 0.865 20 0 DCADLN Cc1cc(NC(=O)NCCC(=O)NCCC(=O)[O-])c(C)c[nH+]1 ZINC001600268654 1168154075 /nfs/dbraw/zinc/15/40/75/1168154075.db2.gz PQQLOYLIFVOGDH-UHFFFAOYSA-N 0 2 308.338 0.223 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[NH2+]C[C@@](C)(F)C2)oc1C(=O)[O-] ZINC001600295157 1168157917 /nfs/dbraw/zinc/15/79/17/1168157917.db2.gz QKXAKMNTOTWXMH-GFCCVEGCSA-N 0 2 320.342 0.608 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NCc2c[nH+]cn2C)c(C(=O)[O-])c1 ZINC001600441626 1168185347 /nfs/dbraw/zinc/18/53/47/1168185347.db2.gz JYLWARUXOUIPDZ-UHFFFAOYSA-N 0 2 316.317 0.682 20 0 DCADLN Cc1cncc(-c2nc(C[N@@H+]3C[C@@H](O)C[C@H](C(=O)[O-])C3)no2)c1 ZINC001600626706 1168218766 /nfs/dbraw/zinc/21/87/66/1168218766.db2.gz BTUYVFSVJBYFFB-RYUDHWBXSA-N 0 2 318.333 0.707 20 0 DCADLN Cc1cncc(-c2nc(C[N@H+]3C[C@@H](O)C[C@H](C(=O)[O-])C3)no2)c1 ZINC001600626706 1168218775 /nfs/dbraw/zinc/21/87/75/1168218775.db2.gz BTUYVFSVJBYFFB-RYUDHWBXSA-N 0 2 318.333 0.707 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CCC([C@H](O)C(=O)[O-])CC3)ccn12 ZINC001600627190 1168219296 /nfs/dbraw/zinc/21/92/96/1168219296.db2.gz VJAYHCKSYSPJFF-AWEZNQCLSA-N 0 2 317.345 0.940 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CCNC(=O)[C@H]3CC(=O)[O-])ccn12 ZINC001600626851 1168219455 /nfs/dbraw/zinc/21/94/55/1168219455.db2.gz PZQQIWSMAFOOEN-LLVKDONJSA-N 0 2 316.317 0.058 20 0 DCADLN Cn1ncc(C[NH+]2CCC(C)(NC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC001601026023 1168569976 /nfs/dbraw/zinc/56/99/76/1168569976.db2.gz BAYPEWXVIQCHFK-VXGBXAGGSA-N 0 2 321.381 0.007 20 0 DCADLN Cn1ncc2c1nc[nH+]c2NCCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001601030630 1168572490 /nfs/dbraw/zinc/57/24/90/1168572490.db2.gz GKMRSCCVAAPHTQ-BDAKNGLRSA-N 0 2 318.337 0.002 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(=O)[O-])c(F)c2)C1 ZINC001601151162 1168604799 /nfs/dbraw/zinc/60/47/99/1168604799.db2.gz RJYCHIOAIRJVBU-SNVBAGLBSA-N 0 2 323.324 0.203 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(=O)[O-])c(F)c2)C1 ZINC001601151162 1168604803 /nfs/dbraw/zinc/60/48/03/1168604803.db2.gz RJYCHIOAIRJVBU-SNVBAGLBSA-N 0 2 323.324 0.203 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C=Cc1cncc(F)c1 ZINC001601244617 1168674930 /nfs/dbraw/zinc/67/49/30/1168674930.db2.gz RGFHMBQKINEGDL-ISUDXETCSA-N 0 2 304.281 0.769 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C=Cc1cncc(F)c1 ZINC001601244617 1168674947 /nfs/dbraw/zinc/67/49/47/1168674947.db2.gz RGFHMBQKINEGDL-ISUDXETCSA-N 0 2 304.281 0.769 20 0 DCADLN O=C([O-])c1ccn(CCCC(=O)NCCNc2cccc[nH+]2)n1 ZINC001601272671 1168685175 /nfs/dbraw/zinc/68/51/75/1168685175.db2.gz MQAKFJGSJSABIU-UHFFFAOYSA-N 0 2 317.349 0.985 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CCc1cccc(O)c1 ZINC001601289744 1168691509 /nfs/dbraw/zinc/69/15/09/1168691509.db2.gz CIDIPWFFXBZYPR-CYBMUJFWSA-N 0 2 303.318 0.860 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CCc1cccc(O)c1 ZINC001601289744 1168691512 /nfs/dbraw/zinc/69/15/12/1168691512.db2.gz CIDIPWFFXBZYPR-CYBMUJFWSA-N 0 2 303.318 0.860 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC001601303763 1168696030 /nfs/dbraw/zinc/69/60/30/1168696030.db2.gz FWNGZHXTSPUHPA-ZDUSSCGKSA-N 0 2 300.261 0.425 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC001601303763 1168696034 /nfs/dbraw/zinc/69/60/34/1168696034.db2.gz FWNGZHXTSPUHPA-ZDUSSCGKSA-N 0 2 300.261 0.425 20 0 DCADLN O=C(Cc1cc(C2CC2)no1)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601364120 1168719576 /nfs/dbraw/zinc/71/95/76/1168719576.db2.gz DDGOHMAZIUBDES-LBPRGKRZSA-N 0 2 304.306 0.630 20 0 DCADLN O=C(Cc1cc(C2CC2)no1)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601364120 1168719584 /nfs/dbraw/zinc/71/95/84/1168719584.db2.gz DDGOHMAZIUBDES-LBPRGKRZSA-N 0 2 304.306 0.630 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cc2c([nH]1)CCCC2 ZINC001601426063 1168742857 /nfs/dbraw/zinc/74/28/57/1168742857.db2.gz QNKQCJKWWOVETG-ZDUSSCGKSA-N 0 2 302.334 0.973 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccnn1C1CCC1 ZINC001601425598 1168743003 /nfs/dbraw/zinc/74/30/03/1168743003.db2.gz DYUYDJRHYBBIOT-LLVKDONJSA-N 0 2 303.322 0.688 20 0 DCADLN O=C([O-])[C@@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccsc1 ZINC001601677698 1168812060 /nfs/dbraw/zinc/81/20/60/1168812060.db2.gz LMKBYWDJXOYSFP-JTQLQIEISA-N 0 2 315.376 0.690 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC001601680841 1168814498 /nfs/dbraw/zinc/81/44/98/1168814498.db2.gz LKDBPVOQSDMYIX-OAHLLOKOSA-N 0 2 321.377 0.446 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001601767345 1168864586 /nfs/dbraw/zinc/86/45/86/1168864586.db2.gz DGMVLFHYNNCMJN-NKWVEPMBSA-N 0 2 309.244 0.442 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001601767345 1168864578 /nfs/dbraw/zinc/86/45/78/1168864578.db2.gz DGMVLFHYNNCMJN-NKWVEPMBSA-N 0 2 309.244 0.442 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NC1C[NH+](CCCOc2ccccc2)C1 ZINC001601783194 1168893776 /nfs/dbraw/zinc/89/37/76/1168893776.db2.gz WHUYMNWBVZMTES-CABCVRRESA-N 0 2 318.373 0.977 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001601784045 1168894455 /nfs/dbraw/zinc/89/44/55/1168894455.db2.gz YAHMWBKSRVJOTG-RYUDHWBXSA-N 0 2 308.338 0.119 20 0 DCADLN O=C([O-])[C@H]1Cc2ccccc2CN1C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC001601864851 1168920457 /nfs/dbraw/zinc/92/04/57/1168920457.db2.gz TXRQKWXRIPKPMH-CHWSQXEVSA-N 0 2 301.302 0.481 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([N@@H+]2CC[C@@](O)(C(F)(F)F)C2)C1=O ZINC001602009211 1168960685 /nfs/dbraw/zinc/96/06/85/1168960685.db2.gz ANVRVWGVIYZKSM-KCJUWKMLSA-N 0 2 310.272 0.061 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([N@H+]2CC[C@@](O)(C(F)(F)F)C2)C1=O ZINC001602009211 1168960693 /nfs/dbraw/zinc/96/06/93/1168960693.db2.gz ANVRVWGVIYZKSM-KCJUWKMLSA-N 0 2 310.272 0.061 20 0 DCADLN O=C([O-])CNC(=O)C[NH2+]Cc1c(F)cccc1Br ZINC001602070768 1168987333 /nfs/dbraw/zinc/98/73/33/1168987333.db2.gz HRIGZUGBRYETKW-UHFFFAOYSA-N 0 2 319.130 0.879 20 0 DCADLN O=C([O-])Cn1cc(CC[N@@H+]2CCOC[C@@H]2CC(F)F)nn1 ZINC001602159987 1169006944 /nfs/dbraw/zinc/00/69/44/1169006944.db2.gz XCQKNSHALWZERA-JTQLQIEISA-N 0 2 304.297 0.261 20 0 DCADLN O=C([O-])Cn1cc(CC[N@H+]2CCOC[C@@H]2CC(F)F)nn1 ZINC001602159987 1169006954 /nfs/dbraw/zinc/00/69/54/1169006954.db2.gz XCQKNSHALWZERA-JTQLQIEISA-N 0 2 304.297 0.261 20 0 DCADLN O=C([O-])c1c(NC(=O)N2CCn3c[nH+]cc3C2)nc2n1CCC2 ZINC001602235435 1169038157 /nfs/dbraw/zinc/03/81/57/1169038157.db2.gz NMKDTVVKPNSVLC-UHFFFAOYSA-N 0 2 316.321 0.772 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)cn1 ZINC001602508811 1169102524 /nfs/dbraw/zinc/10/25/24/1169102524.db2.gz QFIQZBBZYJRCMS-LLVKDONJSA-N 0 2 320.349 0.112 20 0 DCADLN CC(=O)NC1CC[NH+](CC(=O)c2cc(C(=O)[O-])[nH]c2C)CC1 ZINC001603039726 1169238906 /nfs/dbraw/zinc/23/89/06/1169238906.db2.gz GXIRPANOLYPQLV-UHFFFAOYSA-N 0 2 307.350 0.805 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](CC(=O)NCC(=O)NC(C)(C)C)C1CCC1 ZINC001603197442 1169271445 /nfs/dbraw/zinc/27/14/45/1169271445.db2.gz KLUOOHBIMXBUEC-SNVBAGLBSA-N 0 2 313.398 0.345 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)C1CCC1 ZINC001603197442 1169271453 /nfs/dbraw/zinc/27/14/53/1169271453.db2.gz KLUOOHBIMXBUEC-SNVBAGLBSA-N 0 2 313.398 0.345 20 0 DCADLN CC(C)[C@@H]1N(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CC1(C)C ZINC001603251306 1169281667 /nfs/dbraw/zinc/28/16/67/1169281667.db2.gz DSWAKLZOOGWSHW-VIFPVBQESA-N 0 2 319.369 0.125 20 0 DCADLN C[C@H]1CCC[C@@H](CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)O1 ZINC001603885649 1169421221 /nfs/dbraw/zinc/42/12/21/1169421221.db2.gz DZJCBBYLUCUACQ-YUMQZZPRSA-N 0 2 321.341 0.036 20 0 DCADLN CC[C@@H]1CCCCN1C(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001604102798 1169492218 /nfs/dbraw/zinc/49/22/18/1169492218.db2.gz HNDKRIIHBJBKCY-SNVBAGLBSA-N 0 2 317.353 0.215 20 0 DCADLN C[C@@H]([NH2+][C@@H](C)c1nncn1C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001604323347 1169553855 /nfs/dbraw/zinc/55/38/55/1169553855.db2.gz YVNSGBIHLPKFHZ-VHSXEESVSA-N 0 2 309.370 0.177 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2cc(C(=O)[O-])ccn2)CC[N@H+]1C ZINC001604640171 1169640079 /nfs/dbraw/zinc/64/00/79/1169640079.db2.gz UTYQGTCQBPSKLV-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2cc(C(=O)[O-])ccn2)CC[N@@H+]1C ZINC001604640171 1169640081 /nfs/dbraw/zinc/64/00/81/1169640081.db2.gz UTYQGTCQBPSKLV-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NC[C@H]1C[C@H]1C(=O)[O-] ZINC001604672198 1169648784 /nfs/dbraw/zinc/64/87/84/1169648784.db2.gz HXEZWLGTEBSUMF-QNWHQSFQSA-N 0 2 311.382 0.212 20 0 DCADLN CO[C@@H](C)c1noc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)n1 ZINC001605006997 1169776956 /nfs/dbraw/zinc/77/69/56/1169776956.db2.gz PBIJUHIAAAKDGT-QMMMGPOBSA-N 0 2 317.309 0.476 20 0 DCADLN COc1ccccc1C(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001605326479 1169895385 /nfs/dbraw/zinc/89/53/85/1169895385.db2.gz AYYRCTBYMRGGSK-UHFFFAOYSA-N 0 2 312.289 0.315 20 0 DCADLN CCN(CCOC)c1cccc(C[N@@H+]2C[C@@H](O)C[C@H]2C(=O)[O-])n1 ZINC001605449490 1169924973 /nfs/dbraw/zinc/92/49/73/1169924973.db2.gz HMBHKQUKKDBLHQ-KBPBESRZSA-N 0 2 323.393 0.574 20 0 DCADLN CCN(CCOC)c1cccc(C[N@H+]2C[C@@H](O)C[C@H]2C(=O)[O-])n1 ZINC001605449490 1169924974 /nfs/dbraw/zinc/92/49/74/1169924974.db2.gz HMBHKQUKKDBLHQ-KBPBESRZSA-N 0 2 323.393 0.574 20 0 DCADLN CC[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1csnc1C(=O)[O-] ZINC001605475880 1169933598 /nfs/dbraw/zinc/93/35/98/1169933598.db2.gz UFPQOAJEGGRDPQ-SSDOTTSWSA-N 0 2 305.381 0.214 20 0 DCADLN CC[N@H+]1CC[C@@H]1CNS(=O)(=O)c1csnc1C(=O)[O-] ZINC001605475880 1169933605 /nfs/dbraw/zinc/93/36/05/1169933605.db2.gz UFPQOAJEGGRDPQ-SSDOTTSWSA-N 0 2 305.381 0.214 20 0 DCADLN Cc1[nH]nc(NC(=O)C(C)(C)c2cnc[nH]2)c1-c1nn[nH]n1 ZINC001606013365 1170049095 /nfs/dbraw/zinc/04/90/95/1170049095.db2.gz NLLJNCDCOJYPOR-UHFFFAOYSA-N 0 2 301.314 0.538 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC001606037793 1170062551 /nfs/dbraw/zinc/06/25/51/1170062551.db2.gz XYWGGXNKCSZFOZ-UHFFFAOYSA-N 0 2 323.378 0.566 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)NCCN1CC=CCC1 ZINC001606167440 1170099691 /nfs/dbraw/zinc/09/96/91/1170099691.db2.gz MUYYVRQGQVFMMK-UHFFFAOYSA-N 0 2 302.338 0.760 20 0 DCADLN Cn1c(=O)[nH]c(NCC(C2CC2)C2CC2)c(-c2nn[nH]n2)c1=O ZINC001606205741 1170112509 /nfs/dbraw/zinc/11/25/09/1170112509.db2.gz JCVIRFNTQMAVFX-UHFFFAOYSA-N 0 2 317.353 0.514 20 0 DCADLN C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1cc(F)cc(C(=O)[O-])c1F ZINC001606218240 1170118486 /nfs/dbraw/zinc/11/84/86/1170118486.db2.gz ARICOOMXKPJPCD-QMMMGPOBSA-N 0 2 320.317 0.645 20 0 DCADLN C[N@H+]1CC[C@H]1CNS(=O)(=O)c1cc(F)cc(C(=O)[O-])c1F ZINC001606218240 1170118492 /nfs/dbraw/zinc/11/84/92/1170118492.db2.gz ARICOOMXKPJPCD-QMMMGPOBSA-N 0 2 320.317 0.645 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1CCCc1nc(C)no1 ZINC001606384982 1170176807 /nfs/dbraw/zinc/17/68/07/1170176807.db2.gz HIOVSQZHGKYAQS-MNOVXSKESA-N 0 2 311.338 0.649 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1CCCc1nc(C)no1 ZINC001606384982 1170176810 /nfs/dbraw/zinc/17/68/10/1170176810.db2.gz HIOVSQZHGKYAQS-MNOVXSKESA-N 0 2 311.338 0.649 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001606510194 1170224864 /nfs/dbraw/zinc/22/48/64/1170224864.db2.gz BAMROJXLAAQBBC-NGZCFLSTSA-N 0 2 309.322 0.111 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001606510194 1170224870 /nfs/dbraw/zinc/22/48/70/1170224870.db2.gz BAMROJXLAAQBBC-NGZCFLSTSA-N 0 2 309.322 0.111 20 0 DCADLN COCC(=O)N1CC[NH+](Cc2ccc(C)c(C(=O)[O-])c2)CC1 ZINC001606772787 1170285009 /nfs/dbraw/zinc/28/50/09/1170285009.db2.gz XDRYZPZETNQJLZ-UHFFFAOYSA-N 0 2 306.362 0.984 20 0 DCADLN O=C(Nc1nc(-c2cccc(-c3nn[nH]n3)c2)n[nH]1)[C@H]1C[C@H]1F ZINC001606950543 1170335891 /nfs/dbraw/zinc/33/58/91/1170335891.db2.gz HTUWOSMMHNJNQP-DTWKUNHWSA-N 0 2 314.284 0.948 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1CCOCC1CC1)CCC2 ZINC001607089377 1170387721 /nfs/dbraw/zinc/38/77/21/1170387721.db2.gz RHPJARMRUAHDFY-UHFFFAOYSA-N 0 2 301.350 0.944 20 0 DCADLN COc1cccc(C(=O)[O-])c1C[N@@H+]1CCO[C@H](CC(N)=O)C1 ZINC001607306386 1170426023 /nfs/dbraw/zinc/42/60/23/1170426023.db2.gz AOAYZQWITAGKJN-SNVBAGLBSA-N 0 2 308.334 0.470 20 0 DCADLN COc1cccc(C(=O)[O-])c1C[N@H+]1CCO[C@H](CC(N)=O)C1 ZINC001607306386 1170426028 /nfs/dbraw/zinc/42/60/28/1170426028.db2.gz AOAYZQWITAGKJN-SNVBAGLBSA-N 0 2 308.334 0.470 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@@H+]2C[C@@H](O)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001607484568 1170465972 /nfs/dbraw/zinc/46/59/72/1170465972.db2.gz MIDDRPSOPHUTKV-VWYCJHECSA-N 0 2 310.350 0.328 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@H+]2C[C@@H](O)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001607484568 1170465975 /nfs/dbraw/zinc/46/59/75/1170465975.db2.gz MIDDRPSOPHUTKV-VWYCJHECSA-N 0 2 310.350 0.328 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)CN1CC[NH+]([C@H]2CCOC2)CC1 ZINC001607496513 1170467143 /nfs/dbraw/zinc/46/71/43/1170467143.db2.gz WWNLHGZKPBWRMQ-LBPRGKRZSA-N 0 2 321.377 0.611 20 0 DCADLN Cc1c(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cnn1C(C)C ZINC001607507661 1170469359 /nfs/dbraw/zinc/46/93/59/1170469359.db2.gz DMWJXCLSQWCTKN-GFCCVEGCSA-N 0 2 305.338 0.852 20 0 DCADLN Cc1cc(CNC(=O)N2C[C@H](O)C[C@@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001607642699 1170488509 /nfs/dbraw/zinc/48/85/09/1170488509.db2.gz ZDWBAOIJUUTPQQ-CHWSQXEVSA-N 0 2 307.350 0.675 20 0 DCADLN Cc1ccc(N2CCO[C@@H](CS(C)(=O)=O)C2)[nH+]c1C(=O)[O-] ZINC001607795170 1170507537 /nfs/dbraw/zinc/50/75/37/1170507537.db2.gz XWFHRQXOJSFVMA-SNVBAGLBSA-N 0 2 314.363 0.338 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC001608038953 1170555782 /nfs/dbraw/zinc/55/57/82/1170555782.db2.gz YRPKFSYCSPJTHQ-UHFFFAOYSA-N 0 2 311.319 0.728 20 0 DCADLN Cc1oc(C(=O)[O-])cc1Cn1cc(CC[NH+]2CCOCC2)nn1 ZINC001608038707 1170555823 /nfs/dbraw/zinc/55/58/23/1170555823.db2.gz FAHYVMWSKFZCEG-UHFFFAOYSA-N 0 2 320.349 0.801 20 0 DCADLN Nc1ccc(Cn2cc(CN3CCCC[C@H]3C(=O)[O-])nn2)c[nH+]1 ZINC001608272316 1170638438 /nfs/dbraw/zinc/63/84/38/1170638438.db2.gz DWKBWXXEEILNCM-ZDUSSCGKSA-N 0 2 316.365 0.743 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)Cn1cc[nH+]c1)Oc1ccc(F)cc1 ZINC001608381195 1170665240 /nfs/dbraw/zinc/66/52/40/1170665240.db2.gz ZGDGATPVGOJSSP-GFCCVEGCSA-N 0 2 307.281 0.671 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001608610215 1170718147 /nfs/dbraw/zinc/71/81/47/1170718147.db2.gz HBZDDHABIOHGPS-NEPJUHHUSA-N 0 2 309.391 0.898 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001608610215 1170718152 /nfs/dbraw/zinc/71/81/52/1170718152.db2.gz HBZDDHABIOHGPS-NEPJUHHUSA-N 0 2 309.391 0.898 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001608612624 1170721608 /nfs/dbraw/zinc/72/16/08/1170721608.db2.gz XYZADIZTENPICL-KGLIPLIRSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001608612624 1170721613 /nfs/dbraw/zinc/72/16/13/1170721613.db2.gz XYZADIZTENPICL-KGLIPLIRSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])C1CCC2(CC1)NC(=O)N(CCn1cc[nH+]c1)C2=O ZINC001608619477 1170723911 /nfs/dbraw/zinc/72/39/11/1170723911.db2.gz MBGPXEWHVNENJW-UHFFFAOYSA-N 0 2 306.322 0.449 20 0 DCADLN O=C([O-])[C@@H]1CC[C@@H]2C(=O)N([C@@H]3CCn4cc[nH+]c4C3)C(=O)N2C1 ZINC001608621061 1170723962 /nfs/dbraw/zinc/72/39/62/1170723962.db2.gz YPLZPMDHIAUHKS-GMTAPVOTSA-N 0 2 318.333 0.325 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1Cc1ccc(-n2cncn2)cc1 ZINC001608690748 1170737453 /nfs/dbraw/zinc/73/74/53/1170737453.db2.gz YFCDYAVIBXIKEK-CYBMUJFWSA-N 0 2 315.333 0.042 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1Cc1ccc(-n2cncn2)cc1 ZINC001608690748 1170737455 /nfs/dbraw/zinc/73/74/55/1170737455.db2.gz YFCDYAVIBXIKEK-CYBMUJFWSA-N 0 2 315.333 0.042 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC001608712840 1170741861 /nfs/dbraw/zinc/74/18/61/1170741861.db2.gz WELHTLKWHLKLIH-LBPRGKRZSA-N 0 2 322.365 0.418 20 0 DCADLN O=C([O-])CCc1ccccc1C(=O)N1CC[NH+](CCO)CC1 ZINC001608740199 1170748077 /nfs/dbraw/zinc/74/80/77/1170748077.db2.gz LHIYOYGWIGNPNM-UHFFFAOYSA-N 0 2 306.362 0.454 20 0 DCADLN O=C([O-])Cc1cccc(S(=O)(=O)NCCCn2cc[nH+]c2)c1 ZINC001608787985 1170753822 /nfs/dbraw/zinc/75/38/22/1170753822.db2.gz VIOJPKYVXFAHGL-UHFFFAOYSA-N 0 2 323.374 0.879 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC001608810483 1170759187 /nfs/dbraw/zinc/75/91/87/1170759187.db2.gz AZUSTLWVZPBTKG-KGLIPLIRSA-N 0 2 315.329 0.591 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)no1 ZINC001608835407 1170763034 /nfs/dbraw/zinc/76/30/34/1170763034.db2.gz HUZUAMUXBLIMFB-JTQLQIEISA-N 0 2 309.322 0.310 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)nc1 ZINC001608881532 1170768247 /nfs/dbraw/zinc/76/82/47/1170768247.db2.gz CIWBURWRQQPWIP-VXGBXAGGSA-N 0 2 310.375 0.646 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)nc1 ZINC001608881532 1170768250 /nfs/dbraw/zinc/76/82/50/1170768250.db2.gz CIWBURWRQQPWIP-VXGBXAGGSA-N 0 2 310.375 0.646 20 0 DCADLN O=C([O-])c1ccc(C[NH+]2CCN(Cc3cn[nH]c3)CC2)nc1 ZINC001608882604 1170769167 /nfs/dbraw/zinc/76/91/67/1170769167.db2.gz FRFAWTKXXTYZDP-UHFFFAOYSA-N 0 2 301.350 0.821 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@H+]3CCC[C@](O)(CO)C3)nc2c1 ZINC001608916357 1170771805 /nfs/dbraw/zinc/77/18/05/1170771805.db2.gz QAJKSMGLIAUPMB-OAHLLOKOSA-N 0 2 305.334 0.580 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@@H+]3CCC[C@](O)(CO)C3)nc2c1 ZINC001608916357 1170771807 /nfs/dbraw/zinc/77/18/07/1170771807.db2.gz QAJKSMGLIAUPMB-OAHLLOKOSA-N 0 2 305.334 0.580 20 0 DCADLN O=C([O-])c1cn([C@H]2CCC[N@@H+](Cc3cc(=O)c(O)co3)C2)nn1 ZINC001608959449 1170776195 /nfs/dbraw/zinc/77/61/95/1170776195.db2.gz YTLUVCZUBCKDFW-VIFPVBQESA-N 0 2 320.305 0.472 20 0 DCADLN O=C([O-])c1cn([C@H]2CCC[N@H+](Cc3cc(=O)c(O)co3)C2)nn1 ZINC001608959449 1170776197 /nfs/dbraw/zinc/77/61/97/1170776197.db2.gz YTLUVCZUBCKDFW-VIFPVBQESA-N 0 2 320.305 0.472 20 0 DCADLN O=C([O-])c1coc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC001608990601 1170781924 /nfs/dbraw/zinc/78/19/24/1170781924.db2.gz GPSXBDPLGDGQJB-SECBINFHSA-N 0 2 311.319 0.468 20 0 DCADLN O=C([O-])c1cccc2c1OCC[N@@H+]([C@@H]1CCC(=O)NC1=O)C2 ZINC001609035601 1170789286 /nfs/dbraw/zinc/78/92/86/1170789286.db2.gz JVTCQVRLGHILNJ-LLVKDONJSA-N 0 2 304.302 0.384 20 0 DCADLN O=C([O-])c1cccc2c1OCC[N@H+]([C@@H]1CCC(=O)NC1=O)C2 ZINC001609035601 1170789289 /nfs/dbraw/zinc/78/92/89/1170789289.db2.gz JVTCQVRLGHILNJ-LLVKDONJSA-N 0 2 304.302 0.384 20 0 DCADLN CCc1nc([C@H](C)Nc2nc(C)cc(-c3nn[nH]n3)n2)n[nH]1 ZINC001609254141 1170896807 /nfs/dbraw/zinc/89/68/07/1170896807.db2.gz LJYNEJOJBDUKQC-ZETCQYMHSA-N 0 2 300.330 0.819 20 0 DCADLN COC(=O)C[C@@H]1CCCN1Cn1cccc(-c2nn[nH]n2)c1=O ZINC001609297921 1170922090 /nfs/dbraw/zinc/92/20/90/1170922090.db2.gz DCWXZIZEFNEYII-JTQLQIEISA-N 0 2 318.337 0.013 20 0 DCADLN COCC1=CCN(Cn2cccc(-c3nn[nH]n3)c2=O)CC1 ZINC001609320003 1170934425 /nfs/dbraw/zinc/93/44/25/1170934425.db2.gz SZNBBIZCDZNZTJ-UHFFFAOYSA-N 0 2 302.338 0.264 20 0 DCADLN CC(=O)CSCC(=O)N1CC[NH+]([C@H](C)CC(=O)[O-])CC1 ZINC001609573268 1171025577 /nfs/dbraw/zinc/02/55/77/1171025577.db2.gz PNWFEDPGRKYYRX-SNVBAGLBSA-N 0 2 302.396 0.316 20 0 DCADLN CC(C)(C)n1cc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])cn1 ZINC001609672403 1171063783 /nfs/dbraw/zinc/06/37/83/1171063783.db2.gz LMGFNKYAYXMLCY-LBPRGKRZSA-N 0 2 308.382 0.803 20 0 DCADLN CC(C)(C)n1cc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])cn1 ZINC001609672403 1171063789 /nfs/dbraw/zinc/06/37/89/1171063789.db2.gz LMGFNKYAYXMLCY-LBPRGKRZSA-N 0 2 308.382 0.803 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C)C(=O)[O-] ZINC001609690803 1171067663 /nfs/dbraw/zinc/06/76/63/1171067663.db2.gz SUOMXRQZNPKYGJ-ONGXEEELSA-N 0 2 310.354 0.072 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C)C(=O)[O-] ZINC001609690803 1171067672 /nfs/dbraw/zinc/06/76/72/1171067672.db2.gz SUOMXRQZNPKYGJ-ONGXEEELSA-N 0 2 310.354 0.072 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)N1CCSC[C@H](C(=O)[O-])C1 ZINC001609752157 1171078460 /nfs/dbraw/zinc/07/84/60/1171078460.db2.gz UVQNMFVSSURGCX-WDEREUQCSA-N 0 2 312.395 0.731 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1coc(-c2c(F)cccc2F)n1 ZINC001609791605 1171087820 /nfs/dbraw/zinc/08/78/20/1171087820.db2.gz IORKVHBMSYKNCG-UHFFFAOYSA-N 0 2 322.227 0.816 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(CC(=O)[O-])n1 ZINC001609876631 1171112992 /nfs/dbraw/zinc/11/29/92/1171112992.db2.gz JMHLWBMNHSWSEH-LLVKDONJSA-N 0 2 322.365 0.551 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(CC(=O)[O-])n1 ZINC001609876631 1171112995 /nfs/dbraw/zinc/11/29/95/1171112995.db2.gz JMHLWBMNHSWSEH-LLVKDONJSA-N 0 2 322.365 0.551 20 0 DCADLN Cc1cc[nH+]c(N2CCN(Cc3cn(CC(=O)[O-])nn3)CC2)c1 ZINC001610535953 1171249070 /nfs/dbraw/zinc/24/90/70/1171249070.db2.gz DAXYQPUNLCEPIP-UHFFFAOYSA-N 0 2 316.365 0.388 20 0 DCADLN Cc1nn(C)c(C)c1[C@@H](C)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610568804 1171257302 /nfs/dbraw/zinc/25/73/02/1171257302.db2.gz MEZFWXPPFQJOTO-PELKAZGASA-N 0 2 319.365 0.676 20 0 DCADLN Cc1nn(C)c(C)c1[C@@H](C)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610568804 1171257306 /nfs/dbraw/zinc/25/73/06/1171257306.db2.gz MEZFWXPPFQJOTO-PELKAZGASA-N 0 2 319.365 0.676 20 0 DCADLN Cn1cc(-c2cc(C[N@@H+]3CCC[C@H](O)[C@@H]3C(=O)[O-])on2)cn1 ZINC001610599399 1171262969 /nfs/dbraw/zinc/26/29/69/1171262969.db2.gz FFAVQBIYTIMBJR-QWHCGFSZSA-N 0 2 306.322 0.485 20 0 DCADLN Cn1cc(-c2cc(C[N@H+]3CCC[C@H](O)[C@@H]3C(=O)[O-])on2)cn1 ZINC001610599399 1171262974 /nfs/dbraw/zinc/26/29/74/1171262974.db2.gz FFAVQBIYTIMBJR-QWHCGFSZSA-N 0 2 306.322 0.485 20 0 DCADLN O=C([O-])c1ccc(CC(=O)NC[C@H]2C[NH+]3CCN2CC3)cc1 ZINC001610673766 1171288379 /nfs/dbraw/zinc/28/83/79/1171288379.db2.gz PNMVDGBNOLXLBT-AWEZNQCLSA-N 0 2 303.362 0.043 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)CN(C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC001610736283 1171302750 /nfs/dbraw/zinc/30/27/50/1171302750.db2.gz GHPQXFSZJNCDEM-NWDGAFQWSA-N 0 2 318.333 0.311 20 0 DCADLN O=C([O-])c1ccc(F)cc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC001610831510 1171335543 /nfs/dbraw/zinc/33/55/43/1171335543.db2.gz GSFBIOFOIFVBGP-UHFFFAOYSA-N 0 2 314.338 0.674 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@@H+](Cc3cc(=O)c(O)co3)C2)nn1 ZINC001610858450 1171341027 /nfs/dbraw/zinc/34/10/27/1171341027.db2.gz GIIBRDBNFAYXLS-QMMMGPOBSA-N 0 2 306.278 0.082 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@H+](Cc3cc(=O)c(O)co3)C2)nn1 ZINC001610858450 1171341033 /nfs/dbraw/zinc/34/10/33/1171341033.db2.gz GIIBRDBNFAYXLS-QMMMGPOBSA-N 0 2 306.278 0.082 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc2c([nH]c1=O)CCC2 ZINC001617079376 1171384042 /nfs/dbraw/zinc/38/40/42/1171384042.db2.gz GKBJTCBINJIFRJ-UHFFFAOYSA-N 0 2 306.347 0.920 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2ccc3ncn(C)c3n2)C1=O ZINC001618561094 1171398370 /nfs/dbraw/zinc/39/83/70/1171398370.db2.gz UYPNKURGCBSMNL-AWEZNQCLSA-N 0 2 316.321 0.334 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cnn(-c2cccnc2)c1 ZINC001633468186 1171643373 /nfs/dbraw/zinc/64/33/73/1171643373.db2.gz PUCOHWCXWPWXRE-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN COC[C@@H](NC(=O)c1ncc2ccccc2c1O)c1nn[nH]n1 ZINC001634620956 1171679703 /nfs/dbraw/zinc/67/97/03/1171679703.db2.gz AQDRZWNOURALCD-SNVBAGLBSA-N 0 2 314.305 0.571 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635457486 1171703308 /nfs/dbraw/zinc/70/33/08/1171703308.db2.gz YXSGTNMWODYANM-LBPRGKRZSA-N 0 2 312.333 0.876 20 0 DCADLN CC(C)(C)[C@H](NC(N)=O)C(=O)NCCc1n[nH]c(=S)o1 ZINC001639104414 1171821315 /nfs/dbraw/zinc/82/13/15/1171821315.db2.gz OVQWTCUWUZBXPK-SSDOTTSWSA-N 0 2 301.372 0.100 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]1CCC(=O)N1 ZINC001645517410 1172045135 /nfs/dbraw/zinc/04/51/35/1172045135.db2.gz RSRTZRPAWKABBY-QMMMGPOBSA-N 0 2 306.347 0.634 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H]3[C@H](C2)C3(F)F)on1 ZINC001646029165 1172221711 /nfs/dbraw/zinc/22/17/11/1172221711.db2.gz RZFIKFXSBOIFNY-KVSKUHBBSA-N 0 2 322.289 0.783 20 0 DCADLN C[C@@H](NC(=O)[C@@]1(C2CCCC2)CCNC1=O)c1nn(C)cc1O ZINC001646316747 1172335025 /nfs/dbraw/zinc/33/50/25/1172335025.db2.gz GLQMJWMXTAMEEU-QLJPJBMISA-N 0 2 320.393 0.999 20 0 DCADLN CC[C@@H](CNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC001646725804 1172492964 /nfs/dbraw/zinc/49/29/64/1172492964.db2.gz TVSWQTQUZACDRB-QWHCGFSZSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C1CCOCC1 ZINC001647421794 1172878578 /nfs/dbraw/zinc/87/85/78/1172878578.db2.gz LPZSYHVEGARRIY-WCQYABFASA-N 0 2 307.350 0.442 20 0 DCADLN COc1ccc(CNC(=O)Cc2c[nH+]cn2C)cc1C(=O)[O-] ZINC001647422350 1172879770 /nfs/dbraw/zinc/87/97/70/1172879770.db2.gz NEZZRZNYWPERDL-UHFFFAOYSA-N 0 2 303.318 0.986 20 0 DCADLN CC(=O)Nc1ccc(C[NH2+]Cc2nc(C[NH3+])cc(=O)[nH]2)cc1 ZINC001649930970 1173316001 /nfs/dbraw/zinc/31/60/01/1173316001.db2.gz YWVBTOWXJLSTFF-UHFFFAOYSA-N 0 2 301.350 0.889 20 0 DCADLN CCn1c(-c2n[nH]c(Cl)n2)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001650291402 1173554126 /nfs/dbraw/zinc/55/41/26/1173554126.db2.gz DAUMNYQKAHCPBS-SSDOTTSWSA-N 0 2 324.776 0.403 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)O[C@@H]1CCOC[C@H]1C ZINC001650474168 1173651575 /nfs/dbraw/zinc/65/15/75/1173651575.db2.gz MLFBOUSUCNXNBO-BXKDBHETSA-N 0 2 305.334 0.842 20 0 DCADLN O=C(Nc1ccc[n+]([O-])c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001664804528 1174461150 /nfs/dbraw/zinc/46/11/50/1174461150.db2.gz DMSTXKLPARMRAW-VIFPVBQESA-N 0 2 304.310 0.555 20 0 DCADLN O=C(Nc1ccc[n+]([O-])c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001664804527 1174461362 /nfs/dbraw/zinc/46/13/62/1174461362.db2.gz DMSTXKLPARMRAW-SECBINFHSA-N 0 2 304.310 0.555 20 0 DCADLN C=C/C(C)=C/CC(=O)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001672019369 1175063924 /nfs/dbraw/zinc/06/39/24/1175063924.db2.gz XOWJRSUZQNFGCX-GZOXUHRPSA-N 0 2 321.381 0.086 20 0 DCADLN C=C/C(C)=C/CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001672019369 1175063930 /nfs/dbraw/zinc/06/39/30/1175063930.db2.gz XOWJRSUZQNFGCX-GZOXUHRPSA-N 0 2 321.381 0.086 20 0 DCADLN C[C@@H](CNC(=O)c1ccnc(F)c1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001674901527 1175304147 /nfs/dbraw/zinc/30/41/47/1175304147.db2.gz ROBOCVVXTFSRKA-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](CNC(=O)c1ccnc(F)c1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001674901527 1175304152 /nfs/dbraw/zinc/30/41/52/1175304152.db2.gz ROBOCVVXTFSRKA-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](CNC(=O)c1ccnc(F)c1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001674901526 1175305474 /nfs/dbraw/zinc/30/54/74/1175305474.db2.gz ROBOCVVXTFSRKA-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](CNC(=O)c1ccnc(F)c1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001674901526 1175305482 /nfs/dbraw/zinc/30/54/82/1175305482.db2.gz ROBOCVVXTFSRKA-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cnc2n1CCC2 ZINC001686689394 1176192848 /nfs/dbraw/zinc/19/28/48/1176192848.db2.gz PKMPXVZVBWJELW-VIFPVBQESA-N 0 2 322.262 0.576 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cnc2n1CCC2 ZINC001686689394 1176192852 /nfs/dbraw/zinc/19/28/52/1176192852.db2.gz PKMPXVZVBWJELW-VIFPVBQESA-N 0 2 322.262 0.576 20 0 DCADLN C[N@H+](CCNC(=O)c1cnc2[nH]ccc2c1)Cc1n[nH]c(=O)[n-]1 ZINC001690949847 1177359212 /nfs/dbraw/zinc/35/92/12/1177359212.db2.gz BSCVQQYVALYAQC-UHFFFAOYSA-N 0 2 315.337 0.200 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnc2[nH]ccc2c1)Cc1n[nH]c(=O)[n-]1 ZINC001690949847 1177359221 /nfs/dbraw/zinc/35/92/21/1177359221.db2.gz BSCVQQYVALYAQC-UHFFFAOYSA-N 0 2 315.337 0.200 20 0 DCADLN Cc1nc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])co1 ZINC001699248789 1177981702 /nfs/dbraw/zinc/98/17/02/1177981702.db2.gz OBRZAIWVEWNGDX-ARJAWSKDSA-N 0 2 305.338 0.796 20 0 DCADLN CCc1nn(C)cc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001703217631 1179400509 /nfs/dbraw/zinc/40/05/09/1179400509.db2.gz HFZBQSCJFFCHPU-SECBINFHSA-N 0 2 324.278 0.729 20 0 DCADLN CCc1nn(C)cc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703217631 1179400513 /nfs/dbraw/zinc/40/05/13/1179400513.db2.gz HFZBQSCJFFCHPU-SECBINFHSA-N 0 2 324.278 0.729 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2cccn2cn1)Cc1n[nH]c(=O)[n-]1 ZINC001713456805 1180839763 /nfs/dbraw/zinc/83/97/63/1180839763.db2.gz BUHZEEUTBMANAG-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2cccn2cn1)Cc1n[nH]c(=O)[n-]1 ZINC001713456805 1180839773 /nfs/dbraw/zinc/83/97/73/1180839773.db2.gz BUHZEEUTBMANAG-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN CCCCNC(=O)C[N@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001714543324 1181410431 /nfs/dbraw/zinc/41/04/31/1181410431.db2.gz FWMWFVSOYFUJKS-UHFFFAOYSA-N 0 2 311.386 0.002 20 0 DCADLN CCCCNC(=O)C[N@@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001714543324 1181410438 /nfs/dbraw/zinc/41/04/38/1181410438.db2.gz FWMWFVSOYFUJKS-UHFFFAOYSA-N 0 2 311.386 0.002 20 0 DCADLN CCCC[C@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001718243846 1183174418 /nfs/dbraw/zinc/17/44/18/1183174418.db2.gz VTPSWKQMDBDGKA-RQJHMYQMSA-N 0 2 315.267 0.163 20 0 DCADLN CCCC[C@H](CNC(=O)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001718243846 1183174422 /nfs/dbraw/zinc/17/44/22/1183174422.db2.gz VTPSWKQMDBDGKA-RQJHMYQMSA-N 0 2 315.267 0.163 20 0 DCADLN CN(CCCNC(=O)c1cncc(Cl)c1)Cc1nnn(C)n1 ZINC001731271504 1185231570 /nfs/dbraw/zinc/23/15/70/1185231570.db2.gz KFZNOTKRKXCYFC-UHFFFAOYSA-N 0 2 323.788 0.510 20 0 DCADLN Cc1nc(C[NH2+]CCN(C)C(=O)c2n[nH]c(C)c2[O-])c(C)o1 ZINC001731903025 1185561363 /nfs/dbraw/zinc/56/13/63/1185561363.db2.gz JVMNNQUVBBWOJZ-UHFFFAOYSA-N 0 2 307.354 0.890 20 0 DCADLN C[C@@H](CNC(=O)C=Cc1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001734026807 1186524788 /nfs/dbraw/zinc/52/47/88/1186524788.db2.gz YFMAXBRHKCDKBH-OMMCCPJFSA-N 0 2 305.338 0.753 20 0 DCADLN C[C@@H](CNC(=O)C=Cc1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001734026807 1186524791 /nfs/dbraw/zinc/52/47/91/1186524791.db2.gz YFMAXBRHKCDKBH-OMMCCPJFSA-N 0 2 305.338 0.753 20 0 DCADLN CC(=O)N(C)CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001737221991 1187290285 /nfs/dbraw/zinc/29/02/85/1187290285.db2.gz POHYNTIXCRGHRE-UHFFFAOYSA-N 0 2 304.354 0.408 20 0 DCADLN CN(CCCCCCNC(=O)c1cn[nH]n1)C(=O)c1ccn[nH]1 ZINC001739986531 1187870572 /nfs/dbraw/zinc/87/05/72/1187870572.db2.gz SUFAWKICBNWTCU-UHFFFAOYSA-N 0 2 319.369 0.590 20 0 DCADLN CN(CCCCCCNC(=O)c1cnn[nH]1)C(=O)c1cc[nH]n1 ZINC001739986531 1187870578 /nfs/dbraw/zinc/87/05/78/1187870578.db2.gz SUFAWKICBNWTCU-UHFFFAOYSA-N 0 2 319.369 0.590 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cnsn1 ZINC001740490855 1187926529 /nfs/dbraw/zinc/92/65/29/1187926529.db2.gz ZMQGUDPSYQTCCF-RXMQYKEDSA-N 0 2 300.237 0.285 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cnsn1 ZINC001740490855 1187926532 /nfs/dbraw/zinc/92/65/32/1187926532.db2.gz ZMQGUDPSYQTCCF-RXMQYKEDSA-N 0 2 300.237 0.285 20 0 DCADLN COCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001753304176 1188560438 /nfs/dbraw/zinc/56/04/38/1188560438.db2.gz VQKKANDWKUWPPH-PHIMTYICSA-N 0 2 309.370 0.112 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001758419569 1189879634 /nfs/dbraw/zinc/87/96/34/1189879634.db2.gz FZYQKZMVBGCOLJ-UHFFFAOYSA-N 0 2 318.381 0.571 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NCC=CCNC(=O)c2[nH]ncc2F)C1 ZINC001759751713 1190288645 /nfs/dbraw/zinc/28/86/45/1190288645.db2.gz DKMZIZLDWNVSFP-QUCGXOGASA-N 0 2 323.372 0.293 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCC1OCCCO1 ZINC001771643605 1190393986 /nfs/dbraw/zinc/39/39/86/1190393986.db2.gz XOPCRUYALIJARU-UHFFFAOYSA-N 0 2 321.333 0.570 20 0 DCADLN CC(C)c1nnc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)o1 ZINC001771599435 1190439536 /nfs/dbraw/zinc/43/95/36/1190439536.db2.gz IALCTPRFZMAFOE-UHFFFAOYSA-N 0 2 318.293 0.321 20 0 DCADLN COC[C@@H](C)NC(=O)Cc1noc(C(=O)Nc2cc(C)on2)n1 ZINC001771675000 1190464473 /nfs/dbraw/zinc/46/44/73/1190464473.db2.gz YDFTUYOMVPAMGL-SSDOTTSWSA-N 0 2 323.309 0.312 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C2C[NH+](C[C@H]3CCO[C@@H](C)C3)C2)c1[O-] ZINC001042619443 751786895 /nfs/dbraw/zinc/78/68/95/751786895.db2.gz DMGHVNPALTXMBI-JQWIXIFHSA-N 0 2 322.409 0.995 20 0 DCADLN CN(C(=O)[C@@H]1CCC(F)(F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042867160 751955525 /nfs/dbraw/zinc/95/55/25/751955525.db2.gz AGRWTRFEYNJYCT-MRVPVSSYSA-N 0 2 315.324 0.588 20 0 DCADLN COC(=O)c1nc2ccc(NCC3(O)CCOCC3)nc2[nH]1 ZINC001167551354 747370069 /nfs/dbraw/zinc/37/00/69/747370069.db2.gz CVQTXJOCBLWNJV-UHFFFAOYSA-N 0 2 306.322 0.698 20 0 DCADLN CCC[N@@H+]1C[C@H]2OCCN(C(=O)CCc3c[nH+]cn3C)[C@H]2C1 ZINC001083262407 747514750 /nfs/dbraw/zinc/51/47/50/747514750.db2.gz TUZCIKAGJGLMQW-LSDHHAIUSA-N 0 2 306.410 0.674 20 0 DCADLN CC(C)CC(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107702616 752231708 /nfs/dbraw/zinc/23/17/08/752231708.db2.gz OBMYKCYUBVQTLK-AWEZNQCLSA-N 0 2 311.386 0.264 20 0 DCADLN CC(C)=CC(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107709981 752237783 /nfs/dbraw/zinc/23/77/83/752237783.db2.gz NDUPTXYUBAEXHE-CQSZACIVSA-N 0 2 309.370 0.184 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)c1ncnc2nc[nH]c21 ZINC001100366574 748455313 /nfs/dbraw/zinc/45/53/13/748455313.db2.gz ASHVRZCTJCVHMX-SSDOTTSWSA-N 0 2 320.250 0.806 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)c1ncnc2nc[nH]c21 ZINC001100366574 748455316 /nfs/dbraw/zinc/45/53/16/748455316.db2.gz ASHVRZCTJCVHMX-SSDOTTSWSA-N 0 2 320.250 0.806 20 0 DCADLN COC(=O)CC(CC(=O)OC)Oc1nc(C)[nH]c(=O)c1F ZINC001226422919 748870449 /nfs/dbraw/zinc/87/04/49/748870449.db2.gz COBDYVDGGGONRV-UHFFFAOYSA-N 0 2 302.258 0.503 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CC2(F)F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046300792 750951082 /nfs/dbraw/zinc/95/10/82/750951082.db2.gz BCPHUPYVWUWSOJ-RDDDGLTNSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CC2(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300792 750951086 /nfs/dbraw/zinc/95/10/86/750951086.db2.gz BCPHUPYVWUWSOJ-RDDDGLTNSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CC2(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300792 750951091 /nfs/dbraw/zinc/95/10/91/750951091.db2.gz BCPHUPYVWUWSOJ-RDDDGLTNSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@]1(CNC(=O)/C=C\C2CC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107841579 752408512 /nfs/dbraw/zinc/40/85/12/752408512.db2.gz PIQWCBYHNMBHFA-XVWMLYKFSA-N 0 2 321.381 0.184 20 0 DCADLN CC(C)n1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001044175510 752609364 /nfs/dbraw/zinc/60/93/64/752609364.db2.gz CPCNLBLIMJSTRY-UHFFFAOYSA-N 0 2 319.369 0.244 20 0 DCADLN O=C(c1ccco1)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045698796 753296614 /nfs/dbraw/zinc/29/66/14/753296614.db2.gz UYJFFZGNHCEMRT-MNOVXSKESA-N 0 2 317.349 0.982 20 0 DCADLN O=C(c1ccco1)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045698796 753296620 /nfs/dbraw/zinc/29/66/20/753296620.db2.gz UYJFFZGNHCEMRT-MNOVXSKESA-N 0 2 317.349 0.982 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)N(C)C2CN(Cc3c[nH+]cn3C)C2)C1 ZINC001043871356 753572956 /nfs/dbraw/zinc/57/29/56/753572956.db2.gz QNVPTRMTJPSAAV-ZDUSSCGKSA-N 0 2 305.426 0.405 20 0 DCADLN CC[NH+]1CCn2ncc(CNC(=O)C[N@@H+]3CCC[C@@H]3C)c2C1 ZINC001128490068 753816959 /nfs/dbraw/zinc/81/69/59/753816959.db2.gz YYHZOCAFTXCMKX-ZDUSSCGKSA-N 0 2 305.426 0.819 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)[C@H]1C[N@H+](CC2CC2)C[C@@H]1O ZINC001047532426 754366728 /nfs/dbraw/zinc/36/67/28/754366728.db2.gz BYKVLXJJJZYMBT-VDERGJSUSA-N 0 2 318.421 0.437 20 0 DCADLN CC(C)[N@H+]1C[C@@H](NC(=O)CCn2cc[nH+]c2)[C@@H](n2ccnn2)C1 ZINC001129139612 754526645 /nfs/dbraw/zinc/52/66/45/754526645.db2.gz OMNZOGNPUXZOKW-KGLIPLIRSA-N 0 2 317.397 0.315 20 0 DCADLN [NH3+]Cc1nc(=O)[nH]n1-c1cc(Br)cc(C(=O)[O-])c1 ZINC001168761874 754777737 /nfs/dbraw/zinc/77/77/37/754777737.db2.gz XTKJUFWSMHBCLW-UHFFFAOYSA-N 0 2 313.111 0.892 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CCC1)c1cn[nH]n1 ZINC001063587013 755216823 /nfs/dbraw/zinc/21/68/23/755216823.db2.gz NQRIANAVPVATJS-ZETCQYMHSA-N 0 2 323.250 0.474 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccccn1 ZINC001079355926 755795458 /nfs/dbraw/zinc/79/54/58/755795458.db2.gz UFFWIUFNMQETPB-MWLCHTKSSA-N 0 2 302.338 0.156 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001097884494 755932486 /nfs/dbraw/zinc/93/24/86/755932486.db2.gz IQZWHNXUDPUQLH-OOCWMUITSA-N 0 2 305.382 0.778 20 0 DCADLN CC[C@H](C)C[NH+]1CC2(C1)CN(C(=O)c1n[nH]c(C)c1[O-])CCO2 ZINC001053225657 755963599 /nfs/dbraw/zinc/96/35/99/755963599.db2.gz KJKQXYGUQGCMOG-NSHDSACASA-N 0 2 322.409 0.997 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cc[nH]n2)C1 ZINC001079901531 756033515 /nfs/dbraw/zinc/03/35/15/756033515.db2.gz QHAQXQDVTMUGRX-SECBINFHSA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001079901531 756033517 /nfs/dbraw/zinc/03/35/17/756033517.db2.gz QHAQXQDVTMUGRX-SECBINFHSA-N 0 2 322.262 0.983 20 0 DCADLN C[C@H](C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1)C1CC1 ZINC001053768172 756192671 /nfs/dbraw/zinc/19/26/71/756192671.db2.gz UZVGMSHTQVALSV-ONGXEEELSA-N 0 2 321.381 0.016 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C(C)=C1CCC1 ZINC001081649539 756739051 /nfs/dbraw/zinc/73/90/51/756739051.db2.gz KXVUXWDZUNAAAR-VXGBXAGGSA-N 0 2 321.381 0.326 20 0 DCADLN CCC(=O)N[C@@]1(CO)CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001055664582 756848952 /nfs/dbraw/zinc/84/89/52/756848952.db2.gz XGQRSFZTKWSZMW-ONGXEEELSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N[C@@]1(CO)CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001055664582 756848953 /nfs/dbraw/zinc/84/89/53/756848953.db2.gz XGQRSFZTKWSZMW-ONGXEEELSA-N 0 2 314.279 0.767 20 0 DCADLN CC(=O)N[C@]1(CO)CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001055678194 756857630 /nfs/dbraw/zinc/85/76/30/756857630.db2.gz WEPAQOBVKFEIGP-WCBMZHEXSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N[C@]1(CO)CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001055678194 756857636 /nfs/dbraw/zinc/85/76/36/756857636.db2.gz WEPAQOBVKFEIGP-WCBMZHEXSA-N 0 2 300.252 0.376 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2COCC[N@H+]2CC[C@@H](C)F)c1[O-] ZINC001050876188 758200267 /nfs/dbraw/zinc/20/02/67/758200267.db2.gz JKXDXELAMPPBDA-MWLCHTKSSA-N 0 2 314.361 0.602 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2COCC[N@@H+]2CC[C@@H](C)F)c1[O-] ZINC001050876188 758200276 /nfs/dbraw/zinc/20/02/76/758200276.db2.gz JKXDXELAMPPBDA-MWLCHTKSSA-N 0 2 314.361 0.602 20 0 DCADLN Cc1cc(=O)n(-c2ccc(-n3[nH]c(=O)c(CC[NH3+])c3C)nn2)[n-]1 ZINC001170338666 762621784 /nfs/dbraw/zinc/62/17/84/762621784.db2.gz WESMBAYOHSSIHB-UHFFFAOYSA-N 0 2 315.337 0.290 20 0 DCADLN CC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CCCC1 ZINC001090471168 762659798 /nfs/dbraw/zinc/65/97/98/762659798.db2.gz ZBLJEGPRPUTZIT-QWRGUYRKSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1ccoc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085484340 758934589 /nfs/dbraw/zinc/93/45/89/758934589.db2.gz BCAAWLPETRDWBK-SNVBAGLBSA-N 0 2 305.338 0.758 20 0 DCADLN Cc1cccnc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085537678 759019755 /nfs/dbraw/zinc/01/97/55/759019755.db2.gz DTZWWCFNYCEVDE-LLVKDONJSA-N 0 2 316.365 0.560 20 0 DCADLN CC[C@H](C[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-])OC ZINC001085549891 759029906 /nfs/dbraw/zinc/02/99/06/759029906.db2.gz GUGUVIUSYXNMGI-NWDGAFQWSA-N 0 2 310.398 0.995 20 0 DCADLN CC[C@H](C[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-])OC ZINC001085549891 759029915 /nfs/dbraw/zinc/02/99/15/759029915.db2.gz GUGUVIUSYXNMGI-NWDGAFQWSA-N 0 2 310.398 0.995 20 0 DCADLN CCc1nc[nH]c1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085951014 759504265 /nfs/dbraw/zinc/50/42/65/759504265.db2.gz SUUFZAHWEOJHPI-SECBINFHSA-N 0 2 319.369 0.142 20 0 DCADLN Cc1ccc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001122761318 767870909 /nfs/dbraw/zinc/87/09/09/767870909.db2.gz QGLRLXFNWVCZKR-IONNQARKSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccc(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001122761318 767870916 /nfs/dbraw/zinc/87/09/16/767870916.db2.gz QGLRLXFNWVCZKR-IONNQARKSA-N 0 2 310.251 0.574 20 0 DCADLN CC(=O)NC(C)(C)C(=O)NC[C@@]1(C)CN(CCF)CCO1 ZINC001108282711 760273732 /nfs/dbraw/zinc/27/37/32/760273732.db2.gz YXNDUIZMLVNHAN-AWEZNQCLSA-N 0 2 303.378 0.078 20 0 DCADLN NC(=O)CCNc1ccc(S(=O)(=O)Nc2ccccn2)cn1 ZINC001168956772 760532170 /nfs/dbraw/zinc/53/21/70/760532170.db2.gz RIVNYUIMUDSZHT-UHFFFAOYSA-N 0 2 321.362 0.565 20 0 DCADLN COC(=O)c1nc2nc(Nn3c(N)cc(C)cc3=O)ccc2[nH]1 ZINC001169302206 760637506 /nfs/dbraw/zinc/63/75/06/760637506.db2.gz BMNWVQOHFNXDIH-UHFFFAOYSA-N 0 2 314.305 0.672 20 0 DCADLN CCC[NH+](C)CC(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001148647198 768023290 /nfs/dbraw/zinc/02/32/90/768023290.db2.gz QPCOJPDDSOLEFB-UHFFFAOYSA-N 0 2 321.425 0.754 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071379690 761810561 /nfs/dbraw/zinc/81/05/61/761810561.db2.gz XTKLDWCQDJKRJP-PSASIEDQSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(N[C@]12CCC[C@H]1CN(Cc1n[nH]c(=O)[nH]1)C2)C(F)F ZINC001098677177 762709403 /nfs/dbraw/zinc/70/94/03/762709403.db2.gz MJLYINWEHNYVSU-MADCSZMMSA-N 0 2 301.297 0.246 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C[C@@H](C)Nc1nc(C)nc(N(C)C)n1 ZINC001115613588 765768492 /nfs/dbraw/zinc/76/84/92/765768492.db2.gz XNUUQHREUNMRII-GHMZBOCLSA-N 0 2 324.429 0.930 20 0 DCADLN COC(=O)c1nccc(N[C@@H](C)CN(C)C(=O)CC(C)C)n1 ZINC001115614163 765768973 /nfs/dbraw/zinc/76/89/73/765768973.db2.gz AYAOAZJADZMIAV-NSHDSACASA-N 0 2 308.382 0.990 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1)C[NH+]1CCOCC1 ZINC001119646039 766759981 /nfs/dbraw/zinc/75/99/81/766759981.db2.gz YSQGKHLMKODLAV-NEKXEHSPSA-N 0 2 310.394 0.834 20 0 DCADLN Cc1ccc(N2C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C2)nn1 ZINC001091190629 767014536 /nfs/dbraw/zinc/01/45/36/767014536.db2.gz TZAKMPRZLBOQDD-KHQFGBGNSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1ccc(N2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C2)nn1 ZINC001091190629 767014546 /nfs/dbraw/zinc/01/45/46/767014546.db2.gz TZAKMPRZLBOQDD-KHQFGBGNSA-N 0 2 322.262 0.351 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@H]1C=CCC1 ZINC001230743598 768824499 /nfs/dbraw/zinc/82/44/99/768824499.db2.gz QRRLTJBNKBYKAK-NWDGAFQWSA-N 0 2 305.382 0.899 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2ccc(OC)c(C(=O)[O-])c2)CCN1C ZINC001231754613 769281225 /nfs/dbraw/zinc/28/12/25/769281225.db2.gz BSHPIGXPBLORFE-ZDUSSCGKSA-N 0 2 322.361 0.682 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2ccc(OC)c(C(=O)[O-])c2)CCN1C ZINC001231754613 769281232 /nfs/dbraw/zinc/28/12/32/769281232.db2.gz BSHPIGXPBLORFE-ZDUSSCGKSA-N 0 2 322.361 0.682 20 0 DCADLN COC(=O)CN1CC[NH+](Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231793385 769285269 /nfs/dbraw/zinc/28/52/69/769285269.db2.gz WUPHBEHFOYCUJI-UHFFFAOYSA-N 0 2 322.361 0.441 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccccn1 ZINC001095101618 769586458 /nfs/dbraw/zinc/58/64/58/769586458.db2.gz FZEBNYNZQJRQHW-USWWRNFRSA-N 0 2 314.349 0.441 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1=COCCC1 ZINC001095225800 769675500 /nfs/dbraw/zinc/67/55/00/769675500.db2.gz JJRJAPHHZQQJFZ-WOPDTQHZSA-N 0 2 319.365 0.426 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CC[C@H]1CN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001235322674 769808686 /nfs/dbraw/zinc/80/86/86/769808686.db2.gz XPEQYFZSXDRMGM-STQMWFEESA-N 0 2 310.442 0.267 20 0 DCADLN Cn1[nH]cnc1=NC(=O)c1cc(=O)c2cc(Cl)ccc2[nH]1 ZINC001153899087 769989065 /nfs/dbraw/zinc/98/90/65/769989065.db2.gz AGGLWLWNFAMMSN-UHFFFAOYSA-N 0 2 303.709 0.984 20 0 DCADLN CC[N@@H+](CC(N)=O)[C@H](C)CNC(=O)c1ccn2c[nH+]cc2c1 ZINC001154178489 770103502 /nfs/dbraw/zinc/10/35/02/770103502.db2.gz LKSZTCPZNJZRRD-LLVKDONJSA-N 0 2 303.366 0.260 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H]1CCCN(c2ccccn2)C1 ZINC001176971726 770264406 /nfs/dbraw/zinc/26/44/06/770264406.db2.gz XNEKXYLANCEVTC-TVQRCGJNSA-N 0 2 320.374 0.723 20 0 DCADLN O=C([O-])CCC(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1ccco1 ZINC001177626208 770515275 /nfs/dbraw/zinc/51/52/75/770515275.db2.gz MYTVFIHWNITXAQ-CYBMUJFWSA-N 0 2 308.334 0.794 20 0 DCADLN O=C([O-])CCC(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1ccco1 ZINC001177626208 770515283 /nfs/dbraw/zinc/51/52/83/770515283.db2.gz MYTVFIHWNITXAQ-CYBMUJFWSA-N 0 2 308.334 0.794 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H]1C[C@@]12C(=O)Nc1ccccc12 ZINC001179432310 771004199 /nfs/dbraw/zinc/00/41/99/771004199.db2.gz XIEBCVOUXICIJD-HFHAVAFHSA-N 0 2 317.326 0.322 20 0 DCADLN N=CNC(=O)COc1ccc(C[C@H]2SC(=O)NC2=O)cc1 ZINC001182551105 771504472 /nfs/dbraw/zinc/50/44/72/771504472.db2.gz XJTHFGAUBQLFJP-SNVBAGLBSA-N 0 2 307.331 0.683 20 0 DCADLN COc1c[nH+]c(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)c(C)c1 ZINC001157070274 771527226 /nfs/dbraw/zinc/52/72/26/771527226.db2.gz FSAFQSOGDLJNEQ-CBAPKCEASA-N 0 2 301.324 0.213 20 0 DCADLN O=C(C[C@H]1NC(=O)c2ccccc21)NCCCc1n[nH]c(=O)[nH]1 ZINC001182833110 771562841 /nfs/dbraw/zinc/56/28/41/771562841.db2.gz YSHAFLLYOVZIPO-LLVKDONJSA-N 0 2 315.333 0.434 20 0 DCADLN O=C(CNC(=O)c1ccccc1Cl)NCc1n[nH]c(=O)[nH]1 ZINC001183063882 771604352 /nfs/dbraw/zinc/60/43/52/771604352.db2.gz FHIVAUSRXDLSNA-UHFFFAOYSA-N 0 2 309.713 0.210 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001183758920 771696758 /nfs/dbraw/zinc/69/67/58/771696758.db2.gz ACWTYGHGBINSBY-LLVKDONJSA-N 0 2 311.455 0.959 20 0 DCADLN C[C@H](NC(=O)C1(C(=O)NC2CC2)CCC1)c1nn(C)cc1O ZINC001158307953 771756927 /nfs/dbraw/zinc/75/69/27/771756927.db2.gz TVSXMTCSRCRVTF-VIFPVBQESA-N 0 2 306.366 0.752 20 0 DCADLN CCOC(=O)c1sc(S(=O)(=O)[N-]CC[NH+](C)C)nc1C ZINC001184913514 771843840 /nfs/dbraw/zinc/84/38/40/771843840.db2.gz AHHCABAHROJAFC-UHFFFAOYSA-N 0 2 321.424 0.468 20 0 DCADLN COC(=O)c1ccc(OC)c(S(=O)(=O)Nc2nnco2)c1 ZINC001186533393 772053362 /nfs/dbraw/zinc/05/33/62/772053362.db2.gz LZQVRFFBSYEOOL-UHFFFAOYSA-N 0 2 313.291 0.666 20 0 DCADLN CC(C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001186785769 772090256 /nfs/dbraw/zinc/09/02/56/772090256.db2.gz PFGPPISWJJFOCN-NWDGAFQWSA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001186785769 772090263 /nfs/dbraw/zinc/09/02/63/772090263.db2.gz PFGPPISWJJFOCN-NWDGAFQWSA-N 0 2 307.398 0.979 20 0 DCADLN COc1nccc(NS(=O)(=O)c2ccc3c(c2)CC(=O)N3)n1 ZINC001186886385 772101371 /nfs/dbraw/zinc/10/13/71/772101371.db2.gz HVCPGHLYDXRNDW-UHFFFAOYSA-N 0 2 320.330 0.781 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)c1cnoc1C)c1ccncc1 ZINC001187409441 772181147 /nfs/dbraw/zinc/18/11/47/772181147.db2.gz ASKXKVUFEVKUHP-LLVKDONJSA-N 0 2 311.319 0.571 20 0 DCADLN COC(=O)c1nc2ccc(N[C@H]3CCS(=O)(=O)C3)nc2[nH]1 ZINC001162501233 772382965 /nfs/dbraw/zinc/38/29/65/772382965.db2.gz MJMHFAYIINPSSM-ZETCQYMHSA-N 0 2 310.335 0.344 20 0 DCADLN COC(=O)c1nc2ccc(N[C@H]3CCS(=O)(=O)C3)[nH]c-2n1 ZINC001162501233 772382968 /nfs/dbraw/zinc/38/29/68/772382968.db2.gz MJMHFAYIINPSSM-ZETCQYMHSA-N 0 2 310.335 0.344 20 0 DCADLN CC(C)(C)[C@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1nc(=O)o[n-]1 ZINC001162934789 772433413 /nfs/dbraw/zinc/43/34/13/772433413.db2.gz GEHMCRZGLRYKNO-ZYOFXKKJSA-N 0 2 324.381 0.455 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(NC[C@H]2OC[C@H]3C[NH2+]CC[C@H]32)cc1 ZINC001163177556 772478121 /nfs/dbraw/zinc/47/81/21/772478121.db2.gz IUIVEJHOXNBDOE-LERXQTSPSA-N 0 2 312.306 0.526 20 0 DCADLN O=[P@]([O-])(O)c1ccc(NC[C@H]2OC[C@H]3C[NH2+]CC[C@H]32)cc1 ZINC001163177556 772478127 /nfs/dbraw/zinc/47/81/27/772478127.db2.gz IUIVEJHOXNBDOE-LERXQTSPSA-N 0 2 312.306 0.526 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc(-c2ccccn2)n[nH]1 ZINC001189473680 772478342 /nfs/dbraw/zinc/47/83/42/772478342.db2.gz GTECJXIABLSVHW-LLVKDONJSA-N 0 2 303.303 0.511 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(N[C@@H]2CCO[C@]3(CC[NH2+]C3)C2)cc1 ZINC001163333200 772508066 /nfs/dbraw/zinc/50/80/66/772508066.db2.gz PWOLSKDLVNJRLD-TZMCWYRMSA-N 0 2 312.306 0.813 20 0 DCADLN O=[P@]([O-])(O)c1ccc(N[C@@H]2CCO[C@]3(CC[NH2+]C3)C2)cc1 ZINC001163333200 772508067 /nfs/dbraw/zinc/50/80/67/772508067.db2.gz PWOLSKDLVNJRLD-TZMCWYRMSA-N 0 2 312.306 0.813 20 0 DCADLN O=S(=O)(Nc1cnncc1Br)c1ncc[nH]1 ZINC001190691251 772665561 /nfs/dbraw/zinc/66/55/61/772665561.db2.gz WTDVQZMSDGIALM-UHFFFAOYSA-N 0 2 304.129 0.763 20 0 DCADLN COCc1nnc(CNC(=O)c2csc(-c3ncc[nH]3)n2)[nH]1 ZINC001165514912 772744239 /nfs/dbraw/zinc/74/42/39/772744239.db2.gz DLWOXUGAUYDZRX-UHFFFAOYSA-N 0 2 319.350 0.728 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1c(C)cnn1C1COC1 ZINC001194681567 773232390 /nfs/dbraw/zinc/23/23/90/773232390.db2.gz XTHKZZRUMLGISA-UHFFFAOYSA-N 0 2 324.362 0.967 20 0 DCADLN C[N@H+](C1CN(C(=O)c2cnc(C(F)(F)F)[nH]2)C1)[C@H]1CCOC1 ZINC001194782607 773253446 /nfs/dbraw/zinc/25/34/46/773253446.db2.gz VHKJBIRUMDUBMA-QMMMGPOBSA-N 0 2 318.299 0.974 20 0 DCADLN COC(=O)c1nn(C)cc1NS(=O)(=O)c1ccc(O)cc1 ZINC001195766435 773471493 /nfs/dbraw/zinc/47/14/93/773471493.db2.gz NPDAUPZUOWYJIG-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cnc(OCC)c(F)c1 ZINC001195938957 773498419 /nfs/dbraw/zinc/49/84/19/773498419.db2.gz IWNZOAICGKKLHA-UHFFFAOYSA-N 0 2 306.315 0.924 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cnc(C)c(C(C)=O)c1 ZINC001195942474 773500571 /nfs/dbraw/zinc/50/05/71/773500571.db2.gz WJTXQOUPXPEDQZ-UHFFFAOYSA-N 0 2 300.336 0.897 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnn(-c2cccnc2)c1 ZINC001197583293 773772437 /nfs/dbraw/zinc/77/24/37/773772437.db2.gz AWQBKQVYDPFLOK-NSHDSACASA-N 0 2 303.303 0.306 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc(-c2nnco2)cc1 ZINC001197693071 773785719 /nfs/dbraw/zinc/78/57/19/773785719.db2.gz WWGNDGJBPSEAJL-NSHDSACASA-N 0 2 304.287 0.776 20 0 DCADLN CC(=O)Nc1cc(NS(=O)(=O)Cc2ccccn2)ncn1 ZINC001197782239 773799723 /nfs/dbraw/zinc/79/97/23/773799723.db2.gz JCUOJBKLWPNYJK-UHFFFAOYSA-N 0 2 307.335 0.772 20 0 DCADLN COc1cnc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c(OC)c1 ZINC001213928316 773902946 /nfs/dbraw/zinc/90/29/46/773902946.db2.gz SWUINNSZDZVFQC-UHFFFAOYSA-N 0 2 317.261 0.192 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccn(CC2CC2)c(=O)c1 ZINC001198780245 773979036 /nfs/dbraw/zinc/97/90/36/773979036.db2.gz NSAXQOZDUMTTRS-LBPRGKRZSA-N 0 2 307.331 0.297 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnn(C)c2C(N)=O)c(C)c1 ZINC001198905009 774006996 /nfs/dbraw/zinc/00/69/96/774006996.db2.gz HRMSLFFLDUEUAP-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN COc1cc(C(N)=O)ccc1NS(=O)(=O)c1cncc(N)c1 ZINC001215634189 774086439 /nfs/dbraw/zinc/08/64/39/774086439.db2.gz NMMWSXSJVMOCCM-UHFFFAOYSA-N 0 2 322.346 0.572 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccn(C2CCC2)c1=O ZINC001199492776 774123329 /nfs/dbraw/zinc/12/33/29/774123329.db2.gz QXSWYNKQNOBJEN-NSHDSACASA-N 0 2 307.331 0.612 20 0 DCADLN Cc1cc(C)c(NC(=O)[C@@H]2COCC[NH2+]2)c(S(=O)(=O)[O-])c1 ZINC001218948849 774333979 /nfs/dbraw/zinc/33/39/79/774333979.db2.gz UWBWMGSTPLQWHC-JTQLQIEISA-N 0 2 314.363 0.477 20 0 DCADLN CCNC(=O)C[NH+]1CC[C@@H]2CN(C(=O)C[N@H+]3CCC[C@H]3C)[C@@H]2C1 ZINC001221813297 775203046 /nfs/dbraw/zinc/20/30/46/775203046.db2.gz FCNZYJDKFUMHDQ-RBSFLKMASA-N 0 2 322.453 0.140 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1(CF)CC1 ZINC001111011129 775389957 /nfs/dbraw/zinc/38/99/57/775389957.db2.gz FCBQUSXNAOTVGW-BBBLOLIVSA-N 0 2 309.345 0.482 20 0 DCADLN C[C@@H]1CCC[N@H+]1CC(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001224535203 775579191 /nfs/dbraw/zinc/57/91/91/775579191.db2.gz DUSHBAKWBOEFGY-CYBMUJFWSA-N 0 2 321.425 0.882 20 0 DCADLN CC(C)SCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226135164 775771537 /nfs/dbraw/zinc/77/15/37/775771537.db2.gz CJKWFSZPXRTNIU-UHFFFAOYSA-N 0 2 313.427 0.733 20 0 DCADLN CC1=C[C@@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)CC(=O)O1 ZINC001226888079 775890871 /nfs/dbraw/zinc/89/08/71/775890871.db2.gz OHNXOOMNDQHFMA-RXMQYKEDSA-N 0 2 306.234 0.135 20 0 DCADLN CC(C)(F)C(=O)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041886800 777567584 /nfs/dbraw/zinc/56/75/84/777567584.db2.gz BZBDUSKAQASABM-VHSXEESVSA-N 0 2 311.361 0.681 20 0 DCADLN CC(C)(F)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041886800 777567588 /nfs/dbraw/zinc/56/75/88/777567588.db2.gz BZBDUSKAQASABM-VHSXEESVSA-N 0 2 311.361 0.681 20 0 DCADLN C[C@@](CNC(=O)[C@H]1CCC(F)(F)C1)(NC(=O)C(N)=O)C1CC1 ZINC001582658726 1166063071 /nfs/dbraw/zinc/06/30/71/1166063071.db2.gz HXQKQRKNIAYCKJ-SDBXPKJASA-N 0 2 317.336 0.308 20 0 DCADLN Cc1nc(C[N@@H+](C)CCCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])co1 ZINC001600698816 1168255735 /nfs/dbraw/zinc/25/57/35/1168255735.db2.gz JOLYWDNAZFJEPG-CHWSQXEVSA-N 0 2 309.366 0.984 20 0 DCADLN Cc1nc(C[N@H+](C)CCCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])co1 ZINC001600698816 1168255743 /nfs/dbraw/zinc/25/57/43/1168255743.db2.gz JOLYWDNAZFJEPG-CHWSQXEVSA-N 0 2 309.366 0.984 20 0 DCADLN [NH3+]CC(F)(F)CNS(=O)(=O)Cc1ccc(C(=O)[O-])cc1 ZINC001601182017 1168628562 /nfs/dbraw/zinc/62/85/62/1168628562.db2.gz HTROVSAGAQMDHR-UHFFFAOYSA-N 0 2 308.306 0.398 20 0 DCADLN O=C([O-])Cn1cc(CN[C@@H]2CCC[N@@H+]3CCSC[C@H]23)nn1 ZINC001602162529 1169011299 /nfs/dbraw/zinc/01/12/99/1169011299.db2.gz YUBACINGVMEWNF-VXGBXAGGSA-N 0 2 311.411 0.032 20 0 DCADLN O=C([O-])Cn1cc(CN[C@@H]2CCC[N@H+]3CCSC[C@H]23)nn1 ZINC001602162529 1169011312 /nfs/dbraw/zinc/01/13/12/1169011312.db2.gz YUBACINGVMEWNF-VXGBXAGGSA-N 0 2 311.411 0.032 20 0 DCADLN O=C([O-])[C@@]1(NC(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCOC1 ZINC000902061729 939283241 /nfs/dbraw/zinc/28/32/41/939283241.db2.gz DOHFWJIUDQPQQD-GZMMTYOYSA-N 0 2 321.255 0.737 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001408589928 939394994 /nfs/dbraw/zinc/39/49/94/939394994.db2.gz BPSLNWLJXSQFGB-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001408589928 939394997 /nfs/dbraw/zinc/39/49/97/939394997.db2.gz BPSLNWLJXSQFGB-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267625014 939597641 /nfs/dbraw/zinc/59/76/41/939597641.db2.gz UFGLDSFQQOOTFG-FJOGCWAESA-N 0 2 316.365 0.632 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001268017849 939737115 /nfs/dbraw/zinc/73/71/15/939737115.db2.gz NMZIXLSLXIEXBU-NEPJUHHUSA-N 0 2 321.381 0.184 20 0 DCADLN CCCNC(=O)CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001481625760 939979013 /nfs/dbraw/zinc/97/90/13/939979013.db2.gz AIVFNCRCBBICOY-SNVBAGLBSA-N 0 2 313.295 0.461 20 0 DCADLN CC[N@H+]1CCO[C@@H](C(=O)NC/C=C/C[NH2+]Cc2cnoc2C)C1 ZINC001268626531 940305869 /nfs/dbraw/zinc/30/58/69/940305869.db2.gz BGRCMDGMQZVGEU-MBVDDHJVSA-N 0 2 322.409 0.466 20 0 DCADLN O=C(C[C@@H]1CCCO1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060606 941300799 /nfs/dbraw/zinc/30/07/99/941300799.db2.gz OUXZDMBSXPUWRB-WPRPVWTQSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(C[C@@H]1CCCO1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409060606 941300803 /nfs/dbraw/zinc/30/08/03/941300803.db2.gz OUXZDMBSXPUWRB-WPRPVWTQSA-N 0 2 312.263 0.783 20 0 DCADLN C[C@H]1C[C@@H](C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001482638280 941606573 /nfs/dbraw/zinc/60/65/73/941606573.db2.gz MARFNCUGMMUQBT-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H]1C[C@@H](C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001482638280 941606576 /nfs/dbraw/zinc/60/65/76/941606576.db2.gz MARFNCUGMMUQBT-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H]([NH2+]C[C@@H](NC(=O)CCn1cc[nH+]c1)C1CC1)C(=O)N(C)C ZINC001483578274 942437400 /nfs/dbraw/zinc/43/74/00/942437400.db2.gz BVSKEFVJTCAFSS-GXTWGEPZSA-N 0 2 321.425 0.234 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cc(C)cc(C(=O)OC)c1 ZINC001259026838 942479044 /nfs/dbraw/zinc/47/90/44/942479044.db2.gz VLULNRUMISCZEQ-UHFFFAOYSA-N 0 2 301.320 0.696 20 0 DCADLN Cn1cncc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001365633447 942852404 /nfs/dbraw/zinc/85/24/04/942852404.db2.gz YLPCVKFBFLQSFT-SNVBAGLBSA-N 0 2 308.279 0.965 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)[C@@H]1COc2ccc(O)cc2O1 ZINC001413337216 942903541 /nfs/dbraw/zinc/90/35/41/942903541.db2.gz CKZCQFQKMIUPSV-JTQLQIEISA-N 0 2 319.273 0.050 20 0 DCADLN CN(CCC[N@H+](C)[C@@H]1CCNC1=O)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001484765570 943569636 /nfs/dbraw/zinc/56/96/36/943569636.db2.gz JTAFWGRSWKPZMX-GFCCVEGCSA-N 0 2 321.425 0.356 20 0 DCADLN COc1cccc(C[C@H](O)C(=O)N[C@@H](C)c2nn(C)cc2O)c1 ZINC001413762718 943670821 /nfs/dbraw/zinc/67/08/21/943670821.db2.gz MUQGLRYAFRRCLB-GWCFXTLKSA-N 0 2 319.361 0.915 20 0 DCADLN C[C@H](NC(=O)c1cccnc1OCCO)c1nn(C)cc1O ZINC001413767029 943673514 /nfs/dbraw/zinc/67/35/14/943673514.db2.gz URXITXYPHTXQAG-VIFPVBQESA-N 0 2 306.322 0.383 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001485332661 943838271 /nfs/dbraw/zinc/83/82/71/943838271.db2.gz CPNTUCNOZUGIQM-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001485332661 943838276 /nfs/dbraw/zinc/83/82/76/943838276.db2.gz CPNTUCNOZUGIQM-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN COC[C@@H](C)CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491352952 943934989 /nfs/dbraw/zinc/93/49/89/943934989.db2.gz JTPXEOLWHUYOTR-IONNQARKSA-N 0 2 302.268 0.792 20 0 DCADLN COC[C@@H](C)CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491352952 943934994 /nfs/dbraw/zinc/93/49/94/943934994.db2.gz JTPXEOLWHUYOTR-IONNQARKSA-N 0 2 302.268 0.792 20 0 DCADLN O=C([O-])CCC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC001611416497 971037615 /nfs/dbraw/zinc/03/76/15/971037615.db2.gz JPLCAQAOBBJDFP-ZDUSSCGKSA-N 0 2 302.396 0.175 20 0 DCADLN C[C@@H]1CC[C@H](C)[N@H+]1CC(=O)NCCNC(=O)Cc1c[nH]c[nH+]1 ZINC001491362821 943938043 /nfs/dbraw/zinc/93/80/43/943938043.db2.gz HSVXQZYESAODDR-TXEJJXNPSA-N 0 2 307.398 0.057 20 0 DCADLN O=C([O-])CC[C@H]1CCC[N@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001611425970 971047523 /nfs/dbraw/zinc/04/75/23/971047523.db2.gz KSJBZPNBUPQTQW-SNVBAGLBSA-N 0 2 318.333 0.607 20 0 DCADLN O=C([O-])CC[C@H]1CCC[N@@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001611425970 971047529 /nfs/dbraw/zinc/04/75/29/971047529.db2.gz KSJBZPNBUPQTQW-SNVBAGLBSA-N 0 2 318.333 0.607 20 0 DCADLN NC(=O)c1[nH]nc2c1CCN(C(=O)c1c(F)ccc(F)c1O)C2 ZINC001276833935 944320009 /nfs/dbraw/zinc/32/00/09/944320009.db2.gz VCGQSWQLADNQME-UHFFFAOYSA-N 0 2 322.271 0.691 20 0 DCADLN NC(=O)c1cn2c(n1)CN(C(=O)c1cc(F)c(O)cc1F)CC2 ZINC001276859090 944331644 /nfs/dbraw/zinc/33/16/44/944331644.db2.gz XCORBUKERGUTQV-UHFFFAOYSA-N 0 2 322.271 0.622 20 0 DCADLN O=C(c1[nH]nc2c1CCN(Cc1c[nH]nn1)C2)N1CCCCO1 ZINC001277378327 944793929 /nfs/dbraw/zinc/79/39/29/944793929.db2.gz JDHDCPTUSGUTEA-UHFFFAOYSA-N 0 2 317.353 0.254 20 0 DCADLN CO[C@H](C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)C1CC1 ZINC001431238543 945908495 /nfs/dbraw/zinc/90/84/95/945908495.db2.gz QVSCQSXTLJUONC-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@H](C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001431238543 945908500 /nfs/dbraw/zinc/90/85/00/945908500.db2.gz QVSCQSXTLJUONC-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001432193199 946062333 /nfs/dbraw/zinc/06/23/33/946062333.db2.gz LJQRXYGCIOPHBD-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN COCCC(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001432193199 946062346 /nfs/dbraw/zinc/06/23/46/946062346.db2.gz LJQRXYGCIOPHBD-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(CCNS(=O)(=O)CC(F)(F)F)NCc1ccco1 ZINC001253210422 946095563 /nfs/dbraw/zinc/09/55/63/946095563.db2.gz MXNHGSILYYTSIW-UHFFFAOYSA-N 0 2 314.285 0.768 20 0 DCADLN O=S(=O)(CC(F)(F)F)NC1CC(O)(C(F)(F)F)C1 ZINC001253218028 946100147 /nfs/dbraw/zinc/10/01/47/946100147.db2.gz JCUOGSVTIAZGSY-UHFFFAOYSA-N 0 2 301.208 0.924 20 0 DCADLN Cc1ccc(C)c(S(=O)(=O)Nc2cnn(C)c2C(N)=O)c1 ZINC001254711773 946426307 /nfs/dbraw/zinc/42/63/07/946426307.db2.gz XLORKAKNXWSGPS-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ncoc1[C@H]1CCCO1 ZINC001322296864 946640378 /nfs/dbraw/zinc/64/03/78/946640378.db2.gz PHZWTKNYXSQLOO-MRVPVSSYSA-N 0 2 307.310 0.712 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)c2cnn(C)c2)cc1O ZINC001259826274 946990991 /nfs/dbraw/zinc/99/09/91/946990991.db2.gz FQWHIZDBIBLHCU-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3c2CC(=O)NC3)cn1 ZINC001259827818 946991321 /nfs/dbraw/zinc/99/13/21/946991321.db2.gz RPWRYXXDMDUCAL-UHFFFAOYSA-N 0 2 306.347 0.393 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1ccccc1F)=C(C)O ZINC001260630534 947132321 /nfs/dbraw/zinc/13/23/21/947132321.db2.gz OSHKDMKEBZETRN-NSHDSACASA-N 0 2 303.311 0.376 20 0 DCADLN C[C@H]1[C@H](NC(=O)CC(C)(C)O)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001410936311 947148955 /nfs/dbraw/zinc/14/89/55/947148955.db2.gz WWBRHYQIJWNRDS-VHSXEESVSA-N 0 2 311.386 0.140 20 0 DCADLN C[C@H]1[C@H](NC(=O)CC(C)(C)O)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001410936311 947148961 /nfs/dbraw/zinc/14/89/61/947148961.db2.gz WWBRHYQIJWNRDS-VHSXEESVSA-N 0 2 311.386 0.140 20 0 DCADLN C[C@]1(CNC(=O)c2cn[nH]n2)CCCN(C(=O)c2ccn[nH]2)C1 ZINC001411135415 947375323 /nfs/dbraw/zinc/37/53/23/947375323.db2.gz JWIDDDVERLAYSG-CQSZACIVSA-N 0 2 317.353 0.200 20 0 DCADLN C[C@]1(CNC(=O)c2cnn[nH]2)CCCN(C(=O)c2cc[nH]n2)C1 ZINC001411135415 947375334 /nfs/dbraw/zinc/37/53/34/947375334.db2.gz JWIDDDVERLAYSG-CQSZACIVSA-N 0 2 317.353 0.200 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1nccs1 ZINC001411197850 947473739 /nfs/dbraw/zinc/47/37/39/947473739.db2.gz VMSSBIDTEHJCCR-UHFFFAOYSA-N 0 2 324.410 0.949 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1nccs1 ZINC001411197850 947473745 /nfs/dbraw/zinc/47/37/45/947473745.db2.gz VMSSBIDTEHJCCR-UHFFFAOYSA-N 0 2 324.410 0.949 20 0 DCADLN COCCN(CCNC(=O)c1cc(C)co1)Cc1n[nH]c(=O)[nH]1 ZINC001411223239 947510777 /nfs/dbraw/zinc/51/07/77/947510777.db2.gz FCIVUKCZQZTABS-UHFFFAOYSA-N 0 2 323.353 0.290 20 0 DCADLN CC(C)(C)/C=C\C(=O)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325069919 947635597 /nfs/dbraw/zinc/63/55/97/947635597.db2.gz JWBRUFJMDMNHGM-XVWMLYKFSA-N 0 2 323.397 0.166 20 0 DCADLN CC(C)(C)/C=C\C(=O)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325069919 947635599 /nfs/dbraw/zinc/63/55/99/947635599.db2.gz JWBRUFJMDMNHGM-XVWMLYKFSA-N 0 2 323.397 0.166 20 0 DCADLN Cc1nc(CNC(=O)Nc2ccc(-c3nn[nH]n3)c(F)c2)n[nH]1 ZINC001570921311 948618414 /nfs/dbraw/zinc/61/84/14/948618414.db2.gz VEOXKCYZDJQTLY-UHFFFAOYSA-N 0 2 317.288 0.754 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC[C@@H]2CCOC2)c1 ZINC001570922365 948640525 /nfs/dbraw/zinc/64/05/25/948640525.db2.gz YNZMEDNCCYKSJS-SECBINFHSA-N 0 2 319.321 0.242 20 0 DCADLN CO[C@H](CS(=O)(=O)NNc1nncc(N)c1Cl)C1CC1 ZINC001328379019 948865133 /nfs/dbraw/zinc/86/51/33/948865133.db2.gz JZBORADZIXPGDU-MRVPVSSYSA-N 0 2 321.790 0.384 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)Cn1cnc(N)n1 ZINC000385742645 948910242 /nfs/dbraw/zinc/91/02/42/948910242.db2.gz DMGCSCWSOJAHHX-UHFFFAOYSA-N 0 2 319.350 0.060 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCC(F)F ZINC001488641863 948995290 /nfs/dbraw/zinc/99/52/90/948995290.db2.gz AJRLWEOXOIBSOF-QMMMGPOBSA-N 0 2 303.313 0.588 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCCCN(CC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001602788634 971538233 /nfs/dbraw/zinc/53/82/33/971538233.db2.gz HJFWWHGSZWZAJC-QWRGUYRKSA-N 0 2 308.338 0.026 20 0 DCADLN COc1cc(COCC(=O)N[C@H](C)c2nn(C)cc2O)ccn1 ZINC001364394159 949180712 /nfs/dbraw/zinc/18/07/12/949180712.db2.gz CYTOAAPVLLLWEB-SNVBAGLBSA-N 0 2 320.349 0.923 20 0 DCADLN CC[C@@]1(C(=O)[O-])CCC[N@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589604374 949220544 /nfs/dbraw/zinc/22/05/44/949220544.db2.gz BGONWOZYOGNSDC-OAHLLOKOSA-N 0 2 318.333 0.607 20 0 DCADLN CC[C@@]1(C(=O)[O-])CCC[N@@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589604374 949220566 /nfs/dbraw/zinc/22/05/66/949220566.db2.gz BGONWOZYOGNSDC-OAHLLOKOSA-N 0 2 318.333 0.607 20 0 DCADLN Cc1ccncc1CNC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001364463287 949357517 /nfs/dbraw/zinc/35/75/17/949357517.db2.gz XQHWIIWIHHBGBJ-UHFFFAOYSA-N 0 2 315.337 0.722 20 0 DCADLN COC(=O)[C@H]1Cc2ccc(O)cc2CN1Cc1n[nH]c(=O)[nH]1 ZINC001364544752 949528306 /nfs/dbraw/zinc/52/83/06/949528306.db2.gz DDZCJWYNDUMZRB-LLVKDONJSA-N 0 2 304.306 0.316 20 0 DCADLN O=C([O-])c1ccccc1CNS(=O)(=O)CCn1cc[nH+]c1 ZINC001595255266 949591500 /nfs/dbraw/zinc/59/15/00/949591500.db2.gz LEVMDKYQWGEZPQ-UHFFFAOYSA-N 0 2 309.347 0.701 20 0 DCADLN CO[C@H](CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001364629674 949665092 /nfs/dbraw/zinc/66/50/92/949665092.db2.gz QUOPKLXHKDUDHL-WDEREUQCSA-N 0 2 309.370 0.824 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2c[nH]nc2C(F)(F)F)S1 ZINC001364800730 949966619 /nfs/dbraw/zinc/96/66/19/949966619.db2.gz BVHAAZALVSSHKW-BYPYZUCNSA-N 0 2 307.257 0.923 20 0 DCADLN CC(F)(F)CN1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001364817187 949999040 /nfs/dbraw/zinc/99/90/40/949999040.db2.gz KGDHNXOMLRSCSN-UHFFFAOYSA-N 0 2 311.336 0.795 20 0 DCADLN Cc1c(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nnn1C ZINC001365063313 950446224 /nfs/dbraw/zinc/44/62/24/950446224.db2.gz KSTZIYQNTNCIKA-QMMMGPOBSA-N 0 2 306.330 0.359 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)N[C@@H](C)c1cnccc1C ZINC001365083898 950485747 /nfs/dbraw/zinc/48/57/47/950485747.db2.gz ZQBGXQLNKCJLGS-QMMMGPOBSA-N 0 2 303.326 0.750 20 0 DCADLN C[C@H](NC(=O)CNC(=O)NC1CCCCC1)c1nn(C)cc1O ZINC001365120830 950551019 /nfs/dbraw/zinc/55/10/19/950551019.db2.gz QFECZIRTRYRZGB-JTQLQIEISA-N 0 2 323.397 0.935 20 0 DCADLN COC(=O)c1cc(NC(=O)CC2SC(=N)NC2=O)cnc1C ZINC001365185953 950694672 /nfs/dbraw/zinc/69/46/72/950694672.db2.gz PUDSBSIQZIKDAB-VIFPVBQESA-N 0 2 322.346 0.672 20 0 DCADLN CC(C)OC(=O)CNC(=O)[C@@H](C)SCc1n[nH]c(=O)[nH]1 ZINC001365259232 950829975 /nfs/dbraw/zinc/82/99/75/950829975.db2.gz IMHNVEATVRXVHN-SSDOTTSWSA-N 0 2 302.356 0.200 20 0 DCADLN O=C(NCc1cccnc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365306346 950926870 /nfs/dbraw/zinc/92/68/70/950926870.db2.gz ZNOLXUZVUCINME-LLVKDONJSA-N 0 2 302.338 0.995 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1CCO)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365379029 951042314 /nfs/dbraw/zinc/04/23/14/951042314.db2.gz MCYRIFCVMDCARA-GRYCIOLGSA-N 0 2 323.397 0.950 20 0 DCADLN CC(C)CCOC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365464382 951156976 /nfs/dbraw/zinc/15/69/76/951156976.db2.gz UPSABKDYFYOXFP-UHFFFAOYSA-N 0 2 304.372 0.622 20 0 DCADLN CO[C@H](CS(=O)(=O)NNc1nncc(N)c1Cl)C(C)C ZINC001332317081 951583022 /nfs/dbraw/zinc/58/30/22/951583022.db2.gz CZQRYMOEIBSVBH-MRVPVSSYSA-N 0 2 323.806 0.630 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001281676498 951596146 /nfs/dbraw/zinc/59/61/46/951596146.db2.gz DMPXHUZPCQSNEN-SGZWINNXSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001281676498 951596151 /nfs/dbraw/zinc/59/61/51/951596151.db2.gz DMPXHUZPCQSNEN-SGZWINNXSA-N 0 2 324.274 0.541 20 0 DCADLN CN1C(=O)C[C@@]2(CCC[N@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001274569432 951706569 /nfs/dbraw/zinc/70/65/69/951706569.db2.gz AGZORMGIPKQFBH-MRXNPFEDSA-N 0 2 302.378 0.869 20 0 DCADLN C/C(=C\C(=O)NC[C@@H]1[C@H](C(=O)[O-])C1(F)F)C[NH+]1CCOCC1 ZINC001332637543 951737788 /nfs/dbraw/zinc/73/77/88/951737788.db2.gz QJFHFXJJDHQYAW-LCUPEAOESA-N 0 2 318.320 0.347 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC000381882175 971784622 /nfs/dbraw/zinc/78/46/22/971784622.db2.gz OCDFESMQMPJMQT-KBPBESRZSA-N 0 2 306.362 0.555 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC000381882175 971784625 /nfs/dbraw/zinc/78/46/25/971784625.db2.gz OCDFESMQMPJMQT-KBPBESRZSA-N 0 2 306.362 0.555 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC001333136080 951929677 /nfs/dbraw/zinc/92/96/77/951929677.db2.gz CYWNDMHIXHVENB-CYBMUJFWSA-N 0 2 308.334 0.557 20 0 DCADLN Cc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nn1C(C)C ZINC001411445607 952152270 /nfs/dbraw/zinc/15/22/70/952152270.db2.gz VQYKAXPJRAFFGV-UHFFFAOYSA-N 0 2 315.337 0.796 20 0 DCADLN Nc1nc2nc(CN3CCOCc4ccccc43)cc(=O)n2[nH]1 ZINC001334651504 952369601 /nfs/dbraw/zinc/36/96/01/952369601.db2.gz WKBONIALMPQQBR-UHFFFAOYSA-N 0 2 312.333 0.537 20 0 DCADLN COCCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001446438198 952441548 /nfs/dbraw/zinc/44/15/48/952441548.db2.gz AEADLNLRIRJTQJ-VIFPVBQESA-N 0 2 300.252 0.594 20 0 DCADLN COCCC(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001446438198 952441566 /nfs/dbraw/zinc/44/15/66/952441566.db2.gz AEADLNLRIRJTQJ-VIFPVBQESA-N 0 2 300.252 0.594 20 0 DCADLN C[C@H](NC(=O)CCC(=O)NCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC001411877783 952498825 /nfs/dbraw/zinc/49/88/25/952498825.db2.gz BFHMGEHNCCXBDW-JTQLQIEISA-N 0 2 317.349 0.784 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1cc2n(n1)CCCC2 ZINC001412295764 952734860 /nfs/dbraw/zinc/73/48/60/952734860.db2.gz FMSSMOGYGRDZOG-LDYMZIIASA-N 0 2 321.406 0.953 20 0 DCADLN CCn1nc(C)c(CC(=O)NCc2nc(O)cc(=O)[nH]2)c1C ZINC001412378619 952769904 /nfs/dbraw/zinc/76/99/04/952769904.db2.gz YSFCWDFYRICLFC-UHFFFAOYSA-N 0 2 305.338 0.580 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CN(C)C(=O)c1csnn1 ZINC001412627002 952948943 /nfs/dbraw/zinc/94/89/43/952948943.db2.gz QCMDXBIAPJOVTJ-MRVPVSSYSA-N 0 2 322.394 0.389 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](CCC(=O)Nc2ccc3nn[nH]c3c2)O1 ZINC001412630671 952951168 /nfs/dbraw/zinc/95/11/68/952951168.db2.gz RNEQTFBMFHKKTP-SKDRFNHKSA-N 0 2 303.322 0.710 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnon1)NC(=O)[C@@H](F)C(F)(F)F ZINC001412671645 952981216 /nfs/dbraw/zinc/98/12/16/952981216.db2.gz SAZLOJCBXWBTML-CAHLUQPWSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001412671645 952981213 /nfs/dbraw/zinc/98/12/13/952981213.db2.gz SAZLOJCBXWBTML-CAHLUQPWSA-N 0 2 312.223 0.547 20 0 DCADLN CCCC1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001412691526 953000207 /nfs/dbraw/zinc/00/02/07/953000207.db2.gz YOCZGJNQMYDGFV-UHFFFAOYSA-N 0 2 300.384 0.546 20 0 DCADLN Cc1cc(O)cc(=O)n1CCC(=O)N[C@H](C)c1nn(C)cc1O ZINC001412758299 953075514 /nfs/dbraw/zinc/07/55/14/953075514.db2.gz SUEMETWUFWAEAR-SNVBAGLBSA-N 0 2 320.349 0.569 20 0 DCADLN COc1ncnc(OC)c1C(=O)N[C@H](C)c1nn(C)cc1O ZINC001412760844 953077594 /nfs/dbraw/zinc/07/75/94/953077594.db2.gz ZVEYNXNSTORKLF-SSDOTTSWSA-N 0 2 307.310 0.424 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001589290782 953600202 /nfs/dbraw/zinc/60/02/02/953600202.db2.gz ZDTJEJIEGKLLLC-MQYQWHSLSA-N 0 2 310.394 0.809 20 0 DCADLN CCc1nc([C@H](C)[NH2+]CCS(=O)(=O)CC(=O)[O-])cs1 ZINC001593648540 953693236 /nfs/dbraw/zinc/69/32/36/953693236.db2.gz JIJUBXRITDMNOH-QMMMGPOBSA-N 0 2 306.409 0.856 20 0 DCADLN O=C(N[C@H]1C[C@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1)C(F)C(F)(F)F ZINC001366114982 953704173 /nfs/dbraw/zinc/70/41/73/953704173.db2.gz IDUOJQXNOFCAGO-WYDQCIBASA-N 0 2 311.239 0.148 20 0 DCADLN O=C([O-])C1(C(=O)N2CC(Oc3cc[nH+]cc3)C2)CCOCC1 ZINC001594844850 954130389 /nfs/dbraw/zinc/13/03/89/954130389.db2.gz FLXSDGBYPAANHX-UHFFFAOYSA-N 0 2 306.318 0.553 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CCCCC1 ZINC001594851210 954190961 /nfs/dbraw/zinc/19/09/61/954190961.db2.gz GKYKZEWRRKMIHO-CYBMUJFWSA-N 0 2 310.394 0.955 20 0 DCADLN O=C([O-])C1(C(=O)Nc2ccn(CC[NH+]3CCOCC3)n2)CCC1 ZINC001594876595 954381828 /nfs/dbraw/zinc/38/18/28/954381828.db2.gz BRQHJGVGTIKUDM-UHFFFAOYSA-N 0 2 322.365 0.409 20 0 DCADLN CN(CCCNC(=O)[C@H]1CC(C(=O)[O-])=NO1)c1cccc[nH+]1 ZINC001593750255 954396067 /nfs/dbraw/zinc/39/60/67/954396067.db2.gz DAYBHXDKNQSIQM-LLVKDONJSA-N 0 2 306.322 0.254 20 0 DCADLN C[N@H+](CCS(=O)(=O)CC(=O)[O-])C[C@](C)(O)c1ccccc1 ZINC001593754493 954437460 /nfs/dbraw/zinc/43/74/60/954437460.db2.gz HXEWBJMBZJGZNU-AWEZNQCLSA-N 0 2 315.391 0.325 20 0 DCADLN C[N@@H+](CCS(=O)(=O)CC(=O)[O-])C[C@](C)(O)c1ccccc1 ZINC001593754493 954437467 /nfs/dbraw/zinc/43/74/67/954437467.db2.gz HXEWBJMBZJGZNU-AWEZNQCLSA-N 0 2 315.391 0.325 20 0 DCADLN Cc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001366591682 954477487 /nfs/dbraw/zinc/47/74/87/954477487.db2.gz YMEMRYVUNNPXPF-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN Cc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001366591682 954477490 /nfs/dbraw/zinc/47/74/90/954477490.db2.gz YMEMRYVUNNPXPF-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN CC[N@H+](CCNC(=O)c1csnc1C)Cc1n[nH]c(=O)[n-]1 ZINC001366605783 954502779 /nfs/dbraw/zinc/50/27/79/954502779.db2.gz FPMSFGDJJRLYDX-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN CC[N@@H+](CCNC(=O)c1csnc1C)Cc1n[nH]c(=O)[n-]1 ZINC001366605783 954502787 /nfs/dbraw/zinc/50/27/87/954502787.db2.gz FPMSFGDJJRLYDX-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN CCc1ccnc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)c1 ZINC001366609600 954509965 /nfs/dbraw/zinc/50/99/65/954509965.db2.gz CTQUJVAADINWIC-UHFFFAOYSA-N 0 2 318.381 0.720 20 0 DCADLN CCc1ccnc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)c1 ZINC001366609600 954509975 /nfs/dbraw/zinc/50/99/75/954509975.db2.gz CTQUJVAADINWIC-UHFFFAOYSA-N 0 2 318.381 0.720 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1cn(C)nn1 ZINC001366617351 954525374 /nfs/dbraw/zinc/52/53/74/954525374.db2.gz ZXLHLVLQFIFFRC-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1cn(C)nn1 ZINC001366617351 954525383 /nfs/dbraw/zinc/52/53/83/954525383.db2.gz ZXLHLVLQFIFFRC-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN CCOCCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001284258059 954961784 /nfs/dbraw/zinc/96/17/84/954961784.db2.gz XZOPTRXAWHELTJ-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCOCCC(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001284258059 954961798 /nfs/dbraw/zinc/96/17/98/954961798.db2.gz XZOPTRXAWHELTJ-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN COCC1(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001366997001 955173422 /nfs/dbraw/zinc/17/34/22/955173422.db2.gz FMDZNTUHUAGVBR-QWRGUYRKSA-N 0 2 321.381 0.112 20 0 DCADLN COCCC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001367001557 955178176 /nfs/dbraw/zinc/17/81/76/955178176.db2.gz HBZWSJJUHPHJJW-QWRGUYRKSA-N 0 2 323.397 0.358 20 0 DCADLN C/C(=C\C(=O)NCc1cc(C(=O)[O-])co1)C[NH+]1CCOCC1 ZINC001350781998 955187933 /nfs/dbraw/zinc/18/79/33/955187933.db2.gz IRONHZQZPCBKRI-IZZDOVSWSA-N 0 2 308.334 0.873 20 0 DCADLN Cc1nonc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001367013808 955199825 /nfs/dbraw/zinc/19/98/25/955199825.db2.gz NXGQGXZCSXZMTE-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nonc1CN1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001367013808 955199832 /nfs/dbraw/zinc/19/98/32/955199832.db2.gz NXGQGXZCSXZMTE-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN CS[C@H](C)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367050668 955262911 /nfs/dbraw/zinc/26/29/11/955262911.db2.gz GWZUHFSBRHHYTC-NXEZZACHSA-N 0 2 313.427 0.685 20 0 DCADLN CS[C@H](C)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367050668 955262919 /nfs/dbraw/zinc/26/29/19/955262919.db2.gz GWZUHFSBRHHYTC-NXEZZACHSA-N 0 2 313.427 0.685 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)C1(C(=O)[O-])CCCCC1 ZINC001589038661 955569877 /nfs/dbraw/zinc/56/98/77/955569877.db2.gz RCWVTGCPKNQFOC-CYBMUJFWSA-N 0 2 311.426 0.774 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cccnn1 ZINC001285565358 955797235 /nfs/dbraw/zinc/79/72/35/955797235.db2.gz SDZWAMRHQNKCQN-JKERVTFKSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1cccnn1 ZINC001285565358 955797265 /nfs/dbraw/zinc/79/72/65/955797265.db2.gz SDZWAMRHQNKCQN-JKERVTFKSA-N 0 2 320.246 0.779 20 0 DCADLN O=C([O-])/C=C/c1ccc(OCC[N@H+]2CCOC[C@H]2CO)cc1 ZINC000387693771 972133017 /nfs/dbraw/zinc/13/30/17/972133017.db2.gz NXJJWJCURVMNIU-BOTMBNHJSA-N 0 2 307.346 0.856 20 0 DCADLN O=C([O-])/C=C/c1ccc(OCC[N@@H+]2CCOC[C@H]2CO)cc1 ZINC000387693771 972133021 /nfs/dbraw/zinc/13/30/21/972133021.db2.gz NXJJWJCURVMNIU-BOTMBNHJSA-N 0 2 307.346 0.856 20 0 DCADLN Cn1nccc1[C@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C(=O)[O-] ZINC001594587762 956014046 /nfs/dbraw/zinc/01/40/46/956014046.db2.gz LYDHVHIUBGPXBK-SKDRFNHKSA-N 0 2 303.322 0.121 20 0 DCADLN COC[C@@H](C)CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416051848 956214533 /nfs/dbraw/zinc/21/45/33/956214533.db2.gz YZTYDWXGGBOQPO-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN COC[C@@H](C)CC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416051848 956214549 /nfs/dbraw/zinc/21/45/49/956214549.db2.gz YZTYDWXGGBOQPO-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@](O)(CNC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1)C(=O)[O-] ZINC001589138324 956246686 /nfs/dbraw/zinc/24/66/86/956246686.db2.gz ACUHPJSJKGBMAS-WBMJQRKESA-N 0 2 317.345 0.884 20 0 DCADLN C/C(=C/C(=O)N1CCC(CC(=O)[O-])CC1)C[NH+]1CCOCC1 ZINC001354039517 956258047 /nfs/dbraw/zinc/25/80/47/956258047.db2.gz PKVNDNFNVTUXEK-RAXLEYEMSA-N 0 2 310.394 0.978 20 0 DCADLN O=C(NCc1ccccn1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001463617320 1013147609 /nfs/dbraw/zinc/14/76/09/1013147609.db2.gz GMIOBYXEDLTYGQ-UHFFFAOYSA-N 0 2 311.301 0.591 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2ccc3c(n2)CCC3)CCO1 ZINC001593983177 956728057 /nfs/dbraw/zinc/72/80/57/956728057.db2.gz GCZKXHDLVMOJEQ-INIZCTEOSA-N 0 2 306.362 0.872 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2ccc3c(n2)CCC3)CCO1 ZINC001593983177 956728062 /nfs/dbraw/zinc/72/80/62/956728062.db2.gz GCZKXHDLVMOJEQ-INIZCTEOSA-N 0 2 306.362 0.872 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+]([C@@H](C)C(=O)N(C)C(C)C)CCO1 ZINC001593984051 956742097 /nfs/dbraw/zinc/74/20/97/956742097.db2.gz SUICLUMXZCJENA-FZMZJTMJSA-N 0 2 302.371 0.044 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+]([C@@H](C)C(=O)N(C)C(C)C)CCO1 ZINC001593984051 956742106 /nfs/dbraw/zinc/74/21/06/956742106.db2.gz SUICLUMXZCJENA-FZMZJTMJSA-N 0 2 302.371 0.044 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2cncc(OC)c2C)CCO1 ZINC001593984523 956751402 /nfs/dbraw/zinc/75/14/02/956751402.db2.gz QMIXLEWQOFEHBW-OAHLLOKOSA-N 0 2 310.350 0.701 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2cncc(OC)c2C)CCO1 ZINC001593984523 956751407 /nfs/dbraw/zinc/75/14/07/956751407.db2.gz QMIXLEWQOFEHBW-OAHLLOKOSA-N 0 2 310.350 0.701 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2cnn(C3CCC3)c2)CCO1 ZINC001593985389 956767727 /nfs/dbraw/zinc/76/77/27/956767727.db2.gz YHWHLMGFIDGEFZ-HNNXBMFYSA-N 0 2 309.366 0.910 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2cnn(C3CCC3)c2)CCO1 ZINC001593985389 956767735 /nfs/dbraw/zinc/76/77/35/956767735.db2.gz YHWHLMGFIDGEFZ-HNNXBMFYSA-N 0 2 309.366 0.910 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001289644341 956771061 /nfs/dbraw/zinc/77/10/61/956771061.db2.gz DMPXHUZPCQSNEN-SVDSAIQGSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001289644341 956771068 /nfs/dbraw/zinc/77/10/68/956771068.db2.gz DMPXHUZPCQSNEN-SVDSAIQGSA-N 0 2 324.274 0.541 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(c2cc(NCC3CC3)[nH+]cn2)CCO1 ZINC001593985905 956778764 /nfs/dbraw/zinc/77/87/64/956778764.db2.gz XDAHXIKHXJNRDH-OAHLLOKOSA-N 0 2 322.365 0.605 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(c2cc(NCC3CC3)nc[nH+]2)CCO1 ZINC001593985905 956778772 /nfs/dbraw/zinc/77/87/72/956778772.db2.gz XDAHXIKHXJNRDH-OAHLLOKOSA-N 0 2 322.365 0.605 20 0 DCADLN O=C(Cn1ncc(=O)c2ccccc21)NO[C@@H]1CCCCO1 ZINC001292297383 956933550 /nfs/dbraw/zinc/93/35/50/956933550.db2.gz DXYXQBCKHSLXGY-OAHLLOKOSA-N 0 2 303.318 0.971 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)C1=COCCO1 ZINC001292470663 956969789 /nfs/dbraw/zinc/96/97/89/956969789.db2.gz CLMXOAMDZIBFGS-ZETCQYMHSA-N 0 2 300.208 0.007 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)C1=COCCO1 ZINC001292470663 956969800 /nfs/dbraw/zinc/96/98/00/956969800.db2.gz CLMXOAMDZIBFGS-ZETCQYMHSA-N 0 2 300.208 0.007 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCOCC1)C(F)C(F)(F)F ZINC001292684872 957107889 /nfs/dbraw/zinc/10/78/89/957107889.db2.gz FVSJGWCVEIMBJV-BDAKNGLRSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCOCC1)[C@H](F)C(F)(F)F ZINC001292684872 957107896 /nfs/dbraw/zinc/10/78/96/957107896.db2.gz FVSJGWCVEIMBJV-BDAKNGLRSA-N 0 2 314.279 0.936 20 0 DCADLN Cc1cc(=O)c(C(=O)NCCNC(=O)C(F)C(F)(F)F)c[nH]1 ZINC001292843400 957171202 /nfs/dbraw/zinc/17/12/02/957171202.db2.gz IQMCZEFGNPQPLM-SECBINFHSA-N 0 2 323.246 0.430 20 0 DCADLN Cc1cc(=O)c(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c[nH]1 ZINC001292843400 957171206 /nfs/dbraw/zinc/17/12/06/957171206.db2.gz IQMCZEFGNPQPLM-SECBINFHSA-N 0 2 323.246 0.430 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@H+](CC(=O)[O-])C(C)C ZINC000037995199 957341852 /nfs/dbraw/zinc/34/18/52/957341852.db2.gz SCKCKQXZYFOXPX-UHFFFAOYSA-N 0 2 301.387 0.155 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@@H+](CC(=O)[O-])C(C)C ZINC000037995199 957341860 /nfs/dbraw/zinc/34/18/60/957341860.db2.gz SCKCKQXZYFOXPX-UHFFFAOYSA-N 0 2 301.387 0.155 20 0 DCADLN Cn1ncc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)n1 ZINC001357889520 957396849 /nfs/dbraw/zinc/39/68/49/957396849.db2.gz ZOMYBOHKVOWHPD-KZUAUGPASA-N 0 2 323.250 0.118 20 0 DCADLN Cn1ncc(C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001357889520 957396859 /nfs/dbraw/zinc/39/68/59/957396859.db2.gz ZOMYBOHKVOWHPD-KZUAUGPASA-N 0 2 323.250 0.118 20 0 DCADLN COC(=O)c1cc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001413897757 957494236 /nfs/dbraw/zinc/49/42/36/957494236.db2.gz ZIMVWWFPBGZOCH-MRVPVSSYSA-N 0 2 304.310 0.471 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001327947337 957673276 /nfs/dbraw/zinc/67/32/76/957673276.db2.gz YJDVGKGOJURPCF-UHIISALHSA-N 0 2 302.371 0.044 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001327947337 957673279 /nfs/dbraw/zinc/67/32/79/957673279.db2.gz YJDVGKGOJURPCF-UHIISALHSA-N 0 2 302.371 0.044 20 0 DCADLN C[C@H](CNC(=O)c1ccn[nH]1)NC(=O)c1ccc2[nH]nnc2c1 ZINC001295424666 957700051 /nfs/dbraw/zinc/70/00/51/957700051.db2.gz JWGRFCGSAPHCLY-MRVPVSSYSA-N 0 2 313.321 0.229 20 0 DCADLN C[C@@H](CNCc1nnn(C)n1)N(C)C(=O)C(F)C(F)(F)F ZINC001367402823 957774201 /nfs/dbraw/zinc/77/42/01/957774201.db2.gz BNNOUUPIPNNSJY-POYBYMJQSA-N 0 2 312.271 0.047 20 0 DCADLN CCn1nncc1C[N@H+](C)CCN(C)C(=O)[C@H]1CCC[NH+]1C ZINC001367526498 957965152 /nfs/dbraw/zinc/96/51/52/957965152.db2.gz LRYOMWHITIIYIX-CQSZACIVSA-N 0 2 308.430 0.282 20 0 DCADLN O=C(NC1CN(C(=O)c2cc[n+]([O-])cc2)C1)C(F)C(F)(F)F ZINC001297069199 958124392 /nfs/dbraw/zinc/12/43/92/958124392.db2.gz GONHQARPZHFBSB-VIFPVBQESA-N 0 2 321.230 0.161 20 0 DCADLN O=C(NC1CN(C(=O)c2cc[n+]([O-])cc2)C1)[C@H](F)C(F)(F)F ZINC001297069199 958124402 /nfs/dbraw/zinc/12/44/02/958124402.db2.gz GONHQARPZHFBSB-VIFPVBQESA-N 0 2 321.230 0.161 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@@H](C)CO1 ZINC001361794333 958337929 /nfs/dbraw/zinc/33/79/29/958337929.db2.gz BWLURLQEXUBQIM-UWVGGRQHSA-N 0 2 318.333 0.516 20 0 DCADLN CC(C)c1nsc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC001361888389 958451474 /nfs/dbraw/zinc/45/14/74/958451474.db2.gz ZQCIVUUQDRFUOA-UHFFFAOYSA-N 0 2 319.350 0.685 20 0 DCADLN CSC[C@H](C)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367787613 958581346 /nfs/dbraw/zinc/58/13/46/958581346.db2.gz MGRUWWZSXYKVGO-IUCAKERBSA-N 0 2 301.416 0.446 20 0 DCADLN CSC[C@H](C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367787613 958581354 /nfs/dbraw/zinc/58/13/54/958581354.db2.gz MGRUWWZSXYKVGO-IUCAKERBSA-N 0 2 301.416 0.446 20 0 DCADLN CN(C(=O)c1cc(S(N)(=O)=O)ccc1O)c1cnn(C)c1 ZINC001361991186 958582401 /nfs/dbraw/zinc/58/24/01/958582401.db2.gz CORCVKJQPQZXCS-UHFFFAOYSA-N 0 2 310.335 0.050 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(F)C(F)(F)F ZINC001298435821 958604466 /nfs/dbraw/zinc/60/44/66/958604466.db2.gz LOMVTHSZCQTLQC-LCFPUQGYSA-N 0 2 324.274 0.568 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@H](F)C(F)(F)F ZINC001298435821 958604472 /nfs/dbraw/zinc/60/44/72/958604472.db2.gz LOMVTHSZCQTLQC-LCFPUQGYSA-N 0 2 324.274 0.568 20 0 DCADLN CCn1[n-]nnc1=NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001562801187 1013181175 /nfs/dbraw/zinc/18/11/75/1013181175.db2.gz KEFXRMVFVXNXSY-UHFFFAOYSA-N 0 2 312.337 0.622 20 0 DCADLN Cc1nnc(NC(=O)c2cc(S(N)(=O)=O)oc2Cl)s1 ZINC001362075852 958696116 /nfs/dbraw/zinc/69/61/16/958696116.db2.gz HEHIEZSJDSLKCZ-UHFFFAOYSA-N 0 2 322.755 0.993 20 0 DCADLN CS(=O)(=O)CCN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001367941719 958920785 /nfs/dbraw/zinc/92/07/85/958920785.db2.gz VPGUEYFKAUNCGE-YUMQZZPRSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001367941719 958920797 /nfs/dbraw/zinc/92/07/97/958920797.db2.gz VPGUEYFKAUNCGE-YUMQZZPRSA-N 0 2 320.308 0.122 20 0 DCADLN C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)N1CSCCSC1 ZINC001362218066 958944997 /nfs/dbraw/zinc/94/49/97/958944997.db2.gz MAYYRKJRCDBZHU-SSDOTTSWSA-N 0 2 303.413 0.212 20 0 DCADLN CC(C)(C)[C@@H](CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362325003 959148115 /nfs/dbraw/zinc/14/81/15/959148115.db2.gz WSVYAKSNKZDZKO-LLVKDONJSA-N 0 2 320.349 0.403 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2cnnn2C)C1 ZINC001368076403 959191904 /nfs/dbraw/zinc/19/19/04/959191904.db2.gz JUZXQGZVFBODBY-VIFPVBQESA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(Cc2cnnn2C)C1 ZINC001368076403 959191911 /nfs/dbraw/zinc/19/19/11/959191911.db2.gz JUZXQGZVFBODBY-VIFPVBQESA-N 0 2 309.267 0.358 20 0 DCADLN O=C(NC[C@@H]1CCCC1=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362370479 959231127 /nfs/dbraw/zinc/23/11/27/959231127.db2.gz NKWZAMDFZYDHTG-JTQLQIEISA-N 0 2 316.317 0.365 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC[C@@H]1O ZINC001362408261 959294430 /nfs/dbraw/zinc/29/44/30/959294430.db2.gz RREYDIXTNLHSDB-CABZTGNLSA-N 0 2 318.333 0.109 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc([C@@H]2CCC(=O)N2)cc1 ZINC001362416951 959312459 /nfs/dbraw/zinc/31/24/59/959312459.db2.gz SYTRGFBNTXMAJD-JTQLQIEISA-N 0 2 301.306 0.391 20 0 DCADLN CCC(CC)(NC(=O)CCSc1n[nH]c(C)n1)c1nn[nH]n1 ZINC001362424732 959333424 /nfs/dbraw/zinc/33/34/24/959333424.db2.gz LRNJISRBRQGJHQ-UHFFFAOYSA-N 0 2 324.414 0.940 20 0 DCADLN CC(=O)CCCC(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001368153005 959339699 /nfs/dbraw/zinc/33/96/99/959339699.db2.gz DOGLZLKACVDTMB-CQSZACIVSA-N 0 2 309.370 0.350 20 0 DCADLN C[C@@H](CCO)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362472509 959422242 /nfs/dbraw/zinc/42/22/42/959422242.db2.gz ZORDINQDWISKSX-VIFPVBQESA-N 0 2 306.322 0.015 20 0 DCADLN O=C(N[C@@H]1COC2(CN(CCF)C2)C1)C(F)C(F)(F)F ZINC001377084083 959444275 /nfs/dbraw/zinc/44/42/75/959444275.db2.gz UYALHAKPZWOOET-YUMQZZPRSA-N 0 2 302.243 0.816 20 0 DCADLN O=C(N[C@@H]1COC2(CN(CCF)C2)C1)[C@H](F)C(F)(F)F ZINC001377084083 959444286 /nfs/dbraw/zinc/44/42/86/959444286.db2.gz UYALHAKPZWOOET-YUMQZZPRSA-N 0 2 302.243 0.816 20 0 DCADLN O=C(NC1CCN(C(=O)c2cn[nH]n2)CC1)C(F)C(F)(F)F ZINC001492106358 959591989 /nfs/dbraw/zinc/59/19/89/959591989.db2.gz UEMUCGKGOILVLW-QMMMGPOBSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC1CCN(C(=O)c2cn[nH]n2)CC1)[C@H](F)C(F)(F)F ZINC001492106358 959592000 /nfs/dbraw/zinc/59/20/00/959592000.db2.gz UEMUCGKGOILVLW-QMMMGPOBSA-N 0 2 323.250 0.426 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1Cc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001377212190 959702983 /nfs/dbraw/zinc/70/29/83/959702983.db2.gz PHMSWAAJFWDIKZ-GFCCVEGCSA-N 0 2 301.350 0.398 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1Cc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001377212190 959702995 /nfs/dbraw/zinc/70/29/95/959702995.db2.gz PHMSWAAJFWDIKZ-GFCCVEGCSA-N 0 2 301.350 0.398 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnc(C2CC2)[nH]c1=O)c1nn[nH]n1 ZINC001362619925 959710977 /nfs/dbraw/zinc/71/09/77/959710977.db2.gz XLCUUIKRFCHDAN-SSDOTTSWSA-N 0 2 303.326 0.448 20 0 DCADLN O=C(CC1CCC1)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001368422876 959840402 /nfs/dbraw/zinc/84/04/02/959840402.db2.gz ARFBDSUVWAFNMY-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC[C@@H](O)[C@H](C)O)=N2)cc1 ZINC001362718624 959882424 /nfs/dbraw/zinc/88/24/24/959882424.db2.gz BQVWKBBSOITXGL-JOYOIKCWSA-N 0 2 321.333 0.058 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)C(F)(F)C1(O)CCCCC1 ZINC001362718647 959886083 /nfs/dbraw/zinc/88/60/83/959886083.db2.gz HYEPMLMMZBBENQ-UHFFFAOYSA-N 0 2 317.292 0.835 20 0 DCADLN O=C([C@@H]1CCCn2ncnc21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362725235 959896372 /nfs/dbraw/zinc/89/63/72/959896372.db2.gz QGWGXFIFMIYQJO-VHSXEESVSA-N 0 2 317.353 0.385 20 0 DCADLN O=C(c1cc(Cl)ccc1O)N1CCOC[C@H]1c1nn[nH]n1 ZINC001362749301 959929039 /nfs/dbraw/zinc/92/90/39/959929039.db2.gz YHBLFNIIJQEGQZ-VIFPVBQESA-N 0 2 309.713 0.772 20 0 DCADLN CN(C)c1cc(COC(=O)c2cc(C(=O)[O-])nn2C)cc[nH+]1 ZINC001604083777 972484802 /nfs/dbraw/zinc/48/48/02/972484802.db2.gz WGGJIXXBIUWDOY-UHFFFAOYSA-N 0 2 304.306 0.936 20 0 DCADLN CC(C)C[C@H](CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362921928 960180241 /nfs/dbraw/zinc/18/02/41/960180241.db2.gz MRPVNZQNTWHPFJ-LLVKDONJSA-N 0 2 320.349 0.403 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC(=O)N[C@H]2CCCC[C@H]12)c1nn(C)cc1O ZINC001363054352 960351860 /nfs/dbraw/zinc/35/18/60/960351860.db2.gz WVROKDJPXKQFKI-QCNOEVLYSA-N 0 2 320.393 0.998 20 0 DCADLN COC(=O)[C@]1(CNC(=O)c2c(O)cc(F)cc2F)CCOC1 ZINC001363128671 960443302 /nfs/dbraw/zinc/44/33/02/960443302.db2.gz RFWAHDPMKWFNRI-AWEZNQCLSA-N 0 2 315.272 0.980 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001588670677 960516237 /nfs/dbraw/zinc/51/62/37/960516237.db2.gz ICLSBXGMDFLQCM-AWEZNQCLSA-N 0 2 300.355 0.383 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001588670677 960516249 /nfs/dbraw/zinc/51/62/49/960516249.db2.gz ICLSBXGMDFLQCM-AWEZNQCLSA-N 0 2 300.355 0.383 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@H]1CCOC(=O)N1 ZINC001363181699 960548890 /nfs/dbraw/zinc/54/88/90/960548890.db2.gz XJRSHBOJCNBONZ-SECBINFHSA-N 0 2 303.278 0.614 20 0 DCADLN CC[C@H](CNC(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC001573800659 960821727 /nfs/dbraw/zinc/82/17/27/960821727.db2.gz UKVXGYUDEAMCEB-SDDRHHMPSA-N 0 2 301.387 0.506 20 0 DCADLN Cc1cc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)ncn1 ZINC001377890960 960914765 /nfs/dbraw/zinc/91/47/65/960914765.db2.gz LJUROWUGDAVFTA-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN Cc1cc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)ncn1 ZINC001377890960 960914778 /nfs/dbraw/zinc/91/47/78/960914778.db2.gz LJUROWUGDAVFTA-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN CCn1ccnc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001363441222 961160369 /nfs/dbraw/zinc/16/03/69/961160369.db2.gz NYYMTZBZQNANSV-UHFFFAOYSA-N 0 2 310.335 0.508 20 0 DCADLN Cc1cc(C[N@@H+]2CCCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)on1 ZINC001571097333 961185526 /nfs/dbraw/zinc/18/55/26/961185526.db2.gz WQCPVCLBOYOOGQ-STQMWFEESA-N 0 2 307.350 0.738 20 0 DCADLN Cc1cc(C[N@H+]2CCCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)on1 ZINC001571097333 961185539 /nfs/dbraw/zinc/18/55/39/961185539.db2.gz WQCPVCLBOYOOGQ-STQMWFEESA-N 0 2 307.350 0.738 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1CCCCO1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019308 961201105 /nfs/dbraw/zinc/20/11/05/961201105.db2.gz XFIPEHNUCYCYFN-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1CCCCO1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019308 961201125 /nfs/dbraw/zinc/20/11/25/961201125.db2.gz XFIPEHNUCYCYFN-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(NCCC1CSC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363468108 961217768 /nfs/dbraw/zinc/21/77/68/961217768.db2.gz PEZPHMMSKYIBFP-UHFFFAOYSA-N 0 2 320.374 0.749 20 0 DCADLN CN(Cc1cnc[nH]1)C(=O)c1cc(S(N)(=O)=O)oc1Cl ZINC001363482962 961246190 /nfs/dbraw/zinc/24/61/90/961246190.db2.gz XTZXWFWFIYZPNO-UHFFFAOYSA-N 0 2 318.742 0.576 20 0 DCADLN CCCCN(CCCC)C(=O)C[N@@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC000253103947 961306726 /nfs/dbraw/zinc/30/67/26/961306726.db2.gz ZBURTVHPPCMGME-STQMWFEESA-N 0 2 300.399 0.935 20 0 DCADLN CCCCN(CCCC)C(=O)C[N@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC000253103947 961306739 /nfs/dbraw/zinc/30/67/39/961306739.db2.gz ZBURTVHPPCMGME-STQMWFEESA-N 0 2 300.399 0.935 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1CCC(=O)N1 ZINC001363507544 961307568 /nfs/dbraw/zinc/30/75/68/961307568.db2.gz FFBOMWRGHBQWAB-MNOVXSKESA-N 0 2 315.333 0.189 20 0 DCADLN C[C@@H](CC(=O)[O-])CC(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001571111643 961337275 /nfs/dbraw/zinc/33/72/75/961337275.db2.gz CVQRUZBGSVJTJO-PWSUYJOCSA-N 0 2 312.366 0.383 20 0 DCADLN COCCC1(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378064131 961349097 /nfs/dbraw/zinc/34/90/97/961349097.db2.gz WDFHQSMTAWQHPB-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COCCC1(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378064131 961349117 /nfs/dbraw/zinc/34/91/17/961349117.db2.gz WDFHQSMTAWQHPB-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CCOc1n[nH]c(NC(=O)Cc2n[nH]c(C3CCOCC3)n2)n1 ZINC001363529073 961371745 /nfs/dbraw/zinc/37/17/45/961371745.db2.gz HIUQANXIFHWYRE-UHFFFAOYSA-N 0 2 321.341 0.397 20 0 DCADLN C[C@@H](NC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1)[C@@H](C)[NH+]1CCOCC1 ZINC001571117257 961409479 /nfs/dbraw/zinc/40/94/79/961409479.db2.gz YORWHBJPSYZQAE-LPWJVIDDSA-N 0 2 313.398 0.648 20 0 DCADLN CC[C@@H](NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001573931588 961580152 /nfs/dbraw/zinc/58/01/52/961580152.db2.gz CYJUCSZRPTWHTQ-NEPJUHHUSA-N 0 2 324.381 0.463 20 0 DCADLN CC[C@@H](NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001573931588 961580165 /nfs/dbraw/zinc/58/01/65/961580165.db2.gz CYJUCSZRPTWHTQ-NEPJUHHUSA-N 0 2 324.381 0.463 20 0 DCADLN CCn1cc(CC(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)nn1 ZINC001363659265 961641626 /nfs/dbraw/zinc/64/16/26/961641626.db2.gz JAWBXPWKYAJBSI-UHFFFAOYSA-N 0 2 320.353 0.051 20 0 DCADLN CCCC[C@@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363674025 961671221 /nfs/dbraw/zinc/67/12/21/961671221.db2.gz SQCBKKVFPLWAMH-SECBINFHSA-N 0 2 302.400 0.792 20 0 DCADLN C[C@@H]1CC2(CC(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)C2)CO1 ZINC001363675221 961677050 /nfs/dbraw/zinc/67/70/50/961677050.db2.gz ZFNPQCFJKHRTCQ-SBTGLGLWSA-N 0 2 317.349 0.145 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1oc(C(=O)[O-])cc1C ZINC001571149583 961775582 /nfs/dbraw/zinc/77/55/82/961775582.db2.gz BYCPXEJHNSCYRL-VIFPVBQESA-N 0 2 321.289 0.454 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC(C)(C)C(=O)[O-] ZINC001588843303 961843966 /nfs/dbraw/zinc/84/39/66/961843966.db2.gz JOMCTSQTHVNJIE-NSHDSACASA-N 0 2 324.381 0.463 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC(C)(C)C(=O)[O-] ZINC001588843303 961843982 /nfs/dbraw/zinc/84/39/82/961843982.db2.gz JOMCTSQTHVNJIE-NSHDSACASA-N 0 2 324.381 0.463 20 0 DCADLN O=S(=O)(NCCO)c1c(F)cc(Br)cc1F ZINC001363787914 961883171 /nfs/dbraw/zinc/88/31/71/961883171.db2.gz UALJMAXBNVSZOY-UHFFFAOYSA-N 0 2 316.123 0.998 20 0 DCADLN Cc1ncsc1CC[NH+]1CC(N(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])C1 ZINC001571161501 961938367 /nfs/dbraw/zinc/93/83/67/961938367.db2.gz SNWGYSDBYXACIX-VXGBXAGGSA-N 0 2 323.418 0.857 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)Cc2cnc(C)nc2)c1[O-] ZINC001379039482 961949800 /nfs/dbraw/zinc/94/98/00/961949800.db2.gz NKNRFKCTGZYJJO-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)Cc2cnc(C)nc2)c1[O-] ZINC001379039482 961949807 /nfs/dbraw/zinc/94/98/07/961949807.db2.gz NKNRFKCTGZYJJO-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN C[C@H](CNC(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1)[NH+]1CCN(C)CC1 ZINC001571164945 961987069 /nfs/dbraw/zinc/98/70/69/961987069.db2.gz YSIMVQBGQSWLKK-FOCJUVANSA-N 0 2 324.425 0.175 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCCCS1(=O)=O)c1nn(C)cc1O ZINC001363835712 961990206 /nfs/dbraw/zinc/99/02/06/961990206.db2.gz VXZVOFDPOYEBIV-PSASIEDQSA-N 0 2 301.368 0.270 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1CCCS(=O)(=O)C1)c1nn(C)cc1O ZINC001363839040 961993959 /nfs/dbraw/zinc/99/39/59/961993959.db2.gz OCEZKGDFGZSNDO-ZJUUUORDSA-N 0 2 315.395 0.518 20 0 DCADLN COCC(=O)N[C@H](C(=O)N[C@H](C)c1nn(C)cc1O)C(C)C ZINC001363850306 962021919 /nfs/dbraw/zinc/02/19/19/962021919.db2.gz LHVYFIFJALQOGJ-SKDRFNHKSA-N 0 2 312.370 0.090 20 0 DCADLN CC(=O)Nc1cccnc1C(=O)N[C@H](C)c1nn(C)cc1O ZINC001363855165 962030954 /nfs/dbraw/zinc/03/09/54/962030954.db2.gz WHHNEFPIALCOTR-MRVPVSSYSA-N 0 2 303.322 0.970 20 0 DCADLN CC(C)Oc1cnccc1NC(=O)CC1SC(=N)NC1=O ZINC001363896302 962097098 /nfs/dbraw/zinc/09/70/98/962097098.db2.gz KBUKMTKCPIPHAI-SNVBAGLBSA-N 0 2 308.363 0.786 20 0 DCADLN COCC(=O)NC[C@]1(C)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001379891690 962229286 /nfs/dbraw/zinc/22/92/86/962229286.db2.gz OCAWSXLUCCNNQC-KOLCDFICSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NC[C@]1(C)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001379891690 962229298 /nfs/dbraw/zinc/22/92/98/962229298.db2.gz OCAWSXLUCCNNQC-KOLCDFICSA-N 0 2 314.279 0.888 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1(CC(=O)[O-])CCC1 ZINC001574075346 962256407 /nfs/dbraw/zinc/25/64/07/962256407.db2.gz HQOJVCHPLVBIOA-SNVBAGLBSA-N 0 2 309.322 0.255 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1(CC(=O)[O-])CCC1 ZINC001574075346 962256425 /nfs/dbraw/zinc/25/64/25/962256425.db2.gz HQOJVCHPLVBIOA-SNVBAGLBSA-N 0 2 309.322 0.255 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C1CC1)C1CC1 ZINC001380007805 962487813 /nfs/dbraw/zinc/48/78/13/962487813.db2.gz GPZZTVURIABSAX-LLVKDONJSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NCCn1cc[nH+]c1)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC001364209150 962675067 /nfs/dbraw/zinc/67/50/67/962675067.db2.gz OVBYESDJVLCILO-JTQLQIEISA-N 0 2 305.342 0.296 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2c3nc[nH]c3ccc2F)S1 ZINC001364358529 962955212 /nfs/dbraw/zinc/95/52/12/962955212.db2.gz WMDUBGQXNDIPME-VIFPVBQESA-N 0 2 321.337 0.875 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])nc1 ZINC001573442909 964076412 /nfs/dbraw/zinc/07/64/12/964076412.db2.gz NJPIUKHVBZTHAD-LBPRGKRZSA-N 0 2 317.305 0.120 20 0 DCADLN O=C([O-])C[C@H]1COCC[N@@H+]1Cc1cc(=O)n2ccccc2n1 ZINC000316990356 964084966 /nfs/dbraw/zinc/08/49/66/964084966.db2.gz KQNOBAUBIHMBDE-LBPRGKRZSA-N 0 2 303.318 0.370 20 0 DCADLN O=C([O-])C[C@H]1COCC[N@H+]1Cc1cc(=O)n2ccccc2n1 ZINC000316990356 964084982 /nfs/dbraw/zinc/08/49/82/964084982.db2.gz KQNOBAUBIHMBDE-LBPRGKRZSA-N 0 2 303.318 0.370 20 0 DCADLN Cn1nccc1C[NH2+]C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001375229035 964152664 /nfs/dbraw/zinc/15/26/64/964152664.db2.gz LPAOIHYYWFRAHO-OAHLLOKOSA-N 0 2 316.409 0.760 20 0 DCADLN O=C(CCc1ccoc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373624491 964377640 /nfs/dbraw/zinc/37/76/40/964377640.db2.gz GDIFIMRYAWPQDD-LLVKDONJSA-N 0 2 305.338 0.427 20 0 DCADLN CCN(CCNC(=O)CCOC)C(=O)C(F)C(F)(F)F ZINC001373731630 964540364 /nfs/dbraw/zinc/54/03/64/964540364.db2.gz VTTRGDGCWQXQAF-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCN(CCNC(=O)CCOC)C(=O)[C@H](F)C(F)(F)F ZINC001373731630 964540371 /nfs/dbraw/zinc/54/03/71/964540371.db2.gz VTTRGDGCWQXQAF-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN C[C@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[n-]2)C1)n1cc[nH+]c1 ZINC001375712569 964750306 /nfs/dbraw/zinc/75/03/06/964750306.db2.gz RKYSNQRZBQPDMH-SNVBAGLBSA-N 0 2 319.369 0.001 20 0 DCADLN O=C(NCCN(C(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]n1 ZINC001374271243 965209962 /nfs/dbraw/zinc/20/99/62/965209962.db2.gz HMHMRBYNXXFEDC-QMMMGPOBSA-N 0 2 323.250 0.426 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376114862 965217701 /nfs/dbraw/zinc/21/77/01/965217701.db2.gz JATAJYUBUNOAJD-QWRGUYRKSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1noc(C)c1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374463097 965418437 /nfs/dbraw/zinc/41/84/37/965418437.db2.gz SUGANJDNJBHAPT-UHFFFAOYSA-N 0 2 308.342 0.319 20 0 DCADLN Cc1noc(C)c1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374463097 965418446 /nfs/dbraw/zinc/41/84/46/965418446.db2.gz SUGANJDNJBHAPT-UHFFFAOYSA-N 0 2 308.342 0.319 20 0 DCADLN O=C(CCc1ccco1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369945017 965599340 /nfs/dbraw/zinc/59/93/40/965599340.db2.gz VYUPGPUCXCWARK-SNVBAGLBSA-N 0 2 305.338 0.427 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001370017898 965698706 /nfs/dbraw/zinc/69/87/06/965698706.db2.gz OFJVBHSGETWORK-YUMQZZPRSA-N 0 2 303.313 0.492 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cnn1 ZINC001374665642 965726056 /nfs/dbraw/zinc/72/60/56/965726056.db2.gz NKPKOCCLGCSUMJ-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)cnn1 ZINC001374665642 965726060 /nfs/dbraw/zinc/72/60/60/965726060.db2.gz NKPKOCCLGCSUMJ-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1nnc(C[NH2+][C@@H](C)[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001447854227 1013600515 /nfs/dbraw/zinc/60/05/15/1013600515.db2.gz OTTZXJTZSGANHI-BQBZGAKWSA-N 0 2 308.342 0.412 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001370312172 965995830 /nfs/dbraw/zinc/99/58/30/965995830.db2.gz RUPBGNNJLRPKTD-ATRFCDNQSA-N 0 2 323.250 0.330 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001374839684 966011745 /nfs/dbraw/zinc/01/17/45/966011745.db2.gz FMEPNOLCXHJWGP-RKDXNWHRSA-N 0 2 314.279 0.478 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374839684 966011754 /nfs/dbraw/zinc/01/17/54/966011754.db2.gz FMEPNOLCXHJWGP-RKDXNWHRSA-N 0 2 314.279 0.478 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001416902942 966114785 /nfs/dbraw/zinc/11/47/85/966114785.db2.gz WEJRBFMAKTVTDF-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001416902942 966114794 /nfs/dbraw/zinc/11/47/94/966114794.db2.gz WEJRBFMAKTVTDF-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN CCO[C@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001375037850 966271432 /nfs/dbraw/zinc/27/14/32/966271432.db2.gz LXPUBBVWVBLRME-JQWIXIFHSA-N 0 2 313.402 0.508 20 0 DCADLN CCO[C@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001375037850 966271441 /nfs/dbraw/zinc/27/14/41/966271441.db2.gz LXPUBBVWVBLRME-JQWIXIFHSA-N 0 2 313.402 0.508 20 0 DCADLN CCc1noc([C@@H](C)N(C)[C@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001381537953 966514391 /nfs/dbraw/zinc/51/43/91/966514391.db2.gz CNHXHEPWPPRGAV-RKDXNWHRSA-N 0 2 307.358 0.561 20 0 DCADLN COCC(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)[C@H]1C ZINC001371802607 967351988 /nfs/dbraw/zinc/35/19/88/967351988.db2.gz XTNMPHLGLWEVGW-FJXKBIBVSA-N 0 2 300.252 0.639 20 0 DCADLN COCC(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)[C@H]1C ZINC001371802607 967352000 /nfs/dbraw/zinc/35/20/00/967352000.db2.gz XTNMPHLGLWEVGW-FJXKBIBVSA-N 0 2 300.252 0.639 20 0 DCADLN C[N@H+](CCNC(=O)Cc1ccc(Cl)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001372207529 967743972 /nfs/dbraw/zinc/74/39/72/967743972.db2.gz XTIUEJFTNVDWSM-UHFFFAOYSA-N 0 2 323.784 0.954 20 0 DCADLN C[N@@H+](CCNC(=O)Cc1ccc(Cl)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001372207529 967743979 /nfs/dbraw/zinc/74/39/79/967743979.db2.gz XTIUEJFTNVDWSM-UHFFFAOYSA-N 0 2 323.784 0.954 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1nnc[nH]1)[C@H](C)C(=O)N(C)C(C)C ZINC001372452782 968001238 /nfs/dbraw/zinc/00/12/38/968001238.db2.gz ORSSBUVJBVXKLH-GFCCVEGCSA-N 0 2 324.429 0.041 20 0 DCADLN NC(=O)CC1CC(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001372525712 968083142 /nfs/dbraw/zinc/08/31/42/968083142.db2.gz BPTMVKUMHYVNQX-HWOCKDDLSA-N 0 2 322.369 0.053 20 0 DCADLN Cn1nnnc1CN1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001372619957 968186050 /nfs/dbraw/zinc/18/60/50/968186050.db2.gz XYURARJZWPBCHV-IONNQARKSA-N 0 2 324.282 0.049 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)NC(=O)C(F)C(F)(F)F ZINC001372749191 968320393 /nfs/dbraw/zinc/32/03/93/968320393.db2.gz AXLDLLWDUGAJTA-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001372749191 968320401 /nfs/dbraw/zinc/32/04/01/968320401.db2.gz AXLDLLWDUGAJTA-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN COCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373374033 969002263 /nfs/dbraw/zinc/00/22/63/969002263.db2.gz HXEIGYFNMWPKBV-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001373384413 969019084 /nfs/dbraw/zinc/01/90/84/969019084.db2.gz YXWGQGDANSWCOR-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001373384413 969019091 /nfs/dbraw/zinc/01/90/91/969019091.db2.gz YXWGQGDANSWCOR-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CCc1nc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)no1 ZINC001373429818 969085008 /nfs/dbraw/zinc/08/50/08/969085008.db2.gz YVLHMVYYKVFRNG-VIFPVBQESA-N 0 2 310.251 0.833 20 0 DCADLN CCc1nc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)no1 ZINC001373429818 969085016 /nfs/dbraw/zinc/08/50/16/969085016.db2.gz YVLHMVYYKVFRNG-VIFPVBQESA-N 0 2 310.251 0.833 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[N@H+](CC(=O)[O-])C1CCC1 ZINC001573461200 969813683 /nfs/dbraw/zinc/81/36/83/969813683.db2.gz XUPOCLVIKUUHBE-UHFFFAOYSA-N 0 2 300.355 0.383 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[N@@H+](CC(=O)[O-])C1CCC1 ZINC001573461200 969813688 /nfs/dbraw/zinc/81/36/88/969813688.db2.gz XUPOCLVIKUUHBE-UHFFFAOYSA-N 0 2 300.355 0.383 20 0 DCADLN CN(CCNC(=O)c1cnn(C)c1)C(=O)C(F)C(F)(F)F ZINC001431129599 1013915564 /nfs/dbraw/zinc/91/55/64/1013915564.db2.gz QIDFFCAJGRHZBH-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)c1cnn(C)c1)C(=O)[C@@H](F)C(F)(F)F ZINC001431129599 1013915567 /nfs/dbraw/zinc/91/55/67/1013915567.db2.gz QIDFFCAJGRHZBH-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN Cc1ccnn1CC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001431245529 1013965122 /nfs/dbraw/zinc/96/51/22/1013965122.db2.gz MMVVQQMITSOMNP-JTQLQIEISA-N 0 2 324.278 0.667 20 0 DCADLN Cc1ccnn1CC(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001431245529 1013965124 /nfs/dbraw/zinc/96/51/24/1013965124.db2.gz MMVVQQMITSOMNP-JTQLQIEISA-N 0 2 324.278 0.667 20 0 DCADLN CN1CC[N@H+](C)[C@@H](CNC(=O)Cc2ccccc2C(=O)[O-])C1 ZINC000317403637 970354952 /nfs/dbraw/zinc/35/49/52/970354952.db2.gz NWXOJXRWGAEJOR-ZDUSSCGKSA-N 0 2 305.378 0.289 20 0 DCADLN CN1CC[N@@H+](C)[C@@H](CNC(=O)Cc2ccccc2C(=O)[O-])C1 ZINC000317403637 970354966 /nfs/dbraw/zinc/35/49/66/970354966.db2.gz NWXOJXRWGAEJOR-ZDUSSCGKSA-N 0 2 305.378 0.289 20 0 DCADLN Cc1ncncc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001431300913 1013987345 /nfs/dbraw/zinc/98/73/45/1013987345.db2.gz IGAKPPKWNVISPC-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ncncc1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001431300913 1013987350 /nfs/dbraw/zinc/98/73/50/1013987350.db2.gz IGAKPPKWNVISPC-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN O=C(CNC(=O)c1cccs1)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000318321360 970425562 /nfs/dbraw/zinc/42/55/62/970425562.db2.gz QVHZEFMAFKCDDM-SECBINFHSA-N 0 2 322.346 0.013 20 0 DCADLN O=C(CNC(=O)c1cccs1)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000318321360 970425569 /nfs/dbraw/zinc/42/55/69/970425569.db2.gz QVHZEFMAFKCDDM-SECBINFHSA-N 0 2 322.346 0.013 20 0 DCADLN C[C@H]1C[C@H](N2CCCC2)C[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001610068688 970657432 /nfs/dbraw/zinc/65/74/32/970657432.db2.gz XKDNLOYDBLATPH-RYUDHWBXSA-N 0 2 304.412 0.044 20 0 DCADLN C[C@H]1C[C@H](N2CCCC2)C[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001610068688 970657441 /nfs/dbraw/zinc/65/74/41/970657441.db2.gz XKDNLOYDBLATPH-RYUDHWBXSA-N 0 2 304.412 0.044 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cc(C(=O)[O-])n[nH]1 ZINC001604175270 972594710 /nfs/dbraw/zinc/59/47/10/972594710.db2.gz YNHVZKMHFNUKAV-UHFFFAOYSA-N 0 2 310.354 0.291 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cc(C(=O)[O-])n[nH]1 ZINC001604175270 972594715 /nfs/dbraw/zinc/59/47/15/972594715.db2.gz YNHVZKMHFNUKAV-UHFFFAOYSA-N 0 2 310.354 0.291 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cc(C(=O)[O-])n[nH]2)C[C@H](C)O1 ZINC001605062744 972674284 /nfs/dbraw/zinc/67/42/84/972674284.db2.gz FUCMMKRPXZNSCC-AOOOYVTPSA-N 0 2 310.354 0.289 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)c2cc(C(=O)[O-])n[nH]2)C[C@H](C)O1 ZINC001605062744 972674289 /nfs/dbraw/zinc/67/42/89/972674289.db2.gz FUCMMKRPXZNSCC-AOOOYVTPSA-N 0 2 310.354 0.289 20 0 DCADLN O=C([O-])CC1(CC(=O)NC[C@H]2C[NH+]3CCN2CC3)CCCC1 ZINC000394697855 972742090 /nfs/dbraw/zinc/74/20/90/972742090.db2.gz VWRQRRPEILSXNU-ZDUSSCGKSA-N 0 2 309.410 0.528 20 0 DCADLN Cc1ccc2c(c1)C[N@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)CCO2 ZINC001605314424 973138545 /nfs/dbraw/zinc/13/85/45/973138545.db2.gz KIAPTWATDTYGSW-CYBMUJFWSA-N 0 2 304.346 0.875 20 0 DCADLN Cc1ccc2c(c1)C[N@@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)CCO2 ZINC001605314424 973138548 /nfs/dbraw/zinc/13/85/48/973138548.db2.gz KIAPTWATDTYGSW-CYBMUJFWSA-N 0 2 304.346 0.875 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CC[C@@H](C)[C@H](C(=O)[O-])C2)CCO1 ZINC001603462090 973311947 /nfs/dbraw/zinc/31/19/47/973311947.db2.gz YBCFEOQGTKLWQO-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CC[C@@H](C)[C@H](C(=O)[O-])C2)CCO1 ZINC001603462090 973311956 /nfs/dbraw/zinc/31/19/56/973311956.db2.gz YBCFEOQGTKLWQO-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN Cc1c(C(=O)[O-])cccc1S(=O)(=O)NCCc1c[nH+]cn1C ZINC000401732040 973381261 /nfs/dbraw/zinc/38/12/61/973381261.db2.gz VIALFULXLTVTLN-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001606160180 973412710 /nfs/dbraw/zinc/41/27/10/973412710.db2.gz VLLFLQNQJIPVII-UHFFFAOYSA-N 0 2 322.365 0.487 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001606160180 973412719 /nfs/dbraw/zinc/41/27/19/973412719.db2.gz VLLFLQNQJIPVII-UHFFFAOYSA-N 0 2 322.365 0.487 20 0 DCADLN CC[C@@H](CNC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC001603560478 973669677 /nfs/dbraw/zinc/66/96/77/973669677.db2.gz YPFLGLPZTREVJG-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@@H+]1CCCN(CC(F)F)CC1 ZINC001605538713 973729650 /nfs/dbraw/zinc/72/96/50/973729650.db2.gz FVUSFVBPFHKWPG-UHFFFAOYSA-N 0 2 302.325 0.891 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@H+]1CCCN(CC(F)F)CC1 ZINC001605538713 973729662 /nfs/dbraw/zinc/72/96/62/973729662.db2.gz FVUSFVBPFHKWPG-UHFFFAOYSA-N 0 2 302.325 0.891 20 0 DCADLN Cn1nc(C(=O)[O-])cc1CNc1ccc[nH+]c1N1CCOCC1 ZINC001605544956 973750177 /nfs/dbraw/zinc/75/01/77/973750177.db2.gz LSOWENZTLDSABL-UHFFFAOYSA-N 0 2 317.349 0.962 20 0 DCADLN CCS(=O)(=O)N1CC[NH+](C[C@@H]2CC(C(=O)[O-])=C(C)O2)CC1 ZINC001605724961 1169992617 /nfs/dbraw/zinc/99/26/17/1169992617.db2.gz CCJQUONFKVYNEY-NSHDSACASA-N 0 2 318.395 0.101 20 0 DCADLN O=C(NC[C@@H]1C[C@@H](c2nc[nH]n2)C[C@H]1O)c1c(O)cccc1F ZINC001549521147 1014289876 /nfs/dbraw/zinc/28/98/76/1014289876.db2.gz ZINYMFZFLIIXKN-VDDIYKPWSA-N 0 2 320.324 0.934 20 0 DCADLN CC(C)c1nc(C[NH2+]CCCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])no1 ZINC001592020301 976712158 /nfs/dbraw/zinc/71/21/58/976712158.db2.gz WDMGWXQTKTWAAO-UWVGGRQHSA-N 0 2 310.354 0.510 20 0 DCADLN CC(C)n1cc(C[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)nn1 ZINC001592126699 976947652 /nfs/dbraw/zinc/94/76/52/976947652.db2.gz AQOPCIHACAXAJF-LLVKDONJSA-N 0 2 305.342 0.596 20 0 DCADLN CC(C)n1cc(C[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)nn1 ZINC001592126699 976947660 /nfs/dbraw/zinc/94/76/60/976947660.db2.gz AQOPCIHACAXAJF-LLVKDONJSA-N 0 2 305.342 0.596 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1)C(=O)[O-] ZINC001592800853 979460833 /nfs/dbraw/zinc/46/08/33/979460833.db2.gz SHMVJNGEPCVPOT-CMPLNLGQSA-N 0 2 314.363 0.585 20 0 DCADLN C[C@H](NC(=O)CC(C)(C)C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593011763 980248558 /nfs/dbraw/zinc/24/85/58/980248558.db2.gz UUBOEUSVOFMRGM-GXSJLCMTSA-N 0 2 324.381 0.463 20 0 DCADLN C[C@H](NC(=O)CC(C)(C)C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593011763 980248560 /nfs/dbraw/zinc/24/85/60/980248560.db2.gz UUBOEUSVOFMRGM-GXSJLCMTSA-N 0 2 324.381 0.463 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccnc(C(=O)[O-])c1 ZINC001595500584 981274102 /nfs/dbraw/zinc/27/41/02/981274102.db2.gz QOXXIRSFJBNRJC-GFCCVEGCSA-N 0 2 307.350 0.620 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccnc(C(=O)[O-])c1 ZINC001595500584 981274106 /nfs/dbraw/zinc/27/41/06/981274106.db2.gz QOXXIRSFJBNRJC-GFCCVEGCSA-N 0 2 307.350 0.620 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001530485101 1014768651 /nfs/dbraw/zinc/76/86/51/1014768651.db2.gz OMBITTOPQYQYCH-HTRCEHHLSA-N 0 2 300.252 0.639 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530485101 1014768666 /nfs/dbraw/zinc/76/86/66/1014768666.db2.gz OMBITTOPQYQYCH-HTRCEHHLSA-N 0 2 300.252 0.639 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001530485102 1014768947 /nfs/dbraw/zinc/76/89/47/1014768947.db2.gz OMBITTOPQYQYCH-POYBYMJQSA-N 0 2 300.252 0.639 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530485102 1014768955 /nfs/dbraw/zinc/76/89/55/1014768955.db2.gz OMBITTOPQYQYCH-POYBYMJQSA-N 0 2 300.252 0.639 20 0 DCADLN CC[C@@](C)(C(=O)[O-])[N@@H+](Cc1nc(N)nc(N(C)C)n1)CC1CC1 ZINC001594791554 981852991 /nfs/dbraw/zinc/85/29/91/981852991.db2.gz MMUXOWOJZCTKDF-HNNXBMFYSA-N 0 2 322.413 0.985 20 0 DCADLN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1nc(N)nc(N(C)C)n1)CC1CC1 ZINC001594791554 981852996 /nfs/dbraw/zinc/85/29/96/981852996.db2.gz MMUXOWOJZCTKDF-HNNXBMFYSA-N 0 2 322.413 0.985 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)s1 ZINC000910816192 982135494 /nfs/dbraw/zinc/13/54/94/982135494.db2.gz KXPMVDCXCXRPJX-MRVPVSSYSA-N 0 2 306.347 0.917 20 0 DCADLN Cn1cnc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)c1 ZINC001530787681 1014939234 /nfs/dbraw/zinc/93/92/34/1014939234.db2.gz JRTMNFKNJPRJMQ-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cnc(C(=O)N2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC001530787681 1014939245 /nfs/dbraw/zinc/93/92/45/1014939245.db2.gz JRTMNFKNJPRJMQ-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN CCN(CCNc1ncc(C(=O)[O-])cn1)C(=O)Cc1[nH]cc[nH+]1 ZINC001596341185 983791844 /nfs/dbraw/zinc/79/18/44/983791844.db2.gz SNBWTMXXYXNBOG-UHFFFAOYSA-N 0 2 318.337 0.401 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001596424292 984067662 /nfs/dbraw/zinc/06/76/62/984067662.db2.gz IIFUQKXRQDDBQD-SECBINFHSA-N 0 2 317.367 0.526 20 0 DCADLN CC[N@H+]1CCCC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001596424292 984067669 /nfs/dbraw/zinc/06/76/69/984067669.db2.gz IIFUQKXRQDDBQD-SECBINFHSA-N 0 2 317.367 0.526 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@@](C)(C(=O)[O-])c1ccc(F)cc1 ZINC001596486061 984225907 /nfs/dbraw/zinc/22/59/07/984225907.db2.gz ZPVBHKKSTQFZDW-CQSZACIVSA-N 0 2 311.313 0.561 20 0 DCADLN CCNc1cc(CNC(=O)N2C[C@@H](C)O[C@H](C(=O)[O-])C2)cc[nH+]1 ZINC001596499578 984251078 /nfs/dbraw/zinc/25/10/78/984251078.db2.gz OULVSPRBUYDFNN-PWSUYJOCSA-N 0 2 322.365 0.897 20 0 DCADLN C/C(=C\C(=O)N[C@@H](CC(F)F)C(=O)[O-])C[NH+]1CCOCC1 ZINC001588938571 984623155 /nfs/dbraw/zinc/62/31/55/984623155.db2.gz HKCLIYOKFAHRCB-ZKXNXJMVSA-N 0 2 306.309 0.490 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(C)C ZINC001383072865 984657113 /nfs/dbraw/zinc/65/71/13/984657113.db2.gz QFQDDVXHGYEBLS-SFYZADRCSA-N 0 2 316.295 0.868 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C(C)(C)C ZINC001383072865 984657116 /nfs/dbraw/zinc/65/71/16/984657116.db2.gz QFQDDVXHGYEBLS-SFYZADRCSA-N 0 2 316.295 0.868 20 0 DCADLN CCOC(=O)c1c(C)n[nH]c1C[N@@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001596696628 984840575 /nfs/dbraw/zinc/84/05/75/984840575.db2.gz KUBWISUIPRSJPE-UWVGGRQHSA-N 0 2 311.338 0.162 20 0 DCADLN CCOC(=O)c1c(C)n[nH]c1C[N@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001596696628 984840593 /nfs/dbraw/zinc/84/05/93/984840593.db2.gz KUBWISUIPRSJPE-UWVGGRQHSA-N 0 2 311.338 0.162 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001525013978 1015088083 /nfs/dbraw/zinc/08/80/83/1015088083.db2.gz CFTVQNZIHSBQPW-ZXFLCMHBSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001525013978 1015088093 /nfs/dbraw/zinc/08/80/93/1015088093.db2.gz CFTVQNZIHSBQPW-ZXFLCMHBSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1ccnn1CC(=O)N(C)C[C@@H]1OCC[C@@H]1c1n[nH]c(C)n1 ZINC001551461937 1015095472 /nfs/dbraw/zinc/09/54/72/1015095472.db2.gz SVLLXTGMQUAIJL-STQMWFEESA-N 0 2 318.381 0.649 20 0 DCADLN CCOC(=O)c1n[nH]c(C[NH2+][C@@H]2C[C@@H]3C[C@H](C(=O)[O-])C[C@@H]23)n1 ZINC001596749711 985092177 /nfs/dbraw/zinc/09/21/77/985092177.db2.gz ZRGDTHQXWXDXHJ-AXTSPUMRSA-N 0 2 308.338 0.570 20 0 DCADLN CC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC001589120818 985388406 /nfs/dbraw/zinc/38/84/06/985388406.db2.gz AGFDVLZDZIZVMP-CYBMUJFWSA-N 0 2 319.361 0.842 20 0 DCADLN CC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC001589120818 985388417 /nfs/dbraw/zinc/38/84/17/985388417.db2.gz AGFDVLZDZIZVMP-CYBMUJFWSA-N 0 2 319.361 0.842 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(C)F)C(=O)C(F)C(F)(F)F ZINC001384223674 985447762 /nfs/dbraw/zinc/44/77/62/985447762.db2.gz QUVBAVMQHDUHTG-BQBZGAKWSA-N 0 2 320.258 0.570 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(C)F)C(=O)[C@H](F)C(F)(F)F ZINC001384223674 985447767 /nfs/dbraw/zinc/44/77/67/985447767.db2.gz QUVBAVMQHDUHTG-BQBZGAKWSA-N 0 2 320.258 0.570 20 0 DCADLN COCCC(=O)N1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001384379449 985529749 /nfs/dbraw/zinc/52/97/49/985529749.db2.gz RNEOTAKRBMUDPR-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N1CC[C@H]1CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001384379449 985529754 /nfs/dbraw/zinc/52/97/54/985529754.db2.gz RNEOTAKRBMUDPR-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H]1CN(C)C(=O)C[N@@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594499982 985672222 /nfs/dbraw/zinc/67/22/22/985672222.db2.gz QGWSXWWCCOKVKJ-AAEUAGOBSA-N 0 2 322.361 0.287 20 0 DCADLN C[C@H]1CN(C)C(=O)C[N@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594499982 985672230 /nfs/dbraw/zinc/67/22/30/985672230.db2.gz QGWSXWWCCOKVKJ-AAEUAGOBSA-N 0 2 322.361 0.287 20 0 DCADLN C[C@H]1C[N@@H+](C2CC2)C[C@@H]1NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594508440 985750665 /nfs/dbraw/zinc/75/06/65/985750665.db2.gz RNTQMPWXUCUVPQ-XVKPBYJWSA-N 0 2 315.351 0.134 20 0 DCADLN C[C@H]1C[N@H+](C2CC2)C[C@@H]1NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594508440 985750672 /nfs/dbraw/zinc/75/06/72/985750672.db2.gz RNTQMPWXUCUVPQ-XVKPBYJWSA-N 0 2 315.351 0.134 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)n[nH]1 ZINC001551662363 1015168742 /nfs/dbraw/zinc/16/87/42/1015168742.db2.gz VMBODANEMCJVMD-LBELIVKGSA-N 0 2 306.366 0.336 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)C2CCC(O)CC2)n[nH]1 ZINC001551664388 1015169255 /nfs/dbraw/zinc/16/92/55/1015169255.db2.gz DEDNHWMPURSUEB-CLRIEMFWSA-N 0 2 322.409 0.995 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)c1csc(CN)n1 ZINC001594521119 985987291 /nfs/dbraw/zinc/98/72/91/985987291.db2.gz BZCDBWQCZRFWDY-SECBINFHSA-N 0 2 312.395 0.223 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1csc(CN)n1 ZINC001594521119 985987304 /nfs/dbraw/zinc/98/73/04/985987304.db2.gz BZCDBWQCZRFWDY-SECBINFHSA-N 0 2 312.395 0.223 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)c2csc(C(=O)[O-])n2)CC1 ZINC001596929059 986009349 /nfs/dbraw/zinc/00/93/49/986009349.db2.gz JYSAGTOOCQXYNF-UHFFFAOYSA-N 0 2 313.379 0.636 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2cccc(C(=O)[O-])n2)[C@H](C)CO1 ZINC001594528390 986098235 /nfs/dbraw/zinc/09/82/35/986098235.db2.gz UKFMXBKLWNWANK-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2cccc(C(=O)[O-])n2)[C@H](C)CO1 ZINC001594528390 986098248 /nfs/dbraw/zinc/09/82/48/986098248.db2.gz UKFMXBKLWNWANK-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN CCOCC(=O)N1CC[C@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385357584 986288853 /nfs/dbraw/zinc/28/88/53/986288853.db2.gz SIJSJGXSJCBHIK-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N1CC[C@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385357584 986288864 /nfs/dbraw/zinc/28/88/64/986288864.db2.gz SIJSJGXSJCBHIK-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001385576878 986443153 /nfs/dbraw/zinc/44/31/53/986443153.db2.gz CYMIBBWIHPFXEP-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001385576878 986443157 /nfs/dbraw/zinc/44/31/57/986443157.db2.gz CYMIBBWIHPFXEP-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385652418 986495932 /nfs/dbraw/zinc/49/59/32/986495932.db2.gz KFSCJMPDQAGMAK-XPUUQOCRSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385652418 986495935 /nfs/dbraw/zinc/49/59/35/986495935.db2.gz KFSCJMPDQAGMAK-XPUUQOCRSA-N 0 2 324.234 0.609 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccon2)C1)C(F)C(F)(F)F ZINC001385657162 986497625 /nfs/dbraw/zinc/49/76/25/986497625.db2.gz VQUHWKMWQMDIMM-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccon2)C1)[C@H](F)C(F)(F)F ZINC001385657162 986497629 /nfs/dbraw/zinc/49/76/29/986497629.db2.gz VQUHWKMWQMDIMM-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001386299524 987052701 /nfs/dbraw/zinc/05/27/01/987052701.db2.gz SXCDGNQTHRLDQA-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001386299524 987052710 /nfs/dbraw/zinc/05/27/10/987052710.db2.gz SXCDGNQTHRLDQA-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)CNC(=O)C(F)C(F)(F)F ZINC001532261158 1015321386 /nfs/dbraw/zinc/32/13/86/1015321386.db2.gz NPWFMQIZKSRUEY-IONNQARKSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001532261158 1015321397 /nfs/dbraw/zinc/32/13/97/1015321397.db2.gz NPWFMQIZKSRUEY-IONNQARKSA-N 0 2 324.278 0.803 20 0 DCADLN Cc1ccc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)nn1 ZINC001387792816 988156268 /nfs/dbraw/zinc/15/62/68/988156268.db2.gz FNQADKSDHZDHKU-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ccc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001387792816 988156276 /nfs/dbraw/zinc/15/62/76/988156276.db2.gz FNQADKSDHZDHKU-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001388300553 988193118 /nfs/dbraw/zinc/19/31/18/988193118.db2.gz FYCOCHKPILNSKR-SSDOTTSWSA-N 0 2 311.239 0.283 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001388300553 988193120 /nfs/dbraw/zinc/19/31/20/988193120.db2.gz FYCOCHKPILNSKR-SSDOTTSWSA-N 0 2 311.239 0.283 20 0 DCADLN Cn1nnc(CN[C@H]2C[C@@H](CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001388682704 988508467 /nfs/dbraw/zinc/50/84/67/988508467.db2.gz ZBFPLWFVXWRHAG-BKPPORCPSA-N 0 2 324.282 0.095 20 0 DCADLN CC(=O)N(CCNC(=O)c1cn[nH]c1-c1cnn(C)c1)C1CC1 ZINC001388694627 988519620 /nfs/dbraw/zinc/51/96/20/988519620.db2.gz OLXLGXXVWBQSOF-UHFFFAOYSA-N 0 2 316.365 0.551 20 0 DCADLN Cc1cc(NC(=O)N2C[C@H]3COC[C@]3(C(=O)[O-])C2)c(C)c[nH+]1 ZINC001552379690 1015413042 /nfs/dbraw/zinc/41/30/42/1015413042.db2.gz UUFOERCZHXKSIZ-XHDPSFHLSA-N 0 2 305.334 0.685 20 0 DCADLN C[C@@H](CC(=O)[O-])NC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC001599834224 988718585 /nfs/dbraw/zinc/71/85/85/988718585.db2.gz QYAKWKXJSSNPTA-LBPRGKRZSA-N 0 2 309.366 0.413 20 0 DCADLN CC1(C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001389089397 988812075 /nfs/dbraw/zinc/81/20/75/988812075.db2.gz BJSFBCYDBJEAAG-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)CCC1 ZINC001389089397 988812081 /nfs/dbraw/zinc/81/20/81/988812081.db2.gz BJSFBCYDBJEAAG-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN O=C([O-])[C@@]12COC[C@H]1CN(C(=O)Nc1ccc3[nH+]ccn3c1)C2 ZINC001552445051 1015441380 /nfs/dbraw/zinc/44/13/80/1015441380.db2.gz AETWIYMRTAWEBH-BMIGLBTASA-N 0 2 316.317 0.899 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCCC[C@@H]1N1CCCC1=O ZINC001552546844 1015458378 /nfs/dbraw/zinc/45/83/78/1015458378.db2.gz UEPYYOFISDUHRH-UWVGGRQHSA-N 0 2 307.354 0.308 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)C[NH+](C)C2CCC2)C1 ZINC001389452749 989269288 /nfs/dbraw/zinc/26/92/88/989269288.db2.gz CEBUKAAQRFZPPX-ZIAGYGMSSA-N 0 2 324.469 0.576 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001389620946 989437190 /nfs/dbraw/zinc/43/71/90/989437190.db2.gz GREKYUVLHNOJGN-CYBMUJFWSA-N 0 2 321.425 0.699 20 0 DCADLN CCCc1n[nH]cc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001390780664 990379542 /nfs/dbraw/zinc/37/95/42/990379542.db2.gz YCTDGWQTCSETLX-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN CCCc1n[nH]cc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001390780664 990379548 /nfs/dbraw/zinc/37/95/48/990379548.db2.gz YCTDGWQTCSETLX-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN CSC[C@@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391044148 990536347 /nfs/dbraw/zinc/53/63/47/990536347.db2.gz MKWZPSJMJPZJAM-ZJUUUORDSA-N 0 2 313.427 0.590 20 0 DCADLN CSC[C@@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391044148 990536354 /nfs/dbraw/zinc/53/63/54/990536354.db2.gz MKWZPSJMJPZJAM-ZJUUUORDSA-N 0 2 313.427 0.590 20 0 DCADLN CC(C)(CNS(=O)(=O)C1(C(=O)[O-])CCC1)n1cc[nH+]c1 ZINC001590763593 990567727 /nfs/dbraw/zinc/56/77/27/990567727.db2.gz VOBZDHVOGNAWHF-UHFFFAOYSA-N 0 2 301.368 0.545 20 0 DCADLN CN(C)[C@H](C(=O)Nc1nc(CC(=O)[O-])cs1)c1c[nH+]cn1C ZINC001598164841 990677439 /nfs/dbraw/zinc/67/74/39/990677439.db2.gz IMPSEVDAORUTOV-NSHDSACASA-N 0 2 323.378 0.745 20 0 DCADLN CCC1(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC001391521227 990912819 /nfs/dbraw/zinc/91/28/19/990912819.db2.gz ZHYRGVHFXKRHJQ-UHFFFAOYSA-N 0 2 323.397 0.265 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NCc1nnn(C)n1 ZINC001391681609 991090029 /nfs/dbraw/zinc/09/00/29/991090029.db2.gz DRGNJHLVCKIPOX-POYBYMJQSA-N 0 2 312.271 0.095 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NCc1nnn(C)n1 ZINC001391681609 991090040 /nfs/dbraw/zinc/09/00/40/991090040.db2.gz DRGNJHLVCKIPOX-POYBYMJQSA-N 0 2 312.271 0.095 20 0 DCADLN CC[C@H](CNC(=O)Cc1nnc(C)[nH]1)[NH2+][C@H](C)c1nnc(C)[nH]1 ZINC001391686030 991093570 /nfs/dbraw/zinc/09/35/70/991093570.db2.gz UFXSBGNTOFPZTM-LDYMZIIASA-N 0 2 320.401 0.328 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)CNC(=O)C2([NH+](C)C)CCC2)c1[O-] ZINC001392281904 991693961 /nfs/dbraw/zinc/69/39/61/991693961.db2.gz CCKBMGKDVLQMAV-SECBINFHSA-N 0 2 323.397 0.143 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392311847 991735560 /nfs/dbraw/zinc/73/55/60/991735560.db2.gz IMFGEMGAEIMCLQ-NSHDSACASA-N 0 2 321.381 0.872 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392311847 991735568 /nfs/dbraw/zinc/73/55/68/991735568.db2.gz IMFGEMGAEIMCLQ-NSHDSACASA-N 0 2 321.381 0.872 20 0 DCADLN CN(CCN(C)C(=O)c1occc1Cl)Cc1n[nH]c(=O)[nH]1 ZINC001392314128 991739391 /nfs/dbraw/zinc/73/93/91/991739391.db2.gz MXGVEVMDAPXMRC-UHFFFAOYSA-N 0 2 313.745 0.961 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1occc1Cl ZINC001392314128 991739399 /nfs/dbraw/zinc/73/93/99/991739399.db2.gz MXGVEVMDAPXMRC-UHFFFAOYSA-N 0 2 313.745 0.961 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1occc1Cl ZINC001392314128 991739405 /nfs/dbraw/zinc/73/94/05/991739405.db2.gz MXGVEVMDAPXMRC-UHFFFAOYSA-N 0 2 313.745 0.961 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CCO[C@@H](C(F)F)CC1)C(=O)[O-] ZINC001591168439 991947427 /nfs/dbraw/zinc/94/74/27/991947427.db2.gz YJTQAUJHNWDQPI-WDEREUQCSA-N 0 2 322.352 0.958 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CCO[C@@H](C(F)F)CC1)C(=O)[O-] ZINC001591168439 991947435 /nfs/dbraw/zinc/94/74/35/991947435.db2.gz YJTQAUJHNWDQPI-WDEREUQCSA-N 0 2 322.352 0.958 20 0 DCADLN C[N@H+](CCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1nnc(C2CC2)o1 ZINC001598479853 992130450 /nfs/dbraw/zinc/13/04/50/992130450.db2.gz MSRIUCZTWHZWIG-MNOVXSKESA-N 0 2 322.365 0.606 20 0 DCADLN C[N@@H+](CCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1nnc(C2CC2)o1 ZINC001598479853 992130456 /nfs/dbraw/zinc/13/04/56/992130456.db2.gz MSRIUCZTWHZWIG-MNOVXSKESA-N 0 2 322.365 0.606 20 0 DCADLN C[N@H+](CCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1nnc(C2CC2)o1 ZINC001598479854 992130985 /nfs/dbraw/zinc/13/09/85/992130985.db2.gz MSRIUCZTWHZWIG-QWRGUYRKSA-N 0 2 322.365 0.606 20 0 DCADLN C[N@@H+](CCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1nnc(C2CC2)o1 ZINC001598479854 992130990 /nfs/dbraw/zinc/13/09/90/992130990.db2.gz MSRIUCZTWHZWIG-QWRGUYRKSA-N 0 2 322.365 0.606 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)NC[C@H]1CCO[C@H]1C(=O)[O-] ZINC001598484804 992191854 /nfs/dbraw/zinc/19/18/54/992191854.db2.gz HBNFHAXYXMETCM-VXGBXAGGSA-N 0 2 310.354 0.404 20 0 DCADLN COc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001392676422 992247311 /nfs/dbraw/zinc/24/73/11/992247311.db2.gz GRCZUMAPXVNYJA-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001392676422 992247317 /nfs/dbraw/zinc/24/73/17/992247317.db2.gz GRCZUMAPXVNYJA-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(c2ccc(C(=O)[O-])s2)CC1 ZINC001599777879 992327498 /nfs/dbraw/zinc/32/74/98/992327498.db2.gz ZVTASQYGKOZSKP-IBGZPJMESA-N 0 2 302.421 0.947 20 0 DCADLN O=C(CCCn1cccc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001392865908 992475834 /nfs/dbraw/zinc/47/58/34/992475834.db2.gz ALUWQYWYQTVYKU-LBPRGKRZSA-N 0 2 318.381 0.483 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)[C@H](C)n1cc[nH+]c1)C(=O)[O-] ZINC001591453784 992561414 /nfs/dbraw/zinc/56/14/14/992561414.db2.gz RUDPKLTYPFTHNB-QWRGUYRKSA-N 0 2 310.354 0.176 20 0 DCADLN C[N@H+](Cc1nnnn1CC1CCOCC1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001598541838 992910803 /nfs/dbraw/zinc/91/08/03/992910803.db2.gz RNAHPHVSEVVBMC-TXEJJXNPSA-N 0 2 309.370 0.395 20 0 DCADLN C[N@@H+](Cc1nnnn1CC1CCOCC1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001598541838 992910811 /nfs/dbraw/zinc/91/08/11/992910811.db2.gz RNAHPHVSEVVBMC-TXEJJXNPSA-N 0 2 309.370 0.395 20 0 DCADLN CC(C)[NH+](C)CC(=O)NC[C@H]1COCC[N@@H+]1C[C@@H]1CCOC1 ZINC001393517459 993048187 /nfs/dbraw/zinc/04/81/87/993048187.db2.gz DDNZLJKZRDOVBT-GJZGRUSLSA-N 0 2 313.442 0.180 20 0 DCADLN C[N@@H+]1C2(CCC2)COC[C@@]1(CO)CNc1cc(C(=O)[O-])ncn1 ZINC001598555962 993057139 /nfs/dbraw/zinc/05/71/39/993057139.db2.gz GAFQHGCXVHALLB-HNNXBMFYSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@H+]1C2(CCC2)COC[C@@]1(CO)CNc1cc(C(=O)[O-])ncn1 ZINC001598555962 993057145 /nfs/dbraw/zinc/05/71/45/993057145.db2.gz GAFQHGCXVHALLB-HNNXBMFYSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@@H+]1C2(CCC2)COC[C@@]1(CO)CNc1ncncc1C(=O)[O-] ZINC001598555960 993057469 /nfs/dbraw/zinc/05/74/69/993057469.db2.gz GADQPJPOCBUVCN-HNNXBMFYSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@H+]1C2(CCC2)COC[C@@]1(CO)CNc1ncncc1C(=O)[O-] ZINC001598555960 993057475 /nfs/dbraw/zinc/05/74/75/993057475.db2.gz GADQPJPOCBUVCN-HNNXBMFYSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@H+](CCNC(=O)c1cc(Cl)no1)Cc1n[nH]c(=O)[n-]1 ZINC001492823565 993220111 /nfs/dbraw/zinc/22/01/11/993220111.db2.gz BGRNGHNJYGGJON-UHFFFAOYSA-N 0 2 300.706 0.014 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc(Cl)no1)Cc1n[nH]c(=O)[n-]1 ZINC001492823565 993220119 /nfs/dbraw/zinc/22/01/19/993220119.db2.gz BGRNGHNJYGGJON-UHFFFAOYSA-N 0 2 300.706 0.014 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)CCS(C)(=O)=O ZINC001394061082 993444665 /nfs/dbraw/zinc/44/46/65/993444665.db2.gz JKXCEJBHZYHJAU-SFYZADRCSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)CCS(C)(=O)=O ZINC001394061082 993444667 /nfs/dbraw/zinc/44/46/67/993444667.db2.gz JKXCEJBHZYHJAU-SFYZADRCSA-N 0 2 322.324 0.368 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)[C@H](C)[NH+]1CCCCCC1 ZINC001399875900 993869041 /nfs/dbraw/zinc/86/90/41/993869041.db2.gz QLCRMMDKMDRKQO-GJZGRUSLSA-N 0 2 324.469 0.578 20 0 DCADLN CO[C@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001399877530 993870626 /nfs/dbraw/zinc/87/06/26/993870626.db2.gz YWWWOUMYSNPDQY-PWSUYJOCSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001399877530 993870633 /nfs/dbraw/zinc/87/06/33/993870633.db2.gz YWWWOUMYSNPDQY-PWSUYJOCSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H]1COCC[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400023962 994065292 /nfs/dbraw/zinc/06/52/92/994065292.db2.gz AUWDJYJTEQNONU-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1COCC[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400023962 994065301 /nfs/dbraw/zinc/06/53/01/994065301.db2.gz AUWDJYJTEQNONU-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN COc1ccc2c(c1)C1(CC1)C[N@@H+](CC(=O)NCC(=O)[O-])C2 ZINC001599625047 994136411 /nfs/dbraw/zinc/13/64/11/994136411.db2.gz MBJDUUGBUPKWMN-UHFFFAOYSA-N 0 2 304.346 0.743 20 0 DCADLN COc1ccc2c(c1)C1(CC1)C[N@H+](CC(=O)NCC(=O)[O-])C2 ZINC001599625047 994136416 /nfs/dbraw/zinc/13/64/16/994136416.db2.gz MBJDUUGBUPKWMN-UHFFFAOYSA-N 0 2 304.346 0.743 20 0 DCADLN C[C@@H](CNC(=O)c1csnn1)NC(=O)C(F)C(F)(F)F ZINC001400215583 994294898 /nfs/dbraw/zinc/29/48/98/994294898.db2.gz VHSKCKGBCMYHBD-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)c1csnn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001400215583 994294901 /nfs/dbraw/zinc/29/49/01/994294901.db2.gz VHSKCKGBCMYHBD-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])c(C)[nH]2)C1 ZINC001598669776 994735796 /nfs/dbraw/zinc/73/57/96/994735796.db2.gz RFOKMUVEIJUHSS-NSHDSACASA-N 0 2 315.395 0.535 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])c(C)[nH]2)C1 ZINC001598669776 994735805 /nfs/dbraw/zinc/73/58/05/994735805.db2.gz RFOKMUVEIJUHSS-NSHDSACASA-N 0 2 315.395 0.535 20 0 DCADLN C[C@H]([NH2+]Cc1cn(CC(=O)[O-])nn1)c1ccc([S@@](C)=O)cc1 ZINC001593310414 994771888 /nfs/dbraw/zinc/77/18/88/994771888.db2.gz IEBNKQJRIHFEDW-MLMJSJRWSA-N 0 2 322.390 0.951 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](CO)CNC(=O)CC(C)(C)C ZINC001395691773 994888965 /nfs/dbraw/zinc/88/89/65/994888965.db2.gz LJZKEJKREQYNNU-LLVKDONJSA-N 0 2 310.398 0.290 20 0 DCADLN CC(C)(C)CC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395692228 994889727 /nfs/dbraw/zinc/88/97/27/994889727.db2.gz NNXYUKJKGDYOLT-APPZFPTMSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001395692228 994889737 /nfs/dbraw/zinc/88/97/37/994889737.db2.gz NNXYUKJKGDYOLT-APPZFPTMSA-N 0 2 316.295 0.916 20 0 DCADLN O=C(CC1CCC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395739581 994954646 /nfs/dbraw/zinc/95/46/46/994954646.db2.gz JFSPFRRDSKMCSU-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001395739581 994954656 /nfs/dbraw/zinc/95/46/56/994954656.db2.gz JFSPFRRDSKMCSU-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@@H](O)C[NH+]1CCN(C(=O)c2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC001593463925 995395516 /nfs/dbraw/zinc/39/55/16/995395516.db2.gz WVXOQTYMRLXYEB-USEMIUTHSA-N 0 2 308.334 0.516 20 0 DCADLN COC(=O)[C@H](c1cccc(OC)c1)[N@@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001598715332 995398933 /nfs/dbraw/zinc/39/89/33/995398933.db2.gz DJBNRQHEAQEGRO-BZPMIXESSA-N 0 2 323.345 0.677 20 0 DCADLN COC(=O)[C@H](c1cccc(OC)c1)[N@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001598715332 995398940 /nfs/dbraw/zinc/39/89/40/995398940.db2.gz DJBNRQHEAQEGRO-BZPMIXESSA-N 0 2 323.345 0.677 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CCN1C(=O)CNc1cccc[nH+]1 ZINC001598743018 995571481 /nfs/dbraw/zinc/57/14/81/995571481.db2.gz DCRWTWGPIPHLLZ-GHMZBOCLSA-N 0 2 321.333 0.358 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001396930795 995689891 /nfs/dbraw/zinc/68/98/91/995689891.db2.gz PSRHFDZYQLHUGM-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC001396930795 995689893 /nfs/dbraw/zinc/68/98/93/995689893.db2.gz PSRHFDZYQLHUGM-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)C[N@@H+]([C@H](C(=O)[O-])c2ccccc2F)C1 ZINC001598756491 995726397 /nfs/dbraw/zinc/72/63/97/995726397.db2.gz LSNNJJYFEJWZET-BREBYQMCSA-N 0 2 311.309 0.807 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)C[N@H+]([C@H](C(=O)[O-])c2ccccc2F)C1 ZINC001598756491 995726400 /nfs/dbraw/zinc/72/64/00/995726400.db2.gz LSNNJJYFEJWZET-BREBYQMCSA-N 0 2 311.309 0.807 20 0 DCADLN COC(=O)C1C[C@@H](C)[NH+](Cc2cn(CC(=O)[O-])nn2)[C@H](C)C1 ZINC001598760265 995769007 /nfs/dbraw/zinc/76/90/07/995769007.db2.gz YBSOYZTYCGFZOQ-NXEZZACHSA-N 0 2 310.354 0.525 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)C[N@@H+](C)C(C)C)C2)c1C ZINC001397140681 995820755 /nfs/dbraw/zinc/82/07/55/995820755.db2.gz MBLPVIDVYZQTFT-ZDUSSCGKSA-N 0 2 321.425 0.697 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001397183040 995846491 /nfs/dbraw/zinc/84/64/91/995846491.db2.gz IFOFRWVBJBBNIS-HAFWLYHUSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001397183040 995846496 /nfs/dbraw/zinc/84/64/96/995846496.db2.gz IFOFRWVBJBBNIS-HAFWLYHUSA-N 0 2 308.235 0.687 20 0 DCADLN COC(=O)[C@H]1CCC[C@@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001598790942 996072018 /nfs/dbraw/zinc/07/20/18/996072018.db2.gz NGIOCQNCFHUBHR-SCVCMEIPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H]1CCC[C@@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001598790942 996072022 /nfs/dbraw/zinc/07/20/22/996072022.db2.gz NGIOCQNCFHUBHR-SCVCMEIPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCc2[nH+]ccn2C)[C@H](C(=O)[O-])C1 ZINC001598793776 996095882 /nfs/dbraw/zinc/09/58/82/996095882.db2.gz QURLUVVORMHODZ-MNOVXSKESA-N 0 2 323.349 0.218 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H](F)C(C)C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001397749474 996155422 /nfs/dbraw/zinc/15/54/22/996155422.db2.gz WFTQUSYHSRJVNL-UMNHJUIQSA-N 0 2 313.377 0.974 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H](F)C(C)C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001397749474 996155419 /nfs/dbraw/zinc/15/54/19/996155419.db2.gz WFTQUSYHSRJVNL-UMNHJUIQSA-N 0 2 313.377 0.974 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H](F)C(C)C)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001397749474 996155426 /nfs/dbraw/zinc/15/54/26/996155426.db2.gz WFTQUSYHSRJVNL-UMNHJUIQSA-N 0 2 313.377 0.974 20 0 DCADLN COC(=O)/C=C\c1ccc(C(=O)O[C@@H]2C[C@H](C(=O)[O-])[N@H+](C)C2)o1 ZINC001598832038 996447050 /nfs/dbraw/zinc/44/70/50/996447050.db2.gz RCWQBCNRNCIQEO-DPIUTZRQSA-N 0 2 323.301 0.780 20 0 DCADLN COC(=O)/C=C\c1ccc(C(=O)O[C@@H]2C[C@H](C(=O)[O-])[N@@H+](C)C2)o1 ZINC001598832038 996447053 /nfs/dbraw/zinc/44/70/53/996447053.db2.gz RCWQBCNRNCIQEO-DPIUTZRQSA-N 0 2 323.301 0.780 20 0 DCADLN CCn1ccc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001398606855 996601332 /nfs/dbraw/zinc/60/13/32/996601332.db2.gz PRUIYXDJAXGVJE-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CCn1ccc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001398606855 996601335 /nfs/dbraw/zinc/60/13/35/996601335.db2.gz PRUIYXDJAXGVJE-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN COC(=O)CN1CC[NH+](Cc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC001598863726 996696753 /nfs/dbraw/zinc/69/67/53/996696753.db2.gz HBTUGEASARPMJV-UHFFFAOYSA-N 0 2 310.325 0.815 20 0 DCADLN O=C(/C=C/C1CC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510967386 1016095422 /nfs/dbraw/zinc/09/54/22/1016095422.db2.gz BMJJKPHMRQVZJO-PVXSWLFQSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(/C=C/C1CC1)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001510967386 1016095430 /nfs/dbraw/zinc/09/54/30/1016095430.db2.gz BMJJKPHMRQVZJO-PVXSWLFQSA-N 0 2 312.263 0.446 20 0 DCADLN CC[N@H+](CCNC(=O)CCc1ccoc1)Cc1n[nH]c(=O)[n-]1 ZINC001492932586 997218383 /nfs/dbraw/zinc/21/83/83/997218383.db2.gz AJDUSISBAMIJQN-UHFFFAOYSA-N 0 2 307.354 0.674 20 0 DCADLN CC[N@@H+](CCNC(=O)CCc1ccoc1)Cc1n[nH]c(=O)[n-]1 ZINC001492932586 997218391 /nfs/dbraw/zinc/21/83/91/997218391.db2.gz AJDUSISBAMIJQN-UHFFFAOYSA-N 0 2 307.354 0.674 20 0 DCADLN COCCC(C)(C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001466774373 1016109253 /nfs/dbraw/zinc/10/92/53/1016109253.db2.gz IHSDSLGYYQDPCS-UHFFFAOYSA-N 0 2 320.349 0.811 20 0 DCADLN CO[C@@H]1CC[C@H]2OCC[N@H+](Cc3cnc(C(=O)[O-])cn3)[C@@H]2C1 ZINC001599102167 997407728 /nfs/dbraw/zinc/40/77/28/997407728.db2.gz FSJUIBSQXIQBFR-MRVWCRGKSA-N 0 2 307.350 0.943 20 0 DCADLN CO[C@@H]1CC[C@H]2OCC[N@@H+](Cc3cnc(C(=O)[O-])cn3)[C@@H]2C1 ZINC001599102167 997407734 /nfs/dbraw/zinc/40/77/34/997407734.db2.gz FSJUIBSQXIQBFR-MRVWCRGKSA-N 0 2 307.350 0.943 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001404985390 997648484 /nfs/dbraw/zinc/64/84/84/997648484.db2.gz KDCIIPGPBFJUCB-SDDRHHMPSA-N 0 2 323.397 0.357 20 0 DCADLN COC(=O)c1cc(C(=O)O[C@H]2C[C@@H](C(=O)[O-])[N@H+](C)C2)c(C)o1 ZINC001598926709 997660964 /nfs/dbraw/zinc/66/09/64/997660964.db2.gz RHQONVDMOZHPRQ-WPRPVWTQSA-N 0 2 311.290 0.689 20 0 DCADLN COC(=O)c1cc(C(=O)O[C@H]2C[C@@H](C(=O)[O-])[N@@H+](C)C2)c(C)o1 ZINC001598926709 997660968 /nfs/dbraw/zinc/66/09/68/997660968.db2.gz RHQONVDMOZHPRQ-WPRPVWTQSA-N 0 2 311.290 0.689 20 0 DCADLN Cc1nn2cccnc2c1C(=O)NCCc1n[nH]c(=S)o1 ZINC001467310109 1016157203 /nfs/dbraw/zinc/15/72/03/1016157203.db2.gz IFSSHJMRKDDEDZ-UHFFFAOYSA-N 0 2 304.335 0.682 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1C(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001594139417 998422485 /nfs/dbraw/zinc/42/24/85/998422485.db2.gz BEEDMWUOTGKRKB-KOLCDFICSA-N 0 2 316.317 0.594 20 0 DCADLN C[C@H](N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C(C)(C)O ZINC001468227845 1016233761 /nfs/dbraw/zinc/23/37/61/1016233761.db2.gz WWPUYJNFUUIUOS-VIFPVBQESA-N 0 2 320.349 0.498 20 0 DCADLN COC(=O)c1coc(C(=O)O[C@H]2C[N@H+](C)[C@@](C)(C(=O)[O-])C2)c1 ZINC001599013910 998922342 /nfs/dbraw/zinc/92/23/42/998922342.db2.gz GMIWCHXQFSKZDM-YMTOWFKASA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1coc(C(=O)O[C@H]2C[N@@H+](C)[C@@](C)(C(=O)[O-])C2)c1 ZINC001599013910 998922344 /nfs/dbraw/zinc/92/23/44/998922344.db2.gz GMIWCHXQFSKZDM-YMTOWFKASA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1coc([C@H](C)[NH+]2CCC([C@H](O)C(=O)[O-])CC2)n1 ZINC001599013899 998922597 /nfs/dbraw/zinc/92/25/97/998922597.db2.gz GIVIEHYSAJFQON-KWQFWETISA-N 0 2 312.322 0.680 20 0 DCADLN CCn1ncn(NC(=O)c2ncccc2-c2ccccn2)c1=O ZINC001468546961 1016263395 /nfs/dbraw/zinc/26/33/95/1016263395.db2.gz DJWWABQYRQVBAH-UHFFFAOYSA-N 0 2 310.317 0.906 20 0 DCADLN COC(=O)c1oc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1C ZINC001599017200 998949063 /nfs/dbraw/zinc/94/90/63/998949063.db2.gz FOWOODYNFPOHLW-VIFPVBQESA-N 0 2 321.289 0.454 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C(=O)[O-])nn1C ZINC001594249612 998955593 /nfs/dbraw/zinc/95/55/93/998955593.db2.gz IQPMUCBSCUBAJJ-WDEREUQCSA-N 0 2 322.365 0.054 20 0 DCADLN CC1CCC(N(C)C(=O)C[N@@H+]2CCC[C@H](O)[C@@H]2C(=O)[O-])CC1 ZINC001594310019 999198504 /nfs/dbraw/zinc/19/85/04/999198504.db2.gz XQIXZEDANMABDL-NSOJWWLLSA-N 0 2 312.410 0.933 20 0 DCADLN CC1CCC(N(C)C(=O)C[N@H+]2CCC[C@H](O)[C@@H]2C(=O)[O-])CC1 ZINC001594310019 999198508 /nfs/dbraw/zinc/19/85/08/999198508.db2.gz XQIXZEDANMABDL-NSOJWWLLSA-N 0 2 312.410 0.933 20 0 DCADLN CC(C)=CC(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001511479278 1016300889 /nfs/dbraw/zinc/30/08/89/1016300889.db2.gz XJTGNMDGGRXOPA-SCZZXKLOSA-N 0 2 314.279 0.789 20 0 DCADLN CC(C)=CC(=O)N(C)C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001511479278 1016300897 /nfs/dbraw/zinc/30/08/97/1016300897.db2.gz XJTGNMDGGRXOPA-SCZZXKLOSA-N 0 2 314.279 0.789 20 0 DCADLN C[C@H]1CCCC[N@@H+]1CCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594375416 999416163 /nfs/dbraw/zinc/41/61/63/999416163.db2.gz RBMACEVQALBJDR-VIFPVBQESA-N 0 2 317.367 0.526 20 0 DCADLN C[C@H]1CCCC[N@H+]1CCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594375416 999416164 /nfs/dbraw/zinc/41/61/64/999416164.db2.gz RBMACEVQALBJDR-VIFPVBQESA-N 0 2 317.367 0.526 20 0 DCADLN C[C@H](C(=O)N[C@@H](CC(=O)[O-])C(=O)OC(C)(C)C)n1cc[nH+]c1 ZINC001573591478 1163512952 /nfs/dbraw/zinc/51/29/52/1163512952.db2.gz ZWIMEXVIVWHJCL-ZJUUUORDSA-N 0 2 311.338 0.745 20 0 DCADLN CO[C@H](C[N@H+](C)CCNC(=O)c1n[nH]c(C)c1[O-])C1CCC1 ZINC001418456086 1000241429 /nfs/dbraw/zinc/24/14/29/1000241429.db2.gz ZYRCVRCKRQUPOV-GFCCVEGCSA-N 0 2 310.398 0.900 20 0 DCADLN CO[C@H](C[N@@H+](C)CCNC(=O)c1n[nH]c(C)c1[O-])C1CCC1 ZINC001418456086 1000241431 /nfs/dbraw/zinc/24/14/31/1000241431.db2.gz ZYRCVRCKRQUPOV-GFCCVEGCSA-N 0 2 310.398 0.900 20 0 DCADLN CCN1CCO[C@H](C(=O)NCC[N@H+](C)Cc2cccnc2C)C1 ZINC001418523592 1000312100 /nfs/dbraw/zinc/31/21/00/1000312100.db2.gz GCQIGAYIWVFECO-INIZCTEOSA-N 0 2 320.437 0.659 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CC[C@H]2C[C@H]2C1)Cc1n[nH]c(=O)[n-]1 ZINC001418560554 1000345599 /nfs/dbraw/zinc/34/55/99/1000345599.db2.gz XDIMNIBURKVGQT-TUAOUCFPSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CC[C@H]2C[C@H]2C1)Cc1n[nH]c(=O)[n-]1 ZINC001418560554 1000345605 /nfs/dbraw/zinc/34/56/05/1000345605.db2.gz XDIMNIBURKVGQT-TUAOUCFPSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@@H]1Oc2ccccc2O[C@H]1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001418615270 1000392714 /nfs/dbraw/zinc/39/27/14/1000392714.db2.gz BWWJJSCBQATAMI-RMLUDKJBSA-N 0 2 317.301 0.733 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1(CCF)CC1 ZINC001418628125 1000405523 /nfs/dbraw/zinc/40/55/23/1000405523.db2.gz XLCLQNAYYFHXSS-JTQLQIEISA-N 0 2 311.361 0.731 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1(CCF)CC1 ZINC001418628125 1000405529 /nfs/dbraw/zinc/40/55/29/1000405529.db2.gz XLCLQNAYYFHXSS-JTQLQIEISA-N 0 2 311.361 0.731 20 0 DCADLN CC(C)OCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001418857470 1000571756 /nfs/dbraw/zinc/57/17/56/1000571756.db2.gz HLODBPPCGIZCRP-PHIMTYICSA-N 0 2 309.370 0.111 20 0 DCADLN O=C(CC1CC(F)(F)C1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419025748 1000699775 /nfs/dbraw/zinc/69/97/75/1000699775.db2.gz LHQYQHDCXBBAFI-UHFFFAOYSA-N 0 2 315.324 0.494 20 0 DCADLN Cn1ncc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001401897266 1000831477 /nfs/dbraw/zinc/83/14/77/1000831477.db2.gz WIMXTNYRIQPVSO-DTWKUNHWSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncc(CN2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001401897266 1000831483 /nfs/dbraw/zinc/83/14/83/1000831483.db2.gz WIMXTNYRIQPVSO-DTWKUNHWSA-N 0 2 309.267 0.406 20 0 DCADLN COCC(C)(C)CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401900817 1000837927 /nfs/dbraw/zinc/83/79/27/1000837927.db2.gz QTWZYQCFPBMMKX-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CC(C)(C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001401944257 1000885270 /nfs/dbraw/zinc/88/52/70/1000885270.db2.gz YIOOINDPERRGDC-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402080846 1001000430 /nfs/dbraw/zinc/00/04/30/1001000430.db2.gz LZSNLGHBUULFPO-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(CC[N@H+]1CC[C@@H](F)C1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001470629714 1016469822 /nfs/dbraw/zinc/46/98/22/1016469822.db2.gz PSEBSJDILNAYJZ-TZMCWYRMSA-N 0 2 318.356 0.839 20 0 DCADLN O=C(CC[N@@H+]1CC[C@@H](F)C1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001470629714 1016469824 /nfs/dbraw/zinc/46/98/24/1016469824.db2.gz PSEBSJDILNAYJZ-TZMCWYRMSA-N 0 2 318.356 0.839 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCN[C@H](c2ccc(O)cc2)C1 ZINC001470724818 1016485170 /nfs/dbraw/zinc/48/51/70/1016485170.db2.gz ICSKVOBZHXCTRH-ZDUSSCGKSA-N 0 2 316.365 0.401 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)[NH2+]Cc2nnc(C3CC3)[nH]2)c1[O-] ZINC001402874520 1001548916 /nfs/dbraw/zinc/54/89/16/1001548916.db2.gz VSHDHCWOORQWSI-SSDOTTSWSA-N 0 2 319.369 0.327 20 0 DCADLN O=C(C[NH2+]C[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1)NC1CC1 ZINC001402883928 1001555485 /nfs/dbraw/zinc/55/54/85/1001555485.db2.gz CVGUGGXYHGVNDN-AWEZNQCLSA-N 0 2 319.409 0.132 20 0 DCADLN CCc1noc(C[NH2+][C@@H](C)[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001402969950 1001609184 /nfs/dbraw/zinc/60/91/84/1001609184.db2.gz PIMNPLFRHAZVLH-JGVFFNPUSA-N 0 2 322.369 0.666 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)n1cccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403141361 1001692944 /nfs/dbraw/zinc/69/29/44/1001692944.db2.gz UZZUFYPZXVUMCV-GHMZBOCLSA-N 0 2 306.370 0.510 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)n1cccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403141361 1001692945 /nfs/dbraw/zinc/69/29/45/1001692945.db2.gz UZZUFYPZXVUMCV-GHMZBOCLSA-N 0 2 306.370 0.510 20 0 DCADLN Cc1nn[nH]c1C(=O)N1CCC[C@H]([N@H+](C)CC(=O)NC(C)C)C1 ZINC001403341516 1001839832 /nfs/dbraw/zinc/83/98/32/1001839832.db2.gz TVEOCEBWXVYPJH-LBPRGKRZSA-N 0 2 322.413 0.174 20 0 DCADLN Cc1cncc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001424929060 1002157571 /nfs/dbraw/zinc/15/75/71/1002157571.db2.gz FJWPGNAXFKSRHF-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cncc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001424929060 1002157573 /nfs/dbraw/zinc/15/75/73/1002157573.db2.gz FJWPGNAXFKSRHF-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1nnc(CN(C)[C@H](C)CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001424949178 1002177225 /nfs/dbraw/zinc/17/72/25/1002177225.db2.gz MIJHSWKMLHDWJL-HZGVNTEJSA-N 0 2 311.283 0.950 20 0 DCADLN Cc1ccc(C(=O)NCCN(C)Cc2n[nH]c(=O)[nH]2)c(F)c1 ZINC001420317067 1002216451 /nfs/dbraw/zinc/21/64/51/1002216451.db2.gz VDRSLJBOHIABLR-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(F)c1 ZINC001420317067 1002216457 /nfs/dbraw/zinc/21/64/57/1002216457.db2.gz VDRSLJBOHIABLR-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(F)c1 ZINC001420317067 1002216462 /nfs/dbraw/zinc/21/64/62/1002216462.db2.gz VDRSLJBOHIABLR-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1ccc(C(=O)N(C)C[C@@H](C)[NH2+]Cc2nc(=O)n(C)[nH]2)cc1 ZINC001403624081 1002228570 /nfs/dbraw/zinc/22/85/70/1002228570.db2.gz BHWRHEIMHFFHLY-GFCCVEGCSA-N 0 2 317.393 0.667 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H](C)n1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403840726 1002611627 /nfs/dbraw/zinc/61/16/27/1002611627.db2.gz HEVLLEFZIIOWTR-NSHDSACASA-N 0 2 306.370 0.511 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H](C)n1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403840726 1002611635 /nfs/dbraw/zinc/61/16/35/1002611635.db2.gz HEVLLEFZIIOWTR-NSHDSACASA-N 0 2 306.370 0.511 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H](C)n1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403840721 1002611925 /nfs/dbraw/zinc/61/19/25/1002611925.db2.gz HEVLLEFZIIOWTR-LLVKDONJSA-N 0 2 306.370 0.511 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H](C)n1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403840721 1002611931 /nfs/dbraw/zinc/61/19/31/1002611931.db2.gz HEVLLEFZIIOWTR-LLVKDONJSA-N 0 2 306.370 0.511 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cncn1C ZINC001403928677 1002759591 /nfs/dbraw/zinc/75/95/91/1002759591.db2.gz GFGCWZUJPILOJT-UHFFFAOYSA-N 0 2 321.385 0.226 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cncn1C ZINC001403928677 1002759602 /nfs/dbraw/zinc/75/96/02/1002759602.db2.gz GFGCWZUJPILOJT-UHFFFAOYSA-N 0 2 321.385 0.226 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)s1 ZINC001420669731 1002782928 /nfs/dbraw/zinc/78/29/28/1002782928.db2.gz JCNMCCKALJEUFE-ZETCQYMHSA-N 0 2 313.358 0.961 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)s1 ZINC001420669731 1002782937 /nfs/dbraw/zinc/78/29/37/1002782937.db2.gz JCNMCCKALJEUFE-ZETCQYMHSA-N 0 2 313.358 0.961 20 0 DCADLN CCOc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001406119060 1003386845 /nfs/dbraw/zinc/38/68/45/1003386845.db2.gz BRRWINIMBHAFJE-UHFFFAOYSA-N 0 2 324.341 0.101 20 0 DCADLN CCOc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001406119060 1003386852 /nfs/dbraw/zinc/38/68/52/1003386852.db2.gz BRRWINIMBHAFJE-UHFFFAOYSA-N 0 2 324.341 0.101 20 0 DCADLN C[C@]1(NC(=O)C[C@@H]2CCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421187655 1003418579 /nfs/dbraw/zinc/41/85/79/1003418579.db2.gz DWRAEZOFUJVOBW-HZMBPMFUSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@]1(NC(=O)C[C@@H]2CCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421187655 1003418585 /nfs/dbraw/zinc/41/85/85/1003418585.db2.gz DWRAEZOFUJVOBW-HZMBPMFUSA-N 0 2 309.370 0.160 20 0 DCADLN Cn1nnnc1CN1CC[C@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001421214914 1003451451 /nfs/dbraw/zinc/45/14/51/1003451451.db2.gz YSJWCEPGSVFSNN-SCZZXKLOSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CC[C@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001421214914 1003451454 /nfs/dbraw/zinc/45/14/54/1003451454.db2.gz YSJWCEPGSVFSNN-SCZZXKLOSA-N 0 2 324.282 0.191 20 0 DCADLN Cc1conc1C[NH2+]C[C@@H](O)CNC(=O)[C@H]1CCCC[N@H+]1C ZINC001406242500 1003516587 /nfs/dbraw/zinc/51/65/87/1003516587.db2.gz MIFVGARNKZGYGI-TZMCWYRMSA-N 0 2 310.398 0.034 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@H]1O)C(F)C(F)(F)F ZINC001406248347 1003523756 /nfs/dbraw/zinc/52/37/56/1003523756.db2.gz BTPVBAGVFJFEMJ-HLTSFMKQSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@H]1O)[C@H](F)C(F)(F)F ZINC001406248347 1003523764 /nfs/dbraw/zinc/52/37/64/1003523764.db2.gz BTPVBAGVFJFEMJ-HLTSFMKQSA-N 0 2 323.246 0.217 20 0 DCADLN CN(C[C@H](O)CN(C)C(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001428269761 1003788032 /nfs/dbraw/zinc/78/80/32/1003788032.db2.gz SKIAFONHFMZUEX-RKDXNWHRSA-N 0 2 314.279 0.575 20 0 DCADLN CN(C[C@H](O)CN(C)C(=O)C1CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001428269761 1003788047 /nfs/dbraw/zinc/78/80/47/1003788047.db2.gz SKIAFONHFMZUEX-RKDXNWHRSA-N 0 2 314.279 0.575 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001406538930 1003805978 /nfs/dbraw/zinc/80/59/78/1003805978.db2.gz CWZOTXFWKDGZCM-BKPPORCPSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001406538930 1003805989 /nfs/dbraw/zinc/80/59/89/1003805989.db2.gz CWZOTXFWKDGZCM-BKPPORCPSA-N 0 2 322.262 0.935 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001406576905 1003869044 /nfs/dbraw/zinc/86/90/44/1003869044.db2.gz QDAWZHFTMQVUNT-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001406576905 1003869057 /nfs/dbraw/zinc/86/90/57/1003869057.db2.gz QDAWZHFTMQVUNT-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406645617 1003963619 /nfs/dbraw/zinc/96/36/19/1003963619.db2.gz NIDBELNSRMZPRC-QMMMGPOBSA-N 0 2 321.385 0.111 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406645617 1003963623 /nfs/dbraw/zinc/96/36/23/1003963623.db2.gz NIDBELNSRMZPRC-QMMMGPOBSA-N 0 2 321.385 0.111 20 0 DCADLN CCOCC(=O)NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001426821868 1004061170 /nfs/dbraw/zinc/06/11/70/1004061170.db2.gz ONCGUWOGNLHBAL-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NC[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001426821868 1004061179 /nfs/dbraw/zinc/06/11/79/1004061179.db2.gz ONCGUWOGNLHBAL-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]n1 ZINC001428654537 1004092048 /nfs/dbraw/zinc/09/20/48/1004092048.db2.gz LERUBPWDCDMMNZ-YLWLKBPMSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]n1 ZINC001428654537 1004092055 /nfs/dbraw/zinc/09/20/55/1004092055.db2.gz LERUBPWDCDMMNZ-YLWLKBPMSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cccnc1 ZINC001406893364 1004192462 /nfs/dbraw/zinc/19/24/62/1004192462.db2.gz YTTXCFRMNPHMCT-ZYHUDNBSSA-N 0 2 316.365 0.400 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001428884963 1004238266 /nfs/dbraw/zinc/23/82/66/1004238266.db2.gz QMNIQPAQHJRJSN-HTRCEHHLSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cn[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001428884963 1004238279 /nfs/dbraw/zinc/23/82/79/1004238279.db2.gz QMNIQPAQHJRJSN-HTRCEHHLSA-N 0 2 323.250 0.283 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)[C@@H]1CCOC1 ZINC001427411760 1004370585 /nfs/dbraw/zinc/37/05/85/1004370585.db2.gz GWORYXFVQHQYRF-GARJFASQSA-N 0 2 309.370 0.824 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001427821090 1004629656 /nfs/dbraw/zinc/62/96/56/1004629656.db2.gz DBJUCQNXVKBREG-CBAPKCEASA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccccn1 ZINC001427821090 1004629659 /nfs/dbraw/zinc/62/96/59/1004629659.db2.gz DBJUCQNXVKBREG-CBAPKCEASA-N 0 2 323.246 0.189 20 0 DCADLN CCOCC(=O)N(CC)CCNC(=O)C(F)C(F)(F)F ZINC001429598373 1004688934 /nfs/dbraw/zinc/68/89/34/1004688934.db2.gz TXZNOSHOEPDSSG-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCOCC(=O)N(CC)CCNC(=O)[C@H](F)C(F)(F)F ZINC001429598373 1004688935 /nfs/dbraw/zinc/68/89/35/1004688935.db2.gz TXZNOSHOEPDSSG-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN O=C(NC1CCN(C(=O)c2nc[nH]n2)CC1)C(F)C(F)(F)F ZINC001429679814 1004727307 /nfs/dbraw/zinc/72/73/07/1004727307.db2.gz AMAPXXAWUICOEM-SSDOTTSWSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC1CCN(C(=O)c2nc[nH]n2)CC1)[C@@H](F)C(F)(F)F ZINC001429679814 1004727312 /nfs/dbraw/zinc/72/73/12/1004727312.db2.gz AMAPXXAWUICOEM-SSDOTTSWSA-N 0 2 323.250 0.426 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001407730684 1004824471 /nfs/dbraw/zinc/82/44/71/1004824471.db2.gz CGYUWTXEKKHOLD-IUCAKERBSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001407730684 1004824477 /nfs/dbraw/zinc/82/44/77/1004824477.db2.gz CGYUWTXEKKHOLD-IUCAKERBSA-N 0 2 322.262 0.651 20 0 DCADLN COCC(=O)N[C@@H]1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001414243795 1005264504 /nfs/dbraw/zinc/26/45/04/1005264504.db2.gz XQHCFQPHXCLYDQ-QXFUBDJGSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)N[C@@H]1CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001414243795 1005264506 /nfs/dbraw/zinc/26/45/06/1005264506.db2.gz XQHCFQPHXCLYDQ-QXFUBDJGSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414784758 1005402127 /nfs/dbraw/zinc/40/21/27/1005402127.db2.gz XSIQLWNWGJYMEJ-HTRCEHHLSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001414784758 1005402128 /nfs/dbraw/zinc/40/21/28/1005402128.db2.gz XSIQLWNWGJYMEJ-HTRCEHHLSA-N 0 2 323.250 0.344 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001415677963 1005590949 /nfs/dbraw/zinc/59/09/49/1005590949.db2.gz MOHYIPWQUQCOGZ-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001415677963 1005590952 /nfs/dbraw/zinc/59/09/52/1005590952.db2.gz MOHYIPWQUQCOGZ-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)COCC(F)F ZINC001416918124 1005759860 /nfs/dbraw/zinc/75/98/60/1005759860.db2.gz QMWAUVIBUDIEER-YLWLKBPMSA-N 0 2 324.221 0.789 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)COCC(F)F ZINC001416918124 1005759861 /nfs/dbraw/zinc/75/98/61/1005759861.db2.gz QMWAUVIBUDIEER-YLWLKBPMSA-N 0 2 324.221 0.789 20 0 DCADLN CC(C)c1nc(NC(=O)c2ccsc2S(N)(=O)=O)n[nH]1 ZINC001417629102 1005855342 /nfs/dbraw/zinc/85/53/42/1005855342.db2.gz CGJXNXOZODLDDS-UHFFFAOYSA-N 0 2 315.380 0.889 20 0 DCADLN O=C(NN1CCCCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417703117 1005871611 /nfs/dbraw/zinc/87/16/11/1005871611.db2.gz AMODNZVBYRNAGP-UHFFFAOYSA-N 0 2 303.322 0.397 20 0 DCADLN CN1Cc2c(cccc2NC(=O)CC2SC(=N)NC2=O)C1=O ZINC001417977603 1005945843 /nfs/dbraw/zinc/94/58/43/1005945843.db2.gz JJVHQPYTZRXRRF-JTQLQIEISA-N 0 2 318.358 0.767 20 0 DCADLN O=C(N[C@@H]1CCCOCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434788115 1005975327 /nfs/dbraw/zinc/97/53/27/1005975327.db2.gz IKVHBBRDBUBRMW-GHMZBOCLSA-N 0 2 309.370 0.968 20 0 DCADLN CS(=O)(=O)C1(C(=O)[O-])CC[NH+](CCc2cscn2)CC1 ZINC001574057456 1163631410 /nfs/dbraw/zinc/63/14/10/1163631410.db2.gz NEEGLMHKGYUVRJ-UHFFFAOYSA-N 0 2 318.420 0.649 20 0 DCADLN CCc1nc(C)c(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001438925630 1006231671 /nfs/dbraw/zinc/23/16/71/1006231671.db2.gz KCNGCSASZRHUSD-UHFFFAOYSA-N 0 2 322.369 0.573 20 0 DCADLN CCc1nc(C)c(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001438925630 1006231678 /nfs/dbraw/zinc/23/16/78/1006231678.db2.gz KCNGCSASZRHUSD-UHFFFAOYSA-N 0 2 322.369 0.573 20 0 DCADLN O=C(CCCn1c(=O)[n-][nH]c1=O)N[C@H]1CCC[N@@H+](CCF)C1 ZINC001436688334 1006258862 /nfs/dbraw/zinc/25/88/62/1006258862.db2.gz ZHWBLBUTLGQOBA-JTQLQIEISA-N 0 2 315.349 0.020 20 0 DCADLN O=C(CCCn1c(=O)[n-][nH]c1=O)N[C@H]1CCC[N@H+](CCF)C1 ZINC001436688334 1006258867 /nfs/dbraw/zinc/25/88/67/1006258867.db2.gz ZHWBLBUTLGQOBA-JTQLQIEISA-N 0 2 315.349 0.020 20 0 DCADLN COc1cc(C[NH+](C)C[C@@H](C)NC(=O)C[N@H+](C)C(C)C)on1 ZINC001439404593 1006824185 /nfs/dbraw/zinc/82/41/85/1006824185.db2.gz HQAYIXWCLGSAQA-GFCCVEGCSA-N 0 2 312.414 0.960 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001452638397 1006959894 /nfs/dbraw/zinc/95/98/94/1006959894.db2.gz TZWCBCGNQDIOCR-LLVKDONJSA-N 0 2 323.441 0.612 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n1C ZINC001452664467 1006976806 /nfs/dbraw/zinc/97/68/06/1006976806.db2.gz GTQBYARJFAFNGU-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n1C ZINC001452664467 1006976815 /nfs/dbraw/zinc/97/68/15/1006976815.db2.gz GTQBYARJFAFNGU-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cnccc1CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437456632 1006981051 /nfs/dbraw/zinc/98/10/51/1006981051.db2.gz JFVIEBXEASKRQA-UHFFFAOYSA-N 0 2 304.354 0.004 20 0 DCADLN Cc1cnccc1CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437456632 1006981059 /nfs/dbraw/zinc/98/10/59/1006981059.db2.gz JFVIEBXEASKRQA-UHFFFAOYSA-N 0 2 304.354 0.004 20 0 DCADLN CCC[NH+]1CCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC ZINC001437665984 1007233896 /nfs/dbraw/zinc/23/38/96/1007233896.db2.gz ZZEHTDFEUCRSKS-CABCVRRESA-N 0 2 324.469 0.578 20 0 DCADLN Cn1cnnc1CN1CC[C@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001439845303 1007244750 /nfs/dbraw/zinc/24/47/50/1007244750.db2.gz MQMFKNKFYQHQHT-ONGXEEELSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CC[C@](C)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001439845303 1007244760 /nfs/dbraw/zinc/24/47/60/1007244760.db2.gz MQMFKNKFYQHQHT-ONGXEEELSA-N 0 2 323.294 0.796 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ncccn1 ZINC001453415852 1007462405 /nfs/dbraw/zinc/46/24/05/1007462405.db2.gz NBTMIAZIQOZJNT-ZETCQYMHSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1ncccn1 ZINC001453415852 1007462413 /nfs/dbraw/zinc/46/24/13/1007462413.db2.gz NBTMIAZIQOZJNT-ZETCQYMHSA-N 0 2 320.246 0.756 20 0 DCADLN COCCC(=O)NC[C@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001437865608 1007471213 /nfs/dbraw/zinc/47/12/13/1007471213.db2.gz MEHZHTDBYLYWTN-LBPRGKRZSA-N 0 2 319.365 0.494 20 0 DCADLN CC(F)(F)C(C)(C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438200455 1007698974 /nfs/dbraw/zinc/69/89/74/1007698974.db2.gz RVZAILUXAAQNBD-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2nnn(C)n2)C1 ZINC001438242671 1007737674 /nfs/dbraw/zinc/73/76/74/1007737674.db2.gz GZFPYJWUAKFRBO-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(Cc2nnn(C)n2)C1 ZINC001438242671 1007737679 /nfs/dbraw/zinc/73/76/79/1007737679.db2.gz GZFPYJWUAKFRBO-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)N=c2cc[nH]cc2)oc1Cl ZINC001440704485 1007965867 /nfs/dbraw/zinc/96/58/67/1007965867.db2.gz OFCDKTDSMRVDDW-UHFFFAOYSA-N 0 2 301.711 0.650 20 0 DCADLN C[C@H](CNC(=O)c1cnoc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440979730 1008155256 /nfs/dbraw/zinc/15/52/56/1008155256.db2.gz CKKHTPDVKKXUBE-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](CNC(=O)c1cnoc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440979730 1008155262 /nfs/dbraw/zinc/15/52/62/1008155262.db2.gz CKKHTPDVKKXUBE-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN O=C(CCc1cccnc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441105815 1008221722 /nfs/dbraw/zinc/22/17/22/1008221722.db2.gz LIYFSMGOSFKNQZ-GFCCVEGCSA-N 0 2 316.365 0.229 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001433226180 1008619055 /nfs/dbraw/zinc/61/90/55/1008619055.db2.gz NBLNAYRRSJOIAN-RKDXNWHRSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CCCC1)[C@@H](F)C(F)(F)F ZINC001433226180 1008619062 /nfs/dbraw/zinc/61/90/62/1008619062.db2.gz NBLNAYRRSJOIAN-RKDXNWHRSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CCC(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001421697871 1008952229 /nfs/dbraw/zinc/95/22/29/1008952229.db2.gz FAUKBCPIRCICMM-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC001454336264 1009082338 /nfs/dbraw/zinc/08/23/38/1009082338.db2.gz WPMILZPXUMFRHM-IWSPIJDZSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC001454336264 1009082355 /nfs/dbraw/zinc/08/23/55/1009082355.db2.gz WPMILZPXUMFRHM-IWSPIJDZSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1nc[nH]n1)C(F)C(F)(F)F ZINC001433742598 1009138795 /nfs/dbraw/zinc/13/87/95/1009138795.db2.gz YOBJMJKWSCCEEC-WDSKDSINSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1nc[nH]n1)[C@H](F)C(F)(F)F ZINC001433742598 1009138801 /nfs/dbraw/zinc/13/88/01/1009138801.db2.gz YOBJMJKWSCCEEC-WDSKDSINSA-N 0 2 309.223 0.036 20 0 DCADLN C[N@H+](CCNC(=O)c1cc(C2CC2)on1)Cc1n[nH]c(=O)[n-]1 ZINC001442478636 1009388466 /nfs/dbraw/zinc/38/84/66/1009388466.db2.gz IXGDUDIZPZGLRW-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc(C2CC2)on1)Cc1n[nH]c(=O)[n-]1 ZINC001442478636 1009388480 /nfs/dbraw/zinc/38/84/80/1009388480.db2.gz IXGDUDIZPZGLRW-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN CC[N@H+]1CCCC[C@@H]1C(=O)NCC[NH+](C)[C@@H](C)C(=O)NC1CC1 ZINC001442620533 1009528958 /nfs/dbraw/zinc/52/89/58/1009528958.db2.gz OLJVULBCJDPNSV-DZGCQCFKSA-N 0 2 324.469 0.576 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1cccs1)Cc1n[nH]c(=O)[n-]1 ZINC001442628999 1009543146 /nfs/dbraw/zinc/54/31/46/1009543146.db2.gz ADMRWBXWPUZMRH-UHFFFAOYSA-N 0 2 309.395 0.753 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1cccs1)Cc1n[nH]c(=O)[n-]1 ZINC001442628999 1009543167 /nfs/dbraw/zinc/54/31/67/1009543167.db2.gz ADMRWBXWPUZMRH-UHFFFAOYSA-N 0 2 309.395 0.753 20 0 DCADLN CN1C(=O)CN=C1NC(=O)c1ncc(Br)cc1O ZINC001434228727 1009680916 /nfs/dbraw/zinc/68/09/16/1009680916.db2.gz QKTNXTQSNCYXOO-UHFFFAOYSA-N 0 2 313.111 0.108 20 0 DCADLN Cc1cccc(CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001434385735 1009878948 /nfs/dbraw/zinc/87/89/48/1009878948.db2.gz SIWUPTZVYKVXLK-UHFFFAOYSA-N 0 2 322.390 0.517 20 0 DCADLN Cn1nccc1C[NH2+]Cc1cccnc1N1CC[NH+](C)CC1 ZINC001434501455 1010042215 /nfs/dbraw/zinc/04/22/15/1010042215.db2.gz SANPCSDPYDJWJE-UHFFFAOYSA-N 0 2 300.410 0.857 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1nncn1C ZINC001422507791 1010206687 /nfs/dbraw/zinc/20/66/87/1010206687.db2.gz LETHIAAFXUSBSW-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nncn1C ZINC001422507791 1010206673 /nfs/dbraw/zinc/20/66/73/1010206673.db2.gz LETHIAAFXUSBSW-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN CC(F)(F)C1(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001443614769 1010538537 /nfs/dbraw/zinc/53/85/37/1010538537.db2.gz NKOUEEJZCGWQEB-UHFFFAOYSA-N 0 2 315.324 0.494 20 0 DCADLN CC1(C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001444212041 1010906996 /nfs/dbraw/zinc/90/69/96/1010906996.db2.gz HTVZEFHBCCFKAA-BQBZGAKWSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001444212041 1010907000 /nfs/dbraw/zinc/90/70/00/1010907000.db2.gz HTVZEFHBCCFKAA-BQBZGAKWSA-N 0 2 300.252 0.280 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H](CO)NCc1nnsc1Cl ZINC001423282353 1011045245 /nfs/dbraw/zinc/04/52/45/1011045245.db2.gz KVEAJNPCVAZNDE-ZETCQYMHSA-N 0 2 306.819 0.804 20 0 DCADLN CC[C@@](C)(O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001444827620 1011182162 /nfs/dbraw/zinc/18/21/62/1011182162.db2.gz BAULQRMBNJFRLC-CQSZACIVSA-N 0 2 306.322 0.157 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1nncn1C ZINC001444986135 1011285976 /nfs/dbraw/zinc/28/59/76/1011285976.db2.gz JUNSKEFZAOBIRR-VIFPVBQESA-N 0 2 311.283 0.606 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)Cc1nncn1C ZINC001444986135 1011285989 /nfs/dbraw/zinc/28/59/89/1011285989.db2.gz JUNSKEFZAOBIRR-VIFPVBQESA-N 0 2 311.283 0.606 20 0 DCADLN CCOCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001456505519 1011310999 /nfs/dbraw/zinc/31/09/99/1011310999.db2.gz GPIJQSHCWFWPNW-SECBINFHSA-N 0 2 300.252 0.498 20 0 DCADLN CCOCC(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001456505519 1011311006 /nfs/dbraw/zinc/31/10/06/1011311006.db2.gz GPIJQSHCWFWPNW-SECBINFHSA-N 0 2 300.252 0.498 20 0 DCADLN C[C@@H](CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001445069026 1011376078 /nfs/dbraw/zinc/37/60/78/1011376078.db2.gz BJEASSWEXSPZHH-LBPRGKRZSA-N 0 2 320.397 0.853 20 0 DCADLN C[C@@H](CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001445069026 1011376087 /nfs/dbraw/zinc/37/60/87/1011376087.db2.gz BJEASSWEXSPZHH-LBPRGKRZSA-N 0 2 320.397 0.853 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCCN1C(=O)c1cnon1 ZINC001431759206 1011621817 /nfs/dbraw/zinc/62/18/17/1011621817.db2.gz VBKBPJVTRFFXPZ-JTQLQIEISA-N 0 2 318.337 0.065 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cnn(C)c1 ZINC001431772868 1011633917 /nfs/dbraw/zinc/63/39/17/1011633917.db2.gz XRJBIHZUAQTMMR-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cnn(C)c1 ZINC001431772868 1011633924 /nfs/dbraw/zinc/63/39/24/1011633924.db2.gz XRJBIHZUAQTMMR-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN CC1(C)OC(=O)c2ccccc2[C@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001445411779 1011745503 /nfs/dbraw/zinc/74/55/03/1011745503.db2.gz HBVGASRWSAKFQH-NSHDSACASA-N 0 2 316.317 0.859 20 0 DCADLN COCCC(=O)NC[C@@H](C)N(C)C(=O)C(F)C(F)(F)F ZINC001424028337 1011880049 /nfs/dbraw/zinc/88/00/49/1011880049.db2.gz UXWRWAKWEVPWNR-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)NC[C@@H](C)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001424028337 1011880064 /nfs/dbraw/zinc/88/00/64/1011880064.db2.gz UXWRWAKWEVPWNR-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@H](C)CONC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001556803721 1012230750 /nfs/dbraw/zinc/23/07/50/1012230750.db2.gz IXMVOVDLLVTWDL-VIFPVBQESA-N 0 2 306.322 0.974 20 0 DCADLN CN(Cc1nc(=O)o[n-]1)C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC001557205940 1012268672 /nfs/dbraw/zinc/26/86/72/1012268672.db2.gz LPEPJCLUPOWQGL-UHFFFAOYSA-N 0 2 313.317 0.880 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001424276487 1012283635 /nfs/dbraw/zinc/28/36/35/1012283635.db2.gz JCYOGICKAABJRY-HTQZYQBOSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001424276487 1012283660 /nfs/dbraw/zinc/28/36/60/1012283660.db2.gz JCYOGICKAABJRY-HTQZYQBOSA-N 0 2 322.262 0.954 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)cn1 ZINC001458735015 1012332684 /nfs/dbraw/zinc/33/26/84/1012332684.db2.gz YQTWDCAADVHURE-DTWKUNHWSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001458735015 1012332702 /nfs/dbraw/zinc/33/27/02/1012332702.db2.gz YQTWDCAADVHURE-DTWKUNHWSA-N 0 2 322.262 0.651 20 0 DCADLN CCO[C@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)C1CCOCC1 ZINC001558940972 1012394855 /nfs/dbraw/zinc/39/48/55/1012394855.db2.gz QGSRQVMLHUUMDN-LBPRGKRZSA-N 0 2 312.370 0.391 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001424524247 1012613814 /nfs/dbraw/zinc/61/38/14/1012613814.db2.gz XZPCBMCXANABKU-YLWLKBPMSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001424524247 1012613821 /nfs/dbraw/zinc/61/38/21/1012613821.db2.gz XZPCBMCXANABKU-YLWLKBPMSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@@H](C(=O)N(C)C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001446362262 1012775482 /nfs/dbraw/zinc/77/54/82/1012775482.db2.gz NGFIEVYVDLMTNJ-CBAPKCEASA-N 0 2 313.295 0.506 20 0 DCADLN C[C@@H](C(=O)N(C)C)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001446362262 1012775495 /nfs/dbraw/zinc/77/54/95/1012775495.db2.gz NGFIEVYVDLMTNJ-CBAPKCEASA-N 0 2 313.295 0.506 20 0 DCADLN CCN(C)C(=O)CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001446359647 1012776463 /nfs/dbraw/zinc/77/64/63/1012776463.db2.gz DMRFBBODBCPXEX-SNVBAGLBSA-N 0 2 313.295 0.508 20 0 DCADLN CCN(C)C(=O)CN1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001446359647 1012776470 /nfs/dbraw/zinc/77/64/70/1012776470.db2.gz DMRFBBODBCPXEX-SNVBAGLBSA-N 0 2 313.295 0.508 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001432715687 1012799528 /nfs/dbraw/zinc/79/95/28/1012799528.db2.gz QCFFKWLLHAEDOD-RQJHMYQMSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001432715687 1012799531 /nfs/dbraw/zinc/79/95/31/1012799531.db2.gz QCFFKWLLHAEDOD-RQJHMYQMSA-N 0 2 310.251 0.935 20 0 DCADLN CCOCC(=O)NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001429935599 1012968821 /nfs/dbraw/zinc/96/88/21/1012968821.db2.gz WDRQFTWDAPUSDC-WPRPVWTQSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001429935599 1012968834 /nfs/dbraw/zinc/96/88/34/1012968834.db2.gz WDRQFTWDAPUSDC-WPRPVWTQSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(NCCN(C(=O)C(F)C(F)(F)F)C1CC1)c1cnon1 ZINC001429947961 1012983030 /nfs/dbraw/zinc/98/30/30/1012983030.db2.gz LONYOKYXHKQMGB-MRVPVSSYSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NCCN(C(=O)[C@@H](F)C(F)(F)F)C1CC1)c1cnon1 ZINC001429947961 1012983049 /nfs/dbraw/zinc/98/30/49/1012983049.db2.gz LONYOKYXHKQMGB-MRVPVSSYSA-N 0 2 324.234 0.691 20 0 DCADLN Cc1cc(C2CCN(C(=O)C[C@@H]3SC(=N)NC3=O)CC2)[nH]n1 ZINC001446854414 1013028304 /nfs/dbraw/zinc/02/83/04/1013028304.db2.gz GZQCOLGKLXRUAX-NSHDSACASA-N 0 2 321.406 0.981 20 0 DCADLN COC(=O)/C=C\c1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1 ZINC001475644433 1017129475 /nfs/dbraw/zinc/12/94/75/1017129475.db2.gz KPBJTZGZMIYMAS-YVMONPNESA-N 0 2 323.334 0.197 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cnn(C3CCC3)c2)n1 ZINC001475704716 1017141110 /nfs/dbraw/zinc/14/11/10/1017141110.db2.gz GEDMXAYNBTVUOW-UHFFFAOYSA-N 0 2 311.371 0.976 20 0 DCADLN CCCC[C@H](C[NH2+]Cc1cn(C)nn1)NC(=O)c1nnc[nH]1 ZINC001506550257 1017356740 /nfs/dbraw/zinc/35/67/40/1017356740.db2.gz XZFVKUVYMNJEQX-SNVBAGLBSA-N 0 2 306.374 0.012 20 0 DCADLN CN(C(=O)CCc1nc[nH]n1)C1C[NH+](CC[C@@H]2CCCCO2)C1 ZINC001499649726 1018019660 /nfs/dbraw/zinc/01/96/60/1018019660.db2.gz LXMKIIBXPDQRMR-AWEZNQCLSA-N 0 2 321.425 0.839 20 0 DCADLN CN(C(=O)CCc1cn[nH]n1)C1C[NH+](CCC[C@H]2CCOC2)C1 ZINC001499748111 1018061567 /nfs/dbraw/zinc/06/15/67/1018061567.db2.gz GXBPFEAPNJQCMW-ZDUSSCGKSA-N 0 2 321.425 0.697 20 0 DCADLN CN(C(=O)CCc1c[nH]nn1)C1C[NH+](CCC[C@H]2CCOC2)C1 ZINC001499748111 1018061581 /nfs/dbraw/zinc/06/15/81/1018061581.db2.gz GXBPFEAPNJQCMW-ZDUSSCGKSA-N 0 2 321.425 0.697 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001495560378 1018576003 /nfs/dbraw/zinc/57/60/03/1018576003.db2.gz UYUFEIABUNFHIP-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001495560378 1018576009 /nfs/dbraw/zinc/57/60/09/1018576009.db2.gz UYUFEIABUNFHIP-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN CC(C)[C@@H](C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495871016 1018791662 /nfs/dbraw/zinc/79/16/62/1018791662.db2.gz QUKXYFWZVHPYKS-MNOVXSKESA-N 0 2 311.386 0.119 20 0 DCADLN COc1cc(C[N@H+](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001498258843 1018847056 /nfs/dbraw/zinc/84/70/56/1018847056.db2.gz KVZPLUQNNFDKKJ-QMMMGPOBSA-N 0 2 323.353 0.671 20 0 DCADLN COc1cc(C[N@@H+](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001498258843 1018847076 /nfs/dbraw/zinc/84/70/76/1018847076.db2.gz KVZPLUQNNFDKKJ-QMMMGPOBSA-N 0 2 323.353 0.671 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)CCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001501227477 1018969197 /nfs/dbraw/zinc/96/91/97/1018969197.db2.gz XMCXHCDPCKJWSQ-UHFFFAOYSA-N 0 2 304.354 0.214 20 0 DCADLN C[C@H](C[N@H+](C)CCc1cnn(C)c1)NC(=O)c1[nH]ncc1F ZINC001498374689 1019023384 /nfs/dbraw/zinc/02/33/84/1019023384.db2.gz ASOXCHDXKLZXTI-SNVBAGLBSA-N 0 2 308.361 0.575 20 0 DCADLN CCc1nc(C)c(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)o1 ZINC001493040723 1019035873 /nfs/dbraw/zinc/03/58/73/1019035873.db2.gz BEJGLJRWWOUEFN-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN CCc1nc(C)c(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)o1 ZINC001493040723 1019035887 /nfs/dbraw/zinc/03/58/87/1019035887.db2.gz BEJGLJRWWOUEFN-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CCC[C@@H]1CNC(=O)Cn1cc[nH+]c1C ZINC001493162300 1019157982 /nfs/dbraw/zinc/15/79/82/1019157982.db2.gz YOWHVFUZTMFHDH-OLZOCXBDSA-N 0 2 307.398 0.036 20 0 DCADLN COC[C@H](C)CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001493929940 1019822506 /nfs/dbraw/zinc/82/25/06/1019822506.db2.gz DVJAVPQBWDKXSA-UTUOFQBUSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@H](CC(=O)[O-])NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC001607418555 1170447405 /nfs/dbraw/zinc/44/74/05/1170447405.db2.gz VTROKAYCYKQLGF-JTQLQIEISA-N 0 2 312.391 0.816 20 0 DCADLN C[C@@H](CC(=O)[O-])NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC001607418555 1170447407 /nfs/dbraw/zinc/44/74/07/1170447407.db2.gz VTROKAYCYKQLGF-JTQLQIEISA-N 0 2 312.391 0.816 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000092618653 185329572 /nfs/dbraw/zinc/32/95/72/185329572.db2.gz ZOCLYVRNHCGQDG-LLVKDONJSA-N 0 2 305.313 0.474 20 0 DCADLN Cc1cc(S(=O)(=O)NC(=O)[C@H]2CCN(C)C(=O)C2)c(C)o1 ZINC000181341147 237265809 /nfs/dbraw/zinc/26/58/09/237265809.db2.gz GGUAGCLKSZSPMS-JTQLQIEISA-N 0 2 314.363 0.570 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)N[C@H]1c2ccccc2C[C@@H]1O ZINC000281092492 216073511 /nfs/dbraw/zinc/07/35/11/216073511.db2.gz DHJUUHDAEPDBKR-QWRGUYRKSA-N 0 2 309.347 0.502 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC[C@H](C(N)=O)C2)c1 ZINC000157070308 291226982 /nfs/dbraw/zinc/22/69/82/291226982.db2.gz AUEWBICDASOTBZ-KPWVOAKYSA-N 0 2 324.402 0.527 20 0 DCADLN COc1ccc(S(O)=CC(=O)N2CCC[C@@H](C(N)=O)C2)cc1 ZINC000157032528 291226991 /nfs/dbraw/zinc/22/69/91/291226991.db2.gz ZAFACNOOOHDOEG-RKFFSXRUSA-N 0 2 324.402 0.527 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)cn1 ZINC000566222768 291284095 /nfs/dbraw/zinc/28/40/95/291284095.db2.gz KDDHUBPMNQLVKL-UHFFFAOYSA-N 0 2 301.306 0.918 20 0 DCADLN O=C(NC[C@@]1(O)C[NH+]2CCC1CC2)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000566456253 291303722 /nfs/dbraw/zinc/30/37/22/291303722.db2.gz TTXFIUMNELEQPF-BLLLJJGKSA-N 0 2 304.394 0.403 20 0 DCADLN COC(=O)C1(C)CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000425162173 240291657 /nfs/dbraw/zinc/29/16/57/240291657.db2.gz MUZZXXQYLHOSRQ-UHFFFAOYSA-N 0 2 304.306 0.309 20 0 DCADLN C[C@@H](Cn1cncn1)Nc1cc(C(C)(C)C)[nH+]c(C(=O)[O-])n1 ZINC000567170167 291341131 /nfs/dbraw/zinc/34/11/31/291341131.db2.gz QYVWLLMCKONPNK-VIFPVBQESA-N 0 2 304.354 0.986 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)c1cn(C)c(C)n1 ZINC000493869262 241171855 /nfs/dbraw/zinc/17/18/55/241171855.db2.gz ROUMKSVFDFOBPY-WAYWQWQTSA-N 0 2 323.378 0.463 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@H](O)C[NH2+][C@@H](CO)CC(F)(F)F)C[C@H](C)O1 ZINC000567872972 291386290 /nfs/dbraw/zinc/38/62/90/291386290.db2.gz KZCFORUXJDZXFI-WRWGMCAJSA-N 0 2 314.348 0.359 20 0 DCADLN CCN1C[C@@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC000568366429 291423859 /nfs/dbraw/zinc/42/38/59/291423859.db2.gz BNWUBFMROONAHX-ZJUUUORDSA-N 0 2 307.354 0.085 20 0 DCADLN O=C([C@H]1C[C@H]2CC(=O)[C@@H]1C2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000569929999 291503432 /nfs/dbraw/zinc/50/34/32/291503432.db2.gz HCEKDWXXFHODSZ-MMWGEVLESA-N 0 2 304.350 0.832 20 0 DCADLN O=C(c1[nH]nc2ccccc21)N1CCC[C@H](c2nc(=O)[nH][nH]2)C1 ZINC000282799393 217259382 /nfs/dbraw/zinc/25/93/82/217259382.db2.gz ACXRWMYEGCYPDB-VIFPVBQESA-N 0 2 312.333 0.994 20 0 DCADLN CSc1nc(=O)n(C/C=C\Cn2[nH]c(SC)nc2=O)[nH]1 ZINC000573743587 291652718 /nfs/dbraw/zinc/65/27/18/291652718.db2.gz OSYGMSOFCJQVDJ-ARJAWSKDSA-N 0 2 314.396 0.156 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CCOC2=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000291501508 291779913 /nfs/dbraw/zinc/77/99/13/291779913.db2.gz VBVMNEIGAGWMES-CQSZACIVSA-N 0 2 318.289 0.180 20 0 DCADLN CC(=O)N1CC[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000176356251 252602535 /nfs/dbraw/zinc/60/25/35/252602535.db2.gz RUMDWKKAGHSWJF-LLVKDONJSA-N 0 2 316.317 0.837 20 0 DCADLN CC(=O)Nc1ccncc1NS(=O)(=O)c1ccc(C)nc1 ZINC000337776095 252892714 /nfs/dbraw/zinc/89/27/14/252892714.db2.gz QCWBRKXEALSBEL-UHFFFAOYSA-N 0 2 306.347 0.966 20 0 DCADLN O=c1nc2[nH]cc(-c3nc([C@@H]4CCOC4)no3)cc-2c(=O)[nH]1 ZINC000341434609 253379701 /nfs/dbraw/zinc/37/97/01/253379701.db2.gz KGSOSRARSPNCFT-ZCFIWIBFSA-N 0 2 301.262 0.990 20 0 DCADLN CS(=O)(=O)Nc1ccc(Cl)cc1C(=O)Nc1nn[nH]n1 ZINC000346380591 254044680 /nfs/dbraw/zinc/04/46/80/254044680.db2.gz RVLNYKJFWURMQJ-UHFFFAOYSA-N 0 2 316.730 0.477 20 0 DCADLN COc1cccc2c1n[nH]c2C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000348632854 254247649 /nfs/dbraw/zinc/24/76/49/254247649.db2.gz IPHVDPUESBSWFT-UHFFFAOYSA-N 0 2 316.321 0.758 20 0 DCADLN CN(CC1CCOCC1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000348857635 254261847 /nfs/dbraw/zinc/26/18/47/254261847.db2.gz CIPCFWIJUKSARS-UHFFFAOYSA-N 0 2 318.333 0.935 20 0 DCADLN Cc1cc(=NC(=O)N=c2cc(OC(F)F)n(C)[nH]2)[nH]nc1C ZINC000349436477 254282144 /nfs/dbraw/zinc/28/21/44/254282144.db2.gz QRLALJCHJKKWBB-UHFFFAOYSA-N 0 2 312.280 0.916 20 0 DCADLN CC(C)C[C@@H]1C[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)CC(=O)N1 ZINC000350864637 254327612 /nfs/dbraw/zinc/32/76/12/254327612.db2.gz IXNKLRAHHPCVGB-WDEREUQCSA-N 0 2 323.397 0.500 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1ccc2c(c1)C(=O)NC2=O ZINC000350300811 254315494 /nfs/dbraw/zinc/31/54/94/254315494.db2.gz LBVWRUJGIMVGRI-UHFFFAOYSA-N 0 2 307.287 0.667 20 0 DCADLN COC(=O)C[C@@H]1CSCC[N@@H+]1C[C@@H](O)C[NH+](C)C1CC1 ZINC000351523064 254343241 /nfs/dbraw/zinc/34/32/41/254343241.db2.gz MZPZODOOTKFDMM-OLZOCXBDSA-N 0 2 302.440 0.422 20 0 DCADLN COCc1ccccc1S(=O)(=O)Nc1cnn(CC(N)=O)c1 ZINC000351826182 254372418 /nfs/dbraw/zinc/37/24/18/254372418.db2.gz FBVCJRKCKRWJKG-UHFFFAOYSA-N 0 2 324.362 0.316 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@@H]1CCCC(=O)N1 ZINC000289011146 220614778 /nfs/dbraw/zinc/61/47/78/220614778.db2.gz JCYCVWAXWMDRGR-JTQLQIEISA-N 0 2 301.306 0.372 20 0 DCADLN CCN1CCN(S(=O)(=O)NCC(F)(F)F)C(C)(C)C1=O ZINC000195462468 285325737 /nfs/dbraw/zinc/32/57/37/285325737.db2.gz BDSZNWNPKPICSM-UHFFFAOYSA-N 0 2 317.333 0.326 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc(OC2COC2)cc1 ZINC000275434390 130319070 /nfs/dbraw/zinc/31/90/70/130319070.db2.gz LMBBQKYLSQEEPF-UHFFFAOYSA-N 0 2 322.346 0.606 20 0 DCADLN CNC(=O)c1ccc(OC)c(NS(=O)(=O)C[C@H](C)OC)c1 ZINC000282183355 130905583 /nfs/dbraw/zinc/90/55/83/130905583.db2.gz JPQIRDVNDNLWEQ-VIFPVBQESA-N 0 2 316.379 0.831 20 0 DCADLN C[N@@H+](CCNC(=O)C(=O)NCCCNc1cccc[nH+]1)C1CC1 ZINC000285039755 131133505 /nfs/dbraw/zinc/13/35/05/131133505.db2.gz IAOGAHRFXBQZAN-UHFFFAOYSA-N 0 2 319.409 0.210 20 0 DCADLN O=C([O-])NCC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000285530014 131177248 /nfs/dbraw/zinc/17/72/48/131177248.db2.gz CLSIEHSKFBXELC-CQSZACIVSA-N 0 2 321.377 0.314 20 0 DCADLN CN1CC[NH+](Cc2cccc(NC(=O)CNC(=O)[O-])c2)CC1 ZINC000285609475 131186288 /nfs/dbraw/zinc/18/62/88/131186288.db2.gz JBQXXMGHMLSENA-UHFFFAOYSA-N 0 2 306.366 0.640 20 0 DCADLN C[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H](C(N)=O)O1 ZINC000122284286 132162810 /nfs/dbraw/zinc/16/28/10/132162810.db2.gz QSFAHIJZEFZWKH-APPZFPTMSA-N 0 2 308.363 0.059 20 0 DCADLN Cc1ccccc1CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000091552602 395726072 /nfs/dbraw/zinc/72/60/72/395726072.db2.gz QOEKKKWNGWDOSW-UHFFFAOYSA-N 0 2 315.377 0.293 20 0 DCADLN O=C(Nc1ccc(F)cc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000091617770 395726689 /nfs/dbraw/zinc/72/66/89/395726689.db2.gz UYTMFYNRMUWXFV-LLVKDONJSA-N 0 2 320.328 0.633 20 0 DCADLN Cn1nnnc1-c1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000040826031 395735132 /nfs/dbraw/zinc/73/51/32/395735132.db2.gz OIWTWSBNDKQMOO-UHFFFAOYSA-N 0 2 305.323 0.401 20 0 DCADLN Cc1cc(C(=O)NCCOC(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000273304471 395913023 /nfs/dbraw/zinc/91/30/23/395913023.db2.gz NTQLEEUKPFNKER-UHFFFAOYSA-N 0 2 306.322 0.899 20 0 DCADLN COc1ccccc1S(=O)(=O)Nc1cnn(CC(N)=O)c1 ZINC000055597368 395920286 /nfs/dbraw/zinc/92/02/86/395920286.db2.gz GOKNTTSRWCQONF-UHFFFAOYSA-N 0 2 310.335 0.178 20 0 DCADLN COC(=O)Cc1csc(NS(=O)(=O)c2ccnn2C)n1 ZINC000134275868 395931506 /nfs/dbraw/zinc/93/15/06/395931506.db2.gz PZSFZWSKIUOLOH-UHFFFAOYSA-N 0 2 316.364 0.393 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)no1 ZINC000272240374 395879029 /nfs/dbraw/zinc/87/90/29/395879029.db2.gz GVFGYTQDTVJOCM-QMMMGPOBSA-N 0 2 313.339 0.591 20 0 DCADLN Cc1nnc(NNC(=O)[C@H](C)NC(=O)OC(C)(C)C)[nH]c1=O ZINC000063465652 395977762 /nfs/dbraw/zinc/97/77/62/395977762.db2.gz HYHPEYJJDGYNHD-LURJTMIESA-N 0 2 312.330 0.242 20 0 DCADLN CC(=O)Nc1ccc(S(=O)(=O)Nc2cnn(CCO)c2)cc1 ZINC000067659858 396030027 /nfs/dbraw/zinc/03/00/27/396030027.db2.gz XQBYODKLQRYOQX-UHFFFAOYSA-N 0 2 324.362 0.635 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCCOC(C)C ZINC000170916341 396101018 /nfs/dbraw/zinc/10/10/18/396101018.db2.gz CVMMEANVNOKMQN-UHFFFAOYSA-N 0 2 306.366 0.805 20 0 DCADLN O=C(C[N@H+]1CCC[C@@H]1C(=O)[O-])NCc1ccc2c(c1)OCO2 ZINC000262169873 396106408 /nfs/dbraw/zinc/10/64/08/396106408.db2.gz UWLAXQNRQNQGNQ-LLVKDONJSA-N 0 2 306.318 0.581 20 0 DCADLN O=C(C[N@@H+]1CCC[C@@H]1C(=O)[O-])NCc1ccc2c(c1)OCO2 ZINC000262169873 396106410 /nfs/dbraw/zinc/10/64/10/396106410.db2.gz UWLAXQNRQNQGNQ-LLVKDONJSA-N 0 2 306.318 0.581 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccccc1S(C)(=O)=O ZINC000171153136 396109273 /nfs/dbraw/zinc/10/92/73/396109273.db2.gz OOWRQXPAOCSUSY-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN COc1ccc(OC)c(C[N@H+](CC(=O)NCC(=O)[O-])C2CC2)c1 ZINC000262225178 396112989 /nfs/dbraw/zinc/11/29/89/396112989.db2.gz MBSGKZDYACFRHU-UHFFFAOYSA-N 0 2 322.361 0.869 20 0 DCADLN COc1ccc(OC)c(C[N@@H+](CC(=O)NCC(=O)[O-])C2CC2)c1 ZINC000262225178 396112991 /nfs/dbraw/zinc/11/29/91/396112991.db2.gz MBSGKZDYACFRHU-UHFFFAOYSA-N 0 2 322.361 0.869 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])CN(c2cc(N3CCOCC3)nc[nH+]2)C1 ZINC000263249950 396209422 /nfs/dbraw/zinc/20/94/22/396209422.db2.gz SRKGGGNDOXZAPJ-VXGBXAGGSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])CN(c2cc(N3CCOCC3)[nH+]cn2)C1 ZINC000263249950 396209424 /nfs/dbraw/zinc/20/94/24/396209424.db2.gz SRKGGGNDOXZAPJ-VXGBXAGGSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)NCCOc1ccc2c(c1)OCO2 ZINC000262650899 396168542 /nfs/dbraw/zinc/16/85/42/396168542.db2.gz LJCPKONWKUIBLE-SNVBAGLBSA-N 0 2 324.333 0.315 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NCCOc1ccc2c(c1)OCO2 ZINC000262650899 396168544 /nfs/dbraw/zinc/16/85/44/396168544.db2.gz LJCPKONWKUIBLE-SNVBAGLBSA-N 0 2 324.333 0.315 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N(CCC(N)=O)Cc1ccccc1 ZINC000262677423 396171702 /nfs/dbraw/zinc/17/17/02/396171702.db2.gz URSPEADYBAEGRT-LBPRGKRZSA-N 0 2 321.377 0.296 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N(CCC(N)=O)Cc1ccccc1 ZINC000262677423 396171704 /nfs/dbraw/zinc/17/17/04/396171704.db2.gz URSPEADYBAEGRT-LBPRGKRZSA-N 0 2 321.377 0.296 20 0 DCADLN CCS(=O)(=O)N1CCC[C@@H](C(=O)Nc2nc(C)n[nH]2)C1 ZINC000264195362 396261396 /nfs/dbraw/zinc/26/13/96/396261396.db2.gz PGZVIVGWEJIMQH-SECBINFHSA-N 0 2 301.372 0.113 20 0 DCADLN CNC(=O)c1ccc(OC)c(NS(=O)(=O)C[C@@H](C)OC)c1 ZINC000282183353 396269546 /nfs/dbraw/zinc/26/95/46/396269546.db2.gz JPQIRDVNDNLWEQ-SECBINFHSA-N 0 2 316.379 0.831 20 0 DCADLN O=C(NCc1ccc(N2CCCCC2)[nH+]c1)c1n[nH]c(=O)[n-]1 ZINC000080353656 396278439 /nfs/dbraw/zinc/27/84/39/396278439.db2.gz NOMOOXABWHNRJL-UHFFFAOYSA-N 0 2 302.338 0.413 20 0 DCADLN CC(C)Nc1nc(N2CCC(C(=O)[O-])CC2)nc(NCCO)[nH+]1 ZINC000263522412 396220060 /nfs/dbraw/zinc/22/00/60/396220060.db2.gz RRTPVCOLMOEYRO-UHFFFAOYSA-N 0 2 324.385 0.397 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2CCC(C(=O)[O-])CC2)n1 ZINC000263522412 396220064 /nfs/dbraw/zinc/22/00/64/396220064.db2.gz RRTPVCOLMOEYRO-UHFFFAOYSA-N 0 2 324.385 0.397 20 0 DCADLN CC(C)Nc1nc(NCCO)nc(N2CCC(C(=O)[O-])CC2)[nH+]1 ZINC000263522412 396220066 /nfs/dbraw/zinc/22/00/66/396220066.db2.gz RRTPVCOLMOEYRO-UHFFFAOYSA-N 0 2 324.385 0.397 20 0 DCADLN CCNc1nc(NC(C)(C)C)nc(NC[C@](C)(O)C(=O)[O-])[nH+]1 ZINC000263560239 396220850 /nfs/dbraw/zinc/22/08/50/396220850.db2.gz QYUDBXFRQGGTJB-ZDUSSCGKSA-N 0 2 312.374 0.761 20 0 DCADLN CCNc1nc(NC[C@](C)(O)C(=O)[O-])nc(NC(C)(C)C)[nH+]1 ZINC000263560239 396220852 /nfs/dbraw/zinc/22/08/52/396220852.db2.gz QYUDBXFRQGGTJB-ZDUSSCGKSA-N 0 2 312.374 0.761 20 0 DCADLN CCNc1nc(NC[C@](C)(O)C(=O)[O-])[nH+]c(NC(C)(C)C)n1 ZINC000263560239 396220854 /nfs/dbraw/zinc/22/08/54/396220854.db2.gz QYUDBXFRQGGTJB-ZDUSSCGKSA-N 0 2 312.374 0.761 20 0 DCADLN CCC[C@@H](NC(=O)C[NH+]1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC000185018665 396314459 /nfs/dbraw/zinc/31/44/59/396314459.db2.gz SNVJBMAMHVZICR-CYBMUJFWSA-N 0 2 320.393 0.573 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cc(F)cc2n[nH]nc21)c1nn[nH]n1 ZINC000282425769 396289509 /nfs/dbraw/zinc/28/95/09/396289509.db2.gz FBBHDVOYCCVYGA-LURJTMIESA-N 0 2 304.289 0.486 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cc(F)cc2nn[nH]c21)c1nn[nH]n1 ZINC000282425769 396289513 /nfs/dbraw/zinc/28/95/13/396289513.db2.gz FBBHDVOYCCVYGA-LURJTMIESA-N 0 2 304.289 0.486 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@H]1CC[S@](=O)C1)c2=O ZINC000081790645 396308816 /nfs/dbraw/zinc/30/88/16/396308816.db2.gz MUCWIBCRSIWYIC-JLTPVHFHSA-N 0 2 305.359 0.895 20 0 DCADLN COc1cc(CCNC(=O)CSc2n[nH]c(=O)[nH]2)ccc1C ZINC000185722248 396333857 /nfs/dbraw/zinc/33/38/57/396333857.db2.gz HNTMHGPOMCSUHC-UHFFFAOYSA-N 0 2 322.390 0.866 20 0 DCADLN CCN(CCO)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185888385 396336394 /nfs/dbraw/zinc/33/63/94/396336394.db2.gz ICGISVYUSRNIGO-UHFFFAOYSA-N 0 2 319.365 0.908 20 0 DCADLN CSCCCCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000186941684 396360379 /nfs/dbraw/zinc/36/03/79/396360379.db2.gz YNLVANDBNUHIOO-UHFFFAOYSA-N 0 2 322.390 0.875 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCOCc1ccccc1 ZINC000187608836 396371751 /nfs/dbraw/zinc/37/17/51/396371751.db2.gz SNKPHPMBGHUBSH-UHFFFAOYSA-N 0 2 308.363 0.523 20 0 DCADLN O=C(NCCC1(O)CCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000285739304 396352349 /nfs/dbraw/zinc/35/23/49/396352349.db2.gz WWOQYRWACXCWDJ-UHFFFAOYSA-N 0 2 304.306 0.471 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2ccc3[nH]nnc3c2)cn1 ZINC000269631505 396430802 /nfs/dbraw/zinc/43/08/02/396430802.db2.gz PGZGXQYFZZGTBC-UHFFFAOYSA-N 0 2 322.350 0.602 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2ccc3n[nH]nc3c2)cn1 ZINC000269631505 396430803 /nfs/dbraw/zinc/43/08/03/396430803.db2.gz PGZGXQYFZZGTBC-UHFFFAOYSA-N 0 2 322.350 0.602 20 0 DCADLN CCc1cc(NS(=O)(=O)c2cnn(CCOC)c2)n(C)n1 ZINC000269662564 396434365 /nfs/dbraw/zinc/43/43/65/396434365.db2.gz WVRRVLCNLHVCFX-UHFFFAOYSA-N 0 2 313.383 0.626 20 0 DCADLN COC[C@H](NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)C1CC1 ZINC000269663558 396434440 /nfs/dbraw/zinc/43/44/40/396434440.db2.gz REKSGTMOJOZYGO-JTQLQIEISA-N 0 2 318.333 0.899 20 0 DCADLN O=C(CNC(=O)c1cc(F)cc(F)c1)NOC[C@@H]1CCOC1 ZINC000187885313 396375858 /nfs/dbraw/zinc/37/58/58/396375858.db2.gz RJOQSOBJFFOJCO-SECBINFHSA-N 0 2 314.288 0.779 20 0 DCADLN COCC(=O)N(C)CC(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000270301927 396464328 /nfs/dbraw/zinc/46/43/28/396464328.db2.gz OMPDSBXGLDQRNC-UHFFFAOYSA-N 0 2 320.305 0.073 20 0 DCADLN CN(Cc1cn2c([nH+]1)CCCC2)C(=O)C(=O)NCCCC(=O)[O-] ZINC000580196623 396492775 /nfs/dbraw/zinc/49/27/75/396492775.db2.gz VEJIDIZMHMTSGL-UHFFFAOYSA-N 0 2 322.365 0.159 20 0 DCADLN C/C=C\C[C@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000519773698 396526689 /nfs/dbraw/zinc/52/66/89/396526689.db2.gz OEEZFCXPJOAAQW-DLGQBQFBSA-N 0 2 320.411 0.048 20 0 DCADLN C[C@H]([NH2+]C1CC1)C(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000581130910 396528855 /nfs/dbraw/zinc/52/88/55/396528855.db2.gz ZQTHQUBTAFFVRX-JSGCOSHPSA-N 0 2 303.410 0.650 20 0 DCADLN CN(C)S(=O)(=O)NCCNc1nc(C(C)(C)C)ns1 ZINC000520726618 396530655 /nfs/dbraw/zinc/53/06/55/396530655.db2.gz AEKYYJFIZDWDIL-UHFFFAOYSA-N 0 2 307.445 0.065 20 0 DCADLN CN(C)S(=O)(=O)NCCN=c1nc(C(C)(C)C)[nH]s1 ZINC000520726618 396530659 /nfs/dbraw/zinc/53/06/59/396530659.db2.gz AEKYYJFIZDWDIL-UHFFFAOYSA-N 0 2 307.445 0.065 20 0 DCADLN COc1ccccc1CN(OC)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000292248434 396539584 /nfs/dbraw/zinc/53/95/84/396539584.db2.gz OMNNJOUKVHFRQV-UHFFFAOYSA-N 0 2 324.362 0.789 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[N@@H+](CC(C)(C)O)C[C@@H]1C ZINC000581833103 396585368 /nfs/dbraw/zinc/58/53/68/396585368.db2.gz CKBSGINQMNVXBP-STQMWFEESA-N 0 2 308.426 0.892 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[N@H+](CC(C)(C)O)C[C@@H]1C ZINC000581833103 396585369 /nfs/dbraw/zinc/58/53/69/396585369.db2.gz CKBSGINQMNVXBP-STQMWFEESA-N 0 2 308.426 0.892 20 0 DCADLN Cc1nn(C)c2ncc(S(=O)(=O)Nc3nc(C)n(C)n3)cc12 ZINC000292588039 396557902 /nfs/dbraw/zinc/55/79/02/396557902.db2.gz VTCWZXYEZDYYAE-UHFFFAOYSA-N 0 2 321.366 0.514 20 0 DCADLN C[C@@H](Cc1cnn(C)c1)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000581886727 396590791 /nfs/dbraw/zinc/59/07/91/396590791.db2.gz QGNROMQTPAXINU-CMPLNLGQSA-N 0 2 318.381 0.829 20 0 DCADLN [NH3+][C@@H]1C[C@@H]2C[N@H+](Cc3ccc(-n4cc[nH+]c4)cc3)CCN2C1=O ZINC000563432138 396733476 /nfs/dbraw/zinc/73/34/76/396733476.db2.gz KPPJEJREPYBXBG-HZPDHXFCSA-N 0 2 311.389 0.616 20 0 DCADLN CN(Cc1c[nH]c[nH+]1)C(=O)C(=O)N1CCCC12CC[NH+](C)CC2 ZINC000563447760 396738686 /nfs/dbraw/zinc/73/86/86/396738686.db2.gz PEEVHURWEMVKCY-UHFFFAOYSA-N 0 2 319.409 0.455 20 0 DCADLN COc1ccc(C(=O)N=c2nc(C(C)(C)OC)[nH]s2)nn1 ZINC000634150986 396797703 /nfs/dbraw/zinc/79/77/03/396797703.db2.gz FPQZTQRXRJBWMI-UHFFFAOYSA-N 0 2 309.351 0.892 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)COC[C@@H]2CCCO2)s[nH]1 ZINC000634153998 396798051 /nfs/dbraw/zinc/79/80/51/396798051.db2.gz QOEQEEMUWHQXLK-VIFPVBQESA-N 0 2 315.395 0.976 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CC[C@@H](O)[C@H]1c1ccc(Cl)cc1 ZINC000629505343 396773871 /nfs/dbraw/zinc/77/38/71/396773871.db2.gz ICPKRPTYGSAPCI-BXUZGUMPSA-N 0 2 312.753 0.649 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CC[C@@H](O)[C@H]1c1ccc(Cl)cc1 ZINC000629505343 396773876 /nfs/dbraw/zinc/77/38/76/396773876.db2.gz ICPKRPTYGSAPCI-BXUZGUMPSA-N 0 2 312.753 0.649 20 0 DCADLN NC(=O)c1cn(C2CCN(c3[nH+]cccc3C(=O)[O-])CC2)nn1 ZINC000629768041 396826595 /nfs/dbraw/zinc/82/65/95/396826595.db2.gz UGIUVZPACOGBID-UHFFFAOYSA-N 0 2 316.321 0.312 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)cc1 ZINC000611164887 396827006 /nfs/dbraw/zinc/82/70/06/396827006.db2.gz OWJVHWUAGROJOR-UHFFFAOYSA-N 0 2 324.318 0.096 20 0 DCADLN NC(=O)c1cn(C2CCN(c3cccc(C(=O)[O-])[nH+]3)CC2)nn1 ZINC000629767717 396827122 /nfs/dbraw/zinc/82/71/22/396827122.db2.gz PJTAPVKIVQRNHH-UHFFFAOYSA-N 0 2 316.321 0.312 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)c2n[nH]c(C)c2[O-])[C@H](C)C1 ZINC000611407591 396863799 /nfs/dbraw/zinc/86/37/99/396863799.db2.gz NGUOZWBZSGGXHH-SECBINFHSA-N 0 2 310.354 0.133 20 0 DCADLN COC(=O)CC[N@H+]1CCN(C(=O)c2n[nH]c(C)c2[O-])[C@H](C)C1 ZINC000611407591 396863801 /nfs/dbraw/zinc/86/38/01/396863801.db2.gz NGUOZWBZSGGXHH-SECBINFHSA-N 0 2 310.354 0.133 20 0 DCADLN CN1c2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2C(C)(C)C1=O ZINC000611862459 396940478 /nfs/dbraw/zinc/94/04/78/396940478.db2.gz NZLMIXRYEZMXKH-UHFFFAOYSA-N 0 2 315.333 0.694 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)[nH]c(=O)n2C1CC1 ZINC000611862904 396940493 /nfs/dbraw/zinc/94/04/93/396940493.db2.gz QNGNDEYPYVZPEN-UHFFFAOYSA-N 0 2 314.305 0.831 20 0 DCADLN CC(C)Cc1nc(=NC(=O)[C@@H]2C[N@@H+]3CCN2CCC3)s[n-]1 ZINC000618348542 396968317 /nfs/dbraw/zinc/96/83/17/396968317.db2.gz RELSFZCBILFGPC-NSHDSACASA-N 0 2 309.439 0.487 20 0 DCADLN CC(C)Cc1nc(=NC(=O)[C@@H]2C[N@H+]3CCN2CCC3)s[n-]1 ZINC000618348542 396968321 /nfs/dbraw/zinc/96/83/21/396968321.db2.gz RELSFZCBILFGPC-NSHDSACASA-N 0 2 309.439 0.487 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)NC[C@](C)(C(=O)[O-])c1ccccc1 ZINC000630170909 396953362 /nfs/dbraw/zinc/95/33/62/396953362.db2.gz PZGKXAZMRRYEIB-YOEHRIQHSA-N 0 2 320.389 0.866 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)NC[C@](C)(C(=O)[O-])c1ccccc1 ZINC000630170909 396953368 /nfs/dbraw/zinc/95/33/68/396953368.db2.gz PZGKXAZMRRYEIB-YOEHRIQHSA-N 0 2 320.389 0.866 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)[C@H]1C[NH2+]Cc2ccccc21 ZINC000572515238 397067819 /nfs/dbraw/zinc/06/78/19/397067819.db2.gz SVGMQJUJHSLJTC-INIZCTEOSA-N 0 2 303.406 0.664 20 0 DCADLN COC(=O)c1sccc1NC(=O)N1CC[N@@H+](C)C[C@@H]1C[NH3+] ZINC000572851421 397102918 /nfs/dbraw/zinc/10/29/18/397102918.db2.gz RRGPPGSTFQRFLH-VIFPVBQESA-N 0 2 312.395 0.641 20 0 DCADLN CCN1C(=O)[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)CCN2C1=O ZINC000378006852 397178477 /nfs/dbraw/zinc/17/84/77/397178477.db2.gz UWVBTPQEAYHMOQ-BQBZGAKWSA-N 0 2 311.235 0.382 20 0 DCADLN CCN1C(=O)[C@@H]2CN(C(=O)C(F)C(F)(F)F)CCN2C1=O ZINC000378006852 397178483 /nfs/dbraw/zinc/17/84/83/397178483.db2.gz UWVBTPQEAYHMOQ-BQBZGAKWSA-N 0 2 311.235 0.382 20 0 DCADLN CC(C)[NH+]1CCN(C2CC[NH+](Cc3cnn(C)n3)CC2)CC1 ZINC000377842113 397152509 /nfs/dbraw/zinc/15/25/09/397152509.db2.gz HKUIHDBEGJZVFV-UHFFFAOYSA-N 0 2 306.458 0.806 20 0 DCADLN CCOC(=O)C[N@@H+]1CCCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000592426753 397172642 /nfs/dbraw/zinc/17/26/42/397172642.db2.gz JVWMPBBDAFWHQT-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN CCOC(=O)C[N@H+]1CCCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000592426753 397172645 /nfs/dbraw/zinc/17/26/45/397172645.db2.gz JVWMPBBDAFWHQT-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN CN(CC(F)(F)F)C(=O)CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000377604313 397111700 /nfs/dbraw/zinc/11/17/00/397111700.db2.gz QNCIXEOKJMOBJK-MRVPVSSYSA-N 0 2 321.303 0.710 20 0 DCADLN O=C(N[C@H]1CCC(=O)N[C@@H]1[C@H]1CCCO1)[C@H](F)C(F)(F)F ZINC000378019026 397181363 /nfs/dbraw/zinc/18/13/63/397181363.db2.gz PTRCIDGYEWQAQO-MBLNEYKQSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1CCC(=O)N[C@@H]1[C@H]1CCCO1)C(F)C(F)(F)F ZINC000378019026 397181367 /nfs/dbraw/zinc/18/13/67/397181367.db2.gz PTRCIDGYEWQAQO-MBLNEYKQSA-N 0 2 312.263 0.829 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CO[C@@H](C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000573923579 397219912 /nfs/dbraw/zinc/21/99/12/397219912.db2.gz PVCMZVNSWXHHJC-JGVFFNPUSA-N 0 2 304.306 0.652 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@@H]1CCNC1=O ZINC000613596802 397284947 /nfs/dbraw/zinc/28/49/47/397284947.db2.gz LMYBKRAFGVIHLL-LLVKDONJSA-N 0 2 315.333 0.136 20 0 DCADLN CCN(C)C(=O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613637692 397290971 /nfs/dbraw/zinc/29/09/71/397290971.db2.gz YXMNWDLJQRGELK-UHFFFAOYSA-N 0 2 304.306 0.238 20 0 DCADLN C[C@H](c1cccc([N+](=O)[O-])c1)[NH+]1CCN(C(=O)C(=O)[O-])CC1 ZINC000396706924 397311728 /nfs/dbraw/zinc/31/17/28/397311728.db2.gz LMJQYAVXQVAONM-SNVBAGLBSA-N 0 2 307.306 0.885 20 0 DCADLN COC(=O)c1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)on1 ZINC000592796882 397254262 /nfs/dbraw/zinc/25/42/62/397254262.db2.gz MVZYXCBZARXNCC-SSDOTTSWSA-N 0 2 321.293 0.305 20 0 DCADLN COC(=O)C(C)(C)N1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000593328072 397352467 /nfs/dbraw/zinc/35/24/67/397352467.db2.gz SZRSOPULMTXQCJ-UHFFFAOYSA-N 0 2 307.350 0.853 20 0 DCADLN O=C(CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)NC1CC1 ZINC000599296092 397395146 /nfs/dbraw/zinc/39/51/46/397395146.db2.gz JHFSGZPGJGPHSV-UHFFFAOYSA-N 0 2 302.290 0.038 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ncccc1C(F)(F)F ZINC000092747057 158115164 /nfs/dbraw/zinc/11/51/64/158115164.db2.gz WUOSLEZGVLOHOL-UHFFFAOYSA-N 0 2 315.255 0.874 20 0 DCADLN COc1ccc(-n2nnnc2SCc2n[nH]c(=O)[nH]2)cc1 ZINC000092869876 158116960 /nfs/dbraw/zinc/11/69/60/158116960.db2.gz PMAIKCGRIIUYKJ-UHFFFAOYSA-N 0 2 305.323 0.375 20 0 DCADLN CN(C)c1nc(N)nc(C[NH+]2CCC(n3cccn3)CC2)n1 ZINC000109006889 158136086 /nfs/dbraw/zinc/13/60/86/158136086.db2.gz CNYVYSIFEKBOLI-UHFFFAOYSA-N 0 2 302.386 0.553 20 0 DCADLN CC[C@@H]1CCCC[N@H+]1CCNC(=O)NCC[NH+]1CCOCC1 ZINC000113406365 158147840 /nfs/dbraw/zinc/14/78/40/158147840.db2.gz APTMZTANDYUJGU-OAHLLOKOSA-N 0 2 312.458 0.882 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)cn1 ZINC000116158957 158164557 /nfs/dbraw/zinc/16/45/57/158164557.db2.gz LEILPUYRMDDMFR-UHFFFAOYSA-N 0 2 306.347 0.507 20 0 DCADLN COC(=O)[C@H](CC(C)C)NS(=O)(=O)NCC(F)(F)F ZINC000127646266 158275824 /nfs/dbraw/zinc/27/58/24/158275824.db2.gz PNVFRBYFYDSZIV-ZETCQYMHSA-N 0 2 306.306 0.560 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H](C)C(=O)N(C)C)c1 ZINC000133665584 158320909 /nfs/dbraw/zinc/32/09/09/158320909.db2.gz FMWILIBYZLVBMZ-UZJPJQLHSA-N 0 2 312.391 0.396 20 0 DCADLN C[C@@H](CNC(=O)NCC[NH+]1CCOCC1(C)C)[N@@H+](C)C1CC1 ZINC000171686882 158385543 /nfs/dbraw/zinc/38/55/43/158385543.db2.gz NMUFMGKGZDCPGF-ZDUSSCGKSA-N 0 2 312.458 0.879 20 0 DCADLN C[C@H]1CS(=O)(=O)CCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000172628183 158397844 /nfs/dbraw/zinc/39/78/44/158397844.db2.gz GISXYLLCBVJMOS-QMMMGPOBSA-N 0 2 313.404 0.604 20 0 DCADLN O=C(COC[C@H]1CCOC1)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000329203833 159073312 /nfs/dbraw/zinc/07/33/12/159073312.db2.gz XKVFYCZGQFJBRI-QWRGUYRKSA-N 0 2 310.354 0.617 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)Cc3ccon3)C2)[nH]1 ZINC000329601249 159105820 /nfs/dbraw/zinc/10/58/20/159105820.db2.gz QGKPTENMWHWOCY-MRVPVSSYSA-N 0 2 313.339 0.208 20 0 DCADLN Cc1nc([C@@H]2CCCN2S(=O)(=O)NCC(F)(F)F)no1 ZINC000367035228 159306616 /nfs/dbraw/zinc/30/66/16/159306616.db2.gz IOKXWRZALOSQAD-ZETCQYMHSA-N 0 2 314.289 0.912 20 0 DCADLN C[NH+](C)CCn1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000368396449 159391882 /nfs/dbraw/zinc/39/18/82/159391882.db2.gz CYBUACGGFTWPHL-HNNXBMFYSA-N 0 2 316.409 0.808 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000368446073 159395539 /nfs/dbraw/zinc/39/55/39/159395539.db2.gz SKAZKWLLYCJFNN-CQSZACIVSA-N 0 2 319.409 0.038 20 0 DCADLN C[C@@H](CNC(=O)[C@H](C)[NH+]1CCSCC1)N1CC[NH+](C)CC1 ZINC000132097179 287003584 /nfs/dbraw/zinc/00/35/84/287003584.db2.gz CHUPREVUNFJTRZ-KBPBESRZSA-N 0 2 314.499 0.176 20 0 DCADLN C[C@@H]1CC[NH+](CCC[N@H+]2CC[C@H](C)C[C@H]2C(N)=O)[C@@H](C(N)=O)C1 ZINC000249582002 287114934 /nfs/dbraw/zinc/11/49/34/287114934.db2.gz RMAHLFQMKZMQIS-NMWPEEMBSA-N 0 2 324.469 0.548 20 0 DCADLN COC(=O)CCc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000354184508 287167214 /nfs/dbraw/zinc/16/72/14/287167214.db2.gz AGBPRLSZCKOHOI-UHFFFAOYSA-N 0 2 319.321 0.938 20 0 DCADLN Cc1c(Cl)cccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000356001494 287192315 /nfs/dbraw/zinc/19/23/15/287192315.db2.gz VZYBRPVUXKCZAT-UHFFFAOYSA-N 0 2 309.713 0.727 20 0 DCADLN CCOC(=O)C[C@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358390827 287208016 /nfs/dbraw/zinc/20/80/16/287208016.db2.gz BRXRJEYYSNVQSH-QMMMGPOBSA-N 0 2 306.322 0.745 20 0 DCADLN C[C@H](CO[C@H]1CCOC1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358401298 287209181 /nfs/dbraw/zinc/20/91/81/287209181.db2.gz RJVIUWRDAPEKKG-ZJUUUORDSA-N 0 2 320.349 0.598 20 0 DCADLN CCn1cnnc1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358313076 287206834 /nfs/dbraw/zinc/20/68/34/287206834.db2.gz CFBKLSZZOKFMHV-UHFFFAOYSA-N 0 2 301.310 0.215 20 0 DCADLN Cc1nccc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)n1 ZINC000615886337 412458668 /nfs/dbraw/zinc/45/86/68/412458668.db2.gz GRCPNSZOXSHHIP-UHFFFAOYSA-N 0 2 314.352 0.260 20 0 DCADLN CC[C@@H](O)CCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000352367739 415148596 /nfs/dbraw/zinc/14/85/96/415148596.db2.gz QLOVCZCHDYNPJU-SECBINFHSA-N 0 2 306.322 0.717 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)N1C[C@H](O)C[C@H]1CO ZINC000332153159 415273475 /nfs/dbraw/zinc/27/34/75/415273475.db2.gz HPSDRYSBCIXFFY-WDEREUQCSA-N 0 2 320.374 0.586 20 0 DCADLN CC(C)[C@](C)(O)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000352988877 415391821 /nfs/dbraw/zinc/39/18/21/415391821.db2.gz QNCAQSAXPWZKRM-VIFPVBQESA-N 0 2 308.385 0.255 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1COc2ccccc2C1 ZINC000080973482 415480876 /nfs/dbraw/zinc/48/08/76/415480876.db2.gz CCVFSWYYMXAVBV-NSHDSACASA-N 0 2 302.334 0.398 20 0 DCADLN CS(=O)(=O)c1ccc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000343154886 415478410 /nfs/dbraw/zinc/47/84/10/415478410.db2.gz SZMRCVJIPAXDNL-VIFPVBQESA-N 0 2 323.378 0.693 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1COc2ccccc2C1 ZINC000080973486 415480298 /nfs/dbraw/zinc/48/02/98/415480298.db2.gz CCVFSWYYMXAVBV-LLVKDONJSA-N 0 2 302.334 0.398 20 0 DCADLN NC(C(=O)Nc1ccc(N2CCOCC2)nc1)C(F)(F)F ZINC000353426341 415537139 /nfs/dbraw/zinc/53/71/39/415537139.db2.gz LQUGBPVFNBQPMM-SNVBAGLBSA-N 0 2 304.272 0.746 20 0 DCADLN CN(C1CC[NH+](CCCn2cc[nH+]c2)CC1)S(C)(=O)=O ZINC000343300464 415543232 /nfs/dbraw/zinc/54/32/32/415543232.db2.gz HKHDSBJATORYCT-UHFFFAOYSA-N 0 2 300.428 0.629 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2noc(C3CC3)n2)cn1 ZINC000353791493 415659269 /nfs/dbraw/zinc/65/92/69/415659269.db2.gz FJIOEIKXTJMMLF-UHFFFAOYSA-N 0 2 324.318 0.929 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)c3ccc(O)cc3)CC2)[nH]1 ZINC000333529316 415674764 /nfs/dbraw/zinc/67/47/64/415674764.db2.gz XVFAIBFECLOOOO-UHFFFAOYSA-N 0 2 324.362 0.784 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)CC(=O)NC2CC2)c1 ZINC000108061279 415675060 /nfs/dbraw/zinc/67/50/60/415675060.db2.gz RLVAORCRGJBMNR-JOCHJYFZSA-N 0 2 324.402 0.540 20 0 DCADLN COc1ccc(S(O)=CC(=O)NCC(=O)N2CCCC2)cc1 ZINC000108011897 415675984 /nfs/dbraw/zinc/67/59/84/415675984.db2.gz RASHQXTXYVHJMV-QFIPXVFZSA-N 0 2 324.402 0.541 20 0 DCADLN CS(=O)(=O)N1CC[NH+](Cc2ccc(C(=O)[O-])s2)CC1 ZINC000109602282 415682333 /nfs/dbraw/zinc/68/23/33/415682333.db2.gz DSBJIJWTDCLRGK-UHFFFAOYSA-N 0 2 304.393 0.524 20 0 DCADLN COCc1nc(S(=O)(=O)CC(=O)N2CCCC[C@H]2C)n[nH]1 ZINC000353894415 415686302 /nfs/dbraw/zinc/68/63/02/415686302.db2.gz VGUXDDNNXURQEW-SECBINFHSA-N 0 2 316.383 0.126 20 0 DCADLN Cc1n[nH]c(C)c1NC(=O)CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000344115833 415843167 /nfs/dbraw/zinc/84/31/67/415843167.db2.gz SPCFSXIXFVBLRB-SNVBAGLBSA-N 0 2 319.369 0.668 20 0 DCADLN CCC1(O)CN(C(=O)[C@@H](C)[C@H](C)C(=O)N2CC(O)(CC)C2)C1 ZINC000334027450 415788083 /nfs/dbraw/zinc/78/80/83/415788083.db2.gz IFUQNHCTVYYWOP-RYUDHWBXSA-N 0 2 312.410 0.225 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)N[C@H](C)C2=CC[N@H+](C)CC2)CCO1 ZINC000334028971 415788752 /nfs/dbraw/zinc/78/87/52/415788752.db2.gz RVDFPLWGYLJHBH-DGCLKSJQSA-N 0 2 311.382 0.220 20 0 DCADLN Nc1nnc(CNC(=O)C2=NN(c3ccccc3)CC2=O)o1 ZINC000354838100 415825357 /nfs/dbraw/zinc/82/53/57/415825357.db2.gz KUCPUKNHHCPOQQ-UHFFFAOYSA-N 0 2 300.278 0.473 20 0 DCADLN C[C@H]1CCC[C@@]1(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000293965838 415864478 /nfs/dbraw/zinc/86/44/78/415864478.db2.gz BCVJHQAILONWEL-VXJOIVPMSA-N 0 2 318.333 0.717 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@@H]3COC[C@H]3O)=N2)cc1 ZINC000297133049 415953505 /nfs/dbraw/zinc/95/35/05/415953505.db2.gz ZMVWWWMZNOMPQG-DGCLKSJQSA-N 0 2 319.317 0.076 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NCCN2CCC2)s[nH]1 ZINC000337534490 415974173 /nfs/dbraw/zinc/97/41/73/415974173.db2.gz VANOOPIFRZFLEU-UHFFFAOYSA-N 0 2 311.411 0.018 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CC[NH2+][C@@H](c2ccncc2)C1 ZINC000337675439 415994321 /nfs/dbraw/zinc/99/43/21/415994321.db2.gz XSBKKWIWYYBSPS-SNVBAGLBSA-N 0 2 320.378 0.171 20 0 DCADLN Cn1cnc(NS(=O)(=O)CCc2ccccc2)c1C(N)=O ZINC000344931693 416011003 /nfs/dbraw/zinc/01/10/03/416011003.db2.gz LGZGYYQZDAZWSS-UHFFFAOYSA-N 0 2 308.363 0.503 20 0 DCADLN Cn1c(=O)cccc1C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000344983708 416021960 /nfs/dbraw/zinc/02/19/60/416021960.db2.gz RBGCINOXPNQRBE-UHFFFAOYSA-N 0 2 313.342 0.973 20 0 DCADLN CN(C)c1cc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)ncn1 ZINC000298706518 416025031 /nfs/dbraw/zinc/02/50/31/416025031.db2.gz RDOJDNFZJMERPZ-UHFFFAOYSA-N 0 2 319.287 0.938 20 0 DCADLN Cc1cc(NC(=O)[C@H](C)[N@H+](C)C2CC[NH+](CCO)CC2)on1 ZINC000357653014 416110819 /nfs/dbraw/zinc/11/08/19/416110819.db2.gz VPVCUVZRYRLDPH-LBPRGKRZSA-N 0 2 310.398 0.699 20 0 DCADLN CCOCCCNC(=O)[C@H](C)[N@H+](C)C1CC[NH+](CCO)CC1 ZINC000357649250 416111655 /nfs/dbraw/zinc/11/16/55/416111655.db2.gz OTFWXALQJALGSF-AWEZNQCLSA-N 0 2 315.458 0.306 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000315775658 416112997 /nfs/dbraw/zinc/11/29/97/416112997.db2.gz UTKXJVPBGBGPGN-VXGBXAGGSA-N 0 2 305.334 0.255 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2C[C@H]2C2CC2)s1 ZINC000175846609 416115177 /nfs/dbraw/zinc/11/51/77/416115177.db2.gz RYDQDPRGOSGFGK-BQBZGAKWSA-N 0 2 302.381 0.894 20 0 DCADLN CC(=O)C[C@@H]1COCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358100984 416192943 /nfs/dbraw/zinc/19/29/43/416192943.db2.gz VIZIFQUOMMLBIL-SNVBAGLBSA-N 0 2 318.333 0.494 20 0 DCADLN CC[C@H](C)C(=O)N1CCC[C@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000331080392 416261970 /nfs/dbraw/zinc/26/19/70/416261970.db2.gz LMAYTTCJOPXYCP-UWVGGRQHSA-N 0 2 309.370 0.411 20 0 DCADLN O=C(NCC(F)(F)C(F)F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000192025856 416297227 /nfs/dbraw/zinc/29/72/27/416297227.db2.gz CKDBXEURPKPLNO-UHFFFAOYSA-N 0 2 320.202 0.242 20 0 DCADLN CCN(CC)C(=O)c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000359796003 416358818 /nfs/dbraw/zinc/35/88/18/416358818.db2.gz FIGMYQLNGHSEGF-UHFFFAOYSA-N 0 2 317.349 0.922 20 0 DCADLN COc1ccc(OCC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000359525455 416349795 /nfs/dbraw/zinc/34/97/95/416349795.db2.gz SAYHYURLADTTKZ-UHFFFAOYSA-N 0 2 306.322 0.647 20 0 DCADLN COc1cc(NS(=O)(=O)c2ccc(C)c(C(N)=O)c2)ncn1 ZINC000360934195 416416957 /nfs/dbraw/zinc/41/69/57/416416957.db2.gz DRVMVLVXLYLADY-UHFFFAOYSA-N 0 2 322.346 0.693 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C)CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000360546886 416395807 /nfs/dbraw/zinc/39/58/07/416395807.db2.gz WZCOQOFWPKFSJS-PWSUYJOCSA-N 0 2 304.350 0.796 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)c1c[nH]nc1C ZINC000360617819 416399661 /nfs/dbraw/zinc/39/96/61/416399661.db2.gz GXJPEIOOPKSAEC-UHFFFAOYSA-N 0 2 302.337 0.762 20 0 DCADLN C[C@@H](CNC(=O)NCc1n[nH]c(C2CC2)n1)C[NH+]1CCOCC1 ZINC000458407140 416462737 /nfs/dbraw/zinc/46/27/37/416462737.db2.gz GBYDEKSJZVSEIG-NSHDSACASA-N 0 2 322.413 0.450 20 0 DCADLN CCO[C@@H]1C[C@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)[C@@H]1OC ZINC000361627500 416464526 /nfs/dbraw/zinc/46/45/26/416464526.db2.gz DTXSFQQXXCDIJN-LOWVWBTDSA-N 0 2 320.349 0.596 20 0 DCADLN Cc1onc(-c2ccccn2)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000361139071 416423003 /nfs/dbraw/zinc/42/30/03/416423003.db2.gz FKHPEZXPLIQTFY-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN C[C@H](C(=O)N1CCN(c2cccc[nH+]2)CC1)[N@H+](C)CC(C)(C)O ZINC000361386840 416433558 /nfs/dbraw/zinc/43/35/58/416433558.db2.gz PMLQWMROOMTNDD-CQSZACIVSA-N 0 2 320.437 0.821 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1ccc(-c2nc[nH]n2)cc1 ZINC000582465080 416454434 /nfs/dbraw/zinc/45/44/34/416454434.db2.gz YJRNRUMTRBWOLE-UHFFFAOYSA-N 0 2 320.334 1.151 20 0 DCADLN O=C([O-])c1cncnc1NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000582423263 416454838 /nfs/dbraw/zinc/45/48/38/416454838.db2.gz OMBJZMADDIAVTQ-UHFFFAOYSA-N 0 2 315.333 1.019 20 0 DCADLN C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(C(=O)[C@@H]([NH3+])C2CCC2)CC1 ZINC000423434584 416510838 /nfs/dbraw/zinc/51/08/38/416510838.db2.gz QESSYGWANVSQPN-ZFWWWQNUSA-N 0 2 322.453 0.269 20 0 DCADLN C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(C(=O)[C@H]([NH3+])C2CCC2)CC1 ZINC000423434200 416512482 /nfs/dbraw/zinc/51/24/82/416512482.db2.gz QESSYGWANVSQPN-DZGCQCFKSA-N 0 2 322.453 0.269 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2noc(C)n2)ccc1O ZINC000436885411 416574291 /nfs/dbraw/zinc/57/42/91/416574291.db2.gz DECIKUHDOSCWNB-UHFFFAOYSA-N 0 2 313.291 0.671 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2sccc2C)n1 ZINC000437323895 416582812 /nfs/dbraw/zinc/58/28/12/416582812.db2.gz HPRKBFUAJYOFAI-UHFFFAOYSA-N 0 2 316.364 0.622 20 0 DCADLN CN(C[C@@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1)C(=O)[C@@H]1C[C@@H]1[NH3+] ZINC000424190421 416623561 /nfs/dbraw/zinc/62/35/61/416623561.db2.gz OODDOAFUAICISJ-FQUUOJAGSA-N 0 2 309.389 0.138 20 0 DCADLN CCC(=O)N1CCC(NS(=O)(=O)NCC(F)(F)F)CC1 ZINC000440027619 416625309 /nfs/dbraw/zinc/62/53/09/416625309.db2.gz NBZKIVGVGKMIHE-UHFFFAOYSA-N 0 2 317.333 0.374 20 0 DCADLN COC1(C)CN(C(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)C1 ZINC000440499041 416636194 /nfs/dbraw/zinc/63/61/94/416636194.db2.gz WFAHKHCJXFJRET-UHFFFAOYSA-N 0 2 312.395 0.443 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H](C(N)=O)C(C)C)c1 ZINC000424668072 416667045 /nfs/dbraw/zinc/66/70/45/416667045.db2.gz WFUXKTOCKYLIRL-ASSNKEHSSA-N 0 2 312.391 0.429 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)CCOCCO)c1 ZINC000424818120 416670312 /nfs/dbraw/zinc/67/03/12/416670312.db2.gz GFNPMJFIQJJEAL-NRFANRHFSA-N 0 2 315.391 0.270 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3[nH]c(=O)n(C)c3c2)cn1 ZINC000442246595 416687919 /nfs/dbraw/zinc/68/79/19/416687919.db2.gz CUBBKPIKBQWZSC-UHFFFAOYSA-N 0 2 307.335 0.813 20 0 DCADLN O=S(=O)(NCCOCC(F)(F)F)NCC(F)(F)F ZINC000442899717 416719328 /nfs/dbraw/zinc/71/93/28/416719328.db2.gz LEKVFMWBEPOMAF-UHFFFAOYSA-N 0 2 304.212 0.552 20 0 DCADLN Cn1cc([C@H]2CCN(S(=O)(=O)NCC(F)(F)F)C2)cn1 ZINC000442945970 416723113 /nfs/dbraw/zinc/72/31/13/416723113.db2.gz PTBAYRSHLSSZAW-QMMMGPOBSA-N 0 2 312.317 0.606 20 0 DCADLN CNC(=O)C1CCC(NS(=O)(=O)NCC(F)(F)F)CC1 ZINC000442974154 416723827 /nfs/dbraw/zinc/72/38/27/416723827.db2.gz BIDAOVAVEVSKNQ-UHFFFAOYSA-N 0 2 317.333 0.278 20 0 DCADLN CN(C[C@H]1CCC[N@@H+]1C)C(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000516561080 416725607 /nfs/dbraw/zinc/72/56/07/416725607.db2.gz DVRCGYSZECHRFT-CYBMUJFWSA-N 0 2 315.377 0.825 20 0 DCADLN O=C(CNC(=O)c1ccco1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000516590164 416729658 /nfs/dbraw/zinc/72/96/58/416729658.db2.gz ZAKUMHZSFWAICY-SECBINFHSA-N 0 2 319.321 0.239 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@H](C(=O)[O-])[C@H]3C)nc[nH+]2)C1 ZINC000517154941 416783937 /nfs/dbraw/zinc/78/39/37/416783937.db2.gz UBGDUDBAVUFCNL-XQHKEYJVSA-N 0 2 320.393 0.983 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@H](C(=O)[O-])[C@H]3C)[nH+]cn2)C1 ZINC000517154941 416783940 /nfs/dbraw/zinc/78/39/40/416783940.db2.gz UBGDUDBAVUFCNL-XQHKEYJVSA-N 0 2 320.393 0.983 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cccc3c2OCC(=O)N3)cnn1C ZINC000427333657 416814424 /nfs/dbraw/zinc/81/44/24/416814424.db2.gz BBIQYMUWFVTNNZ-UHFFFAOYSA-N 0 2 322.346 0.860 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)CCc1nc[nH]n1 ZINC000429106860 287335175 /nfs/dbraw/zinc/33/51/75/287335175.db2.gz XVCQXZIZJOOIPQ-UHFFFAOYSA-N 0 2 315.337 0.419 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC(C)(C)C(=O)NC)cn1 ZINC000427640573 416834800 /nfs/dbraw/zinc/83/48/00/416834800.db2.gz XQQXZEMVTHGQDC-UHFFFAOYSA-N 0 2 318.381 0.795 20 0 DCADLN CC(C)C[C@@H](CCO)CNC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000519359806 416900949 /nfs/dbraw/zinc/90/09/49/416900949.db2.gz NIQBPBPZLDQJBD-ZIAGYGMSSA-N 0 2 300.447 0.315 20 0 DCADLN C[C@H]1CN(C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)C[C@H]1[NH+]1CCOCC1 ZINC000519717527 416935105 /nfs/dbraw/zinc/93/51/05/416935105.db2.gz UQZBGPUMDXKXAE-QNWHQSFQSA-N 0 2 310.394 0.522 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C(=O)NCC1CC1 ZINC000521458038 417011691 /nfs/dbraw/zinc/01/16/91/417011691.db2.gz AIXLTGSZALBIRK-UHFFFAOYSA-N 0 2 315.333 0.950 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000430653020 417055737 /nfs/dbraw/zinc/05/57/37/417055737.db2.gz JWFDIGLECONYDK-MRVPVSSYSA-N 0 2 322.300 0.145 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000430653020 417055745 /nfs/dbraw/zinc/05/57/45/417055745.db2.gz JWFDIGLECONYDK-MRVPVSSYSA-N 0 2 322.300 0.145 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2CC[C@@](C)(C(N)=O)C2)s[nH]1 ZINC000432083130 417158438 /nfs/dbraw/zinc/15/84/38/417158438.db2.gz XQWRMXYACVYPKM-CYBMUJFWSA-N 0 2 311.411 0.987 20 0 DCADLN CC[C@@H]1C[C@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC(=O)N1 ZINC000568867329 417187585 /nfs/dbraw/zinc/18/75/85/417187585.db2.gz ILKMZCVWQSGYRA-OUAUKWLOSA-N 0 2 321.381 0.521 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@@H+]1CCOC2(CCCCC2)C1 ZINC000569065554 417209143 /nfs/dbraw/zinc/20/91/43/417209143.db2.gz RCZBJCIGRYQRJS-HNNXBMFYSA-N 0 2 300.443 0.961 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000569248291 417232791 /nfs/dbraw/zinc/23/27/91/417232791.db2.gz MHWKSFRKQFPUSL-NWDGAFQWSA-N 0 2 312.366 0.480 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000569248291 417232796 /nfs/dbraw/zinc/23/27/96/417232796.db2.gz MHWKSFRKQFPUSL-NWDGAFQWSA-N 0 2 312.366 0.480 20 0 DCADLN CC(C)c1nc(N2CCC(O)(C(=O)[O-])CC2)nc(N(C)C)[nH+]1 ZINC000570282549 417335898 /nfs/dbraw/zinc/33/58/98/417335898.db2.gz BMKNVSMQMRSMFM-UHFFFAOYSA-N 0 2 309.370 0.477 20 0 DCADLN CC(C)c1nc(N(C)C)nc(N2CCC(O)(C(=O)[O-])CC2)[nH+]1 ZINC000570282549 417335906 /nfs/dbraw/zinc/33/59/06/417335906.db2.gz BMKNVSMQMRSMFM-UHFFFAOYSA-N 0 2 309.370 0.477 20 0 DCADLN Cc1cn2c([nH+]1)CN(C(=O)C(=O)NCCC(C)(C)C(=O)[O-])CC2 ZINC000644202970 417496938 /nfs/dbraw/zinc/49/69/38/417496938.db2.gz SOBULJNSUQVHOW-UHFFFAOYSA-N 0 2 322.365 0.151 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NCCC(N)=O)=N1 ZINC000438997864 287379954 /nfs/dbraw/zinc/37/99/54/287379954.db2.gz HWLWUUVJSULHQO-UHFFFAOYSA-N 0 2 302.334 0.746 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N(CCO)CCOCCO ZINC000628176809 417585059 /nfs/dbraw/zinc/58/50/59/417585059.db2.gz YOHCKFJRQHWGMP-UHFFFAOYSA-N 0 2 305.277 0.114 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000576403289 417606458 /nfs/dbraw/zinc/60/64/58/417606458.db2.gz SQAASQCYJNCDFE-JHJVBQTASA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000576403289 417606465 /nfs/dbraw/zinc/60/64/65/417606465.db2.gz SQAASQCYJNCDFE-JHJVBQTASA-N 0 2 320.393 0.985 20 0 DCADLN O=C([O-])CCNC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000635518978 417686821 /nfs/dbraw/zinc/68/68/21/417686821.db2.gz XGORXSFEYCZZAB-UHFFFAOYSA-N 0 2 324.406 0.909 20 0 DCADLN CC[C@@H](NC(=O)C1=NN(c2ccc(F)cc2)CC1=O)C(=O)NC ZINC000456983607 417673177 /nfs/dbraw/zinc/67/31/77/417673177.db2.gz AIEWNGOBBIEWPH-LLVKDONJSA-N 0 2 320.324 0.971 20 0 DCADLN COc1cnc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000580567757 417713989 /nfs/dbraw/zinc/71/39/89/417713989.db2.gz KCQYYIUMNQKSFP-QMMMGPOBSA-N 0 2 304.310 0.329 20 0 DCADLN O=C(N=c1ccc(C2CC2)n[nH]1)C(=O)N1CCCC(F)(F)C1 ZINC000651964162 417824126 /nfs/dbraw/zinc/82/41/26/417824126.db2.gz IXABUSBOBUZXHT-UHFFFAOYSA-N 0 2 310.304 0.972 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)[C@H](CC)CO1 ZINC000651988687 417829145 /nfs/dbraw/zinc/82/91/45/417829145.db2.gz XHAYBPPCCFASLY-VXGBXAGGSA-N 0 2 323.397 0.635 20 0 DCADLN Cc1n[nH]cc1C[N@H+](C)CC(=O)NC1CC[NH+](C2CC2)CC1 ZINC000639969966 417772016 /nfs/dbraw/zinc/77/20/16/417772016.db2.gz JISPCWLAFCSVQJ-UHFFFAOYSA-N 0 2 305.426 0.893 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc(N2CCC2=O)c1 ZINC000629297219 417773807 /nfs/dbraw/zinc/77/38/07/417773807.db2.gz BLXUFKINWKWZDV-UHFFFAOYSA-N 0 2 307.335 0.353 20 0 DCADLN COc1cc(NS(=O)(=O)c2cnc3c(c2)c(C)nn3C)[nH]n1 ZINC000629314510 417778305 /nfs/dbraw/zinc/77/83/05/417778305.db2.gz JVCGPTCEGSNBMV-UHFFFAOYSA-N 0 2 322.350 0.809 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCc3cc(F)ccc32)[nH]1 ZINC000651788267 417786302 /nfs/dbraw/zinc/78/63/02/417786302.db2.gz ZOAZQDSWLNTEBN-UHFFFAOYSA-N 0 2 317.324 0.948 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@H](C)OC3(CCC3)C2)[nH]1 ZINC000651870800 417804666 /nfs/dbraw/zinc/80/46/66/417804666.db2.gz QHAKYORTPUNKTR-NSHDSACASA-N 0 2 321.381 0.389 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(C)cc2F)[nH]1 ZINC000657104334 417812059 /nfs/dbraw/zinc/81/20/59/417812059.db2.gz NPLOGLDBJUKGJR-UHFFFAOYSA-N 0 2 314.342 0.877 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cccc(OC)c2)[nH]1 ZINC000657104744 417812562 /nfs/dbraw/zinc/81/25/62/417812562.db2.gz UKPPUWBJBAPMLO-UHFFFAOYSA-N 0 2 312.351 0.438 20 0 DCADLN CC(C)CN(CC(=O)[O-])S(=O)(=O)CCC[NH+]1CCOCC1 ZINC000646006060 417848308 /nfs/dbraw/zinc/84/83/08/417848308.db2.gz SMIQCBZZGCQZHQ-UHFFFAOYSA-N 0 2 322.427 0.081 20 0 DCADLN CC[C@@H]1C[C@H]([NH2+][C@@H]2CC(=O)N(CC[NH+]3CCOCC3)C2)CO1 ZINC000657368105 417851389 /nfs/dbraw/zinc/85/13/89/417851389.db2.gz VFQKOYGRYGBNHQ-QLFBSQMISA-N 0 2 311.426 0.077 20 0 DCADLN O=C(N=c1ccc(C2CC2)n[nH]1)C(=O)N1CC[C@@]2(CC2(F)F)C1 ZINC000652315708 417882087 /nfs/dbraw/zinc/88/20/87/417882087.db2.gz BINWHZRIGAVPFO-CQSZACIVSA-N 0 2 322.315 0.972 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)N[C@@H](C(=O)[O-])[C@H]1CCCOC1 ZINC000652067308 417842356 /nfs/dbraw/zinc/84/23/56/417842356.db2.gz SUOZXVFQVQNYJG-XHDPSFHLSA-N 0 2 321.377 0.758 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC([C@H](C)CO)CC2)[nH]1 ZINC000652077823 417844004 /nfs/dbraw/zinc/84/40/04/417844004.db2.gz SYYBLQKXUDGWIO-LLVKDONJSA-N 0 2 323.397 0.086 20 0 DCADLN O=C([O-])[C@@H]1CCN(c2nc(NCCO)c3ccccc3[nH+]2)C1 ZINC000662877486 417937763 /nfs/dbraw/zinc/93/77/63/417937763.db2.gz DGWNZTYXKSTTGF-SNVBAGLBSA-N 0 2 302.334 0.945 20 0 DCADLN CC(C)c1nc(N(C)C)nc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@H]2O)[nH+]1 ZINC000662897078 417941242 /nfs/dbraw/zinc/94/12/42/417941242.db2.gz MYYXVMSBRHWWKR-OPRDCNLKSA-N 0 2 309.370 0.697 20 0 DCADLN CC(C)c1nc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@H]2O)nc(N(C)C)[nH+]1 ZINC000662897078 417941246 /nfs/dbraw/zinc/94/12/46/417941246.db2.gz MYYXVMSBRHWWKR-OPRDCNLKSA-N 0 2 309.370 0.697 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)N2CC[C@H]3C[N@H+](C)C[C@@H]32)cn1 ZINC000646934923 417956197 /nfs/dbraw/zinc/95/61/97/417956197.db2.gz XWSSKOVKGOGMMH-GWCFXTLKSA-N 0 2 300.366 0.586 20 0 DCADLN CC(=O)N1CCN(C(=O)CCNc2[nH+]cccc2C(=O)[O-])CC1 ZINC000647449480 418010568 /nfs/dbraw/zinc/01/05/68/418010568.db2.gz GJANUKDDHZCDMH-UHFFFAOYSA-N 0 2 320.349 0.273 20 0 DCADLN CC1(C(=O)[O-])CCN(C(=O)C(=O)NCCCn2cc[nH+]c2)CC1 ZINC000647577924 418026505 /nfs/dbraw/zinc/02/65/05/418026505.db2.gz XHXHMCUXYNDFPF-UHFFFAOYSA-N 0 2 322.365 0.103 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000663601312 418036342 /nfs/dbraw/zinc/03/63/42/418036342.db2.gz DBRMLOITBUDFGQ-JTQLQIEISA-N 0 2 321.333 0.646 20 0 DCADLN Cc1nc(=NC(=O)NC[C@H]2OCCc3ccccc32)[nH]n1C ZINC000653243294 418046285 /nfs/dbraw/zinc/04/62/85/418046285.db2.gz FLFAXGKGUQKDMI-CYBMUJFWSA-N 0 2 301.350 0.981 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)[C@H]1CCc3[nH+]ccn3C1)C2 ZINC000663129831 417994167 /nfs/dbraw/zinc/99/41/67/417994167.db2.gz XCJZSBPZJXQKOY-FIXISWKDSA-N 0 2 305.334 0.005 20 0 DCADLN COCCOCC[NH+]1CCN(C(=O)[C@H](C(=O)[O-])C(C)C)CC1 ZINC000653335377 418058542 /nfs/dbraw/zinc/05/85/42/418058542.db2.gz OGQXQAZWJFANJO-CYBMUJFWSA-N 0 2 316.398 0.150 20 0 DCADLN CC(C)(CNC(=O)NCC1(C(=O)[O-])CCC1)[NH+]1CCOCC1 ZINC000659392675 418091417 /nfs/dbraw/zinc/09/14/17/418091417.db2.gz JQXUSBWKQORQDD-UHFFFAOYSA-N 0 2 313.398 0.651 20 0 DCADLN CC(C)(C)n1nnc(CN2CCC(c3n[nH]c(=O)[nH]3)CC2)n1 ZINC000653651557 418099465 /nfs/dbraw/zinc/09/94/65/418099465.db2.gz NQCNRXQQGLVOEN-UHFFFAOYSA-N 0 2 306.374 0.631 20 0 DCADLN O=C1NCC[C@]12CCC[N@H+]2CCC[NH+]1CCC[C@@]12CCNC2=O ZINC000653716442 418103539 /nfs/dbraw/zinc/10/35/39/418103539.db2.gz CJYGCVRYDYJYFP-CALCHBBNSA-N 0 2 320.437 0.086 20 0 DCADLN CSc1cccc(C(=O)N=c2ncn(CC(=O)N(C)C)[nH]2)n1 ZINC000648302238 418125457 /nfs/dbraw/zinc/12/54/57/418125457.db2.gz BFZXQGRJABIJJP-UHFFFAOYSA-N 0 2 320.378 0.157 20 0 DCADLN COCCN(CCOC)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665113172 418177041 /nfs/dbraw/zinc/17/70/41/418177041.db2.gz YMGRWYFJQKYTFL-UHFFFAOYSA-N 0 2 321.333 0.765 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CC[C@H](Nc2ncccn2)C1 ZINC000648950626 418178548 /nfs/dbraw/zinc/17/85/48/418178548.db2.gz MYMZKHRVOGDPKP-QMMMGPOBSA-N 0 2 321.366 0.105 20 0 DCADLN COCc1nnc(CNC(=O)N[C@@H]2Cc3cccc(O)c3C2)[nH]1 ZINC000664752528 418151097 /nfs/dbraw/zinc/15/10/97/418151097.db2.gz FWLJOBKIFVIDJW-SNVBAGLBSA-N 0 2 317.349 0.623 20 0 DCADLN O=C(NCc1n[nH]c([C@@H]2CCCO2)n1)c1cccc2n[nH]nc21 ZINC000655240747 418241949 /nfs/dbraw/zinc/24/19/49/418241949.db2.gz HNTUOOZJNXSPJF-JTQLQIEISA-N 0 2 313.321 0.858 20 0 DCADLN CC(C)N(CC(N)=O)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665333475 418197307 /nfs/dbraw/zinc/19/73/07/418197307.db2.gz UTAYTZRSNHKRDG-UHFFFAOYSA-N 0 2 304.306 0.366 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)NC[C@H](C)C(=O)[O-])ccc2[nH+]1 ZINC000655940814 418304637 /nfs/dbraw/zinc/30/46/37/418304637.db2.gz YJIIGSLLXDYQHC-QMMMGPOBSA-N 0 2 304.306 0.418 20 0 DCADLN Cc1[nH+]c2cc(NC(=O)C(=O)NC[C@@H](C)C(=O)[O-])ccc2n1C ZINC000655942168 418305732 /nfs/dbraw/zinc/30/57/32/418305732.db2.gz KDJKBDDCYBYVFO-MRVPVSSYSA-N 0 2 318.333 0.657 20 0 DCADLN Cc1cccc2[nH+]c(CNC(=O)C(=O)NC[C@@H](C)C(=O)[O-])cn21 ZINC000655985841 418311652 /nfs/dbraw/zinc/31/16/52/418311652.db2.gz CVEYGVIMWIWAHW-SECBINFHSA-N 0 2 318.333 0.096 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1ccc(C(=O)[O-])cn1 ZINC000649464751 418255717 /nfs/dbraw/zinc/25/57/17/418255717.db2.gz VAVARNZTQLFBPN-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)c1ccc(C(=O)[O-])cn1 ZINC000649464751 418255719 /nfs/dbraw/zinc/25/57/19/418255719.db2.gz VAVARNZTQLFBPN-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN O=C(CN1CCn2c[nH+]cc2C1)NC1CC[NH+](C2CC2)CC1 ZINC000660580215 418270149 /nfs/dbraw/zinc/27/01/49/418270149.db2.gz MZUKTPFDKMVPBR-UHFFFAOYSA-N 0 2 303.410 0.442 20 0 DCADLN COc1cccnc1NS(=O)(=O)C[C@H](OC)[C@H]1CCOC1 ZINC000656727523 418374606 /nfs/dbraw/zinc/37/46/06/418374606.db2.gz NBRHRCSUNYAGLD-JQWIXIFHSA-N 0 2 316.379 0.883 20 0 DCADLN COc1cncnc1NS(=O)(=O)C[C@H](OC)[C@H]1CCOC1 ZINC000656736541 418375251 /nfs/dbraw/zinc/37/52/51/418375251.db2.gz BZLRVAZVSQNESR-ONGXEEELSA-N 0 2 317.367 0.278 20 0 DCADLN Cc1cnc(N2CC[NH+]([C@H]3CCN(CC(=O)[O-])C3=O)CC2)s1 ZINC000662205889 418388593 /nfs/dbraw/zinc/38/85/93/418388593.db2.gz NIJLIVKVCXVGSD-NSHDSACASA-N 0 2 324.406 0.259 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCO[C@H](Cc3ccccc3)C2)C1=O ZINC000662211825 418388781 /nfs/dbraw/zinc/38/87/81/418388781.db2.gz SFFHIKVMCRZRAU-HUUCEWRRSA-N 0 2 318.373 0.615 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCO[C@H](Cc3ccccc3)C2)C1=O ZINC000662211825 418388783 /nfs/dbraw/zinc/38/87/83/418388783.db2.gz SFFHIKVMCRZRAU-HUUCEWRRSA-N 0 2 318.373 0.615 20 0 DCADLN Cc1csc(N2CC[NH+]([C@H]3CCN(CC(=O)[O-])C3=O)CC2)n1 ZINC000662206471 418389101 /nfs/dbraw/zinc/38/91/01/418389101.db2.gz PXSCRBUCTGUSTF-NSHDSACASA-N 0 2 324.406 0.259 20 0 DCADLN COc1cccc2c1CC[N@@H+]([C@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC000662211760 418389149 /nfs/dbraw/zinc/38/91/49/418389149.db2.gz QRILUJKASUIUDY-ZDUSSCGKSA-N 0 2 304.346 0.739 20 0 DCADLN COc1cccc2c1CC[N@H+]([C@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC000662211760 418389151 /nfs/dbraw/zinc/38/91/51/418389151.db2.gz QRILUJKASUIUDY-ZDUSSCGKSA-N 0 2 304.346 0.739 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@H](O)C2CCCCC2)[nH]1 ZINC000651555043 418391333 /nfs/dbraw/zinc/39/13/33/418391333.db2.gz BFTWXBGWBGRMCP-LBPRGKRZSA-N 0 2 323.397 0.277 20 0 DCADLN C[C@@H](NC(=O)C[N@H+](CC(=O)[O-])CC(C)(C)C)C(=O)N(C)C ZINC000662223149 418391554 /nfs/dbraw/zinc/39/15/54/418391554.db2.gz MFNFTOIPZQKWIA-SNVBAGLBSA-N 0 2 301.387 0.012 20 0 DCADLN C[C@@H](NC(=O)C[N@@H+](CC(=O)[O-])CC(C)(C)C)C(=O)N(C)C ZINC000662223149 418391556 /nfs/dbraw/zinc/39/15/56/418391556.db2.gz MFNFTOIPZQKWIA-SNVBAGLBSA-N 0 2 301.387 0.012 20 0 DCADLN CO[C@@H](CS(=O)(=O)NCC(F)(F)CO)C1CCCC1 ZINC000656962450 418393415 /nfs/dbraw/zinc/39/34/15/418393415.db2.gz CZXBMZDFANQVCC-JTQLQIEISA-N 0 2 301.355 0.739 20 0 DCADLN CC(C)C[C@H](CO)N(C)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651726138 418406962 /nfs/dbraw/zinc/40/69/62/418406962.db2.gz BFXHSLHGDJZBKJ-LLVKDONJSA-N 0 2 311.386 0.085 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC(C3CCCC3)C2)[nH]1 ZINC000651764489 418409636 /nfs/dbraw/zinc/40/96/36/418409636.db2.gz BOFIMZQWLHSKGH-UHFFFAOYSA-N 0 2 305.382 0.868 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1C[C@@H]2CCCC[C@H]2C1 ZINC000651649999 418400931 /nfs/dbraw/zinc/40/09/31/418400931.db2.gz QBRQYCBGJPFIOO-RYUDHWBXSA-N 0 2 317.393 0.623 20 0 DCADLN COc1cccc(NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)c1 ZINC000651659079 418401360 /nfs/dbraw/zinc/40/13/60/418401360.db2.gz VMVMUXDBYXRPFK-UHFFFAOYSA-N 0 2 303.322 0.867 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCCO1 ZINC000328851686 296933583 /nfs/dbraw/zinc/93/35/83/296933583.db2.gz ZTWYDTNYXYGTTL-MRVPVSSYSA-N 0 2 304.306 0.687 20 0 DCADLN CNC(=O)c1ccc(OC)c(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000354363113 261208942 /nfs/dbraw/zinc/20/89/42/261208942.db2.gz RCRWBLGFWJHUEA-UHFFFAOYSA-N 0 2 320.309 0.200 20 0 DCADLN O=C(CCc1nc(C2CCCC2)no1)NCc1n[nH]c(=O)[nH]1 ZINC000354668946 261255287 /nfs/dbraw/zinc/25/52/87/261255287.db2.gz IAUFJTXBPBRWIG-UHFFFAOYSA-N 0 2 306.326 0.800 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)N1CCCc2ccc(F)cc21 ZINC000355981187 261381362 /nfs/dbraw/zinc/38/13/62/261381362.db2.gz CHYKVQPMHBSLTQ-UHFFFAOYSA-N 0 2 319.296 0.245 20 0 DCADLN CCS(=O)(=O)c1ccc(O)c(NC(=O)CCc2nnc[nH]2)c1 ZINC000356142243 261396095 /nfs/dbraw/zinc/39/60/95/261396095.db2.gz SGWPMWMCCUTDEX-UHFFFAOYSA-N 0 2 324.362 0.875 20 0 DCADLN CCS(=O)(=O)c1ccc(O)c(NC(=O)CCc2nc[nH]n2)c1 ZINC000356142243 261396097 /nfs/dbraw/zinc/39/60/97/261396097.db2.gz SGWPMWMCCUTDEX-UHFFFAOYSA-N 0 2 324.362 0.875 20 0 DCADLN Cn1c2ccc(S(=O)(=O)Nc3cncnc3)cc2n(C)c1=O ZINC000362217348 262010910 /nfs/dbraw/zinc/01/09/10/262010910.db2.gz QWQAICCPMZOSJM-UHFFFAOYSA-N 0 2 319.346 0.468 20 0 DCADLN COC(=O)[C@](C)(O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000362422457 262033314 /nfs/dbraw/zinc/03/33/14/262033314.db2.gz XRSSEHAKUGRYIJ-OAHLLOKOSA-N 0 2 319.317 0.232 20 0 DCADLN Cc1c(NS(=O)(=O)c2cccc(-n3cnnn3)c2)cnn1C ZINC000362432198 262034728 /nfs/dbraw/zinc/03/47/28/262034728.db2.gz YESRVEFMQJVAQY-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN CCC[C@H](O)[C@@H](CO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000412523251 262190592 /nfs/dbraw/zinc/19/05/92/262190592.db2.gz KEQAUQRGSINHRK-NEPJUHHUSA-N 0 2 321.333 0.282 20 0 DCADLN CN(C)S(=O)(=O)CCNc1nc(C2CCCC2)ns1 ZINC000420638193 262379251 /nfs/dbraw/zinc/37/92/51/262379251.db2.gz OIEVJLRQSLMCMD-UHFFFAOYSA-N 0 2 304.441 0.921 20 0 DCADLN CC[C@H](C)CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000420702605 262381445 /nfs/dbraw/zinc/38/14/45/262381445.db2.gz GGCCPXGOIICGJW-ZETCQYMHSA-N 0 2 312.351 0.760 20 0 DCADLN CN(C[C@@H]1CCC[N@@H+]1C)C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000420763415 262384391 /nfs/dbraw/zinc/38/43/91/262384391.db2.gz BQPYJMDDVVNORC-AWEZNQCLSA-N 0 2 312.458 0.833 20 0 DCADLN COC[C@H](C)[C@@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000360032622 271159548 /nfs/dbraw/zinc/15/95/48/271159548.db2.gz KUXCRLGGICUCGZ-NKWVEPMBSA-N 0 2 322.412 0.767 20 0 DCADLN CCOC[C@H](O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000362944854 271183716 /nfs/dbraw/zinc/18/37/16/271183716.db2.gz PAFCOFUDHLPLPV-GFCCVEGCSA-N 0 2 305.334 0.705 20 0 DCADLN Cc1nnnn1-c1cccc(NS(=O)(=O)c2ccnn2C)c1 ZINC000363629580 271199642 /nfs/dbraw/zinc/19/96/42/271199642.db2.gz BHHUWYXTVVOIPE-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN Cc1ncc(S(=O)(=O)NC(=O)/C=C/c2ccnn2C)s1 ZINC000493041031 272164807 /nfs/dbraw/zinc/16/48/07/272164807.db2.gz VXLTYESLBMYREO-ONEGZZNKSA-N 0 2 312.376 0.703 20 0 DCADLN Cn1nccc1C=CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493262585 272178159 /nfs/dbraw/zinc/17/81/59/272178159.db2.gz SVHNEZGCYWZTLW-UMCURTJPSA-N 0 2 302.338 0.663 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@](C)(O)CSc1n[nH]c(=O)[nH]1 ZINC000497089527 272367324 /nfs/dbraw/zinc/36/73/24/272367324.db2.gz MZDFMGLLIYIFCI-LLVKDONJSA-N 0 2 304.372 0.878 20 0 DCADLN Cc1nnc2ccc(C(=O)NCCCc3n[nH]c(=O)[nH]3)cn12 ZINC000507507017 272395447 /nfs/dbraw/zinc/39/54/47/272395447.db2.gz OFOIIEHGVPJYKF-UHFFFAOYSA-N 0 2 301.310 0.224 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000519251128 272498577 /nfs/dbraw/zinc/49/85/77/272498577.db2.gz BAWCOBOVTYQBHP-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)C1(CO)COC1 ZINC000539066376 287888285 /nfs/dbraw/zinc/88/82/85/287888285.db2.gz YJXXYOAQUWFBON-UHFFFAOYSA-N 0 2 309.347 0.364 20 0 DCADLN COC(=O)c1cncc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000540312387 287901877 /nfs/dbraw/zinc/90/18/77/287901877.db2.gz NYNSLQHEZNAIPS-UHFFFAOYSA-N 0 2 305.294 0.055 20 0 DCADLN O=C(N[C@@H]1CCCCNC1=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000540956809 287911940 /nfs/dbraw/zinc/91/19/40/287911940.db2.gz PYHVUOAGGARZHU-LLVKDONJSA-N 0 2 316.317 0.428 20 0 DCADLN C[C@H]1OCC[C@]12C[N@H+](Cc1cc(=O)n3[n-]ccc3n1)CCO2 ZINC000547769812 288077048 /nfs/dbraw/zinc/07/70/48/288077048.db2.gz MXTFOQNCPOQQND-ABAIWWIYSA-N 0 2 304.350 0.402 20 0 DCADLN C[C@H]1OCC[C@]12C[N@@H+](Cc1cc(=O)n3[n-]ccc3n1)CCO2 ZINC000547769812 288077050 /nfs/dbraw/zinc/07/70/50/288077050.db2.gz MXTFOQNCPOQQND-ABAIWWIYSA-N 0 2 304.350 0.402 20 0 DCADLN COc1cc(CNC(=O)CSc2n[nH]c(=O)[nH]2)ccc1O ZINC000547929583 288093298 /nfs/dbraw/zinc/09/32/98/288093298.db2.gz XOUAUTBGARNECK-UHFFFAOYSA-N 0 2 310.335 0.633 20 0 DCADLN C[NH+]1CCO[C@H](C(=O)Nc2cccc(C[N@H+]3CC[C@H](O)C3)c2)C1 ZINC000552198890 288268680 /nfs/dbraw/zinc/26/86/80/288268680.db2.gz IQODOGQVYVVAIV-HOTGVXAUSA-N 0 2 319.405 0.522 20 0 DCADLN CC[C@@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@@H](C)[S@@]1=O ZINC000556840831 288399133 /nfs/dbraw/zinc/39/91/33/288399133.db2.gz STFJPLZAMMREMX-VPOQAHPWSA-N 0 2 308.407 0.754 20 0 DCADLN NC(=O)c1cccc(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)n1 ZINC000560717213 288516995 /nfs/dbraw/zinc/51/69/95/288516995.db2.gz KEOVNDXCXUJOEE-UHFFFAOYSA-N 0 2 324.300 0.924 20 0 DCADLN CC(C)NS(=O)(=O)NCCNc1nc(C(C)C)ns1 ZINC000562378119 288570410 /nfs/dbraw/zinc/57/04/10/288570410.db2.gz QNXGNILRGMXZKR-UHFFFAOYSA-N 0 2 307.445 0.328 20 0 DCADLN CC(=O)NCCN(C(=O)C[C@H](C)n1cc[nH+]c1)[C@@H](C)C(=O)[O-] ZINC000563350332 288660985 /nfs/dbraw/zinc/66/09/85/288660985.db2.gz NDJVOFZYQCFGBN-QWRGUYRKSA-N 0 2 310.354 0.272 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)[C@@H]2COCCO2)[nH]1 ZINC000329541253 293333846 /nfs/dbraw/zinc/33/38/46/293333846.db2.gz DHZQYPZPXWHKEK-QWRGUYRKSA-N 0 2 310.354 0.420 20 0 DCADLN C[C@H]1CCNC(=O)[C@H]1NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000195162347 299997775 /nfs/dbraw/zinc/99/77/75/299997775.db2.gz KTSPCJLLWRFABJ-GWCFXTLKSA-N 0 2 314.345 0.832 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2nnc(C)s2)c1 ZINC000580394600 336266044 /nfs/dbraw/zinc/26/60/44/336266044.db2.gz SFPVNDKOXFYPTB-UHFFFAOYSA-N 0 2 314.348 0.829 20 0 DCADLN Cn1cc(CS(=O)(=O)c2n[nH]c(-c3ccccc3F)n2)nn1 ZINC000583302480 337312016 /nfs/dbraw/zinc/31/20/16/337312016.db2.gz YJYANGDFSUEQSM-UHFFFAOYSA-N 0 2 322.325 0.713 20 0 DCADLN COCCc1nsc(NC[C@H]2CCCS(=O)(=O)C2)n1 ZINC000577912424 341948329 /nfs/dbraw/zinc/94/83/29/341948329.db2.gz ZZKSMOKNHOKKHT-SECBINFHSA-N 0 2 305.425 0.386 20 0 DCADLN CC(C)S(=O)(=O)CCNC(=O)C1(O)Cc2ccccc2C1 ZINC000366547052 519786830 /nfs/dbraw/zinc/78/68/30/519786830.db2.gz WXSPXSYUSGPQMH-UHFFFAOYSA-N 0 2 311.403 0.456 20 0 DCADLN Cc1cc(C(=O)NO[C@H]2CCCCO2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265784754 524116642 /nfs/dbraw/zinc/11/66/42/524116642.db2.gz HKHQWTBHHQJYEI-VIFPVBQESA-N 0 2 320.305 0.932 20 0 DCADLN C[C@H]1C[C@@H]1CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267659159 524846404 /nfs/dbraw/zinc/84/64/04/524846404.db2.gz MHTLWRIUEQJADW-NKWVEPMBSA-N 0 2 310.335 0.370 20 0 DCADLN CSCC[C@@H](C)N(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000120713009 525525800 /nfs/dbraw/zinc/52/58/00/525525800.db2.gz UVFYTCQFIWINRP-MRVPVSSYSA-N 0 2 322.390 0.825 20 0 DCADLN O=C([O-])C1(CNC(=O)c2ccc3[nH+]ccn3c2)CCOCC1 ZINC000191455987 527555389 /nfs/dbraw/zinc/55/53/89/527555389.db2.gz SVQBUJJNGRSINT-UHFFFAOYSA-N 0 2 303.318 0.946 20 0 DCADLN COc1c(NS(=O)(=O)c2cnn(C)c2C)cccc1C(N)=O ZINC000427293526 536859134 /nfs/dbraw/zinc/85/91/34/536859134.db2.gz CGCYQQDRUZNJPY-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@]2(C)CCC(=O)N2)c1 ZINC000424861068 536920150 /nfs/dbraw/zinc/92/01/50/536920150.db2.gz CYGJVCHDEPSECE-NYHFZMIOSA-N 0 2 324.402 0.588 20 0 DCADLN C[NH+]1CCN(C(C)(C)C[NH2+][C@H]2CCCS(=O)(=O)C2)CC1 ZINC000069135601 545661796 /nfs/dbraw/zinc/66/17/96/545661796.db2.gz CENOHSUJDISGHM-ZDUSSCGKSA-N 0 2 303.472 0.179 20 0 DCADLN Cc1oc(C)c(S(=O)(=O)NCc2c[nH+]cn2C)c1C(=O)[O-] ZINC000290498532 546007516 /nfs/dbraw/zinc/00/75/16/546007516.db2.gz HMSQJYYEJZERRA-UHFFFAOYSA-N 0 2 313.335 0.807 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000309556069 546022985 /nfs/dbraw/zinc/02/29/85/546022985.db2.gz LLSKRPVPJHMILK-CQSZACIVSA-N 0 2 306.362 0.821 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000309556069 546022990 /nfs/dbraw/zinc/02/29/90/546022990.db2.gz LLSKRPVPJHMILK-CQSZACIVSA-N 0 2 306.362 0.821 20 0 DCADLN C[C@H]1CCC[N@@H+](CCNC(=O)NC[C@H]2CN(C)CC[NH+]2C)C1 ZINC000332827281 546062670 /nfs/dbraw/zinc/06/26/70/546062670.db2.gz YFVBUNOZMJAARD-GJZGRUSLSA-N 0 2 311.474 0.263 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1CN(C)C(=O)N1 ZINC000665862871 546409156 /nfs/dbraw/zinc/40/91/56/546409156.db2.gz OETVGTYNNWWPIN-MRVPVSSYSA-N 0 2 321.362 0.379 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)NCCCNc2cccc[nH+]2)CCO1 ZINC000666517085 546479705 /nfs/dbraw/zinc/47/97/05/546479705.db2.gz KVDOUZFQYWEJJR-LLVKDONJSA-N 0 2 308.338 0.379 20 0 DCADLN CN1C(=O)CC[C@H]1CC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000667993280 546678653 /nfs/dbraw/zinc/67/86/53/546678653.db2.gz UAAATXSWRJNMSU-NSHDSACASA-N 0 2 316.317 0.979 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(C(C)(C)C)[nH]n1 ZINC000671151358 547169368 /nfs/dbraw/zinc/16/93/68/547169368.db2.gz LRVDCAYGEJDWFO-MRVPVSSYSA-N 0 2 302.400 0.926 20 0 DCADLN CC(=O)N(C)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000675566817 547692972 /nfs/dbraw/zinc/69/29/72/547692972.db2.gz ASLALHOBIUNMEZ-UHFFFAOYSA-N 0 2 304.310 0.815 20 0 DCADLN Cc1ccccc1C[C@H](C)NC(=O)C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231660 547746799 /nfs/dbraw/zinc/74/67/99/547746799.db2.gz KDGYCBIOYPVAMT-ZFWWWQNUSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1ccccc1C[C@H](C)NC(=O)C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231660 547746805 /nfs/dbraw/zinc/74/68/05/547746805.db2.gz KDGYCBIOYPVAMT-ZFWWWQNUSA-N 0 2 320.389 0.828 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCCc1ccccc1C ZINC000676229927 547746892 /nfs/dbraw/zinc/74/68/92/547746892.db2.gz CUBDNTUCNONDQW-UHFFFAOYSA-N 0 2 308.378 0.687 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCCc1ccccc1C ZINC000676229927 547746894 /nfs/dbraw/zinc/74/68/94/547746894.db2.gz CUBDNTUCNONDQW-UHFFFAOYSA-N 0 2 308.378 0.687 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCc1ccc(OC)cc1 ZINC000676229643 547746972 /nfs/dbraw/zinc/74/69/72/547746972.db2.gz DKOILELUHXHAKI-UHFFFAOYSA-N 0 2 310.350 0.344 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCc1ccc(OC)cc1 ZINC000676229643 547746975 /nfs/dbraw/zinc/74/69/75/547746975.db2.gz DKOILELUHXHAKI-UHFFFAOYSA-N 0 2 310.350 0.344 20 0 DCADLN C[C@H](C(=O)NCc1ccccc1F)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231718 547748403 /nfs/dbraw/zinc/74/84/03/547748403.db2.gz LYOVOGZHAIXXLX-MFKMUULPSA-N 0 2 310.325 0.616 20 0 DCADLN C[C@H](C(=O)NCc1ccccc1F)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231718 547748406 /nfs/dbraw/zinc/74/84/06/547748406.db2.gz LYOVOGZHAIXXLX-MFKMUULPSA-N 0 2 310.325 0.616 20 0 DCADLN Cc1[nH]nc(C(=O)N([C@@H](C)CS(C)(=O)=O)C2CC2)c1O ZINC000676708168 547807380 /nfs/dbraw/zinc/80/73/80/547807380.db2.gz VHXVRCLWFNTUAV-ZETCQYMHSA-N 0 2 301.368 0.461 20 0 DCADLN Cc1ccc(CC(=O)Nc2nnc(NS(C)(=O)=O)s2)o1 ZINC000677136472 547846012 /nfs/dbraw/zinc/84/60/12/547846012.db2.gz MNEDCEOYNDZHJU-UHFFFAOYSA-N 0 2 316.364 0.992 20 0 DCADLN O=C(N[C@H](CO)CC1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000678391287 547971646 /nfs/dbraw/zinc/97/16/46/547971646.db2.gz RLFHQJFBSTUAAU-VIFPVBQESA-N 0 2 304.306 0.327 20 0 DCADLN CCc1cnc(NS(=O)(=O)c2cnn(CCOC)c2)o1 ZINC000679203801 548033345 /nfs/dbraw/zinc/03/33/45/548033345.db2.gz IYKNBZVSJZYSKP-UHFFFAOYSA-N 0 2 300.340 0.881 20 0 DCADLN C[C@@H]1CN(c2ccc(CNC(=O)N(C)CC(=O)[O-])c[nH+]2)CCO1 ZINC000679548321 548065407 /nfs/dbraw/zinc/06/54/07/548065407.db2.gz CGTDXXKMXUCNHW-LLVKDONJSA-N 0 2 322.365 0.533 20 0 DCADLN CC(C)c1ccnc(N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)n1 ZINC000681033243 548194839 /nfs/dbraw/zinc/19/48/39/548194839.db2.gz VOLCWMYWIKVOGP-UHFFFAOYSA-N 0 2 303.370 0.746 20 0 DCADLN Cc1cc2n[nH]cc2cc1NC(=O)CC[C@@H]1NC(=O)NC1=O ZINC000682954878 548441288 /nfs/dbraw/zinc/44/12/88/548441288.db2.gz AHGNHAHIOQNEPC-VIFPVBQESA-N 0 2 301.306 0.798 20 0 DCADLN Cc1cc2[nH]ncc2cc1NC(=O)CC[C@@H]1NC(=O)NC1=O ZINC000682954878 548441293 /nfs/dbraw/zinc/44/12/93/548441293.db2.gz AHGNHAHIOQNEPC-VIFPVBQESA-N 0 2 301.306 0.798 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCc1ccc(OC(F)F)cc1 ZINC000178912154 596905909 /nfs/dbraw/zinc/90/59/09/596905909.db2.gz ZHGZCAVMONBXSF-UHFFFAOYSA-N 0 2 302.277 0.921 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCc1ccc(OC(F)F)cc1 ZINC000178912154 596905910 /nfs/dbraw/zinc/90/59/10/596905910.db2.gz ZHGZCAVMONBXSF-UHFFFAOYSA-N 0 2 302.277 0.921 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000739631631 596907179 /nfs/dbraw/zinc/90/71/79/596907179.db2.gz PUZQDXXGCCKLDD-UHFFFAOYSA-N 0 2 323.305 0.122 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000739631631 596907183 /nfs/dbraw/zinc/90/71/83/596907183.db2.gz PUZQDXXGCCKLDD-UHFFFAOYSA-N 0 2 323.305 0.122 20 0 DCADLN CC(=O)N1CCC(NC(=O)C[N@@H+]2CCCC[C@H]2C(=O)[O-])CC1 ZINC000819731316 597430400 /nfs/dbraw/zinc/43/04/00/597430400.db2.gz IJLPQUDYPXTIOD-ZDUSSCGKSA-N 0 2 311.382 0.053 20 0 DCADLN CC(=O)N1CCC(NC(=O)C[N@H+]2CCCC[C@H]2C(=O)[O-])CC1 ZINC000819731316 597430401 /nfs/dbraw/zinc/43/04/01/597430401.db2.gz IJLPQUDYPXTIOD-ZDUSSCGKSA-N 0 2 311.382 0.053 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CC[C@@H](Oc2ccccc2Cl)C1 ZINC000821529944 597800571 /nfs/dbraw/zinc/80/05/71/597800571.db2.gz STBRGOBQGDCYCY-SNVBAGLBSA-N 0 2 312.753 0.994 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CC[C@@H](Oc2ccccc2Cl)C1 ZINC000821529944 597800573 /nfs/dbraw/zinc/80/05/73/597800573.db2.gz STBRGOBQGDCYCY-SNVBAGLBSA-N 0 2 312.753 0.994 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C(=O)[O-])cc1 ZINC000820672892 598160540 /nfs/dbraw/zinc/16/05/40/598160540.db2.gz GEKSQZOHDQNFNB-LBPRGKRZSA-N 0 2 317.301 0.622 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C(=O)[O-])cc1 ZINC000820672892 598160541 /nfs/dbraw/zinc/16/05/41/598160541.db2.gz GEKSQZOHDQNFNB-LBPRGKRZSA-N 0 2 317.301 0.622 20 0 DCADLN COc1ccc([C@H]([NH2+]CC(=O)N2CCO[C@H](C)C2)C(=O)[O-])cc1 ZINC000737974286 599863467 /nfs/dbraw/zinc/86/34/67/599863467.db2.gz UKIUMHLNEOVGQX-ABAIWWIYSA-N 0 2 322.361 0.658 20 0 DCADLN Cc1nnc(CN2CC[NH+](Cc3occc3C(=O)[O-])CC2)n1C ZINC000738931508 599925180 /nfs/dbraw/zinc/92/51/80/599925180.db2.gz JDPCABIAGGDYHO-UHFFFAOYSA-N 0 2 319.365 0.733 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[NH+]1CCC(C(=O)OC)CC1)C(=O)[O-] ZINC000736875742 599967659 /nfs/dbraw/zinc/96/76/59/599967659.db2.gz GRJYKHSXELHKMY-MFKMUULPSA-N 0 2 314.382 0.487 20 0 DCADLN CC[C@H](O)C[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])s2)CC1 ZINC000736928417 600002580 /nfs/dbraw/zinc/00/25/80/600002580.db2.gz RNMWLBHOSKDQRM-JTQLQIEISA-N 0 2 312.391 0.975 20 0 DCADLN C[C@@H](CNC(=O)NC1CCC(C(=O)[O-])CC1)[NH+]1CCOCC1 ZINC000736594947 600016114 /nfs/dbraw/zinc/01/61/14/600016114.db2.gz GGZOEFMRASQFOO-HIFPTAJRSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H](NC(=O)C[N@H+](C)C(C)(C)C(=O)[O-])C(=O)N1CCCCC1 ZINC000736642013 600137473 /nfs/dbraw/zinc/13/74/73/600137473.db2.gz WNEHSXZRJOBPRY-NSHDSACASA-N 0 2 313.398 0.299 20 0 DCADLN C[C@H](NC(=O)C[N@@H+](C)C(C)(C)C(=O)[O-])C(=O)N1CCCCC1 ZINC000736642013 600137475 /nfs/dbraw/zinc/13/74/75/600137475.db2.gz WNEHSXZRJOBPRY-NSHDSACASA-N 0 2 313.398 0.299 20 0 DCADLN CC(C)N(Cc1ccccc1)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736470871 600142206 /nfs/dbraw/zinc/14/22/06/600142206.db2.gz BCCJKROEZVGHRX-CABCVRRESA-N 0 2 320.389 0.943 20 0 DCADLN CC(C)N(Cc1ccccc1)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736470871 600142207 /nfs/dbraw/zinc/14/22/07/600142207.db2.gz BCCJKROEZVGHRX-CABCVRRESA-N 0 2 320.389 0.943 20 0 DCADLN O=C([O-])CNC(=O)CC[N@@H+]1CCO[C@H](c2cccc(F)c2)C1 ZINC000739807741 600298389 /nfs/dbraw/zinc/29/83/89/600298389.db2.gz VVNZZAIDGMPBDQ-ZDUSSCGKSA-N 0 2 310.325 0.790 20 0 DCADLN O=C([O-])CNC(=O)CC[N@H+]1CCO[C@H](c2cccc(F)c2)C1 ZINC000739807741 600298391 /nfs/dbraw/zinc/29/83/91/600298391.db2.gz VVNZZAIDGMPBDQ-ZDUSSCGKSA-N 0 2 310.325 0.790 20 0 DCADLN O=C([O-])CCNC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000738588878 600334898 /nfs/dbraw/zinc/33/48/98/600334898.db2.gz RHEBXTGTLHLMQZ-UHFFFAOYSA-N 0 2 302.290 0.402 20 0 DCADLN COC(=O)[C@@H]1CCC[N@@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737584077 600440107 /nfs/dbraw/zinc/44/01/07/600440107.db2.gz ITMVKKNANVWPJI-OCCSQVGLSA-N 0 2 323.345 0.762 20 0 DCADLN COC(=O)[C@@H]1CCC[N@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737584077 600440110 /nfs/dbraw/zinc/44/01/10/600440110.db2.gz ITMVKKNANVWPJI-OCCSQVGLSA-N 0 2 323.345 0.762 20 0 DCADLN Cn1cc(N2CC[C@@H]([NH2+][C@H](C(=O)[O-])c3ccccc3)C2=O)cn1 ZINC000738411738 600440292 /nfs/dbraw/zinc/44/02/92/600440292.db2.gz SPKQIEULXKNNIB-KGLIPLIRSA-N 0 2 314.345 0.941 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)C1 ZINC000819248811 600703835 /nfs/dbraw/zinc/70/38/35/600703835.db2.gz YGPCLNXSWLERFC-CYBMUJFWSA-N 0 2 318.377 0.137 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000736581526 600749160 /nfs/dbraw/zinc/74/91/60/600749160.db2.gz IHHCISSCVVZMFU-STQMWFEESA-N 0 2 312.414 0.129 20 0 DCADLN Cc1cc(C[NH+]2CCN(c3cncc(C(=O)[O-])n3)CC2)on1 ZINC000832882728 601000036 /nfs/dbraw/zinc/00/00/36/601000036.db2.gz MEWKPCFZHVCOTE-UHFFFAOYSA-N 0 2 303.322 0.793 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@H+]3CC[C@@H](CO)C3)nc2c1 ZINC000833325257 601012534 /nfs/dbraw/zinc/01/25/34/601012534.db2.gz MTJORAUVLWDKOV-SECBINFHSA-N 0 2 303.318 0.848 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@@H+]3CC[C@@H](CO)C3)nc2c1 ZINC000833325257 601012538 /nfs/dbraw/zinc/01/25/38/601012538.db2.gz MTJORAUVLWDKOV-SECBINFHSA-N 0 2 303.318 0.848 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000826126766 601044231 /nfs/dbraw/zinc/04/42/31/601044231.db2.gz IENRNFJFOYUXKN-CYBMUJFWSA-N 0 2 323.368 0.833 20 0 DCADLN C[C@@H]1CN(C(=O)c2cccc(C(=O)[O-])n2)C[C@@H]1[NH+]1CCOCC1 ZINC000736807231 601109289 /nfs/dbraw/zinc/10/92/89/601109289.db2.gz LWSIQMFUAFDHIW-RISCZKNCSA-N 0 2 319.361 0.573 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)CC1 ZINC000826965711 601130273 /nfs/dbraw/zinc/13/02/73/601130273.db2.gz BPRAKNRQAWLGMT-UHFFFAOYSA-N 0 2 304.412 0.597 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000826000431 601170855 /nfs/dbraw/zinc/17/08/55/601170855.db2.gz PEUZTTLRCOPCAE-GFCCVEGCSA-N 0 2 321.377 0.099 20 0 DCADLN C[C@H](CS(=O)(=O)N1CCN(c2cccc[nH+]2)CC1)C(=O)[O-] ZINC000827545737 601272334 /nfs/dbraw/zinc/27/23/34/601272334.db2.gz DMOYFHLMILVHBC-LLVKDONJSA-N 0 2 313.379 0.254 20 0 DCADLN C[C@@H](C(=O)OCC(=O)NCC(=O)[O-])[N@H+](C)Cc1ccccc1 ZINC000826064666 601403250 /nfs/dbraw/zinc/40/32/50/601403250.db2.gz OBKXBTJBFXFUEY-NSHDSACASA-N 0 2 308.334 0.251 20 0 DCADLN C[C@@H](C(=O)OCC(=O)NCC(=O)[O-])[N@@H+](C)Cc1ccccc1 ZINC000826064666 601403251 /nfs/dbraw/zinc/40/32/51/601403251.db2.gz OBKXBTJBFXFUEY-NSHDSACASA-N 0 2 308.334 0.251 20 0 DCADLN COC(=O)[C@@H]1c2ccccc2CC[N@H+]1CCC(=O)NCC(=O)[O-] ZINC000831118508 601548124 /nfs/dbraw/zinc/54/81/24/601548124.db2.gz AVUVTKTVYYYZLK-HNNXBMFYSA-N 0 2 320.345 0.350 20 0 DCADLN COC(=O)[C@@H]1c2ccccc2CC[N@@H+]1CCC(=O)NCC(=O)[O-] ZINC000831118508 601548125 /nfs/dbraw/zinc/54/81/25/601548125.db2.gz AVUVTKTVYYYZLK-HNNXBMFYSA-N 0 2 320.345 0.350 20 0 DCADLN COCc1ccccc1CNC(=O)C[N@@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000831822080 601553023 /nfs/dbraw/zinc/55/30/23/601553023.db2.gz PKRNKXURODJIGH-INIZCTEOSA-N 0 2 324.352 0.948 20 0 DCADLN COCc1ccccc1CNC(=O)C[N@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000831822080 601553024 /nfs/dbraw/zinc/55/30/24/601553024.db2.gz PKRNKXURODJIGH-INIZCTEOSA-N 0 2 324.352 0.948 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000833192971 601610444 /nfs/dbraw/zinc/61/04/44/601610444.db2.gz OOAXGYPFYGGNRH-CQSZACIVSA-N 0 2 315.329 0.857 20 0 DCADLN C[C@H]1CN(C(=O)NCC(C)(C)[NH+]2CCOCC2)C[C@@H]1C(=O)[O-] ZINC000828355576 601640979 /nfs/dbraw/zinc/64/09/79/601640979.db2.gz YRTVDZQAVCIGKA-RYUDHWBXSA-N 0 2 313.398 0.459 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCO[C@@H](CC(=O)[O-])C3)[nH+]c12 ZINC000832982285 601737969 /nfs/dbraw/zinc/73/79/69/601737969.db2.gz XMUPYMSVGSMWJJ-ZDUSSCGKSA-N 0 2 317.345 0.887 20 0 DCADLN CCOCC[N@@H+]1CCN(S(=O)(=O)C[C@@H](C)C(=O)[O-])C[C@@H]1C ZINC000830011691 601792510 /nfs/dbraw/zinc/79/25/10/601792510.db2.gz LKGHIZOXFSPJKD-NEPJUHHUSA-N 0 2 322.427 0.080 20 0 DCADLN CCOCC[N@H+]1CCN(S(=O)(=O)C[C@@H](C)C(=O)[O-])C[C@@H]1C ZINC000830011691 601792512 /nfs/dbraw/zinc/79/25/12/601792512.db2.gz LKGHIZOXFSPJKD-NEPJUHHUSA-N 0 2 322.427 0.080 20 0 DCADLN CC[C@H]1CN(S(=O)(=O)C[C@@H](C)C(=O)[O-])CC[N@@H+]1CCOC ZINC000829152639 601792582 /nfs/dbraw/zinc/79/25/82/601792582.db2.gz YCCIQMLVAXHQGS-NEPJUHHUSA-N 0 2 322.427 0.080 20 0 DCADLN CC[C@H]1CN(S(=O)(=O)C[C@@H](C)C(=O)[O-])CC[N@H+]1CCOC ZINC000829152639 601792584 /nfs/dbraw/zinc/79/25/84/601792584.db2.gz YCCIQMLVAXHQGS-NEPJUHHUSA-N 0 2 322.427 0.080 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000821547426 602298313 /nfs/dbraw/zinc/29/83/13/602298313.db2.gz SBIZXCNWOQGVBP-AWEZNQCLSA-N 0 2 304.346 0.932 20 0 DCADLN C[C@@H]1CCN(Cn2nc(C(=O)[O-])ccc2=O)C[C@@H]1n1cc[nH+]c1 ZINC000828295493 602304482 /nfs/dbraw/zinc/30/44/82/602304482.db2.gz ILPZLHMEXMYOGX-YPMHNXCESA-N 0 2 317.349 0.679 20 0 DCADLN C[C@@H](O)C[NH+]1CCN(C(=O)c2ccc(CNC(=O)[O-])o2)CC1 ZINC000825528786 602870315 /nfs/dbraw/zinc/87/03/15/602870315.db2.gz SSBBOLQIDYLBCY-SNVBAGLBSA-N 0 2 311.338 0.186 20 0 DCADLN O=C([O-])N1CC[C@H](C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)C1 ZINC000831862660 603038055 /nfs/dbraw/zinc/03/80/55/603038055.db2.gz BBVKBFWYTQWEAV-QWHCGFSZSA-N 0 2 311.382 0.310 20 0 DCADLN CC(C)(CNC(=O)[C@@H]1CC[C@@H](NC(=O)[O-])C1)[NH+]1CCOCC1 ZINC000823900829 603424348 /nfs/dbraw/zinc/42/43/48/603424348.db2.gz XKDQXEKSWFPUDW-VXGBXAGGSA-N 0 2 313.398 0.650 20 0 DCADLN CC(C)(CNC(=O)[C@H]1CC[C@@H](NC(=O)[O-])C1)[NH+]1CCOCC1 ZINC000823900827 603424493 /nfs/dbraw/zinc/42/44/93/603424493.db2.gz XKDQXEKSWFPUDW-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN C[N@@H+]1CCN(C(=O)COc2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828500253 603518537 /nfs/dbraw/zinc/51/85/37/603518537.db2.gz HTIQEUIRHAAEMH-GFCCVEGCSA-N 0 2 307.350 0.476 20 0 DCADLN C[N@H+]1CCN(C(=O)COc2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828500253 603518539 /nfs/dbraw/zinc/51/85/39/603518539.db2.gz HTIQEUIRHAAEMH-GFCCVEGCSA-N 0 2 307.350 0.476 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3c(c2)OCO3)[C@@H](CNC(=O)[O-])C1 ZINC000828514174 603519336 /nfs/dbraw/zinc/51/93/36/603519336.db2.gz VEHVCPZZOZFLPV-NSHDSACASA-N 0 2 321.333 0.439 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3c(c2)OCO3)[C@@H](CNC(=O)[O-])C1 ZINC000828514174 603519339 /nfs/dbraw/zinc/51/93/39/603519339.db2.gz VEHVCPZZOZFLPV-NSHDSACASA-N 0 2 321.333 0.439 20 0 DCADLN Cc1cc(C)n([C@@H](C)C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000830016130 603520090 /nfs/dbraw/zinc/52/00/90/603520090.db2.gz BWDDXNCGHIMTAP-QWHCGFSZSA-N 0 2 323.397 0.471 20 0 DCADLN Cc1cc(C)n([C@@H](C)C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000830016130 603520093 /nfs/dbraw/zinc/52/00/93/603520093.db2.gz BWDDXNCGHIMTAP-QWHCGFSZSA-N 0 2 323.397 0.471 20 0 DCADLN C[N@H+](CN1C(=O)N[C@@]2(CCCC[C@@H]2CNC(=O)[O-])C1=O)C1CC1 ZINC000828345769 603591263 /nfs/dbraw/zinc/59/12/63/603591263.db2.gz HWXJZFFKCUQIRL-MEBBXXQBSA-N 0 2 324.381 0.787 20 0 DCADLN C[N@@H+](CN1C(=O)N[C@@]2(CCCC[C@@H]2CNC(=O)[O-])C1=O)C1CC1 ZINC000828345769 603591266 /nfs/dbraw/zinc/59/12/66/603591266.db2.gz HWXJZFFKCUQIRL-MEBBXXQBSA-N 0 2 324.381 0.787 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000831272585 603713003 /nfs/dbraw/zinc/71/30/03/603713003.db2.gz KSAYICPVANLBSF-NEPJUHHUSA-N 0 2 308.338 0.160 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)NCCCNc1cccc[nH+]1 ZINC000831675988 603817761 /nfs/dbraw/zinc/81/77/61/603817761.db2.gz KIJGYCZDKLGWGG-GHMZBOCLSA-N 0 2 308.338 0.113 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCC(C)(C)NC(=O)[O-])CCO1 ZINC000826058166 604083445 /nfs/dbraw/zinc/08/34/45/604083445.db2.gz MSXBDGXNMOGIPB-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCC(C)(C)NC(=O)[O-])CCO1 ZINC000826058166 604083451 /nfs/dbraw/zinc/08/34/51/604083451.db2.gz MSXBDGXNMOGIPB-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N1C[C@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000824823454 604197710 /nfs/dbraw/zinc/19/77/10/604197710.db2.gz GCRXFVXETNQHRR-YNEHKIRRSA-N 0 2 313.398 0.411 20 0 DCADLN C[C@@H]1CCN(C(=O)COC2CN(C(=O)[O-])C2)C[C@@H]1n1cc[nH+]c1 ZINC000825939480 604324687 /nfs/dbraw/zinc/32/46/87/604324687.db2.gz UUGXMZJLUYWHOX-YPMHNXCESA-N 0 2 322.365 0.671 20 0 DCADLN O=C([O-])CCNC(=O)CN1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000833237350 604373013 /nfs/dbraw/zinc/37/30/13/604373013.db2.gz RLVCILWXCFTVSS-UHFFFAOYSA-N 0 2 323.368 0.534 20 0 DCADLN CC(C)n1ccc(CC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000824520389 604402118 /nfs/dbraw/zinc/40/21/18/604402118.db2.gz SGFCCCXMOQUZLL-CYBMUJFWSA-N 0 2 323.397 0.417 20 0 DCADLN CC(C)n1ccc(CC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000824520389 604402122 /nfs/dbraw/zinc/40/21/22/604402122.db2.gz SGFCCCXMOQUZLL-CYBMUJFWSA-N 0 2 323.397 0.417 20 0 DCADLN CCCCC[C@@H](O)CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826819904 604402442 /nfs/dbraw/zinc/40/24/42/604402442.db2.gz HTEWLCSDUTWALK-CHWSQXEVSA-N 0 2 315.414 0.728 20 0 DCADLN CCCCC[C@@H](O)CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826819904 604402443 /nfs/dbraw/zinc/40/24/43/604402443.db2.gz HTEWLCSDUTWALK-CHWSQXEVSA-N 0 2 315.414 0.728 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824267577 604402473 /nfs/dbraw/zinc/40/24/73/604402473.db2.gz CMTFQJLGBWUXNP-RYUDHWBXSA-N 0 2 301.387 0.458 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824267577 604402475 /nfs/dbraw/zinc/40/24/75/604402475.db2.gz CMTFQJLGBWUXNP-RYUDHWBXSA-N 0 2 301.387 0.458 20 0 DCADLN Cc1cc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])c(C)n1C ZINC000829930507 604411261 /nfs/dbraw/zinc/41/12/61/604411261.db2.gz VQRSANRRIFMUIJ-LBPRGKRZSA-N 0 2 308.382 0.666 20 0 DCADLN Cc1cc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])c(C)n1C ZINC000829930507 604411262 /nfs/dbraw/zinc/41/12/62/604411262.db2.gz VQRSANRRIFMUIJ-LBPRGKRZSA-N 0 2 308.382 0.666 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1cc(C(=O)[O-])cs1 ZINC000827596951 604477946 /nfs/dbraw/zinc/47/79/46/604477946.db2.gz HFMQGWMDUOGOGQ-QMMMGPOBSA-N 0 2 322.346 0.786 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000833632788 604554448 /nfs/dbraw/zinc/55/44/48/604554448.db2.gz ZQOCBGSHRLYLCK-RKDXNWHRSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000833632788 604554451 /nfs/dbraw/zinc/55/44/51/604554451.db2.gz ZQOCBGSHRLYLCK-RKDXNWHRSA-N 0 2 301.368 0.426 20 0 DCADLN O=C([O-])N1CC(NC(=O)NCCCCNc2cccc[nH+]2)C1 ZINC000831666419 604616158 /nfs/dbraw/zinc/61/61/58/604616158.db2.gz XAERKPNXOHVINK-UHFFFAOYSA-N 0 2 307.354 0.935 20 0 DCADLN C[C@H]1CCN(C(=O)NC2CN(C(=O)[O-])C2)C[C@@H]1n1cc[nH+]c1 ZINC000825945155 604624977 /nfs/dbraw/zinc/62/49/77/604624977.db2.gz UFZNCARSLBEFIX-JQWIXIFHSA-N 0 2 307.354 0.838 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)CC1(CC(=O)[O-])CC1 ZINC000830781029 604654667 /nfs/dbraw/zinc/65/46/67/604654667.db2.gz DBZCCQGHHXWADR-UHFFFAOYSA-N 0 2 315.395 0.790 20 0 DCADLN O=C([O-])N(CC(=O)N1CC[NH+]([C@H]2CCOC2)CC1)CC1CC1 ZINC000831821468 605291899 /nfs/dbraw/zinc/29/18/99/605291899.db2.gz VASYJQWGKONCBJ-ZDUSSCGKSA-N 0 2 311.382 0.310 20 0 DCADLN COC[C@](C)(NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C(=O)[O-] ZINC000833721871 605462265 /nfs/dbraw/zinc/46/22/65/605462265.db2.gz VANBPSLIOLRCOE-AWEZNQCLSA-N 0 2 304.306 0.487 20 0 DCADLN C[C@@H](NC(=O)N(C)CCN(C)C(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000833813100 605520837 /nfs/dbraw/zinc/52/08/37/605520837.db2.gz PEYQHJPHWGCQCL-VXGBXAGGSA-N 0 2 316.402 0.347 20 0 DCADLN NC(=O)c1cccc(NC(=O)C[NH+]2CCC(NC(=O)[O-])CC2)c1 ZINC000831233480 605590363 /nfs/dbraw/zinc/59/03/63/605590363.db2.gz FFTUSKNFMPSIBS-UHFFFAOYSA-N 0 2 320.349 0.456 20 0 DCADLN O=C([O-])N1CC[C@H](N(C(=O)NCCn2cc[nH+]c2)C2CC2)C1 ZINC000831928140 605600383 /nfs/dbraw/zinc/60/03/83/605600383.db2.gz JQWPHIXZQNFYJU-LBPRGKRZSA-N 0 2 307.354 0.809 20 0 DCADLN CC[C@](C)(CNC(=O)[O-])C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000833840288 605693087 /nfs/dbraw/zinc/69/30/87/605693087.db2.gz JPPNPPRKHVOUQX-SMDDNHRTSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@](C)(CNC(=O)[O-])C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000833840288 605693090 /nfs/dbraw/zinc/69/30/90/605693090.db2.gz JPPNPPRKHVOUQX-SMDDNHRTSA-N 0 2 301.387 0.507 20 0 DCADLN C[C@@H](CNC(=O)CO[C@H]1CCCN(C(=O)[O-])C1)Cn1cc[nH+]c1 ZINC000824922369 605734907 /nfs/dbraw/zinc/73/49/07/605734907.db2.gz OBPNNILJTLMBSZ-STQMWFEESA-N 0 2 324.381 0.794 20 0 DCADLN Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@@H](CNC(=O)[O-])C1CC1)C2 ZINC000833917542 605856549 /nfs/dbraw/zinc/85/65/49/605856549.db2.gz ZWTPKTBVLOHTHF-MFKMUULPSA-N 0 2 306.366 0.985 20 0 DCADLN Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@@H](CNC(=O)[O-])C1CC1)CC2 ZINC000833917542 605856554 /nfs/dbraw/zinc/85/65/54/605856554.db2.gz ZWTPKTBVLOHTHF-MFKMUULPSA-N 0 2 306.366 0.985 20 0 DCADLN O=C([O-])N1CCOC[C@H]1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000834016521 605975627 /nfs/dbraw/zinc/97/56/27/605975627.db2.gz DAKCXJGXAPTQBH-RYUDHWBXSA-N 0 2 320.349 0.155 20 0 DCADLN O=C([O-])N[C@H]1CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834185231 605989020 /nfs/dbraw/zinc/98/90/20/605989020.db2.gz MCEWKQBXFFSKSR-RYUDHWBXSA-N 0 2 319.365 0.712 20 0 DCADLN O=C([O-])N[C@H]1CC(=O)N(C[N@@H+]2CCC[C@@H](n3ccnc3)C2)C1 ZINC000834157695 605995866 /nfs/dbraw/zinc/99/58/66/605995866.db2.gz LKZUWHLITOTSAZ-NWDGAFQWSA-N 0 2 307.354 0.346 20 0 DCADLN O=C([O-])N[C@H]1CC(=O)N(C[N@H+]2CCC[C@@H](n3ccnc3)C2)C1 ZINC000834157695 605995867 /nfs/dbraw/zinc/99/58/67/605995867.db2.gz LKZUWHLITOTSAZ-NWDGAFQWSA-N 0 2 307.354 0.346 20 0 DCADLN O=C(CCC1CCN(C(=O)[O-])CC1)NC[C@H]1C[NH+]2CCN1CC2 ZINC000833992576 606049043 /nfs/dbraw/zinc/04/90/43/606049043.db2.gz XYIJNJVDDALBFI-AWEZNQCLSA-N 0 2 324.425 0.273 20 0 DCADLN O=C([O-])N[C@@H](CC(F)F)C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000834133173 606070458 /nfs/dbraw/zinc/07/04/58/606070458.db2.gz OVTAOPJTVZVUKB-UWVGGRQHSA-N 0 2 321.324 0.211 20 0 DCADLN O=C(COc1ccccc1-c1nn[nH]n1)OCCc1cnn[nH]1 ZINC000823055563 606180480 /nfs/dbraw/zinc/18/04/80/606180480.db2.gz QNIZXPAISJMZBG-UHFFFAOYSA-N 0 2 315.293 0.150 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)nc2)o1 ZINC000822399865 606637207 /nfs/dbraw/zinc/63/72/07/606637207.db2.gz OCOGJWBXYOEGJG-UHFFFAOYSA-N 0 2 307.295 0.359 20 0 DCADLN CCc1nc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)nc2)no1 ZINC000825750484 609343085 /nfs/dbraw/zinc/34/30/85/609343085.db2.gz RFGLEBGUOYZBFE-UHFFFAOYSA-N 0 2 322.310 0.008 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC000978189420 660494416 /nfs/dbraw/zinc/49/44/16/660494416.db2.gz FRSICWMWLGFLMX-BQBZGAKWSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC000978189420 660494418 /nfs/dbraw/zinc/49/44/18/660494418.db2.gz FRSICWMWLGFLMX-BQBZGAKWSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cnon1 ZINC000978248088 660506302 /nfs/dbraw/zinc/50/63/02/660506302.db2.gz IQXMZJZCCAZHTC-HTRCEHHLSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnon1 ZINC000978248088 660506303 /nfs/dbraw/zinc/50/63/03/660506303.db2.gz IQXMZJZCCAZHTC-HTRCEHHLSA-N 0 2 324.234 0.643 20 0 DCADLN O=C(CNC(=O)c1cccc(F)c1)NNC(=O)c1ccccn1 ZINC000027693781 660622158 /nfs/dbraw/zinc/62/21/58/660622158.db2.gz MAEKTAGOMBRPFS-UHFFFAOYSA-N 0 2 316.292 0.412 20 0 DCADLN CC(=O)N[C@H]1C[C@@H](CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC000979340804 660721482 /nfs/dbraw/zinc/72/14/82/660721482.db2.gz YJAYEFYROIYNEL-KLPPZKSPSA-N 0 2 316.365 0.455 20 0 DCADLN CCn1cc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)cn1 ZINC001027798198 660747498 /nfs/dbraw/zinc/74/74/98/660747498.db2.gz CSGHCBJZGNATJJ-LLVKDONJSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cc(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)cn1 ZINC001027798198 660747500 /nfs/dbraw/zinc/74/75/00/660747500.db2.gz CSGHCBJZGNATJJ-LLVKDONJSA-N 0 2 319.369 0.121 20 0 DCADLN COC1CC(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001027955566 660970800 /nfs/dbraw/zinc/97/08/00/660970800.db2.gz JRYBEYRMPXTNIX-YVNMAJEFSA-N 0 2 309.370 0.016 20 0 DCADLN COC1CC(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001027955566 660970801 /nfs/dbraw/zinc/97/08/01/660970801.db2.gz JRYBEYRMPXTNIX-YVNMAJEFSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(C=Cc1ccco1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980637954 660976103 /nfs/dbraw/zinc/97/61/03/660976103.db2.gz DKKBGMNSJKXZMA-PLNGDYQASA-N 0 2 317.349 0.851 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC(F)(F)C1 ZINC001038153476 661029592 /nfs/dbraw/zinc/02/95/92/661029592.db2.gz WPFRQERXMJBKCN-QMMMGPOBSA-N 0 2 301.297 0.246 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028041738 661096746 /nfs/dbraw/zinc/09/67/46/661096746.db2.gz FSLFLPYXRYEJDY-TUAOUCFPSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028041738 661096749 /nfs/dbraw/zinc/09/67/49/661096749.db2.gz FSLFLPYXRYEJDY-TUAOUCFPSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H]1CCC[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981485643 661159314 /nfs/dbraw/zinc/15/93/14/661159314.db2.gz FZCPSLBKKWCWTJ-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(C[C@@H]1CC[C@H]2C[C@H]21)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981494673 661160775 /nfs/dbraw/zinc/16/07/75/661160775.db2.gz LPEZVHWWPWXPFN-RWMBFGLXSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H]1OCC[C@]1(C)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981677594 661192342 /nfs/dbraw/zinc/19/23/42/661192342.db2.gz YWRFHLUWIDNKPV-NHYWBVRUSA-N 0 2 323.397 0.360 20 0 DCADLN CC1CC(C(=O)N2CC3(C2)CCC[N@H+](Cc2n[nH]c(=O)[n-]2)C3)C1 ZINC000981703175 661198977 /nfs/dbraw/zinc/19/89/77/661198977.db2.gz AQDJLNFOTONXHP-UHFFFAOYSA-N 0 2 319.409 0.981 20 0 DCADLN CC1CC(C(=O)N2CC3(C2)CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C3)C1 ZINC000981703175 661198978 /nfs/dbraw/zinc/19/89/78/661198978.db2.gz AQDJLNFOTONXHP-UHFFFAOYSA-N 0 2 319.409 0.981 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038528315 665476162 /nfs/dbraw/zinc/47/61/62/665476162.db2.gz LOJWAJLJPOUULF-MXWKQRLJSA-N 0 2 309.370 0.016 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000035126438 657509314 /nfs/dbraw/zinc/50/93/14/657509314.db2.gz AJKDJUDYQNHPIV-UHFFFAOYSA-N 0 2 312.391 0.609 20 0 DCADLN C[C@H](NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970459336 657538058 /nfs/dbraw/zinc/53/80/58/657538058.db2.gz KOWBMPXPRZCLLH-GMNPVEAJSA-N 0 2 321.381 0.014 20 0 DCADLN C[C@@H](NC(=O)c1ccccn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969199130 657556883 /nfs/dbraw/zinc/55/68/83/657556883.db2.gz MXHUECRHZLIHDK-SECBINFHSA-N 0 2 302.338 0.156 20 0 DCADLN C[C@@H](NC(=O)c1ccccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969221547 657591394 /nfs/dbraw/zinc/59/13/94/657591394.db2.gz FWEOAESJCKHIKM-SECBINFHSA-N 0 2 319.340 0.900 20 0 DCADLN C[C@H](NC(=O)c1cccc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969227554 657603932 /nfs/dbraw/zinc/60/39/32/657603932.db2.gz JCMXMTNIOICXJX-VIFPVBQESA-N 0 2 319.340 0.900 20 0 DCADLN Cc1cncc(C[NH+]2CC([C@@H](C)NC(=O)c3nnc[nH]3)C2)c1 ZINC000970609385 657687353 /nfs/dbraw/zinc/68/73/53/657687353.db2.gz WPOMDIOAHYQRTK-LLVKDONJSA-N 0 2 300.366 0.758 20 0 DCADLN Cc1cncc(C[NH+]2CC([C@@H](C)NC(=O)c3ncn[nH]3)C2)c1 ZINC000970609385 657687357 /nfs/dbraw/zinc/68/73/57/657687357.db2.gz WPOMDIOAHYQRTK-LLVKDONJSA-N 0 2 300.366 0.758 20 0 DCADLN C[C@H](NC(=O)c1ncccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970650665 657739184 /nfs/dbraw/zinc/73/91/84/657739184.db2.gz WYMIKIJPWMOFPW-QMMMGPOBSA-N 0 2 320.328 0.295 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC000969467200 657906092 /nfs/dbraw/zinc/90/60/92/657906092.db2.gz RGXFFHCDNHRKNY-SNVBAGLBSA-N 0 2 319.365 0.970 20 0 DCADLN Cc1ncc(C(=O)N2CCC[C@H]3C[N@@H+](CC(N)=O)CC[C@@H]32)[nH]1 ZINC001021541868 657953054 /nfs/dbraw/zinc/95/30/54/657953054.db2.gz CCOXEXZYMJHYAY-AAEUAGOBSA-N 0 2 305.382 0.130 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970872266 658005251 /nfs/dbraw/zinc/00/52/51/658005251.db2.gz MINVBNHFPURDLC-SECBINFHSA-N 0 2 304.354 0.397 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCOC2CCSCC2)S1 ZINC000891234340 658041331 /nfs/dbraw/zinc/04/13/31/658041331.db2.gz ULGDXWRPMXRBBU-VIFPVBQESA-N 0 2 317.436 0.571 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H]([NH2+]Cc3nncs3)C2)c1[O-] ZINC000998956761 665556830 /nfs/dbraw/zinc/55/68/30/665556830.db2.gz WJNAXZDDCHGQJZ-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN O=C(N[C@H]1C[C@H]([NH2+]Cc2nnc(C3CC3)s2)C1)c1nc[nH]n1 ZINC001020777448 658459302 /nfs/dbraw/zinc/45/93/02/658459302.db2.gz XTJQCRUAFXSBDG-KYZUINATSA-N 0 2 319.394 0.584 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cc[nH]c1 ZINC001024453940 658629516 /nfs/dbraw/zinc/62/95/16/658629516.db2.gz VONFOPRBJGLGCF-NSHDSACASA-N 0 2 304.354 0.623 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cc[nH]c1 ZINC001024453940 658629517 /nfs/dbraw/zinc/62/95/17/658629517.db2.gz VONFOPRBJGLGCF-NSHDSACASA-N 0 2 304.354 0.623 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc2n(n1)CCCC2 ZINC000804754236 658633584 /nfs/dbraw/zinc/63/35/84/658633584.db2.gz XNSVEFMFYALMBZ-UHFFFAOYSA-N 0 2 313.321 0.243 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)C1CCC1 ZINC001022871992 658718376 /nfs/dbraw/zinc/71/83/76/658718376.db2.gz SVWWJOYRNDVQDL-HLTSFMKQSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O)C1CCC1 ZINC001022871992 658718380 /nfs/dbraw/zinc/71/83/80/658718380.db2.gz SVWWJOYRNDVQDL-HLTSFMKQSA-N 0 2 312.263 0.375 20 0 DCADLN Cc1nc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001032697911 665614306 /nfs/dbraw/zinc/61/43/06/665614306.db2.gz WLTWLQOMNFFBON-UWVGGRQHSA-N 0 2 318.337 0.214 20 0 DCADLN O=C([C@@H]1C[C@H]1C1CC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032675268 665607451 /nfs/dbraw/zinc/60/74/51/665607451.db2.gz OXCNNIDAZOZCMB-FIQHERPVSA-N 0 2 303.366 0.342 20 0 DCADLN Cc1nnsc1C[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010641033 658823235 /nfs/dbraw/zinc/82/32/35/658823235.db2.gz QGIMUMOMVWAWOA-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN Cc1nnsc1C[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010641033 658823243 /nfs/dbraw/zinc/82/32/43/658823243.db2.gz QGIMUMOMVWAWOA-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN Cc1nocc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970360451 658895919 /nfs/dbraw/zinc/89/59/19/658895919.db2.gz FPJCOSLZRVEKGA-ZETCQYMHSA-N 0 2 306.326 0.057 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)nc1 ZINC001006939493 659024691 /nfs/dbraw/zinc/02/46/91/659024691.db2.gz OBIHFKSQDJZVQC-SNVBAGLBSA-N 0 2 320.328 0.439 20 0 DCADLN C[C@H](NC(=O)c1cnon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006691746 659107845 /nfs/dbraw/zinc/10/78/45/659107845.db2.gz KVTYKHSXEGLGDJ-YLWLKBPMSA-N 0 2 324.234 0.547 20 0 DCADLN C[C@H](NC(=O)c1cnon1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001006691746 659107848 /nfs/dbraw/zinc/10/78/48/659107848.db2.gz KVTYKHSXEGLGDJ-YLWLKBPMSA-N 0 2 324.234 0.547 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCOCC(F)F ZINC000185468270 659249978 /nfs/dbraw/zinc/24/99/78/659249978.db2.gz KAUSOYHUZUCNLK-UHFFFAOYSA-N 0 2 315.276 0.698 20 0 DCADLN C[NH+](Cc1ncccn1)[C@@H]1CCCN(C(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001027331563 659256389 /nfs/dbraw/zinc/25/63/89/659256389.db2.gz ILQCLSVOKZWVPR-HUUCEWRRSA-N 0 2 317.437 0.994 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1csc(=O)[nH]1 ZINC001008242836 659274841 /nfs/dbraw/zinc/27/48/41/659274841.db2.gz QLBLHBMUAFNMFV-SSDOTTSWSA-N 0 2 324.366 0.067 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(Cl)c[nH]1 ZINC001006730718 659521544 /nfs/dbraw/zinc/52/15/44/659521544.db2.gz ZSOYKCMWSJPWAF-SECBINFHSA-N 0 2 324.772 0.886 20 0 DCADLN CC1(C)C[N@@H+](CCCO)C[C@H]1NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000974743305 659652318 /nfs/dbraw/zinc/65/23/18/659652318.db2.gz QNWJJWUACLYDBF-UONOGXRCSA-N 0 2 320.437 0.655 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1nccs1 ZINC001028223019 661315507 /nfs/dbraw/zinc/31/55/07/661315507.db2.gz XNQJIHHMTDEXDM-MRVPVSSYSA-N 0 2 308.367 0.361 20 0 DCADLN CCc1nc[nH]c1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028290891 661426163 /nfs/dbraw/zinc/42/61/63/661426163.db2.gz XOAQVYZUCFLFKL-SECBINFHSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1nc[nH]c1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028290891 661426166 /nfs/dbraw/zinc/42/61/66/661426166.db2.gz XOAQVYZUCFLFKL-SECBINFHSA-N 0 2 319.369 0.190 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCCC12CC2 ZINC001038398680 661696809 /nfs/dbraw/zinc/69/68/09/661696809.db2.gz IBVMYKWIVZYCLB-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@H]1C[C@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CCO1 ZINC000982998369 661735044 /nfs/dbraw/zinc/73/50/44/661735044.db2.gz DPCVJNGAJHEIPZ-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CCO1 ZINC000982998363 661735158 /nfs/dbraw/zinc/73/51/58/661735158.db2.gz DPCVJNGAJHEIPZ-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CO[C@H](C)C(=O)N1CCC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000950162984 661911071 /nfs/dbraw/zinc/91/10/71/661911071.db2.gz ICRJYJIFPNZDEE-NEPJUHHUSA-N 0 2 308.382 0.403 20 0 DCADLN CC(C)NC(=O)CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000788266756 661974991 /nfs/dbraw/zinc/97/49/91/661974991.db2.gz QFJGTJPRYRSBAW-JTQLQIEISA-N 0 2 313.295 0.556 20 0 DCADLN CC(C)NC(=O)CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000788266756 661974996 /nfs/dbraw/zinc/97/49/96/661974996.db2.gz QFJGTJPRYRSBAW-JTQLQIEISA-N 0 2 313.295 0.556 20 0 DCADLN C[C@@H]1C[N@H+](CCN=c2nn[n-]n2Cc2ccccc2)[C@@H](C)CO1 ZINC000894520683 661976516 /nfs/dbraw/zinc/97/65/16/661976516.db2.gz CVPLTZZINYFGMV-UONOGXRCSA-N 0 2 316.409 0.664 20 0 DCADLN C[C@@H]1C[N@@H+](CCN=c2nn[n-]n2Cc2ccccc2)[C@@H](C)CO1 ZINC000894520683 661976518 /nfs/dbraw/zinc/97/65/18/661976518.db2.gz CVPLTZZINYFGMV-UONOGXRCSA-N 0 2 316.409 0.664 20 0 DCADLN Cc1cncc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001006987055 661998864 /nfs/dbraw/zinc/99/88/64/661998864.db2.gz BMNGOMMCAZNJGP-LBPRGKRZSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)on1 ZINC001029209984 662051130 /nfs/dbraw/zinc/05/11/30/662051130.db2.gz PPRZPIUHXOOIRK-AOOOYVTPSA-N 0 2 318.337 0.296 20 0 DCADLN CC(C)[C@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029534489 662363864 /nfs/dbraw/zinc/36/38/64/662363864.db2.gz LQGGGRZLBNHJFV-XQHKEYJVSA-N 0 2 319.409 0.978 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+](C)C1CCN(C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000985383894 662423406 /nfs/dbraw/zinc/42/34/06/662423406.db2.gz FVMKMMVPWYHFLD-NSHDSACASA-N 0 2 323.397 0.224 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+](C)C1CCN(C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000985383894 662423408 /nfs/dbraw/zinc/42/34/08/662423408.db2.gz FVMKMMVPWYHFLD-NSHDSACASA-N 0 2 323.397 0.224 20 0 DCADLN O=C([O-])COCCNC(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000909636824 662489001 /nfs/dbraw/zinc/48/90/01/662489001.db2.gz KERAYUOWXZWACM-UHFFFAOYSA-N 0 2 303.318 0.632 20 0 DCADLN COc1cc(C(=O)[O-])ccc1CCNC(=O)Cn1cc[nH+]c1 ZINC000909637668 662489469 /nfs/dbraw/zinc/48/94/69/662489469.db2.gz KFICHBJUVOPKDI-UHFFFAOYSA-N 0 2 303.318 0.949 20 0 DCADLN Cc1ncc(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)[nH]1 ZINC001000527992 665873835 /nfs/dbraw/zinc/87/38/35/665873835.db2.gz FYCFBDLVWJOKBZ-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN Cc1ncc(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)[nH]1 ZINC001000527992 665873836 /nfs/dbraw/zinc/87/38/36/665873836.db2.gz FYCFBDLVWJOKBZ-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN Cc1ccc(C[NH+]2CCOCC2)cc1NC(=O)c1cc(=O)[nH][n-]1 ZINC000738545843 662524143 /nfs/dbraw/zinc/52/41/43/662524143.db2.gz RFDHQDGOUXILHL-UHFFFAOYSA-N 0 2 316.361 0.642 20 0 DCADLN C[C@@H]1[C@H]([NH2+]Cc2cncn2C)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000987070016 662641066 /nfs/dbraw/zinc/64/10/66/662641066.db2.gz RNUHTZWNOWYNPA-BXUZGUMPSA-N 0 2 302.382 0.465 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CCOCN3)=N2)cc1 ZINC000928950756 662641828 /nfs/dbraw/zinc/64/18/28/662641828.db2.gz WXXBGTRBVXLIEM-UHFFFAOYSA-N 0 2 304.306 0.521 20 0 DCADLN C[C@@H](CN(C)c1cc(N2CCC[C@H](CO)C2)nc[nH+]1)C(=O)[O-] ZINC000263557421 662715983 /nfs/dbraw/zinc/71/59/83/662715983.db2.gz PEZCZGVJNAPNQA-RYUDHWBXSA-N 0 2 308.382 0.842 20 0 DCADLN C[C@@H](CN(C)c1cc(N2CCC[C@H](CO)C2)[nH+]cn1)C(=O)[O-] ZINC000263557421 662715985 /nfs/dbraw/zinc/71/59/85/662715985.db2.gz PEZCZGVJNAPNQA-RYUDHWBXSA-N 0 2 308.382 0.842 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937774075 662821727 /nfs/dbraw/zinc/82/17/27/662821727.db2.gz KYGRQTIQEXPXAY-WPRPVWTQSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000937774075 662821728 /nfs/dbraw/zinc/82/17/28/662821728.db2.gz KYGRQTIQEXPXAY-WPRPVWTQSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC000937866316 662835443 /nfs/dbraw/zinc/83/54/43/662835443.db2.gz ZSHPLTWGXWQNJQ-UWVGGRQHSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC000937866316 662835444 /nfs/dbraw/zinc/83/54/44/662835444.db2.gz ZSHPLTWGXWQNJQ-UWVGGRQHSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cccnc1 ZINC000990075556 663001381 /nfs/dbraw/zinc/00/13/81/663001381.db2.gz MOAHMJJFOJYMJR-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cccnc1 ZINC000990075556 663001382 /nfs/dbraw/zinc/00/13/82/663001382.db2.gz MOAHMJJFOJYMJR-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN COc1cccc2c(C(=O)NN3C(=O)[C@@H](C)N(C)C3=O)cnn21 ZINC000899043201 663119198 /nfs/dbraw/zinc/11/91/98/663119198.db2.gz VTXOIOREMPGDSD-MRVPVSSYSA-N 0 2 317.305 0.270 20 0 DCADLN O=C(NCCN1CCC2(C1)OCCO2)C(F)C(F)(F)F ZINC000899062018 663122172 /nfs/dbraw/zinc/12/21/72/663122172.db2.gz LUBPVKOCWKICHV-QMMMGPOBSA-N 0 2 300.252 0.452 20 0 DCADLN COCCN(CCC(=O)[O-])c1cc(N2CCOCC2)nc[nH+]1 ZINC000900980030 663298842 /nfs/dbraw/zinc/29/88/42/663298842.db2.gz WNDGOUSAIOAMLA-UHFFFAOYSA-N 0 2 310.354 0.241 20 0 DCADLN COCCN(CCC(=O)[O-])c1cc(N2CCOCC2)[nH+]cn1 ZINC000900980030 663298843 /nfs/dbraw/zinc/29/88/43/663298843.db2.gz WNDGOUSAIOAMLA-UHFFFAOYSA-N 0 2 310.354 0.241 20 0 DCADLN [NH3+]C1(C(=O)[N-]S(=O)(=O)C2CCCC2)Cc2ccccc2C1 ZINC000901034648 663306397 /nfs/dbraw/zinc/30/63/97/663306397.db2.gz KDKMCSZPRPYNAK-UHFFFAOYSA-N 0 2 308.403 0.871 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(Cl)o1 ZINC001038065316 663330332 /nfs/dbraw/zinc/33/03/32/663330332.db2.gz SQXBEOPTBJMIQJ-ZETCQYMHSA-N 0 2 311.729 0.761 20 0 DCADLN Cc1noc(C[N@H+]2CC=C(CNC(=O)c3cnn[n-]3)CC2)n1 ZINC001000891446 665951116 /nfs/dbraw/zinc/95/11/16/665951116.db2.gz PIRLZMUDDXXYDG-UHFFFAOYSA-N 0 2 303.326 0.058 20 0 DCADLN Cc1noc(C[N@@H+]2CC=C(CNC(=O)c3cnn[n-]3)CC2)n1 ZINC001000891446 665951118 /nfs/dbraw/zinc/95/11/18/665951118.db2.gz PIRLZMUDDXXYDG-UHFFFAOYSA-N 0 2 303.326 0.058 20 0 DCADLN CCC[C@H]1CC(=O)N(CN2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)C1 ZINC000902614815 663422130 /nfs/dbraw/zinc/42/21/30/663422130.db2.gz NWCOAMPMBMDFMJ-AGIUHOORSA-N 0 2 317.455 0.449 20 0 DCADLN NC(=NOC[C@@H]1CNC(=O)C1)[C@@H]1CCC(=O)N(CC2CCC2)C1 ZINC000902613429 663422249 /nfs/dbraw/zinc/42/22/49/663422249.db2.gz NSTKCNWABKZSOF-QWHCGFSZSA-N 0 2 322.409 0.660 20 0 DCADLN COc1cccc(CNC(=O)[C@H](C)ON=C(N)CN(C)C)c1 ZINC000902613820 663422260 /nfs/dbraw/zinc/42/22/60/663422260.db2.gz XEHDBXQEMZCOTR-NSHDSACASA-N 0 2 308.382 0.760 20 0 DCADLN CC(C)C[C@H](C(=O)N1CCN(C(=O)C(=O)[O-])CC1)n1cc[nH+]c1 ZINC000902773705 663433284 /nfs/dbraw/zinc/43/32/84/663433284.db2.gz WVKYOCGURMIPSO-GFCCVEGCSA-N 0 2 322.365 0.226 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccnn2CC2CCC2)nn1C ZINC000903632758 663472478 /nfs/dbraw/zinc/47/24/78/663472478.db2.gz AKFVPQJWRUVRDU-UHFFFAOYSA-N 0 2 310.383 0.921 20 0 DCADLN O=C(C[NH+]1CCCC1)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001000937143 665967101 /nfs/dbraw/zinc/96/71/01/665967101.db2.gz RNCWZZAVXVTOQX-LBPRGKRZSA-N 0 2 309.439 0.715 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC[C@H](F)C1 ZINC001001087726 665993941 /nfs/dbraw/zinc/99/39/41/665993941.db2.gz QZLPAMKGSUWIRK-NEPJUHHUSA-N 0 2 323.372 0.897 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC[C@H](F)C1 ZINC001001087726 665993942 /nfs/dbraw/zinc/99/39/42/665993942.db2.gz QZLPAMKGSUWIRK-NEPJUHHUSA-N 0 2 323.372 0.897 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)NCCCn1cc[nH+]c1 ZINC000908737450 663767608 /nfs/dbraw/zinc/76/76/08/663767608.db2.gz KRMCPBFJRZGMCA-GFCCVEGCSA-N 0 2 312.370 0.793 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2CC3CC2(C(=O)[O-])C3)CCO1 ZINC000908913229 663775032 /nfs/dbraw/zinc/77/50/32/663775032.db2.gz DLJUYSXDOKDCBJ-UHFFFAOYSA-N 0 2 311.382 0.356 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2CC3CC2(C(=O)[O-])C3)CCO1 ZINC000908913229 663775033 /nfs/dbraw/zinc/77/50/33/663775033.db2.gz DLJUYSXDOKDCBJ-UHFFFAOYSA-N 0 2 311.382 0.356 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC2(CC2)C1 ZINC001001135506 665995456 /nfs/dbraw/zinc/99/54/56/665995456.db2.gz DJWATPFQLFQSQZ-UHFFFAOYSA-N 0 2 317.393 0.949 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC2(CC2)C1 ZINC001001135506 665995457 /nfs/dbraw/zinc/99/54/57/665995457.db2.gz DJWATPFQLFQSQZ-UHFFFAOYSA-N 0 2 317.393 0.949 20 0 DCADLN CC[C@H](C(=O)N1CCO[C@H](C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000909216028 663787649 /nfs/dbraw/zinc/78/76/49/663787649.db2.gz ISTKZWAUVRIWEA-UPJWGTAASA-N 0 2 314.382 0.188 20 0 DCADLN CC[C@H](C(=O)N1CCO[C@H](C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000909216028 663787650 /nfs/dbraw/zinc/78/76/50/663787650.db2.gz ISTKZWAUVRIWEA-UPJWGTAASA-N 0 2 314.382 0.188 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CC1(F)F ZINC000991211808 663819592 /nfs/dbraw/zinc/81/95/92/663819592.db2.gz CIAUMBVESVRVHQ-NTSWFWBYSA-N 0 2 304.190 0.869 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CC1(F)F ZINC000991211808 663819595 /nfs/dbraw/zinc/81/95/95/663819595.db2.gz CIAUMBVESVRVHQ-NTSWFWBYSA-N 0 2 304.190 0.869 20 0 DCADLN Cc1cccn2cc(CC(=O)N3C[C@@H](C)O[C@H](C(=O)[O-])C3)[nH+]c12 ZINC000910227498 663856201 /nfs/dbraw/zinc/85/62/01/663856201.db2.gz GRJRPPYPDHWKIB-YPMHNXCESA-N 0 2 317.345 0.886 20 0 DCADLN O=C([O-])[C@]1(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCCOC1 ZINC000910307134 663866934 /nfs/dbraw/zinc/86/69/34/663866934.db2.gz MAIDWXBNOFYIFM-ABAIWWIYSA-N 0 2 307.350 0.443 20 0 DCADLN Cn1ncnc1N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000910309615 663867441 /nfs/dbraw/zinc/86/74/41/663867441.db2.gz UOAOUOLMKJIOBA-UHFFFAOYSA-N 0 2 302.338 0.625 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)Cc3cn4c([nH+]3)CCCC4)C[C@@H]21 ZINC000910850468 663944659 /nfs/dbraw/zinc/94/46/59/663944659.db2.gz JUIGEKHPUBPGRO-TYNCELHUSA-N 0 2 303.362 0.941 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cncc(C(=O)[O-])c1 ZINC000910987354 663965565 /nfs/dbraw/zinc/96/55/65/663965565.db2.gz LFKOQZPYOWSDHY-ZDUSSCGKSA-N 0 2 307.350 0.620 20 0 DCADLN O=C([O-])c1cnc(CCNC(=O)[C@@H]2CCn3c[nH+]cc3C2)s1 ZINC000911002757 663969644 /nfs/dbraw/zinc/96/96/44/663969644.db2.gz WHIKFWSGTDMXJB-SECBINFHSA-N 0 2 320.374 0.959 20 0 DCADLN O=C([O-])CSCCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000911092555 663981105 /nfs/dbraw/zinc/98/11/05/663981105.db2.gz AVVFJEIYCJEFJV-UHFFFAOYSA-N 0 2 309.391 0.938 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C(F)(F)F ZINC000911161985 663995737 /nfs/dbraw/zinc/99/57/37/663995737.db2.gz QBQYTIGPYUHAOR-IONNQARKSA-N 0 2 305.256 0.967 20 0 DCADLN CC(C)(O)CCC(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911168142 664000142 /nfs/dbraw/zinc/00/01/42/664000142.db2.gz MBAXNAXEPXNUOG-UHFFFAOYSA-N 0 2 321.377 0.980 20 0 DCADLN C[C@H]1CO[C@@H](C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)C1 ZINC000911170480 664000664 /nfs/dbraw/zinc/00/06/64/664000664.db2.gz UNGSMNFOTLCNCZ-DGCLKSJQSA-N 0 2 319.361 0.853 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)COCC3CC3)CC2)[nH+]c1 ZINC000911174370 664001440 /nfs/dbraw/zinc/00/14/40/664001440.db2.gz VYXZBWSGCVASDN-UHFFFAOYSA-N 0 2 319.361 0.855 20 0 DCADLN O=C([O-])C1(C(=O)NCCc2cn3c([nH+]2)CCCC3)CCOCC1 ZINC000911221741 664007984 /nfs/dbraw/zinc/00/79/84/664007984.db2.gz SVFLHVNGFNEAOF-UHFFFAOYSA-N 0 2 321.377 0.760 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)Cc1cccc(C(=O)[O-])c1 ZINC000911335368 664030064 /nfs/dbraw/zinc/03/00/64/664030064.db2.gz ZTHQUJZVAMRYPC-UHFFFAOYSA-N 0 2 306.362 0.718 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2occc2[nH]1 ZINC001030387376 664525750 /nfs/dbraw/zinc/52/57/50/664525750.db2.gz SPZGCYYSFQMHKH-UHFFFAOYSA-N 0 2 302.294 0.199 20 0 DCADLN O=C(N[C@@]12CCC[C@@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cnco1 ZINC000992054054 664654226 /nfs/dbraw/zinc/65/42/26/664654226.db2.gz XLEXLZBYEYPLLF-IINYFYTJSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@@]12CCC[C@@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cnco1 ZINC000992054054 664654227 /nfs/dbraw/zinc/65/42/27/664654227.db2.gz XLEXLZBYEYPLLF-IINYFYTJSA-N 0 2 318.337 0.425 20 0 DCADLN Cn1cncc1C[N@@H+]1CC[C@]2(NC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC000992326333 664697636 /nfs/dbraw/zinc/69/76/36/664697636.db2.gz GAAOYUZZCVNJCO-IUODEOHRSA-N 0 2 315.381 0.465 20 0 DCADLN Cn1cncc1C[N@H+]1CC[C@]2(NC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC000992326333 664697637 /nfs/dbraw/zinc/69/76/37/664697637.db2.gz GAAOYUZZCVNJCO-IUODEOHRSA-N 0 2 315.381 0.465 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc(F)cc(F)c1 ZINC000730521910 664784999 /nfs/dbraw/zinc/78/49/99/664784999.db2.gz XUZQDIDIEXMIGB-UHFFFAOYSA-N 0 2 305.244 0.988 20 0 DCADLN Cc1cc([C@@H](C)C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001031109329 664794399 /nfs/dbraw/zinc/79/43/99/664794399.db2.gz GFGAPQAPCSVGPV-SECBINFHSA-N 0 2 319.365 0.824 20 0 DCADLN CN(Cc1ccc(O)cc1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730587511 664795324 /nfs/dbraw/zinc/79/53/24/664795324.db2.gz RUYFVKZMWQBCHN-UHFFFAOYSA-N 0 2 313.317 0.435 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000993103066 664808943 /nfs/dbraw/zinc/80/89/43/664808943.db2.gz LQNHHFVVNZEELR-WPRPVWTQSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cnsn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993120811 664817739 /nfs/dbraw/zinc/81/77/39/664817739.db2.gz WYZXRRVIDLYUJO-HTQZYQBOSA-N 0 2 323.382 0.145 20 0 DCADLN CC[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](C)O1 ZINC000732145443 664905883 /nfs/dbraw/zinc/90/58/83/664905883.db2.gz CFXXVKMKGGRTHQ-UWVGGRQHSA-N 0 2 305.338 0.097 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cncn2C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993572915 664980087 /nfs/dbraw/zinc/98/00/87/664980087.db2.gz SAQYOTZHVOIKQJ-NXEZZACHSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000993641401 664987391 /nfs/dbraw/zinc/98/73/91/664987391.db2.gz FGHRCSQNENIDBJ-KOLCDFICSA-N 0 2 319.369 0.325 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccncn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994033574 665034223 /nfs/dbraw/zinc/03/42/23/665034223.db2.gz CQMHNJOPTMNREV-NXEZZACHSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2nccs2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994064371 665034326 /nfs/dbraw/zinc/03/43/26/665034326.db2.gz VHJKSFLWNFOQDO-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN CO[C@H]1CCCC[C@@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031467219 665162357 /nfs/dbraw/zinc/16/23/57/665162357.db2.gz ZSKHJTGBGJNSKF-QWRGUYRKSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1coc2ccccc12 ZINC001031478983 665168296 /nfs/dbraw/zinc/16/82/96/665168296.db2.gz CPRMJKPYPVXYOB-UHFFFAOYSA-N 0 2 313.317 0.871 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=S)NC1CC[NH+](C)CC1 ZINC000920310218 665266651 /nfs/dbraw/zinc/26/66/51/665266651.db2.gz VSVMSQIKALMOKU-GFCCVEGCSA-N 0 2 300.472 0.265 20 0 DCADLN CO[N-]C(=O)CNC(=O)N[C@@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000920873988 665284158 /nfs/dbraw/zinc/28/41/58/665284158.db2.gz FERVOERAUACCKZ-NSHDSACASA-N 0 2 317.349 0.910 20 0 DCADLN Cn1cccc1CNC(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000921018224 665286272 /nfs/dbraw/zinc/28/62/72/665286272.db2.gz WSWCYURXGFNIPI-UHFFFAOYSA-N 0 2 304.354 0.938 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)NCc3nc[nH]n3)cc2[nH]c1=S ZINC000921075630 665287672 /nfs/dbraw/zinc/28/76/72/665287672.db2.gz DEBAFHGYDPNTMN-UHFFFAOYSA-N 0 2 316.346 0.270 20 0 DCADLN CCN1CCC[C@@H](NS(=O)(=O)N=S2(=O)CCCC2)C1=O ZINC000921491044 665306447 /nfs/dbraw/zinc/30/64/47/665306447.db2.gz YRYWRCALZBJUBD-SNVBAGLBSA-N 0 2 323.440 0.094 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938399547 665350731 /nfs/dbraw/zinc/35/07/31/665350731.db2.gz PDBJPQSQWXXUKN-POYBYMJQSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938399547 665350734 /nfs/dbraw/zinc/35/07/34/665350734.db2.gz PDBJPQSQWXXUKN-POYBYMJQSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1ncccc1C[NH+]1CC(CNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001031951759 666003393 /nfs/dbraw/zinc/00/33/93/666003393.db2.gz WWJYMHYYAIULPJ-UHFFFAOYSA-N 0 2 315.377 0.691 20 0 DCADLN C[C@H]1C[N@H+](Cc2nncs2)CC[C@H]1NC(=O)c1cnn[n-]1 ZINC000942215881 666132402 /nfs/dbraw/zinc/13/24/02/666132402.db2.gz HVXCOBCMXXQJPX-DTWKUNHWSA-N 0 2 307.383 0.297 20 0 DCADLN C[C@H]1C[N@@H+](Cc2nncs2)CC[C@H]1NC(=O)c1cnn[n-]1 ZINC000942215881 666132404 /nfs/dbraw/zinc/13/24/04/666132404.db2.gz HVXCOBCMXXQJPX-DTWKUNHWSA-N 0 2 307.383 0.297 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1Cl ZINC001032003929 666134330 /nfs/dbraw/zinc/13/43/30/666134330.db2.gz LCTXFENESGZLKS-UHFFFAOYSA-N 0 2 322.756 0.421 20 0 DCADLN Cc1ccc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)n1C ZINC001002342254 666159025 /nfs/dbraw/zinc/15/90/25/666159025.db2.gz WNZBAQTXFIPRRG-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)nn1 ZINC001002781600 666220790 /nfs/dbraw/zinc/22/07/90/666220790.db2.gz FYTXAELYQXLORL-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN CN1CCC[C@@H]([N@@H+]2CC[C@H](NC(=O)[C@@H]3CCCC[NH+]3C)C2)C1=O ZINC001032829735 666233509 /nfs/dbraw/zinc/23/35/09/666233509.db2.gz BWCBTNBIXQRUKT-SOUVJXGZSA-N 0 2 322.453 0.282 20 0 DCADLN Cc1nonc1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001002942015 666248564 /nfs/dbraw/zinc/24/85/64/666248564.db2.gz OVGXRBADSUFXDS-MRVPVSSYSA-N 0 2 324.234 0.467 20 0 DCADLN Cc1nonc1C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001002942015 666248566 /nfs/dbraw/zinc/24/85/66/666248566.db2.gz OVGXRBADSUFXDS-MRVPVSSYSA-N 0 2 324.234 0.467 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccsn1 ZINC001003122445 666276616 /nfs/dbraw/zinc/27/66/16/666276616.db2.gz YDTBNNDTKVXRJR-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC[C@@H](F)C1 ZINC001003510142 666320316 /nfs/dbraw/zinc/32/03/16/666320316.db2.gz QFMKTWOIHPLFHH-NXEZZACHSA-N 0 2 311.361 0.729 20 0 DCADLN CCc1ncoc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003586536 666329737 /nfs/dbraw/zinc/32/97/37/666329737.db2.gz FOZNEXBAPFHKLG-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003808117 666369017 /nfs/dbraw/zinc/36/90/17/666369017.db2.gz NGWKXDNCCIRQIS-UHFFFAOYSA-N 0 2 319.369 0.245 20 0 DCADLN CN(C(=O)C1(F)CCCC1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033214718 666627941 /nfs/dbraw/zinc/62/79/41/666627941.db2.gz PYJSZAKNBYQREL-SNVBAGLBSA-N 0 2 311.361 0.825 20 0 DCADLN O=C(c1ccsc1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032268381 666634680 /nfs/dbraw/zinc/63/46/80/666634680.db2.gz AUDBILVCVPOHJM-UWVGGRQHSA-N 0 2 305.363 0.671 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCO1 ZINC001034086371 666914333 /nfs/dbraw/zinc/91/43/33/666914333.db2.gz ALLHKZHSZDYOAP-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCO1 ZINC001034086371 666914337 /nfs/dbraw/zinc/91/43/37/666914337.db2.gz ALLHKZHSZDYOAP-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCO1 ZINC001034086373 666914622 /nfs/dbraw/zinc/91/46/22/666914622.db2.gz ALLHKZHSZDYOAP-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCO1 ZINC001034086373 666914623 /nfs/dbraw/zinc/91/46/23/666914623.db2.gz ALLHKZHSZDYOAP-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2nn(C)c3ccccc32)C1=O ZINC000861447110 666928381 /nfs/dbraw/zinc/92/83/81/666928381.db2.gz SKTBIRGXGBBEHO-HNNXBMFYSA-N 0 2 315.333 0.939 20 0 DCADLN Cn1cnc(C(=O)N[C@@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001034168392 666942659 /nfs/dbraw/zinc/94/26/59/666942659.db2.gz IOOQSDZCCHYPCQ-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN Cn1cnc(C(=O)N[C@@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001034168392 666942662 /nfs/dbraw/zinc/94/26/62/666942662.db2.gz IOOQSDZCCHYPCQ-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)C(F)C(F)(F)F ZINC000862845282 667087069 /nfs/dbraw/zinc/08/70/69/667087069.db2.gz WAMZCYDPQGNVKI-BWZBUEFSSA-N 0 2 320.308 0.863 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC000862845282 667087073 /nfs/dbraw/zinc/08/70/73/667087073.db2.gz WAMZCYDPQGNVKI-BWZBUEFSSA-N 0 2 320.308 0.863 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2Cc3ccccc3NC2=O)S1 ZINC000862997562 667107684 /nfs/dbraw/zinc/10/76/84/667107684.db2.gz UZLJIFYPXVJGMQ-ZJUUUORDSA-N 0 2 318.358 0.222 20 0 DCADLN O=C([C@@H]1CCCc2c[nH]nc21)N1C[C@@H]2C[C@H]1C[N@@H+]2CCCO ZINC001032405169 667179852 /nfs/dbraw/zinc/17/98/52/667179852.db2.gz CGUVAJVYLBPFOH-MELADBBJSA-N 0 2 304.394 0.497 20 0 DCADLN O=C([O-])[C@H](CC1CC1)NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000864132991 667218175 /nfs/dbraw/zinc/21/81/75/667218175.db2.gz XRUSOCGGHFPBDQ-KBPBESRZSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])[C@H](CC1CC1)NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000864132991 667218177 /nfs/dbraw/zinc/21/81/77/667218177.db2.gz XRUSOCGGHFPBDQ-KBPBESRZSA-N 0 2 310.394 0.999 20 0 DCADLN O=C(C1CCC1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035633070 667390336 /nfs/dbraw/zinc/39/03/36/667390336.db2.gz ZVCTWGAIOMHGBE-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN CO[C@H](C)C[NH+]1CC2(C1)CCN(C(=O)c1[nH]nc(C)c1[O-])CC2 ZINC001035686548 667398576 /nfs/dbraw/zinc/39/85/76/667398576.db2.gz IUUUPMHYQHDGQB-LLVKDONJSA-N 0 2 322.409 0.997 20 0 DCADLN O=C(c1cocn1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035667539 667399583 /nfs/dbraw/zinc/39/95/83/667399583.db2.gz KHXXAGIGXWTCPB-UHFFFAOYSA-N 0 2 318.337 0.237 20 0 DCADLN Cn1cnc2cncc(C(=O)NCCc3n[nH]c(=S)o3)c21 ZINC000867483990 667407083 /nfs/dbraw/zinc/40/70/83/667407083.db2.gz OTYHLERKZLBXGY-UHFFFAOYSA-N 0 2 304.335 0.613 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@@H+]1Cc1cncc(C(=O)OC)c1 ZINC000923247005 667481963 /nfs/dbraw/zinc/48/19/63/667481963.db2.gz LLMSSQNCWMZSMV-HNNXBMFYSA-N 0 2 308.334 0.934 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@H+]1Cc1cncc(C(=O)OC)c1 ZINC000923247005 667481965 /nfs/dbraw/zinc/48/19/65/667481965.db2.gz LLMSSQNCWMZSMV-HNNXBMFYSA-N 0 2 308.334 0.934 20 0 DCADLN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(F)C(F)(F)F ZINC000870355274 667733945 /nfs/dbraw/zinc/73/39/45/667733945.db2.gz APEVVMXNMVHJIL-ZLUOBGJFSA-N 0 2 302.224 0.069 20 0 DCADLN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC000870355274 667733949 /nfs/dbraw/zinc/73/39/49/667733949.db2.gz APEVVMXNMVHJIL-ZLUOBGJFSA-N 0 2 302.224 0.069 20 0 DCADLN C[NH+]1CCN(c2cc[nH+]c(NC(=O)C[C@@H]3COC(=O)C3)c2)CC1 ZINC000870667453 667750805 /nfs/dbraw/zinc/75/08/05/667750805.db2.gz XVBCDXLVULHCKO-LBPRGKRZSA-N 0 2 318.377 0.725 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc2nccn21 ZINC000741969959 667778922 /nfs/dbraw/zinc/77/89/22/667778922.db2.gz RUGCFZHBDLYCFH-UHFFFAOYSA-N 0 2 309.289 0.358 20 0 DCADLN CCc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc(C)n1 ZINC000741983143 667779093 /nfs/dbraw/zinc/77/90/93/667779093.db2.gz MEURDTFXNVKIMT-UHFFFAOYSA-N 0 2 313.321 0.371 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000742469503 667792021 /nfs/dbraw/zinc/79/20/21/667792021.db2.gz AHPUONXAGPSRPG-BDAKNGLRSA-N 0 2 313.379 0.345 20 0 DCADLN CN(C)C(=O)c1ccccc1NC(=O)CC1SC(=N)NC1=O ZINC000742533389 667793286 /nfs/dbraw/zinc/79/32/86/667793286.db2.gz WYOUEROCGGOBAC-SNVBAGLBSA-N 0 2 320.374 0.883 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2COc3ccccc3C2)S1 ZINC000742540650 667793780 /nfs/dbraw/zinc/79/37/80/667793780.db2.gz OKDGMMOSXVQTEG-MWLCHTKSSA-N 0 2 305.359 0.663 20 0 DCADLN COc1c(C)cnc(CNC(=O)C[C@H]2SC(=N)NC2=O)c1C ZINC000742598685 667794221 /nfs/dbraw/zinc/79/42/21/667794221.db2.gz GAIZXZOZCDBSJN-SNVBAGLBSA-N 0 2 322.390 0.880 20 0 DCADLN COc1ccc(NC(=O)CC2SC(=N)NC2=O)c(OC)n1 ZINC000742588668 667794724 /nfs/dbraw/zinc/79/47/24/667794724.db2.gz BZEJOVGSZQWIQJ-SSDOTTSWSA-N 0 2 310.335 0.594 20 0 DCADLN CCOC(=O)CN(C(=O)C[C@H]1SC(=N)NC1=O)C(C)C ZINC000742618083 667794888 /nfs/dbraw/zinc/79/48/88/667794888.db2.gz FBHKOCSHUREFBH-MRVPVSSYSA-N 0 2 301.368 0.343 20 0 DCADLN COCCOCCCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742608069 667795196 /nfs/dbraw/zinc/79/51/96/667795196.db2.gz QXINRRBXHPFPCG-VIFPVBQESA-N 0 2 303.384 0.102 20 0 DCADLN C[C@@](NC(=O)C[C@H]1SC(=N)NC1=O)(C(N)=O)c1ccccc1 ZINC000742603000 667795216 /nfs/dbraw/zinc/79/52/16/667795216.db2.gz DBNCXUWKVBQNIO-OTYXRUKQSA-N 0 2 320.374 0.060 20 0 DCADLN COC(=O)C1CCC(NC(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC000742643284 667795556 /nfs/dbraw/zinc/79/55/56/667795556.db2.gz PGJLAQNCUWYAEG-AMDVSUOASA-N 0 2 313.379 0.391 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](c3ncc[nH]3)C2)S1 ZINC000742628557 667795679 /nfs/dbraw/zinc/79/56/79/667795679.db2.gz WNDSXHQITFMLET-RKDXNWHRSA-N 0 2 307.379 0.672 20 0 DCADLN COc1nc(C)cc(C)c1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742625455 667795878 /nfs/dbraw/zinc/79/58/78/667795878.db2.gz CQJYBVRBUPQCHK-JTQLQIEISA-N 0 2 322.390 0.880 20 0 DCADLN C[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](C)S1 ZINC000742771687 667798706 /nfs/dbraw/zinc/79/87/06/667798706.db2.gz DRLUWLLYSBPYMP-IUCAKERBSA-N 0 2 307.379 0.424 20 0 DCADLN Cn1cc[nH+]c1C[C@@H](NC(=O)c1cc(=O)[nH][n-]1)c1ccccc1 ZINC000743757785 667815335 /nfs/dbraw/zinc/81/53/35/667815335.db2.gz YDOBHYHHESJQLT-GFCCVEGCSA-N 0 2 311.345 0.696 20 0 DCADLN COC(=O)CCN(CC(=O)[O-])c1cc(N2CCCCC2)[nH+]cn1 ZINC000886919430 667819764 /nfs/dbraw/zinc/81/97/64/667819764.db2.gz KBLIMEKVBMUOKB-UHFFFAOYSA-N 0 2 322.365 0.921 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccnc2-n2cccn2)S1 ZINC000745427853 667847834 /nfs/dbraw/zinc/84/78/34/667847834.db2.gz NCPUOYAOYKWKSB-SECBINFHSA-N 0 2 316.346 0.762 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@@](O)(C(F)(F)F)C2)S1 ZINC000746291573 667870139 /nfs/dbraw/zinc/87/01/39/667870139.db2.gz HYAYFQIZJMSVNV-ANLVUFKYSA-N 0 2 311.285 0.069 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NC[C@@H]1COCO1 ZINC000872495465 667903492 /nfs/dbraw/zinc/90/34/92/667903492.db2.gz PCQYYDPYBRVQPJ-RBHXEPJQSA-N 0 2 300.402 0.090 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@H](O)Cc1ccccc1 ZINC000747551931 667906600 /nfs/dbraw/zinc/90/66/00/667906600.db2.gz CMYYHRNYGNXPSH-MVWJERBFSA-N 0 2 321.402 0.651 20 0 DCADLN C[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccncc1 ZINC000749476693 667955962 /nfs/dbraw/zinc/95/59/62/667955962.db2.gz SITUXHBEOXQXHR-JTQLQIEISA-N 0 2 312.333 0.386 20 0 DCADLN COCCCONC(=O)CSCC(=O)Nc1cc(C)on1 ZINC000805042450 668030942 /nfs/dbraw/zinc/03/09/42/668030942.db2.gz SLXBMUZEIIUIGC-UHFFFAOYSA-N 0 2 317.367 0.739 20 0 DCADLN O=C(NCCCN1CC(=O)N(CC(F)(F)F)C1)C(F)(F)F ZINC000753822535 668036295 /nfs/dbraw/zinc/03/62/95/668036295.db2.gz MSBXTFLUTGFTNV-UHFFFAOYSA-N 0 2 321.221 0.719 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000873357147 668076337 /nfs/dbraw/zinc/07/63/37/668076337.db2.gz UKEHATXRGCUZOV-NHCYSSNCSA-N 0 2 318.333 0.572 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(C(=O)NCCOCC(=O)[O-])C1 ZINC000874408901 668181521 /nfs/dbraw/zinc/18/15/21/668181521.db2.gz NPZZGORFOJYQCK-NSHDSACASA-N 0 2 310.354 0.410 20 0 DCADLN Cc1nnc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1C ZINC000760275716 668265331 /nfs/dbraw/zinc/26/53/31/668265331.db2.gz GAMXDHACMFCCGX-UHFFFAOYSA-N 0 2 307.276 0.197 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cncnc2N2CCCC2)S1 ZINC000760910901 668293459 /nfs/dbraw/zinc/29/34/59/668293459.db2.gz KGGKYBJGIGAOPH-SECBINFHSA-N 0 2 320.378 0.572 20 0 DCADLN Cc1cncc(-c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)c1 ZINC000761579929 668331430 /nfs/dbraw/zinc/33/14/30/668331430.db2.gz FVBVEKPHGIDBBZ-UHFFFAOYSA-N 0 2 309.289 0.873 20 0 DCADLN NC(=O)c1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)ccc1Cl ZINC000761854327 668337744 /nfs/dbraw/zinc/33/77/44/668337744.db2.gz VVMXSRFTQIIPQE-UHFFFAOYSA-N 0 2 310.701 0.584 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NN2CCc3ccccc3C2=O)S1 ZINC000762651083 668370195 /nfs/dbraw/zinc/37/01/95/668370195.db2.gz AFGGIURBQJVGOA-JTQLQIEISA-N 0 2 318.358 0.273 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)c2n[nH]c(=O)[n-]c2=O)c1C ZINC000765480852 668495764 /nfs/dbraw/zinc/49/57/64/668495764.db2.gz ZHLZKFQXSDEQTF-UHFFFAOYSA-N 0 2 305.294 0.233 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2c[nH]nc2-c2ccccn2)S1 ZINC000767763702 668585999 /nfs/dbraw/zinc/58/59/99/668585999.db2.gz JNDZRNRHTSKTMR-SECBINFHSA-N 0 2 316.346 0.967 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1CCCC(F)(F)C1 ZINC000768383772 668606730 /nfs/dbraw/zinc/60/67/30/668606730.db2.gz CLXTZFCBBPAYPU-QMMMGPOBSA-N 0 2 311.292 0.765 20 0 DCADLN CO[C@]1(CNC(=O)[C@H](F)C(F)(F)F)CCS(=O)(=O)C1 ZINC000928775308 668620726 /nfs/dbraw/zinc/62/07/26/668620726.db2.gz WPMDXHLQHQKFCZ-XPUUQOCRSA-N 0 2 307.265 0.207 20 0 DCADLN CO[C@]1(CNC(=O)C(F)C(F)(F)F)CCS(=O)(=O)C1 ZINC000928775308 668620717 /nfs/dbraw/zinc/62/07/17/668620717.db2.gz WPMDXHLQHQKFCZ-XPUUQOCRSA-N 0 2 307.265 0.207 20 0 DCADLN CC(C)(CNS(C)(=O)=O)NC(=O)c1cc(F)c(O)c(F)c1 ZINC000928858547 668629864 /nfs/dbraw/zinc/62/98/64/668629864.db2.gz UAWRFEUCTNJENM-UHFFFAOYSA-N 0 2 322.333 0.728 20 0 DCADLN CCN(C(=O)NCC(C)(C)[NH+]1CCOCC1)C(C)(C)C(=O)[O-] ZINC000929016868 668645005 /nfs/dbraw/zinc/64/50/05/668645005.db2.gz RWKKFKWHLWIXKE-UHFFFAOYSA-N 0 2 315.414 0.992 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2ccc3cc[nH]c3n2)C1=O ZINC000769839254 668665590 /nfs/dbraw/zinc/66/55/90/668665590.db2.gz RXHQFPQYIZWFLU-AWEZNQCLSA-N 0 2 301.306 0.928 20 0 DCADLN CN1C(=O)CN(NC(=O)c2csc(-c3ccoc3)n2)C1=O ZINC000770454513 668694123 /nfs/dbraw/zinc/69/41/23/668694123.db2.gz GUKKLMFKXYHWHO-UHFFFAOYSA-N 0 2 306.303 0.942 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccccc2-c2nc[nH]n2)S1 ZINC000770826101 668717426 /nfs/dbraw/zinc/71/74/26/668717426.db2.gz UFIINAWEHFZRHS-VIFPVBQESA-N 0 2 316.346 0.967 20 0 DCADLN Cn1c[nH+]cc1CC[N@H+](C)C[C@H](O)CNC(=O)OC(C)(C)C ZINC000878661699 668753182 /nfs/dbraw/zinc/75/31/82/668753182.db2.gz HIMXOAXRGCVWFD-CYBMUJFWSA-N 0 2 312.414 0.780 20 0 DCADLN O=C(C[N@@H+]1CCN2C(=O)CC[C@@H]2C1)[N-]OCc1ccccc1 ZINC000772273598 668767126 /nfs/dbraw/zinc/76/71/26/668767126.db2.gz VUVMJZHKLZNXQG-CQSZACIVSA-N 0 2 303.362 0.541 20 0 DCADLN O=C(C[N@H+]1CCN2C(=O)CC[C@@H]2C1)[N-]OCc1ccccc1 ZINC000772273598 668767128 /nfs/dbraw/zinc/76/71/28/668767128.db2.gz VUVMJZHKLZNXQG-CQSZACIVSA-N 0 2 303.362 0.541 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(N2C(=O)CCC2=O)cc1 ZINC000774876875 668849333 /nfs/dbraw/zinc/84/93/33/668849333.db2.gz WXLWJANVVIDPOP-UHFFFAOYSA-N 0 2 316.273 0.521 20 0 DCADLN C=C1c2ccccc2C(=O)N1CC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774914160 668851175 /nfs/dbraw/zinc/85/11/75/668851175.db2.gz XHZQUBZTBMOAJL-UHFFFAOYSA-N 0 2 300.274 0.680 20 0 DCADLN O=C(CCS(=O)(=O)C1CCCC1)OCc1n[nH]c(=O)[nH]1 ZINC000774924659 668851334 /nfs/dbraw/zinc/85/13/34/668851334.db2.gz SCVANNQHDUNHPX-UHFFFAOYSA-N 0 2 303.340 0.301 20 0 DCADLN CC1CCC(N2C[C@@H](C(=O)OCc3n[nH]c(=O)[nH]3)CC2=O)CC1 ZINC000774948305 668852477 /nfs/dbraw/zinc/85/24/77/668852477.db2.gz MCPDVEAQJLXJLU-YVNMAJEFSA-N 0 2 322.365 0.981 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2nnc(CC(F)(F)F)o2)n1 ZINC000775101385 668856042 /nfs/dbraw/zinc/85/60/42/668856042.db2.gz VIKKJYFWOXSHEV-UHFFFAOYSA-N 0 2 311.245 0.709 20 0 DCADLN C[C@H](O)C(=O)N1CCN(C(=O)c2cccc(Cl)c2O)CC1 ZINC000775806008 668868115 /nfs/dbraw/zinc/86/81/15/668868115.db2.gz XFAWBMUPDIIBIW-VIFPVBQESA-N 0 2 312.753 0.711 20 0 DCADLN C[C@H]1C[C@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000778354452 668898444 /nfs/dbraw/zinc/89/84/44/668898444.db2.gz CZJSKNWFOSZSJA-VHSXEESVSA-N 0 2 306.322 0.430 20 0 DCADLN O[C@H](C[NH+]1CCN(Cc2nnc[nH]2)CC1)CC1(O)CCCCC1 ZINC000878811774 668932597 /nfs/dbraw/zinc/93/25/97/668932597.db2.gz RXXCAZYBZHZTGE-AWEZNQCLSA-N 0 2 323.441 0.369 20 0 DCADLN Cc1nc(CNC(=O)C[C@H]2SC(=N)NC2=O)ccc1[C@H](C)O ZINC000781005955 669026299 /nfs/dbraw/zinc/02/62/99/669026299.db2.gz CVGBDPSFZQOWRT-GZMMTYOYSA-N 0 2 322.390 0.616 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)Oc1cccnc1C ZINC000781742498 669061540 /nfs/dbraw/zinc/06/15/40/669061540.db2.gz PFHFYTZMHYRDMC-UHFFFAOYSA-N 0 2 311.319 0.976 20 0 DCADLN CCOC(=O)[C@@H](CC1CC1)NCc1cc(=O)n2[nH]ccc2n1 ZINC000879982655 669138998 /nfs/dbraw/zinc/13/89/98/669138998.db2.gz JUPQCYCPEMMAFG-GFCCVEGCSA-N 0 2 304.350 0.844 20 0 DCADLN Cn1cc(-c2nc(C(=O)NN3CCCNC3=O)cs2)cn1 ZINC000783602969 669163602 /nfs/dbraw/zinc/16/36/02/669163602.db2.gz HFZUXCGMNVQPOV-UHFFFAOYSA-N 0 2 306.351 0.604 20 0 DCADLN Cn1nnc(CNS(=O)(=O)c2c(Cl)ccnc2Cl)n1 ZINC000785405269 669254437 /nfs/dbraw/zinc/25/44/37/669254437.db2.gz NRTMEPBVEQHTTO-UHFFFAOYSA-N 0 2 323.165 0.390 20 0 DCADLN C[C@H](c1cnccn1)n1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881305242 669320861 /nfs/dbraw/zinc/32/08/61/669320861.db2.gz ZRSIBUQECWWDQP-SSDOTTSWSA-N 0 2 300.244 0.856 20 0 DCADLN Cc1ccccc1C[C@H](CO)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000787353410 669370612 /nfs/dbraw/zinc/37/06/12/669370612.db2.gz KRAIFSJYFQQIBE-VXGBXAGGSA-N 0 2 321.402 0.571 20 0 DCADLN Cc1cccc(C[C@H](CO)NC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000787395911 669372468 /nfs/dbraw/zinc/37/24/68/669372468.db2.gz ZHAWHDWXMZIKRU-NEPJUHHUSA-N 0 2 321.402 0.571 20 0 DCADLN CC(C)[C@H](CNC(=O)C[C@H]1SC(=N)NC1=O)c1ccnn1C ZINC000788536471 669445724 /nfs/dbraw/zinc/44/57/24/669445724.db2.gz BQZRUOREKQGZMM-GXSJLCMTSA-N 0 2 323.422 0.832 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCC[S@@](C)=O ZINC000789935342 669521934 /nfs/dbraw/zinc/52/19/34/669521934.db2.gz VNUMWMZSFIHGHD-ZDVLTJLQSA-N 0 2 302.318 0.184 20 0 DCADLN Cc1cccc(O)c1NS(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC000882708927 669570964 /nfs/dbraw/zinc/57/09/64/669570964.db2.gz NRPCIESKHLRBIE-GOSISDBHSA-N 0 2 307.397 0.932 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])Cc1csc(C(=O)OC)c1 ZINC000883412826 669681698 /nfs/dbraw/zinc/68/16/98/669681698.db2.gz PUUKDPCBGHVRFP-UHFFFAOYSA-N 0 2 315.347 0.984 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])Cc1csc(C(=O)OC)c1 ZINC000883412826 669681701 /nfs/dbraw/zinc/68/17/01/669681701.db2.gz PUUKDPCBGHVRFP-UHFFFAOYSA-N 0 2 315.347 0.984 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CCS(=O)(=O)c2ccccc21 ZINC000792818768 669688159 /nfs/dbraw/zinc/68/81/59/669688159.db2.gz SEFIZCOQLFCEAS-VIFPVBQESA-N 0 2 323.330 0.515 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CCCN(C2CC2)C1=O ZINC000935446886 669723819 /nfs/dbraw/zinc/72/38/19/669723819.db2.gz BOKOKDMWILXGFT-VIFPVBQESA-N 0 2 310.379 0.418 20 0 DCADLN Cn1nc(Br)cc1NS(=O)(=O)c1cn[nH]c1 ZINC000794058133 669775991 /nfs/dbraw/zinc/77/59/91/669775991.db2.gz PNWAKXQESMZOQK-UHFFFAOYSA-N 0 2 306.145 0.707 20 0 DCADLN COc1cc(S(=O)(=O)Nc2nc(C)n(C)n2)cnc1Cl ZINC000794072171 669778305 /nfs/dbraw/zinc/77/83/05/669778305.db2.gz XATMHNQXZLAGEP-UHFFFAOYSA-N 0 2 317.758 0.981 20 0 DCADLN O=C(N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C1(n2cccn2)CC1 ZINC000935844136 669783245 /nfs/dbraw/zinc/78/32/45/669783245.db2.gz DZNJADZZCNMEGG-JTQLQIEISA-N 0 2 302.338 0.602 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N(CCCO)Cc2ccccn2)S1 ZINC000794355240 669826226 /nfs/dbraw/zinc/82/62/26/669826226.db2.gz SNMZCYBHUHKZTQ-NSHDSACASA-N 0 2 322.390 0.349 20 0 DCADLN CC(C)(NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)N1CCCC1 ZINC000794407225 669832796 /nfs/dbraw/zinc/83/27/96/669832796.db2.gz RQIORXSBLSTLIT-MRVPVSSYSA-N 0 2 312.395 0.060 20 0 DCADLN O=C(c1occc1Cl)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950089093 669932896 /nfs/dbraw/zinc/93/28/96/669932896.db2.gz VSVZJNZGCQTCMS-UHFFFAOYSA-N 0 2 311.729 0.715 20 0 DCADLN COc1ccccc1CONC(=O)CC1SC(=N)NC1=O ZINC000799095440 670039751 /nfs/dbraw/zinc/03/97/51/670039751.db2.gz NIBDYJQYHALDRI-SNVBAGLBSA-N 0 2 309.347 0.799 20 0 DCADLN COc1ccccc1CONC(=O)C[C@H]1SC(=N)NC1=O ZINC000799095440 670039752 /nfs/dbraw/zinc/03/97/52/670039752.db2.gz NIBDYJQYHALDRI-SNVBAGLBSA-N 0 2 309.347 0.799 20 0 DCADLN Cc1ccc(F)c(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000950725223 670107604 /nfs/dbraw/zinc/10/76/04/670107604.db2.gz IRPATQVWKJQLIY-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN COCCO[C@H]1CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000801313480 670123934 /nfs/dbraw/zinc/12/39/34/670123934.db2.gz HOQZQDOHMKUYPX-UWVGGRQHSA-N 0 2 315.395 0.197 20 0 DCADLN C[C@@H](Sc1ccccc1C(=O)OCc1n[nH]c(=O)[nH]1)C(N)=O ZINC000801489110 670131952 /nfs/dbraw/zinc/13/19/52/670131952.db2.gz PARIHLJQGFCLKA-SSDOTTSWSA-N 0 2 322.346 0.833 20 0 DCADLN Cn1cncc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000950892188 670149559 /nfs/dbraw/zinc/14/95/59/670149559.db2.gz RVTQXGMOURSODZ-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000950892188 670149564 /nfs/dbraw/zinc/14/95/64/670149564.db2.gz RVTQXGMOURSODZ-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(c1cc(C2CC2)no1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000951007801 670174775 /nfs/dbraw/zinc/17/47/75/670174775.db2.gz SOZCORSEQVJCDX-UHFFFAOYSA-N 0 2 318.337 0.334 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cn[nH]c1 ZINC000948930143 670218153 /nfs/dbraw/zinc/21/81/53/670218153.db2.gz PMHWBVNNSONDNW-SFYZADRCSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cn[nH]c1 ZINC000948930143 670218156 /nfs/dbraw/zinc/21/81/56/670218156.db2.gz PMHWBVNNSONDNW-SFYZADRCSA-N 0 2 308.235 0.641 20 0 DCADLN CCN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951213469 670218837 /nfs/dbraw/zinc/21/88/37/670218837.db2.gz XOZONWVYJLGWKH-URLYPYJESA-N 0 2 305.382 0.589 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]1[C@H]1CCCO1 ZINC000803602601 670255124 /nfs/dbraw/zinc/25/51/24/670255124.db2.gz VKINABLHRFXSNC-NWDGAFQWSA-N 0 2 317.349 0.241 20 0 DCADLN O=C(c1coc(C2CC2)n1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000951368559 670255435 /nfs/dbraw/zinc/25/54/35/670255435.db2.gz WLVYCEVWMWQWRC-UHFFFAOYSA-N 0 2 318.337 0.334 20 0 DCADLN Cc1n[nH]cc1C1CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC000803639356 670255901 /nfs/dbraw/zinc/25/59/01/670255901.db2.gz CYHIFBLYWIHNGO-LLVKDONJSA-N 0 2 321.406 0.981 20 0 DCADLN Cn1nccc1C1=CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC000804199742 670288401 /nfs/dbraw/zinc/28/84/01/670288401.db2.gz GAKPPGZATDNGPM-NSHDSACASA-N 0 2 319.390 0.592 20 0 DCADLN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2C(=O)C[C@H]1SC(=N)NC1=O ZINC000804386102 670292381 /nfs/dbraw/zinc/29/23/81/670292381.db2.gz RMVGPQYUSBHXRW-ACGBARGGSA-N 0 2 309.391 0.425 20 0 DCADLN Cn1cnc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000949764225 670425798 /nfs/dbraw/zinc/42/57/98/670425798.db2.gz QJSWIIRQTYUEKW-NKWVEPMBSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)NC[C@@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)n1 ZINC000949764225 670425799 /nfs/dbraw/zinc/42/57/99/670425799.db2.gz QJSWIIRQTYUEKW-NKWVEPMBSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1nnc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)[nH]1 ZINC000810417851 670484995 /nfs/dbraw/zinc/48/49/95/670484995.db2.gz XQJLIQMPXVNWAK-VIFPVBQESA-N 0 2 309.267 0.658 20 0 DCADLN COC(=O)C1(CNC(=O)C(F)C(F)(F)F)CCOCC1 ZINC000810989982 670502194 /nfs/dbraw/zinc/50/21/94/670502194.db2.gz FAJHWAMGMGHXDV-ZETCQYMHSA-N 0 2 301.236 0.973 20 0 DCADLN COC(=O)C1(CNC(=O)[C@H](F)C(F)(F)F)CCOCC1 ZINC000810989982 670502199 /nfs/dbraw/zinc/50/21/99/670502199.db2.gz FAJHWAMGMGHXDV-ZETCQYMHSA-N 0 2 301.236 0.973 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H](C2CC2)C1 ZINC000811530837 670532693 /nfs/dbraw/zinc/53/26/93/670532693.db2.gz BPFOHZHZMJZJTM-NSHDSACASA-N 0 2 301.350 0.720 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cnc(Cl)s2)S1 ZINC000848034494 670623713 /nfs/dbraw/zinc/62/37/13/670623713.db2.gz QRDBMHRVKSUPMR-YFKPBYRVSA-N 0 2 304.784 0.969 20 0 DCADLN CCOC(=O)C(C)(C)O[N-]C(=O)[C@@H](C)[NH+]1CCN(C)CC1 ZINC000855133754 670679647 /nfs/dbraw/zinc/67/96/47/670679647.db2.gz QLPVLEMPJYPBNS-LLVKDONJSA-N 0 2 301.387 0.012 20 0 DCADLN O=C(NC[C@@]1(OCCO)CCOC1)c1cccc(Cl)c1O ZINC000855409510 670707851 /nfs/dbraw/zinc/70/78/51/670707851.db2.gz TWZTWTFDLCEUTA-AWEZNQCLSA-N 0 2 315.753 0.943 20 0 DCADLN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC000855958767 670774078 /nfs/dbraw/zinc/77/40/78/670774078.db2.gz LHMFWXVGBYMUSX-YWIQKCBGSA-N 0 2 319.276 0.157 20 0 DCADLN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21 ZINC000855958767 670774081 /nfs/dbraw/zinc/77/40/81/670774081.db2.gz LHMFWXVGBYMUSX-YWIQKCBGSA-N 0 2 319.276 0.157 20 0 DCADLN CC1(C)CCC(O)(CNC(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC000856070647 670783129 /nfs/dbraw/zinc/78/31/29/670783129.db2.gz TYHISXPSPJUMMK-VIFPVBQESA-N 0 2 313.423 0.990 20 0 DCADLN CC[C@H](C)CONC(=O)CSCC(=O)N1CCOCC1 ZINC000816975760 670888733 /nfs/dbraw/zinc/88/87/33/670888733.db2.gz OLZXBKZGYFNKPR-NSHDSACASA-N 0 2 304.412 0.672 20 0 DCADLN CC(C)[C@@H]1N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1(C)C ZINC000817219285 670906373 /nfs/dbraw/zinc/90/63/73/670906373.db2.gz VBVXVSQHZBAUFA-LBPRGKRZSA-N 0 2 303.366 0.964 20 0 DCADLN Cc1nc([C@@](C)(NC(=O)C[C@H]2SC(=N)NC2=O)C2CC2)no1 ZINC000817624041 670926920 /nfs/dbraw/zinc/92/69/20/670926920.db2.gz REJBNCBHGSSNRR-OQPBUACISA-N 0 2 323.378 0.676 20 0 DCADLN CCNC(=O)CNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858169254 670976020 /nfs/dbraw/zinc/97/60/20/670976020.db2.gz OCQZDVSOIWLDGN-UHFFFAOYSA-N 0 2 321.255 0.324 20 0 DCADLN C[C@@H](NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1)C(N)=O ZINC000858212431 670980614 /nfs/dbraw/zinc/98/06/14/670980614.db2.gz UNHNSSNONKURLN-RXMQYKEDSA-N 0 2 307.228 0.062 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000818155894 670982423 /nfs/dbraw/zinc/98/24/23/670982423.db2.gz LKOBHTFPXJYRQQ-BDAKNGLRSA-N 0 2 310.251 0.834 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000818155894 670982430 /nfs/dbraw/zinc/98/24/30/670982430.db2.gz LKOBHTFPXJYRQQ-BDAKNGLRSA-N 0 2 310.251 0.834 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)O[C@@H](C)CC(C)(C)O ZINC000821591702 671285086 /nfs/dbraw/zinc/28/50/86/671285086.db2.gz MOGDIKZGFUUCFM-VIFPVBQESA-N 0 2 307.350 0.966 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NC[C@H](O)c1ccsc1 ZINC000867056731 671556922 /nfs/dbraw/zinc/55/69/22/671556922.db2.gz WFUSXJCXWLYWSL-JTQLQIEISA-N 0 2 324.449 0.878 20 0 DCADLN CC[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)N(C)C(=O)OC(C)(C)C ZINC000829546867 671579212 /nfs/dbraw/zinc/57/92/12/671579212.db2.gz UENYQQRSOMUPNX-QMMMGPOBSA-N 0 2 313.358 0.772 20 0 DCADLN COCCN(C(=O)C[C@@H]1SC(=N)NC1=O)C1CCOCC1 ZINC000829771065 671589120 /nfs/dbraw/zinc/58/91/20/671589120.db2.gz KOYYXEHWNFCOTP-JTQLQIEISA-N 0 2 315.395 0.197 20 0 DCADLN CCn1ncc(COC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000836076208 671817245 /nfs/dbraw/zinc/81/72/45/671817245.db2.gz CPJPMIGGLDQHGK-UHFFFAOYSA-N 0 2 308.260 0.798 20 0 DCADLN CN(C(=O)C[C@H]1SC(=N)NC1=O)[C@@H](CO)CC(C)(C)C ZINC000836970100 671840838 /nfs/dbraw/zinc/84/08/38/671840838.db2.gz MCZFWMPROZMZNQ-RKDXNWHRSA-N 0 2 301.412 0.798 20 0 DCADLN COC(=O)[C@@]1(C)CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000840555488 671894136 /nfs/dbraw/zinc/89/41/36/671894136.db2.gz GQHBADLHJGJTHP-OQPBUACISA-N 0 2 313.379 0.345 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn3c2C[C@H](C)CC3)C1=O ZINC000843873254 672126107 /nfs/dbraw/zinc/12/61/07/672126107.db2.gz MDDIRYZUFOTGPZ-PSLIRLAXSA-N 0 2 319.365 0.831 20 0 DCADLN Cn1cc(CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)c(Cl)n1 ZINC000843874561 672126841 /nfs/dbraw/zinc/12/68/41/672126841.db2.gz CJBIRZWIIXJXNN-UHFFFAOYSA-N 0 2 324.772 0.846 20 0 DCADLN O=C(c1ccc(Cl)nn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843874751 672126905 /nfs/dbraw/zinc/12/69/05/672126905.db2.gz GQQYFZXERDREIW-UHFFFAOYSA-N 0 2 308.729 0.974 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@H](c3cccnc3)C2)S1 ZINC000843968431 672131942 /nfs/dbraw/zinc/13/19/42/672131942.db2.gz SVJMCOZYNZPFLF-WDEREUQCSA-N 0 2 304.375 0.954 20 0 DCADLN COCCCCS(=O)(=O)[N-]C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845517936 672254872 /nfs/dbraw/zinc/25/48/72/672254872.db2.gz XGDPSALPJSUKPW-LLVKDONJSA-N 0 2 303.384 0.707 20 0 DCADLN Cn1cc([C@H]2N(C(=O)C[C@H]3SC(=N)NC3=O)CC2(C)C)cn1 ZINC000846160719 672298108 /nfs/dbraw/zinc/29/81/08/672298108.db2.gz XQSALVAGENRVBW-MWLCHTKSSA-N 0 2 321.406 0.886 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](C2CC2)C2CCOCC2)S1 ZINC000846421965 672312173 /nfs/dbraw/zinc/31/21/73/672312173.db2.gz VUEWBZHPUKDUJQ-CMPLNLGQSA-N 0 2 311.407 0.864 20 0 DCADLN O=S(=O)(c1cc(O)cc(F)c1)N1CC[C@H](c2nc[nH]n2)C1 ZINC000867430597 672328164 /nfs/dbraw/zinc/32/81/64/672328164.db2.gz KNCFXHHCKDEVRC-QMMMGPOBSA-N 0 2 312.326 0.828 20 0 DCADLN O=C(NC[C@H](O)c1cc2ccccc2s1)c1nc(=O)[nH][nH]1 ZINC000305024902 685213271 /nfs/dbraw/zinc/21/32/71/685213271.db2.gz GOBGLXUXYQMSJH-QMMMGPOBSA-N 0 2 304.331 0.776 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H](O)c1cc(Cl)cc(Cl)c1 ZINC000305687691 685215156 /nfs/dbraw/zinc/21/51/56/685215156.db2.gz ARLYNYFBGBZUDP-VIFPVBQESA-N 0 2 317.132 0.755 20 0 DCADLN CC(C)[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038259113 694028686 /nfs/dbraw/zinc/02/86/86/694028686.db2.gz WJKPBELXQWEQOG-GVXVVHGQSA-N 0 2 323.397 0.262 20 0 DCADLN Cc1c(F)cccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038274718 694033701 /nfs/dbraw/zinc/03/37/01/694033701.db2.gz KGYKKUZVKZHDJJ-SNVBAGLBSA-N 0 2 319.340 0.962 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)C(F)C(F)(F)F)c1cnon1 ZINC000956048783 686602039 /nfs/dbraw/zinc/60/20/39/686602039.db2.gz SXUJHFYWHBPGFA-XPUUQOCRSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)[C@H](F)C(F)(F)F)c1cnon1 ZINC000956048783 686602043 /nfs/dbraw/zinc/60/20/43/686602043.db2.gz SXUJHFYWHBPGFA-XPUUQOCRSA-N 0 2 324.234 0.691 20 0 DCADLN CC(C)[C@@]1(C)C[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956980290 686926721 /nfs/dbraw/zinc/92/67/21/686926721.db2.gz WFOZCGLZUORIAL-XHDPSFHLSA-N 0 2 307.398 0.837 20 0 DCADLN Cc1conc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC000958584092 687773436 /nfs/dbraw/zinc/77/34/36/687773436.db2.gz PKAREEUKXYIVIR-IAZYJMLFSA-N 0 2 317.349 0.580 20 0 DCADLN Cc1conc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC000958584092 687773442 /nfs/dbraw/zinc/77/34/42/687773442.db2.gz PKAREEUKXYIVIR-IAZYJMLFSA-N 0 2 317.349 0.580 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1Cc2ccccc21 ZINC001038658259 694151460 /nfs/dbraw/zinc/15/14/60/694151460.db2.gz KBTQISXSRXYYES-WCQYABFASA-N 0 2 313.361 0.541 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1Cc2ccccc21 ZINC001038658253 694151671 /nfs/dbraw/zinc/15/16/71/694151671.db2.gz KBTQISXSRXYYES-AAEUAGOBSA-N 0 2 313.361 0.541 20 0 DCADLN CCc1oncc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038763321 694184456 /nfs/dbraw/zinc/18/44/56/694184456.db2.gz DXKSWNLWAASCLB-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)[nH]1 ZINC001038763386 694185225 /nfs/dbraw/zinc/18/52/25/694185225.db2.gz WBPIHKJKVVUZCJ-JTQLQIEISA-N 0 2 304.354 0.460 20 0 DCADLN CCc1nc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001038804948 694197201 /nfs/dbraw/zinc/19/72/01/694197201.db2.gz UCNWYQUGGXCAEI-SECBINFHSA-N 0 2 320.353 0.373 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1c[nH]nc1C1CC1 ZINC001038865805 694222942 /nfs/dbraw/zinc/22/29/42/694222942.db2.gz IYYDRCLFYNCIOO-SECBINFHSA-N 0 2 317.353 0.115 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ncoc1C1CC1 ZINC001038883439 694229970 /nfs/dbraw/zinc/22/99/70/694229970.db2.gz XBPJQUBFKVOCLV-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C(F)C(F)(F)F)C1CC1 ZINC000964051850 689132844 /nfs/dbraw/zinc/13/28/44/689132844.db2.gz WLRXRPBUIYVYPD-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC000964051850 689132846 /nfs/dbraw/zinc/13/28/46/689132846.db2.gz WLRXRPBUIYVYPD-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccc[nH]1 ZINC000965095328 689355931 /nfs/dbraw/zinc/35/59/31/689355931.db2.gz AQIHQFUMBOAGDC-ONGXEEELSA-N 0 2 304.354 0.479 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cnsn1 ZINC000965395521 689432578 /nfs/dbraw/zinc/43/25/78/689432578.db2.gz PVSAJQFYXZRHAM-CBAPKCEASA-N 0 2 323.382 0.002 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cscn1 ZINC000965503790 689459870 /nfs/dbraw/zinc/45/98/70/689459870.db2.gz VTSGSRRXNYFYAR-IUCAKERBSA-N 0 2 322.394 0.607 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C=C1CCC1 ZINC000965891411 689571307 /nfs/dbraw/zinc/57/13/07/689571307.db2.gz VKZXSSYVRMEQRI-JQWIXIFHSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C=C1CCC1 ZINC000965891411 689571309 /nfs/dbraw/zinc/57/13/09/689571309.db2.gz VKZXSSYVRMEQRI-JQWIXIFHSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cnco1 ZINC000967560369 690024443 /nfs/dbraw/zinc/02/44/43/690024443.db2.gz NRHLDEWWOQKLHB-DTWKUNHWSA-N 0 2 306.326 0.139 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1n[nH]cc1F ZINC000968258480 690213848 /nfs/dbraw/zinc/21/38/48/690213848.db2.gz ZKLDMERCJCIECH-VXNVDRBHSA-N 0 2 323.332 0.013 20 0 DCADLN C[C@@H](C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C)C1CC1 ZINC000973004955 690506129 /nfs/dbraw/zinc/50/61/29/690506129.db2.gz YJOYDZBLPRSABE-KOLCDFICSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C)C1CC1 ZINC000973004955 690506131 /nfs/dbraw/zinc/50/61/31/690506131.db2.gz YJOYDZBLPRSABE-KOLCDFICSA-N 0 2 307.398 0.883 20 0 DCADLN CC[C@@]1(C)C[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000983412416 690521820 /nfs/dbraw/zinc/52/18/20/690521820.db2.gz REWAETMJIQXDCW-NHYWBVRUSA-N 0 2 307.398 0.981 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(=O)[nH]1 ZINC001007023260 690620630 /nfs/dbraw/zinc/62/06/30/690620630.db2.gz ADQVLSPFSDQHCL-SECBINFHSA-N 0 2 318.337 0.005 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001007092218 690627128 /nfs/dbraw/zinc/62/71/28/690627128.db2.gz NYKGWRXPRFBFFR-NSHDSACASA-N 0 2 304.354 0.243 20 0 DCADLN Cc1ccnc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001007246491 690648388 /nfs/dbraw/zinc/64/83/88/690648388.db2.gz SPQBZFFTHZBWIK-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1ncc(CC(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001007490000 690687676 /nfs/dbraw/zinc/68/76/76/690687676.db2.gz XADXATUBHWIVBO-SNVBAGLBSA-N 0 2 320.353 0.130 20 0 DCADLN CC(=O)N1CC[C@]2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC001007551699 690701681 /nfs/dbraw/zinc/70/16/81/690701681.db2.gz IVZXDGONGYNMRX-ONGXEEELSA-N 0 2 312.263 0.737 20 0 DCADLN CC(=O)N1CC[C@]2(C1)CN(C(=O)[C@H](F)C(F)(F)F)CCO2 ZINC001007551699 690701683 /nfs/dbraw/zinc/70/16/83/690701683.db2.gz IVZXDGONGYNMRX-ONGXEEELSA-N 0 2 312.263 0.737 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC1(F)F ZINC001007589855 690711395 /nfs/dbraw/zinc/71/13/95/690711395.db2.gz JFHAJHUNJHHTHR-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN CCc1nnc([C@@H](C)N2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001007761644 690743351 /nfs/dbraw/zinc/74/33/51/690743351.db2.gz TURXUZXQXPTNSA-NXEZZACHSA-N 0 2 318.385 0.441 20 0 DCADLN O=C(Cn1c[nH+]c2c1CCCC2)N[C@@H]1CCC[N@H+](CCO)C1 ZINC001007949429 690775470 /nfs/dbraw/zinc/77/54/70/690775470.db2.gz DFVYHNXGHYABBW-CYBMUJFWSA-N 0 2 306.410 0.335 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008572727 690893099 /nfs/dbraw/zinc/89/30/99/690893099.db2.gz AILVCVHUBMJWGH-FOGDFJRCSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC12CCC2 ZINC001008700950 690912478 /nfs/dbraw/zinc/91/24/78/690912478.db2.gz YGFLTMRLGGSUCP-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(c1cnco1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039807240 694435275 /nfs/dbraw/zinc/43/52/75/694435275.db2.gz OAWQJEMXBIRLFO-AOOOYVTPSA-N 0 2 318.337 0.377 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3ccc(F)cn3)C2)c1[O-] ZINC001010619199 691327171 /nfs/dbraw/zinc/32/71/71/691327171.db2.gz FLMWTYZJOQMTNY-LBPRGKRZSA-N 0 2 319.340 0.962 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)c1[O-] ZINC001010619199 691327174 /nfs/dbraw/zinc/32/71/74/691327174.db2.gz FLMWTYZJOQMTNY-LBPRGKRZSA-N 0 2 319.340 0.962 20 0 DCADLN CCC(=O)N1CC[C@@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040070108 694476447 /nfs/dbraw/zinc/47/64/47/694476447.db2.gz PYNOPPFRUUZEPP-PSASIEDQSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)N1CC[C@@](O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001040070108 694476450 /nfs/dbraw/zinc/47/64/50/694476450.db2.gz PYNOPPFRUUZEPP-PSASIEDQSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(C[N@@H+]1CC[C@H](NC(=O)c2cnn[n-]2)C1)Nc1ccccc1 ZINC001015063456 692177018 /nfs/dbraw/zinc/17/70/18/692177018.db2.gz VXRWVEBAZHMWLV-LBPRGKRZSA-N 0 2 314.349 0.248 20 0 DCADLN O=C(C[N@H+]1CC[C@H](NC(=O)c2cnn[n-]2)C1)Nc1ccccc1 ZINC001015063456 692177020 /nfs/dbraw/zinc/17/70/20/692177020.db2.gz VXRWVEBAZHMWLV-LBPRGKRZSA-N 0 2 314.349 0.248 20 0 DCADLN Cc1cnc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)c1 ZINC001015350199 692270028 /nfs/dbraw/zinc/27/00/28/692270028.db2.gz FRPRQLOGRHWARX-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN Cc1cccc(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015644068 692378362 /nfs/dbraw/zinc/37/83/62/692378362.db2.gz JCTZZNINLWUOAU-CYBMUJFWSA-N 0 2 315.377 0.752 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1conc1C1CC1 ZINC001015684528 692396059 /nfs/dbraw/zinc/39/60/59/692396059.db2.gz RMDSIQJUZHRNPZ-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN CCn1ncc(C[NH2+][C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)n1 ZINC001018722190 693206726 /nfs/dbraw/zinc/20/67/26/693206726.db2.gz OVFHJSCKNUHWDM-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([NH2+][C@H](C)c3ncccn3)C2)c1[O-] ZINC001018724550 693208214 /nfs/dbraw/zinc/20/82/14/693208214.db2.gz YULXKPCXNLXDEK-MNOVXSKESA-N 0 2 316.365 0.779 20 0 DCADLN CC(C)=C(F)C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075681256 694967921 /nfs/dbraw/zinc/96/79/21/694967921.db2.gz FVIAQXOCSCMTAJ-VHSXEESVSA-N 0 2 309.345 0.806 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001076857631 695077887 /nfs/dbraw/zinc/07/78/87/695077887.db2.gz UYUFEIABUNFHIP-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001076857631 695077890 /nfs/dbraw/zinc/07/78/90/695077890.db2.gz UYUFEIABUNFHIP-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN CCn1ncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001720083372 1158813639 /nfs/dbraw/zinc/81/36/39/1158813639.db2.gz MOWAHGNTYKKIGN-SSDOTTSWSA-N 0 2 311.239 0.044 20 0 DCADLN CCn1ncc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001720083372 1158813647 /nfs/dbraw/zinc/81/36/47/1158813647.db2.gz MOWAHGNTYKKIGN-SSDOTTSWSA-N 0 2 311.239 0.044 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446531420 1159456722 /nfs/dbraw/zinc/45/67/22/1159456722.db2.gz SGZUXGXZEUOKAA-YGRLFVJLSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446531420 1159456733 /nfs/dbraw/zinc/45/67/33/1159456733.db2.gz SGZUXGXZEUOKAA-YGRLFVJLSA-N 0 2 311.386 0.406 20 0 DCADLN C/C=C(\C)C(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001572469271 1163188059 /nfs/dbraw/zinc/18/80/59/1163188059.db2.gz CRXPGLDYTWZUNW-CNTVWIKJSA-N 0 2 314.279 0.789 20 0 DCADLN C/C=C(\C)C(=O)N(C)C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001572469271 1163188064 /nfs/dbraw/zinc/18/80/64/1163188064.db2.gz CRXPGLDYTWZUNW-CNTVWIKJSA-N 0 2 314.279 0.789 20 0 DCADLN CCc1nc(NC[C@]2(C(=O)OC)CCOC2)c(C(=O)[O-])c[nH+]1 ZINC001573837705 1163563816 /nfs/dbraw/zinc/56/38/16/1163563816.db2.gz OBRIGTZNXAIOFB-CQSZACIVSA-N 0 2 309.322 0.729 20 0 DCADLN CCc1nc(N2C[C@@H](O)C[C@H](C(=O)OC)C2)c(C(=O)[O-])c[nH+]1 ZINC001573837179 1163564007 /nfs/dbraw/zinc/56/40/07/1163564007.db2.gz FEHLEDILIWWZQQ-IUCAKERBSA-N 0 2 309.322 0.097 20 0 DCADLN CCc1nc(N2C[C@H](C(=O)OC)C[C@H](O)C2)c(C(=O)[O-])c[nH+]1 ZINC001573837177 1163564057 /nfs/dbraw/zinc/56/40/57/1163564057.db2.gz FEHLEDILIWWZQQ-BDAKNGLRSA-N 0 2 309.322 0.097 20 0 DCADLN COCC[N@H+](CCC(=O)OC)Cc1csc(C(=O)[O-])n1 ZINC001573987155 1163617525 /nfs/dbraw/zinc/61/75/25/1163617525.db2.gz YMYGOVOTNLMODI-UHFFFAOYSA-N 0 2 302.352 0.853 20 0 DCADLN COCC[N@@H+](CCC(=O)OC)Cc1csc(C(=O)[O-])n1 ZINC001573987155 1163617528 /nfs/dbraw/zinc/61/75/28/1163617528.db2.gz YMYGOVOTNLMODI-UHFFFAOYSA-N 0 2 302.352 0.853 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N[C@H](C)c2nc(C(=O)[O-])n[nH]2)c[nH+]1 ZINC001574172590 1163650964 /nfs/dbraw/zinc/65/09/64/1163650964.db2.gz GWMFSDBFOPWCTQ-MRVPVSSYSA-N 0 2 306.326 0.620 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](C[C@H]1CCCO1)C(=O)[O-] ZINC001574221732 1163668546 /nfs/dbraw/zinc/66/85/46/1163668546.db2.gz XPCSYAKHVMKKCU-KKOKHZNYSA-N 0 2 307.350 0.662 20 0 DCADLN Cn1nccc1[C@H]1c2[nH]cnc2CC[N@H+]1C[C@@H]1C[C@H]1C(=O)[O-] ZINC001574228135 1163671379 /nfs/dbraw/zinc/67/13/79/1163671379.db2.gz GUWQBGQIBCYAMU-RBZYPMLTSA-N 0 2 301.350 0.811 20 0 DCADLN Cn1nccc1[C@H]1c2[nH]cnc2CC[N@@H+]1C[C@@H]1C[C@H]1C(=O)[O-] ZINC001574228135 1163671381 /nfs/dbraw/zinc/67/13/81/1163671381.db2.gz GUWQBGQIBCYAMU-RBZYPMLTSA-N 0 2 301.350 0.811 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CC(=O)Nc2cnccn2)C[C@H]1C(F)(F)F ZINC001574300326 1163689471 /nfs/dbraw/zinc/68/94/71/1163689471.db2.gz HWCKJJQKTRUDIL-HTQZYQBOSA-N 0 2 318.255 0.610 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CC(=O)Nc2cnccn2)C[C@H]1C(F)(F)F ZINC001574300326 1163689473 /nfs/dbraw/zinc/68/94/73/1163689473.db2.gz HWCKJJQKTRUDIL-HTQZYQBOSA-N 0 2 318.255 0.610 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@@H+]1CC[C@H](c2nn[nH]n2)C1 ZINC001574299332 1163689658 /nfs/dbraw/zinc/68/96/58/1163689658.db2.gz DUKYQILBBQLJBB-VIFPVBQESA-N 0 2 316.321 0.326 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@H+]1CC[C@H](c2nn[nH]n2)C1 ZINC001574299332 1163689661 /nfs/dbraw/zinc/68/96/61/1163689661.db2.gz DUKYQILBBQLJBB-VIFPVBQESA-N 0 2 316.321 0.326 20 0 DCADLN O=C([O-])c1n[nH]nc1[C@H]1CCCN1C(=O)CCn1cc[nH+]c1 ZINC001574423792 1163720501 /nfs/dbraw/zinc/72/05/01/1163720501.db2.gz WKPWXJNKCXMZTP-SECBINFHSA-N 0 2 304.310 0.453 20 0 DCADLN CCC(CC)(NC(=O)c1ccc(-c2nn[nH]n2)o1)c1nn[nH]n1 ZINC001576719318 1164441958 /nfs/dbraw/zinc/44/19/58/1164441958.db2.gz WOJQFIOMOLEFPL-UHFFFAOYSA-N 0 2 317.313 0.418 20 0 DCADLN COC(=O)[C@@H](Cc1cnn[nH]1)NCc1ccc(O)c(F)c1F ZINC001576913885 1164529352 /nfs/dbraw/zinc/52/93/52/1164529352.db2.gz SMVZXIXVIHQSFF-SECBINFHSA-N 0 2 312.276 0.662 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([C@H](C)[NH2+]Cc3cnn[nH]3)C2)c1[O-] ZINC001577518274 1164711204 /nfs/dbraw/zinc/71/12/04/1164711204.db2.gz DBZUIRMARZESOL-WCBMZHEXSA-N 0 2 319.369 0.182 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1CCOCC1 ZINC001580601734 1165650917 /nfs/dbraw/zinc/65/09/17/1165650917.db2.gz MZPDTBDQAKXQNI-UHFFFAOYSA-N 0 2 304.310 0.185 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@]1(C)CCCOC1 ZINC001580601703 1165651713 /nfs/dbraw/zinc/65/17/13/1165651713.db2.gz MDXAFRUFQGJAOI-CQSZACIVSA-N 0 2 318.337 0.575 20 0 DCADLN O=C(NCC[N@H+]1CCC2(C1)OCCO2)c1cccc2c1C[NH2+]C2 ZINC001580686651 1165687053 /nfs/dbraw/zinc/68/70/53/1165687053.db2.gz JAZZRKFAWCGELI-UHFFFAOYSA-N 0 2 317.389 0.468 20 0 DCADLN Cc1ccc(C)c(Oc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)c1 ZINC001581182514 1165793584 /nfs/dbraw/zinc/79/35/84/1165793584.db2.gz HGFFUSDRKOWTLM-UHFFFAOYSA-N 0 2 314.305 0.663 20 0 DCADLN Cc1ccc(Cn2cncc(-c3nn[nH]n3)c2=O)nc1Cl ZINC001581202425 1165798081 /nfs/dbraw/zinc/79/80/81/1165798081.db2.gz RJMQWYLSHUYORD-UHFFFAOYSA-N 0 2 303.713 0.829 20 0 DCADLN CCCN(CCNC(=O)C(F)C(F)(F)F)C(=O)CC(N)=O ZINC001581383536 1165819840 /nfs/dbraw/zinc/81/98/40/1165819840.db2.gz AOLFATMDXBFYDZ-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN CCCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)CC(N)=O ZINC001581383536 1165819848 /nfs/dbraw/zinc/81/98/48/1165819848.db2.gz AOLFATMDXBFYDZ-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN C[C@@](CNC(=O)C=C1CCC1)(NC(=O)[C@H]1CNC(=O)N1)C1CC1 ZINC001582655889 1166063211 /nfs/dbraw/zinc/06/32/11/1166063211.db2.gz JYCZUSMNEJSFGG-WBMJQRKESA-N 0 2 320.393 0.179 20 0 DCADLN CC(C)(C(=O)NC[C@@](C)(NC(=O)C(N)=O)C1CC1)C(C)(F)F ZINC001582656458 1166063717 /nfs/dbraw/zinc/06/37/17/1166063717.db2.gz QWLVKCWOJPHIFH-CYBMUJFWSA-N 0 2 319.352 0.554 20 0 DCADLN O=C(c1coc(-c2nn[nH]n2)c1)N1CCC[C@@H](c2nc[nH]n2)C1 ZINC001582742330 1166071651 /nfs/dbraw/zinc/07/16/51/1166071651.db2.gz URSJFCCSFMXUFN-MRVPVSSYSA-N 0 2 314.309 0.598 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1ncc[nH]1 ZINC001583293191 1166240081 /nfs/dbraw/zinc/24/00/81/1166240081.db2.gz HHSKYFJQGSMZSQ-TWJVDONLSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1ncc[nH]1 ZINC001583293191 1166240086 /nfs/dbraw/zinc/24/00/86/1166240086.db2.gz HHSKYFJQGSMZSQ-TWJVDONLSA-N 0 2 308.235 0.712 20 0 DCADLN CC(C)(C(=O)Cn1cncc(-c2nn[nH]n2)c1=O)c1ccccc1 ZINC001589036224 1166631973 /nfs/dbraw/zinc/63/19/73/1166631973.db2.gz ZSHKVWDOQSZJSZ-UHFFFAOYSA-N 0 2 324.344 0.970 20 0 DCADLN CC(C)[C@H]1CN(C(=O)c2ccc(-c3nn[nH]n3)o2)CC[N@H+]1C ZINC001589765613 1166685533 /nfs/dbraw/zinc/68/55/33/1166685533.db2.gz SJKUHOQFRXXBHI-SNVBAGLBSA-N 0 2 304.354 0.872 20 0 DCADLN C[C@@H](CCC1CC1)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001589806276 1166689675 /nfs/dbraw/zinc/68/96/75/1166689675.db2.gz ZMVKVUDUVNYMDO-QMMMGPOBSA-N 0 2 319.369 0.255 20 0 DCADLN C[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@H](C)[C@H](C)O1 ZINC001590311570 1166856051 /nfs/dbraw/zinc/85/60/51/1166856051.db2.gz XVQVOSUEBZLDIL-MXWKQRLJSA-N 0 2 304.354 0.484 20 0 DCADLN CC[C@@H](C)[C@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)OC ZINC001590398437 1166898003 /nfs/dbraw/zinc/89/80/03/1166898003.db2.gz BLEXUQZFCYZZSU-SFYZADRCSA-N 0 2 323.357 0.139 20 0 DCADLN CCc1nc(CNC(=O)c2cc(-c3nn[nH]n3)oc2C)n[nH]1 ZINC001590913273 1167049365 /nfs/dbraw/zinc/04/93/65/1167049365.db2.gz INYXJXYKCSBGAL-UHFFFAOYSA-N 0 2 302.298 0.379 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n([C@H]2CCC(C)(C)C2=O)c1=O ZINC001590950161 1167057662 /nfs/dbraw/zinc/05/76/62/1167057662.db2.gz TYAHYPMXDJCSJL-VIFPVBQESA-N 0 2 318.337 0.140 20 0 DCADLN CN(CCc1nccs1)Cn1cccc(-c2nn[nH]n2)c1=O ZINC001591122396 1167109085 /nfs/dbraw/zinc/10/90/85/1167109085.db2.gz ANCFVSYHWYIIBJ-UHFFFAOYSA-N 0 2 317.378 0.617 20 0 DCADLN COC(=O)c1cnoc1Cn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001591305778 1167207444 /nfs/dbraw/zinc/20/74/44/1167207444.db2.gz HIJDUDSEUMSPJQ-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN CONC(=O)CNc1cc(-c2nn[nH]n2)ccc1C(F)(F)F ZINC001591466462 1167300263 /nfs/dbraw/zinc/30/02/63/1167300263.db2.gz NECCSQRZCPTMBB-UHFFFAOYSA-N 0 2 316.243 0.975 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NOCC1CCC1 ZINC001591835627 1167390240 /nfs/dbraw/zinc/39/02/40/1167390240.db2.gz NLXDWKDBWMVGBW-UHFFFAOYSA-N 0 2 304.310 0.737 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](C)CCCCO ZINC001591834876 1167391653 /nfs/dbraw/zinc/39/16/53/1167391653.db2.gz UROURYRZVZYWIK-SECBINFHSA-N 0 2 320.353 0.557 20 0 DCADLN Cc1ncccc1NS(=O)(=O)c1cncc(-c2nn[nH]n2)c1 ZINC001592417005 1167517658 /nfs/dbraw/zinc/51/76/58/1167517658.db2.gz MAJWPEQXMARKSU-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cc1nsc(NC(=O)NC[C@H]2CCN2C)c1-c1nn[nH]n1 ZINC001592481298 1167533487 /nfs/dbraw/zinc/53/34/87/1167533487.db2.gz KZHJAYIKVQMSMW-SSDOTTSWSA-N 0 2 308.371 0.457 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H](C)c1ccnn1C ZINC001592499121 1167539208 /nfs/dbraw/zinc/53/92/08/1167539208.db2.gz JBTLHWYLKNFKSI-SSDOTTSWSA-N 0 2 301.310 0.993 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1-c1nc(CC(=O)NC2CC2)no1 ZINC001592495409 1167539218 /nfs/dbraw/zinc/53/92/18/1167539218.db2.gz NKLCRAMFCWMCJD-UHFFFAOYSA-N 0 2 315.293 0.639 20 0 DCADLN Cc1onc(CC(=O)NOCCOC(C)C)c1-c1nn[nH]n1 ZINC001592516480 1167547453 /nfs/dbraw/zinc/54/74/53/1167547453.db2.gz NSPNCFCWZFTPIU-UHFFFAOYSA-N 0 2 310.314 0.178 20 0 DCADLN Cn1c(=O)[nH]c(N2CCC[C@H]3CCC[C@H]32)c(-c2nn[nH]n2)c1=O ZINC001592542917 1167550085 /nfs/dbraw/zinc/55/00/85/1167550085.db2.gz CNVFWNBOFSQWKF-RKDXNWHRSA-N 0 2 317.353 0.023 20 0 DCADLN Cn1c(=O)[nH]c(NCC[C@@H]2CCSC2)c(-c2nn[nH]n2)c1=O ZINC001592545500 1167551175 /nfs/dbraw/zinc/55/11/75/1167551175.db2.gz BIRVHMLMCGYJIW-SSDOTTSWSA-N 0 2 323.382 0.221 20 0 DCADLN O=C(c1sccc1-c1nn[nH]n1)N1CC[C@H](c2nn[nH]n2)C1 ZINC001593377035 1167792254 /nfs/dbraw/zinc/79/22/54/1167792254.db2.gz YPZRDEWAHLUZJZ-LURJTMIESA-N 0 2 317.338 0.071 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCOCc1ccccc1 ZINC001593489376 1167870349 /nfs/dbraw/zinc/87/03/49/1167870349.db2.gz JFCICZJDSPWEBW-UHFFFAOYSA-N 0 2 314.305 0.346 20 0 DCADLN CC(C)(C)C(=O)C(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001598161454 1168018234 /nfs/dbraw/zinc/01/82/34/1168018234.db2.gz ZHNJQTRQPGLGIR-UHFFFAOYSA-N 0 2 300.336 0.593 20 0 DCADLN Cc1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(N)cc1F ZINC001600097362 1168118539 /nfs/dbraw/zinc/11/85/39/1168118539.db2.gz HGNILCUGPBBZHE-LBPRGKRZSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(N)cc1F ZINC001600097362 1168118545 /nfs/dbraw/zinc/11/85/45/1168118545.db2.gz HGNILCUGPBBZHE-LBPRGKRZSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1cc(C(=O)[O-])c(NC(=O)C(=O)NCc2c[nH+]cn2C)s1 ZINC001600102703 1168120856 /nfs/dbraw/zinc/12/08/56/1168120856.db2.gz MWZLNCOEKYDKAC-UHFFFAOYSA-N 0 2 322.346 0.743 20 0 DCADLN Cc1cc([C@H](C)n2cc(C[N@H+](C)CCO)nn2)oc1C(=O)[O-] ZINC001600144198 1168126555 /nfs/dbraw/zinc/12/65/55/1168126555.db2.gz VWJDVJUGKOVDKV-JTQLQIEISA-N 0 2 308.338 0.911 20 0 DCADLN Cc1cc([C@H](C)n2cc(C[N@@H+](C)CCO)nn2)oc1C(=O)[O-] ZINC001600144198 1168126559 /nfs/dbraw/zinc/12/65/59/1168126559.db2.gz VWJDVJUGKOVDKV-JTQLQIEISA-N 0 2 308.338 0.911 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001600197338 1168136447 /nfs/dbraw/zinc/13/64/47/1168136447.db2.gz ILPIEFMSGZYFMZ-OLZOCXBDSA-N 0 2 319.361 0.684 20 0 DCADLN Cc1cccc2ncc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])n21 ZINC001600560846 1168200653 /nfs/dbraw/zinc/20/06/53/1168200653.db2.gz TYZPWIYZHZGMSQ-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1cccc2ncc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])n21 ZINC001600560846 1168200660 /nfs/dbraw/zinc/20/06/60/1168200660.db2.gz TYZPWIYZHZGMSQ-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1nc2c(nccc2C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])[nH]1 ZINC001600721052 1168262346 /nfs/dbraw/zinc/26/23/46/1168262346.db2.gz QPILLTULHSDLJG-SNVBAGLBSA-N 0 2 314.305 0.346 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1NC(=O)[C@H]1C[N@H+](C)CCO1 ZINC001600785181 1168295070 /nfs/dbraw/zinc/29/50/70/1168295070.db2.gz CMWOQPXAUIEGHZ-LLVKDONJSA-N 0 2 310.354 0.244 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1NC(=O)[C@H]1C[N@@H+](C)CCO1 ZINC001600785181 1168295079 /nfs/dbraw/zinc/29/50/79/1168295079.db2.gz CMWOQPXAUIEGHZ-LLVKDONJSA-N 0 2 310.354 0.244 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1CCN(CCO)[C@H](C)C1 ZINC001600785700 1168296169 /nfs/dbraw/zinc/29/61/69/1168296169.db2.gz FSHDABYIUZLTRD-GFCCVEGCSA-N 0 2 324.425 0.473 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1CCN(CCO)[C@H](C)C1 ZINC001600785700 1168296172 /nfs/dbraw/zinc/29/61/72/1168296172.db2.gz FSHDABYIUZLTRD-GFCCVEGCSA-N 0 2 324.425 0.473 20 0 DCADLN Cn1cnc2cc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])ccc21 ZINC001600991046 1168548395 /nfs/dbraw/zinc/54/83/95/1168548395.db2.gz LDMWUZOSZMKLIG-GFCCVEGCSA-N 0 2 313.317 0.653 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@H](Cc1ccccc1O)C(=O)[O-] ZINC001600993089 1168550524 /nfs/dbraw/zinc/55/05/24/1168550524.db2.gz HKAQCDAPMWTVAI-GFCCVEGCSA-N 0 2 303.318 0.480 20 0 DCADLN Cn1nc2c(c1C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-])CCCC2 ZINC001601018128 1168565025 /nfs/dbraw/zinc/56/50/25/1168565025.db2.gz ZVIYYVLJZMNARF-ZDUSSCGKSA-N 0 2 320.393 0.464 20 0 DCADLN Cn1nc2c(c1C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-])CCCC2 ZINC001601018128 1168565033 /nfs/dbraw/zinc/56/50/33/1168565033.db2.gz ZVIYYVLJZMNARF-ZDUSSCGKSA-N 0 2 320.393 0.464 20 0 DCADLN Cn1ncc2c1nc[nH+]c2NCCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001601030637 1168572585 /nfs/dbraw/zinc/57/25/85/1168572585.db2.gz GKMRSCCVAAPHTQ-IUCAKERBSA-N 0 2 318.337 0.002 20 0 DCADLN Cn1nccc1[C@@H]1C[N@H+](C[C@@H]2[C@@H](C(=O)[O-])C2(F)F)CCO1 ZINC001601038234 1168574453 /nfs/dbraw/zinc/57/44/53/1168574453.db2.gz QHWJJWAOFBYTLJ-MIMYLULJSA-N 0 2 301.293 0.759 20 0 DCADLN Cn1nccc1[C@@H]1C[N@@H+](C[C@@H]2[C@@H](C(=O)[O-])C2(F)F)CCO1 ZINC001601038234 1168574457 /nfs/dbraw/zinc/57/44/57/1168574457.db2.gz QHWJJWAOFBYTLJ-MIMYLULJSA-N 0 2 301.293 0.759 20 0 DCADLN NC(Cc1ccccc1)=[NH+]O[C@@H]1CCN(CCC(=O)[O-])C1=O ZINC001601177056 1168624779 /nfs/dbraw/zinc/62/47/79/1168624779.db2.gz UWKLMSZFMLFLTQ-GFCCVEGCSA-N 0 2 305.334 0.803 20 0 DCADLN O=C([O-])c1ccc(CC(=O)N[C@@H]2CCn3c[nH+]cc3C2)cn1 ZINC001601357251 1168718444 /nfs/dbraw/zinc/71/84/44/1168718444.db2.gz DSIQZLRGMVELLI-LLVKDONJSA-N 0 2 300.318 0.650 20 0 DCADLN O=C([O-])[C@H](Cc1cncs1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001601425153 1168741442 /nfs/dbraw/zinc/74/14/42/1168741442.db2.gz UCSGQEBAMSYWMN-CABZTGNLSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2c(c1)CCOC2 ZINC001601426069 1168742846 /nfs/dbraw/zinc/74/28/46/1168742846.db2.gz QOMWAYKCEBWTAF-CQSZACIVSA-N 0 2 315.329 0.839 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](Cc2ncnn2-c2ccccc2)CC1 ZINC001601685018 1168816663 /nfs/dbraw/zinc/81/66/63/1168816663.db2.gz OESFYUOCOMUCEG-OAHLLOKOSA-N 0 2 316.361 0.925 20 0 DCADLN O=C([O-])[C@@]1(N2CC[NH+](Cc3ccc(CO)o3)CC2)CCOC1 ZINC001601719906 1168828837 /nfs/dbraw/zinc/82/88/37/1168828837.db2.gz PCQAVGZGVCFFKW-OAHLLOKOSA-N 0 2 310.350 0.133 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)[C@H]3CCn4cc[nH+]c4C3)C2)C1 ZINC001601740258 1168844343 /nfs/dbraw/zinc/84/43/43/1168844343.db2.gz UFSJSKRDZMWMCB-BONVTDFDSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](Cc2cn(-c3ccccc3F)nn2)C1 ZINC001601769978 1168869171 /nfs/dbraw/zinc/86/91/71/1168869171.db2.gz RLLIGOYGFKANTB-CMPLNLGQSA-N 0 2 320.324 0.674 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](Cc2cn(-c3ccccc3F)nn2)C1 ZINC001601769978 1168869182 /nfs/dbraw/zinc/86/91/82/1168869182.db2.gz RLLIGOYGFKANTB-CMPLNLGQSA-N 0 2 320.324 0.674 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001601777395 1168877707 /nfs/dbraw/zinc/87/77/07/1168877707.db2.gz CWGXHVYSVAAAQN-OLZOCXBDSA-N 0 2 320.349 0.429 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001601777395 1168877718 /nfs/dbraw/zinc/87/77/18/1168877718.db2.gz CWGXHVYSVAAAQN-OLZOCXBDSA-N 0 2 320.349 0.429 20 0 DCADLN O=C([O-])CC[C@H]1CCCN(C(=O)C(=O)NCCn2cc[nH+]c2)C1 ZINC001601975805 1168951967 /nfs/dbraw/zinc/95/19/67/1168951967.db2.gz RWWZXGPHVMHXCP-GFCCVEGCSA-N 0 2 322.365 0.103 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([N@@H+]2CC[C@](O)(C(F)(F)F)C2)C1=O ZINC001602009213 1168959785 /nfs/dbraw/zinc/95/97/85/1168959785.db2.gz ANVRVWGVIYZKSM-LDYMZIIASA-N 0 2 310.272 0.061 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([N@H+]2CC[C@](O)(C(F)(F)F)C2)C1=O ZINC001602009213 1168959789 /nfs/dbraw/zinc/95/97/89/1168959789.db2.gz ANVRVWGVIYZKSM-LDYMZIIASA-N 0 2 310.272 0.061 20 0 DCADLN O=C([O-])CCNC(=O)C[N@@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC001602021432 1168969081 /nfs/dbraw/zinc/96/90/81/1168969081.db2.gz GDNVDKJDCGFFKM-VIFPVBQESA-N 0 2 312.288 0.621 20 0 DCADLN O=C([O-])CCNC(=O)C[N@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC001602021432 1168969088 /nfs/dbraw/zinc/96/90/88/1168969088.db2.gz GDNVDKJDCGFFKM-VIFPVBQESA-N 0 2 312.288 0.621 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CC[C@H](CN3CCOC3=O)C2)c1 ZINC001602149951 1169002981 /nfs/dbraw/zinc/00/29/81/1169002981.db2.gz VKDWKLBYBAHAFJ-LBPRGKRZSA-N 0 2 305.334 0.987 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CCn3c[nH+]cc3C2)cs1 ZINC001602292562 1169049433 /nfs/dbraw/zinc/04/94/33/1169049433.db2.gz WADRNLLXJPJNRP-UHFFFAOYSA-N 0 2 313.360 0.847 20 0 DCADLN O=C([O-])c1ncccc1S(=O)(=O)NCC[N@H+]1CC=CCC1 ZINC001602576959 1169124646 /nfs/dbraw/zinc/12/46/46/1169124646.db2.gz CYMRVJPFEKXSRE-UHFFFAOYSA-N 0 2 311.363 0.320 20 0 DCADLN O=C([O-])c1ncccc1S(=O)(=O)NCC[N@@H+]1CC=CCC1 ZINC001602576959 1169124649 /nfs/dbraw/zinc/12/46/49/1169124649.db2.gz CYMRVJPFEKXSRE-UHFFFAOYSA-N 0 2 311.363 0.320 20 0 DCADLN C[C@H](C(=O)N(C)Cc1ccc(C(=O)[O-])cc1)[NH+]1CCN(C)CC1 ZINC001603133356 1169253011 /nfs/dbraw/zinc/25/30/11/1169253011.db2.gz KAHMQPKPSZRYKD-CYBMUJFWSA-N 0 2 319.405 0.979 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])N1CC[NH+](Cc2ccsc2)CC1 ZINC001603160090 1169260439 /nfs/dbraw/zinc/26/04/39/1169260439.db2.gz HNUBLOYYVAQKQQ-LLVKDONJSA-N 0 2 311.407 0.455 20 0 DCADLN CC1(C)CC(Cn2cnc3ncc(-c4nn[nH]n4)c(=O)n32)C1 ZINC001603762225 1169381241 /nfs/dbraw/zinc/38/12/41/1169381241.db2.gz DFYGIROXWUWHBV-UHFFFAOYSA-N 0 2 300.326 0.507 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001603890902 1169423542 /nfs/dbraw/zinc/42/35/42/1169423542.db2.gz OEXSJUVULGLXTP-KOLCDFICSA-N 0 2 317.353 0.118 20 0 DCADLN CC(C)NS(=O)(=O)CCNc1cc(CC(=O)[O-])cc[nH+]1 ZINC001603905540 1169429233 /nfs/dbraw/zinc/42/92/33/1169429233.db2.gz WKWOJNKALFXJMV-UHFFFAOYSA-N 0 2 301.368 0.448 20 0 DCADLN C[C@H](CC(=O)OCCc1cn(CC(=O)[O-])nn1)n1cc[nH+]c1 ZINC001604122085 1169500762 /nfs/dbraw/zinc/50/07/62/1169500762.db2.gz JHKJPVLTZWJTMX-SNVBAGLBSA-N 0 2 307.310 0.296 20 0 DCADLN CCC[C@H](C)CCCn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC001604136322 1169502751 /nfs/dbraw/zinc/50/27/51/1169502751.db2.gz LINIDTOZASYPMT-JTQLQIEISA-N 0 2 306.370 0.944 20 0 DCADLN C[C@@H]([NH2+][C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1)c1nncn1C ZINC001604323348 1169554105 /nfs/dbraw/zinc/55/41/05/1169554105.db2.gz YVNSGBIHLPKFHZ-ZJUUUORDSA-N 0 2 309.370 0.177 20 0 DCADLN C[C@@H](O)C(=O)N1CC[NH+](Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC001604377163 1169575480 /nfs/dbraw/zinc/57/54/80/1169575480.db2.gz BQDLMTWYOWVAKU-GFCCVEGCSA-N 0 2 322.361 0.175 20 0 DCADLN C[C@H](OC[C@@H]1CCCO1)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001604422848 1169589755 /nfs/dbraw/zinc/58/97/55/1169589755.db2.gz QHLYUEYBLYWRMK-SRVKXCTJSA-N 0 2 311.338 0.037 20 0 DCADLN CC1(C=CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCOCC1 ZINC001604569212 1169621079 /nfs/dbraw/zinc/62/10/79/1169621079.db2.gz SPNPFFYUEQCKIY-JDGPPOGSSA-N 0 2 307.350 0.895 20 0 DCADLN CC1(/C=C/C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCOCC1 ZINC001604569212 1169621084 /nfs/dbraw/zinc/62/10/84/1169621084.db2.gz SPNPFFYUEQCKIY-JDGPPOGSSA-N 0 2 307.350 0.895 20 0 DCADLN CCc1nn(C[C@@H]2CCO[C@H]2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604580011 1169622777 /nfs/dbraw/zinc/62/27/77/1169622777.db2.gz UAZIJSIZRMDGAP-UWVGGRQHSA-N 0 2 318.381 0.973 20 0 DCADLN C[C@H]1CCn2ncc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c2C1 ZINC001604768882 1169675004 /nfs/dbraw/zinc/67/50/04/1169675004.db2.gz QAEZAJFKFKYCNW-JOYOIKCWSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@H]1CCn2ncc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c2C1 ZINC001604768882 1169675011 /nfs/dbraw/zinc/67/50/11/1169675011.db2.gz QAEZAJFKFKYCNW-JOYOIKCWSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@H]1C[N@H+](CCc2cn(CC(=O)[O-])nn2)C[C@@](C)(C(F)F)O1 ZINC001604796527 1169689694 /nfs/dbraw/zinc/68/96/94/1169689694.db2.gz DARQJOQNFDFTCX-ZANVPECISA-N 0 2 318.324 0.650 20 0 DCADLN C[C@H]1C[N@@H+](CCc2cn(CC(=O)[O-])nn2)C[C@@](C)(C(F)F)O1 ZINC001604796527 1169689699 /nfs/dbraw/zinc/68/96/99/1169689699.db2.gz DARQJOQNFDFTCX-ZANVPECISA-N 0 2 318.324 0.650 20 0 DCADLN CC[C@](COC)(NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC001605049714 1169795543 /nfs/dbraw/zinc/79/55/43/1169795543.db2.gz RFWQWKIVYQNDDN-GXFFZTMASA-N 0 2 304.412 0.420 20 0 DCADLN COCc1nc(Cn2cnc(-c3nn[nH]n3)cc2=O)cs1 ZINC001605137068 1169842155 /nfs/dbraw/zinc/84/21/55/1169842155.db2.gz PUXRMANDYSKHSS-UHFFFAOYSA-N 0 2 305.323 0.075 20 0 DCADLN CC[C@H]1C[N@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)C[C@@H]1O ZINC001605171782 1169856589 /nfs/dbraw/zinc/85/65/89/1169856589.db2.gz ATMVEMYJMPBWMZ-WPRPVWTQSA-N 0 2 313.379 0.411 20 0 DCADLN CC[C@H]1C[N@@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)C[C@@H]1O ZINC001605171782 1169856592 /nfs/dbraw/zinc/85/65/92/1169856592.db2.gz ATMVEMYJMPBWMZ-WPRPVWTQSA-N 0 2 313.379 0.411 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CCOC2CCOCC2)c1 ZINC001605510896 1169944841 /nfs/dbraw/zinc/94/48/41/1169944841.db2.gz NHRSHBCFOISKII-UHFFFAOYSA-N 0 2 305.338 0.532 20 0 DCADLN CCOC(=O)[C@H]1C[N@@H+]([C@@H](C)c2nc(C(=O)[O-])co2)CC12COC2 ZINC001605525844 1169947302 /nfs/dbraw/zinc/94/73/02/1169947302.db2.gz DVRWVNPTOYFVIU-VHSXEESVSA-N 0 2 324.333 0.945 20 0 DCADLN CCOC(=O)[C@H]1C[N@H+]([C@@H](C)c2nc(C(=O)[O-])co2)CC12COC2 ZINC001605525844 1169947306 /nfs/dbraw/zinc/94/73/06/1169947306.db2.gz DVRWVNPTOYFVIU-VHSXEESVSA-N 0 2 324.333 0.945 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)c1cc(C(=O)[O-])oc1C ZINC001605531453 1169949577 /nfs/dbraw/zinc/94/95/77/1169949577.db2.gz MFVZMDVAWDSKDY-JTQLQIEISA-N 0 2 310.306 0.263 20 0 DCADLN CCc1nocc1C[NH+]1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001605880363 1170020316 /nfs/dbraw/zinc/02/03/16/1170020316.db2.gz RTUJBTDQSYVQKX-OAHLLOKOSA-N 0 2 309.366 0.598 20 0 DCADLN CN(C(=O)[C@H]1CCn2c[nH+]cc2C1)[C@H](COC1CCC1)C(=O)[O-] ZINC001605956828 1170036642 /nfs/dbraw/zinc/03/66/42/1170036642.db2.gz YENJVAUTQHGEMS-SMDDNHRTSA-N 0 2 321.377 0.926 20 0 DCADLN Cc1nn(COC(=O)CC(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC001606116172 1170081271 /nfs/dbraw/zinc/08/12/71/1170081271.db2.gz RHHQDOXGSCMGJQ-UHFFFAOYSA-N 0 2 306.326 0.587 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)CC1(C)C ZINC001606244808 1170133948 /nfs/dbraw/zinc/13/39/48/1170133948.db2.gz KCEPMOSUHAFIIB-UHFFFAOYSA-N 0 2 304.412 0.597 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)CC1(C)C ZINC001606244808 1170133950 /nfs/dbraw/zinc/13/39/50/1170133950.db2.gz KCEPMOSUHAFIIB-UHFFFAOYSA-N 0 2 304.412 0.597 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCc2c[nH+]cn2C)[C@@H](C(=O)[O-])C1 ZINC001606402995 1170186758 /nfs/dbraw/zinc/18/67/58/1170186758.db2.gz INVNGUPSXBIUDJ-CMPLNLGQSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1csc(C(=O)[O-])n1 ZINC001606515461 1170226042 /nfs/dbraw/zinc/22/60/42/1170226042.db2.gz RKBMALMPFIQGDL-ZETCQYMHSA-N 0 2 324.318 0.079 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1csc(C(=O)[O-])n1 ZINC001606515461 1170226044 /nfs/dbraw/zinc/22/60/44/1170226044.db2.gz RKBMALMPFIQGDL-ZETCQYMHSA-N 0 2 324.318 0.079 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)nc1 ZINC001606588441 1170238936 /nfs/dbraw/zinc/23/89/36/1170238936.db2.gz TUSRUUUZSSBNFB-OAHLLOKOSA-N 0 2 319.317 0.674 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)nc1 ZINC001606588441 1170238939 /nfs/dbraw/zinc/23/89/39/1170238939.db2.gz TUSRUUUZSSBNFB-OAHLLOKOSA-N 0 2 319.317 0.674 20 0 DCADLN COC[C@](C)(CC(=O)[O-])[NH2+]Cc1nnn(CC(F)(F)F)n1 ZINC001606786400 1170289401 /nfs/dbraw/zinc/28/94/01/1170289401.db2.gz XNCZJQVLHUQLMW-VIFPVBQESA-N 0 2 311.264 0.205 20 0 DCADLN COC[C@]1(C)CC[N@H+](Cn2nc(C(=O)[O-])cc2C(=O)OC)C1 ZINC001606834867 1170308100 /nfs/dbraw/zinc/30/81/00/1170308100.db2.gz OBYUYZAKAUEMAH-CQSZACIVSA-N 0 2 311.338 0.684 20 0 DCADLN COC[C@]1(C)CC[N@@H+](Cn2nc(C(=O)[O-])cc2C(=O)OC)C1 ZINC001606834867 1170308111 /nfs/dbraw/zinc/30/81/11/1170308111.db2.gz OBYUYZAKAUEMAH-CQSZACIVSA-N 0 2 311.338 0.684 20 0 DCADLN O=C1CCCc2c1cn(C[C@H]1CCCO1)c(=O)c2-c1nn[nH]n1 ZINC001607042873 1170366181 /nfs/dbraw/zinc/36/61/81/1170366181.db2.gz ABPABHBOTQNDSF-SECBINFHSA-N 0 2 315.333 0.726 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@@H]1CCC2(CCC2)CO1 ZINC001607088834 1170386688 /nfs/dbraw/zinc/38/66/88/1170386688.db2.gz RTDRZFRWCHOYNU-VIFPVBQESA-N 0 2 318.337 0.478 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C)c1ncc(C(=O)[O-])cn1 ZINC001607499768 1170468420 /nfs/dbraw/zinc/46/84/20/1170468420.db2.gz RPTMSKQISCSBNX-UHFFFAOYSA-N 0 2 318.337 0.001 20 0 DCADLN Cc1c(Cl)c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nn1C ZINC001607528886 1170474288 /nfs/dbraw/zinc/47/42/88/1170474288.db2.gz QJLKBHWLTBCGDG-QMMMGPOBSA-N 0 2 311.729 0.531 20 0 DCADLN Cc1c(Cl)c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nn1C ZINC001607528886 1170474292 /nfs/dbraw/zinc/47/42/92/1170474292.db2.gz QJLKBHWLTBCGDG-QMMMGPOBSA-N 0 2 311.729 0.531 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)cc1C(=O)[O-] ZINC001607730097 1170501170 /nfs/dbraw/zinc/50/11/70/1170501170.db2.gz FBJVXBSOIRBBKM-CYBMUJFWSA-N 0 2 303.362 0.423 20 0 DCADLN Cc1ccc(NC(=O)C[NH+]2CCC(O)(C(=O)[O-])CC2)c(F)c1 ZINC001607801142 1170508616 /nfs/dbraw/zinc/50/86/16/1170508616.db2.gz GWSVFFZJGJGHBM-UHFFFAOYSA-N 0 2 310.325 0.984 20 0 DCADLN Cc1ccc2nc(C[N@H+]3C[C@@H](C)O[C@H](C(=O)[O-])C3)cc(=O)n2c1 ZINC001607834894 1170511935 /nfs/dbraw/zinc/51/19/35/1170511935.db2.gz NHVCDHHWNCMDDD-YPMHNXCESA-N 0 2 317.345 0.677 20 0 DCADLN Cc1ccc2nc(C[N@@H+]3C[C@@H](C)O[C@H](C(=O)[O-])C3)cc(=O)n2c1 ZINC001607834894 1170511944 /nfs/dbraw/zinc/51/19/44/1170511944.db2.gz NHVCDHHWNCMDDD-YPMHNXCESA-N 0 2 317.345 0.677 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CCO[C@@H](CC(=O)[O-])C3)ccn12 ZINC001607908608 1170521938 /nfs/dbraw/zinc/52/19/38/1170521938.db2.gz GLLRUMSDZYOBLF-LBPRGKRZSA-N 0 2 303.318 0.958 20 0 DCADLN Cc1n[nH]c([C@@H]2CCC[N@H+](Cc3cnc(C(=O)[O-])cn3)C2)n1 ZINC001607953658 1170533127 /nfs/dbraw/zinc/53/31/27/1170533127.db2.gz NEXGVYSNLLZJKB-SNVBAGLBSA-N 0 2 302.338 0.981 20 0 DCADLN Cc1n[nH]c([C@@H]2CCC[N@@H+](Cc3cnc(C(=O)[O-])cn3)C2)n1 ZINC001607953658 1170533131 /nfs/dbraw/zinc/53/31/31/1170533131.db2.gz NEXGVYSNLLZJKB-SNVBAGLBSA-N 0 2 302.338 0.981 20 0 DCADLN Cc1nc([C@@H]2CCC[N@H+](Cc3cnc(C(=O)[O-])cn3)C2)n[nH]1 ZINC001607953658 1170533133 /nfs/dbraw/zinc/53/31/33/1170533133.db2.gz NEXGVYSNLLZJKB-SNVBAGLBSA-N 0 2 302.338 0.981 20 0 DCADLN Cc1nc([C@@H]2CCC[N@@H+](Cc3cnc(C(=O)[O-])cn3)C2)n[nH]1 ZINC001607953658 1170533140 /nfs/dbraw/zinc/53/31/40/1170533140.db2.gz NEXGVYSNLLZJKB-SNVBAGLBSA-N 0 2 302.338 0.981 20 0 DCADLN Cc1noc(C[N@@H+]2CCC3(CN(C(=O)[C@H]4C[C@@H]4C(=O)[O-])C3)C2)n1 ZINC001608028070 1170552542 /nfs/dbraw/zinc/55/25/42/1170552542.db2.gz QRRQDAPZPUCQQS-QWRGUYRKSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1noc(C[N@H+]2CCC3(CN(C(=O)[C@H]4C[C@@H]4C(=O)[O-])C3)C2)n1 ZINC001608028070 1170552545 /nfs/dbraw/zinc/55/25/45/1170552545.db2.gz QRRQDAPZPUCQQS-QWRGUYRKSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1noc2ncc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])cc12 ZINC001608028929 1170553172 /nfs/dbraw/zinc/55/31/72/1170553172.db2.gz KCHULHZKTCWAKM-NSHDSACASA-N 0 2 315.289 0.611 20 0 DCADLN Cn1cc(C(=O)[O-])c(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)n1 ZINC001608102726 1170572265 /nfs/dbraw/zinc/57/22/65/1170572265.db2.gz MXWVIVHZHDCVNC-MRVPVSSYSA-N 0 2 304.310 0.451 20 0 DCADLN Cn1nc2c(c1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])CCCC2 ZINC001608151565 1170597248 /nfs/dbraw/zinc/59/72/48/1170597248.db2.gz NJSWERISPQAMMG-GFCCVEGCSA-N 0 2 317.349 0.379 20 0 DCADLN NC(=O)c1cccc(C[N@H+](CC(=O)NCCC(=O)[O-])C2CC2)c1 ZINC001608246543 1170629943 /nfs/dbraw/zinc/62/99/43/1170629943.db2.gz QKQSXOWFHPCSBS-UHFFFAOYSA-N 0 2 319.361 0.341 20 0 DCADLN NC(=O)c1cccc(C[N@@H+](CC(=O)NCCC(=O)[O-])C2CC2)c1 ZINC001608246543 1170629946 /nfs/dbraw/zinc/62/99/46/1170629946.db2.gz QKQSXOWFHPCSBS-UHFFFAOYSA-N 0 2 319.361 0.341 20 0 DCADLN O=C([O-])[C@@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccccc1F ZINC001608280653 1170641421 /nfs/dbraw/zinc/64/14/21/1170641421.db2.gz AWPGQHJOGQJTRI-VFQAQYNWSA-N 0 2 322.336 0.956 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Oc1ccc(F)cc1 ZINC001608369875 1170661146 /nfs/dbraw/zinc/66/11/46/1170661146.db2.gz KTWXFZPTGKBXSF-GFCCVEGCSA-N 0 2 307.281 0.740 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1scc2c1OCCO2 ZINC001608486863 1170689244 /nfs/dbraw/zinc/68/92/44/1170689244.db2.gz JRWPUXGNTYPFET-MRVPVSSYSA-N 0 2 323.330 0.668 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1scc2c1OCCO2 ZINC001608486863 1170689246 /nfs/dbraw/zinc/68/92/46/1170689246.db2.gz JRWPUXGNTYPFET-MRVPVSSYSA-N 0 2 323.330 0.668 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)[C@H]3CCc4[nH+]ccn4C3)C2)C1 ZINC001608591698 1170709539 /nfs/dbraw/zinc/70/95/39/1170709539.db2.gz SELUQSGCFLKULV-BONVTDFDSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@@H]1CC12CCN(C(=O)C1([NH+]3CCOCC3)CCC1)CC2 ZINC001608608173 1170716062 /nfs/dbraw/zinc/71/60/62/1170716062.db2.gz VCXAGCAENNNUQP-ZDUSSCGKSA-N 0 2 322.405 0.955 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2cc(C3CC3)no2)C1 ZINC001608610006 1170718351 /nfs/dbraw/zinc/71/83/51/1170718351.db2.gz HAMKCOLYPZBMKT-OLZOCXBDSA-N 0 2 319.361 0.821 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)/C=C/C[NH+]2CCOCC2)CCCOC1 ZINC001608682803 1170736292 /nfs/dbraw/zinc/73/62/92/1170736292.db2.gz LHAOFKMATKRNTK-KZTTYJGESA-N 0 2 312.366 0.015 20 0 DCADLN O=C([O-])COCCNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC001608757854 1170751894 /nfs/dbraw/zinc/75/18/94/1170751894.db2.gz JEHQDRMVFUZJCU-UHFFFAOYSA-N 0 2 322.361 0.350 20 0 DCADLN O=C([O-])COc1ccc(C[NH+]2CC(N3CCCC3=O)C2)cc1 ZINC001608759125 1170752116 /nfs/dbraw/zinc/75/21/16/1170752116.db2.gz YBGWTZRLOPZYGO-UHFFFAOYSA-N 0 2 304.346 0.957 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCc2c[nH+]c3n2CCC3)c[nH]1 ZINC001608861952 1170766772 /nfs/dbraw/zinc/76/67/72/1170766772.db2.gz AVYWMVXJGGHTCC-UHFFFAOYSA-N 0 2 310.335 0.334 20 0 DCADLN O=C([O-])c1cncc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC001608979591 1170780595 /nfs/dbraw/zinc/78/05/95/1170780595.db2.gz JLJAGYFKOWQMEN-ZDUSSCGKSA-N 0 2 305.334 0.327 20 0 DCADLN C[C@H]1SCCN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@@H]1C ZINC001609180704 1170853438 /nfs/dbraw/zinc/85/34/38/1170853438.db2.gz QISCOWYROPHJBO-NXEZZACHSA-N 0 2 306.395 0.812 20 0 DCADLN CC[C@H](C)NC(=O)CCNCc1nc(-c2nn[nH]n2)cs1 ZINC001609186678 1170857795 /nfs/dbraw/zinc/85/77/95/1170857795.db2.gz HHZMKGMZMAGAPB-QMMMGPOBSA-N 0 2 309.399 0.718 20 0 DCADLN CC[C@@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)C[C@H](C)O1 ZINC001609202406 1170868021 /nfs/dbraw/zinc/86/80/21/1170868021.db2.gz HIMVVOBKQPIOLO-NWDGAFQWSA-N 0 2 318.381 0.794 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(Cc2ccccn2)c1 ZINC001609302140 1170923784 /nfs/dbraw/zinc/92/37/84/1170923784.db2.gz VIXHMRUSCAVOLZ-UHFFFAOYSA-N 0 2 312.289 0.258 20 0 DCADLN CC(C)(C)OC(=O)[C@]1(C)CC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001609658876 1171062257 /nfs/dbraw/zinc/06/22/57/1171062257.db2.gz QZLFPLAEUBPNDK-CQSZACIVSA-N 0 2 310.354 0.988 20 0 DCADLN CC(C)(C)OC(=O)[C@]1(C)CC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001609658876 1171062264 /nfs/dbraw/zinc/06/22/64/1171062264.db2.gz QZLFPLAEUBPNDK-CQSZACIVSA-N 0 2 310.354 0.988 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)CC1(C(=O)[O-])CCCC1 ZINC001609751339 1171078617 /nfs/dbraw/zinc/07/86/17/1171078617.db2.gz LLWDKFXETCSHTJ-LLVKDONJSA-N 0 2 315.395 0.836 20 0 DCADLN CO[C@@]1(C(F)(F)F)CCC[N@@H+]([C@H](C)C(=O)NCC(=O)[O-])C1 ZINC001610231551 1171203073 /nfs/dbraw/zinc/20/30/73/1171203073.db2.gz OCNBWQLXVOGCGZ-KCJUWKMLSA-N 0 2 312.288 0.619 20 0 DCADLN CO[C@@]1(C(F)(F)F)CCC[N@H+]([C@H](C)C(=O)NCC(=O)[O-])C1 ZINC001610231551 1171203077 /nfs/dbraw/zinc/20/30/77/1171203077.db2.gz OCNBWQLXVOGCGZ-KCJUWKMLSA-N 0 2 312.288 0.619 20 0 DCADLN COCCCNC(=O)C[NH2+][C@@H](C)c1ncc(C(=O)[O-])s1 ZINC001610257376 1171210195 /nfs/dbraw/zinc/21/01/95/1171210195.db2.gz QKEBBIGCAXTBCA-QMMMGPOBSA-N 0 2 301.368 0.645 20 0 DCADLN COCc1noc([C@H](C)[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610274503 1171215231 /nfs/dbraw/zinc/21/52/31/1171215231.db2.gz HJHIQEHHFLYJHJ-DTWKUNHWSA-N 0 2 322.325 0.514 20 0 DCADLN COCc1noc([C@H](C)[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610274503 1171215235 /nfs/dbraw/zinc/21/52/35/1171215235.db2.gz HJHIQEHHFLYJHJ-DTWKUNHWSA-N 0 2 322.325 0.514 20 0 DCADLN Cc1cc([C@@H](C)[N@@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)oc1C(=O)[O-] ZINC001610444339 1171236608 /nfs/dbraw/zinc/23/66/08/1171236608.db2.gz DVTOXIGZDLJDDN-BDAKNGLRSA-N 0 2 307.306 0.583 20 0 DCADLN Cc1cc([C@@H](C)[N@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)oc1C(=O)[O-] ZINC001610444339 1171236610 /nfs/dbraw/zinc/23/66/10/1171236610.db2.gz DVTOXIGZDLJDDN-BDAKNGLRSA-N 0 2 307.306 0.583 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001610533631 1171248082 /nfs/dbraw/zinc/24/80/82/1171248082.db2.gz VMKPJXPFHARCGP-ZBFHGGJFSA-N 0 2 320.389 0.863 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001610533631 1171248084 /nfs/dbraw/zinc/24/80/84/1171248084.db2.gz VMKPJXPFHARCGP-ZBFHGGJFSA-N 0 2 320.389 0.863 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1CCO[C@H]([C@H](C)O)C1 ZINC001610570656 1171257345 /nfs/dbraw/zinc/25/73/45/1171257345.db2.gz GXUAVTQRQGVDMJ-JSGCOSHPSA-N 0 2 311.382 0.556 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1CCO[C@H]([C@H](C)O)C1 ZINC001610570656 1171257347 /nfs/dbraw/zinc/25/73/47/1171257347.db2.gz GXUAVTQRQGVDMJ-JSGCOSHPSA-N 0 2 311.382 0.556 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)NCCc3cn4c([nH+]3)CCCC4)C[C@@H]21 ZINC001610803229 1171331637 /nfs/dbraw/zinc/33/16/37/1171331637.db2.gz JWOUDRPKXLENDM-IMRBUKKESA-N 0 2 318.377 0.734 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CCc3c[nH+]ccc32)cn1 ZINC001610838541 1171338394 /nfs/dbraw/zinc/33/83/94/1171338394.db2.gz PPEQIDZAGZYZRJ-UHFFFAOYSA-N 0 2 305.315 0.926 20 0 DCADLN COc1cccn2ncc(C(=O)NCCc3n[nH]c(=S)o3)c12 ZINC001633468320 1171642900 /nfs/dbraw/zinc/64/29/00/1171642900.db2.gz VKNLRUWLOZFDID-UHFFFAOYSA-N 0 2 319.346 0.987 20 0 DCADLN O=C(CCc1nnc(C(F)F)o1)NCCc1n[nH]c(=S)o1 ZINC001633468233 1171643205 /nfs/dbraw/zinc/64/32/05/1171643205.db2.gz RQOJQHRMTQFAHP-UHFFFAOYSA-N 0 2 319.293 0.970 20 0 DCADLN O=C(c1c2nc[nH]c2ccc1F)N1CCOC[C@H]1c1nn[nH]n1 ZINC001635090936 1171692649 /nfs/dbraw/zinc/69/26/49/1171692649.db2.gz QIKHTFBZIGHHRN-VIFPVBQESA-N 0 2 317.284 0.429 20 0 DCADLN O=C(c1c2[nH]cnc2ccc1F)N1CCOC[C@H]1c1nn[nH]n1 ZINC001635090936 1171692663 /nfs/dbraw/zinc/69/26/63/1171692663.db2.gz QIKHTFBZIGHHRN-VIFPVBQESA-N 0 2 317.284 0.429 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1CCC(=O)N1 ZINC001645517409 1172045226 /nfs/dbraw/zinc/04/52/26/1172045226.db2.gz RSRTZRPAWKABBY-MRVPVSSYSA-N 0 2 306.347 0.634 20 0 DCADLN C[C@H]1CC(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](C)O1 ZINC001645644751 1172085981 /nfs/dbraw/zinc/08/59/81/1172085981.db2.gz DNSUDXZTPAGJFL-IUCAKERBSA-N 0 2 305.338 0.143 20 0 DCADLN C[C@H](c1ccccc1)[N@H+](CC(N)=O)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001647279923 1172781510 /nfs/dbraw/zinc/78/15/10/1172781510.db2.gz SLGNWVFGESQAND-DGCLKSJQSA-N 0 2 319.361 0.220 20 0 DCADLN C[C@H](c1ccccc1)[N@@H+](CC(N)=O)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001647279923 1172781513 /nfs/dbraw/zinc/78/15/13/1172781513.db2.gz SLGNWVFGESQAND-DGCLKSJQSA-N 0 2 319.361 0.220 20 0 DCADLN COCc1nnc(CNC(=O)CSCc2cccnc2)[nH]1 ZINC001647299790 1172794316 /nfs/dbraw/zinc/79/43/16/1172794316.db2.gz KQDXVZRPYJPYPW-UHFFFAOYSA-N 0 2 307.379 0.896 20 0 DCADLN CC(=O)NC1CCC(C(=O)NCCCc2n[nH]c(=O)[nH]2)CC1 ZINC001648597186 1173078427 /nfs/dbraw/zinc/07/84/27/1173078427.db2.gz DDBREMSHGLMXQY-UHFFFAOYSA-N 0 2 309.370 0.254 20 0 DCADLN CC[C@H](C(=O)N1CC[C@H](c2nn[nH]n2)C1)N1CCO[C@H](CC)C1 ZINC001649332859 1173109292 /nfs/dbraw/zinc/10/92/92/1173109292.db2.gz HRXCOMCCKXBMQG-YNEHKIRRSA-N 0 2 322.413 0.405 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)O[C@H]1CCOC[C@H]1C ZINC001650474171 1173651500 /nfs/dbraw/zinc/65/15/00/1173651500.db2.gz MLFBOUSUCNXNBO-SKDRFNHKSA-N 0 2 305.334 0.842 20 0 DCADLN CC1(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CC=CC1 ZINC001673058456 1173747469 /nfs/dbraw/zinc/74/74/69/1173747469.db2.gz FKNMCEPHWMYPIR-NSHDSACASA-N 0 2 321.381 0.184 20 0 DCADLN Cn1nc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c2c1CCCC2 ZINC001654366218 1173803620 /nfs/dbraw/zinc/80/36/20/1173803620.db2.gz SAWKSYKTRWLTSN-UHFFFAOYSA-N 0 2 304.354 0.485 20 0 DCADLN Cc1c(C(=O)NCCNC(=O)C(F)C(F)(F)F)cnn1C ZINC001686438229 1176093679 /nfs/dbraw/zinc/09/36/79/1176093679.db2.gz VPMDLHKODAOISC-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1c(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cnn1C ZINC001686438229 1176093688 /nfs/dbraw/zinc/09/36/88/1176093688.db2.gz VPMDLHKODAOISC-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)NCCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001686699970 1176196664 /nfs/dbraw/zinc/19/66/64/1176196664.db2.gz NYIBXLJWIYSQSM-GFCCVEGCSA-N 0 2 321.425 0.402 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCOCC2CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001689291890 1176799989 /nfs/dbraw/zinc/79/99/89/1176799989.db2.gz KTQNAYFYSYWRCY-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCOCC2CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001689291890 1176799995 /nfs/dbraw/zinc/79/99/95/1176799995.db2.gz KTQNAYFYSYWRCY-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(C[C@@H]1CC=CCC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001699628880 1178225878 /nfs/dbraw/zinc/22/58/78/1178225878.db2.gz ZJWSCLIQOLKYHB-NEPJUHHUSA-N 0 2 305.382 0.947 20 0 DCADLN CCc1nc[nH]c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720129786 1178623987 /nfs/dbraw/zinc/62/39/87/1178623987.db2.gz HZYRXNAHONIZEP-MRVPVSSYSA-N 0 2 310.251 0.719 20 0 DCADLN CCc1nc[nH]c1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720129786 1178623990 /nfs/dbraw/zinc/62/39/90/1178623990.db2.gz HZYRXNAHONIZEP-MRVPVSSYSA-N 0 2 310.251 0.719 20 0 DCADLN CCn1nncc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001703171721 1179366656 /nfs/dbraw/zinc/36/66/56/1179366656.db2.gz SZGLSFZWSGBMOJ-SSDOTTSWSA-N 0 2 311.239 0.044 20 0 DCADLN CCn1nncc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703171721 1179366664 /nfs/dbraw/zinc/36/66/64/1179366664.db2.gz SZGLSFZWSGBMOJ-SSDOTTSWSA-N 0 2 311.239 0.044 20 0 DCADLN Cn1c(=O)cccc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001703218660 1179399877 /nfs/dbraw/zinc/39/98/77/1179399877.db2.gz NUJCQZJVPAOCJA-SECBINFHSA-N 0 2 323.246 0.132 20 0 DCADLN Cn1c(=O)cccc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703218660 1179399885 /nfs/dbraw/zinc/39/98/85/1179399885.db2.gz NUJCQZJVPAOCJA-SECBINFHSA-N 0 2 323.246 0.132 20 0 DCADLN CCCCO[C@@H](C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001713080433 1180671681 /nfs/dbraw/zinc/67/16/81/1180671681.db2.gz JHNNXFVCFZRHDT-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001714664412 1181466236 /nfs/dbraw/zinc/46/62/36/1181466236.db2.gz WGWQIKDAZUCNSO-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001714664412 1181466241 /nfs/dbraw/zinc/46/62/41/1181466241.db2.gz WGWQIKDAZUCNSO-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN CCN(CC[NH2+]Cc1n[nH]c(=O)[n-]1)C(=O)C(F)C(F)(F)F ZINC001715735375 1181966365 /nfs/dbraw/zinc/96/63/65/1181966365.db2.gz KTEBTJZTXPGLON-SSDOTTSWSA-N 0 2 313.255 0.349 20 0 DCADLN CCN(CC[NH2+]Cc1ncccn1)C(=O)C[N@H+](C)C1CCC1 ZINC001715738235 1181967956 /nfs/dbraw/zinc/96/79/56/1181967956.db2.gz RVEBNVGNUOKWLY-UHFFFAOYSA-N 0 2 305.426 0.899 20 0 DCADLN CC(C)=C(C)CC(=O)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001717507048 1182872260 /nfs/dbraw/zinc/87/22/60/1182872260.db2.gz CJIIJUWKSRKCOE-UHFFFAOYSA-N 0 2 323.397 0.310 20 0 DCADLN CCC(=O)NCCOCCCNC(=O)C(F)C(F)(F)F ZINC001718489094 1183237666 /nfs/dbraw/zinc/23/76/66/1183237666.db2.gz IIMAVYCHISACEH-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN CCC(=O)NCCOCCCNC(=O)[C@H](F)C(F)(F)F ZINC001718489094 1183237669 /nfs/dbraw/zinc/23/76/69/1183237669.db2.gz IIMAVYCHISACEH-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN CCOCC(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001721784446 1184036595 /nfs/dbraw/zinc/03/65/95/1184036595.db2.gz LDWGKPSEWJQSQF-NWALNABHSA-N 0 2 300.252 0.712 20 0 DCADLN CCOCC(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001721784446 1184036601 /nfs/dbraw/zinc/03/66/01/1184036601.db2.gz LDWGKPSEWJQSQF-NWALNABHSA-N 0 2 300.252 0.712 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001724764787 1184548624 /nfs/dbraw/zinc/54/86/24/1184548624.db2.gz FUHYCEPYCHVMCK-INJWIWPUSA-N 0 2 309.370 0.184 20 0 DCADLN CCO[C@@H](C)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001725809191 1185005199 /nfs/dbraw/zinc/00/51/99/1185005199.db2.gz KTTHBCTZRFQLNY-JTQLQIEISA-N 0 2 309.370 0.184 20 0 DCADLN CCO[C@@H](C)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001725809191 1185005205 /nfs/dbraw/zinc/00/52/05/1185005205.db2.gz KTTHBCTZRFQLNY-JTQLQIEISA-N 0 2 309.370 0.184 20 0 DCADLN CN(CCCNC(=O)c1cc(C2CC2)no1)Cc1cnn(C)n1 ZINC001731270426 1185231621 /nfs/dbraw/zinc/23/16/21/1185231621.db2.gz RLMBUCALQJQUFQ-UHFFFAOYSA-N 0 2 318.381 0.932 20 0 DCADLN CO[C@@H](C)C[N@H+](C)CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001731271320 1185232039 /nfs/dbraw/zinc/23/20/39/1185232039.db2.gz LLHBXRLFDCEMHH-NSHDSACASA-N 0 2 321.381 0.109 20 0 DCADLN CO[C@@H](C)C[N@@H+](C)CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001731271320 1185232044 /nfs/dbraw/zinc/23/20/44/1185232044.db2.gz LLHBXRLFDCEMHH-NSHDSACASA-N 0 2 321.381 0.109 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)NCCNC(=O)C[N@H+](C)C1CCC1 ZINC001742534727 1188001397 /nfs/dbraw/zinc/00/13/97/1188001397.db2.gz NYSGEDYOEGZOOV-UHFFFAOYSA-N 0 2 321.425 0.069 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)C[N@@H+]1CC[C@@H](C)C1 ZINC001742995996 1188085255 /nfs/dbraw/zinc/08/52/55/1188085255.db2.gz JDRDGESBTYOAOD-GFCCVEGCSA-N 0 2 321.425 0.225 20 0 DCADLN C/C(=C\C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001754667810 1188900135 /nfs/dbraw/zinc/90/01/35/1188900135.db2.gz YRNHGVCRUMAYRI-JOZWUWCOSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C\C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001754667810 1188900139 /nfs/dbraw/zinc/90/01/39/1188900139.db2.gz YRNHGVCRUMAYRI-JOZWUWCOSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1ccc(=O)[nH]c1 ZINC001758191788 1189775021 /nfs/dbraw/zinc/77/50/21/1189775021.db2.gz UYXLEQQSLZJQPC-SECBINFHSA-N 0 2 323.246 0.924 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1ccc(=O)[nH]c1 ZINC001758191788 1189775024 /nfs/dbraw/zinc/77/50/24/1189775024.db2.gz UYXLEQQSLZJQPC-SECBINFHSA-N 0 2 323.246 0.924 20 0 DCADLN COC(=O)C1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC001772103381 1190609277 /nfs/dbraw/zinc/60/92/77/1190609277.db2.gz JZFDBOKREZVONL-UHFFFAOYSA-N 0 2 301.302 0.823 20 0 DCADLN O=C(C[NH+]1CCC(CO)CC1)N1CC[NH2+]C[C@H]1c1ccncc1 ZINC001772239937 1190640170 /nfs/dbraw/zinc/64/01/70/1190640170.db2.gz NNFHNWDUKZDBNQ-INIZCTEOSA-N 0 2 318.421 0.259 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107116587 751863864 /nfs/dbraw/zinc/86/38/64/751863864.db2.gz LDSMBTFKKDEQCU-QUCGXOGASA-N 0 2 315.381 0.483 20 0 DCADLN C[N@H+]1CCC[C@H]1C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107116587 751863874 /nfs/dbraw/zinc/86/38/74/751863874.db2.gz LDSMBTFKKDEQCU-QUCGXOGASA-N 0 2 315.381 0.483 20 0 DCADLN C[C@]1(CNC(=O)CC2CC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107682851 752212790 /nfs/dbraw/zinc/21/27/90/752212790.db2.gz ZJJKMBWNIUWZRZ-AWEZNQCLSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)Oc1cc(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)cc[nH+]1 ZINC001167859769 748444162 /nfs/dbraw/zinc/44/41/62/748444162.db2.gz UOZHYIXDCGCWCA-KWQFWETISA-N 0 2 315.351 0.683 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071494139 761903421 /nfs/dbraw/zinc/90/34/21/761903421.db2.gz OLINAQHXICFPRY-NXEZZACHSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnco2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001087677741 749016702 /nfs/dbraw/zinc/01/67/02/749016702.db2.gz DXMHBPQLOQDXEA-DTWKUNHWSA-N 0 2 306.326 0.281 20 0 DCADLN COC(=O)c1cc(NC(=[NH2+])SCCS(=O)(=O)[O-])ccn1 ZINC001168090255 749376155 /nfs/dbraw/zinc/37/61/55/749376155.db2.gz HMWGZPRRAMWPQR-UHFFFAOYSA-N 0 2 319.364 0.258 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccns2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071545575 761946943 /nfs/dbraw/zinc/94/69/43/761946943.db2.gz BGMCFEAQTPLMNY-RKDXNWHRSA-N 0 2 322.394 0.750 20 0 DCADLN [NH2+]=C(Nc1cncc2nncn21)SCCS(=O)(=O)[O-] ZINC001168096093 749406122 /nfs/dbraw/zinc/40/61/22/749406122.db2.gz BFIVFYDUBSTAIG-UHFFFAOYSA-N 0 2 302.341 0.092 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccccc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088450540 749457384 /nfs/dbraw/zinc/45/73/84/749457384.db2.gz QGBVTLVHRPJWAL-PWSUYJOCSA-N 0 2 301.350 0.903 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccccc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088450540 749457393 /nfs/dbraw/zinc/45/73/93/749457393.db2.gz QGBVTLVHRPJWAL-PWSUYJOCSA-N 0 2 301.350 0.903 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@H]2CCCO2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088479657 749486309 /nfs/dbraw/zinc/48/63/09/749486309.db2.gz VEMYVQKOOOFSPL-MXWKQRLJSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@H]2CCCO2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088479657 749486315 /nfs/dbraw/zinc/48/63/15/749486315.db2.gz VEMYVQKOOOFSPL-MXWKQRLJSA-N 0 2 309.370 0.158 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)nn1 ZINC001088561236 749646166 /nfs/dbraw/zinc/64/61/66/749646166.db2.gz HUNZXPJDSIPZDP-ZJUUUORDSA-N 0 2 317.353 0.002 20 0 DCADLN CCc1nocc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088841834 750592766 /nfs/dbraw/zinc/59/27/66/750592766.db2.gz ASKVBFUMWQUSBW-KCJUWKMLSA-N 0 2 320.353 0.454 20 0 DCADLN CCn1nncc1C[N@@H+]1CC[C@H](NC(=O)Cc2c[nH]cn2)[C@H]1C ZINC001088885130 750714178 /nfs/dbraw/zinc/71/41/78/750714178.db2.gz FKIUJSJYLFOLPN-RISCZKNCSA-N 0 2 317.397 0.343 20 0 DCADLN Cc1ncc(C(=O)N2CCCC[C@H]2C[N@@H+](C)[C@@H]2CCNC2=O)[nH]1 ZINC001089138892 750815869 /nfs/dbraw/zinc/81/58/69/750815869.db2.gz JOYFFXKAFRCSGF-GXTWGEPZSA-N 0 2 319.409 0.533 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071724148 762090477 /nfs/dbraw/zinc/09/04/77/762090477.db2.gz BRNCXLIEJLYREP-SCZZXKLOSA-N 0 2 319.369 0.325 20 0 DCADLN Cc1cc(C)nc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122760819 751154954 /nfs/dbraw/zinc/15/49/54/751154954.db2.gz LETURLNCZNXUHC-RKDXNWHRSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1cc(C)nc(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001122760819 751154955 /nfs/dbraw/zinc/15/49/55/751154955.db2.gz LETURLNCZNXUHC-RKDXNWHRSA-N 0 2 324.278 0.883 20 0 DCADLN C[C@@H]1CCC[C@]1(C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043774897 752384320 /nfs/dbraw/zinc/38/43/20/752384320.db2.gz OCACLRIECUPMEG-BMIGLBTASA-N 0 2 307.398 0.979 20 0 DCADLN CCc1ncoc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043814837 752398488 /nfs/dbraw/zinc/39/84/88/752398488.db2.gz VZBBEOHIEPKZDS-UHFFFAOYSA-N 0 2 306.326 0.017 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001044051406 752537004 /nfs/dbraw/zinc/53/70/04/752537004.db2.gz NFXJXYYIWRZADJ-UHFFFAOYSA-N 0 2 307.379 0.837 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107925756 752545504 /nfs/dbraw/zinc/54/55/04/752545504.db2.gz VESBTLMRKUTCAE-USYSOWRXSA-N 0 2 323.397 0.574 20 0 DCADLN CN(C(=O)c1ncoc1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044142559 752592541 /nfs/dbraw/zinc/59/25/41/752592541.db2.gz LAZVCWUOTOCLIK-UHFFFAOYSA-N 0 2 318.337 0.332 20 0 DCADLN C[C@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001044168383 752607374 /nfs/dbraw/zinc/60/73/74/752607374.db2.gz IGVCRUBKLSQZRR-JTQLQIEISA-N 0 2 307.398 0.979 20 0 DCADLN O=C(N[C@@H]1C[C@H]([NH2+]Cc2cnsn2)C12CCC2)c1cn[nH]n1 ZINC001078667704 753335508 /nfs/dbraw/zinc/33/55/08/753335508.db2.gz ILQPAVKGZRZBJX-WDEREUQCSA-N 0 2 319.394 0.487 20 0 DCADLN Cc1nnsc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046135797 753501548 /nfs/dbraw/zinc/50/15/48/753501548.db2.gz XFTKSFZJUXCBJQ-GFCCVEGCSA-N 0 2 323.382 0.065 20 0 DCADLN C[C@@]1(NC(=O)C2CC3(CC3)C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046615804 753830444 /nfs/dbraw/zinc/83/04/44/753830444.db2.gz GTRVSVDYMWXBOY-CQSZACIVSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@]1(NC(=O)C2CC3(CC3)C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046615804 753830449 /nfs/dbraw/zinc/83/04/49/753830449.db2.gz GTRVSVDYMWXBOY-CQSZACIVSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccoc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071349687 754086563 /nfs/dbraw/zinc/08/65/63/754086563.db2.gz KOWUFGIONWWIQF-KOLCDFICSA-N 0 2 305.338 0.886 20 0 DCADLN O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1ncccn1)C2)c1cnon1 ZINC001047164922 754114484 /nfs/dbraw/zinc/11/44/84/754114484.db2.gz BOBVGSFRXNJPRY-TUAOUCFPSA-N 0 2 314.349 0.643 20 0 DCADLN CN(C(=O)CC1CCCC1)[C@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001047290076 754160590 /nfs/dbraw/zinc/16/05/90/754160590.db2.gz YYZQYWIBRBZORA-RYUDHWBXSA-N 0 2 323.397 0.094 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001072080523 762344147 /nfs/dbraw/zinc/34/41/47/762344147.db2.gz WZGZESPKPFCVOZ-GHMZBOCLSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001072080523 762344150 /nfs/dbraw/zinc/34/41/50/762344150.db2.gz WZGZESPKPFCVOZ-GHMZBOCLSA-N 0 2 318.381 0.930 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CN(C(=O)C(F)F)C[C@@H]1O ZINC001048958187 755040487 /nfs/dbraw/zinc/04/04/87/755040487.db2.gz UKFWIKPKRDJWEB-ZLUOBGJFSA-N 0 2 322.205 0.182 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CN(C(=O)C(F)F)C[C@@H]1O ZINC001048958187 755040491 /nfs/dbraw/zinc/04/04/91/755040491.db2.gz UKFWIKPKRDJWEB-ZLUOBGJFSA-N 0 2 322.205 0.182 20 0 DCADLN O=C(c1ncc[nH]1)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049461825 755273122 /nfs/dbraw/zinc/27/31/22/755273122.db2.gz XCINOPUMRQQRPE-ZJUUUORDSA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1ncc[nH]1)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049461825 755273126 /nfs/dbraw/zinc/27/31/26/755273126.db2.gz XCINOPUMRQQRPE-ZJUUUORDSA-N 0 2 317.353 0.113 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049949096 755465349 /nfs/dbraw/zinc/46/53/49/755465349.db2.gz RUKHCVREINYCOB-VHSXEESVSA-N 0 2 311.361 0.681 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049949096 755465353 /nfs/dbraw/zinc/46/53/53/755465353.db2.gz RUKHCVREINYCOB-VHSXEESVSA-N 0 2 311.361 0.681 20 0 DCADLN O=C(C[C@H]1CCCO1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096832542 755531450 /nfs/dbraw/zinc/53/14/50/755531450.db2.gz JMQMEXHGQLFQLL-KKOKHZNYSA-N 0 2 321.381 0.301 20 0 DCADLN O=C(C[C@H]1CCCO1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096832542 755531453 /nfs/dbraw/zinc/53/14/53/755531453.db2.gz JMQMEXHGQLFQLL-KKOKHZNYSA-N 0 2 321.381 0.301 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001097616211 755686936 /nfs/dbraw/zinc/68/69/36/755686936.db2.gz MJJDILJDEAOQSQ-IEBDPFPHSA-N 0 2 317.353 0.077 20 0 DCADLN Cc1cocc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001097657265 755695124 /nfs/dbraw/zinc/69/51/24/755695124.db2.gz AXEBDBAYPMQLJE-JLLWLGSASA-N 0 2 317.349 0.947 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccsc1 ZINC001079394500 755810801 /nfs/dbraw/zinc/81/08/01/755810801.db2.gz ZBDKCVOCYXNGPI-PSASIEDQSA-N 0 2 307.379 0.822 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccsc1 ZINC001079394500 755810806 /nfs/dbraw/zinc/81/08/06/755810806.db2.gz ZBDKCVOCYXNGPI-PSASIEDQSA-N 0 2 307.379 0.822 20 0 DCADLN CCOCC(=O)N1CC(N(CC)C(=O)C(F)C(F)(F)F)C1 ZINC001079901021 756033417 /nfs/dbraw/zinc/03/34/17/756033417.db2.gz DZYCADKMDPFXGE-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N1CC(N(CC)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001079901021 756033422 /nfs/dbraw/zinc/03/34/22/756033422.db2.gz DZYCADKMDPFXGE-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CO[C@@H](C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774550 756196314 /nfs/dbraw/zinc/19/63/14/756196314.db2.gz FILJYLUIVIALED-GXSJLCMTSA-N 0 2 324.381 0.032 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1(CO)CCN(C(=O)C2CC2)CC1 ZINC001080930057 756388592 /nfs/dbraw/zinc/38/85/92/756388592.db2.gz JLZRFCVXBVCAKG-UHFFFAOYSA-N 0 2 320.393 0.140 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1(C2CC2)CC1 ZINC001081827942 756806434 /nfs/dbraw/zinc/80/64/34/756806434.db2.gz AQSYRWNKAQMKOF-GHMZBOCLSA-N 0 2 321.381 0.016 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCO[C@@H]3C[N@H+](CC4CC4)C[C@@H]32)c1[O-] ZINC001083052474 757456711 /nfs/dbraw/zinc/45/67/11/757456711.db2.gz OWTZRRFKOAGVAW-NWDGAFQWSA-N 0 2 306.366 0.359 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCO[C@@H]3C[N@@H+](CC4CC4)C[C@@H]32)c1[O-] ZINC001083052474 757456714 /nfs/dbraw/zinc/45/67/14/757456714.db2.gz OWTZRRFKOAGVAW-NWDGAFQWSA-N 0 2 306.366 0.359 20 0 DCADLN O=C([C@@H]1CC=CCC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084182882 757713989 /nfs/dbraw/zinc/71/39/89/757713989.db2.gz MRUKVWUHFJZAPD-JHJVBQTASA-N 0 2 317.393 0.899 20 0 DCADLN O=C(C[C@H]1CCCO1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084207207 757726492 /nfs/dbraw/zinc/72/64/92/757726492.db2.gz FVIWTVDUMUGUDP-IJLUTSLNSA-N 0 2 321.381 0.112 20 0 DCADLN O=C(c1cc(F)c[nH]1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084527459 757899751 /nfs/dbraw/zinc/89/97/51/757899751.db2.gz IRCMBUKXXZAJTR-LDYMZIIASA-N 0 2 320.328 0.324 20 0 DCADLN Cc1ccoc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085484339 758934901 /nfs/dbraw/zinc/93/49/01/758934901.db2.gz BCAAWLPETRDWBK-JTQLQIEISA-N 0 2 305.338 0.758 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@]12C[C@H]1CCC2 ZINC001085736067 759249448 /nfs/dbraw/zinc/24/94/48/759249448.db2.gz YKLDWGIEXLJBIY-JRPNMDOOSA-N 0 2 305.382 0.733 20 0 DCADLN CCc1ncoc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085808707 759336852 /nfs/dbraw/zinc/33/68/52/759336852.db2.gz LCTDPKDVBVUWFG-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN Cc1nc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001085892026 759448026 /nfs/dbraw/zinc/44/80/26/759448026.db2.gz OHLNNHOAHQJNEN-JTQLQIEISA-N 0 2 320.353 0.462 20 0 DCADLN CCn1cnc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001085928605 759481499 /nfs/dbraw/zinc/48/14/99/759481499.db2.gz BGVITMHGDYMNRR-JTQLQIEISA-N 0 2 319.369 0.073 20 0 DCADLN CC[C@H](F)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057833953 759576165 /nfs/dbraw/zinc/57/61/65/759576165.db2.gz XYJFLZDYBQDYBM-YUMQZZPRSA-N 0 2 320.258 0.572 20 0 DCADLN CC[C@H](F)C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057833953 759576171 /nfs/dbraw/zinc/57/61/71/759576171.db2.gz XYJFLZDYBQDYBM-YUMQZZPRSA-N 0 2 320.258 0.572 20 0 DCADLN CCOC(=O)[C@H]1CC[C@@H](n2[nH]c3ccnc(=O)c-3c2N)CO1 ZINC001169370016 760657993 /nfs/dbraw/zinc/65/79/93/760657993.db2.gz JAJSXRDVDRTIQD-PSASIEDQSA-N 0 2 306.322 0.542 20 0 DCADLN Cc1[nH+]ccn1CCCNC(=O)N[C@@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC001123486758 767985910 /nfs/dbraw/zinc/98/59/10/767985910.db2.gz IBWCPVRQTJWBIN-DZGCQCFKSA-N 0 2 322.457 0.515 20 0 DCADLN O=C(COCC1CC1)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001108977591 761180823 /nfs/dbraw/zinc/18/08/23/761180823.db2.gz PFAJOFMMYFGAJA-WOPDTQHZSA-N 0 2 321.381 0.158 20 0 DCADLN CC[C@H](OC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109042705 761214360 /nfs/dbraw/zinc/21/43/60/761214360.db2.gz WMVSFEQJQJLIOW-RCWTZXSCSA-N 0 2 309.370 0.157 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109162646 761273556 /nfs/dbraw/zinc/27/35/56/761273556.db2.gz SFNMZHUDLSRZDI-WYUUTHIRSA-N 0 2 323.397 0.547 20 0 DCADLN CNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[NH+](C)CC(C)(C)C)C2 ZINC001109724278 761520404 /nfs/dbraw/zinc/52/04/04/761520404.db2.gz KOBQJYGNZINVNL-RDBSUJKOSA-N 0 2 324.469 0.432 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C(C)(F)F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071299540 761768572 /nfs/dbraw/zinc/76/85/72/761768572.db2.gz LGCNSMVAJVJWOZ-SFYZADRCSA-N 0 2 303.313 0.635 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccon2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071361946 761796030 /nfs/dbraw/zinc/79/60/30/761796030.db2.gz XHSQXYYOTRZLEF-RKDXNWHRSA-N 0 2 306.326 0.281 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccnn2C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071383483 761812360 /nfs/dbraw/zinc/81/23/60/761812360.db2.gz IBVAHCNRWKPUBS-ZJUUUORDSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cncnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071447420 761865450 /nfs/dbraw/zinc/86/54/50/761865450.db2.gz MQGPALFSILLWDO-KOLCDFICSA-N 0 2 317.353 0.083 20 0 DCADLN COCC[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110123615 768134100 /nfs/dbraw/zinc/13/41/00/768134100.db2.gz KAQFSPKDAJQDRY-WYUUTHIRSA-N 0 2 323.397 0.404 20 0 DCADLN CCCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131875455 764088664 /nfs/dbraw/zinc/08/86/64/764088664.db2.gz NYUVPOBUMBKEJV-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CCCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131875455 764088668 /nfs/dbraw/zinc/08/86/68/764088668.db2.gz NYUVPOBUMBKEJV-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN COc1ccnc(NC[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)n1 ZINC001104039197 765246599 /nfs/dbraw/zinc/24/65/99/765246599.db2.gz KVAUFRFKPBOQLI-SNVBAGLBSA-N 0 2 318.381 0.924 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc2ccncc21 ZINC001116513150 765878089 /nfs/dbraw/zinc/87/80/89/765878089.db2.gz DQXZIONIWVPWJO-UHFFFAOYSA-N 0 2 312.289 0.313 20 0 DCADLN O=C([O-])[C@H]1CC(F)(F)CN1C(=O)NCCCn1cc[nH+]c1 ZINC001119576793 766735617 /nfs/dbraw/zinc/73/56/17/766735617.db2.gz HNQIBAKJMLYNAD-SECBINFHSA-N 0 2 302.281 0.777 20 0 DCADLN Cc1ccnc(N2C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C2)n1 ZINC001091190177 767015666 /nfs/dbraw/zinc/01/56/66/767015666.db2.gz JIKIOKGKIBIQJP-VGMNWLOBSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1ccnc(N2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C2)n1 ZINC001091190177 767015679 /nfs/dbraw/zinc/01/56/79/767015679.db2.gz JIKIOKGKIBIQJP-VGMNWLOBSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1cc(N[C@@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)nc(CO)n1 ZINC001120713218 767156465 /nfs/dbraw/zinc/15/64/65/767156465.db2.gz ZPZRCFNCSWUMMO-NSHDSACASA-N 0 2 318.381 0.502 20 0 DCADLN O=C(CNC(=O)C(F)(F)F)NCC(=O)OCc1ccccc1 ZINC001142767941 768663062 /nfs/dbraw/zinc/66/30/62/768663062.db2.gz IWBHOKHZJGIVJL-UHFFFAOYSA-N 0 2 318.251 0.525 20 0 DCADLN C=CC(=O)Nc1ccc(O)c(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001149297364 768727630 /nfs/dbraw/zinc/72/76/30/768727630.db2.gz LUGXWXIELRQYTJ-UHFFFAOYSA-N 0 2 303.278 0.270 20 0 DCADLN C/C(=C/C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001230957971 769141191 /nfs/dbraw/zinc/14/11/91/769141191.db2.gz KJLMQAJMNRRRHS-MQGYJPLLSA-N 0 2 305.382 0.899 20 0 DCADLN CSC[C@@H](C)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231232824 769202865 /nfs/dbraw/zinc/20/28/65/769202865.db2.gz GMXBINXOIHBUIM-ZJUUUORDSA-N 0 2 313.427 0.542 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc2c(=O)cc[nH]c2c1 ZINC001152570424 769603451 /nfs/dbraw/zinc/60/34/51/769603451.db2.gz YIXSXMZNKFTZGR-LBPRGKRZSA-N 0 2 303.299 0.567 20 0 DCADLN CC[N@H+](Cc1nccn1C)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152780962 769639767 /nfs/dbraw/zinc/63/97/67/769639767.db2.gz ARRFTKBWLHGBTC-SNVBAGLBSA-N 0 2 320.397 0.798 20 0 DCADLN CC[N@@H+](Cc1nccn1C)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152780962 769639769 /nfs/dbraw/zinc/63/97/69/769639769.db2.gz ARRFTKBWLHGBTC-SNVBAGLBSA-N 0 2 320.397 0.798 20 0 DCADLN CN(C[C@H]1CCN1Cc1cnn(C)n1)C(=O)C(F)C(F)(F)F ZINC001234426312 769652719 /nfs/dbraw/zinc/65/27/19/769652719.db2.gz TWGGGHFKXPRKJL-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@H]1CCN1Cc1cnn(C)n1)C(=O)[C@@H](F)C(F)(F)F ZINC001234426312 769652723 /nfs/dbraw/zinc/65/27/23/769652723.db2.gz TWGGGHFKXPRKJL-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1ncnn1C)C(=O)C(F)C(F)(F)F ZINC001234423082 769652973 /nfs/dbraw/zinc/65/29/73/769652973.db2.gz BYYPUSIGVUZDMQ-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1ncnn1C)C(=O)[C@H](F)C(F)(F)F ZINC001234423082 769652978 /nfs/dbraw/zinc/65/29/78/769652978.db2.gz BYYPUSIGVUZDMQ-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CC[NH+]1CC(=O)NC1CC1)C(=O)C[N@H+](C)C1CCC1 ZINC001235392201 769831768 /nfs/dbraw/zinc/83/17/68/769831768.db2.gz IFWIMUYCIJGWDY-HNNXBMFYSA-N 0 2 322.453 0.282 20 0 DCADLN C[C@]1(CO)CN(C(=O)c2c[nH]c3cccnc3c2=O)CC[C@@H]1O ZINC001153856017 769964431 /nfs/dbraw/zinc/96/44/31/769964431.db2.gz GZKUJNUOZYOIOY-BLLLJJGKSA-N 0 2 317.345 0.129 20 0 DCADLN CCOC(=O)C(C)(C)NC(=O)c1c[nH]c2cccnc2c1=O ZINC001153860068 769967795 /nfs/dbraw/zinc/96/77/95/769967795.db2.gz JFVDAULRNSVDMX-UHFFFAOYSA-N 0 2 303.318 0.995 20 0 DCADLN [NH3+]CCS(=O)(=O)Nc1ccc(F)c(Br)c1[O-] ZINC001237740969 770074517 /nfs/dbraw/zinc/07/45/17/770074517.db2.gz AJHGALPTYBZVLF-UHFFFAOYSA-N 0 2 313.148 0.994 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(-c2cnc(N3CC[NH2+]CC3)nc2)cc1 ZINC001241900476 770276100 /nfs/dbraw/zinc/27/61/00/770276100.db2.gz ZPUZUNJFKGETNQ-UHFFFAOYSA-N 0 2 320.289 0.356 20 0 DCADLN O=[P@]([O-])(O)c1ccc(-c2cnc(N3CC[NH2+]CC3)nc2)cc1 ZINC001241900476 770276111 /nfs/dbraw/zinc/27/61/11/770276111.db2.gz ZPUZUNJFKGETNQ-UHFFFAOYSA-N 0 2 320.289 0.356 20 0 DCADLN C[C@@H](NC(=O)CC(C)(C)C)C(=O)NCCc1n[nH]c(=S)o1 ZINC001154901334 770331315 /nfs/dbraw/zinc/33/13/15/770331315.db2.gz YFGZTMUYSKEIHD-MRVPVSSYSA-N 0 2 314.411 0.958 20 0 DCADLN Cn1cc2c(n1)CCC[C@H]2C(=O)NCCc1n[nH]c(=S)o1 ZINC001154907046 770335835 /nfs/dbraw/zinc/33/58/35/770335835.db2.gz KQACTENSNZQIAW-MRVPVSSYSA-N 0 2 307.379 0.871 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccn2c1nnc2C1CC1 ZINC001156368915 770797564 /nfs/dbraw/zinc/79/75/64/770797564.db2.gz ZIHBLNLNHTZKGF-LBPRGKRZSA-N 0 2 317.330 0.646 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)C1=NO[C@@H](c2ccccc2)C1 ZINC001178805024 770868330 /nfs/dbraw/zinc/86/83/30/770868330.db2.gz BZEZUHXDVGYUTM-SKDRFNHKSA-N 0 2 305.315 0.929 20 0 DCADLN CC(C)[C@H](C)C(=O)NCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001181510392 771294524 /nfs/dbraw/zinc/29/45/24/771294524.db2.gz WPECJZFQIPCBJI-JQWIXIFHSA-N 0 2 324.381 0.320 20 0 DCADLN CC(C)[C@H](C)C(=O)NCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001181510392 771294532 /nfs/dbraw/zinc/29/45/32/771294532.db2.gz WPECJZFQIPCBJI-JQWIXIFHSA-N 0 2 324.381 0.320 20 0 DCADLN N=CNC(=O)COc1ccc(C[C@@H]2SC(=O)NC2=O)cc1 ZINC001182551104 771504352 /nfs/dbraw/zinc/50/43/52/771504352.db2.gz XJTHFGAUBQLFJP-JTQLQIEISA-N 0 2 307.331 0.683 20 0 DCADLN O=C(COCC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110321917 771545112 /nfs/dbraw/zinc/54/51/12/771545112.db2.gz PFAJOFMMYFGAJA-UTUOFQBUSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1COCCN1Cc1ccccc1 ZINC001184019383 771730143 /nfs/dbraw/zinc/73/01/43/771730143.db2.gz OBGRMMIPVYFINS-GFCCVEGCSA-N 0 2 317.349 0.028 20 0 DCADLN O=S(=O)(Nc1cncnc1)c1ccc(-c2nn[nH]n2)cc1 ZINC001185556186 771927917 /nfs/dbraw/zinc/92/79/17/771927917.db2.gz VPXWBIRMHMLCHS-UHFFFAOYSA-N 0 2 303.307 0.458 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H](O)CCCc1ccccc1 ZINC001187056358 772127376 /nfs/dbraw/zinc/12/73/76/772127376.db2.gz JLRKXJQDVOPNNQ-GXFFZTMASA-N 0 2 308.359 0.796 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc(Cn2cncn2)cc1 ZINC001187077229 772133680 /nfs/dbraw/zinc/13/36/80/772133680.db2.gz CTTVZQNJPFGZNZ-LBPRGKRZSA-N 0 2 317.330 0.365 20 0 DCADLN CCCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187425330 772184118 /nfs/dbraw/zinc/18/41/18/772184118.db2.gz QJESBLJRIUGIFJ-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CCCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187425330 772184122 /nfs/dbraw/zinc/18/41/22/772184122.db2.gz QJESBLJRIUGIFJ-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN COc1ccc2nc(NS(=O)(=O)c3c[nH]cn3)nnc2c1 ZINC001187732397 772211469 /nfs/dbraw/zinc/21/14/69/772211469.db2.gz BPCZKXGWYDWURZ-UHFFFAOYSA-N 0 2 306.307 0.557 20 0 DCADLN O=S(=O)(Nc1cncnc1)c1ccc(N2CCOCC2)nc1 ZINC001188485718 772308087 /nfs/dbraw/zinc/30/80/87/772308087.db2.gz PVKSUKGWOUWRTM-UHFFFAOYSA-N 0 2 321.362 0.509 20 0 DCADLN CCOC(=O)c1oc2nccnc2c1NC(=O)c1nc[nH]n1 ZINC001188685770 772343106 /nfs/dbraw/zinc/34/31/06/772343106.db2.gz ZUPGJJINXHLWHO-UHFFFAOYSA-N 0 2 302.250 0.770 20 0 DCADLN CC[C@@H](C[N@H+](C)[C@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1)OC ZINC001189664418 772516361 /nfs/dbraw/zinc/51/63/61/772516361.db2.gz NVDNTFTXJRFDFX-RYUDHWBXSA-N 0 2 310.398 0.995 20 0 DCADLN CC[C@@H](C[N@@H+](C)[C@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1)OC ZINC001189664418 772516364 /nfs/dbraw/zinc/51/63/64/772516364.db2.gz NVDNTFTXJRFDFX-RYUDHWBXSA-N 0 2 310.398 0.995 20 0 DCADLN Cc1cc(N2CCOCC2)[nH+]cc1N[C@@H]1SC(=O)[N-]C1=O ZINC001163664974 772555709 /nfs/dbraw/zinc/55/57/09/772555709.db2.gz BPUYXTUFZPLUQY-GFCCVEGCSA-N 0 2 308.363 0.948 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1c[nH]c(=O)c(Br)c1 ZINC001190483786 772630248 /nfs/dbraw/zinc/63/02/48/772630248.db2.gz HROYJWXXBQDVLX-UHFFFAOYSA-N 0 2 314.099 0.303 20 0 DCADLN NC(=O)c1cc(Cl)nnc1NCCNC(=O)C(F)(F)F ZINC001164245487 772630329 /nfs/dbraw/zinc/63/03/29/772630329.db2.gz YRRFYHYJIYCPCZ-UHFFFAOYSA-N 0 2 311.651 0.319 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1csc(N2CCCCC2)n1 ZINC001190671495 772653051 /nfs/dbraw/zinc/65/30/51/772653051.db2.gz BECKAFCJTFVQFZ-UHFFFAOYSA-N 0 2 308.367 0.887 20 0 DCADLN CCCNC(=O)C[NH+](C)[C@@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190948483 772696706 /nfs/dbraw/zinc/69/67/06/772696706.db2.gz VKQCBEOJPQTEBJ-HUUCEWRRSA-N 0 2 324.469 0.530 20 0 DCADLN CSc1ncc(C(=O)N2CC([N@H+](C)[C@H]3CCOC3)C2)c(=O)[nH]1 ZINC001191438169 772768999 /nfs/dbraw/zinc/76/89/99/772768999.db2.gz RSLZBSSQONVULY-VIFPVBQESA-N 0 2 324.406 0.449 20 0 DCADLN C[C@@]1(CO)CCN(C(=O)c2c(F)ccc(F)c2O)C[C@@H]1O ZINC001192694412 772948565 /nfs/dbraw/zinc/94/85/65/772948565.db2.gz XBKYCMGMXAYEIS-HZMBPMFUSA-N 0 2 301.289 0.876 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206888839 773121780 /nfs/dbraw/zinc/12/17/80/773121780.db2.gz RRBUBHXEEYWSIW-KXUCPTDWSA-N 0 2 313.427 0.588 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206888839 773121783 /nfs/dbraw/zinc/12/17/83/773121783.db2.gz RRBUBHXEEYWSIW-KXUCPTDWSA-N 0 2 313.427 0.588 20 0 DCADLN C[C@@H]1CN(Cc2cnon2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207030404 773163739 /nfs/dbraw/zinc/16/37/39/773163739.db2.gz WNBHMUHCDIKKDT-VDAHYXPESA-N 0 2 310.251 0.907 20 0 DCADLN C[C@@H]1CN(Cc2cnon2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001207030404 773163741 /nfs/dbraw/zinc/16/37/41/773163741.db2.gz WNBHMUHCDIKKDT-VDAHYXPESA-N 0 2 310.251 0.907 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ncn(C)c3ncnc2-3)[nH]n1 ZINC001194278601 773165850 /nfs/dbraw/zinc/16/58/50/773165850.db2.gz FDXZOBANBVFTAQ-UHFFFAOYSA-N 0 2 301.266 0.077 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ncn(C)c3ncnc2-3)n[nH]1 ZINC001194278601 773165853 /nfs/dbraw/zinc/16/58/53/773165853.db2.gz FDXZOBANBVFTAQ-UHFFFAOYSA-N 0 2 301.266 0.077 20 0 DCADLN C[N@H+](CCOCC1CC1)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001194457884 773189254 /nfs/dbraw/zinc/18/92/54/773189254.db2.gz COWUQPOFFUQESC-HNNXBMFYSA-N 0 2 306.410 0.842 20 0 DCADLN Cc1nn(C)c(NS(=O)(=O)CC[Si](C)(C)C)c1C(N)=O ZINC001195038401 773311657 /nfs/dbraw/zinc/31/16/57/773311657.db2.gz UUWKQEXOAWRTLE-UHFFFAOYSA-N 0 2 318.475 0.907 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2c(c1)C(=O)NCC2 ZINC001195974919 773510621 /nfs/dbraw/zinc/51/06/21/773510621.db2.gz MLOOBRLZBWQRLP-UHFFFAOYSA-N 0 2 312.347 0.277 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3cnns3)C[C@H]21)C(F)C(F)(F)F ZINC001114310972 773629894 /nfs/dbraw/zinc/62/98/94/773629894.db2.gz FYYYZTDULFUQRI-RYPBNFRJSA-N 0 2 324.303 0.985 20 0 DCADLN COC[C@@H](C)CC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001197647760 773777617 /nfs/dbraw/zinc/77/76/17/773777617.db2.gz VUQFHIIPNUCEAD-NSHDSACASA-N 0 2 311.386 0.217 20 0 DCADLN COc1nccc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c1F ZINC001213927933 773902832 /nfs/dbraw/zinc/90/28/32/773902832.db2.gz JJUIKNUERTWXEU-UHFFFAOYSA-N 0 2 305.225 0.323 20 0 DCADLN COc1cccc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c1OC ZINC001213928535 773904294 /nfs/dbraw/zinc/90/42/94/773904294.db2.gz ZNIFUTFTROWYTD-UHFFFAOYSA-N 0 2 316.273 0.797 20 0 DCADLN COc1cccc(-n2cncc2C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001199389071 774093124 /nfs/dbraw/zinc/09/31/24/774093124.db2.gz SKJXXFGDDYLKFV-UHFFFAOYSA-N 0 2 314.305 0.635 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1CC1(F)F ZINC001095389366 775030528 /nfs/dbraw/zinc/03/05/28/775030528.db2.gz KTRZDEDFMPUCQQ-XGEHTFHBSA-N 0 2 313.308 0.387 20 0 DCADLN CCO[C@@H](CC)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221380744 775081065 /nfs/dbraw/zinc/08/10/65/775081065.db2.gz YOFNRKQMCDHWLU-UTUOFQBUSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(NCCOC1CCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001222591428 775392812 /nfs/dbraw/zinc/39/28/12/775392812.db2.gz XWVSSZOPCZQFSY-JTQLQIEISA-N 0 2 309.370 0.968 20 0 DCADLN CC[C@@H](C)NC(=O)C[NH+]1CCC(CNC(=O)c2ncn[nH]2)CC1 ZINC001224895380 775617247 /nfs/dbraw/zinc/61/72/47/775617247.db2.gz SUKDELDWCRDMDI-LLVKDONJSA-N 0 2 322.413 0.161 20 0 DCADLN CC(=O)O[C@H]1CO[C@@H]2[C@H](Oc3nc(Cl)cc(=O)[nH]3)CO[C@@H]21 ZINC001225562951 775693616 /nfs/dbraw/zinc/69/36/16/775693616.db2.gz TWWKOEILBKXORS-AMDBMLIDSA-N 0 2 316.697 0.312 20 0 DCADLN O=C(C=Cc1ccco1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001225854103 775729900 /nfs/dbraw/zinc/72/99/00/775729900.db2.gz IMWIMVTXAIMUEA-ARJAWSKDSA-N 0 2 317.349 0.897 20 0 DCADLN Cn1ncnc1CN1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001227473763 775977235 /nfs/dbraw/zinc/97/72/35/775977235.db2.gz CCDPJPZHYYRPIY-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CCC(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001227473763 775977241 /nfs/dbraw/zinc/97/72/41/775977241.db2.gz CCDPJPZHYYRPIY-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN COC(=O)C[C@H](Oc1[nH]c(=O)nc2ncsc21)C(=O)OC ZINC001227607084 775989874 /nfs/dbraw/zinc/98/98/74/775989874.db2.gz HVUNHDHRNSJYIF-YFKPBYRVSA-N 0 2 313.291 0.275 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](Oc2[nH]c(=O)nc3ncn(C)c32)CO1 ZINC001228672796 776112516 /nfs/dbraw/zinc/11/25/16/776112516.db2.gz BVGVPQYYXKVBCI-IUCAKERBSA-N 0 2 322.321 0.558 20 0 DCADLN CCOC(=O)[C@@H](Oc1[nH]c(=O)nc2ncn(C)c21)C(F)(F)F ZINC001228673896 776112736 /nfs/dbraw/zinc/11/27/36/776112736.db2.gz JNWXSNATKYHOFE-ZCFIWIBFSA-N 0 2 320.227 0.942 20 0 DCADLN COC(=O)[C@@H](Oc1[nH]c(=O)nc2ncn(C)c21)C(F)(F)F ZINC001228678033 776113041 /nfs/dbraw/zinc/11/30/41/776113041.db2.gz UIFXWGZUAFZUAR-RXMQYKEDSA-N 0 2 306.200 0.552 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H](Oc2[nH]c(=O)nc3ncn(C)c32)CO1 ZINC001228672793 776113254 /nfs/dbraw/zinc/11/32/54/776113254.db2.gz BVGVPQYYXKVBCI-BDAKNGLRSA-N 0 2 322.321 0.558 20 0 DCADLN CC[C@@H](C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041560612 777360444 /nfs/dbraw/zinc/36/04/44/777360444.db2.gz USENXOWQIWGECW-SFYZADRCSA-N 0 2 314.279 0.622 20 0 DCADLN CC[C@@H](C)C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001041560612 777360451 /nfs/dbraw/zinc/36/04/51/777360451.db2.gz USENXOWQIWGECW-SFYZADRCSA-N 0 2 314.279 0.622 20 0 DCADLN Cc1nc([C@](C)([NH2+]CCC(=O)N(C)CC(=O)[O-])C2CC2)no1 ZINC001600688248 1168253477 /nfs/dbraw/zinc/25/34/77/1168253477.db2.gz ONXDCZAOPIJFSP-CQSZACIVSA-N 0 2 310.354 0.526 20 0 DCADLN C[C@@H](CO[C@H]1CCOC1)NCc1nc(-c2nn[nH]n2)cs1 ZINC001603569160 1169325089 /nfs/dbraw/zinc/32/50/89/1169325089.db2.gz LFFWAEWYIPTKHV-IUCAKERBSA-N 0 2 310.383 0.607 20 0 DCADLN CC/C(C)=C/C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001287793984 945168711 /nfs/dbraw/zinc/16/87/11/945168711.db2.gz ZTYJHPJHJHZTPY-RWESXFBSSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C/C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001287793984 945168717 /nfs/dbraw/zinc/16/87/17/945168717.db2.gz ZTYJHPJHJHZTPY-RWESXFBSSA-N 0 2 314.279 0.836 20 0 DCADLN CC(C)n1nccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001480869459 939079167 /nfs/dbraw/zinc/07/91/67/939079167.db2.gz LAQKZIPDGGQVOJ-UHFFFAOYSA-N 0 2 307.358 0.150 20 0 DCADLN CC(C)n1nccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001480869459 939079170 /nfs/dbraw/zinc/07/91/70/939079170.db2.gz LAQKZIPDGGQVOJ-UHFFFAOYSA-N 0 2 307.358 0.150 20 0 DCADLN CCCN(CCNC(=O)c1cnn[nH]1)Cc1nnc(C(C)C)[nH]1 ZINC001493302622 939411841 /nfs/dbraw/zinc/41/18/41/939411841.db2.gz PPBDFLPQOWXWST-UHFFFAOYSA-N 0 2 320.401 0.688 20 0 DCADLN CC[N@H+](CCNC(=O)C1(C(F)F)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001480995514 939515051 /nfs/dbraw/zinc/51/50/51/939515051.db2.gz SXHMPETWWNWUEF-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN CC[N@@H+](CCNC(=O)C1(C(F)F)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001480995514 939515053 /nfs/dbraw/zinc/51/50/53/939515053.db2.gz SXHMPETWWNWUEF-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN Cn1ncc(CN2CCC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001481039888 939537388 /nfs/dbraw/zinc/53/73/88/939537388.db2.gz PYVZXNTUPUJULM-NXEZZACHSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CCC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001481039888 939537389 /nfs/dbraw/zinc/53/73/89/939537389.db2.gz PYVZXNTUPUJULM-NXEZZACHSA-N 0 2 323.294 0.796 20 0 DCADLN CCN(CCNC(=O)[C@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001408723526 939609015 /nfs/dbraw/zinc/60/90/15/939609015.db2.gz VMOXANPRDJNWLP-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN CCN(CCNC(=O)[C@H]1CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001408723526 939609016 /nfs/dbraw/zinc/60/90/16/939609016.db2.gz VMOXANPRDJNWLP-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN CC(C)[C@@H](F)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001481577407 939946205 /nfs/dbraw/zinc/94/62/05/939946205.db2.gz QSCGIWKBHVUEFB-CQSZACIVSA-N 0 2 321.356 0.317 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001269194351 940643987 /nfs/dbraw/zinc/64/39/87/940643987.db2.gz HDSOQADNMUEMRX-UMBAGQNISA-N 0 2 303.322 0.507 20 0 DCADLN O=C(C[C@H]1CCCO1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060603 941300850 /nfs/dbraw/zinc/30/08/50/941300850.db2.gz OUXZDMBSXPUWRB-PSASIEDQSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(C[C@H]1CCCO1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409060603 941300853 /nfs/dbraw/zinc/30/08/53/941300853.db2.gz OUXZDMBSXPUWRB-PSASIEDQSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1ccc2nc(C[N@@H+]3CCOC[C@H]3CC(=O)[O-])cc(=O)n2c1 ZINC000321799975 970808593 /nfs/dbraw/zinc/80/85/93/970808593.db2.gz WRFFGRFLMMWGCX-CYBMUJFWSA-N 0 2 317.345 0.678 20 0 DCADLN Cc1ccc2nc(C[N@H+]3CCOC[C@H]3CC(=O)[O-])cc(=O)n2c1 ZINC000321799975 970808601 /nfs/dbraw/zinc/80/86/01/970808601.db2.gz WRFFGRFLMMWGCX-CYBMUJFWSA-N 0 2 317.345 0.678 20 0 DCADLN O=C(Cc1cc[nH]n1)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001409132233 941542502 /nfs/dbraw/zinc/54/25/02/941542502.db2.gz SBNDFGICTRRZSW-JTQLQIEISA-N 0 2 322.262 0.427 20 0 DCADLN O=C(Cc1cc[nH]n1)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001409132233 941542505 /nfs/dbraw/zinc/54/25/05/941542505.db2.gz SBNDFGICTRRZSW-JTQLQIEISA-N 0 2 322.262 0.427 20 0 DCADLN Cc1cc[nH+]c(N2CCN(CN3C[C@@H](C(=O)[O-])CC3=O)CC2)c1 ZINC001600601133 970835247 /nfs/dbraw/zinc/83/52/47/970835247.db2.gz CGAVVQLXSJLAMD-ZDUSSCGKSA-N 0 2 318.377 0.403 20 0 DCADLN CCc1nnc(C[NH2+]C/C=C/CNC(=O)[C@@H]2CCC[N@H+]2C)s1 ZINC001482990623 941761082 /nfs/dbraw/zinc/76/10/82/941761082.db2.gz FNQDFTLKMAIMLH-ITKZLYELSA-N 0 2 323.466 0.957 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CC[C@H]1CCCCO1 ZINC001414350046 941800300 /nfs/dbraw/zinc/80/03/00/941800300.db2.gz DBJOFWBCPJXREI-SECBINFHSA-N 0 2 315.338 0.673 20 0 DCADLN CC(F)(F)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001412870624 942116449 /nfs/dbraw/zinc/11/64/49/942116449.db2.gz FYNPITDQUQIDIS-WHFBIAKZSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001412870624 942116454 /nfs/dbraw/zinc/11/64/54/942116454.db2.gz FYNPITDQUQIDIS-WHFBIAKZSA-N 0 2 310.194 0.135 20 0 DCADLN O=C(CS(=O)(=O)C1CC1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001412926860 942142271 /nfs/dbraw/zinc/14/22/71/942142271.db2.gz BIWHSZFHXDKXLZ-UHFFFAOYSA-N 0 2 322.346 0.693 20 0 DCADLN COC[C@@H](C)N1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001409695088 942158106 /nfs/dbraw/zinc/15/81/06/942158106.db2.gz CZORKZFZXBUOET-BDAKNGLRSA-N 0 2 316.295 0.475 20 0 DCADLN O=C(NC[C@H](O)C1CCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001413111132 942511723 /nfs/dbraw/zinc/51/17/23/942511723.db2.gz BOVRAHRUKIOULN-LBPRGKRZSA-N 0 2 318.333 0.157 20 0 DCADLN CCCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C[NH+](C)C1CCC1 ZINC001483655816 942630561 /nfs/dbraw/zinc/63/05/61/942630561.db2.gz TWYPSTQDMCZUBD-UKRRQHHQSA-N 0 2 324.469 0.576 20 0 DCADLN COC(=O)[C@@H](C)CN1CC[C@@]2(CC[N@@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272570176 942830964 /nfs/dbraw/zinc/83/09/64/942830964.db2.gz QGKALXUTGZCCDX-XHDPSFHLSA-N 0 2 321.381 0.038 20 0 DCADLN CCc1nn(C)c(CC)c1CC(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001413340757 942907710 /nfs/dbraw/zinc/90/77/10/942907710.db2.gz HSNLXNUMQGUCFA-UHFFFAOYSA-N 0 2 319.365 0.605 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cccc(OC(F)(F)F)c2)n1 ZINC001365734301 942912599 /nfs/dbraw/zinc/91/25/99/942912599.db2.gz WRPMXYAEQNVKGM-UHFFFAOYSA-N 0 2 323.256 0.910 20 0 DCADLN CCC(=O)N1CCC[C@@](CO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001055978259 943156092 /nfs/dbraw/zinc/15/60/92/943156092.db2.gz WYBMGQAKZCTXEG-MWLCHTKSSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N1CCC[C@@](CO)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001055978259 943156105 /nfs/dbraw/zinc/15/61/05/943156105.db2.gz WYBMGQAKZCTXEG-MWLCHTKSSA-N 0 2 314.279 0.767 20 0 DCADLN C[C@H]1CC=C(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001413668825 943560230 /nfs/dbraw/zinc/56/02/30/943560230.db2.gz AMOBPDCSEYHGRR-VIFPVBQESA-N 0 2 312.395 0.712 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H]1C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001413670647 943561908 /nfs/dbraw/zinc/56/19/08/943561908.db2.gz VFEKXMSOMXZZCV-VHSXEESVSA-N 0 2 323.349 0.396 20 0 DCADLN CC/C=C(\C)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001484793693 943580079 /nfs/dbraw/zinc/58/00/79/943580079.db2.gz XCFLOWDUALUNOF-INJWIWPUSA-N 0 2 321.381 0.326 20 0 DCADLN COCC[NH+]1CC2(C1)C[C@H](NC(=O)C[N@@H+](C)C1CCC1)CO2 ZINC001484795843 943581125 /nfs/dbraw/zinc/58/11/25/943581125.db2.gz KBMASHWGJZGCAZ-ZDUSSCGKSA-N 0 2 311.426 0.077 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001491218129 943839798 /nfs/dbraw/zinc/83/97/98/943839798.db2.gz ZULUYBGKRFGWFN-PSASIEDQSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491218129 943839804 /nfs/dbraw/zinc/83/98/04/943839804.db2.gz ZULUYBGKRFGWFN-PSASIEDQSA-N 0 2 314.279 0.936 20 0 DCADLN Cc1noc(C)c1C[N@H+](C)CCOCCNC(=O)c1ncn[nH]1 ZINC001485776277 944120564 /nfs/dbraw/zinc/12/05/64/944120564.db2.gz DLTYSMQVGGNZNJ-UHFFFAOYSA-N 0 2 322.369 0.288 20 0 DCADLN Cc1noc(C)c1C[N@@H+](C)CCOCCNC(=O)c1ncn[nH]1 ZINC001485776277 944120566 /nfs/dbraw/zinc/12/05/66/944120566.db2.gz DLTYSMQVGGNZNJ-UHFFFAOYSA-N 0 2 322.369 0.288 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001414687306 944187289 /nfs/dbraw/zinc/18/72/89/944187289.db2.gz ZJAOHALPGBMSDR-RNFRBKRXSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001414687306 944187298 /nfs/dbraw/zinc/18/72/98/944187298.db2.gz ZJAOHALPGBMSDR-RNFRBKRXSA-N 0 2 323.250 0.378 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001281257985 944313801 /nfs/dbraw/zinc/31/38/01/944313801.db2.gz DECQLCXOKNVKRH-UFVYQPJESA-N 0 2 314.279 0.789 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001281257985 944313809 /nfs/dbraw/zinc/31/38/09/944313809.db2.gz DECQLCXOKNVKRH-UFVYQPJESA-N 0 2 314.279 0.789 20 0 DCADLN Cn1ncc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1C(F)F ZINC001319361438 945569318 /nfs/dbraw/zinc/56/93/18/945569318.db2.gz OJNZUAHATBMQOW-UHFFFAOYSA-N 0 2 300.269 0.544 20 0 DCADLN Cc1cc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)n(C(C)(C)C)n1 ZINC001319937574 945699094 /nfs/dbraw/zinc/69/90/94/945699094.db2.gz PIFUKOAZVSIQJJ-UHFFFAOYSA-N 0 2 321.341 0.025 20 0 DCADLN O=C(NCCNS(=O)(=O)c1ccc(F)cc1)C(F)(F)F ZINC001251676701 945771245 /nfs/dbraw/zinc/77/12/45/945771245.db2.gz XJHTYXTWGJJTLK-UHFFFAOYSA-N 0 2 314.260 0.783 20 0 DCADLN CC(C)OCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001410184087 945999136 /nfs/dbraw/zinc/99/91/36/945999136.db2.gz QZWMBVCTDLLAIC-VXNVDRBHSA-N 0 2 302.268 0.933 20 0 DCADLN CC(C)OCC(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001410184087 945999139 /nfs/dbraw/zinc/99/91/39/945999139.db2.gz QZWMBVCTDLLAIC-VXNVDRBHSA-N 0 2 302.268 0.933 20 0 DCADLN Cc1nn(C)cc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001410382534 946283802 /nfs/dbraw/zinc/28/38/02/946283802.db2.gz DTJCXQLKWNOIKC-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1nn(C)cc1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001410382534 946283807 /nfs/dbraw/zinc/28/38/07/946283807.db2.gz DTJCXQLKWNOIKC-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001410388443 946291709 /nfs/dbraw/zinc/29/17/09/946291709.db2.gz TUFGYDVGEGVGPE-IONNQARKSA-N 0 2 310.251 0.404 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001410388443 946291716 /nfs/dbraw/zinc/29/17/16/946291716.db2.gz TUFGYDVGEGVGPE-IONNQARKSA-N 0 2 310.251 0.404 20 0 DCADLN C[C@H](Sc1nncn1C)C(=O)NCCc1n[nH]c(=S)o1 ZINC001321447950 946334969 /nfs/dbraw/zinc/33/49/69/946334969.db2.gz HQTMPQPYANWDAI-LURJTMIESA-N 0 2 314.396 0.326 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc3c(c2)CCO3)S1 ZINC001259357960 946948248 /nfs/dbraw/zinc/94/82/48/946948248.db2.gz PDAYAEWBYZWXMR-JTQLQIEISA-N 0 2 314.344 0.209 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1ccc(F)cc1C)C(=O)OC ZINC001259491842 946963516 /nfs/dbraw/zinc/96/35/16/946963516.db2.gz QBHLZBJFASXABT-UHFFFAOYSA-N 0 2 319.310 0.127 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(C3CCOCC3)c2)cn1 ZINC001259820535 946986719 /nfs/dbraw/zinc/98/67/19/946986719.db2.gz AUNUFIDFACAVQE-UHFFFAOYSA-N 0 2 311.367 0.769 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2cnn(CC)c2)ccn1 ZINC001259832600 946993725 /nfs/dbraw/zinc/99/37/25/946993725.db2.gz MXYPLYVPIBKJNJ-UHFFFAOYSA-N 0 2 324.362 0.697 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc3[nH]c(=O)cnc3c2)cn1 ZINC001259839702 946996816 /nfs/dbraw/zinc/99/68/16/946996816.db2.gz LZZHYITUIJWDPL-UHFFFAOYSA-N 0 2 319.346 0.940 20 0 DCADLN CCOC(=O)c1cnc(NS(=O)(=O)C2CCOCC2)cn1 ZINC001259907771 947014068 /nfs/dbraw/zinc/01/40/68/947014068.db2.gz DGTSRYSMSZKVGA-UHFFFAOYSA-N 0 2 315.351 0.574 20 0 DCADLN COCCS(=O)(=O)Nc1nc[nH]c(=O)c1Br ZINC001259966346 947039614 /nfs/dbraw/zinc/03/96/14/947039614.db2.gz XSULIUIJLCLRFD-UHFFFAOYSA-N 0 2 312.145 0.333 20 0 DCADLN CC[C@H](F)C(=O)N[C@]12CCC[C@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC001410916141 947124106 /nfs/dbraw/zinc/12/41/06/947124106.db2.gz VYVUVMUBTZUXHT-RBZYPMLTSA-N 0 2 311.361 0.872 20 0 DCADLN CC[C@H](F)C(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC001410916141 947124113 /nfs/dbraw/zinc/12/41/13/947124113.db2.gz VYVUVMUBTZUXHT-RBZYPMLTSA-N 0 2 311.361 0.872 20 0 DCADLN C[C@H]1[C@@H](NC(=O)CC(C)(C)O)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001410936306 947148560 /nfs/dbraw/zinc/14/85/60/947148560.db2.gz WWBRHYQIJWNRDS-UWVGGRQHSA-N 0 2 311.386 0.140 20 0 DCADLN C[C@H]1[C@@H](NC(=O)CC(C)(C)O)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001410936306 947148567 /nfs/dbraw/zinc/14/85/67/947148567.db2.gz WWBRHYQIJWNRDS-UWVGGRQHSA-N 0 2 311.386 0.140 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2c(C)n[nH]c2C)ccn1 ZINC001261024486 947166993 /nfs/dbraw/zinc/16/69/93/947166993.db2.gz ZMPWANKMCUUPDU-UHFFFAOYSA-N 0 2 324.362 0.821 20 0 DCADLN Cc1nnc(CCn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)o1 ZINC001570920409 948597599 /nfs/dbraw/zinc/59/75/99/948597599.db2.gz MRHZXEHOPVPDFS-UHFFFAOYSA-N 0 2 313.321 0.451 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CC[C@@H](O)C1 ZINC001570921555 948623095 /nfs/dbraw/zinc/62/30/95/948623095.db2.gz FGNREPYUSHPTPH-DTWKUNHWSA-N 0 2 318.337 0.167 20 0 DCADLN O=C(CC[C@H]1NC(=O)NC1=O)Nc1cccc(-c2nc[nH]n2)c1 ZINC001328355410 948851474 /nfs/dbraw/zinc/85/14/74/948851474.db2.gz GDUBZMDXTHRLLN-SNVBAGLBSA-N 0 2 314.305 0.398 20 0 DCADLN C[C@H](NC(=O)[C@H]1CC(c2cccnc2)=NO1)c1nn(C)cc1O ZINC001364402195 949195990 /nfs/dbraw/zinc/19/59/90/949195990.db2.gz SRGRGWHVZYSUSF-TVQRCGJNSA-N 0 2 315.333 0.891 20 0 DCADLN NC(=O)[C@@](O)(C[N@H+]1CCc2[nH+]ccc(N)c2C1)c1ccccc1 ZINC001364490664 949413298 /nfs/dbraw/zinc/41/32/98/949413298.db2.gz WCNBHJGWKTZHMA-QGZVFWFLSA-N 0 2 312.373 0.395 20 0 DCADLN CSc1nc(=O)[nH]c(C)c1C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001364566187 949558397 /nfs/dbraw/zinc/55/83/97/949558397.db2.gz AFMLYXRUBXELKP-ZETCQYMHSA-N 0 2 321.366 0.355 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(C(=O)c1cc3c[nH+]ccc3[nH]1)C2 ZINC001595279439 949960940 /nfs/dbraw/zinc/96/09/40/949960940.db2.gz XWOZMUIJKFSZDN-BONVTDFDSA-N 0 2 301.302 0.736 20 0 DCADLN CC(C)C[C@H](C(=O)N1CC[C@@H](c2nn[nH]n2)C1)n1ccnc1 ZINC001548763286 1013096082 /nfs/dbraw/zinc/09/60/82/1013096082.db2.gz XOFJGIZWLXHUND-VXGBXAGGSA-N 0 2 303.370 1.000 20 0 DCADLN COCC1(S(=O)(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001364854341 950079791 /nfs/dbraw/zinc/07/97/91/950079791.db2.gz ZWARKDAUFAMRHG-SECBINFHSA-N 0 2 316.383 0.199 20 0 DCADLN COCC1(S(=O)(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001364854342 950082105 /nfs/dbraw/zinc/08/21/05/950082105.db2.gz ZWARKDAUFAMRHG-VIFPVBQESA-N 0 2 316.383 0.199 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001364978562 950288426 /nfs/dbraw/zinc/28/84/26/950288426.db2.gz SJDZSHNFHMUGRM-UHFFFAOYSA-N 0 2 319.365 0.780 20 0 DCADLN CCOC(=O)c1nnc(C[NH2+]Cc2cnn(CC(F)F)c2)[n-]1 ZINC001364994336 950313896 /nfs/dbraw/zinc/31/38/96/950313896.db2.gz DFHCYLXIPFEIGL-UHFFFAOYSA-N 0 2 314.296 0.733 20 0 DCADLN CC[N@H+](CC(=O)NC1(C(=O)OC)CCCCC1)[C@@H](C)C(=O)[O-] ZINC001589719046 950392823 /nfs/dbraw/zinc/39/28/23/950392823.db2.gz NMZPYJFTZZDUKY-NSHDSACASA-N 0 2 314.382 0.774 20 0 DCADLN CC[N@@H+](CC(=O)NC1(C(=O)OC)CCCCC1)[C@@H](C)C(=O)[O-] ZINC001589719046 950392849 /nfs/dbraw/zinc/39/28/49/950392849.db2.gz NMZPYJFTZZDUKY-NSHDSACASA-N 0 2 314.382 0.774 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1ccnn1C ZINC001365242519 950801508 /nfs/dbraw/zinc/80/15/08/950801508.db2.gz PADJHRGIHGUSEP-VHSXEESVSA-N 0 2 319.369 0.894 20 0 DCADLN CC[C@@H]1OCC[C@H]1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365403371 951079540 /nfs/dbraw/zinc/07/95/40/951079540.db2.gz LLEGNUIJZIKHOQ-MXWKQRLJSA-N 0 2 309.370 0.967 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+](C)C[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001490776009 951097134 /nfs/dbraw/zinc/09/71/34/951097134.db2.gz XMTGSPNRYAUXFU-OLZOCXBDSA-N 0 2 321.425 0.387 20 0 DCADLN Cc1cnc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001273957973 951140213 /nfs/dbraw/zinc/14/02/13/951140213.db2.gz RTMVBZAYFSLIEW-ARJAWSKDSA-N 0 2 305.338 0.796 20 0 DCADLN CN(C)C(=O)N1CCC[C@@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC001253235745 951233038 /nfs/dbraw/zinc/23/30/38/951233038.db2.gz YKUNVUZZRGLVJM-MRVPVSSYSA-N 0 2 317.333 0.614 20 0 DCADLN O=C([O-])CC[C@@H]1CCC[N@H+](Cc2nnc3c(=O)[nH]ccn23)C1 ZINC001595016676 951290538 /nfs/dbraw/zinc/29/05/38/951290538.db2.gz BLSIEKIHMHSJTD-JTQLQIEISA-N 0 2 305.338 0.494 20 0 DCADLN O=C([O-])CC[C@@H]1CCC[N@@H+](Cc2nnc3c(=O)[nH]ccn23)C1 ZINC001595016676 951290551 /nfs/dbraw/zinc/29/05/51/951290551.db2.gz BLSIEKIHMHSJTD-JTQLQIEISA-N 0 2 305.338 0.494 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)Nc2ccccc2)[nH]1 ZINC001365742409 951495205 /nfs/dbraw/zinc/49/52/05/951495205.db2.gz UWZVYLHYKLGMPT-UHFFFAOYSA-N 0 2 309.351 0.671 20 0 DCADLN O=C(CS[C@H]1CCS(=O)(=O)C1)NOCc1ccccn1 ZINC001332137276 951496342 /nfs/dbraw/zinc/49/63/42/951496342.db2.gz VCGNIBQOPNXFOD-NSHDSACASA-N 0 2 316.404 0.550 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)C(=O)C(C)(C)C)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001281657148 951583343 /nfs/dbraw/zinc/58/33/43/951583343.db2.gz BSLGJVRZBWQKKI-UWVGGRQHSA-N 0 2 323.397 0.595 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCC(O)(C(F)(F)F)CC2)C1=O ZINC001595074179 951627854 /nfs/dbraw/zinc/62/78/54/951627854.db2.gz NBBORWHGYLMTOZ-MRVPVSSYSA-N 0 2 310.272 0.061 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1C[N@H+](Cn1cc(Br)cn1)C2 ZINC001595203170 952318633 /nfs/dbraw/zinc/31/86/33/952318633.db2.gz NIWNAWBOIULIAZ-LDYMZIIASA-N 0 2 316.155 0.636 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1C[N@@H+](Cn1cc(Br)cn1)C2 ZINC001595203170 952318640 /nfs/dbraw/zinc/31/86/40/952318640.db2.gz NIWNAWBOIULIAZ-LDYMZIIASA-N 0 2 316.155 0.636 20 0 DCADLN Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001411887643 952515757 /nfs/dbraw/zinc/51/57/57/952515757.db2.gz NVTZFIUWGAGZPH-SECBINFHSA-N 0 2 318.337 0.111 20 0 DCADLN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001412376217 952769219 /nfs/dbraw/zinc/76/92/19/952769219.db2.gz OKDQKYXSWKKLEZ-FUXBKTLASA-N 0 2 303.322 0.593 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001412557180 952896437 /nfs/dbraw/zinc/89/64/37/952896437.db2.gz IFYDKGZATMGKMM-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001412557180 952896447 /nfs/dbraw/zinc/89/64/47/952896447.db2.gz IFYDKGZATMGKMM-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN CCO[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001283033449 953940489 /nfs/dbraw/zinc/94/04/89/953940489.db2.gz MLUBMTQABAJEHN-BDAKNGLRSA-N 0 2 314.279 0.934 20 0 DCADLN CCO[C@@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001283033449 953940500 /nfs/dbraw/zinc/94/05/00/953940500.db2.gz MLUBMTQABAJEHN-BDAKNGLRSA-N 0 2 314.279 0.934 20 0 DCADLN CCc1nc(C[NH2+][C@@H](C)CCNC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001366443731 954201502 /nfs/dbraw/zinc/20/15/02/954201502.db2.gz DYCNHWUWDGLCSS-QMMMGPOBSA-N 0 2 322.369 0.667 20 0 DCADLN CN(C)c1cc(Cn2cc(CN(C)CC(=O)[O-])nn2)cc[nH+]1 ZINC001593722575 954260953 /nfs/dbraw/zinc/26/09/53/954260953.db2.gz LENRDWNYKFZHAR-UHFFFAOYSA-N 0 2 304.354 0.304 20 0 DCADLN O=C([O-])C1(CC[N@@H+]2CCO[C@]3(CCS(=O)(=O)C3)C2)CCC1 ZINC001594879643 954412166 /nfs/dbraw/zinc/41/21/66/954412166.db2.gz NYDIDHAHMARZGY-CQSZACIVSA-N 0 2 317.407 0.521 20 0 DCADLN O=C([O-])C1(CC[N@H+]2CCO[C@]3(CCS(=O)(=O)C3)C2)CCC1 ZINC001594879643 954412175 /nfs/dbraw/zinc/41/21/75/954412175.db2.gz NYDIDHAHMARZGY-CQSZACIVSA-N 0 2 317.407 0.521 20 0 DCADLN CC(C)Cn1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001366575967 954449122 /nfs/dbraw/zinc/44/91/22/954449122.db2.gz JGYDCMXTHDIZHT-UHFFFAOYSA-N 0 2 321.385 0.225 20 0 DCADLN CC(C)Cn1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001366575967 954449132 /nfs/dbraw/zinc/44/91/32/954449132.db2.gz JGYDCMXTHDIZHT-UHFFFAOYSA-N 0 2 321.385 0.225 20 0 DCADLN O=C([O-])C1=NO[C@@H](C(=O)NC2CCN(c3cccc[nH+]3)CC2)C1 ZINC001594891017 954524935 /nfs/dbraw/zinc/52/49/35/954524935.db2.gz LFAFJFDGNIGVTH-GFCCVEGCSA-N 0 2 318.333 0.396 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)on1 ZINC001366731561 954731845 /nfs/dbraw/zinc/73/18/45/954731845.db2.gz GALNXQCTBSQDBN-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)on1 ZINC001366731561 954731855 /nfs/dbraw/zinc/73/18/55/954731855.db2.gz GALNXQCTBSQDBN-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN CNC(=O)[C@@H]1C[N@H+](CCOc2ccccc2C(=O)[O-])CCO1 ZINC001593792027 954765153 /nfs/dbraw/zinc/76/51/53/954765153.db2.gz QSFUQZNLRFHKLA-ZDUSSCGKSA-N 0 2 308.334 0.210 20 0 DCADLN CNC(=O)[C@@H]1C[N@@H+](CCOc2ccccc2C(=O)[O-])CCO1 ZINC001593792027 954765164 /nfs/dbraw/zinc/76/51/64/954765164.db2.gz QSFUQZNLRFHKLA-ZDUSSCGKSA-N 0 2 308.334 0.210 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)[C@@H](O)c2c[nH+]c[nH]2)Cc2ccccc21 ZINC001594941350 954896392 /nfs/dbraw/zinc/89/63/92/954896392.db2.gz IKMBOJJOARMPLS-AAEUAGOBSA-N 0 2 301.302 0.654 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)C1(C(=O)[O-])CCOCC1 ZINC001589515025 955062433 /nfs/dbraw/zinc/06/24/33/955062433.db2.gz RIDJNQDQCQBPGZ-LBPRGKRZSA-N 0 2 314.382 0.095 20 0 DCADLN CC1(C)CO[C@H](CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001366956785 955116395 /nfs/dbraw/zinc/11/63/95/955116395.db2.gz VJVCUYLWAVQCLC-LLVKDONJSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(CCc1cccnc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366967657 955133969 /nfs/dbraw/zinc/13/39/69/955133969.db2.gz NBEQRTNNDJVLAT-UHFFFAOYSA-N 0 2 316.365 0.086 20 0 DCADLN CC[C@@H](CNC(=O)NCC[N@@H+]1CCOC[C@@H]1CC)C(=O)[O-] ZINC001589538887 955186356 /nfs/dbraw/zinc/18/63/56/955186356.db2.gz UJUPXMFDDSPGMY-RYUDHWBXSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@@H](CNC(=O)NCC[N@H+]1CCOC[C@@H]1CC)C(=O)[O-] ZINC001589538887 955186361 /nfs/dbraw/zinc/18/63/61/955186361.db2.gz UJUPXMFDDSPGMY-RYUDHWBXSA-N 0 2 301.387 0.507 20 0 DCADLN O=C(CC(F)(F)F)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001284887970 955291404 /nfs/dbraw/zinc/29/14/04/955291404.db2.gz ABXLNFRRGKSNDF-UHFFFAOYSA-N 0 2 319.287 0.711 20 0 DCADLN O=C(CC(F)(F)F)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001284887970 955291408 /nfs/dbraw/zinc/29/14/08/955291408.db2.gz ABXLNFRRGKSNDF-UHFFFAOYSA-N 0 2 319.287 0.711 20 0 DCADLN Cn1cc([C@@H]2C[C@@H](C(=O)[O-])C[N@H+](Cc3cnsn3)C2)cn1 ZINC001594538575 955603339 /nfs/dbraw/zinc/60/33/39/955603339.db2.gz RYDQHPMJZPSDKQ-NXEZZACHSA-N 0 2 307.379 0.962 20 0 DCADLN Cn1cc([C@@H]2C[C@@H](C(=O)[O-])C[N@@H+](Cc3cnsn3)C2)cn1 ZINC001594538575 955603347 /nfs/dbraw/zinc/60/33/47/955603347.db2.gz RYDQHPMJZPSDKQ-NXEZZACHSA-N 0 2 307.379 0.962 20 0 DCADLN O=C([O-])/C=C\c1ccc(OCC[N@H+]2CCOC[C@H]2CO)cc1 ZINC000387693772 972133028 /nfs/dbraw/zinc/13/30/28/972133028.db2.gz NXJJWJCURVMNIU-JHAQOBCDSA-N 0 2 307.346 0.856 20 0 DCADLN O=C([O-])/C=C\c1ccc(OCC[N@@H+]2CCOC[C@H]2CO)cc1 ZINC000387693772 972133032 /nfs/dbraw/zinc/13/30/32/972133032.db2.gz NXJJWJCURVMNIU-JHAQOBCDSA-N 0 2 307.346 0.856 20 0 DCADLN CC(C)Cc1cc(NCc2nnc(CS(C)(=O)=O)[nH]2)ncn1 ZINC001472889117 956558494 /nfs/dbraw/zinc/55/84/94/956558494.db2.gz OQTILBUHEJESPD-UHFFFAOYSA-N 0 2 324.410 0.950 20 0 DCADLN COCCCC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001355488729 956657503 /nfs/dbraw/zinc/65/75/03/956657503.db2.gz HRVMDAGNAYCKDZ-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN COCCCC(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001355488729 956657514 /nfs/dbraw/zinc/65/75/14/956657514.db2.gz HRVMDAGNAYCKDZ-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2ccccc2NC(C)=O)CCO1 ZINC001593983670 956735747 /nfs/dbraw/zinc/73/57/47/956735747.db2.gz KZGSWTPNYVJRJW-INIZCTEOSA-N 0 2 322.361 0.947 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2ccccc2NC(C)=O)CCO1 ZINC001593983670 956735755 /nfs/dbraw/zinc/73/57/55/956735755.db2.gz KZGSWTPNYVJRJW-INIZCTEOSA-N 0 2 322.361 0.947 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2cnc(N(C)C)s2)CCO1 ZINC001593984309 956746524 /nfs/dbraw/zinc/74/65/24/956746524.db2.gz PJPOQARSRCEYKX-ZDUSSCGKSA-N 0 2 315.395 0.511 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2cnc(N(C)C)s2)CCO1 ZINC001593984309 956746535 /nfs/dbraw/zinc/74/65/35/956746535.db2.gz PJPOQARSRCEYKX-ZDUSSCGKSA-N 0 2 315.395 0.511 20 0 DCADLN CCOCCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001356767698 957006169 /nfs/dbraw/zinc/00/61/69/957006169.db2.gz PPBQCAXUSPGNGL-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCCC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001356767698 957006179 /nfs/dbraw/zinc/00/61/79/957006179.db2.gz PPBQCAXUSPGNGL-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1n[nH]c(C(=O)NCCNC(=O)C[C@@H](C)n2cc[nH+]c2)c1[O-] ZINC001292643957 957085408 /nfs/dbraw/zinc/08/54/08/957085408.db2.gz MWOOPWRVZAVSIW-SECBINFHSA-N 0 2 320.353 0.118 20 0 DCADLN O=C([O-])C[NH+]1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000035126751 957198813 /nfs/dbraw/zinc/19/88/13/957198813.db2.gz PDFJKOUVIIKORV-UHFFFAOYSA-N 0 2 308.363 0.187 20 0 DCADLN Cn1nccc1C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001357648009 957345386 /nfs/dbraw/zinc/34/53/86/957345386.db2.gz SISWJNQJRGTJAF-XADBCAIWSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1nccc1C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001357648009 957345393 /nfs/dbraw/zinc/34/53/93/957345393.db2.gz SISWJNQJRGTJAF-XADBCAIWSA-N 0 2 322.262 0.723 20 0 DCADLN CCC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@H](OC)C1 ZINC001414028178 957685583 /nfs/dbraw/zinc/68/55/83/957685583.db2.gz FDKQNVNSCNHRMZ-ZXFLCMHBSA-N 0 2 300.252 0.639 20 0 DCADLN CCC(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@H](OC)C1 ZINC001414028178 957685589 /nfs/dbraw/zinc/68/55/89/957685589.db2.gz FDKQNVNSCNHRMZ-ZXFLCMHBSA-N 0 2 300.252 0.639 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cccc(F)c1F ZINC001361459836 957986438 /nfs/dbraw/zinc/98/64/38/957986438.db2.gz DWSZERQLXRAGGY-UHFFFAOYSA-N 0 2 319.271 0.666 20 0 DCADLN CO[C@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C12CCC2 ZINC001361495767 958025696 /nfs/dbraw/zinc/02/56/96/958025696.db2.gz FVTVWUAGNAGLMV-QWRGUYRKSA-N 0 2 317.349 0.145 20 0 DCADLN Cn1cc(C(=O)CCC(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC001296732807 958042003 /nfs/dbraw/zinc/04/20/03/958042003.db2.gz WBLMRRSIACHHJK-UHFFFAOYSA-N 0 2 309.351 0.414 20 0 DCADLN CC(=O)OCC(C)(C)CC(=O)NCCc1n[nH]c(=S)o1 ZINC001296732349 958044615 /nfs/dbraw/zinc/04/46/15/958044615.db2.gz PJERSUDUTLJQCK-UHFFFAOYSA-N 0 2 301.368 0.996 20 0 DCADLN CN1CC[C@](C)(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001297019421 958093445 /nfs/dbraw/zinc/09/34/45/958093445.db2.gz KQXZFXFDGRVTNA-OTYXRUKQSA-N 0 2 307.354 0.085 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCC(F)(F)[C@H](CO)C1)C(=O)[O-] ZINC001604929345 1169750945 /nfs/dbraw/zinc/75/09/45/1169750945.db2.gz VXUDXJDIZHSXRC-NHCYSSNCSA-N 0 2 322.352 0.551 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCC(F)(F)[C@H](CO)C1)C(=O)[O-] ZINC001604929345 1169750948 /nfs/dbraw/zinc/75/09/48/1169750948.db2.gz VXUDXJDIZHSXRC-NHCYSSNCSA-N 0 2 322.352 0.551 20 0 DCADLN COCC(C)(C)CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361743420 958280478 /nfs/dbraw/zinc/28/04/78/958280478.db2.gz MVVGBTAYDUZRHJ-UHFFFAOYSA-N 0 2 307.354 0.250 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001603227105 972343888 /nfs/dbraw/zinc/34/38/88/972343888.db2.gz HFXMHZFKGPIGIS-AGIUHOORSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@H+]1C ZINC001603227105 972343890 /nfs/dbraw/zinc/34/38/90/972343890.db2.gz HFXMHZFKGPIGIS-AGIUHOORSA-N 0 2 312.414 0.127 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C[NH2+]Cc2nnc(C(C)C)[nH]2)c1[O-] ZINC001367731061 958460375 /nfs/dbraw/zinc/46/03/75/958460375.db2.gz OIIFULRVWHHAIM-QMMMGPOBSA-N 0 2 321.385 0.573 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001362025314 958620982 /nfs/dbraw/zinc/62/09/82/958620982.db2.gz SVURQNJXULEUFA-UHFFFAOYSA-N 0 2 301.310 0.060 20 0 DCADLN Cc1ccc(C2=NO[C@@H](C(=O)NCc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001362073347 958694608 /nfs/dbraw/zinc/69/46/08/958694608.db2.gz HQZZWHTVVFUGHP-LLVKDONJSA-N 0 2 301.306 0.628 20 0 DCADLN CCC[C@H](O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362108765 958750129 /nfs/dbraw/zinc/75/01/29/958750129.db2.gz MWNRHPNCVUAHSP-NSHDSACASA-N 0 2 306.322 0.157 20 0 DCADLN CC(C)C[C@H](O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362227922 958962916 /nfs/dbraw/zinc/96/29/16/958962916.db2.gz NQWRDJGFROXUMW-LBPRGKRZSA-N 0 2 320.349 0.403 20 0 DCADLN CC(C)CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001367965852 958973187 /nfs/dbraw/zinc/97/31/87/958973187.db2.gz ZRGRVJZHLPWMCJ-NWDGAFQWSA-N 0 2 322.413 0.160 20 0 DCADLN CC(C)CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001367965852 958973201 /nfs/dbraw/zinc/97/32/01/958973201.db2.gz ZRGRVJZHLPWMCJ-NWDGAFQWSA-N 0 2 322.413 0.160 20 0 DCADLN O=C(CCc1cscn1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367985331 959017078 /nfs/dbraw/zinc/01/70/78/959017078.db2.gz FYQBODFBFSBXMY-JTQLQIEISA-N 0 2 322.394 0.290 20 0 DCADLN CC[C@@](C)(CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362306511 959111354 /nfs/dbraw/zinc/11/13/54/959111354.db2.gz KLHJECDTGDFZIE-AWEZNQCLSA-N 0 2 306.322 0.157 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H]2[C@@H](C1)C2(F)F ZINC001362386859 959258401 /nfs/dbraw/zinc/25/84/01/959258401.db2.gz MWGQLQTWNVOAEB-DTWKUNHWSA-N 0 2 309.276 0.185 20 0 DCADLN CN(C[C@H](O)C1CC1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362427209 959336855 /nfs/dbraw/zinc/33/68/55/959336855.db2.gz KRCCBBXWOHCXJC-LBPRGKRZSA-N 0 2 318.333 0.109 20 0 DCADLN C[C@@H](CCCO)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362491705 959459483 /nfs/dbraw/zinc/45/94/83/959459483.db2.gz GFNZNNHGAVEDKP-JTQLQIEISA-N 0 2 320.349 0.405 20 0 DCADLN CC(C)(C(=O)[O-])n1cc(NC(=O)/C=C/C[NH+]2CCOCC2)cn1 ZINC001588617426 959523517 /nfs/dbraw/zinc/52/35/17/959523517.db2.gz UAELDKMNLBDKMV-ONEGZZNKSA-N 0 2 322.365 0.530 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cc(C(=O)[O-])nn2C)C[C@H](C)O1 ZINC001594245836 959568196 /nfs/dbraw/zinc/56/81/96/959568196.db2.gz IFLQIBCSVVXYCS-PHIMTYICSA-N 0 2 324.381 0.300 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)c2cc(C(=O)[O-])nn2C)C[C@H](C)O1 ZINC001594245836 959568209 /nfs/dbraw/zinc/56/82/09/959568209.db2.gz IFLQIBCSVVXYCS-PHIMTYICSA-N 0 2 324.381 0.300 20 0 DCADLN CC(C)(C)[C@@H](C(=O)[O-])C(=O)N1CC[NH+](CCn2cncn2)CC1 ZINC001588631446 959616281 /nfs/dbraw/zinc/61/62/81/959616281.db2.gz LBSNNQKTQVJMSM-GFCCVEGCSA-N 0 2 323.397 0.169 20 0 DCADLN Cn1cc(C[N@@H+]2CCC[C@@H]2CCCNC(=O)c2ncn[nH]2)nn1 ZINC001492171833 959652349 /nfs/dbraw/zinc/65/23/49/959652349.db2.gz QXWPECOFSUUNSP-LBPRGKRZSA-N 0 2 318.385 0.108 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1C[C@@H](F)[C@@H](F)C1 ZINC001362611091 959696019 /nfs/dbraw/zinc/69/60/19/959696019.db2.gz AHSTVGVKGQCOPO-AOOOYVTPSA-N 0 2 310.260 0.398 20 0 DCADLN CN(C[C@H]1CCCO1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362618914 959707825 /nfs/dbraw/zinc/70/78/25/959707825.db2.gz SYAJKGOOYIRBNW-GFCCVEGCSA-N 0 2 318.333 0.517 20 0 DCADLN CC[C@@H](C)[C@@H](C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001368381388 959777757 /nfs/dbraw/zinc/77/77/57/959777757.db2.gz BFKUDKLAMAFZLW-RKDXNWHRSA-N 0 2 317.415 0.583 20 0 DCADLN CC(C)Oc1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)ccn1 ZINC001362720570 959889213 /nfs/dbraw/zinc/88/92/13/959889213.db2.gz RUNGCIMRDGTFBM-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN CC(C)Oc1cc(C(=O)NCC2=NC(=O)CC(=O)N2)ccn1 ZINC001362720570 959889230 /nfs/dbraw/zinc/88/92/30/959889230.db2.gz RUNGCIMRDGTFBM-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN C[C@@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1cnccn1 ZINC001362740301 959915342 /nfs/dbraw/zinc/91/53/42/959915342.db2.gz MZOVAKCQJRUEGY-NXEZZACHSA-N 0 2 302.338 0.810 20 0 DCADLN O=C(CC1CCCC1)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001368491278 959935794 /nfs/dbraw/zinc/93/57/94/959935794.db2.gz QEGJCNQQUYHICH-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C=CCn1cncn1)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC001301648667 960007855 /nfs/dbraw/zinc/00/78/55/960007855.db2.gz DHIPXZILWVTIGN-IRBQFCFKSA-N 0 2 310.321 0.253 20 0 DCADLN O=C(/C=C\Cn1cncn1)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC001301648667 960007872 /nfs/dbraw/zinc/00/78/72/960007872.db2.gz DHIPXZILWVTIGN-IRBQFCFKSA-N 0 2 310.321 0.253 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(O)cc1O ZINC001303181370 960041617 /nfs/dbraw/zinc/04/16/17/960041617.db2.gz CZGHSVOCBGPOLV-UHFFFAOYSA-N 0 2 301.262 0.121 20 0 DCADLN O=C(NCc1ccc2c(c1)CN(Cc1n[nH]c(=O)[nH]1)C2)C(F)F ZINC001368633219 960108520 /nfs/dbraw/zinc/10/85/20/960108520.db2.gz IYEUCCUOEQOTFM-UHFFFAOYSA-N 0 2 323.303 0.907 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cccc2oc(=O)[nH]c21 ZINC001306660288 960130692 /nfs/dbraw/zinc/13/06/92/960130692.db2.gz MBLZNMBBZPYEQK-UHFFFAOYSA-N 0 2 303.278 0.720 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H](C)SC)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368683287 960154147 /nfs/dbraw/zinc/15/41/47/960154147.db2.gz HVXCISZLAQFMEB-KXUCPTDWSA-N 0 2 313.427 0.731 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H](C)SC)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368683287 960154151 /nfs/dbraw/zinc/15/41/51/960154151.db2.gz HVXCISZLAQFMEB-KXUCPTDWSA-N 0 2 313.427 0.731 20 0 DCADLN O=C(CC1CCOCC1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377518246 960219883 /nfs/dbraw/zinc/21/98/83/960219883.db2.gz PBGCRKJJUXSMNK-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC1CCOCC1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377518246 960219896 /nfs/dbraw/zinc/21/98/96/960219896.db2.gz PBGCRKJJUXSMNK-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN CCC(=O)N[C@@H](C)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001362974346 960247028 /nfs/dbraw/zinc/24/70/28/960247028.db2.gz VLTALENZWHFFHF-VIFPVBQESA-N 0 2 310.354 0.112 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2CCCCCC2)[nH]1 ZINC001362984667 960257092 /nfs/dbraw/zinc/25/70/92/960257092.db2.gz HQIGWRKDWPLSPQ-UHFFFAOYSA-N 0 2 314.411 0.936 20 0 DCADLN CC[S@@](=O)c1ccccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363040040 960332745 /nfs/dbraw/zinc/33/27/45/960332745.db2.gz RYGUSIWYJLVOJD-JOCHJYFZSA-N 0 2 321.358 0.945 20 0 DCADLN CC[S@@](=O)c1ccccc1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001363040040 960332754 /nfs/dbraw/zinc/33/27/54/960332754.db2.gz RYGUSIWYJLVOJD-JOCHJYFZSA-N 0 2 321.358 0.945 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001377684912 960435507 /nfs/dbraw/zinc/43/55/07/960435507.db2.gz KOQRCIZKUAEGKH-BQBZGAKWSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)n1 ZINC001377684912 960435516 /nfs/dbraw/zinc/43/55/16/960435516.db2.gz KOQRCIZKUAEGKH-BQBZGAKWSA-N 0 2 310.251 0.853 20 0 DCADLN C[C@@H](CNC(=O)c1cccc(=O)[nH]1)NC(=O)C(F)C(F)(F)F ZINC001377689629 960442507 /nfs/dbraw/zinc/44/25/07/960442507.db2.gz RWZAYLKEZKDFJU-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)c1cccc(=O)[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001377689629 960442511 /nfs/dbraw/zinc/44/25/11/960442511.db2.gz RWZAYLKEZKDFJU-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN CCc1nn(C)c(Cl)c1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001363153682 960495144 /nfs/dbraw/zinc/49/51/44/960495144.db2.gz VVTRJJMDCZUTII-UHFFFAOYSA-N 0 2 311.729 0.767 20 0 DCADLN CCc1nn(C)c(Cl)c1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363153682 960495157 /nfs/dbraw/zinc/49/51/57/960495157.db2.gz VVTRJJMDCZUTII-UHFFFAOYSA-N 0 2 311.729 0.767 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cncn1C ZINC001377828417 960752274 /nfs/dbraw/zinc/75/22/74/960752274.db2.gz BOVKBYPBSRNNGC-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN COC1(CC(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001377847021 960801688 /nfs/dbraw/zinc/80/16/88/960801688.db2.gz QSGHGOCXRCVUIO-NSHDSACASA-N 0 2 323.397 0.550 20 0 DCADLN Cc1ccc(CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001377984766 961123191 /nfs/dbraw/zinc/12/31/91/961123191.db2.gz RBQMWCDZNYIFDC-UHFFFAOYSA-N 0 2 315.377 0.609 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CS1 ZINC001363443316 961163714 /nfs/dbraw/zinc/16/37/14/961163714.db2.gz DYJBFXRMWRHUNA-WCBMZHEXSA-N 0 2 320.374 0.890 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(c3ccncn3)CC2)S1 ZINC001363441886 961164307 /nfs/dbraw/zinc/16/43/07/961164307.db2.gz HICVOBWBAHPORY-LLVKDONJSA-N 0 2 319.390 0.739 20 0 DCADLN Cc1cc(C[N@@H+]2CCCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)on1 ZINC001571097330 961186414 /nfs/dbraw/zinc/18/64/14/961186414.db2.gz WQCPVCLBOYOOGQ-CHWSQXEVSA-N 0 2 307.350 0.738 20 0 DCADLN Cc1cc(C[N@H+]2CCCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)on1 ZINC001571097330 961186428 /nfs/dbraw/zinc/18/64/28/961186428.db2.gz WQCPVCLBOYOOGQ-CHWSQXEVSA-N 0 2 307.350 0.738 20 0 DCADLN Cn1cc([C@H]2CN(c3[nH+]cccc3C(=O)[O-])C[C@H]2C(N)=O)cn1 ZINC001549037365 1013239168 /nfs/dbraw/zinc/23/91/68/1013239168.db2.gz QQKQLOGMFPWUOL-VXGBXAGGSA-N 0 2 315.333 0.219 20 0 DCADLN CCn1nccc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001430216708 1013255853 /nfs/dbraw/zinc/25/58/53/1013255853.db2.gz IHFQAQCUEOYLMP-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CCn1nccc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430216708 1013255864 /nfs/dbraw/zinc/25/58/64/1013255864.db2.gz IHFQAQCUEOYLMP-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CCn1ncc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001378109009 961446909 /nfs/dbraw/zinc/44/69/09/961446909.db2.gz UCYLPTQITWLPRT-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncc(CN2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001378109009 961446920 /nfs/dbraw/zinc/44/69/20/961446920.db2.gz UCYLPTQITWLPRT-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN O=C(NC1CN(C(=O)c2cncs2)C1)C(F)C(F)(F)F ZINC001430215469 1013257174 /nfs/dbraw/zinc/25/71/74/1013257174.db2.gz FMNJZFXZGJPXBR-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)c2cncs2)C1)[C@@H](F)C(F)(F)F ZINC001430215469 1013257182 /nfs/dbraw/zinc/25/71/82/1013257182.db2.gz FMNJZFXZGJPXBR-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378126952 961480951 /nfs/dbraw/zinc/48/09/51/961480951.db2.gz OCXPTOPMMGKKTK-GHMZBOCLSA-N 0 2 319.365 0.673 20 0 DCADLN Cc1ncccc1CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378138642 961509896 /nfs/dbraw/zinc/50/98/96/961509896.db2.gz JJDWROOUNKSQIE-JTQLQIEISA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ncccc1CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378138642 961509910 /nfs/dbraw/zinc/50/99/10/961509910.db2.gz JJDWROOUNKSQIE-JTQLQIEISA-N 0 2 318.381 0.393 20 0 DCADLN O=C([O-])CCNC(=O)c1ccc(NC(=O)Cc2c[nH]c[nH+]2)cc1 ZINC001571128248 961521999 /nfs/dbraw/zinc/52/19/99/961521999.db2.gz JIXBMHVPFUWXPR-UHFFFAOYSA-N 0 2 316.317 0.795 20 0 DCADLN Cc1ncoc1C[N@H+]1CC[C@H](N(C)C(=O)CCc2cn[nH]n2)C1 ZINC001378174590 961594951 /nfs/dbraw/zinc/59/49/51/961594951.db2.gz XOZIGBCFJQVKEB-ZDUSSCGKSA-N 0 2 318.381 0.767 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C(=O)[O-])C1C[NH+](CCOc2ccccc2)C1 ZINC001571137375 961610904 /nfs/dbraw/zinc/61/09/04/961610904.db2.gz DGTIDSAGVRAJJI-HUUCEWRRSA-N 0 2 318.373 0.929 20 0 DCADLN COc1ncccc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001363658536 961642893 /nfs/dbraw/zinc/64/28/93/961642893.db2.gz HPBBJRSUZVJXES-UHFFFAOYSA-N 0 2 318.333 0.915 20 0 DCADLN CC(C)[C@H](CNC(=O)NC[C@@H](C)[NH+]1CCN(C)CC1)C(=O)[O-] ZINC001588824731 961656006 /nfs/dbraw/zinc/65/60/06/961656006.db2.gz AWIJNHJCPDFJNE-OLZOCXBDSA-N 0 2 314.430 0.278 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1oc(C(=O)[O-])cc1C ZINC001571149582 961775252 /nfs/dbraw/zinc/77/52/52/961775252.db2.gz BYCPXEJHNSCYRL-SECBINFHSA-N 0 2 321.289 0.454 20 0 DCADLN CN(C(=O)c1ccc(C(=O)[O-])nc1)C(C)(C)C[NH+]1CCOCC1 ZINC001571154958 961854446 /nfs/dbraw/zinc/85/44/46/961854446.db2.gz ZGQLWJTZKNWELD-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001379742704 961917836 /nfs/dbraw/zinc/91/78/36/961917836.db2.gz CCQGWBXAZFRVJK-DBIOUOCHSA-N 0 2 309.370 0.014 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001379742704 961917853 /nfs/dbraw/zinc/91/78/53/961917853.db2.gz CCQGWBXAZFRVJK-DBIOUOCHSA-N 0 2 309.370 0.014 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@H]1CC(C(=O)[O-])=NO1 ZINC001574031910 962034673 /nfs/dbraw/zinc/03/46/73/962034673.db2.gz MROYGFJWQDXDBB-QWHCGFSZSA-N 0 2 303.318 0.519 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@H]1CC(C(=O)[O-])=NO1 ZINC001574031910 962034696 /nfs/dbraw/zinc/03/46/96/962034696.db2.gz MROYGFJWQDXDBB-QWHCGFSZSA-N 0 2 303.318 0.519 20 0 DCADLN C[S@](=O)(=NS(=O)(=O)N[C@@H]1COC(=O)C1)c1ccccc1 ZINC001363918657 962128146 /nfs/dbraw/zinc/12/81/46/962128146.db2.gz VGURFXQRRASEKP-ZRNGKTOUSA-N 0 2 318.376 0.293 20 0 DCADLN Cn1ncc(CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)n1 ZINC001363983156 962237559 /nfs/dbraw/zinc/23/75/59/962237559.db2.gz QKPOXXNWCZHUPL-SFHVURJKSA-N 0 2 309.417 0.046 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@@H](CO)c1cccnc1 ZINC001364003913 962274204 /nfs/dbraw/zinc/27/42/04/962274204.db2.gz ASVNJOCXGBKDQC-NSHDSACASA-N 0 2 321.424 0.457 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CCc1ccccc1 ZINC001364056357 962383187 /nfs/dbraw/zinc/38/31/87/962383187.db2.gz UHSBZGQUAIKPDM-UHFFFAOYSA-N 0 2 307.318 0.957 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](c3ncon3)C2)S1 ZINC001364180120 962623160 /nfs/dbraw/zinc/62/31/60/962623160.db2.gz YBCRJKDCDIIUIY-YUMQZZPRSA-N 0 2 309.351 0.332 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CCc2ccco2)[nH]1 ZINC001364287539 962823723 /nfs/dbraw/zinc/82/37/23/962823723.db2.gz ZBAXBPYHMPRLAW-UHFFFAOYSA-N 0 2 312.351 0.191 20 0 DCADLN COCCN1CC2(C1)C[C@H](NC(=O)C(F)C(F)(F)F)CO2 ZINC001381011376 963763468 /nfs/dbraw/zinc/76/34/68/963763468.db2.gz LKNDIINAHYKDFT-IUCAKERBSA-N 0 2 314.279 0.493 20 0 DCADLN CCOc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001368965626 964204085 /nfs/dbraw/zinc/20/40/85/964204085.db2.gz FNRVAMRJTXWAPM-MRVPVSSYSA-N 0 2 324.341 0.147 20 0 DCADLN CCOc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001368965626 964204093 /nfs/dbraw/zinc/20/40/93/964204093.db2.gz FNRVAMRJTXWAPM-MRVPVSSYSA-N 0 2 324.341 0.147 20 0 DCADLN COCCC1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001375312682 964239493 /nfs/dbraw/zinc/23/94/93/964239493.db2.gz CDFWAROSFIVSHG-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1csc(C[NH2+]C2(CNC(=O)CCc3cn[nH]n3)CC2)n1 ZINC001375495060 964474883 /nfs/dbraw/zinc/47/48/83/964474883.db2.gz PXZIZHVCDXGQFN-UHFFFAOYSA-N 0 2 320.422 0.941 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)[NH2+]CC(=O)N1CCC1 ZINC001373804496 964652074 /nfs/dbraw/zinc/65/20/74/964652074.db2.gz BMXSJTVVIBCVKQ-OLZOCXBDSA-N 0 2 321.425 0.305 20 0 DCADLN Cc1cncc(C[N@@H+]2CC[C@H](NC(=O)CCc3cn[nH]n3)C2)c1 ZINC001376146892 965279602 /nfs/dbraw/zinc/27/96/02/965279602.db2.gz KEZLDZFHTCURIJ-HNNXBMFYSA-N 0 2 314.393 0.831 20 0 DCADLN Cc1cncc(C[N@H+]2CC[C@H](NC(=O)CCc3cn[nH]n3)C2)c1 ZINC001376146892 965279619 /nfs/dbraw/zinc/27/96/19/965279619.db2.gz KEZLDZFHTCURIJ-HNNXBMFYSA-N 0 2 314.393 0.831 20 0 DCADLN CC(C)OCCN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001376169184 965321025 /nfs/dbraw/zinc/32/10/25/965321025.db2.gz JPQYQCXFVZSULZ-SECBINFHSA-N 0 2 316.295 0.475 20 0 DCADLN CCc1ncoc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374534965 965498457 /nfs/dbraw/zinc/49/84/57/965498457.db2.gz YLMBXOSMHYBOCX-UHFFFAOYSA-N 0 2 308.342 0.265 20 0 DCADLN CCc1ncoc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374534965 965498461 /nfs/dbraw/zinc/49/84/61/965498461.db2.gz YLMBXOSMHYBOCX-UHFFFAOYSA-N 0 2 308.342 0.265 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001370017892 965696339 /nfs/dbraw/zinc/69/63/39/965696339.db2.gz OFJVBHSGETWORK-JGVFFNPUSA-N 0 2 303.313 0.492 20 0 DCADLN O=C(NCC1CN(C(=O)c2cn[nH]c2)C1)C(F)C(F)(F)F ZINC001374847237 966024959 /nfs/dbraw/zinc/02/49/59/966024959.db2.gz NIJNYZVEQBMMAN-MRVPVSSYSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)c2cn[nH]c2)C1)[C@@H](F)C(F)(F)F ZINC001374847237 966024969 /nfs/dbraw/zinc/02/49/69/966024969.db2.gz NIJNYZVEQBMMAN-MRVPVSSYSA-N 0 2 308.235 0.498 20 0 DCADLN Cc1ncn(C)c1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001416901908 966039992 /nfs/dbraw/zinc/03/99/92/966039992.db2.gz FYKJFYPOUMOJHU-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncn(C)c1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001416901908 966040005 /nfs/dbraw/zinc/04/00/05/966040005.db2.gz FYKJFYPOUMOJHU-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001370644591 966324288 /nfs/dbraw/zinc/32/42/88/966324288.db2.gz QILCGERRGRMOGC-ZJUUUORDSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccccc1 ZINC001370644591 966324295 /nfs/dbraw/zinc/32/42/95/966324295.db2.gz QILCGERRGRMOGC-ZJUUUORDSA-N 0 2 322.258 0.794 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001371141241 966754014 /nfs/dbraw/zinc/75/40/14/966754014.db2.gz DJOSVQBFKIIKEK-XPUUQOCRSA-N 0 2 308.342 0.584 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001447980341 1013681800 /nfs/dbraw/zinc/68/18/00/1013681800.db2.gz RMJWXGJGPYGRFP-IMTBSYHQSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@@H](CNC(=O)c1ccccc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001447992868 1013692097 /nfs/dbraw/zinc/69/20/97/1013692097.db2.gz VSHPNFKRRBIPOG-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN C[C@@H](CNC(=O)c1ccccc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001447992868 1013692101 /nfs/dbraw/zinc/69/21/01/1013692101.db2.gz VSHPNFKRRBIPOG-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CCC1)C(F)C(F)(F)F ZINC001382268900 967144008 /nfs/dbraw/zinc/14/40/08/967144008.db2.gz CZXOZMWAOPEKOW-YUMQZZPRSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CCC1)[C@H](F)C(F)(F)F ZINC001382268900 967144015 /nfs/dbraw/zinc/14/40/15/967144015.db2.gz CZXOZMWAOPEKOW-YUMQZZPRSA-N 0 2 300.252 0.280 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nnnn1C ZINC001448034405 1013725431 /nfs/dbraw/zinc/72/54/31/1013725431.db2.gz IJGSQBMTVRLEQM-HTRCEHHLSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1nnnn1C ZINC001448034405 1013725436 /nfs/dbraw/zinc/72/54/36/1013725436.db2.gz IJGSQBMTVRLEQM-HTRCEHHLSA-N 0 2 312.271 0.047 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372266399 967812845 /nfs/dbraw/zinc/81/28/45/967812845.db2.gz BVTMFMWYDDBLSA-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001372266399 967812849 /nfs/dbraw/zinc/81/28/49/967812849.db2.gz BVTMFMWYDDBLSA-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1nc(C)n(CC[N@@H+](C)[C@@H](C)CNC(=O)Cn2cc[nH+]c2)n1 ZINC001448137639 1013776408 /nfs/dbraw/zinc/77/64/08/1013776408.db2.gz CUYPBSMHYHHLDD-LBPRGKRZSA-N 0 2 319.413 0.228 20 0 DCADLN CCn1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001372774778 968352754 /nfs/dbraw/zinc/35/27/54/968352754.db2.gz FRODVCUJEXNHPT-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001372774778 968352761 /nfs/dbraw/zinc/35/27/61/968352761.db2.gz FRODVCUJEXNHPT-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)nn1 ZINC001372878489 968491827 /nfs/dbraw/zinc/49/18/27/968491827.db2.gz YZAKPZYQNXYECT-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001372878489 968491834 /nfs/dbraw/zinc/49/18/34/968491834.db2.gz YZAKPZYQNXYECT-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001372907041 968518718 /nfs/dbraw/zinc/51/87/18/968518718.db2.gz LNHTUUHDTLYBQK-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001372907041 968518721 /nfs/dbraw/zinc/51/87/21/968518721.db2.gz LNHTUUHDTLYBQK-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)CNC(=O)C(F)C(F)(F)F ZINC001430973880 1013837767 /nfs/dbraw/zinc/83/77/67/1013837767.db2.gz XSGWJGGFQJXDCC-WCBMZHEXSA-N 0 2 324.278 0.652 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001430973880 1013837769 /nfs/dbraw/zinc/83/77/69/1013837769.db2.gz XSGWJGGFQJXDCC-WCBMZHEXSA-N 0 2 324.278 0.652 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cn[nH]c2)C1)C(F)C(F)(F)F ZINC001448242401 1013839009 /nfs/dbraw/zinc/83/90/09/1013839009.db2.gz KXMBIICHJVYDPI-APPZFPTMSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cn[nH]c2)C1)[C@H](F)C(F)(F)F ZINC001448242401 1013839019 /nfs/dbraw/zinc/83/90/19/1013839019.db2.gz KXMBIICHJVYDPI-APPZFPTMSA-N 0 2 322.262 0.888 20 0 DCADLN CN(C)C(=O)CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001373392570 969031993 /nfs/dbraw/zinc/03/19/93/969031993.db2.gz ZSQRRMXTSXGBHY-WPRPVWTQSA-N 0 2 313.295 0.556 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)Cc1ccccc1C(=O)[O-] ZINC000317478610 970363035 /nfs/dbraw/zinc/36/30/35/970363035.db2.gz CIYFUPJSMWZAJI-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN COc1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccc1F ZINC000317589528 970371451 /nfs/dbraw/zinc/37/14/51/970371451.db2.gz OLIYBDLQWIKUAG-LLVKDONJSA-N 0 2 307.281 0.983 20 0 DCADLN COc1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccc1F ZINC000317589528 970371457 /nfs/dbraw/zinc/37/14/57/970371457.db2.gz OLIYBDLQWIKUAG-LLVKDONJSA-N 0 2 307.281 0.983 20 0 DCADLN CC(C)(C)OC1CC[NH+](CCS(=O)(=O)CC(=O)[O-])CC1 ZINC001609757972 970519523 /nfs/dbraw/zinc/51/95/23/970519523.db2.gz BADGTJZBKORWGT-UHFFFAOYSA-N 0 2 307.412 0.765 20 0 DCADLN CCOC(=O)[C@@H]1C[N@H+]([C@H](C)C(=O)NCC(=O)[O-])CCC1(F)F ZINC001605527013 1169948385 /nfs/dbraw/zinc/94/83/85/1169948385.db2.gz QNRBPXWWHUXWHG-BDAKNGLRSA-N 0 2 322.308 0.096 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)[C@H]1CCCN(CC(=O)[O-])C1=O ZINC001604174920 972591271 /nfs/dbraw/zinc/59/12/71/972591271.db2.gz LQDDCPWNNNWTRE-GFCCVEGCSA-N 0 2 322.365 0.055 20 0 DCADLN C[C@@H]1CN(c2nnc(Cc3[nH+]ccn3C)n2C)CC[C@H]1C(=O)[O-] ZINC001603470218 973334417 /nfs/dbraw/zinc/33/44/17/973334417.db2.gz FNPDGGAKQPJIEH-GHMZBOCLSA-N 0 2 318.381 0.686 20 0 DCADLN Cn1ccc(C[NH+]2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)n1 ZINC001605506037 973683250 /nfs/dbraw/zinc/68/32/50/973683250.db2.gz WZNPNJHDIAKQRX-NSHDSACASA-N 0 2 306.366 0.318 20 0 DCADLN Cn1cc[nH+]c1CCCOC(=O)c1cccn(CC(=O)[O-])c1=O ZINC001605511644 973689660 /nfs/dbraw/zinc/68/96/60/973689660.db2.gz DIRXCWLCERFCSA-UHFFFAOYSA-N 0 2 319.317 0.456 20 0 DCADLN Cn1c[nH+]cc1C1CCN(C(=O)[C@]2(C(=O)[O-])CCCO2)CC1 ZINC001605522651 973707825 /nfs/dbraw/zinc/70/78/25/973707825.db2.gz KVXPXWCBUXKRRI-HNNXBMFYSA-N 0 2 307.350 0.760 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)N1C[C@@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001549179264 1014165637 /nfs/dbraw/zinc/16/56/37/1014165637.db2.gz BXRCOJLHKMPOFK-GYSYKLTISA-N 0 2 308.338 0.014 20 0 DCADLN CCOC1CC(CNC(=O)[C@H](C)C(=O)[O-])([NH+]2CCOCC2)C1 ZINC001603825691 974321108 /nfs/dbraw/zinc/32/11/08/974321108.db2.gz JSTWZYUOYIUAMH-BZUNDVKYSA-N 0 2 314.382 0.093 20 0 DCADLN Cn1ccc(=O)c(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1 ZINC001522687447 1014298277 /nfs/dbraw/zinc/29/82/77/1014298277.db2.gz JJDAKPSVBLKLSM-VIFPVBQESA-N 0 2 323.246 0.132 20 0 DCADLN Cn1ccc(=O)c(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001522687447 1014298285 /nfs/dbraw/zinc/29/82/85/1014298285.db2.gz JJDAKPSVBLKLSM-VIFPVBQESA-N 0 2 323.246 0.132 20 0 DCADLN CCc1n[nH]cc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001522686317 1014298343 /nfs/dbraw/zinc/29/83/43/1014298343.db2.gz DOYXZIGCIAEJEQ-QMMMGPOBSA-N 0 2 310.251 0.719 20 0 DCADLN CCc1n[nH]cc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001522686317 1014298353 /nfs/dbraw/zinc/29/83/53/1014298353.db2.gz DOYXZIGCIAEJEQ-QMMMGPOBSA-N 0 2 310.251 0.719 20 0 DCADLN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@@H+]1CC2CC1(C(=O)[O-])C2 ZINC001592180561 977093033 /nfs/dbraw/zinc/09/30/33/977093033.db2.gz GJKWHPWNFCGPIF-VHTNMKBUSA-N 0 2 318.377 0.764 20 0 DCADLN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@H+]1CC2CC1(C(=O)[O-])C2 ZINC001592180561 977093044 /nfs/dbraw/zinc/09/30/44/977093044.db2.gz GJKWHPWNFCGPIF-VHTNMKBUSA-N 0 2 318.377 0.764 20 0 DCADLN Cn1cc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001522829731 1014405628 /nfs/dbraw/zinc/40/56/28/1014405628.db2.gz NGBUJNQPBGUNKA-MRVPVSSYSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1cc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001522829731 1014405643 /nfs/dbraw/zinc/40/56/43/1014405643.db2.gz NGBUJNQPBGUNKA-MRVPVSSYSA-N 0 2 310.251 0.557 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1cc(C(=O)[O-])ccn1 ZINC001592615520 978575359 /nfs/dbraw/zinc/57/53/59/978575359.db2.gz JIJVHKCEGFYVJD-NSHDSACASA-N 0 2 321.381 0.537 20 0 DCADLN CC[C@H](C)n1nccc1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001595028151 979149084 /nfs/dbraw/zinc/14/90/84/979149084.db2.gz GGIJDKDTHWBSBW-WDEREUQCSA-N 0 2 305.338 0.934 20 0 DCADLN COCCCC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530360495 1014702989 /nfs/dbraw/zinc/70/29/89/1014702989.db2.gz LAUUYXMRDRSARR-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN COCCCC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530360495 1014703000 /nfs/dbraw/zinc/70/30/00/1014703000.db2.gz LAUUYXMRDRSARR-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001449835495 1014715775 /nfs/dbraw/zinc/71/57/75/1014715775.db2.gz ZROVRZQZJLNGCD-WCBMZHEXSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001449835495 1014715783 /nfs/dbraw/zinc/71/57/83/1014715783.db2.gz ZROVRZQZJLNGCD-WCBMZHEXSA-N 0 2 324.278 0.747 20 0 DCADLN CCc1n[nH]cc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001530483839 1014766824 /nfs/dbraw/zinc/76/68/24/1014766824.db2.gz GBDBDEOOFOHNPN-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN CCc1n[nH]cc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001530483839 1014766833 /nfs/dbraw/zinc/76/68/33/1014766833.db2.gz GBDBDEOOFOHNPN-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN CCC(C)(C)NC(=O)C[N@H+](C)CCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001594806161 981912494 /nfs/dbraw/zinc/91/24/94/981912494.db2.gz VLSLSFPGMKQHEG-WDEREUQCSA-N 0 2 313.398 0.060 20 0 DCADLN CCC(C)(C)NC(=O)C[N@@H+](C)CCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001594806161 981912502 /nfs/dbraw/zinc/91/25/02/981912502.db2.gz VLSLSFPGMKQHEG-WDEREUQCSA-N 0 2 313.398 0.060 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)Cc1cc[nH]n1 ZINC001449950104 1014806849 /nfs/dbraw/zinc/80/68/49/1014806849.db2.gz OHRPXKRRTBBOEQ-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)Cc1cc[nH]n1 ZINC001449950104 1014806859 /nfs/dbraw/zinc/80/68/59/1014806859.db2.gz OHRPXKRRTBBOEQ-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](C(=O)[O-])C1CCOCC1 ZINC001574220408 983196929 /nfs/dbraw/zinc/19/69/29/983196929.db2.gz CRBKMYGRLCERMO-WZRBSPASSA-N 0 2 307.350 0.520 20 0 DCADLN CON(C)c1nnc(-c2n[nH]c(Cl)n2)n1C[C@H]1CCOC1 ZINC001516302940 1017144562 /nfs/dbraw/zinc/14/45/62/1017144562.db2.gz YYJNGEQHLDINSI-SSDOTTSWSA-N 0 2 313.749 0.751 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C(C)C)no1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001596339086 983783267 /nfs/dbraw/zinc/78/32/67/983783267.db2.gz DLVAAWQHIXPJQY-WDEREUQCSA-N 0 2 324.381 0.852 20 0 DCADLN CC[N@H+](CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O)[C@@H]1CCOC1 ZINC001596350594 983803649 /nfs/dbraw/zinc/80/36/49/983803649.db2.gz GVQIYELJGWSADO-YGRLFVJLSA-N 0 2 313.354 0.230 20 0 DCADLN CC[N@@H+](CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O)[C@@H]1CCOC1 ZINC001596350594 983803653 /nfs/dbraw/zinc/80/36/53/983803653.db2.gz GVQIYELJGWSADO-YGRLFVJLSA-N 0 2 313.354 0.230 20 0 DCADLN CC[N@H+](Cc1cc(C(=O)[O-])n(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001596356159 983822978 /nfs/dbraw/zinc/82/29/78/983822978.db2.gz HQUCEQGSHGDGLR-LLVKDONJSA-N 0 2 300.380 0.732 20 0 DCADLN CC[N@@H+](Cc1cc(C(=O)[O-])n(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001596356159 983822986 /nfs/dbraw/zinc/82/29/86/983822986.db2.gz HQUCEQGSHGDGLR-LLVKDONJSA-N 0 2 300.380 0.732 20 0 DCADLN CC[N@H+](Cc1cc(C(=O)[O-])n(C)c1)[C@H](C)CS(C)(=O)=O ZINC001596356464 983824298 /nfs/dbraw/zinc/82/42/98/983824298.db2.gz OKLIAVKZCHTWFL-SNVBAGLBSA-N 0 2 302.396 0.978 20 0 DCADLN CC[N@@H+](Cc1cc(C(=O)[O-])n(C)c1)[C@H](C)CS(C)(=O)=O ZINC001596356464 983824306 /nfs/dbraw/zinc/82/43/06/983824306.db2.gz OKLIAVKZCHTWFL-SNVBAGLBSA-N 0 2 302.396 0.978 20 0 DCADLN CNC(=O)[C@@]12CN(c3[nH+]cccc3C(=O)[O-])C[C@@H]1COCC2 ZINC001551411411 1015047263 /nfs/dbraw/zinc/04/72/63/1015047263.db2.gz TVJBDRMKQBJBMR-BMIGLBTASA-N 0 2 305.334 0.369 20 0 DCADLN COCCN(CCNC(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001383292234 984755507 /nfs/dbraw/zinc/75/55/07/984755507.db2.gz SXLTXRLNOHZPQW-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN COCCN(CCNC(=O)C1CC1)C(=O)[C@H](F)C(F)(F)F ZINC001383292234 984755512 /nfs/dbraw/zinc/75/55/12/984755512.db2.gz SXLTXRLNOHZPQW-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NC1CN(C(=O)C2(CF)CC2)C1)C(F)C(F)(F)F ZINC001450382608 1015104830 /nfs/dbraw/zinc/10/48/30/1015104830.db2.gz VTHLUSZNHMORHJ-ZETCQYMHSA-N 0 2 300.227 0.964 20 0 DCADLN O=C(NC1CN(C(=O)C2(CF)CC2)C1)[C@H](F)C(F)(F)F ZINC001450382608 1015104840 /nfs/dbraw/zinc/10/48/40/1015104840.db2.gz VTHLUSZNHMORHJ-ZETCQYMHSA-N 0 2 300.227 0.964 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)C[C@H](C)O1 ZINC001599929762 985289839 /nfs/dbraw/zinc/28/98/39/985289839.db2.gz MNVYLHZWGPBWHK-XQHKEYJVSA-N 0 2 314.382 0.186 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)C[C@H](C)O1 ZINC001599929762 985289831 /nfs/dbraw/zinc/28/98/31/985289831.db2.gz MNVYLHZWGPBWHK-XQHKEYJVSA-N 0 2 314.382 0.186 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1nc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001384380602 985539025 /nfs/dbraw/zinc/53/90/25/985539025.db2.gz XMNWAFRIGYXOOE-RNFRBKRXSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1nc[nH]n1)C(=O)[C@@H](F)C(F)(F)F ZINC001384380602 985539032 /nfs/dbraw/zinc/53/90/32/985539032.db2.gz XMNWAFRIGYXOOE-RNFRBKRXSA-N 0 2 323.250 0.378 20 0 DCADLN C[C@H]1CN(C(=O)c2csc(CN)n2)CC[N@@H+]1CCC(=O)[O-] ZINC001594495877 985636550 /nfs/dbraw/zinc/63/65/50/985636550.db2.gz FTFQRCAMAKPQGB-VIFPVBQESA-N 0 2 312.395 0.223 20 0 DCADLN C[C@H]1CN(C(=O)c2csc(CN)n2)CC[N@H+]1CCC(=O)[O-] ZINC001594495877 985636554 /nfs/dbraw/zinc/63/65/54/985636554.db2.gz FTFQRCAMAKPQGB-VIFPVBQESA-N 0 2 312.395 0.223 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@H]2CCC(=O)N2C)n[nH]1 ZINC001551660586 1015166968 /nfs/dbraw/zinc/16/69/68/1015166968.db2.gz ZGZDMJOHGTWXNP-WOPDTQHZSA-N 0 2 321.381 0.065 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)Cc2cnn(C)c2)n[nH]1 ZINC001551666852 1015170224 /nfs/dbraw/zinc/17/02/24/1015170224.db2.gz OKNHGQSHZVPPEW-QWHCGFSZSA-N 0 2 318.381 0.420 20 0 DCADLN C[C@@H]1CN(CCC(=O)[O-])CC[N@@H+]1CC1CCS(=O)(=O)CC1 ZINC001594521337 985990194 /nfs/dbraw/zinc/99/01/94/985990194.db2.gz DTENTDJINUCYAO-GFCCVEGCSA-N 0 2 318.439 0.292 20 0 DCADLN C[C@@H]1CN(CCC(=O)[O-])CC[N@H+]1CC1CCS(=O)(=O)CC1 ZINC001594521337 985990207 /nfs/dbraw/zinc/99/02/07/985990207.db2.gz DTENTDJINUCYAO-GFCCVEGCSA-N 0 2 318.439 0.292 20 0 DCADLN CCOCCOCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001596934481 986037983 /nfs/dbraw/zinc/03/79/83/986037983.db2.gz MIAOEQUMJZFEHD-RYUDHWBXSA-N 0 2 303.355 0.378 20 0 DCADLN CCOCCOCC[N@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001596934481 986037986 /nfs/dbraw/zinc/03/79/86/986037986.db2.gz MIAOEQUMJZFEHD-RYUDHWBXSA-N 0 2 303.355 0.378 20 0 DCADLN CC(=O)c1ccc(NC(=O)C[N@@H+]2CC[C@@](O)(C(=O)[O-])C2)cc1 ZINC001589299582 986052788 /nfs/dbraw/zinc/05/27/88/986052788.db2.gz LCQPWMHABVSTAN-HNNXBMFYSA-N 0 2 306.318 0.349 20 0 DCADLN CC(=O)c1ccc(NC(=O)C[N@H+]2CC[C@@](O)(C(=O)[O-])C2)cc1 ZINC001589299582 986052800 /nfs/dbraw/zinc/05/28/00/986052800.db2.gz LCQPWMHABVSTAN-HNNXBMFYSA-N 0 2 306.318 0.349 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)[C@@H](C)CO1 ZINC001594526655 986059108 /nfs/dbraw/zinc/05/91/08/986059108.db2.gz BLOJRIHNKGBYLC-LOWDOPEQSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)[C@@H](C)CO1 ZINC001594526655 986059117 /nfs/dbraw/zinc/05/91/17/986059117.db2.gz BLOJRIHNKGBYLC-LOWDOPEQSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccoc2CC(=O)[O-])[C@H](C)CO1 ZINC001594526724 986061328 /nfs/dbraw/zinc/06/13/28/986061328.db2.gz COQJJDJVLSHQBX-GHMZBOCLSA-N 0 2 310.350 0.746 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccoc2CC(=O)[O-])[C@H](C)CO1 ZINC001594526724 986061336 /nfs/dbraw/zinc/06/13/36/986061336.db2.gz COQJJDJVLSHQBX-GHMZBOCLSA-N 0 2 310.350 0.746 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCO[C@H](C)C2)C[C@H]1CC(=O)[O-] ZINC001594526821 986061872 /nfs/dbraw/zinc/06/18/72/986061872.db2.gz DRRDUFPJUPIROG-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCO[C@H](C)C2)C[C@H]1CC(=O)[O-] ZINC001594526821 986061882 /nfs/dbraw/zinc/06/18/82/986061882.db2.gz DRRDUFPJUPIROG-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN CCOCCn1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001596945905 986089832 /nfs/dbraw/zinc/08/98/32/986089832.db2.gz UAFXZTAECVRNDC-GFCCVEGCSA-N 0 2 321.337 0.068 20 0 DCADLN CCOCCn1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001596945905 986089848 /nfs/dbraw/zinc/08/98/48/986089848.db2.gz UAFXZTAECVRNDC-GFCCVEGCSA-N 0 2 321.337 0.068 20 0 DCADLN Cc1c(C(=O)N2CC[NH+](CC(=O)[O-])CC2)[nH]c2c1C(=O)CCC2 ZINC001599976988 986107439 /nfs/dbraw/zinc/10/74/39/986107439.db2.gz RAKMUVCEZZTPLK-UHFFFAOYSA-N 0 2 319.361 0.685 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)c1cccc(CC(=O)[O-])c1 ZINC001594608283 986591206 /nfs/dbraw/zinc/59/12/06/986591206.db2.gz WSRLFLPCOGWWBY-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)c1cccc(CC(=O)[O-])c1 ZINC001594608283 986591215 /nfs/dbraw/zinc/59/12/15/986591215.db2.gz WSRLFLPCOGWWBY-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@@H+]1CCNC(=O)CC1 ZINC001589414254 986629048 /nfs/dbraw/zinc/62/90/48/986629048.db2.gz COWTVPMQLDAJHU-LLVKDONJSA-N 0 2 319.361 0.211 20 0 DCADLN C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+]1CCNC(=O)CC1 ZINC001589414254 986629051 /nfs/dbraw/zinc/62/90/51/986629051.db2.gz COWTVPMQLDAJHU-LLVKDONJSA-N 0 2 319.361 0.211 20 0 DCADLN Cc1cnn(CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)c1 ZINC001386189299 986932654 /nfs/dbraw/zinc/93/26/54/986932654.db2.gz LSEKQBYSFDKDDQ-WPRPVWTQSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1cnn(CC(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)c1 ZINC001386189299 986932663 /nfs/dbraw/zinc/93/26/63/986932663.db2.gz LSEKQBYSFDKDDQ-WPRPVWTQSA-N 0 2 324.278 0.713 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001386644131 987262431 /nfs/dbraw/zinc/26/24/31/987262431.db2.gz CNLISJHPRMKTJX-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001386644131 987262434 /nfs/dbraw/zinc/26/24/34/987262434.db2.gz CNLISJHPRMKTJX-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1cc[nH]c(=O)c1)NC(=O)C(F)C(F)(F)F ZINC001386724187 987341293 /nfs/dbraw/zinc/34/12/93/987341293.db2.gz UILGPJYVNIIHAS-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)c1cc[nH]c(=O)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001386724187 987341299 /nfs/dbraw/zinc/34/12/99/987341299.db2.gz UILGPJYVNIIHAS-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN COC[C@H](C)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001386826925 987422163 /nfs/dbraw/zinc/42/21/63/987422163.db2.gz GAGKNWRBHDQCIZ-JGVFFNPUSA-N 0 2 302.268 0.744 20 0 DCADLN COC[C@H](C)C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001386826925 987422166 /nfs/dbraw/zinc/42/21/66/987422166.db2.gz GAGKNWRBHDQCIZ-JGVFFNPUSA-N 0 2 302.268 0.744 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCc1cn[nH]c1 ZINC001386841083 987444128 /nfs/dbraw/zinc/44/41/28/987444128.db2.gz FDVALRRDZAQEKT-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CCc1cn[nH]c1 ZINC001386841083 987444131 /nfs/dbraw/zinc/44/41/31/987444131.db2.gz FDVALRRDZAQEKT-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN CCc1[nH]c(C(=O)N[C@@H](CO)c2c[nH+]cn2C)c(C)c1C(=O)[O-] ZINC001597364315 987533035 /nfs/dbraw/zinc/53/30/35/987533035.db2.gz SBZZBWXVTIBOAN-JTQLQIEISA-N 0 2 320.349 0.781 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001388092406 988032735 /nfs/dbraw/zinc/03/27/35/988032735.db2.gz VEZDSXNPOKJFTP-IYSWYEEDSA-N 0 2 311.239 0.330 20 0 DCADLN CO[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001388644118 988468940 /nfs/dbraw/zinc/46/89/40/988468940.db2.gz FBLJGYJEIWGKIU-JQWIXIFHSA-N 0 2 311.386 0.214 20 0 DCADLN CCC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC001389499636 989336844 /nfs/dbraw/zinc/33/68/44/989336844.db2.gz IZXVNJMOGBRDAI-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC[NH+]2Cc3ccccc3C2)O[C@H]1C(=O)[O-] ZINC001599874630 989402755 /nfs/dbraw/zinc/40/27/55/989402755.db2.gz CZPMBWIQAMIFJS-KCPJHIHWSA-N 0 2 318.373 0.997 20 0 DCADLN CCn1c(C[NH+]2CCCCC2)nnc1N1CCO[C@H](C(=O)[O-])C1 ZINC001597850948 989595213 /nfs/dbraw/zinc/59/52/13/989595213.db2.gz WUYPDABTBOLVHA-LBPRGKRZSA-N 0 2 323.397 0.574 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2CCCO2)CC1)C(F)C(F)(F)F ZINC001389869619 989630232 /nfs/dbraw/zinc/63/02/32/989630232.db2.gz NZVIVCQZUFQHGI-HTQZYQBOSA-N 0 2 312.263 0.831 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2CCCO2)CC1)[C@@H](F)C(F)(F)F ZINC001389869619 989630245 /nfs/dbraw/zinc/63/02/45/989630245.db2.gz NZVIVCQZUFQHGI-HTQZYQBOSA-N 0 2 312.263 0.831 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cocn2)C1 ZINC001390072125 989796299 /nfs/dbraw/zinc/79/62/99/989796299.db2.gz JFOJPVOVERAGNK-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cocn2)C1 ZINC001390072125 989796303 /nfs/dbraw/zinc/79/63/03/989796303.db2.gz JFOJPVOVERAGNK-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN CCn1nc(C)c(C[NH2+]C/C=C\CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001597938299 989911243 /nfs/dbraw/zinc/91/12/43/989911243.db2.gz PBCVLSMRQQNUJL-XLMCQVRKSA-N 0 2 321.381 0.089 20 0 DCADLN CC[C@H](CNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001390370063 990034786 /nfs/dbraw/zinc/03/47/86/990034786.db2.gz JCCKAVLACIGWHB-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)c1cn(C)cn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001390370063 990034793 /nfs/dbraw/zinc/03/47/93/990034793.db2.gz JCCKAVLACIGWHB-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC(C)(C)c1nnc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001590566365 990207576 /nfs/dbraw/zinc/20/75/76/990207576.db2.gz ZXDUVXXJACDNIN-QMMMGPOBSA-N 0 2 323.378 0.984 20 0 DCADLN CC(C)(C)c1nnc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001590566365 990207586 /nfs/dbraw/zinc/20/75/86/990207586.db2.gz ZXDUVXXJACDNIN-QMMMGPOBSA-N 0 2 323.378 0.984 20 0 DCADLN CN(C(=O)[C@H]1CC[C@@H](C(=O)[O-])O1)C(C)(C)C[NH+]1CCOCC1 ZINC001598072503 990370714 /nfs/dbraw/zinc/37/07/14/990370714.db2.gz NUFOSFVXPVMHJK-NEPJUHHUSA-N 0 2 314.382 0.188 20 0 DCADLN CC[N@H+](CCNC(=O)c1c(C)nsc1C)Cc1n[nH]c(=O)[n-]1 ZINC001391023604 990524516 /nfs/dbraw/zinc/52/45/16/990524516.db2.gz RZAIKMZZFNMAOD-UHFFFAOYSA-N 0 2 324.410 0.836 20 0 DCADLN CC[N@@H+](CCNC(=O)c1c(C)nsc1C)Cc1n[nH]c(=O)[n-]1 ZINC001391023604 990524524 /nfs/dbraw/zinc/52/45/24/990524524.db2.gz RZAIKMZZFNMAOD-UHFFFAOYSA-N 0 2 324.410 0.836 20 0 DCADLN CSC[C@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391044138 990536207 /nfs/dbraw/zinc/53/62/07/990536207.db2.gz MKWZPSJMJPZJAM-UWVGGRQHSA-N 0 2 313.427 0.590 20 0 DCADLN CSC[C@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391044138 990536209 /nfs/dbraw/zinc/53/62/09/990536209.db2.gz MKWZPSJMJPZJAM-UWVGGRQHSA-N 0 2 313.427 0.590 20 0 DCADLN CN(C)C(=O)C[N@H+]1CCCN(C(=O)c2cc(C(=O)[O-])co2)CC1 ZINC001598127669 990562372 /nfs/dbraw/zinc/56/23/72/990562372.db2.gz MOOURPFYBRLSSV-UHFFFAOYSA-N 0 2 323.349 0.214 20 0 DCADLN CN(C)C(=O)C[N@@H+]1CCCN(C(=O)c2cc(C(=O)[O-])co2)CC1 ZINC001598127669 990562370 /nfs/dbraw/zinc/56/23/70/990562370.db2.gz MOOURPFYBRLSSV-UHFFFAOYSA-N 0 2 323.349 0.214 20 0 DCADLN CC(C)(CS(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC001590789547 990615167 /nfs/dbraw/zinc/61/51/67/990615167.db2.gz ZHIFKTKIPUQYGK-LLVKDONJSA-N 0 2 315.395 0.961 20 0 DCADLN Cc1cnc(C[NH2+]C[C@](C)(NC(=O)c2nnc[nH]2)C2CC2)nc1 ZINC001391797689 991190809 /nfs/dbraw/zinc/19/08/09/991190809.db2.gz NRZJLXGQMNIFIE-HNNXBMFYSA-N 0 2 315.381 0.591 20 0 DCADLN Cc1conc1C[NH2+]C[C@@H](C)CNC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001391879173 991250283 /nfs/dbraw/zinc/25/02/83/991250283.db2.gz AKTGCFKZMCVCFY-RISCZKNCSA-N 0 2 310.398 0.156 20 0 DCADLN C[N@H+](CC(=O)NC(=O)NC1CC1)Cc1ccc(C(=O)[O-])cn1 ZINC001598384788 991596273 /nfs/dbraw/zinc/59/62/73/991596273.db2.gz GSKLYPXTWCMHBE-UHFFFAOYSA-N 0 2 306.322 0.200 20 0 DCADLN C[N@@H+](CC(=O)NC(=O)NC1CC1)Cc1ccc(C(=O)[O-])cn1 ZINC001598384788 991596284 /nfs/dbraw/zinc/59/62/84/991596284.db2.gz GSKLYPXTWCMHBE-UHFFFAOYSA-N 0 2 306.322 0.200 20 0 DCADLN C[N@H+](CC(=O)Nc1cccc(C(=O)[O-])n1)C1(C(N)=O)CCCC1 ZINC001598400519 991693697 /nfs/dbraw/zinc/69/36/97/991693697.db2.gz MKEWDCVZTHIZPX-UHFFFAOYSA-N 0 2 320.349 0.448 20 0 DCADLN C[N@@H+](CC(=O)Nc1cccc(C(=O)[O-])n1)C1(C(N)=O)CCCC1 ZINC001598400519 991693702 /nfs/dbraw/zinc/69/37/02/991693702.db2.gz MKEWDCVZTHIZPX-UHFFFAOYSA-N 0 2 320.349 0.448 20 0 DCADLN CN(CCN(C)c1ncc(C(=O)[O-])cn1)C(=O)Cc1c[nH+]c[nH]1 ZINC001598487212 992220712 /nfs/dbraw/zinc/22/07/12/992220712.db2.gz FIDLVJDYUSCJCJ-UHFFFAOYSA-N 0 2 318.337 0.035 20 0 DCADLN CC1(CCC(=O)NC[C@]2(O)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001393273180 992820597 /nfs/dbraw/zinc/82/05/97/992820597.db2.gz VPMNCFOZHWOUIU-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN CC1(CCC(=O)NC[C@]2(O)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001393273180 992820609 /nfs/dbraw/zinc/82/06/09/992820609.db2.gz VPMNCFOZHWOUIU-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN CN(CCC[NH+](C)Cc1cnn(C)n1)C(=O)[C@H]1CCC[N@@H+]1C ZINC001393613407 993125365 /nfs/dbraw/zinc/12/53/65/993125365.db2.gz NSSYTDTYYCTANA-CQSZACIVSA-N 0 2 308.430 0.190 20 0 DCADLN COC[C@@H](C)C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001393676467 993172135 /nfs/dbraw/zinc/17/21/35/993172135.db2.gz DIMYZEYOPTZOCE-SFYZADRCSA-N 0 2 314.279 0.934 20 0 DCADLN COC[C@@H](C)C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001393676467 993172139 /nfs/dbraw/zinc/17/21/39/993172139.db2.gz DIMYZEYOPTZOCE-SFYZADRCSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1cc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)[nH]n1 ZINC001393676673 993172259 /nfs/dbraw/zinc/17/22/59/993172259.db2.gz DXVPQJUXKVDSQJ-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1cc(C(=O)NC2(CNC(=O)[C@H](F)C(F)(F)F)CC2)[nH]n1 ZINC001393676673 993172264 /nfs/dbraw/zinc/17/22/64/993172264.db2.gz DXVPQJUXKVDSQJ-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN CCCn1nccc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394070159 993454177 /nfs/dbraw/zinc/45/41/77/993454177.db2.gz QBRNOBYWCQTHPL-JTQLQIEISA-N 0 2 321.385 0.367 20 0 DCADLN CCCn1nccc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394070159 993454182 /nfs/dbraw/zinc/45/41/82/993454182.db2.gz QBRNOBYWCQTHPL-JTQLQIEISA-N 0 2 321.385 0.367 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)CCC(F)F ZINC001394279876 993588894 /nfs/dbraw/zinc/58/88/94/993588894.db2.gz CTXNWDKFQNHMEZ-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)CCC(F)F ZINC001394279876 993588903 /nfs/dbraw/zinc/58/89/03/993588903.db2.gz CTXNWDKFQNHMEZ-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)(C)CC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001399785199 993770757 /nfs/dbraw/zinc/77/07/57/993770757.db2.gz NEDSTQRQYXBAEX-VXNVDRBHSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001399785199 993770769 /nfs/dbraw/zinc/77/07/69/993770769.db2.gz NEDSTQRQYXBAEX-VXNVDRBHSA-N 0 2 316.295 0.916 20 0 DCADLN CC[N@H+](CCNC(=O)[C@]1(C)CCCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001399804854 993793119 /nfs/dbraw/zinc/79/31/19/993793119.db2.gz WZIJHNXOJSITFC-CQSZACIVSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@]1(C)CCCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001399804854 993793127 /nfs/dbraw/zinc/79/31/27/993793127.db2.gz WZIJHNXOJSITFC-CQSZACIVSA-N 0 2 311.386 0.265 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001399816248 993807281 /nfs/dbraw/zinc/80/72/81/993807281.db2.gz AZYCSXSTGXOWTA-WDEREUQCSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001399816248 993807285 /nfs/dbraw/zinc/80/72/85/993807285.db2.gz AZYCSXSTGXOWTA-WDEREUQCSA-N 0 2 319.369 0.049 20 0 DCADLN O=C(Cc1cccnc1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399828335 993821465 /nfs/dbraw/zinc/82/14/65/993821465.db2.gz JPDULJNNYRDJAK-GFCCVEGCSA-N 0 2 316.365 0.229 20 0 DCADLN O=C(Cc1cccnc1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399828335 993821469 /nfs/dbraw/zinc/82/14/69/993821469.db2.gz JPDULJNNYRDJAK-GFCCVEGCSA-N 0 2 316.365 0.229 20 0 DCADLN Cc1nc(CN[C@H](CO)CNC(=O)C(F)C(F)(F)F)co1 ZINC001394589091 993877379 /nfs/dbraw/zinc/87/73/79/993877379.db2.gz KPKNUPZLELGGOV-IONNQARKSA-N 0 2 313.251 0.450 20 0 DCADLN C[C@@H](NC(=O)c1ccc(C(=O)[O-])n1C)[C@H](C)[NH+]1CCOCC1 ZINC001593159579 993986569 /nfs/dbraw/zinc/98/65/69/993986569.db2.gz IJPOXCXDGLFGGS-MNOVXSKESA-N 0 2 309.366 0.562 20 0 DCADLN C[C@@H]([NH2+]CC(=O)Nc1ccccc1C(=O)[O-])c1nncn1C ZINC001593236799 994409510 /nfs/dbraw/zinc/40/95/10/994409510.db2.gz JMWMVVAQDGKFMP-SECBINFHSA-N 0 2 303.322 0.803 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492838888 994609579 /nfs/dbraw/zinc/60/95/79/994609579.db2.gz ABGZMTMNXBZTNC-NSHDSACASA-N 0 2 319.365 0.526 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492838888 994609583 /nfs/dbraw/zinc/60/95/83/994609583.db2.gz ABGZMTMNXBZTNC-NSHDSACASA-N 0 2 319.365 0.526 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cn[nH]n1 ZINC001400616075 994709539 /nfs/dbraw/zinc/70/95/39/994709539.db2.gz OCZFZDKMIZWCEW-ZCFIWIBFSA-N 0 2 309.223 0.084 20 0 DCADLN COC(=O)[C@@H]([NH2+]C[C@@H]1CC(C(=O)[O-])=C(C)O1)C1CCOCC1 ZINC001598709357 995328391 /nfs/dbraw/zinc/32/83/91/995328391.db2.gz VBZBHFLZFIIZHK-AAEUAGOBSA-N 0 2 313.350 0.692 20 0 DCADLN CCOCC(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001417034831 995740726 /nfs/dbraw/zinc/74/07/26/995740726.db2.gz FROGTHLYQBCPFH-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001417034831 995740730 /nfs/dbraw/zinc/74/07/30/995740730.db2.gz FROGTHLYQBCPFH-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001417034828 995741125 /nfs/dbraw/zinc/74/11/25/995741125.db2.gz FROGTHLYQBCPFH-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001417034828 995741131 /nfs/dbraw/zinc/74/11/31/995741131.db2.gz FROGTHLYQBCPFH-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC001397175984 995843764 /nfs/dbraw/zinc/84/37/64/995843764.db2.gz JTYGCRKIYWCOQY-ZXFLCMHBSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cnccn1 ZINC001397175984 995843770 /nfs/dbraw/zinc/84/37/70/995843770.db2.gz JTYGCRKIYWCOQY-ZXFLCMHBSA-N 0 2 320.246 0.754 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])N(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001598777002 995940926 /nfs/dbraw/zinc/94/09/26/995940926.db2.gz XVQLSPBFXUHDBP-XNDJQWLSSA-N 0 2 321.333 0.378 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])N(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001598777002 995940932 /nfs/dbraw/zinc/94/09/32/995940932.db2.gz XVQLSPBFXUHDBP-XNDJQWLSSA-N 0 2 321.333 0.378 20 0 DCADLN C[C@H](CCNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001397766652 996164405 /nfs/dbraw/zinc/16/44/05/996164405.db2.gz RTTHOGGOEADBOE-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@H](CCNC(=O)Cn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001397766652 996164407 /nfs/dbraw/zinc/16/44/07/996164407.db2.gz RTTHOGGOEADBOE-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN CO[C@@H](C)CC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001397885944 996231354 /nfs/dbraw/zinc/23/13/54/996231354.db2.gz OMALNZPGYWWGOU-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@@H](C)CC(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001397885944 996231361 /nfs/dbraw/zinc/23/13/61/996231361.db2.gz OMALNZPGYWWGOU-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)COCC1CC1 ZINC001397944253 996271545 /nfs/dbraw/zinc/27/15/45/996271545.db2.gz XNWSDNGIJSPLMC-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)COCC1CC1 ZINC001397944253 996271550 /nfs/dbraw/zinc/27/15/50/996271550.db2.gz XNWSDNGIJSPLMC-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@H](c1nnnn1C1CCCCC1)[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001593736799 996330465 /nfs/dbraw/zinc/33/04/65/996330465.db2.gz ASHBBAYTUKJKGW-HZMBPMFUSA-N 0 2 309.370 0.761 20 0 DCADLN C[C@@H](c1nnnn1C1CCCCC1)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001593736799 996330470 /nfs/dbraw/zinc/33/04/70/996330470.db2.gz ASHBBAYTUKJKGW-HZMBPMFUSA-N 0 2 309.370 0.761 20 0 DCADLN C[C@]1(Br)C[C@@H]1C[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001593740344 996357000 /nfs/dbraw/zinc/35/70/00/996357000.db2.gz RIPNXJWXOYVJRG-XLDPMVHQSA-N 0 2 305.172 0.435 20 0 DCADLN C[C@]1(Br)C[C@@H]1C[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001593740344 996357007 /nfs/dbraw/zinc/35/70/07/996357007.db2.gz RIPNXJWXOYVJRG-XLDPMVHQSA-N 0 2 305.172 0.435 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001398097671 996363597 /nfs/dbraw/zinc/36/35/97/996363597.db2.gz JJDFMJPCQDTSOV-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001398097671 996363594 /nfs/dbraw/zinc/36/35/94/996363594.db2.gz JJDFMJPCQDTSOV-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN CC1(C)C(=O)N(C[N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1(C)C ZINC001593823073 996564140 /nfs/dbraw/zinc/56/41/40/996564140.db2.gz VMLVQNPRGWBGEI-HNNXBMFYSA-N 0 2 309.366 0.896 20 0 DCADLN CC1(C)C(=O)N(C[N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1(C)C ZINC001593823073 996564145 /nfs/dbraw/zinc/56/41/45/996564145.db2.gz VMLVQNPRGWBGEI-HNNXBMFYSA-N 0 2 309.366 0.896 20 0 DCADLN CCc1noc([C@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001398916507 996747013 /nfs/dbraw/zinc/74/70/13/996747013.db2.gz HJVGLCRXVDKKCW-APPZFPTMSA-N 0 2 322.369 0.838 20 0 DCADLN COc1ccc(C(=O)NC(=O)Cn2cc(C(=O)[O-])[nH+]c2C)cc1 ZINC001599481836 996784198 /nfs/dbraw/zinc/78/41/98/996784198.db2.gz HOBIJGADUUVTPM-UHFFFAOYSA-N 0 2 317.301 0.855 20 0 DCADLN COC[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1cc(C(=O)[O-])ccc1C ZINC001599276618 996814346 /nfs/dbraw/zinc/81/43/46/996814346.db2.gz BXJLOJZXKXIJSN-QWHCGFSZSA-N 0 2 322.361 0.713 20 0 DCADLN COC[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1cc(C(=O)[O-])ccc1C ZINC001599276618 996814357 /nfs/dbraw/zinc/81/43/57/996814357.db2.gz BXJLOJZXKXIJSN-QWHCGFSZSA-N 0 2 322.361 0.713 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001593960710 997080118 /nfs/dbraw/zinc/08/01/18/997080118.db2.gz AFOMLJANCYBQBZ-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001593960710 997080119 /nfs/dbraw/zinc/08/01/19/997080119.db2.gz AFOMLJANCYBQBZ-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN COCC1(C(=O)N(C)[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001404438051 997110728 /nfs/dbraw/zinc/11/07/28/997110728.db2.gz LBSDUWLPXILPHB-LLVKDONJSA-N 0 2 322.409 0.650 20 0 DCADLN O=C(CC1(C(F)(F)F)CC1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404771874 997449453 /nfs/dbraw/zinc/44/94/53/997449453.db2.gz NNRDGINMWXSPKX-UHFFFAOYSA-N 0 2 319.287 0.543 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001503043597 1016149966 /nfs/dbraw/zinc/14/99/66/1016149966.db2.gz HJPRUSNVNRHZNT-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001503043597 1016149970 /nfs/dbraw/zinc/14/99/70/1016149970.db2.gz HJPRUSNVNRHZNT-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN COCCCn1nccc1C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001599228920 997810213 /nfs/dbraw/zinc/81/02/13/997810213.db2.gz HPAVPHCWGNADAJ-ZDUSSCGKSA-N 0 2 324.381 0.085 20 0 DCADLN COCCCn1nccc1C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001599228920 997810224 /nfs/dbraw/zinc/81/02/24/997810224.db2.gz HPAVPHCWGNADAJ-ZDUSSCGKSA-N 0 2 324.381 0.085 20 0 DCADLN C[C@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@@H]1CCOC1 ZINC001467534235 1016180407 /nfs/dbraw/zinc/18/04/07/1016180407.db2.gz WEZDFGSTCIAKOO-GXSJLCMTSA-N 0 2 318.333 0.421 20 0 DCADLN CC1(CC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001405287096 998063567 /nfs/dbraw/zinc/06/35/67/998063567.db2.gz IACCEMTXWVDWOH-CBAPKCEASA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001405287096 998063580 /nfs/dbraw/zinc/06/35/80/998063580.db2.gz IACCEMTXWVDWOH-CBAPKCEASA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NCCCn1cccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001467837516 1016202453 /nfs/dbraw/zinc/20/24/53/1016202453.db2.gz SNWIUYHHTJJYEC-LLVKDONJSA-N 0 2 319.369 0.686 20 0 DCADLN C[C@H]1C(=O)N(C)CC[N@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594125010 998298130 /nfs/dbraw/zinc/29/81/30/998298130.db2.gz GKBIOKXPGUFYNY-AAEUAGOBSA-N 0 2 322.361 0.287 20 0 DCADLN C[C@H]1C(=O)N(C)CC[N@@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594125010 998298140 /nfs/dbraw/zinc/29/81/40/998298140.db2.gz GKBIOKXPGUFYNY-AAEUAGOBSA-N 0 2 322.361 0.287 20 0 DCADLN CC(=O)CCCC(=O)N1CCC[C@H]1CN(C)Cc1n[nH]c(=O)[nH]1 ZINC001505421244 1016226564 /nfs/dbraw/zinc/22/65/64/1016226564.db2.gz SIQDUROZXRDSBL-LBPRGKRZSA-N 0 2 323.397 0.692 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)CCCF ZINC001511592252 1016366480 /nfs/dbraw/zinc/36/64/80/1016366480.db2.gz NMEOGCWSMQOAKI-APPZFPTMSA-N 0 2 320.258 0.572 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)CCCF ZINC001511592252 1016366490 /nfs/dbraw/zinc/36/64/90/1016366490.db2.gz NMEOGCWSMQOAKI-APPZFPTMSA-N 0 2 320.258 0.572 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NC[C@@H]2CC[NH+](Cc3nncs3)C2)C1 ZINC001418674429 1000441871 /nfs/dbraw/zinc/44/18/71/1000441871.db2.gz QSSIYRQYZXOUNV-STQMWFEESA-N 0 2 323.466 0.818 20 0 DCADLN O=C(C[C@H]1CCCOC1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418814844 1000542900 /nfs/dbraw/zinc/54/29/00/1000542900.db2.gz SIVWVRFCQMCEOB-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@H]1CCCOC1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418814844 1000542902 /nfs/dbraw/zinc/54/29/02/1000542902.db2.gz SIVWVRFCQMCEOB-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001401614203 1000551538 /nfs/dbraw/zinc/55/15/38/1000551538.db2.gz NGINEFNZSDCLPQ-HTRCEHHLSA-N 0 2 308.342 0.584 20 0 DCADLN CCc1noc([C@@H](C)N(C)C[C@@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001401752290 1000688193 /nfs/dbraw/zinc/68/81/93/1000688193.db2.gz JWONLADUSMEPGG-RKDXNWHRSA-N 0 2 307.358 0.561 20 0 DCADLN C[C@@H](CN(C)Cc1cn(C)nn1)NC(=O)C(F)C(F)(F)F ZINC001401759370 1000697115 /nfs/dbraw/zinc/69/71/15/1000697115.db2.gz VFAMPYXXHSPWHK-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CN(C)Cc1cn(C)nn1)NC(=O)[C@H](F)C(F)(F)F ZINC001401759370 1000697123 /nfs/dbraw/zinc/69/71/23/1000697123.db2.gz VFAMPYXXHSPWHK-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN CN(C(=O)CC[C@@H]1CCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419104093 1000774694 /nfs/dbraw/zinc/77/46/94/1000774694.db2.gz JVPAPRZOZKIWIX-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)CC[C@@H]1CCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419104093 1000774698 /nfs/dbraw/zinc/77/46/98/1000774698.db2.gz JVPAPRZOZKIWIX-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2cnn(C)n2)C1 ZINC001419106054 1000777404 /nfs/dbraw/zinc/77/74/04/1000777404.db2.gz HYWRWRPTLQLSDT-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(Cc2cnn(C)n2)C1 ZINC001419106054 1000777410 /nfs/dbraw/zinc/77/74/10/1000777410.db2.gz HYWRWRPTLQLSDT-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN Cn1ncc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001401897275 1000831871 /nfs/dbraw/zinc/83/18/71/1000831871.db2.gz WIMXTNYRIQPVSO-RKDXNWHRSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncc(CN2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001401897275 1000831873 /nfs/dbraw/zinc/83/18/73/1000831873.db2.gz WIMXTNYRIQPVSO-RKDXNWHRSA-N 0 2 309.267 0.406 20 0 DCADLN CN1CCC[C@@H]([NH2+]C2(CNC(=O)CCn3cc[nH+]c3)CC2)C1=O ZINC001401955095 1000899937 /nfs/dbraw/zinc/89/99/37/1000899937.db2.gz DEPDUFDEGDPFGG-CYBMUJFWSA-N 0 2 319.409 0.132 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@H]1CCCN1C(=O)c1ccn[nH]1 ZINC001419432749 1001044612 /nfs/dbraw/zinc/04/46/12/1001044612.db2.gz JSJQCQGTFHMWMF-SECBINFHSA-N 0 2 304.310 0.682 20 0 DCADLN CC(C)c1nc(CCNC(=O)C[C@H]2SC(=N)NC2=O)n(C)n1 ZINC001419499171 1001098180 /nfs/dbraw/zinc/09/81/80/1001098180.db2.gz OUFFDZGQXHOLEF-MRVPVSSYSA-N 0 2 324.410 0.154 20 0 DCADLN CCO[C@@H](C)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001419621554 1001175416 /nfs/dbraw/zinc/17/54/16/1001175416.db2.gz IBICYILTTBUJAI-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC12CCOCC2 ZINC001420063416 1001795859 /nfs/dbraw/zinc/79/58/59/1001795859.db2.gz GEXDMOHHBKWZCJ-LLVKDONJSA-N 0 2 323.397 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC12CCOCC2 ZINC001420063416 1001795861 /nfs/dbraw/zinc/79/58/61/1001795861.db2.gz GEXDMOHHBKWZCJ-LLVKDONJSA-N 0 2 323.397 0.217 20 0 DCADLN Cc1cccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001424895664 1002125652 /nfs/dbraw/zinc/12/56/52/1002125652.db2.gz CBLUETYXYSTCHK-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001424895664 1002125655 /nfs/dbraw/zinc/12/56/55/1002125655.db2.gz CBLUETYXYSTCHK-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN CCc1cccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001424950025 1002177476 /nfs/dbraw/zinc/17/74/76/1002177476.db2.gz RMCHPODGXYQJBF-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001424950025 1002177481 /nfs/dbraw/zinc/17/74/81/1002177481.db2.gz RMCHPODGXYQJBF-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CC(C)c1ncsc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420303658 1002192663 /nfs/dbraw/zinc/19/26/63/1002192663.db2.gz JWRQPMKULCSLTM-UHFFFAOYSA-N 0 2 324.410 0.952 20 0 DCADLN CC(C)c1ncsc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420303658 1002192670 /nfs/dbraw/zinc/19/26/70/1002192670.db2.gz JWRQPMKULCSLTM-UHFFFAOYSA-N 0 2 324.410 0.952 20 0 DCADLN COc1cccc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420354546 1002269048 /nfs/dbraw/zinc/26/90/48/1002269048.db2.gz XYCKUHGNSCRFIZ-UHFFFAOYSA-N 0 2 319.365 0.310 20 0 DCADLN COc1cccc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420354546 1002269059 /nfs/dbraw/zinc/26/90/59/1002269059.db2.gz XYCKUHGNSCRFIZ-UHFFFAOYSA-N 0 2 319.365 0.310 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=O)c1CCC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001420414764 1002384554 /nfs/dbraw/zinc/38/45/54/1002384554.db2.gz IVLRWBBJAGRDER-QMMMGPOBSA-N 0 2 321.337 0.445 20 0 DCADLN CO[C@H]1CCn2cc(C(=O)N[C@H](C)c3nn(C)cc3O)nc2C1 ZINC001420441651 1002423463 /nfs/dbraw/zinc/42/34/63/1002423463.db2.gz RZGPCWABTGKLID-ZJUUUORDSA-N 0 2 319.365 0.774 20 0 DCADLN C[C@@H](CN(C)Cc1nnc(C2CC2)[nH]1)NC(=O)c1cnn[nH]1 ZINC001420599745 1002675569 /nfs/dbraw/zinc/67/55/69/1002675569.db2.gz XDLJPCLAOIVCPM-QMMMGPOBSA-N 0 2 304.358 0.051 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)Cc1ccco1 ZINC001420644931 1002735187 /nfs/dbraw/zinc/73/51/87/1002735187.db2.gz XHPBTYNTHWCYJG-GHMZBOCLSA-N 0 2 321.381 0.919 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)Cc1ccco1 ZINC001420644931 1002735196 /nfs/dbraw/zinc/73/51/96/1002735196.db2.gz XHPBTYNTHWCYJG-GHMZBOCLSA-N 0 2 321.381 0.919 20 0 DCADLN CCCNC(=O)C[NH+]1CCC[C@H]1CNC(=O)C[N@H+]1CC[C@H](C)C1 ZINC001404113344 1003023711 /nfs/dbraw/zinc/02/37/11/1003023711.db2.gz WDSQQTYPJXVMEJ-GJZGRUSLSA-N 0 2 324.469 0.435 20 0 DCADLN C[C@@H](CNC(=O)c1cnc(C2CC2)o1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505937812 1016740100 /nfs/dbraw/zinc/74/01/00/1016740100.db2.gz MNTRQTJBRGVASO-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CNC(=O)c1cnc(C2CC2)o1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505937812 1016740115 /nfs/dbraw/zinc/74/01/15/1016740115.db2.gz MNTRQTJBRGVASO-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN O=C(NC1CN(C[C@@H]2CCc3ncnn32)C1)C(F)C(F)(F)F ZINC001421031178 1003249379 /nfs/dbraw/zinc/24/93/79/1003249379.db2.gz PMAUIHOYOKSSNX-WCBMZHEXSA-N 0 2 321.278 0.466 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCCN1c1ncccn1)c1nn(C)cc1O ZINC001472237108 1016769555 /nfs/dbraw/zinc/76/95/55/1016769555.db2.gz QYSHVSMCJSYRFJ-GHMZBOCLSA-N 0 2 316.365 0.762 20 0 DCADLN CCN(C(=O)C[C@@H](C)OC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421326040 1003546224 /nfs/dbraw/zinc/54/62/24/1003546224.db2.gz LNABOSPECMZUDE-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CCN(C(=O)C[C@@H](C)OC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421326040 1003546234 /nfs/dbraw/zinc/54/62/34/1003546234.db2.gz LNABOSPECMZUDE-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccoc2)C[C@H]1O)C(F)C(F)(F)F ZINC001428800162 1004183366 /nfs/dbraw/zinc/18/33/66/1004183366.db2.gz ZGCHTJCCDGDJQN-IWSPIJDZSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccoc2)C[C@H]1O)[C@@H](F)C(F)(F)F ZINC001428800162 1004183371 /nfs/dbraw/zinc/18/33/71/1004183371.db2.gz ZGCHTJCCDGDJQN-IWSPIJDZSA-N 0 2 324.230 0.482 20 0 DCADLN COC[C@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001427233897 1004270368 /nfs/dbraw/zinc/27/03/68/1004270368.db2.gz RIUWGHIDYWXAQD-MNOVXSKESA-N 0 2 309.370 0.824 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001427456601 1004398339 /nfs/dbraw/zinc/39/83/39/1004398339.db2.gz KPVROUWQMKNVPJ-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnn(C)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001427456601 1004398341 /nfs/dbraw/zinc/39/83/41/1004398341.db2.gz KPVROUWQMKNVPJ-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN Cc1cc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC001427505343 1004430274 /nfs/dbraw/zinc/43/02/74/1004430274.db2.gz UFCYCKFCCCHEQO-JTQLQIEISA-N 0 2 319.369 0.641 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001427582152 1004473316 /nfs/dbraw/zinc/47/33/16/1004473316.db2.gz VFBSKXMIWIFBIX-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001427582152 1004473317 /nfs/dbraw/zinc/47/33/17/1004473317.db2.gz VFBSKXMIWIFBIX-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)COCC(F)F ZINC001429298071 1004515386 /nfs/dbraw/zinc/51/53/86/1004515386.db2.gz RJPAJUKBKFESCU-QMMMGPOBSA-N 0 2 324.221 0.743 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)COCC(F)F ZINC001429298071 1004515391 /nfs/dbraw/zinc/51/53/91/1004515391.db2.gz RJPAJUKBKFESCU-QMMMGPOBSA-N 0 2 324.221 0.743 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN([C@H]2CCCNC2=O)CC1 ZINC001472960531 1016892195 /nfs/dbraw/zinc/89/21/95/1016892195.db2.gz QFJQDOUSDINCQX-IUCAKERBSA-N 0 2 311.279 0.310 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCN([C@H]2CCCNC2=O)CC1 ZINC001472960531 1016892203 /nfs/dbraw/zinc/89/22/03/1016892203.db2.gz QFJQDOUSDINCQX-IUCAKERBSA-N 0 2 311.279 0.310 20 0 DCADLN CC1(C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001427822045 1004628894 /nfs/dbraw/zinc/62/88/94/1004628894.db2.gz FSZYDKWJZSMPSA-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)CCC1 ZINC001427822045 1004628897 /nfs/dbraw/zinc/62/88/97/1004628897.db2.gz FSZYDKWJZSMPSA-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001408107128 1005047391 /nfs/dbraw/zinc/04/73/91/1005047391.db2.gz SJVBITHAMKVVHJ-HZGVNTEJSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001408107128 1005047395 /nfs/dbraw/zinc/04/73/95/1005047395.db2.gz SJVBITHAMKVVHJ-HZGVNTEJSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)NC(=O)C(F)C(F)(F)F ZINC001408203642 1005106049 /nfs/dbraw/zinc/10/60/49/1005106049.db2.gz VBMHPOHVGZVNEC-XNCJUZBTSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001408203642 1005106051 /nfs/dbraw/zinc/10/60/51/1005106051.db2.gz VBMHPOHVGZVNEC-XNCJUZBTSA-N 0 2 324.234 0.317 20 0 DCADLN Cc1nnccc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001408250395 1005126219 /nfs/dbraw/zinc/12/62/19/1005126219.db2.gz DZZUCRAGVBHZHD-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nnccc1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001408250395 1005126225 /nfs/dbraw/zinc/12/62/25/1005126225.db2.gz DZZUCRAGVBHZHD-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN CC(=O)NCC(=O)NCC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001408438593 1005200234 /nfs/dbraw/zinc/20/02/34/1005200234.db2.gz JRIGZDFNUDUCRF-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NCC(=O)NCC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001408438593 1005200237 /nfs/dbraw/zinc/20/02/37/1005200237.db2.gz JRIGZDFNUDUCRF-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN CC(C)C(=O)c1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1 ZINC001414331718 1005289720 /nfs/dbraw/zinc/28/97/20/1005289720.db2.gz PFEPQGKLACSQJS-UHFFFAOYSA-N 0 2 309.351 0.850 20 0 DCADLN CC(=O)N1CCO[C@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001414422590 1005319476 /nfs/dbraw/zinc/31/94/76/1005319476.db2.gz FAMXFGWCBWMLQE-PSASIEDQSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)N1CCO[C@](C)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001414422590 1005319480 /nfs/dbraw/zinc/31/94/80/1005319480.db2.gz FAMXFGWCBWMLQE-PSASIEDQSA-N 0 2 300.252 0.640 20 0 DCADLN CCn1[n-]nnc1=NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC001414448787 1005328311 /nfs/dbraw/zinc/32/83/11/1005328311.db2.gz XPXZXORFEGCIKY-UHFFFAOYSA-N 0 2 305.342 0.457 20 0 DCADLN COCC(=O)NC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001414666625 1005380140 /nfs/dbraw/zinc/38/01/40/1005380140.db2.gz BGEIWIDBDSIJCW-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)NC1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001414666625 1005380143 /nfs/dbraw/zinc/38/01/43/1005380143.db2.gz BGEIWIDBDSIJCW-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)c1nccnc1N)C(=O)C(F)C(F)(F)F ZINC001415463092 1005534925 /nfs/dbraw/zinc/53/49/25/1005534925.db2.gz OMMSVPRIRPQWEA-ZETCQYMHSA-N 0 2 323.250 0.147 20 0 DCADLN CN(CCNC(=O)c1nccnc1N)C(=O)[C@H](F)C(F)(F)F ZINC001415463092 1005534928 /nfs/dbraw/zinc/53/49/28/1005534928.db2.gz OMMSVPRIRPQWEA-ZETCQYMHSA-N 0 2 323.250 0.147 20 0 DCADLN C[C@H](c1nncn1C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001415835725 1005620757 /nfs/dbraw/zinc/62/07/57/1005620757.db2.gz ISSASNGBYATINP-BDAKNGLRSA-N 0 2 323.294 0.921 20 0 DCADLN C[C@H](c1nncn1C)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001415835725 1005620758 /nfs/dbraw/zinc/62/07/58/1005620758.db2.gz ISSASNGBYATINP-BDAKNGLRSA-N 0 2 323.294 0.921 20 0 DCADLN O=C(CCc1cn[nH]c1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416002846 1005646485 /nfs/dbraw/zinc/64/64/85/1005646485.db2.gz SQUVRNJKIUUNKT-JTQLQIEISA-N 0 2 322.262 0.570 20 0 DCADLN O=C(CCc1cn[nH]c1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001416002846 1005646487 /nfs/dbraw/zinc/64/64/87/1005646487.db2.gz SQUVRNJKIUUNKT-JTQLQIEISA-N 0 2 322.262 0.570 20 0 DCADLN COCCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001416095971 1005660756 /nfs/dbraw/zinc/66/07/56/1005660756.db2.gz ZKMIDVFIZQHZCN-SECBINFHSA-N 0 2 300.252 0.498 20 0 DCADLN COCCC(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416095971 1005660757 /nfs/dbraw/zinc/66/07/57/1005660757.db2.gz ZKMIDVFIZQHZCN-SECBINFHSA-N 0 2 300.252 0.498 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001416716850 1005726742 /nfs/dbraw/zinc/72/67/42/1005726742.db2.gz FQJYXQXFDFKHBU-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccncc1 ZINC001416716850 1005726743 /nfs/dbraw/zinc/72/67/43/1005726743.db2.gz FQJYXQXFDFKHBU-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(Br)c[nH]c1=O ZINC001417663836 1005863014 /nfs/dbraw/zinc/86/30/14/1005863014.db2.gz YISAPRFABGORTO-UHFFFAOYSA-N 0 2 314.099 0.303 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001417912505 1005923801 /nfs/dbraw/zinc/92/38/01/1005923801.db2.gz SFJQWUZFDDGYPO-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN NC(=O)C[C@H]1COCCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC001418092914 1006028506 /nfs/dbraw/zinc/02/85/06/1006028506.db2.gz VXWHOYGGEPSTFT-QMMMGPOBSA-N 0 2 300.261 0.387 20 0 DCADLN CS[C@@H](C)C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001418150472 1006107950 /nfs/dbraw/zinc/10/79/50/1006107950.db2.gz JBWYPRFOQZVDBH-VHSXEESVSA-N 0 2 313.427 0.733 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H](OC)C2CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001436448933 1006185589 /nfs/dbraw/zinc/18/55/89/1006185589.db2.gz ULUQJVBDCSABDF-LOWVWBTDSA-N 0 2 323.397 0.404 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H](OC)C2CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001436448933 1006185592 /nfs/dbraw/zinc/18/55/92/1006185592.db2.gz ULUQJVBDCSABDF-LOWVWBTDSA-N 0 2 323.397 0.404 20 0 DCADLN Cc1ccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001438900436 1006210582 /nfs/dbraw/zinc/21/05/82/1006210582.db2.gz RBHJJJXRZMETPJ-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001438900436 1006210591 /nfs/dbraw/zinc/21/05/91/1006210591.db2.gz RBHJJJXRZMETPJ-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1c[nH]nc1C1CC1 ZINC001439385274 1006794079 /nfs/dbraw/zinc/79/40/79/1006794079.db2.gz JEIJAZWYILDDSP-MRVPVSSYSA-N 0 2 319.369 0.361 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1c[nH]nc1C1CC1 ZINC001439385274 1006794092 /nfs/dbraw/zinc/79/40/92/1006794092.db2.gz JEIJAZWYILDDSP-MRVPVSSYSA-N 0 2 319.369 0.361 20 0 DCADLN C[N@H+](CCNC(=O)c1coc(C2CC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001437521304 1007093573 /nfs/dbraw/zinc/09/35/73/1007093573.db2.gz GRSCNQQEHNSTGM-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN C[N@@H+](CCNC(=O)c1coc(C2CC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001437521304 1007093589 /nfs/dbraw/zinc/09/35/89/1007093589.db2.gz GRSCNQQEHNSTGM-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN Cc1n[nH]c(C)c1[C@@H](C)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437537398 1007108758 /nfs/dbraw/zinc/10/87/58/1007108758.db2.gz VAJBTCGAXHVHOQ-MRVPVSSYSA-N 0 2 321.385 0.202 20 0 DCADLN Cc1n[nH]c(C)c1[C@@H](C)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437537398 1007108763 /nfs/dbraw/zinc/10/87/63/1007108763.db2.gz VAJBTCGAXHVHOQ-MRVPVSSYSA-N 0 2 321.385 0.202 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccc(=O)[nH]c1 ZINC001437815186 1007430326 /nfs/dbraw/zinc/43/03/26/1007430326.db2.gz NHFAIKYYSYXIRA-UHFFFAOYSA-N 0 2 318.337 0.005 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccc(=O)[nH]c1 ZINC001437815186 1007430339 /nfs/dbraw/zinc/43/03/39/1007430339.db2.gz NHFAIKYYSYXIRA-UHFFFAOYSA-N 0 2 318.337 0.005 20 0 DCADLN Cc1ncc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)[nH]1 ZINC001453416705 1007462217 /nfs/dbraw/zinc/46/22/17/1007462217.db2.gz QXOGEBFYVDSVEA-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1ncc(C(=O)NC2(CNC(=O)[C@@H](F)C(F)(F)F)CC2)[nH]1 ZINC001453416705 1007462222 /nfs/dbraw/zinc/46/22/22/1007462222.db2.gz QXOGEBFYVDSVEA-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN COCC1(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCCCC1 ZINC001438049637 1007601397 /nfs/dbraw/zinc/60/13/97/1007601397.db2.gz UVCVDDSMDUNVBN-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)[N@H+](C)CCN1CCCC1=O ZINC001440984117 1008158289 /nfs/dbraw/zinc/15/82/89/1008158289.db2.gz VNBHGOPDMQQUFM-GFCCVEGCSA-N 0 2 307.398 0.011 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@@H](NC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001453584689 1008592895 /nfs/dbraw/zinc/59/28/95/1008592895.db2.gz MKHMNFOLWFKLDQ-LLVKDONJSA-N 0 2 323.397 0.096 20 0 DCADLN CCC[N@@H+](C)CC(=O)N1CC[C@@H](NC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001453584689 1008592898 /nfs/dbraw/zinc/59/28/98/1008592898.db2.gz MKHMNFOLWFKLDQ-LLVKDONJSA-N 0 2 323.397 0.096 20 0 DCADLN Cc1cnc(C[NH2+]C[C@@H](O)CN(C)C(=O)C[N@@H+](C)C(C)C)o1 ZINC001442029949 1008837241 /nfs/dbraw/zinc/83/72/41/1008837241.db2.gz GUXRCACFIXZRNP-CYBMUJFWSA-N 0 2 312.414 0.232 20 0 DCADLN C[C@@H](CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001421801152 1009113362 /nfs/dbraw/zinc/11/33/62/1009113362.db2.gz SSOCCJFSODIQDY-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)nn1C ZINC001454639878 1009239494 /nfs/dbraw/zinc/23/94/94/1009239494.db2.gz VAEQCRWSJGINTQ-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)nn1C ZINC001454639878 1009239503 /nfs/dbraw/zinc/23/95/03/1009239503.db2.gz VAEQCRWSJGINTQ-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001454700503 1009273116 /nfs/dbraw/zinc/27/31/16/1009273116.db2.gz KSIMXTSPNYENSY-FBCQKBJTSA-N 0 2 311.239 0.248 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001454700503 1009273124 /nfs/dbraw/zinc/27/31/24/1009273124.db2.gz KSIMXTSPNYENSY-FBCQKBJTSA-N 0 2 311.239 0.248 20 0 DCADLN CCC[C@@H](C)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001421940682 1009287557 /nfs/dbraw/zinc/28/75/57/1009287557.db2.gz JJQILEZLFFOCMC-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ncccc1F ZINC001433952588 1009390542 /nfs/dbraw/zinc/39/05/42/1009390542.db2.gz SDGHWCZAPYGAHI-MRVPVSSYSA-N 0 2 316.296 0.483 20 0 DCADLN O=C(NCCC1(CO)CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433996419 1009442513 /nfs/dbraw/zinc/44/25/13/1009442513.db2.gz XHHZELMUYQULKI-UHFFFAOYSA-N 0 2 318.333 0.159 20 0 DCADLN C[C@H]1Cc2cn[nH]c2[C@H](C(=O)N2CCC(c3nn[nH]n3)CC2)C1 ZINC001455020987 1009448384 /nfs/dbraw/zinc/44/83/84/1009448384.db2.gz LPEVFGTVQGIAHN-JOYOIKCWSA-N 0 2 315.381 0.995 20 0 DCADLN Cc1nnc(C[NH2+][C@H](CNC(=O)Cc2nnc(C)[nH]2)C(C)C)[nH]1 ZINC001422083609 1009468514 /nfs/dbraw/zinc/46/85/14/1009468514.db2.gz RTVIJZZYPYIYMZ-LLVKDONJSA-N 0 2 320.401 0.013 20 0 DCADLN CCOCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001434042968 1009485155 /nfs/dbraw/zinc/48/51/55/1009485155.db2.gz MKROVJVZBUSBSZ-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN CCOCC(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001434042968 1009485160 /nfs/dbraw/zinc/48/51/60/1009485160.db2.gz MKROVJVZBUSBSZ-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cncnc1 ZINC001455281560 1009630090 /nfs/dbraw/zinc/63/00/90/1009630090.db2.gz MLMPTVLFOMQLBN-JTQLQIEISA-N 0 2 322.262 0.494 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cc1cncnc1 ZINC001455281560 1009630096 /nfs/dbraw/zinc/63/00/96/1009630096.db2.gz MLMPTVLFOMQLBN-JTQLQIEISA-N 0 2 322.262 0.494 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cncnc1 ZINC001455279859 1009630234 /nfs/dbraw/zinc/63/02/34/1009630234.db2.gz GFSUVVGYJUYMIH-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cncnc1 ZINC001455279859 1009630246 /nfs/dbraw/zinc/63/02/46/1009630246.db2.gz GFSUVVGYJUYMIH-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)N(C)C(=O)C(F)C(F)(F)F ZINC001442702409 1009644834 /nfs/dbraw/zinc/64/48/34/1009644834.db2.gz IHCCGBMVYVNDJH-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001442702409 1009644837 /nfs/dbraw/zinc/64/48/37/1009644837.db2.gz IHCCGBMVYVNDJH-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)[C@@H](C)N1CCSCC1 ZINC001455319163 1009654252 /nfs/dbraw/zinc/65/42/52/1009654252.db2.gz BZNQQAWIFKRYEK-LLVKDONJSA-N 0 2 312.443 0.622 20 0 DCADLN O=C(N[C@@H](CO)CC1OCCO1)c1cccc(Cl)c1O ZINC001455326673 1009661761 /nfs/dbraw/zinc/66/17/61/1009661761.db2.gz FKNBAMOXRCVNPE-MRVPVSSYSA-N 0 2 301.726 0.899 20 0 DCADLN CC[C@H](CC(F)F)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001434383544 1009871157 /nfs/dbraw/zinc/87/11/57/1009871157.db2.gz GPGTZHDSVXIAEB-SSDOTTSWSA-N 0 2 324.353 0.647 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)c2nn(C)cc2O)c(C)n1NC(N)=O ZINC001434405693 1009911195 /nfs/dbraw/zinc/91/11/95/1009911195.db2.gz BQMAMCNTEPWXMN-MRVPVSSYSA-N 0 2 320.353 0.657 20 0 DCADLN CCOC(=O)c1n[nH]c(CNC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)n1 ZINC001434432534 1009946402 /nfs/dbraw/zinc/94/64/02/1009946402.db2.gz BKPIGZKNIDGJQT-HTQZYQBOSA-N 0 2 304.310 0.124 20 0 DCADLN O=c1cc(CN2CCS(=O)(=O)C[C@@H]2C2CC2)nc2cc[nH]n21 ZINC001434474988 1009996901 /nfs/dbraw/zinc/99/69/01/1009996901.db2.gz XSTWYIYLSANJBW-GFCCVEGCSA-N 0 2 322.390 0.032 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(Cc3ncon3)CC2)S1 ZINC001456025915 1010071134 /nfs/dbraw/zinc/07/11/34/1010071134.db2.gz RJRWYUVLLAMNSH-SECBINFHSA-N 0 2 323.378 0.407 20 0 DCADLN CC(C)(C)[C@@H]1C[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456305926 1010185247 /nfs/dbraw/zinc/18/52/47/1010185247.db2.gz JCYIUSGHAHURPZ-RKDXNWHRSA-N 0 2 314.411 0.648 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)Nc2ccns2)[nH]1 ZINC001434742557 1010226588 /nfs/dbraw/zinc/22/65/88/1010226588.db2.gz MAVNNQQBKQQWAS-UHFFFAOYSA-N 0 2 316.368 0.128 20 0 DCADLN CO[C@H](C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001422727397 1010535261 /nfs/dbraw/zinc/53/52/61/1010535261.db2.gz BGINXPRMUIHFCJ-SKDRFNHKSA-N 0 2 311.386 0.118 20 0 DCADLN O=C(CCc1ccsc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423106016 1010892757 /nfs/dbraw/zinc/89/27/57/1010892757.db2.gz DGLFLQDLYIKQKT-NSHDSACASA-N 0 2 321.406 0.895 20 0 DCADLN CCN(C)C(=O)C[N@@H+]1CC[C@@]2(NC(=O)c3nnc[nH]3)CCC[C@@H]12 ZINC001423349290 1011095601 /nfs/dbraw/zinc/09/56/01/1011095601.db2.gz KUPILDHARNUEMR-ABAIWWIYSA-N 0 2 320.397 0.010 20 0 DCADLN CCN(C)C(=O)C[N@H+]1CC[C@@]2(NC(=O)c3nnc[nH]3)CCC[C@@H]12 ZINC001423349290 1011095610 /nfs/dbraw/zinc/09/56/10/1011095610.db2.gz KUPILDHARNUEMR-ABAIWWIYSA-N 0 2 320.397 0.010 20 0 DCADLN Cc1nnc(CN2CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C2)o1 ZINC001423401526 1011124955 /nfs/dbraw/zinc/12/49/55/1011124955.db2.gz HIFXYXUPRIFARN-SECBINFHSA-N 0 2 310.251 0.921 20 0 DCADLN Cc1nnc(CN2CC(N(C)C(=O)C(F)C(F)(F)F)C2)o1 ZINC001423401526 1011124950 /nfs/dbraw/zinc/12/49/50/1011124950.db2.gz HIFXYXUPRIFARN-SECBINFHSA-N 0 2 310.251 0.921 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cccnc1 ZINC001423542233 1011234397 /nfs/dbraw/zinc/23/43/97/1011234397.db2.gz AXFSRRZVNWWSKA-UHFFFAOYSA-N 0 2 318.381 0.888 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cccnc1 ZINC001423542233 1011234410 /nfs/dbraw/zinc/23/44/10/1011234410.db2.gz AXFSRRZVNWWSKA-UHFFFAOYSA-N 0 2 318.381 0.888 20 0 DCADLN O=C(CCCF)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001431540823 1011382216 /nfs/dbraw/zinc/38/22/16/1011382216.db2.gz FPNGBTWJGIISLO-HTRCEHHLSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001431540823 1011382230 /nfs/dbraw/zinc/38/22/30/1011382230.db2.gz FPNGBTWJGIISLO-HTRCEHHLSA-N 0 2 306.231 0.230 20 0 DCADLN C[C@H](NC(=O)c1ccc(S(C)(=O)=O)o1)c1nn(C)cc1O ZINC001456796746 1011467537 /nfs/dbraw/zinc/46/75/37/1011467537.db2.gz ROQGKEWPDSXZOD-ZETCQYMHSA-N 0 2 313.335 0.613 20 0 DCADLN COCCC(=O)NC1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001423749410 1011483967 /nfs/dbraw/zinc/48/39/67/1011483967.db2.gz MAFPUBOEIZLOGX-SFVIPPHHSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001423749410 1011483977 /nfs/dbraw/zinc/48/39/77/1011483977.db2.gz MAFPUBOEIZLOGX-SFVIPPHHSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001423749402 1011484793 /nfs/dbraw/zinc/48/47/93/1011484793.db2.gz MAFPUBOEIZLOGX-KTOWXAHTSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001423749402 1011484799 /nfs/dbraw/zinc/48/47/99/1011484799.db2.gz MAFPUBOEIZLOGX-KTOWXAHTSA-N 0 2 314.279 0.934 20 0 DCADLN CCc1nnc(C[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001445351721 1011680892 /nfs/dbraw/zinc/68/08/92/1011680892.db2.gz HCUSYEYZFWJRSL-SSDOTTSWSA-N 0 2 308.342 0.277 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1c[nH]nc1[C@@H]1CCCO1 ZINC001457672500 1011799515 /nfs/dbraw/zinc/79/95/15/1011799515.db2.gz WLDHEARLMPETHM-VIFPVBQESA-N 0 2 306.326 0.448 20 0 DCADLN COCCC(=O)NC[C@H](C)N(C)C(=O)C(F)C(F)(F)F ZINC001424028338 1011880119 /nfs/dbraw/zinc/88/01/19/1011880119.db2.gz UXWRWAKWEVPWNR-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)NC[C@H](C)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001424028338 1011880132 /nfs/dbraw/zinc/88/01/32/1011880132.db2.gz UXWRWAKWEVPWNR-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001424043518 1011905072 /nfs/dbraw/zinc/90/50/72/1011905072.db2.gz AWNIYBKOVJRLNV-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001424043518 1011905082 /nfs/dbraw/zinc/90/50/82/1011905082.db2.gz AWNIYBKOVJRLNV-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)NC2CCOCC2)n[nH]1 ZINC001553379872 1011925008 /nfs/dbraw/zinc/92/50/08/1011925008.db2.gz UNNLNLYVGQURBK-STQMWFEESA-N 0 2 323.397 0.806 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)c2cnco2)CC1 ZINC001535697477 1011961494 /nfs/dbraw/zinc/96/14/94/1011961494.db2.gz QIMLTODJTFFXRV-UHFFFAOYSA-N 0 2 303.322 0.327 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1(CNC(=O)[C@@H]2C[C@H](C)CO2)CC1 ZINC001535727289 1011967797 /nfs/dbraw/zinc/96/77/97/1011967797.db2.gz VAIRGHIPKNITTE-GWCFXTLKSA-N 0 2 320.393 0.451 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cc[nH]n1 ZINC001424161473 1012105756 /nfs/dbraw/zinc/10/57/56/1012105756.db2.gz FFIGTMAUFDHHOH-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccn[nH]1 ZINC001424161473 1012105776 /nfs/dbraw/zinc/10/57/76/1012105776.db2.gz FFIGTMAUFDHHOH-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cnon1 ZINC001432192142 1012112544 /nfs/dbraw/zinc/11/25/44/1012112544.db2.gz DBYYCTQFEDITCG-ZCFIWIBFSA-N 0 2 310.207 0.349 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1cnon1 ZINC001432192142 1012112560 /nfs/dbraw/zinc/11/25/60/1012112560.db2.gz DBYYCTQFEDITCG-ZCFIWIBFSA-N 0 2 310.207 0.349 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cncn2C)C1 ZINC001536395813 1012113168 /nfs/dbraw/zinc/11/31/68/1012113168.db2.gz VHHCIYKUAPXOKC-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cncn2C)C1 ZINC001536395813 1012113176 /nfs/dbraw/zinc/11/31/76/1012113176.db2.gz VHHCIYKUAPXOKC-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001432259690 1012215892 /nfs/dbraw/zinc/21/58/92/1012215892.db2.gz DYFBQIQIQKCSSA-YPMHNXCESA-N 0 2 320.393 0.451 20 0 DCADLN CCc1ncoc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445816890 1012297111 /nfs/dbraw/zinc/29/71/11/1012297111.db2.gz UFZXVVLWXLGMNT-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1ncoc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445816890 1012297122 /nfs/dbraw/zinc/29/71/22/1012297122.db2.gz UFZXVVLWXLGMNT-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CC(C)=C(C)CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001558551710 1012367875 /nfs/dbraw/zinc/36/78/75/1012367875.db2.gz QSRNUYZITKOLRG-UHFFFAOYSA-N 0 2 300.384 0.712 20 0 DCADLN C/C=C/C(=O)Nc1cccc(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001558577305 1012369942 /nfs/dbraw/zinc/36/99/42/1012369942.db2.gz IBORYEZUNOJTHL-HWKANZROSA-N 0 2 322.350 0.526 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1ncoc1CCC(F)(F)F ZINC001558909600 1012395388 /nfs/dbraw/zinc/39/53/88/1012395388.db2.gz OCQBTGZCCHDPOI-UHFFFAOYSA-N 0 2 306.200 0.366 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432378547 1012400894 /nfs/dbraw/zinc/40/08/94/1012400894.db2.gz YLQFRIWTJJQLOT-APPZFPTMSA-N 0 2 314.279 0.981 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432378547 1012400881 /nfs/dbraw/zinc/40/08/81/1012400881.db2.gz YLQFRIWTJJQLOT-APPZFPTMSA-N 0 2 314.279 0.981 20 0 DCADLN COCCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001432398989 1012432374 /nfs/dbraw/zinc/43/23/74/1012432374.db2.gz HMOYEVJSPBWWLD-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN COCCC(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432398989 1012432380 /nfs/dbraw/zinc/43/23/80/1012432380.db2.gz HMOYEVJSPBWWLD-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN Cn1ccc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001458944050 1012487653 /nfs/dbraw/zinc/48/76/53/1012487653.db2.gz LMWBRVGAVHTXHX-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1ccc(C(=O)NCC2(NC(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001458944050 1012487661 /nfs/dbraw/zinc/48/76/61/1012487661.db2.gz LMWBRVGAVHTXHX-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN C[C@@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2cnon2)C1 ZINC001432555898 1012617832 /nfs/dbraw/zinc/61/78/32/1012617832.db2.gz AFCOUKQSOUOXSD-GMSGAONNSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@@]1(NC(=O)[C@@H](F)C(F)(F)F)CCN(C(=O)c2cnon2)C1 ZINC001432555898 1012617835 /nfs/dbraw/zinc/61/78/35/1012617835.db2.gz AFCOUKQSOUOXSD-GMSGAONNSA-N 0 2 324.234 0.691 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(N(C)C(=O)C(F)C(F)(F)F)C2)n1 ZINC001459225383 1012639033 /nfs/dbraw/zinc/63/90/33/1012639033.db2.gz PHWCRWMVTQHQFM-QMMMGPOBSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(N(C)C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001459225383 1012639038 /nfs/dbraw/zinc/63/90/38/1012639038.db2.gz PHWCRWMVTQHQFM-QMMMGPOBSA-N 0 2 322.262 0.901 20 0 DCADLN O=C(NCC[C@@H]1CCOC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001446639728 1012878314 /nfs/dbraw/zinc/87/83/14/1012878314.db2.gz BNUUSTBDRSTKDA-SNVBAGLBSA-N 0 2 318.333 0.423 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001461020014 1013003912 /nfs/dbraw/zinc/00/39/12/1013003912.db2.gz FTEJNPWNUCXQJD-XPUUQOCRSA-N 0 2 314.235 0.167 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)[C@H](F)C(F)(F)F ZINC001461020014 1013003926 /nfs/dbraw/zinc/00/39/26/1013003926.db2.gz FTEJNPWNUCXQJD-XPUUQOCRSA-N 0 2 314.235 0.167 20 0 DCADLN C[C@H](NC(=O)Cc1ccoc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506622587 1017415561 /nfs/dbraw/zinc/41/55/61/1017415561.db2.gz UOAWXMDIEQRXLW-VIFPVBQESA-N 0 2 305.338 0.283 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001506778622 1017541537 /nfs/dbraw/zinc/54/15/37/1017541537.db2.gz UENXAQYDOVSZAG-KWQFWETISA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)[C@H](F)C(F)(F)F)C1)C1CC1 ZINC001506778622 1017541546 /nfs/dbraw/zinc/54/15/46/1017541546.db2.gz UENXAQYDOVSZAG-KWQFWETISA-N 0 2 312.263 0.376 20 0 DCADLN CC(C)[C@H](C(=O)NCCC[N@H+](C)Cc1nncn1C)[NH+](C)C ZINC001479810054 1017811925 /nfs/dbraw/zinc/81/19/25/1017811925.db2.gz NOTOADJGMZVFPW-CQSZACIVSA-N 0 2 310.446 0.339 20 0 DCADLN CC[C@@H](CNC(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001499298339 1017821827 /nfs/dbraw/zinc/82/18/27/1017821827.db2.gz OCSSJSYDYSHRGY-FSPLSTOPSA-N 0 2 312.223 0.595 20 0 DCADLN CC[C@@H](CNC(=O)c1cnon1)NC(=O)[C@H](F)C(F)(F)F ZINC001499298339 1017821844 /nfs/dbraw/zinc/82/18/44/1017821844.db2.gz OCSSJSYDYSHRGY-FSPLSTOPSA-N 0 2 312.223 0.595 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493159084 1019152660 /nfs/dbraw/zinc/15/26/60/1019152660.db2.gz AMRRERRALWTCHQ-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493159084 1019152665 /nfs/dbraw/zinc/15/26/65/1019152665.db2.gz AMRRERRALWTCHQ-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN CO[C@@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001493365313 1019359891 /nfs/dbraw/zinc/35/98/91/1019359891.db2.gz FOXZZTSEEISSTO-GFCCVEGCSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001493365313 1019359899 /nfs/dbraw/zinc/35/98/99/1019359899.db2.gz FOXZZTSEEISSTO-GFCCVEGCSA-N 0 2 309.370 0.016 20 0 DCADLN CC[C@H](C(N)=O)[N@H+](CCNC(=O)Cn1ccnc1C)C1CC1 ZINC001493444751 1019422087 /nfs/dbraw/zinc/42/20/87/1019422087.db2.gz ODEFMPVLRNVPST-CYBMUJFWSA-N 0 2 307.398 0.036 20 0 DCADLN CC(C)(C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001501816911 1019486616 /nfs/dbraw/zinc/48/66/16/1019486616.db2.gz LUOZGFTWTCXSEH-NSHDSACASA-N 0 2 323.397 0.264 20 0 DCADLN Cc1ncccc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496772698 1019629890 /nfs/dbraw/zinc/62/98/90/1019629890.db2.gz XKGNRMTUORLFGG-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN Cc1ncccc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496772698 1019629898 /nfs/dbraw/zinc/62/98/98/1019629898.db2.gz XKGNRMTUORLFGG-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001502144609 1019759446 /nfs/dbraw/zinc/75/94/46/1019759446.db2.gz PTSFMPUTVACLFF-PRJMDXOYSA-N 0 2 302.268 0.525 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001502144609 1019759461 /nfs/dbraw/zinc/75/94/61/1019759461.db2.gz PTSFMPUTVACLFF-PRJMDXOYSA-N 0 2 302.268 0.525 20 0 DCADLN C[C@H](CNC(=O)c1cc[n+]([O-])cc1)NC(=O)C(F)C(F)(F)F ZINC001502361113 1019832135 /nfs/dbraw/zinc/83/21/35/1019832135.db2.gz FNYKYFOZTOQPNS-VXNVDRBHSA-N 0 2 323.246 0.455 20 0 DCADLN C[C@H](CNC(=O)c1cc[n+]([O-])cc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001502361113 1019832148 /nfs/dbraw/zinc/83/21/48/1019832148.db2.gz FNYKYFOZTOQPNS-VXNVDRBHSA-N 0 2 323.246 0.455 20 0 DCADLN CCCN(C(=O)C[NH+]1CCCC1)[C@@H]1CC[N@@H+](CC(=O)NCC)C1 ZINC001493975989 1019869198 /nfs/dbraw/zinc/86/91/98/1019869198.db2.gz ADFPOUYBXQDXFR-OAHLLOKOSA-N 0 2 324.469 0.531 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@H+]1Cc1ccc(C(=O)N(C)C)[nH]1 ZINC001606833520 1170305597 /nfs/dbraw/zinc/30/55/97/1170305597.db2.gz HCNWZBUECBHHJL-OAHLLOKOSA-N 0 2 309.366 0.782 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)CC2(C(=O)[O-])CCC2)C[C@H](C)[N@H+]1C ZINC001610395762 1171229617 /nfs/dbraw/zinc/22/96/17/1171229617.db2.gz IGIGCUMGKCTQSF-PHIMTYICSA-N 0 2 304.412 0.596 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)CC2(C(=O)[O-])CCC2)C[C@H](C)[N@@H+]1C ZINC001610395762 1171229620 /nfs/dbraw/zinc/22/96/20/1171229620.db2.gz IGIGCUMGKCTQSF-PHIMTYICSA-N 0 2 304.412 0.596 20 0 DCADLN C[C@H](O)CC(C)(C)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000176096816 237191783 /nfs/dbraw/zinc/19/17/83/237191783.db2.gz SVOBKPGLYNJRBH-QMMMGPOBSA-N 0 2 320.349 0.138 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C/c1ccncn1 ZINC000158765158 291232503 /nfs/dbraw/zinc/23/25/03/291232503.db2.gz YLNFRIPBNQLSRA-SNAWJCMRSA-N 0 2 321.362 0.345 20 0 DCADLN COCCOCCS(=O)(=O)Nc1ccn(CC(F)F)n1 ZINC000164792564 291247790 /nfs/dbraw/zinc/24/77/90/291247790.db2.gz LIVTWTOZQRIKPF-UHFFFAOYSA-N 0 2 313.326 0.553 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000566416013 291298830 /nfs/dbraw/zinc/29/88/30/291298830.db2.gz CYQAPFLTBDXDNB-HOCLYGCPSA-N 0 2 316.442 0.195 20 0 DCADLN CCNC(=O)c1cccc(-n2c(O)c(C(=O)OCC)[nH]c2=O)c1 ZINC000566541788 291311580 /nfs/dbraw/zinc/31/15/80/291311580.db2.gz MQBQIJQSXPGEBG-LLVKDONJSA-N 0 2 319.317 0.424 20 0 DCADLN CC(=O)N1CC[C@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)C1 ZINC000566634509 291316653 /nfs/dbraw/zinc/31/66/53/291316653.db2.gz HJXMKLTVLNJPAY-NSHDSACASA-N 0 2 315.333 0.984 20 0 DCADLN C[C@@H](O[C@@H](C)C(=O)[O-])C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000421809819 240105538 /nfs/dbraw/zinc/10/55/38/240105538.db2.gz WAZIRBDKSQCQQK-GRYCIOLGSA-N 0 2 307.350 0.655 20 0 DCADLN COC(=O)c1ccccc1NC(=O)c1c(=O)[nH][nH]c1C1CC1 ZINC000421816070 240106818 /nfs/dbraw/zinc/10/68/18/240106818.db2.gz WFSQFQOMIFVGMP-LLVKDONJSA-N 0 2 301.302 0.924 20 0 DCADLN Cc1cc(C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)oc1C(=O)[O-] ZINC000567595352 291363259 /nfs/dbraw/zinc/36/32/59/291363259.db2.gz DJTFFXXNGKAKPD-JTQLQIEISA-N 0 2 316.379 0.752 20 0 DCADLN Cc1cc(C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)oc1C(=O)[O-] ZINC000567595352 291363262 /nfs/dbraw/zinc/36/32/62/291363262.db2.gz DJTFFXXNGKAKPD-JTQLQIEISA-N 0 2 316.379 0.752 20 0 DCADLN O=C([O-])C1(O)CCN(c2cc(N3CCCCC3)[nH+]cn2)CC1 ZINC000567592394 291363319 /nfs/dbraw/zinc/36/33/19/291363319.db2.gz YAMWHFHFBDAXBH-UHFFFAOYSA-N 0 2 306.366 0.883 20 0 DCADLN O=C([O-])C1(O)CCN(c2cc(N3CCCCC3)nc[nH+]2)CC1 ZINC000567592394 291363321 /nfs/dbraw/zinc/36/33/21/291363321.db2.gz YAMWHFHFBDAXBH-UHFFFAOYSA-N 0 2 306.366 0.883 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000567844518 291383802 /nfs/dbraw/zinc/38/38/02/291383802.db2.gz PRDWEQCTVRKYRV-OCCSQVGLSA-N 0 2 306.362 0.897 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H](O)c1ccccc1F ZINC000274962894 212045059 /nfs/dbraw/zinc/04/50/59/212045059.db2.gz LMLJMSSFVGFOPW-SECBINFHSA-N 0 2 312.326 0.179 20 0 DCADLN O=C(CNC[C@H]1CCCO1)N=c1nc(-c2cccnc2)[nH]s1 ZINC000574809583 291717207 /nfs/dbraw/zinc/71/72/07/291717207.db2.gz UYUUYWMETCYDBG-LLVKDONJSA-N 0 2 319.390 0.729 20 0 DCADLN O=C(NCC1(CCO)CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000331007933 252648759 /nfs/dbraw/zinc/64/87/59/252648759.db2.gz CHYRBVWCMFQZGC-LBPRGKRZSA-N 0 2 303.424 0.870 20 0 DCADLN O=C(Cn1ccccc1=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000339727362 253134914 /nfs/dbraw/zinc/13/49/14/253134914.db2.gz LQOAJYTXSXLEDF-UHFFFAOYSA-N 0 2 313.342 0.822 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@H](C)[NH+]2CCC(OCCO)CC2)CC1 ZINC000339778839 253141909 /nfs/dbraw/zinc/14/19/09/253141909.db2.gz QIUJHIJSLLQOSF-AWEZNQCLSA-N 0 2 313.442 0.012 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2ccc3c(c2)COC3)cn1 ZINC000340575819 253279564 /nfs/dbraw/zinc/27/95/64/253279564.db2.gz USKGKKZULCUWEO-UHFFFAOYSA-N 0 2 322.346 0.199 20 0 DCADLN Cc1nc([C@H](C)NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)n[nH]1 ZINC000286530331 219038466 /nfs/dbraw/zinc/03/84/66/219038466.db2.gz YLSKKKMVSZFGIP-XPUUQOCRSA-N 0 2 321.303 0.993 20 0 DCADLN C[C@H](C[S@@](C)=O)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000346057305 254003938 /nfs/dbraw/zinc/00/39/38/254003938.db2.gz DMIFBTPARCKFTQ-IJSAXESFSA-N 0 2 309.347 0.527 20 0 DCADLN CN(CC1CC1)S(=O)(=O)Nc1ccc(F)cc1C(N)=O ZINC000348772866 254254994 /nfs/dbraw/zinc/25/49/94/254254994.db2.gz IZDJDSNDJQEJIW-UHFFFAOYSA-N 0 2 301.343 0.923 20 0 DCADLN Cc1noc(CNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)n1 ZINC000348819823 254258913 /nfs/dbraw/zinc/25/89/13/254258913.db2.gz QGGQCYWKGXUDMY-UHFFFAOYSA-N 0 2 301.262 0.651 20 0 DCADLN COCCOCCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348845306 254260450 /nfs/dbraw/zinc/26/04/50/254260450.db2.gz YREXUWGKZIGZKR-UHFFFAOYSA-N 0 2 307.306 0.423 20 0 DCADLN O=C(N[C@@H]1CCC(=O)NC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348868789 254262336 /nfs/dbraw/zinc/26/23/36/254262336.db2.gz WBYRDRFJJIGTHS-SNVBAGLBSA-N 0 2 302.290 0.038 20 0 DCADLN CNS(=O)(=O)c1cccc(NS(=O)(=O)c2ccoc2)c1 ZINC000349967034 254306389 /nfs/dbraw/zinc/30/63/89/254306389.db2.gz BVGQLVUTNVCYSV-UHFFFAOYSA-N 0 2 316.360 0.989 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2ccsc2)n1 ZINC000350124831 254311117 /nfs/dbraw/zinc/31/11/17/254311117.db2.gz RDUXSWRJCDKUNE-UHFFFAOYSA-N 0 2 302.337 0.313 20 0 DCADLN C[C@@H](CC(=O)c1ccccc1)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000185838373 533457608 /nfs/dbraw/zinc/45/76/08/533457608.db2.gz OWLWOOMNSGMCHF-VIFPVBQESA-N 0 2 320.374 0.968 20 0 DCADLN O=C(c1ccc2[nH]nnc2c1)N1CCC[C@H](c2nc(=O)[nH][nH]2)C1 ZINC000283522056 131000192 /nfs/dbraw/zinc/00/01/92/131000192.db2.gz KPQAOWSHHZFTBW-VIFPVBQESA-N 0 2 313.321 0.389 20 0 DCADLN COCCn1cc(NS(=O)(=O)c2ccc(OC)nc2)cn1 ZINC000285170874 131144185 /nfs/dbraw/zinc/14/41/85/131144185.db2.gz QSEFIEWBCMDUIL-UHFFFAOYSA-N 0 2 312.351 0.734 20 0 DCADLN O=c1[nH]nc(CN2CCOC[C@H]2C[C@H](O)c2ccccc2)[nH]1 ZINC000091592683 395726410 /nfs/dbraw/zinc/72/64/10/395726410.db2.gz AFBIKKXNVAWCIC-OLZOCXBDSA-N 0 2 304.350 0.423 20 0 DCADLN COC(=O)NCC(=O)NOC[C@@H](C)NC(=O)OC(C)(C)C ZINC000270895990 395820619 /nfs/dbraw/zinc/82/06/19/395820619.db2.gz DOQHOFQSUOFFNJ-MRVPVSSYSA-N 0 2 305.331 0.303 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N(C)C[C@H](O)CO)=N1 ZINC000271289889 395855017 /nfs/dbraw/zinc/85/50/17/395855017.db2.gz QAOGVCXHCRSBAT-NSHDSACASA-N 0 2 323.324 0.451 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H](C)O1 ZINC000047402923 395791676 /nfs/dbraw/zinc/79/16/76/395791676.db2.gz JFRPWGZEZUSSFL-YUMQZZPRSA-N 0 2 304.306 0.273 20 0 DCADLN CC(C)[C@@H](CNC(=O)c1cncc(O)c1)[NH+]1CCN(C)CC1 ZINC000109042576 395796201 /nfs/dbraw/zinc/79/62/01/395796201.db2.gz VMPVVWWJIDWQKN-OAHLLOKOSA-N 0 2 306.410 0.789 20 0 DCADLN CNC(=O)c1ccc(C)c(NS(=O)(=O)c2cnn(C)c2)c1 ZINC000055584713 395920030 /nfs/dbraw/zinc/92/00/30/395920030.db2.gz CSRZLMBUZUYXGY-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN CN(C)C(=O)COc1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000057118778 395939367 /nfs/dbraw/zinc/93/93/67/395939367.db2.gz ZBCCDTKYJNQEMT-UHFFFAOYSA-N 0 2 324.362 0.678 20 0 DCADLN COC[C@@H](O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000151745286 395991496 /nfs/dbraw/zinc/99/14/96/395991496.db2.gz RLZCIKXAJCIGLZ-NSHDSACASA-N 0 2 309.297 0.454 20 0 DCADLN CCC(CC)(CO)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000154141839 396032160 /nfs/dbraw/zinc/03/21/60/396032160.db2.gz IRFZXVKRBZKXDR-UHFFFAOYSA-N 0 2 320.349 0.140 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](C)[C@H](CO)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000276888013 396034952 /nfs/dbraw/zinc/03/49/52/396034952.db2.gz WZCNCOYEKHOFQW-BDAKNGLRSA-N 0 2 318.333 0.445 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)Nc1ncc(C(N)=O)s1 ZINC000277173379 396053797 /nfs/dbraw/zinc/05/37/97/396053797.db2.gz GCUCANIYGKABPZ-UHFFFAOYSA-N 0 2 324.284 0.638 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(CNC(C)=O)cc2)c1O ZINC000278094337 396105439 /nfs/dbraw/zinc/10/54/39/396105439.db2.gz KSUDFXYODDRFFG-LBPRGKRZSA-N 0 2 319.317 0.311 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C)c(NC(C)=O)c2)c1O ZINC000278185391 396111314 /nfs/dbraw/zinc/11/13/14/396111314.db2.gz PTJPLNWERMRLAD-LBPRGKRZSA-N 0 2 319.317 0.941 20 0 DCADLN CC[N@H+](CC(=O)NCC(=O)[O-])[C@@H]1CCN(c2ccccc2)C1=O ZINC000262266421 396116534 /nfs/dbraw/zinc/11/65/34/396116534.db2.gz UAZXQHVLFICNSA-CYBMUJFWSA-N 0 2 319.361 0.315 20 0 DCADLN CC[N@@H+](CC(=O)NCC(=O)[O-])[C@@H]1CCN(c2ccccc2)C1=O ZINC000262266421 396116535 /nfs/dbraw/zinc/11/65/35/396116535.db2.gz UAZXQHVLFICNSA-CYBMUJFWSA-N 0 2 319.361 0.315 20 0 DCADLN O=C(NCC1(O)CCOCC1)C1=NN(c2ccccc2)CC1=O ZINC000175957500 396147291 /nfs/dbraw/zinc/14/72/91/396147291.db2.gz DFHXVNNLRSFUFV-UHFFFAOYSA-N 0 2 317.345 0.849 20 0 DCADLN CCC[C@@H](CCO)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000176171000 396150448 /nfs/dbraw/zinc/15/04/48/396150448.db2.gz PATKBQAYCXSHTD-VIFPVBQESA-N 0 2 320.349 0.140 20 0 DCADLN COCC(=O)N1CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000177076494 396166296 /nfs/dbraw/zinc/16/62/96/396166296.db2.gz PWZUDTLMILZIJB-UHFFFAOYSA-N 0 2 314.288 0.601 20 0 DCADLN CS(=O)(=O)NCCNC(=O)c1cc(F)cc(Cl)c1O ZINC000178471288 396196683 /nfs/dbraw/zinc/19/66/83/396196683.db2.gz OTMLLMPULJZKQI-UHFFFAOYSA-N 0 2 310.734 0.464 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N[C@H](C(=O)[O-])C(C)C)n1 ZINC000263528099 396220102 /nfs/dbraw/zinc/22/01/02/396220102.db2.gz VAGZTKBPOLYMFS-VIFPVBQESA-N 0 2 312.374 0.617 20 0 DCADLN CC(C)Nc1nc(NCCO)nc(N[C@H](C(=O)[O-])C(C)C)[nH+]1 ZINC000263528099 396220106 /nfs/dbraw/zinc/22/01/06/396220106.db2.gz VAGZTKBPOLYMFS-VIFPVBQESA-N 0 2 312.374 0.617 20 0 DCADLN CC(C)Nc1nc(N[C@H](C(=O)[O-])C(C)C)nc(NCCO)[nH+]1 ZINC000263528099 396220108 /nfs/dbraw/zinc/22/01/08/396220108.db2.gz VAGZTKBPOLYMFS-VIFPVBQESA-N 0 2 312.374 0.617 20 0 DCADLN O=C(c1cc(C2CC2)[nH]n1)N1CCC[C@H](c2nc(=O)[nH][nH]2)C1 ZINC000282860573 396292906 /nfs/dbraw/zinc/29/29/06/396292906.db2.gz HKEAXMQMLRODND-VIFPVBQESA-N 0 2 302.338 0.718 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CC[C@@H](Nc2ccccc2)C1 ZINC000187066490 396362561 /nfs/dbraw/zinc/36/25/61/396362561.db2.gz ZBTIKGVTDJJFEY-LLVKDONJSA-N 0 2 319.390 0.903 20 0 DCADLN CN(C)c1n[nH]c(NC(=O)CSC2N=NC(=S)S2)n1 ZINC000285943072 396364234 /nfs/dbraw/zinc/36/42/34/396364234.db2.gz HZEWUHOJTHGKGQ-UHFFFAOYSA-N 0 2 317.425 0.742 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CCCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000268696591 396368311 /nfs/dbraw/zinc/36/83/11/396368311.db2.gz TUZAKLJDPFRFFP-MRVPVSSYSA-N 0 2 306.322 0.635 20 0 DCADLN CN(CC(=O)N1CCOCC1)C(=O)c1cc(F)c(O)c(F)c1 ZINC000186422430 396349205 /nfs/dbraw/zinc/34/92/05/396349205.db2.gz JRPMSIIPHLEPIU-UHFFFAOYSA-N 0 2 314.288 0.601 20 0 DCADLN COC(=O)c1c(C)nn(C)c1NS(=O)(=O)c1ccnn1C ZINC000594731471 396502666 /nfs/dbraw/zinc/50/26/66/396502666.db2.gz VGMJQYNKKNGPNF-UHFFFAOYSA-N 0 2 313.339 0.049 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000290963096 396476478 /nfs/dbraw/zinc/47/64/78/396476478.db2.gz OQBKGAXYJHMDQY-RXMQYKEDSA-N 0 2 314.289 0.647 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[N@@H+](CC(C)(C)O)C[C@H]1C ZINC000581833102 396585776 /nfs/dbraw/zinc/58/57/76/396585776.db2.gz CKBSGINQMNVXBP-QWHCGFSZSA-N 0 2 308.426 0.892 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[N@H+](CC(C)(C)O)C[C@H]1C ZINC000581833102 396585778 /nfs/dbraw/zinc/58/57/78/396585778.db2.gz CKBSGINQMNVXBP-QWHCGFSZSA-N 0 2 308.426 0.892 20 0 DCADLN CN(C)c1cccc(CNC(=O)N2CC[N@@H+](C)C[C@@H]2C[NH3+])c1 ZINC000584769239 396621733 /nfs/dbraw/zinc/62/17/33/396621733.db2.gz CKMVJKLRVGXGHZ-HNNXBMFYSA-N 0 2 305.426 0.537 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NC[C@H]3CC34CC4)cc-2c(=O)[nH]1 ZINC000561110961 396629843 /nfs/dbraw/zinc/62/98/43/396629843.db2.gz WUQDBWPIFKXQHX-SSDOTTSWSA-N 0 2 322.346 0.514 20 0 DCADLN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000562466508 396666398 /nfs/dbraw/zinc/66/63/98/396666398.db2.gz DWZFUZHPPMGLDN-LDYMZIIASA-N 0 2 316.317 0.284 20 0 DCADLN CCC(=O)N[C@@H](C)C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000589118000 396704964 /nfs/dbraw/zinc/70/49/64/396704964.db2.gz LMORFGFEUGJHMJ-QMMMGPOBSA-N 0 2 304.306 0.883 20 0 DCADLN O=C([O-])[C@H]1COCC[N@H+]1C[C@@H](O)COCc1cccs1 ZINC000563278110 396719649 /nfs/dbraw/zinc/71/96/49/396719649.db2.gz OQUNRDRNDOWHKP-ZYHUDNBSSA-N 0 2 301.364 0.411 20 0 DCADLN O=C([O-])[C@H]1COCC[N@@H+]1C[C@@H](O)COCc1cccs1 ZINC000563278110 396719651 /nfs/dbraw/zinc/71/96/51/396719651.db2.gz OQUNRDRNDOWHKP-ZYHUDNBSSA-N 0 2 301.364 0.411 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000314766447 396786136 /nfs/dbraw/zinc/78/61/36/396786136.db2.gz APFGCUFAZUWLOX-UHFFFAOYSA-N 0 2 324.362 0.773 20 0 DCADLN CO[C@H]1C[C@@H](C(=O)Nc2cnn(C3CC[NH+](C)CC3)c2)[N@H+](C)C1 ZINC000634094940 396788357 /nfs/dbraw/zinc/78/83/57/396788357.db2.gz FGOHXXJYHAJRJR-GJZGRUSLSA-N 0 2 321.425 0.807 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@H](C)[C@H]2CCC(=O)N2)s[nH]1 ZINC000634149585 396795777 /nfs/dbraw/zinc/79/57/77/396795777.db2.gz XYYOBAHXEZVCMX-HTQZYQBOSA-N 0 2 312.395 0.695 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)Cn2ccccc2=O)s[nH]1 ZINC000634153721 396797890 /nfs/dbraw/zinc/79/78/90/396797890.db2.gz OXFGSQCSTTXMLK-UHFFFAOYSA-N 0 2 308.363 0.642 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)c2ccc(=O)n(C)c2)s[nH]1 ZINC000634153330 396797961 /nfs/dbraw/zinc/79/79/61/396797961.db2.gz QOYDSEXTSQQOBM-UHFFFAOYSA-N 0 2 308.363 0.793 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)COC[C@H]2CCCO2)s[nH]1 ZINC000634153997 396798242 /nfs/dbraw/zinc/79/82/42/396798242.db2.gz QOEQEEMUWHQXLK-SECBINFHSA-N 0 2 315.395 0.976 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC000629770629 396827223 /nfs/dbraw/zinc/82/72/23/396827223.db2.gz ADOVYESMZPHEMB-JTQLQIEISA-N 0 2 313.379 0.545 20 0 DCADLN CN1N=C(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CCC1=O ZINC000375886327 396839101 /nfs/dbraw/zinc/83/91/01/396839101.db2.gz YXVGQUAQETUCLS-UHFFFAOYSA-N 0 2 314.305 0.724 20 0 DCADLN C[C@H](CO)[C@@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000617861659 396842878 /nfs/dbraw/zinc/84/28/78/396842878.db2.gz JBJIKACFCXJXRM-BDAKNGLRSA-N 0 2 300.384 0.612 20 0 DCADLN CC1=NN(c2ccc(S(=O)(=O)NC3CC3)cn2)C(=O)[C@H]1C ZINC000634589155 396888212 /nfs/dbraw/zinc/88/82/12/396888212.db2.gz PEYUAVFWVZDUFR-QMMMGPOBSA-N 0 2 308.363 0.881 20 0 DCADLN O=S(=O)(CCOCC1CC1)Nc1ncc2c(n1)CCOC2 ZINC000634660286 396895409 /nfs/dbraw/zinc/89/54/09/396895409.db2.gz FBDUFFHKQWNUAT-UHFFFAOYSA-N 0 2 313.379 0.718 20 0 DCADLN O=C(CNCC(F)(F)F)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000564937878 396904900 /nfs/dbraw/zinc/90/49/00/396904900.db2.gz QPJMOBOBEBLKSC-SSDOTTSWSA-N 0 2 307.276 0.368 20 0 DCADLN O=C([O-])[C@H]1c2ccccc2CC[N@H+]1Cc1nnc2n1CCOC2 ZINC000571530067 396962129 /nfs/dbraw/zinc/96/21/29/396962129.db2.gz JDRQSKICZRVGFH-OAHLLOKOSA-N 0 2 314.345 0.992 20 0 DCADLN O=C([O-])[C@H]1c2ccccc2CC[N@@H+]1Cc1nnc2n1CCOC2 ZINC000571530067 396962133 /nfs/dbraw/zinc/96/21/33/396962133.db2.gz JDRQSKICZRVGFH-OAHLLOKOSA-N 0 2 314.345 0.992 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCN(C)C(=O)C2)c1 ZINC000591779726 397002038 /nfs/dbraw/zinc/00/20/38/397002038.db2.gz NYQSYSMBKPTWIM-RKFFSXRUSA-N 0 2 324.402 0.540 20 0 DCADLN C[C@@H]1CO[C@H](CO)CN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612508356 397075854 /nfs/dbraw/zinc/07/58/54/397075854.db2.gz RYUPLMRFROHHKO-SKDRFNHKSA-N 0 2 319.317 0.252 20 0 DCADLN CC[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@H]1O ZINC000613096005 397191466 /nfs/dbraw/zinc/19/14/66/397191466.db2.gz CLTSVSAAVWMBHL-BXKDBHETSA-N 0 2 303.318 0.873 20 0 DCADLN C[C@@H]1CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC[C@@H]1C(=O)[O-] ZINC000626761219 397233907 /nfs/dbraw/zinc/23/39/07/397233907.db2.gz UCWRUYYRZNFJKJ-UTUOFQBUSA-N 0 2 306.366 0.950 20 0 DCADLN O=c1[nH]nc(CNc2cc3nc[nH]c(=O)c3cc2[N+](=O)[O-])[nH]1 ZINC000392674326 397305992 /nfs/dbraw/zinc/30/59/92/397305992.db2.gz NHTPOKKNMLIERC-UHFFFAOYSA-N 0 2 303.238 0.679 20 0 DCADLN CS(=O)(=O)[N-]c1ccccc1C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000577432276 397332430 /nfs/dbraw/zinc/33/24/30/397332430.db2.gz HTPUNJDUOAAZGC-UHFFFAOYSA-N 0 2 320.374 0.952 20 0 DCADLN COCC[C@@H](CO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614424951 397436017 /nfs/dbraw/zinc/43/60/17/397436017.db2.gz NZYZJQDBRFUBJY-NSHDSACASA-N 0 2 307.306 0.157 20 0 DCADLN Cc1oc([C@@H](C)[NH+]2CCN(S(C)(=O)=O)CC2)cc1C(=O)[O-] ZINC000578900546 397501248 /nfs/dbraw/zinc/50/12/48/397501248.db2.gz RQCVNKQIMVBMPB-SECBINFHSA-N 0 2 316.379 0.924 20 0 DCADLN C[C@H]1CN(CC(C)(C)O)CC[N@@H+]1C[C@H](O)CCC1(O)CCC1 ZINC001647453213 1172905007 /nfs/dbraw/zinc/90/50/07/1172905007.db2.gz RGEMJUUMUDLEAW-LSDHHAIUSA-N 0 2 314.470 0.820 20 0 DCADLN Cc1noc(C)c1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000062521507 158030236 /nfs/dbraw/zinc/03/02/36/158030236.db2.gz BLVRONPREQGIRH-UHFFFAOYSA-N 0 2 315.289 0.559 20 0 DCADLN Cc1occc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000092618478 158115321 /nfs/dbraw/zinc/11/53/21/158115321.db2.gz ZZVLSBHBIJLLMN-UHFFFAOYSA-N 0 2 305.338 0.394 20 0 DCADLN CN(C[C@H](O)C(F)(F)F)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119479721 158187565 /nfs/dbraw/zinc/18/75/65/158187565.db2.gz BGXGSEUIUABIBY-QMMMGPOBSA-N 0 2 318.255 0.669 20 0 DCADLN Cc1nc(CN2CCN(C(=O)c3c(O)cccc3F)CC2)n[nH]1 ZINC000131425183 158303416 /nfs/dbraw/zinc/30/34/16/158303416.db2.gz JQNCZBWFKZEGGW-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN CC(=O)c1ccc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)cc1 ZINC000315748256 159001991 /nfs/dbraw/zinc/00/19/91/159001991.db2.gz MZNRUCFLCDUYMI-UHFFFAOYSA-N 0 2 322.346 0.372 20 0 DCADLN CC(C)C[C@@H](CNC(=O)N[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000316513894 159002740 /nfs/dbraw/zinc/00/27/40/159002740.db2.gz XIYAKSJNPZYAFB-STQMWFEESA-N 0 2 315.414 0.753 20 0 DCADLN CN(CC1CC1)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328828108 159033485 /nfs/dbraw/zinc/03/34/85/159033485.db2.gz JTPXDTJKAONLAL-SNVBAGLBSA-N 0 2 315.399 0.276 20 0 DCADLN O=C(CCOC[C@H]1CCCO1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329094294 159061168 /nfs/dbraw/zinc/06/11/68/159061168.db2.gz XPQPXKQLCNUGDF-NWDGAFQWSA-N 0 2 324.381 0.802 20 0 DCADLN O=C(CN1CCCCC1=O)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000329167588 159069675 /nfs/dbraw/zinc/06/96/75/159069675.db2.gz ISVGBPZKZLNBMA-SNVBAGLBSA-N 0 2 307.354 0.576 20 0 DCADLN Cn1cc(C2CCN(C(=O)CSc3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000329301720 159080967 /nfs/dbraw/zinc/08/09/67/159080967.db2.gz WJQPHCKXNPHHMB-UHFFFAOYSA-N 0 2 322.394 0.742 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2S(=O)(=O)C2COC2)[nH]1 ZINC000329857018 159124344 /nfs/dbraw/zinc/12/43/44/159124344.db2.gz VDYPXKURQQWDAA-JTQLQIEISA-N 0 2 316.383 0.207 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc3c2OCCC3=O)c1O ZINC000348687011 159240495 /nfs/dbraw/zinc/24/04/95/159240495.db2.gz FQCQYNDVJLDKAM-LLVKDONJSA-N 0 2 318.285 0.640 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)C[C@@H]2CCC(=O)[C@H]2C)cnn1C ZINC000408485551 160118479 /nfs/dbraw/zinc/11/84/79/160118479.db2.gz QUFYGMBLBIASNM-WPRPVWTQSA-N 0 2 313.379 0.539 20 0 DCADLN COc1ccc(OC)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000080973864 286927523 /nfs/dbraw/zinc/92/75/23/286927523.db2.gz HRVLSDJBUIFERF-UHFFFAOYSA-N 0 2 306.322 0.478 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(N2CCCC2)nc1 ZINC000080974518 286927980 /nfs/dbraw/zinc/92/79/80/286927980.db2.gz CIWZJUKEMLCSJC-UHFFFAOYSA-N 0 2 316.365 0.456 20 0 DCADLN CCN(C(=O)c1nc(=O)[nH][nH]1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000134183590 287015277 /nfs/dbraw/zinc/01/52/77/287015277.db2.gz MMXQECQUPHOAOT-LLVKDONJSA-N 0 2 315.333 0.366 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2[nH]nc(C)c2C)cn1C ZINC000151414401 287033650 /nfs/dbraw/zinc/03/36/50/287033650.db2.gz IURBNUCLDVTVMG-UHFFFAOYSA-N 0 2 312.351 0.952 20 0 DCADLN Cn1cc(S(=O)(=O)NC(=O)/C=C\C(C)(C)C)cc1C(N)=O ZINC000255390660 287124746 /nfs/dbraw/zinc/12/47/46/287124746.db2.gz WUMGHXKBQHYWHC-WAYWQWQTSA-N 0 2 313.379 0.531 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)Nc2cc(F)ccc21 ZINC000358399879 287208994 /nfs/dbraw/zinc/20/89/94/287208994.db2.gz YZTCAZFXGYGAMU-MRVPVSSYSA-N 0 2 305.269 0.392 20 0 DCADLN Cn1cc(C(=O)C(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)cn1 ZINC000331581420 415141586 /nfs/dbraw/zinc/14/15/86/415141586.db2.gz JWFYHDSBKLLIAG-SECBINFHSA-N 0 2 304.310 0.180 20 0 DCADLN Cc1csc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000047477830 415271591 /nfs/dbraw/zinc/27/15/91/415271591.db2.gz LXPQBBKRWMQJGG-UHFFFAOYSA-N 0 2 317.330 0.719 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@]3(O)CCO[C@@H]3C)c[nH]c2n1 ZINC000332192011 415289543 /nfs/dbraw/zinc/28/95/43/415289543.db2.gz WZGGSXALTAFXMC-QLJPJBMISA-N 0 2 317.345 0.914 20 0 DCADLN Cc1cc2[nH+]cn(C[C@H](O)C[N@@H+]3CCC[C@@H]3C(N)=O)c2cc1C ZINC000051562920 415312502 /nfs/dbraw/zinc/31/25/02/415312502.db2.gz MTWODVWGJWZADE-UKRRQHHQSA-N 0 2 316.405 0.964 20 0 DCADLN CC(=O)Nc1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)ccc1C ZINC000081815050 415486381 /nfs/dbraw/zinc/48/63/81/415486381.db2.gz UXGCPPHIAHBSFA-UHFFFAOYSA-N 0 2 317.349 0.727 20 0 DCADLN Cc1ccc(NC(=O)C(N)C(F)(F)F)cc1-n1cnnn1 ZINC000353169678 415462026 /nfs/dbraw/zinc/46/20/26/415462026.db2.gz OXIXRWCXTCGGDS-SECBINFHSA-N 0 2 300.244 0.799 20 0 DCADLN Cc1ccc(NC(=O)[C@@H](N)C(F)(F)F)cc1-n1cnnn1 ZINC000353169678 415462033 /nfs/dbraw/zinc/46/20/33/415462033.db2.gz OXIXRWCXTCGGDS-SECBINFHSA-N 0 2 300.244 0.799 20 0 DCADLN CCSc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cn1 ZINC000080974526 415480547 /nfs/dbraw/zinc/48/05/47/415480547.db2.gz OHOCYUMSFDLMQT-UHFFFAOYSA-N 0 2 307.379 0.968 20 0 DCADLN Cc1n[nH]c(NC(=O)CCc2c(C)nc3ncnn3c2C)n1 ZINC000103254185 415614194 /nfs/dbraw/zinc/61/41/94/415614194.db2.gz DEGRGHIXMQIRNK-UHFFFAOYSA-N 0 2 300.326 0.739 20 0 DCADLN CN(Cc1nnnn1C)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000343570576 415643544 /nfs/dbraw/zinc/64/35/44/415643544.db2.gz MWVKUBICYFKEIU-UHFFFAOYSA-N 0 2 310.387 0.445 20 0 DCADLN Cc1c(Cl)cccc1NC(=O)CCNC(=O)c1nc(=O)[nH][nH]1 ZINC000101671404 415597280 /nfs/dbraw/zinc/59/72/80/415597280.db2.gz MSSBOOJEPMZCQU-UHFFFAOYSA-N 0 2 323.740 0.818 20 0 DCADLN CCNc1nc(N(C)[C@@H]2COC[C@@H]2O)nc(NC(C)(C)C)[nH+]1 ZINC000343631799 415663685 /nfs/dbraw/zinc/66/36/85/415663685.db2.gz OCCMLDYRUASXLF-ZJUUUORDSA-N 0 2 310.402 0.132 20 0 DCADLN O=S(=O)(Nc1ccccn1)c1cccc(-n2cnnn2)c1 ZINC000116600305 415726969 /nfs/dbraw/zinc/72/69/69/415726969.db2.gz XSVDDKKGKAFFSC-UHFFFAOYSA-N 0 2 302.319 0.858 20 0 DCADLN Cc1cc(C[C@H](C)NC(=O)N2CC[N@@H+](C[C@@H](C)O)C[C@@H]2C)n[nH]1 ZINC000289023644 415697788 /nfs/dbraw/zinc/69/77/88/415697788.db2.gz AMOJNCDPADIKFE-FPMFFAJLSA-N 0 2 323.441 0.746 20 0 DCADLN CNC(=O)[C@H](C)CN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119313987 415742428 /nfs/dbraw/zinc/74/24/28/415742428.db2.gz KWQMAQNKFCKMAJ-MRVPVSSYSA-N 0 2 305.338 0.128 20 0 DCADLN C[C@H](NC(N)=O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000354093214 415749524 /nfs/dbraw/zinc/74/95/24/415749524.db2.gz QOBFJTVKUGGKTF-VIFPVBQESA-N 0 2 318.337 0.057 20 0 DCADLN C[C@H]1C[C@@H](CCNC(=O)NCCNS(C)(=O)=O)CCO1 ZINC000334036949 415788500 /nfs/dbraw/zinc/78/85/00/415788500.db2.gz MAMOMWWIUMUTGJ-QWRGUYRKSA-N 0 2 307.416 0.040 20 0 DCADLN C[C@@H](CS(C)(=O)=O)NC(=O)N(C)C[C@H]1CCC[N@H+](C)C1 ZINC000334034349 415789009 /nfs/dbraw/zinc/78/90/09/415789009.db2.gz TWMGVTAGTBCQFD-RYUDHWBXSA-N 0 2 305.444 0.403 20 0 DCADLN CCC1(O)CN(C(=O)[C@H](C)[C@H](C)C(=O)N2CC(O)(CC)C2)C1 ZINC000334027451 415789541 /nfs/dbraw/zinc/78/95/41/415789541.db2.gz IFUQNHCTVYYWOP-TXEJJXNPSA-N 0 2 312.410 0.225 20 0 DCADLN C[C@H](O)C[C@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000337578319 415980147 /nfs/dbraw/zinc/98/01/47/415980147.db2.gz YJJNNVFACINMQJ-WCBMZHEXSA-N 0 2 318.333 0.812 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc2c1oc(=O)n2C ZINC000338124829 416047670 /nfs/dbraw/zinc/04/76/70/416047670.db2.gz CIKWZLWZLDSERZ-UHFFFAOYSA-N 0 2 308.319 0.666 20 0 DCADLN C[C@H]1CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@H]1C ZINC000173005679 416050617 /nfs/dbraw/zinc/05/06/17/416050617.db2.gz LPZDLMMACUYYIG-IUCAKERBSA-N 0 2 302.334 0.872 20 0 DCADLN Cc1cc(F)ccc1S(=O)(=O)Nc1ncn(C)c1C(N)=O ZINC000344925767 416009669 /nfs/dbraw/zinc/00/96/69/416009669.db2.gz JRJKUIVTSPTUQM-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN O=C(NCCc1cn[nH]c1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000357019332 416022088 /nfs/dbraw/zinc/02/20/88/416022088.db2.gz VPMVOJRQIFSKIE-UHFFFAOYSA-N 0 2 300.278 0.132 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H](CO)CC1CCCC1 ZINC000338022072 416034482 /nfs/dbraw/zinc/03/44/82/416034482.db2.gz KTNPLBCCGKCDQH-SECBINFHSA-N 0 2 304.334 0.914 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CC[C@@H]2CCCO2)s1 ZINC000298942748 416041087 /nfs/dbraw/zinc/04/10/87/416041087.db2.gz QVICMSOLCKAXBO-ZETCQYMHSA-N 0 2 320.396 0.807 20 0 DCADLN O=C(Nc1ccccc1)c1ccc(NCc2n[nH]c(=O)[nH]2)nn1 ZINC000301594286 416087233 /nfs/dbraw/zinc/08/72/33/416087233.db2.gz ZIJFXWOTBHUHMW-UHFFFAOYSA-N 0 2 311.305 0.752 20 0 DCADLN Cc1cc(C(=O)NCCOCC2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000345470786 416100976 /nfs/dbraw/zinc/10/09/76/416100976.db2.gz VVMBXFKYGDXOCS-UHFFFAOYSA-N 0 2 318.333 0.901 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2cncc(F)c2)s1 ZINC000345581645 416119335 /nfs/dbraw/zinc/11/93/35/416119335.db2.gz FJWPSKCGRYDCDT-UHFFFAOYSA-N 0 2 317.327 0.696 20 0 DCADLN Cc1c(NS(=O)(=O)c2ccc3c(c2)oc(=O)n3C)cnn1C ZINC000176974354 416138846 /nfs/dbraw/zinc/13/88/46/416138846.db2.gz UBDZWRJASNUYDS-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN CNC(=O)[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(C)C ZINC000182276195 416217871 /nfs/dbraw/zinc/21/78/71/416217871.db2.gz LMMLWALOSLUVEV-SNVBAGLBSA-N 0 2 305.338 0.174 20 0 DCADLN COCCOC[C@@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358337762 416225261 /nfs/dbraw/zinc/22/52/61/416225261.db2.gz CASWKUJXIRIZOR-SECBINFHSA-N 0 2 308.338 0.455 20 0 DCADLN Cc1cc(C)n(-c2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cn2)n1 ZINC000358356768 416228803 /nfs/dbraw/zinc/22/88/03/416228803.db2.gz NGJOWQMVLPZACV-UHFFFAOYSA-N 0 2 313.321 0.638 20 0 DCADLN CCc1c(C(=O)NCc2n[nH]c(=O)[nH]2)cnn1-c1ccccn1 ZINC000358375351 416230792 /nfs/dbraw/zinc/23/07/92/416230792.db2.gz XTJPWULYSSPZIP-UHFFFAOYSA-N 0 2 313.321 0.583 20 0 DCADLN CCc1nc(C(C)(C)NS(=O)(=O)c2c(N)noc2C)no1 ZINC000349658600 416258494 /nfs/dbraw/zinc/25/84/94/416258494.db2.gz VOXAHWKPMXTZRD-UHFFFAOYSA-N 0 2 315.355 0.724 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NCCc1nc(N)no1)c2=O ZINC000358645221 416264561 /nfs/dbraw/zinc/26/45/61/416264561.db2.gz MBQRCDPJODPEDF-UHFFFAOYSA-N 0 2 314.305 0.582 20 0 DCADLN O=C(NC[C@@H]1C[C@H](O)C[N@H+]1Cc1ccccc1)c1nc[nH]n1 ZINC000342211884 416293853 /nfs/dbraw/zinc/29/38/53/416293853.db2.gz WYMVCRVDCOAZDO-STQMWFEESA-N 0 2 301.350 0.170 20 0 DCADLN O=C(NC[C@@H]1C[C@H](O)C[N@@H+]1Cc1ccccc1)c1nc[nH]n1 ZINC000342211884 416293855 /nfs/dbraw/zinc/29/38/55/416293855.db2.gz WYMVCRVDCOAZDO-STQMWFEESA-N 0 2 301.350 0.170 20 0 DCADLN C[C@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCS1 ZINC000191939616 416293957 /nfs/dbraw/zinc/29/39/57/416293957.db2.gz DXDIWGXJASRHSS-QMMMGPOBSA-N 0 2 320.374 0.579 20 0 DCADLN NC(=O)c1ccc(CNS(=O)(=O)NCC(F)(F)F)cc1 ZINC000195053548 416325283 /nfs/dbraw/zinc/32/52/83/416325283.db2.gz KQMVVRHJUJSQQN-UHFFFAOYSA-N 0 2 311.285 0.272 20 0 DCADLN O=C(CCNS(=O)(=O)NCC(F)(F)F)N1CCCCC1 ZINC000195111661 416325285 /nfs/dbraw/zinc/32/52/85/416325285.db2.gz OIABIRCIAPLDSV-UHFFFAOYSA-N 0 2 317.333 0.375 20 0 DCADLN C[C@H](NS(=O)(=O)NCC(F)(F)F)[C@H](C)N1CCOCC1 ZINC000195227200 416325357 /nfs/dbraw/zinc/32/53/57/416325357.db2.gz NMXQJBFALCVBJU-IUCAKERBSA-N 0 2 319.349 0.082 20 0 DCADLN C[C@@]1(CNS(=O)(=O)NCC(F)(F)F)CCCC[C@H]1O ZINC000195334280 416326168 /nfs/dbraw/zinc/32/61/68/416326168.db2.gz MRVANTUNQQYMSJ-BDAKNGLRSA-N 0 2 304.334 0.914 20 0 DCADLN C[C@H](CC(=O)NCc1n[nH]c(=O)[nH]1)NC(=O)C1CCCCC1 ZINC000359803714 416359326 /nfs/dbraw/zinc/35/93/26/416359326.db2.gz NMNLQQLQYVUCNR-SECBINFHSA-N 0 2 309.370 0.602 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc(C(N)=O)c2OC)cn1 ZINC000360278443 416383889 /nfs/dbraw/zinc/38/38/89/416383889.db2.gz JULQGIOOXSBYEY-UHFFFAOYSA-N 0 2 324.362 0.811 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N[C@H](C)C(F)(F)F ZINC000360671643 416402275 /nfs/dbraw/zinc/40/22/75/416402275.db2.gz DWRKWJGEVSHPOL-SSDOTTSWSA-N 0 2 302.256 0.941 20 0 DCADLN C[C@H](CNC(=O)NCc1n[nH]c(C2CC2)n1)C[NH+]1CCOCC1 ZINC000458407136 416463939 /nfs/dbraw/zinc/46/39/39/416463939.db2.gz GBYDEKSJZVSEIG-LLVKDONJSA-N 0 2 322.413 0.450 20 0 DCADLN CSCC[C@@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000361670669 416471124 /nfs/dbraw/zinc/47/11/24/416471124.db2.gz KQAJMGXMORSQQI-QMMMGPOBSA-N 0 2 324.362 0.280 20 0 DCADLN C[C@H]1CCc2onc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c2C1 ZINC000434492062 416480634 /nfs/dbraw/zinc/48/06/34/416480634.db2.gz MROUWDBZNCJJLE-QMMMGPOBSA-N 0 2 305.338 0.986 20 0 DCADLN C[C@H]1CN(C(=O)NC[C@H]2C[NH+](C)CCO2)CC[N@@H+](C2CC2)C1 ZINC000458310943 416450317 /nfs/dbraw/zinc/45/03/17/416450317.db2.gz LCJFRIGAZVBAKY-HIFRSBDPSA-N 0 2 310.442 0.443 20 0 DCADLN C[C@H]1CO[C@H](CO)CN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000361603561 416459482 /nfs/dbraw/zinc/45/94/82/416459482.db2.gz NQDULTIJTUNRNO-IUCAKERBSA-N 0 2 314.411 0.871 20 0 DCADLN Cn1nc(NS(=O)(=O)C[C@H]2CCCO2)cc1OC(F)F ZINC000488373854 416558118 /nfs/dbraw/zinc/55/81/18/416558118.db2.gz XICCBBFUQPNMMA-SSDOTTSWSA-N 0 2 311.310 0.942 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCCOC[C@H]1CCCO1 ZINC000361867051 416514236 /nfs/dbraw/zinc/51/42/36/416514236.db2.gz XWACPMVJGGDBQR-SECBINFHSA-N 0 2 316.383 0.304 20 0 DCADLN O=C(NCCN1C(=O)CCCC1=O)c1cc(F)c(O)c(F)c1 ZINC000436521425 416563931 /nfs/dbraw/zinc/56/39/31/416563931.db2.gz DSKWWMIIWCCYDU-UHFFFAOYSA-N 0 2 312.272 0.939 20 0 DCADLN CC(C)c1ccc(N2CC(=O)C(C(=O)Nc3nn[nH]n3)=N2)cc1 ZINC000439012645 416605574 /nfs/dbraw/zinc/60/55/74/416605574.db2.gz ARFQSTJFDYIWCD-UHFFFAOYSA-N 0 2 313.321 1.467 20 0 DCADLN Cc1c[nH]c(NC(=O)c2cc(S(=O)(=O)N(C)C)ccc2O)n1 ZINC000436820760 416570905 /nfs/dbraw/zinc/57/09/05/416570905.db2.gz MZDGIKXHKUFBSC-UHFFFAOYSA-N 0 2 324.362 0.926 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc[nH+]c3ccncc32)CCS1(=O)=O ZINC000424477048 416654400 /nfs/dbraw/zinc/65/44/00/416654400.db2.gz UZOLQWJAVIDKGT-LBPRGKRZSA-N 0 2 307.331 0.318 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCCC[C@H]2C(N)=O)c1 ZINC000424581471 416665198 /nfs/dbraw/zinc/66/51/98/416665198.db2.gz HAXWUYXZWGRELD-WHEQGISXSA-N 0 2 324.402 0.669 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NCc2ccc[nH]2)s[nH]1 ZINC000441354664 416669507 /nfs/dbraw/zinc/66/95/07/416669507.db2.gz FQYUSNMSWIMXNI-UHFFFAOYSA-N 0 2 307.379 0.841 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000514673904 416670656 /nfs/dbraw/zinc/67/06/56/416670656.db2.gz UTVCCTLFPBGNCU-UHFFFAOYSA-N 0 2 308.338 0.097 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1NC(=O)CC12CCCCC2 ZINC000614812408 416707669 /nfs/dbraw/zinc/70/76/69/416707669.db2.gz PEGLUTNDZKLWGI-UHFFFAOYSA-N 0 2 311.367 0.569 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H]2C[N@H+](C)C[C@H]21)[C@H](C)[NH+]1CCOCC1 ZINC000425664855 416719351 /nfs/dbraw/zinc/71/93/51/416719351.db2.gz QRTIOZKLHPEXIA-YHUYYLMFSA-N 0 2 324.469 0.831 20 0 DCADLN COCCc1nsc(NC2CCN(C(=O)COC)CC2)n1 ZINC000426540829 416775801 /nfs/dbraw/zinc/77/58/01/416775801.db2.gz QPDKYXAIZUGNGD-UHFFFAOYSA-N 0 2 314.411 0.198 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCC[S@@](C)=O)cn1 ZINC000426990171 416791038 /nfs/dbraw/zinc/79/10/38/416791038.db2.gz GLTBYJZUSHTIPC-OAQYLSRUSA-N 0 2 309.395 0.792 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cc3c(cn2)OCCC3)C1=O ZINC000370142748 416888529 /nfs/dbraw/zinc/88/85/29/416888529.db2.gz ZYDNAVUQSWBLNE-OAHLLOKOSA-N 0 2 318.333 0.772 20 0 DCADLN CO[C@H](C)c1nsc(NC[C@H](CO)Cc2cnn(C)c2)n1 ZINC000641646423 416959807 /nfs/dbraw/zinc/95/98/07/416959807.db2.gz HSJJGWIRVGHLDW-MWLCHTKSSA-N 0 2 311.411 0.664 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(-n3ccnn3)c2)c1O ZINC000445939516 416904310 /nfs/dbraw/zinc/90/43/10/416904310.db2.gz VOOFCCJQKMUZNU-NSHDSACASA-N 0 2 315.289 0.255 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(c2cc(N3CCOCC3)nc[nH+]2)C1 ZINC000565041048 416912850 /nfs/dbraw/zinc/91/28/50/416912850.db2.gz LFCAACXTHJLKIT-NSHDSACASA-N 0 2 324.406 0.567 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(c2cc(N3CCOCC3)[nH+]cn2)C1 ZINC000565041048 416912859 /nfs/dbraw/zinc/91/28/59/416912859.db2.gz LFCAACXTHJLKIT-NSHDSACASA-N 0 2 324.406 0.567 20 0 DCADLN O=S1(=O)NC(CN2CCc3n[nH]nc3C2)=Nc2ccccc21 ZINC000429301536 416964463 /nfs/dbraw/zinc/96/44/63/416964463.db2.gz MZLJQCACDOBVFT-UHFFFAOYSA-N 0 2 318.362 0.185 20 0 DCADLN C[C@@H](O)CNc1cc(NC[C@@H](C(=O)[O-])c2ccccc2)[nH+]cn1 ZINC000566297727 417043445 /nfs/dbraw/zinc/04/34/45/417043445.db2.gz QDQRRFPLOZKQCB-DGCLKSJQSA-N 0 2 316.361 1.550 20 0 DCADLN C[C@@H](O)CNc1cc(NC[C@@H](C(=O)[O-])c2ccccc2)nc[nH+]1 ZINC000566297727 417043450 /nfs/dbraw/zinc/04/34/50/417043450.db2.gz QDQRRFPLOZKQCB-DGCLKSJQSA-N 0 2 316.361 1.550 20 0 DCADLN C[N@H+]1CC[C@](O)(CNC(=O)c2ccc(-c3c[nH]c[nH+]3)cc2)C1 ZINC000632472836 417109527 /nfs/dbraw/zinc/10/95/27/417109527.db2.gz HWEADWVQOUQOLC-INIZCTEOSA-N 0 2 300.362 0.873 20 0 DCADLN C[C@@](CO)(NC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000616805003 417114458 /nfs/dbraw/zinc/11/44/58/417114458.db2.gz DVRQWPSIUCHCTL-ZDUSSCGKSA-N 0 2 308.363 0.626 20 0 DCADLN NC(=O)c1ccc(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)o1 ZINC000438048168 287366468 /nfs/dbraw/zinc/36/64/68/287366468.db2.gz LDXDBYSIFZHCCC-UHFFFAOYSA-N 0 2 314.257 0.974 20 0 DCADLN NC(=O)[C@@H]1CC[C@H]1C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000621933021 417348820 /nfs/dbraw/zinc/34/88/20/417348820.db2.gz SNXBXFFGXOURFG-RKDXNWHRSA-N 0 2 303.347 0.472 20 0 DCADLN CCN(C)C(=O)[C@@H](NS(=O)(=O)NCC(F)(F)F)C(C)C ZINC000451738270 417389471 /nfs/dbraw/zinc/38/94/71/417389471.db2.gz ZEUZXIVWMSPYQP-QMMMGPOBSA-N 0 2 319.349 0.476 20 0 DCADLN CN(C)c1ccc(S(=O)(=O)Nc2nc3n(n2)CCCC3)cn1 ZINC000570898599 417403637 /nfs/dbraw/zinc/40/36/37/417403637.db2.gz OICWVSXNEGPUIU-UHFFFAOYSA-N 0 2 322.394 0.876 20 0 DCADLN CC[C@@H]1C[C@H]1CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000451830269 417403820 /nfs/dbraw/zinc/40/38/20/417403820.db2.gz USNXWHHCRKEIOV-SFYZADRCSA-N 0 2 324.362 0.760 20 0 DCADLN CCN(CC)C(=O)C[N@H+](C)[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC000643872042 417409208 /nfs/dbraw/zinc/40/92/08/417409208.db2.gz PRHOZPNQCBEOFT-GFCCVEGCSA-N 0 2 313.398 0.252 20 0 DCADLN CCN(CC)C(=O)C[N@@H+](C)[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC000643872042 417409212 /nfs/dbraw/zinc/40/92/12/417409212.db2.gz PRHOZPNQCBEOFT-GFCCVEGCSA-N 0 2 313.398 0.252 20 0 DCADLN CC1(c2nc(=NC(=O)c3cn([C@@H]4CCOC4)nn3)s[nH]2)CC1 ZINC000529697114 417489439 /nfs/dbraw/zinc/48/94/39/417489439.db2.gz GREOTGPNHGQFAE-MRVPVSSYSA-N 0 2 320.378 0.817 20 0 DCADLN O=C(N[C@H]1COC[C@H]1O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000633855324 417497280 /nfs/dbraw/zinc/49/72/80/417497280.db2.gz YQSIMPJXCKXJQP-CMPLNLGQSA-N 0 2 307.281 0.207 20 0 DCADLN COC[C@](C)(O)CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452344123 417470513 /nfs/dbraw/zinc/47/05/13/417470513.db2.gz OBKFHQSPTMDMLC-CQSZACIVSA-N 0 2 308.338 0.191 20 0 DCADLN C[N@@H+]1CC[C@@]2(CCN(c3nc(N4CCOCC4)cc[nH+]3)C2)C1 ZINC000644151681 417483859 /nfs/dbraw/zinc/48/38/59/417483859.db2.gz UVZOOGGQJFXFAW-MRXNPFEDSA-N 0 2 303.410 0.845 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@H](CO)O1 ZINC000530356298 417572220 /nfs/dbraw/zinc/57/22/20/417572220.db2.gz RRVSRWQGPJOOTD-BXKDBHETSA-N 0 2 319.317 0.252 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)N[C@@H](C)c2[nH+]ccn2C)C1 ZINC000635519547 417687816 /nfs/dbraw/zinc/68/78/16/417687816.db2.gz PIINNQUOOJJTPZ-IINYFYTJSA-N 0 2 310.354 0.614 20 0 DCADLN C[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)[C@H](O)C(F)(F)F ZINC000644754728 417655315 /nfs/dbraw/zinc/65/53/15/417655315.db2.gz SWRXMIACQBCSOL-UCORVYFPSA-N 0 2 300.262 0.030 20 0 DCADLN COCC1(CNC(=O)CSc2n[nH]c(=O)[nH]2)CCCC1 ZINC000456871605 417667594 /nfs/dbraw/zinc/66/75/94/417667594.db2.gz RREWUJKEKFWTCN-UHFFFAOYSA-N 0 2 300.384 0.925 20 0 DCADLN O=C(N[C@@H](CO)CN1CCOCC1)c1c(O)cc(F)cc1F ZINC000644885893 417672800 /nfs/dbraw/zinc/67/28/00/417672800.db2.gz NMEIVQRGTPEOMS-SNVBAGLBSA-N 0 2 316.304 0.093 20 0 DCADLN O=c1nc(CNC(CO)(CO)C(F)(F)F)[nH]c2ccsc21 ZINC000639864500 417757474 /nfs/dbraw/zinc/75/74/74/417757474.db2.gz GJUOSMACQADUDO-UHFFFAOYSA-N 0 2 323.296 0.772 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2CCO[C@H]3C[C@H]32)s[nH]1 ZINC000645584645 417759981 /nfs/dbraw/zinc/75/99/81/417759981.db2.gz KNZMKLYQUJCQSU-SFYZADRCSA-N 0 2 310.379 0.196 20 0 DCADLN C[C@@H]1CCN(C(=O)CCCc2nn[nH]n2)C[C@H]1n1ccnc1 ZINC000635682142 417737703 /nfs/dbraw/zinc/73/77/03/417737703.db2.gz QYKOIAJAWKEFHF-VXGBXAGGSA-N 0 2 303.370 0.829 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2nc3n([nH]2)CCCC3)[C@@H](C)CO1 ZINC000652004343 417830408 /nfs/dbraw/zinc/83/04/08/417830408.db2.gz JSIZKDFQEUVEQZ-WDEREUQCSA-N 0 2 321.381 0.001 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc(N2CCNC2=O)c1 ZINC000629287551 417771558 /nfs/dbraw/zinc/77/15/58/417771558.db2.gz XQCVCTPENMODMK-UHFFFAOYSA-N 0 2 322.350 0.146 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ncc2c(n1)CCC(C)(C)C2 ZINC000629351538 417786349 /nfs/dbraw/zinc/78/63/49/417786349.db2.gz ZSUQVVUKXKPNHA-UHFFFAOYSA-N 0 2 322.394 0.921 20 0 DCADLN Cc1nn(C)c(N2CCC2)c1NS(=O)(=O)c1cnn(C)c1C ZINC000629353367 417788596 /nfs/dbraw/zinc/78/85/96/417788596.db2.gz BSSHTMOUXRMDRJ-UHFFFAOYSA-N 0 2 324.410 0.781 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@]2(O)CCCC2(C)C)[nH]1 ZINC000651823802 417790064 /nfs/dbraw/zinc/79/00/64/417790064.db2.gz XFESGTMVZXHVSX-OAHLLOKOSA-N 0 2 323.397 0.277 20 0 DCADLN CC[C@@H]1C[N@H+](CC)CCN1C(=O)C(=O)N=c1ncn(C(C)C)[n-]1 ZINC000651839194 417795250 /nfs/dbraw/zinc/79/52/50/417795250.db2.gz XLABFQRGVAGVIR-GFCCVEGCSA-N 0 2 322.413 0.162 20 0 DCADLN CC[C@@H]1C[N@@H+](CC)CCN1C(=O)C(=O)N=c1ncn(C(C)C)[n-]1 ZINC000651839194 417795256 /nfs/dbraw/zinc/79/52/56/417795256.db2.gz XLABFQRGVAGVIR-GFCCVEGCSA-N 0 2 322.413 0.162 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CCc2cccs2)[nH]1 ZINC000657104406 417811771 /nfs/dbraw/zinc/81/17/71/417811771.db2.gz PCYXURNRCRHHCA-UHFFFAOYSA-N 0 2 316.408 0.675 20 0 DCADLN C[N@H+](CCc1ccccc1)CC(=O)N1CC([NH+]2CC[C@@H](O)C2)C1 ZINC000636125086 417876408 /nfs/dbraw/zinc/87/64/08/417876408.db2.gz IQGPXUWFMBODEP-QGZVFWFLSA-N 0 2 317.433 0.438 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCSCC3)[nH+]cn2)C[C@H](C(=O)[O-])O1 ZINC000652536590 417928318 /nfs/dbraw/zinc/92/83/18/417928318.db2.gz BUAQHOHUKPGEIY-GHMZBOCLSA-N 0 2 324.406 0.708 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCSCC3)nc[nH+]2)C[C@H](C(=O)[O-])O1 ZINC000652536590 417928325 /nfs/dbraw/zinc/92/83/25/417928325.db2.gz BUAQHOHUKPGEIY-GHMZBOCLSA-N 0 2 324.406 0.708 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(NCC2(C(=O)[O-])CCC2)n1 ZINC000662897404 417941166 /nfs/dbraw/zinc/94/11/66/417941166.db2.gz GIQQJNUHDIENIB-UHFFFAOYSA-N 0 2 324.385 0.763 20 0 DCADLN CC(C)Nc1nc(NCC2(C(=O)[O-])CCC2)nc(NCCO)[nH+]1 ZINC000662897404 417941175 /nfs/dbraw/zinc/94/11/75/417941175.db2.gz GIQQJNUHDIENIB-UHFFFAOYSA-N 0 2 324.385 0.763 20 0 DCADLN O=c1[nH]c(CC(F)(F)F)c(O)n1-c1ccnc(OCCO)c1 ZINC000663265591 418005752 /nfs/dbraw/zinc/00/57/52/418005752.db2.gz WUIGWQYQMWYAQV-MRVPVSSYSA-N 0 2 319.239 0.830 20 0 DCADLN O=c1[nH]c(CC(F)(F)F)c(O)n1-c1ccnc(OCCO)c1 ZINC000663265592 418005781 /nfs/dbraw/zinc/00/57/81/418005781.db2.gz WUIGWQYQMWYAQV-QMMMGPOBSA-N 0 2 319.239 0.830 20 0 DCADLN Cc1cc(NC(=O)c2nc(COC[C@H]3CCOC3)no2)no1 ZINC000647441296 418010528 /nfs/dbraw/zinc/01/05/28/418010528.db2.gz HZCLCJVNBRAPNW-VIFPVBQESA-N 0 2 308.294 0.593 20 0 DCADLN Cc1ccc(-n2cc(C(=O)N(C)CC3N=NC(=O)O3)nn2)cc1 ZINC000647695899 418042333 /nfs/dbraw/zinc/04/23/33/418042333.db2.gz OLRWBZJADDMGKC-UHFFFAOYSA-N 0 2 314.305 0.937 20 0 DCADLN Cc1nc(=NC(=O)NC[C@@H]2OCCc3ccccc32)[nH]n1C ZINC000653243295 418046030 /nfs/dbraw/zinc/04/60/30/418046030.db2.gz FLFAXGKGUQKDMI-ZDUSSCGKSA-N 0 2 301.350 0.981 20 0 DCADLN Cc1nc(=NC(=O)N[C@@H](CO)[C@H](C)c2ccccc2)[nH]n1C ZINC000653238730 418046498 /nfs/dbraw/zinc/04/64/98/418046498.db2.gz PZLQSQDRBGWSST-MFKMUULPSA-N 0 2 303.366 0.832 20 0 DCADLN Cn1cc(C[NH+]2CCN(C(=O)[C@@]3(C(=O)[O-])CC3(C)C)CC2)cn1 ZINC000663063144 417985054 /nfs/dbraw/zinc/98/50/54/417985054.db2.gz WFZQCGGWZGEUDL-MRXNPFEDSA-N 0 2 320.393 0.565 20 0 DCADLN CC1(C)Cc2n[nH]cc2CN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000663198702 418000227 /nfs/dbraw/zinc/00/02/27/418000227.db2.gz XICQBWXJTGDSGV-UHFFFAOYSA-N 0 2 322.394 0.937 20 0 DCADLN Cc1cc(CNC(=O)C(=O)NCC2(C(=O)[O-])CCC2)cc(C)[nH+]1 ZINC000659658995 418138795 /nfs/dbraw/zinc/13/87/95/418138795.db2.gz FIRQVYPAXPHLNZ-UHFFFAOYSA-N 0 2 319.361 0.686 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CCc2c(C)noc2C)[nH]n1 ZINC000650815576 418326572 /nfs/dbraw/zinc/32/65/72/418326572.db2.gz WFUOTFIDZXUZRO-UHFFFAOYSA-N 0 2 303.322 0.434 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)NC[C@@H](C(=O)[O-])C(C)C ZINC000655944335 418305374 /nfs/dbraw/zinc/30/53/74/418305374.db2.gz CTEBWZSOIHHVHT-SECBINFHSA-N 0 2 309.322 0.502 20 0 DCADLN Cc1cc(CNC(=O)C(=O)NC[C@@H](C(=O)[O-])C(C)C)cc(C)[nH+]1 ZINC000655998572 418314675 /nfs/dbraw/zinc/31/46/75/418314675.db2.gz VAFZORZJKJVDSZ-CYBMUJFWSA-N 0 2 321.377 0.788 20 0 DCADLN Cc1cn2c([nH+]1)CN(C(=O)C(=O)NC[C@H](C(=O)[O-])C(C)C)CC2 ZINC000656009192 418317176 /nfs/dbraw/zinc/31/71/76/418317176.db2.gz USKKEHMIXXGILF-NSHDSACASA-N 0 2 322.365 0.007 20 0 DCADLN NC(=O)[C@H]1CCO[C@@H]1C1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650770184 418323072 /nfs/dbraw/zinc/32/30/72/418323072.db2.gz PAPAGHSIZMJZOQ-GXTWGEPZSA-N 0 2 319.361 0.887 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNc1cc[nH+]c(C(=O)[O-])c1 ZINC000650767015 418323187 /nfs/dbraw/zinc/32/31/87/418323187.db2.gz FKALYSWCAAOUOI-GXSJLCMTSA-N 0 2 313.379 0.332 20 0 DCADLN CN(C1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1)S(C)(=O)=O ZINC000650766712 418323222 /nfs/dbraw/zinc/32/32/22/418323222.db2.gz VAYAMDSQNJQBCQ-UHFFFAOYSA-N 0 2 313.379 0.640 20 0 DCADLN NC(=O)c1cc([C@H]2CCCN(c3cc[nH+]c(C(=O)[O-])c3)C2)n[nH]1 ZINC000650767926 418323299 /nfs/dbraw/zinc/32/32/99/418323299.db2.gz IIFPXUIBJFPOGA-VIFPVBQESA-N 0 2 315.333 0.986 20 0 DCADLN COCCC(=O)N1CCC(Nc2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650765198 418323890 /nfs/dbraw/zinc/32/38/90/418323890.db2.gz DLVOTCLQLHRACL-UHFFFAOYSA-N 0 2 307.350 0.641 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNc1cc[nH+]c(C(=O)[O-])c1 ZINC000650767016 418323967 /nfs/dbraw/zinc/32/39/67/418323967.db2.gz FKALYSWCAAOUOI-KOLCDFICSA-N 0 2 313.379 0.332 20 0 DCADLN CC[C@H](OC1CCCC1)C(=O)N=c1ccc(C(=O)NC)n[nH]1 ZINC000650817057 418326126 /nfs/dbraw/zinc/32/61/26/418326126.db2.gz RHKVHEKBLWDAMB-LBPRGKRZSA-N 0 2 306.366 0.934 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)COc2c(C)cccc2C)[nH]n1 ZINC000650815078 418326270 /nfs/dbraw/zinc/32/62/70/418326270.db2.gz HESPCNLSZNUOOJ-UHFFFAOYSA-N 0 2 314.345 0.892 20 0 DCADLN CC[C@@H](OC1CCCC1)C(=O)N=c1ccc(C(=O)NC)n[nH]1 ZINC000650817056 418326382 /nfs/dbraw/zinc/32/63/82/418326382.db2.gz RHKVHEKBLWDAMB-GFCCVEGCSA-N 0 2 306.366 0.934 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cc(C)n(C)n1)[C@@H]1CCOC1 ZINC000656626944 418367245 /nfs/dbraw/zinc/36/72/45/418367245.db2.gz XGNNFENRSFGWIY-GHMZBOCLSA-N 0 2 303.384 0.522 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1nc(SC)n[nH]1)[C@@H]1CCOC1 ZINC000656729262 418374211 /nfs/dbraw/zinc/37/42/11/418374211.db2.gz UUMQFBGMVACYBG-HTQZYQBOSA-N 0 2 322.412 0.320 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000656851114 418379083 /nfs/dbraw/zinc/37/90/83/418379083.db2.gz DLNGVICEFOETTF-HNNXBMFYSA-N 0 2 305.334 0.791 20 0 DCADLN CO[C@@H](CS(=O)(=O)NCc1cn[nH]c1C)[C@H]1CCOC1 ZINC000656884819 418382022 /nfs/dbraw/zinc/38/20/22/418382022.db2.gz FIXSYCMHAJPFFO-JQWIXIFHSA-N 0 2 303.384 0.189 20 0 DCADLN CCO[C@@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651535514 418387662 /nfs/dbraw/zinc/38/76/62/418387662.db2.gz SJDIQCSVSZLZEH-LLVKDONJSA-N 0 2 309.370 0.247 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC2(CO)CCCCC2)[nH]1 ZINC000651612689 418397161 /nfs/dbraw/zinc/39/71/61/418397161.db2.gz ZNXMQBSIYHFOCG-UHFFFAOYSA-N 0 2 323.397 0.278 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC2CCC(F)(F)CC2)[nH]1 ZINC000651641590 418400404 /nfs/dbraw/zinc/40/04/04/418400404.db2.gz XNJNNSOCVBMKGH-UHFFFAOYSA-N 0 2 315.324 0.914 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC[C@H]2CCO[C@H](C)C2)[nH]1 ZINC000651660917 418401675 /nfs/dbraw/zinc/40/16/75/418401675.db2.gz FOYNCDMAJDCEOI-NEPJUHHUSA-N 0 2 323.397 0.541 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@H]2CCCCS2)[nH]1 ZINC000651659715 418401741 /nfs/dbraw/zinc/40/17/41/418401741.db2.gz PSGHGTNAJLAZPF-SNVBAGLBSA-N 0 2 311.411 0.621 20 0 DCADLN CO[C@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651659777 418401882 /nfs/dbraw/zinc/40/18/82/418401882.db2.gz SHXWJJULQBALGP-NSHDSACASA-N 0 2 309.370 0.247 20 0 DCADLN COC[C@H]1CCCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651675330 418402812 /nfs/dbraw/zinc/40/28/12/418402812.db2.gz PRDIPMFUIYQKKK-LBPRGKRZSA-N 0 2 323.397 0.495 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)c1ncon1 ZINC000479529858 287525619 /nfs/dbraw/zinc/52/56/19/287525619.db2.gz JAAHBTZUBCHSSK-YFKPBYRVSA-N 0 2 302.250 0.310 20 0 DCADLN CC(C)CCOCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000195088699 261150369 /nfs/dbraw/zinc/15/03/69/261150369.db2.gz LXFHDOIXUYFAPN-UHFFFAOYSA-N 0 2 320.349 0.404 20 0 DCADLN COC(=O)c1ccc(F)c(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000354363165 261208626 /nfs/dbraw/zinc/20/86/26/261208626.db2.gz RRBBQMBKJQZNOK-UHFFFAOYSA-N 0 2 309.257 0.758 20 0 DCADLN CC=Cc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000354429877 261218429 /nfs/dbraw/zinc/21/84/29/261218429.db2.gz WXBVOFQXAOVTLR-IHWYPQMZSA-N 0 2 301.306 0.798 20 0 DCADLN CN1C[C@H](NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)CC1=O ZINC000354512123 261229809 /nfs/dbraw/zinc/22/98/09/261229809.db2.gz NMKRKBIFJFYSII-SNVBAGLBSA-N 0 2 318.308 0.678 20 0 DCADLN CCN1CCO[C@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)C1 ZINC000355300543 261327434 /nfs/dbraw/zinc/32/74/34/261327434.db2.gz AQCPIEYWERBDDL-NSHDSACASA-N 0 2 319.390 0.681 20 0 DCADLN CN(C)C(=O)[C@@H]1CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355864919 261371590 /nfs/dbraw/zinc/37/15/90/261371590.db2.gz XIDXPUCPCMXEIK-NSHDSACASA-N 0 2 317.349 0.367 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000355869447 261372513 /nfs/dbraw/zinc/37/25/13/261372513.db2.gz QIXDRVYBQQFXMT-UHFFFAOYSA-N 0 2 317.348 0.582 20 0 DCADLN CCc1cccc(CC)c1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000355976787 261380573 /nfs/dbraw/zinc/38/05/73/261380573.db2.gz HSSMUHQNAFXMPH-UHFFFAOYSA-N 0 2 317.349 0.890 20 0 DCADLN CCC[C@H](NC(N)=O)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000356024036 261383942 /nfs/dbraw/zinc/38/39/42/261383942.db2.gz NHDVNLURBQJSIN-JTQLQIEISA-N 0 2 318.337 0.953 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1ccc(C(N)=O)cc1OC)OC ZINC000421166519 262391168 /nfs/dbraw/zinc/39/11/68/262391168.db2.gz QFTPQSVYTBHRIR-JTQLQIEISA-N 0 2 316.379 0.961 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)NCCC(C)(C)C(=O)[O-] ZINC000424513720 262505733 /nfs/dbraw/zinc/50/57/33/262505733.db2.gz DWCLKXWKAPDBLT-JTQLQIEISA-N 0 2 310.354 0.005 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1n[nH]c(-c2ccncc2)n1 ZINC000433090582 262651757 /nfs/dbraw/zinc/65/17/57/262651757.db2.gz SELATFJRIHSTML-UHFFFAOYSA-N 0 2 318.322 0.421 20 0 DCADLN C[C@@H](NC(=O)c1cc(F)c(O)c(F)c1)C(=O)N1CCOCC1 ZINC000436529531 271597995 /nfs/dbraw/zinc/59/79/95/271597995.db2.gz AAATUSFNZLPYIM-MRVPVSSYSA-N 0 2 314.288 0.648 20 0 DCADLN CC(C)CN1CCN(C(=O)NCCn2cc[nH+]c2)[C@H](C)C1=O ZINC000488791321 272051382 /nfs/dbraw/zinc/05/13/82/272051382.db2.gz YUDVUHXLGBIVKU-CYBMUJFWSA-N 0 2 307.398 0.781 20 0 DCADLN CCn1nccc1/C=C\C(=O)NS(=O)(=O)c1cn(C)nc1C ZINC000493613840 272200147 /nfs/dbraw/zinc/20/01/47/272200147.db2.gz HJFDMRIVQINERA-WAYWQWQTSA-N 0 2 323.378 0.463 20 0 DCADLN COC(=O)NCCCC(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000494473561 272223578 /nfs/dbraw/zinc/22/35/78/272223578.db2.gz VJPZOCBWGHGWTA-UHFFFAOYSA-N 0 2 311.342 0.353 20 0 DCADLN CCONC(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000495302685 272246013 /nfs/dbraw/zinc/24/60/13/272246013.db2.gz UDNOGSIUEJDTQM-SNVBAGLBSA-N 0 2 315.370 0.570 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000547644929 288064395 /nfs/dbraw/zinc/06/43/95/288064395.db2.gz XKIZNYRICHIJDU-LLVKDONJSA-N 0 2 321.358 0.875 20 0 DCADLN Cn1c[nH+]cc1CCNC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000548131604 288115001 /nfs/dbraw/zinc/11/50/01/288115001.db2.gz PTUHKGQCYYHEBR-UHFFFAOYSA-N 0 2 314.305 0.142 20 0 DCADLN CC[C@@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@H](C)[S@@]1=O ZINC000556840833 288398944 /nfs/dbraw/zinc/39/89/44/288398944.db2.gz STFJPLZAMMREMX-ANFZBRINSA-N 0 2 308.407 0.754 20 0 DCADLN CC(C)C[C@H](C(N)=O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000564658779 288757009 /nfs/dbraw/zinc/75/70/09/288757009.db2.gz LYMPPFAVQWJKTC-NXEZZACHSA-N 0 2 309.370 0.364 20 0 DCADLN CC(CO)(CO)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000565090273 288816924 /nfs/dbraw/zinc/81/69/24/288816924.db2.gz HNJFRBSTSKLRMG-UHFFFAOYSA-N 0 2 305.334 0.299 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C[C@H]2CCCO2)s1 ZINC000330504515 295541542 /nfs/dbraw/zinc/54/15/42/295541542.db2.gz DYZKIIKAHZZLHF-ZCFIWIBFSA-N 0 2 306.369 0.417 20 0 DCADLN C[C@@H]1COC[C@@H](C)N1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000265874887 297148452 /nfs/dbraw/zinc/14/84/52/297148452.db2.gz KLTPDFOEDNLHOP-HTQZYQBOSA-N 0 2 304.306 0.685 20 0 DCADLN C[C@H]1COC[C@H](C)N1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000265874891 300362763 /nfs/dbraw/zinc/36/27/63/300362763.db2.gz KLTPDFOEDNLHOP-YUMQZZPRSA-N 0 2 304.306 0.685 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](C)OC[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265831090 301123649 /nfs/dbraw/zinc/12/36/49/301123649.db2.gz QGDFRSBLTRPXRQ-BDAKNGLRSA-N 0 2 318.333 0.994 20 0 DCADLN CC(C)(C)c1cc(NC[C@H](O)[C@H]2CCOC2)nc(C(=O)[O-])[nH+]1 ZINC000584234597 331874408 /nfs/dbraw/zinc/87/44/08/331874408.db2.gz KZBXZIAXHANGAJ-UWVGGRQHSA-N 0 2 309.366 0.704 20 0 DCADLN COc1cccc(S([O-])=CC(=O)NCCc2c[nH+]cn2C)c1 ZINC000582841385 337207995 /nfs/dbraw/zinc/20/79/95/337207995.db2.gz FSGJKVWIQLNJBL-JOCHJYFZSA-N 0 2 321.402 0.895 20 0 DCADLN CS(=O)(=O)CC1(CN2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC000576785294 341863103 /nfs/dbraw/zinc/86/31/03/341863103.db2.gz QLVIDRVPEHVKAT-SNVBAGLBSA-N 0 2 314.411 0.515 20 0 DCADLN COCCNC(=S)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000011094583 1159844921 /nfs/dbraw/zinc/84/49/21/1159844921.db2.gz ZYBPTHXQENBJHM-UHFFFAOYSA-N 0 2 312.420 0.937 20 0 DCADLN COCCc1nsc(N[C@@H]2CCN(c3cnn(C)c3)C2)n1 ZINC000364878568 522031403 /nfs/dbraw/zinc/03/14/03/522031403.db2.gz QUJNIFUDOPFTPS-SNVBAGLBSA-N 0 2 308.411 0.573 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266788186 524099217 /nfs/dbraw/zinc/09/92/17/524099217.db2.gz CAPLMMXRUINVGY-SECBINFHSA-N 0 2 318.333 0.901 20 0 DCADLN O=C(NC[C@]1(O)CCSC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267027764 526633603 /nfs/dbraw/zinc/63/36/03/526633603.db2.gz XUPWBKFKHPVYRZ-CYBMUJFWSA-N 0 2 322.346 0.034 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(C(C)(C)C(N)=O)cc2)cn1 ZINC000158228253 527290808 /nfs/dbraw/zinc/29/08/08/527290808.db2.gz XPHWJTXJRMQJAP-UHFFFAOYSA-N 0 2 322.390 0.984 20 0 DCADLN O=C(COc1ccc2c(c1)CCCC2)NCc1n[nH]c(=O)[nH]1 ZINC000122116919 545750990 /nfs/dbraw/zinc/75/09/90/545750990.db2.gz OSOXXZMBKVPQFS-UHFFFAOYSA-N 0 2 302.334 0.672 20 0 DCADLN CCCN1C[C@@H](C(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)CC1=O ZINC000333470421 546082408 /nfs/dbraw/zinc/08/24/08/546082408.db2.gz DFLFVQABINFSRB-WDEREUQCSA-N 0 2 321.381 0.822 20 0 DCADLN CCN1CCOC[C@@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000361680266 546204345 /nfs/dbraw/zinc/20/43/45/546204345.db2.gz CHDJRGKZBPUKAG-GFCCVEGCSA-N 0 2 318.333 0.689 20 0 DCADLN COCc1nnc(CNC(=O)Nc2nccc(N(C)C)n2)[nH]1 ZINC000666586951 546487246 /nfs/dbraw/zinc/48/72/46/546487246.db2.gz RZAZHBYCVLDTGG-UHFFFAOYSA-N 0 2 306.330 0.129 20 0 DCADLN COCc1nnc(CNC(=O)Nc2cc(N(C)C)ncn2)[nH]1 ZINC000666912619 546525618 /nfs/dbraw/zinc/52/56/18/546525618.db2.gz UTVVDTVYFWAFHC-UHFFFAOYSA-N 0 2 306.330 0.129 20 0 DCADLN CCOC1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCC1 ZINC000666974984 546537620 /nfs/dbraw/zinc/53/76/20/546537620.db2.gz DKEJCHFEYVUSJP-UHFFFAOYSA-N 0 2 320.396 0.807 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccn(C2CCOCC2)n1 ZINC000667898233 546667365 /nfs/dbraw/zinc/66/73/65/546667365.db2.gz LMHCLBGAHDEPAW-UHFFFAOYSA-N 0 2 307.314 0.380 20 0 DCADLN CN(CC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)C(=O)C1CC1 ZINC000671855381 547300016 /nfs/dbraw/zinc/30/00/16/547300016.db2.gz DBTOZIFKZSDGNA-UHFFFAOYSA-N 0 2 315.333 0.984 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)c1cn(CC(=O)C(C)(C)C)nn1 ZINC000673479863 547483985 /nfs/dbraw/zinc/48/39/85/547483985.db2.gz ZIFKWIOQCBSZDE-UHFFFAOYSA-N 0 2 322.325 0.254 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCC1(c2ccccc2)CC1 ZINC000676230279 547747341 /nfs/dbraw/zinc/74/73/41/547747341.db2.gz VFFQLWIXEZYJKY-UHFFFAOYSA-N 0 2 320.389 0.867 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCC1(c2ccccc2)CC1 ZINC000676230279 547747343 /nfs/dbraw/zinc/74/73/43/547747343.db2.gz VFFQLWIXEZYJKY-UHFFFAOYSA-N 0 2 320.389 0.867 20 0 DCADLN Cc1ccccc1CNC(=O)[C@@H](C)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231849 547748395 /nfs/dbraw/zinc/74/83/95/547748395.db2.gz QQSAOUYCESNSMD-OCCSQVGLSA-N 0 2 306.362 0.785 20 0 DCADLN Cc1ccccc1CNC(=O)[C@@H](C)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231849 547748398 /nfs/dbraw/zinc/74/83/98/547748398.db2.gz QQSAOUYCESNSMD-OCCSQVGLSA-N 0 2 306.362 0.785 20 0 DCADLN Cc1ccccc1CNC(=O)[C@H](C)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231847 547748509 /nfs/dbraw/zinc/74/85/09/547748509.db2.gz QQSAOUYCESNSMD-GXTWGEPZSA-N 0 2 306.362 0.785 20 0 DCADLN Cc1ccccc1CNC(=O)[C@H](C)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231847 547748510 /nfs/dbraw/zinc/74/85/10/547748510.db2.gz QQSAOUYCESNSMD-GXTWGEPZSA-N 0 2 306.362 0.785 20 0 DCADLN CC(C)(C)n1ncc2c1nc(NCc1n[nH]c(=O)[nH]1)[nH]c2=O ZINC000676555244 547789263 /nfs/dbraw/zinc/78/92/63/547789263.db2.gz JWBYNDCMTPFERD-UHFFFAOYSA-N 0 2 304.314 0.723 20 0 DCADLN Cc1cc(CN2CCN(C(=O)c3n[nH]c(C)c3O)CC2)on1 ZINC000676630182 547797709 /nfs/dbraw/zinc/79/77/09/547797709.db2.gz YZYGNACQCTWVRD-UHFFFAOYSA-N 0 2 305.338 0.678 20 0 DCADLN CCN(CC)C(=O)N1CCN(C(=O)c2n[nH]c(C)c2O)CC1 ZINC000676692950 547804205 /nfs/dbraw/zinc/80/42/05/547804205.db2.gz HXLRRYJFTRRXOO-UHFFFAOYSA-N 0 2 309.370 0.643 20 0 DCADLN Cc1[nH]nc(C(=O)N2CSC[C@@H]2C(=O)NC(C)(C)C)c1O ZINC000676706819 547806065 /nfs/dbraw/zinc/80/60/65/547806065.db2.gz AIPREALSLIPQAS-MRVPVSSYSA-N 0 2 312.395 0.854 20 0 DCADLN CCCN(C(=O)c1n[nH]c(C)c1O)[C@H]1CCS(=O)(=O)C1 ZINC000676706717 547806659 /nfs/dbraw/zinc/80/66/59/547806659.db2.gz CXYDIQQDDCYEAN-VIFPVBQESA-N 0 2 301.368 0.463 20 0 DCADLN O=S1(=O)NC(CN2CCc3cn[nH]c3C2)=Nc2ccccc21 ZINC000676798717 547815590 /nfs/dbraw/zinc/81/55/90/547815590.db2.gz XAXDLSJGYOPEDQ-UHFFFAOYSA-N 0 2 317.374 0.790 20 0 DCADLN CS(=O)(=O)CCCCN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000676977743 547836597 /nfs/dbraw/zinc/83/65/97/547836597.db2.gz ZFDGSVLIBKVTGE-JTQLQIEISA-N 0 2 302.400 0.515 20 0 DCADLN CN1CCOC[C@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000678351492 547967579 /nfs/dbraw/zinc/96/75/79/547967579.db2.gz JGUPUYJQTIBUKN-NSHDSACASA-N 0 2 304.306 0.299 20 0 DCADLN O=C(NCCc1ccc(F)c(F)c1F)c1n[nH]c(=O)[nH]c1=O ZINC000680244832 548124317 /nfs/dbraw/zinc/12/43/17/548124317.db2.gz AEQGJAJKBALJLK-UHFFFAOYSA-N 0 2 314.223 0.673 20 0 DCADLN CC(C)S(=O)(=O)c1cccc(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000681194651 548214806 /nfs/dbraw/zinc/21/48/06/548214806.db2.gz LJHGUXZCGWJNCG-UHFFFAOYSA-N 0 2 324.362 0.622 20 0 DCADLN CN1C(=O)CC[C@@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000681205234 548216907 /nfs/dbraw/zinc/21/69/07/548216907.db2.gz ZVMSRFOWMUORPG-SNVBAGLBSA-N 0 2 302.290 0.589 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H](C(=O)NCC(F)(F)F)C2)c1O ZINC000681757239 548290838 /nfs/dbraw/zinc/29/08/38/548290838.db2.gz RTDITCCMPXXUID-SSDOTTSWSA-N 0 2 320.271 0.564 20 0 DCADLN O=C(NC[C@H]1CC[C@H](O)C1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000682825406 548428947 /nfs/dbraw/zinc/42/89/47/548428947.db2.gz BFQVKHBNFOGZCH-CABZTGNLSA-N 0 2 303.318 0.921 20 0 DCADLN CC(C)CCSc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820081680 599089848 /nfs/dbraw/zinc/08/98/48/599089848.db2.gz QTYUMOIMPZMZCR-UHFFFAOYSA-N 0 2 310.383 0.402 20 0 DCADLN COCCCCCn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC000736979246 599192609 /nfs/dbraw/zinc/19/26/09/599192609.db2.gz PHARCWDIAXOATC-UHFFFAOYSA-N 0 2 321.337 0.632 20 0 DCADLN C[C@H](CNC(=O)N1CCC[C@@H](CC(=O)[O-])C1)[NH+]1CCOCC1 ZINC000818008703 597056119 /nfs/dbraw/zinc/05/61/19/597056119.db2.gz JYOVCNAXYSZIPT-OLZOCXBDSA-N 0 2 313.398 0.603 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCCc1cccc2cccnc21 ZINC000818402323 597259379 /nfs/dbraw/zinc/25/93/79/597259379.db2.gz HBLNTIWHABNZIK-UHFFFAOYSA-N 0 2 301.346 0.910 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCCc1cccc2cccnc21 ZINC000818402323 597259383 /nfs/dbraw/zinc/25/93/83/597259383.db2.gz HBLNTIWHABNZIK-UHFFFAOYSA-N 0 2 301.346 0.910 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+]([C@@H]2CCCN(Cc3ccccc3)C2=O)CCO1 ZINC000821512455 597459848 /nfs/dbraw/zinc/45/98/48/597459848.db2.gz ISIKRZJADCDWGW-HUUCEWRRSA-N 0 2 318.373 0.963 20 0 DCADLN O=C([O-])[C@H]1C[N@H+]([C@@H]2CCCN(Cc3ccccc3)C2=O)CCO1 ZINC000821512455 597459850 /nfs/dbraw/zinc/45/98/50/597459850.db2.gz ISIKRZJADCDWGW-HUUCEWRRSA-N 0 2 318.373 0.963 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NC1CCC2(CC1)OCCO2 ZINC000817772308 597471980 /nfs/dbraw/zinc/47/19/80/597471980.db2.gz SNXZXMUTHXCVTB-JTQLQIEISA-N 0 2 300.355 0.193 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NC1CCC2(CC1)OCCO2 ZINC000817772308 597471982 /nfs/dbraw/zinc/47/19/82/597471982.db2.gz SNXZXMUTHXCVTB-JTQLQIEISA-N 0 2 300.355 0.193 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC000817772340 597474417 /nfs/dbraw/zinc/47/44/17/597474417.db2.gz VCIXJSWVJCDZSE-JTQLQIEISA-N 0 2 319.361 0.922 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC000817772340 597474418 /nfs/dbraw/zinc/47/44/18/597474418.db2.gz VCIXJSWVJCDZSE-JTQLQIEISA-N 0 2 319.361 0.922 20 0 DCADLN COc1ccc(NC(C)=O)cc1NC(=O)[C@@H](C)[N@H+](C)CC(=O)[O-] ZINC000820845774 598042320 /nfs/dbraw/zinc/04/23/20/598042320.db2.gz BYWHFTSNZQRSQS-SECBINFHSA-N 0 2 323.349 0.997 20 0 DCADLN COc1ccc(NC(C)=O)cc1NC(=O)[C@@H](C)[N@@H+](C)CC(=O)[O-] ZINC000820845774 598042324 /nfs/dbraw/zinc/04/23/24/598042324.db2.gz BYWHFTSNZQRSQS-SECBINFHSA-N 0 2 323.349 0.997 20 0 DCADLN Cc1ccn(CC(=O)NCc2ccccc2)c(=O)c1-c1nn[nH]n1 ZINC000822369659 607349590 /nfs/dbraw/zinc/34/95/90/607349590.db2.gz LCXBFOXLGLSNTJ-UHFFFAOYSA-N 0 2 324.344 0.653 20 0 DCADLN O=S(=O)(Nc1ccc(F)c(-c2nn[nH]n2)c1)c1cn[nH]c1 ZINC000738343482 598594381 /nfs/dbraw/zinc/59/43/81/598594381.db2.gz ZWUKDBFLVNPWKV-UHFFFAOYSA-N 0 2 309.286 0.530 20 0 DCADLN CCc1nn(C[C@H]2CCCO2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705582 598640322 /nfs/dbraw/zinc/64/03/22/598640322.db2.gz KVBFRWYWODWKAC-SECBINFHSA-N 0 2 304.354 0.727 20 0 DCADLN CCc1nn(Cc2cc(C)on2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706213 598642158 /nfs/dbraw/zinc/64/21/58/598642158.db2.gz ILRGMKZKWXTJJG-UHFFFAOYSA-N 0 2 315.337 0.893 20 0 DCADLN C[C@@H](NCc1ccc(-c2nn[nH]n2)o1)C(=O)NCc1ccco1 ZINC000820433343 599435699 /nfs/dbraw/zinc/43/56/99/599435699.db2.gz XVKVXBVMIUBOCL-SECBINFHSA-N 0 2 316.321 0.847 20 0 DCADLN C[C@H](CNc1nccnc1-c1nn[nH]n1)CN1CCOCC1 ZINC000736025285 599533880 /nfs/dbraw/zinc/53/38/80/599533880.db2.gz FPBRKCCACBRNPR-SNVBAGLBSA-N 0 2 304.358 0.037 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2c(c1)OCO2 ZINC000106790323 599776985 /nfs/dbraw/zinc/77/69/85/599776985.db2.gz AVTVRIDCZOSMFW-JTQLQIEISA-N 0 2 303.274 0.564 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2c(c1)OCO2 ZINC000106790323 599776987 /nfs/dbraw/zinc/77/69/87/599776987.db2.gz AVTVRIDCZOSMFW-JTQLQIEISA-N 0 2 303.274 0.564 20 0 DCADLN COc1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(OC)c1 ZINC000319226171 599777737 /nfs/dbraw/zinc/77/77/37/599777737.db2.gz JOTHQDJJVNKHQF-LBPRGKRZSA-N 0 2 319.317 0.853 20 0 DCADLN COc1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(OC)c1 ZINC000319226171 599777740 /nfs/dbraw/zinc/77/77/40/599777740.db2.gz JOTHQDJJVNKHQF-LBPRGKRZSA-N 0 2 319.317 0.853 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)CCO1 ZINC000738551561 599941385 /nfs/dbraw/zinc/94/13/85/599941385.db2.gz KMRQTQSAROHSQY-AWEZNQCLSA-N 0 2 315.329 0.857 20 0 DCADLN Cc1nc(C[C@@H]2CCC[N@H+](Cn3cc(C(=O)[O-])nn3)C2)no1 ZINC000738850950 599958255 /nfs/dbraw/zinc/95/82/55/599958255.db2.gz GIGXXQZCNOFQMO-JTQLQIEISA-N 0 2 306.326 0.580 20 0 DCADLN Cc1nc(C[C@@H]2CCC[N@@H+](Cn3cc(C(=O)[O-])nn3)C2)no1 ZINC000738850950 599958256 /nfs/dbraw/zinc/95/82/56/599958256.db2.gz GIGXXQZCNOFQMO-JTQLQIEISA-N 0 2 306.326 0.580 20 0 DCADLN COc1ccc(OC)c([C@@H](O)C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)c1 ZINC000738047335 599968128 /nfs/dbraw/zinc/96/81/28/599968128.db2.gz DFQZKFCOGLJKHR-GXTWGEPZSA-N 0 2 311.334 0.523 20 0 DCADLN COc1ccc(OC)c([C@@H](O)C[N@H+]2CCO[C@@H](C(=O)[O-])C2)c1 ZINC000738047335 599968130 /nfs/dbraw/zinc/96/81/30/599968130.db2.gz DFQZKFCOGLJKHR-GXTWGEPZSA-N 0 2 311.334 0.523 20 0 DCADLN COc1cccc(N2CC[NH+](CC(=O)NCCC(=O)[O-])CC2)c1 ZINC000738105625 600040481 /nfs/dbraw/zinc/04/04/81/600040481.db2.gz DZTRASWYQLDLKO-UHFFFAOYSA-N 0 2 321.377 0.408 20 0 DCADLN Cc1ncc(C[NH+]2CCN(Cn3cc(C(=O)[O-])nn3)CC2)s1 ZINC000738885661 600280425 /nfs/dbraw/zinc/28/04/25/600280425.db2.gz RQERHACJVAJLPT-UHFFFAOYSA-N 0 2 322.394 0.517 20 0 DCADLN CC(C)[C@H](CNS(=O)(=O)CCCC(=O)[O-])[NH+]1CCOCC1 ZINC000736358228 600401503 /nfs/dbraw/zinc/40/15/03/600401503.db2.gz GPICEIJISMYEFB-LBPRGKRZSA-N 0 2 322.427 0.127 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])c(C)o2)C1 ZINC000737553670 600642830 /nfs/dbraw/zinc/64/28/30/600642830.db2.gz VMVZYOWOCPWDHB-NSHDSACASA-N 0 2 316.379 0.800 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])c(C)o2)C1 ZINC000737553670 600642832 /nfs/dbraw/zinc/64/28/32/600642832.db2.gz VMVZYOWOCPWDHB-NSHDSACASA-N 0 2 316.379 0.800 20 0 DCADLN CN(C(=O)C[N@H+](CC(=O)[O-])C1CCOCC1)c1nccs1 ZINC000830478725 600855514 /nfs/dbraw/zinc/85/55/14/600855514.db2.gz VRDJLYUKTGCBNE-UHFFFAOYSA-N 0 2 313.379 0.672 20 0 DCADLN CN(C(=O)C[N@@H+](CC(=O)[O-])C1CCOCC1)c1nccs1 ZINC000830478725 600855517 /nfs/dbraw/zinc/85/55/17/600855517.db2.gz VRDJLYUKTGCBNE-UHFFFAOYSA-N 0 2 313.379 0.672 20 0 DCADLN O=C([O-])CNC(=O)CN(CC[NH+]1CCOCC1)c1ccccc1 ZINC000262259611 601241645 /nfs/dbraw/zinc/24/16/45/601241645.db2.gz RXTLUPWISQXHRI-UHFFFAOYSA-N 0 2 321.377 0.026 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)ccn1 ZINC000317060450 601290994 /nfs/dbraw/zinc/29/09/94/601290994.db2.gz REBDVVOHJURKSU-LBPRGKRZSA-N 0 2 305.334 0.327 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)NCc1ccc2c(c1)OCO2 ZINC000832996020 601401496 /nfs/dbraw/zinc/40/14/96/601401496.db2.gz NFTXUIMAOHUPNX-UHFFFAOYSA-N 0 2 317.301 0.935 20 0 DCADLN Cc1nn(C)c2ncc(C[N@@H+]3CCO[C@@H](CC(=O)[O-])C3)cc12 ZINC000833016059 601460358 /nfs/dbraw/zinc/46/03/58/601460358.db2.gz QSTPDNBMYSORMS-LBPRGKRZSA-N 0 2 304.350 0.952 20 0 DCADLN Cc1nn(C)c2ncc(C[N@H+]3CCO[C@@H](CC(=O)[O-])C3)cc12 ZINC000833016059 601460360 /nfs/dbraw/zinc/46/03/60/601460360.db2.gz QSTPDNBMYSORMS-LBPRGKRZSA-N 0 2 304.350 0.952 20 0 DCADLN CC[N@H+](CCO)Cc1nc(=O)c2c(C)c(C(=O)[O-])sc2[nH]1 ZINC000041620006 601581416 /nfs/dbraw/zinc/58/14/16/601581416.db2.gz LCFXWEKMQCNWOZ-UHFFFAOYSA-N 0 2 311.363 0.805 20 0 DCADLN CC[N@@H+](CCO)Cc1nc(=O)c2c(C)c(C(=O)[O-])sc2[nH]1 ZINC000041620006 601581417 /nfs/dbraw/zinc/58/14/17/601581417.db2.gz LCFXWEKMQCNWOZ-UHFFFAOYSA-N 0 2 311.363 0.805 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCCNc2cccc[nH+]2)o1 ZINC000833323437 602302008 /nfs/dbraw/zinc/30/20/08/602302008.db2.gz RSULTSLQHBSPJC-UHFFFAOYSA-N 0 2 311.319 0.763 20 0 DCADLN O=C([O-])N1CCN(C(=O)NCc2cn3c([nH+]2)CCCC3)CC1 ZINC000738647380 602312436 /nfs/dbraw/zinc/31/24/36/602312436.db2.gz KRRBKKIZVJPBAN-UHFFFAOYSA-N 0 2 307.354 0.725 20 0 DCADLN O=C([O-])N[C@H]1CCCN(c2cc(N3CCOCC3)nc[nH+]2)C1 ZINC000738652222 602729106 /nfs/dbraw/zinc/72/91/06/602729106.db2.gz BLEIZVILTLRNTA-NSHDSACASA-N 0 2 307.354 0.550 20 0 DCADLN O=C([O-])N[C@H]1CCCN(c2cc(N3CCOCC3)[nH+]cn2)C1 ZINC000738652222 602729107 /nfs/dbraw/zinc/72/91/07/602729107.db2.gz BLEIZVILTLRNTA-NSHDSACASA-N 0 2 307.354 0.550 20 0 DCADLN CCC[C@@H](NC(=O)[O-])C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000826745299 603036741 /nfs/dbraw/zinc/03/67/41/603036741.db2.gz WPEVFCVWQBDRAG-QWHCGFSZSA-N 0 2 313.398 0.746 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)[C@H](C)NC(=O)[O-])nc[nH+]1 ZINC000828593825 603093086 /nfs/dbraw/zinc/09/30/86/603093086.db2.gz KDMDNVIJKUPYAO-UWVGGRQHSA-N 0 2 322.369 0.259 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)[C@H](C)NC(=O)[O-])[nH+]cn1 ZINC000828593825 603093091 /nfs/dbraw/zinc/09/30/91/603093091.db2.gz KDMDNVIJKUPYAO-UWVGGRQHSA-N 0 2 322.369 0.259 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)O1 ZINC000825871199 603495313 /nfs/dbraw/zinc/49/53/13/603495313.db2.gz CMUXLRJRTWMNAQ-OLZOCXBDSA-N 0 2 313.398 0.746 20 0 DCADLN CSc1cc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])ccn1 ZINC000829752928 603522316 /nfs/dbraw/zinc/52/23/16/603522316.db2.gz CGWHJBHLKBPMIS-NSHDSACASA-N 0 2 324.406 0.827 20 0 DCADLN CSc1cc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])ccn1 ZINC000829752928 603522320 /nfs/dbraw/zinc/52/23/20/603522320.db2.gz CGWHJBHLKBPMIS-NSHDSACASA-N 0 2 324.406 0.827 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@@H+]3CCCN(C(=O)[O-])CC3)C2=O)n1 ZINC000831018742 603565041 /nfs/dbraw/zinc/56/50/41/603565041.db2.gz PDTZBYSKKGPAEO-LBPRGKRZSA-N 0 2 321.381 0.601 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@H+]3CCCN(C(=O)[O-])CC3)C2=O)n1 ZINC000831018742 603565046 /nfs/dbraw/zinc/56/50/46/603565046.db2.gz PDTZBYSKKGPAEO-LBPRGKRZSA-N 0 2 321.381 0.601 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000831273417 603713117 /nfs/dbraw/zinc/71/31/17/603713117.db2.gz QLUMDZYQFOAXIS-GHMZBOCLSA-N 0 2 308.338 0.229 20 0 DCADLN O=C([O-])N[C@H](Cc1ccccc1)C(=O)NCCc1[nH]cc[nH+]1 ZINC000832148535 603778487 /nfs/dbraw/zinc/77/84/87/603778487.db2.gz RGFPEOHFCFUMBQ-GFCCVEGCSA-N 0 2 302.334 0.947 20 0 DCADLN Cc1cc(=O)[nH]cc1C(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000829893050 604300624 /nfs/dbraw/zinc/30/06/24/604300624.db2.gz CSKPTEFLKNTRFI-UHFFFAOYSA-N 0 2 308.338 0.121 20 0 DCADLN Cn1ncc2c1nc[nH+]c2N(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000833062390 604349533 /nfs/dbraw/zinc/34/95/33/604349533.db2.gz OPEFAIMJSLSHBT-JTQLQIEISA-N 0 2 305.338 0.823 20 0 DCADLN C[C@@H](C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])c1cccnc1 ZINC000823670080 604395300 /nfs/dbraw/zinc/39/53/00/604395300.db2.gz RQRZXNNHDFNDMG-YPMHNXCESA-N 0 2 306.366 0.595 20 0 DCADLN C[C@@H](C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])c1cccnc1 ZINC000823670080 604395302 /nfs/dbraw/zinc/39/53/02/604395302.db2.gz RQRZXNNHDFNDMG-YPMHNXCESA-N 0 2 306.366 0.595 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3nccn3c2)[C@H](CNC(=O)[O-])C1 ZINC000828514303 604397958 /nfs/dbraw/zinc/39/79/58/604397958.db2.gz WIVVQCMGFPEBAQ-GFCCVEGCSA-N 0 2 317.349 0.358 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3nccn3c2)[C@H](CNC(=O)[O-])C1 ZINC000828514303 604397962 /nfs/dbraw/zinc/39/79/62/604397962.db2.gz WIVVQCMGFPEBAQ-GFCCVEGCSA-N 0 2 317.349 0.358 20 0 DCADLN C[N@@H+]1CCN(C(=O)COc2ccc(O)cc2)[C@H](CNC(=O)[O-])C1 ZINC000828500517 604399968 /nfs/dbraw/zinc/39/99/68/604399968.db2.gz LMZLBWFWEPTPRS-LLVKDONJSA-N 0 2 323.349 0.181 20 0 DCADLN C[N@H+]1CCN(C(=O)COc2ccc(O)cc2)[C@H](CNC(=O)[O-])C1 ZINC000828500517 604399970 /nfs/dbraw/zinc/39/99/70/604399970.db2.gz LMZLBWFWEPTPRS-LLVKDONJSA-N 0 2 323.349 0.181 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(C(C)(C)C)on2)[C@H](CNC(=O)[O-])C1 ZINC000828507331 604400103 /nfs/dbraw/zinc/40/01/03/604400103.db2.gz OSBRZYZAEZPYIZ-SNVBAGLBSA-N 0 2 324.381 0.996 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(C(C)(C)C)on2)[C@H](CNC(=O)[O-])C1 ZINC000828507331 604400104 /nfs/dbraw/zinc/40/01/04/604400104.db2.gz OSBRZYZAEZPYIZ-SNVBAGLBSA-N 0 2 324.381 0.996 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])n(C)n1 ZINC000824453775 604402063 /nfs/dbraw/zinc/40/20/63/604402063.db2.gz WAYMKXFZLGKRNQ-NSHDSACASA-N 0 2 323.397 0.567 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])n(C)n1 ZINC000824453775 604402066 /nfs/dbraw/zinc/40/20/66/604402066.db2.gz WAYMKXFZLGKRNQ-NSHDSACASA-N 0 2 323.397 0.567 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])n1cccc1 ZINC000824590809 604405305 /nfs/dbraw/zinc/40/53/05/604405305.db2.gz MVYRHECCCVQWNG-QWHCGFSZSA-N 0 2 308.382 0.849 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])n1cccc1 ZINC000824590809 604405307 /nfs/dbraw/zinc/40/53/07/604405307.db2.gz MVYRHECCCVQWNG-QWHCGFSZSA-N 0 2 308.382 0.849 20 0 DCADLN Cc1cc[nH+]c(N2CCN([C@@H](C)C(=O)NCC(=O)[O-])CC2)c1 ZINC000832984099 604508660 /nfs/dbraw/zinc/50/86/60/604508660.db2.gz MMPXOQVTPMGTCP-LBPRGKRZSA-N 0 2 306.366 0.101 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])co2)[C@@H](C)C[N@@H+]1C ZINC000833629983 604553008 /nfs/dbraw/zinc/55/30/08/604553008.db2.gz CEGJDMNHRQMGOX-BDAKNGLRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])co2)[C@@H](C)C[N@H+]1C ZINC000833629983 604553011 /nfs/dbraw/zinc/55/30/11/604553011.db2.gz CEGJDMNHRQMGOX-BDAKNGLRSA-N 0 2 302.352 0.691 20 0 DCADLN O=C([O-])Nc1cccc(C(=O)NC[C@H](O)C[NH+]2CCOCC2)c1 ZINC000833289063 604566345 /nfs/dbraw/zinc/56/63/45/604566345.db2.gz DVKVNSDSVTZENB-ZDUSSCGKSA-N 0 2 323.349 0.199 20 0 DCADLN C[C@]1(C(=O)[O-])CC[N@H+](Cn2nc(CO)n(C3CC3)c2=S)C1 ZINC000833554679 604693588 /nfs/dbraw/zinc/69/35/88/604693588.db2.gz FUMQDNPJXCFEKO-ZDUSSCGKSA-N 0 2 312.395 0.995 20 0 DCADLN C[C@]1(C(=O)[O-])CC[N@@H+](Cn2nc(CO)n(C3CC3)c2=S)C1 ZINC000833554679 604693590 /nfs/dbraw/zinc/69/35/90/604693590.db2.gz FUMQDNPJXCFEKO-ZDUSSCGKSA-N 0 2 312.395 0.995 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)C[C@H]1CN(C(=O)[O-])CCO1)C2 ZINC000830800359 604945077 /nfs/dbraw/zinc/94/50/77/604945077.db2.gz YBJWZGKJRFWWLE-LBPRGKRZSA-N 0 2 322.365 0.611 20 0 DCADLN C[C@H](C(=O)NCC(F)(F)F)[NH+]1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833404804 604973451 /nfs/dbraw/zinc/97/34/51/604973451.db2.gz PSFWIZBSYTVRKJ-VXNVDRBHSA-N 0 2 312.288 0.211 20 0 DCADLN C[C@H]1OCCN(C(=O)C(C)(C)[NH+]2CCN(C(=O)[O-])CC2)[C@H]1C ZINC000826127826 605166404 /nfs/dbraw/zinc/16/64/04/605166404.db2.gz XYSUHCUUBNMKBM-NWDGAFQWSA-N 0 2 313.398 0.696 20 0 DCADLN C[C@@](CNC(=O)[O-])([NH2+]Cc1cc(=O)n2[nH]ccc2n1)C1CC1 ZINC000824953740 605169765 /nfs/dbraw/zinc/16/97/65/605169765.db2.gz WRIKREMEAWMYBX-AWEZNQCLSA-N 0 2 305.338 0.549 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CCSC[C@@H]1CO)C(=O)[O-] ZINC000833476582 605384598 /nfs/dbraw/zinc/38/45/98/605384598.db2.gz CVKMUJBENCAXFP-QWRGUYRKSA-N 0 2 304.412 0.012 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CCSC[C@@H]1CO)C(=O)[O-] ZINC000833476582 605384608 /nfs/dbraw/zinc/38/46/08/605384608.db2.gz CVKMUJBENCAXFP-QWRGUYRKSA-N 0 2 304.412 0.012 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCSC[C@H]1CO)C(=O)[O-] ZINC000833638926 605385982 /nfs/dbraw/zinc/38/59/82/605385982.db2.gz LJRNDPWGGZAJDL-HOSYDEDBSA-N 0 2 304.412 0.012 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCSC[C@H]1CO)C(=O)[O-] ZINC000833638926 605385986 /nfs/dbraw/zinc/38/59/86/605385986.db2.gz LJRNDPWGGZAJDL-HOSYDEDBSA-N 0 2 304.412 0.012 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)O1 ZINC000833576882 605387377 /nfs/dbraw/zinc/38/73/77/605387377.db2.gz AVURPTORAGVLEP-ONGXEEELSA-N 0 2 318.395 0.100 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)O1 ZINC000833576882 605387386 /nfs/dbraw/zinc/38/73/86/605387386.db2.gz AVURPTORAGVLEP-ONGXEEELSA-N 0 2 318.395 0.100 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C[C@@H]1CN(C(=O)[O-])CCO1 ZINC000828312696 605422263 /nfs/dbraw/zinc/42/22/63/605422263.db2.gz QGFMRTWTJXRFNM-GFCCVEGCSA-N 0 2 310.354 0.501 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CCN(C(=O)NC[C@H]2C[N@H+](C)CCO2)C1 ZINC000833820089 605513904 /nfs/dbraw/zinc/51/39/04/605513904.db2.gz XNQODQYHFBHYIM-TUAOUCFPSA-N 0 2 314.386 0.005 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CCN(C(=O)NC[C@H]2C[N@@H+](C)CCO2)C1 ZINC000833820089 605513908 /nfs/dbraw/zinc/51/39/08/605513908.db2.gz XNQODQYHFBHYIM-TUAOUCFPSA-N 0 2 314.386 0.005 20 0 DCADLN O=C([O-])N1CC[C@@H]([NH+]2CCN(C(=O)C3CCOCC3)CC2)C1 ZINC000834081866 605607273 /nfs/dbraw/zinc/60/72/73/605607273.db2.gz CFBYDWIPGSXZDA-CYBMUJFWSA-N 0 2 311.382 0.310 20 0 DCADLN O=C(C[C@H]1CSCCN1C(=O)[O-])N[C@H]1CCc2[nH+]ccn2C1 ZINC000831408036 605638232 /nfs/dbraw/zinc/63/82/32/605638232.db2.gz KWGUAUDKXBAVJY-QWRGUYRKSA-N 0 2 324.406 0.800 20 0 DCADLN O=C([O-])NCc1ccc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)cc1 ZINC000834248900 605651963 /nfs/dbraw/zinc/65/19/63/605651963.db2.gz KFYGNGQLZMIZER-AWEZNQCLSA-N 0 2 318.377 0.184 20 0 DCADLN O=C([O-])N(CC(=O)NC[C@@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000834004263 605662976 /nfs/dbraw/zinc/66/29/76/605662976.db2.gz UCRWARNCCHYWGC-CQSZACIVSA-N 0 2 318.377 0.287 20 0 DCADLN C[C@@H](CNC(=O)CO[C@@H]1CCCN(C(=O)[O-])C1)Cn1cc[nH+]c1 ZINC000824922368 605734886 /nfs/dbraw/zinc/73/48/86/605734886.db2.gz OBPNNILJTLMBSZ-QWHCGFSZSA-N 0 2 324.381 0.794 20 0 DCADLN O=C([O-])N1CCC(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC000834030689 605756974 /nfs/dbraw/zinc/75/69/74/605756974.db2.gz ITGLDTUUOUTXTB-GFCCVEGCSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])NC1CC[NH+](CC(=O)N2CCOc3ccncc32)CC1 ZINC000832381708 605773320 /nfs/dbraw/zinc/77/33/20/605773320.db2.gz MXOYJTIIDDNILG-UHFFFAOYSA-N 0 2 320.349 0.539 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000834209627 605844437 /nfs/dbraw/zinc/84/44/37/605844437.db2.gz DHWWHGITHVTRKF-NTZNESFSSA-N 0 2 306.366 0.998 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834017744 605975421 /nfs/dbraw/zinc/97/54/21/605975421.db2.gz JLZMYPASWLIXBG-RYUDHWBXSA-N 0 2 320.349 0.155 20 0 DCADLN O=C([O-])N[C@@H]1CCC[C@H]2CN(C(=O)NCCn3cc[nH+]c3)C[C@H]21 ZINC000834177740 606073317 /nfs/dbraw/zinc/07/33/17/606073317.db2.gz HHSNKUXCGABVAJ-YNEHKIRRSA-N 0 2 321.381 0.961 20 0 DCADLN O=C([O-])N[C@@H]1CCC[C@@H]2CN(C(=O)NCCn3cc[nH+]c3)C[C@@H]21 ZINC000834177734 606073782 /nfs/dbraw/zinc/07/37/82/606073782.db2.gz HHSNKUXCGABVAJ-FRRDWIJNSA-N 0 2 321.381 0.961 20 0 DCADLN CC[C@@H]1CCC[C@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820980142 606807349 /nfs/dbraw/zinc/80/73/49/606807349.db2.gz KSGYCPHYPUVSCU-RKDXNWHRSA-N 0 2 319.369 0.255 20 0 DCADLN CN(C(=O)c1cn[nH]n1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938647296 665406175 /nfs/dbraw/zinc/40/61/75/665406175.db2.gz UXLALTSZWGSRHK-SVRRBLITSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)c1cn[nH]n1)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938647296 665406179 /nfs/dbraw/zinc/40/61/79/665406179.db2.gz UXLALTSZWGSRHK-SVRRBLITSA-N 0 2 323.250 0.378 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001027736645 660675813 /nfs/dbraw/zinc/67/58/13/660675813.db2.gz FNUFRPCITKDTFM-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1cccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)n1 ZINC001027761918 660703242 /nfs/dbraw/zinc/70/32/42/660703242.db2.gz HVYNDMIHKLZDTA-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)n1 ZINC001027761918 660703244 /nfs/dbraw/zinc/70/32/44/660703244.db2.gz HVYNDMIHKLZDTA-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(F)nc1 ZINC001027793249 660736132 /nfs/dbraw/zinc/73/61/32/660736132.db2.gz GGYHHNHCKJIXLY-SNVBAGLBSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(F)nc1 ZINC001027793249 660736135 /nfs/dbraw/zinc/73/61/35/660736135.db2.gz GGYHHNHCKJIXLY-SNVBAGLBSA-N 0 2 320.328 0.439 20 0 DCADLN C[C@@H]1CC[C@H](CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000950023480 660787324 /nfs/dbraw/zinc/78/73/24/660787324.db2.gz SNHOUSTXHUCOFU-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCC1(F)F ZINC001027959661 660976775 /nfs/dbraw/zinc/97/67/75/660976775.db2.gz MMMDYGZNRVYURK-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCC1(F)F ZINC001027959661 660976777 /nfs/dbraw/zinc/97/67/77/660976777.db2.gz MMMDYGZNRVYURK-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCC1(F)F ZINC001027959661 660976778 /nfs/dbraw/zinc/97/67/78/660976778.db2.gz MMMDYGZNRVYURK-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1cc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)on1 ZINC000980694070 660986797 /nfs/dbraw/zinc/98/67/97/660986797.db2.gz AVZIZUHKSKUNHV-UHFFFAOYSA-N 0 2 306.326 0.155 20 0 DCADLN Cc1ccnc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990978 661022175 /nfs/dbraw/zinc/02/21/75/661022175.db2.gz UHXXTNYVMDSEAW-LLVKDONJSA-N 0 2 301.354 0.298 20 0 DCADLN Cc1ccnc(C[N@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990978 661022176 /nfs/dbraw/zinc/02/21/76/661022176.db2.gz UHXXTNYVMDSEAW-LLVKDONJSA-N 0 2 301.354 0.298 20 0 DCADLN O=C(C[C@@H]1CC(=O)N1)Nc1nccc(Br)c1O ZINC000913025738 664255840 /nfs/dbraw/zinc/25/58/40/664255840.db2.gz ZQYHXHBFZHRKBV-YFKPBYRVSA-N 0 2 300.112 0.767 20 0 DCADLN CC(C)c1nnc(CN2CCC[C@@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001027992706 661028386 /nfs/dbraw/zinc/02/83/86/661028386.db2.gz YEBAURWXPAMISP-SNVBAGLBSA-N 0 2 318.385 0.441 20 0 DCADLN Cc1nscc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981010603 661062516 /nfs/dbraw/zinc/06/25/16/661062516.db2.gz UJFGXOSUUGXXGE-UHFFFAOYSA-N 0 2 322.394 0.623 20 0 DCADLN CCc1noc(C[NH2+][C@H]2CCC[C@H](NC(=O)c3nnc[nH]3)C2)n1 ZINC000981397918 661146305 /nfs/dbraw/zinc/14/63/05/661146305.db2.gz CJDZBBKZGLMATF-UWVGGRQHSA-N 0 2 319.369 0.581 20 0 DCADLN CCc1noc(C[NH2+][C@H]2CCC[C@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC000981397918 661146307 /nfs/dbraw/zinc/14/63/07/661146307.db2.gz CJDZBBKZGLMATF-UWVGGRQHSA-N 0 2 319.369 0.581 20 0 DCADLN O=C(Cn1c[nH+]c2c1CCCC2)N1CCC[N@@H+](CCCO)CC1 ZINC000981629060 661182950 /nfs/dbraw/zinc/18/29/50/661182950.db2.gz BZEWXFAWZUNVQI-UHFFFAOYSA-N 0 2 320.437 0.679 20 0 DCADLN C[C@@H]1C[NH+](C)C[C@H](C(=O)N2CC3(C2)CCC[N@H+](CCF)C3)O1 ZINC000981841440 661230874 /nfs/dbraw/zinc/23/08/74/661230874.db2.gz COSRCVISNMXMQT-ZIAGYGMSSA-N 0 2 313.417 0.599 20 0 DCADLN C[C@H](O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC000981932784 661247565 /nfs/dbraw/zinc/24/75/65/661247565.db2.gz CBBNZUHPYMPYRH-QWHCGFSZSA-N 0 2 306.410 0.745 20 0 DCADLN C[C@H](C(N)=O)[N@@H+]1CCCN(C(=O)C[NH+]2CCC(C)(C)C2)CC1 ZINC000981962831 661258600 /nfs/dbraw/zinc/25/86/00/661258600.db2.gz YBNQDWYFSWWELX-CYBMUJFWSA-N 0 2 310.442 0.126 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]1C1CC1 ZINC001028192572 661269493 /nfs/dbraw/zinc/26/94/93/661269493.db2.gz NXTYTQDJALFXIR-GRYCIOLGSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]1C1CC1 ZINC001028192572 661269494 /nfs/dbraw/zinc/26/94/94/661269494.db2.gz NXTYTQDJALFXIR-GRYCIOLGSA-N 0 2 305.382 0.637 20 0 DCADLN CN(CCO)CCNS(=O)(=O)c1cc(F)c(F)cc1F ZINC000885805375 667709038 /nfs/dbraw/zinc/70/90/38/667709038.db2.gz KYWBBWPTZXAKDN-UHFFFAOYSA-N 0 2 312.313 0.306 20 0 DCADLN Cc1cc(C[NH2+]C2CC(N(C)C(=O)[C@@H]3C[N@H+](C)CCO3)C2)on1 ZINC000998584703 665485212 /nfs/dbraw/zinc/48/52/12/665485212.db2.gz GMDCGTSEEJUXOM-PIMMBPRGSA-N 0 2 322.409 0.393 20 0 DCADLN C[C@H](NC(=O)[C@H]1CC12CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970505564 657582236 /nfs/dbraw/zinc/58/22/36/657582236.db2.gz DFTLIKFOSWEQJK-GXSJLCMTSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC000969254044 657630483 /nfs/dbraw/zinc/63/04/83/657630483.db2.gz OONLIPGJFGRBHW-SECBINFHSA-N 0 2 305.338 0.662 20 0 DCADLN Cc1ncc(C[NH+]2CC([C@H](C)NC(=O)c3nnc[nH]3)C2)s1 ZINC000970609350 657687132 /nfs/dbraw/zinc/68/71/32/657687132.db2.gz VSJNLZSESHXDIU-QMMMGPOBSA-N 0 2 306.395 0.820 20 0 DCADLN Cc1ncc(C[NH+]2CC([C@H](C)NC(=O)c3ncn[nH]3)C2)s1 ZINC000970609350 657687138 /nfs/dbraw/zinc/68/71/38/657687138.db2.gz VSJNLZSESHXDIU-QMMMGPOBSA-N 0 2 306.395 0.820 20 0 DCADLN C[C@@H](O)[C@H](O)CNC(=O)c1cc(Br)c(F)cc1O ZINC000871136861 667794847 /nfs/dbraw/zinc/79/48/47/667794847.db2.gz CSNAZHXZPRBBHS-GPXNAGAYSA-N 0 2 322.130 0.765 20 0 DCADLN Cc1cncc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC000969441699 657881726 /nfs/dbraw/zinc/88/17/26/657881726.db2.gz MDPJLPHTXLNZNY-JTQLQIEISA-N 0 2 316.365 0.464 20 0 DCADLN COc1cc(C[NH+]2CC[C@@H]2CO)cc(C[N@@H+]2CC[C@H]2CO)c1 ZINC000934020243 657973853 /nfs/dbraw/zinc/97/38/53/657973853.db2.gz YKNJPRHOLZWQAM-IYBDPMFKSA-N 0 2 306.406 0.828 20 0 DCADLN NC(=O)CONC(=O)[C@@H]1Cc2ccccc2O[C@H]1C(F)F ZINC000935226783 658094343 /nfs/dbraw/zinc/09/43/43/658094343.db2.gz UGOTWOPQMHDHTA-LDYMZIIASA-N 0 2 300.261 0.405 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cncc(F)c1 ZINC001010530049 658105943 /nfs/dbraw/zinc/10/59/43/658105943.db2.gz PJKLGDKFEIKWKD-JTQLQIEISA-N 0 2 306.301 0.049 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCCO1 ZINC001024314650 658566599 /nfs/dbraw/zinc/56/65/99/658566599.db2.gz KVGSHYYEEHOTDS-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N2CC[C@@H]([N@H+](C)Cc3ccn(C)n3)C2)C1 ZINC000972334905 658580846 /nfs/dbraw/zinc/58/08/46/658580846.db2.gz KZRXUEYFEHWMGT-GDBMZVCRSA-N 0 2 319.453 0.795 20 0 DCADLN COCC(=O)N1CC[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001020930585 658593800 /nfs/dbraw/zinc/59/38/00/658593800.db2.gz BWAWQYOONMVVSC-XKSSXDPKSA-N 0 2 312.263 0.593 20 0 DCADLN COCC(=O)N1CC[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21 ZINC001020930585 658593809 /nfs/dbraw/zinc/59/38/09/658593809.db2.gz BWAWQYOONMVVSC-XKSSXDPKSA-N 0 2 312.263 0.593 20 0 DCADLN Cn1cc(C[N@H+](C)[C@@H]2CCN(C(=O)CCc3cnn[nH]3)C2)cn1 ZINC000972373933 658634762 /nfs/dbraw/zinc/63/47/62/658634762.db2.gz NWUGRERXKDOLTP-CQSZACIVSA-N 0 2 317.397 0.204 20 0 DCADLN Cn1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)CCc3cnn[nH]3)C2)cn1 ZINC000972373933 658634766 /nfs/dbraw/zinc/63/47/66/658634766.db2.gz NWUGRERXKDOLTP-CQSZACIVSA-N 0 2 317.397 0.204 20 0 DCADLN C[C@H](NC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1)c1nc[nH]n1 ZINC000176744939 658715895 /nfs/dbraw/zinc/71/58/95/658715895.db2.gz ZWRVFDHFQZMSRJ-LURJTMIESA-N 0 2 316.346 0.821 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024585174 658735800 /nfs/dbraw/zinc/73/58/00/658735800.db2.gz AAFGCTWHAQDOPB-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN C[C@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C(F)(F)F ZINC000949698358 658837555 /nfs/dbraw/zinc/83/75/55/658837555.db2.gz UWQGAQHISZSZQV-SSDOTTSWSA-N 0 2 307.276 0.353 20 0 DCADLN O=C(Cc1ccco1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032694359 665617185 /nfs/dbraw/zinc/61/71/85/665617185.db2.gz SSDFMDLGEIMLPN-UWVGGRQHSA-N 0 2 303.322 0.131 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)c1ccoc1 ZINC001023055139 658934205 /nfs/dbraw/zinc/93/42/05/658934205.db2.gz RIMCKEDFAHUAEO-HLTSFMKQSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O)c1ccoc1 ZINC001023055139 658934211 /nfs/dbraw/zinc/93/42/11/658934211.db2.gz RIMCKEDFAHUAEO-HLTSFMKQSA-N 0 2 324.230 0.482 20 0 DCADLN Cc1cc(C[NH2+]C[C@@H]2CCN(C(=O)[C@@H]3C[N@@H+](C)CCO3)C2)no1 ZINC001026749266 659504780 /nfs/dbraw/zinc/50/47/80/659504780.db2.gz DUGCFZBWPKYRNB-ZFWWWQNUSA-N 0 2 322.409 0.252 20 0 DCADLN CC[C@H](C(=O)N1CCC[C@@H]([N@H+](C)Cc2cnnn2C)C1)[NH+](C)C ZINC001027462489 659529255 /nfs/dbraw/zinc/52/92/55/659529255.db2.gz YRSUXZWHQPBJQD-UKRRQHHQSA-N 0 2 322.457 0.578 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2nonc2C)C1 ZINC001019820757 659619654 /nfs/dbraw/zinc/61/96/54/659619654.db2.gz XLQCIOXZLNWWKR-ZFWWWQNUSA-N 0 2 321.425 0.943 20 0 DCADLN Cc1nonc1C[NH2+]C[C@@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001027074323 659786709 /nfs/dbraw/zinc/78/67/09/659786709.db2.gz XHRZAMAWLBTMNX-NSHDSACASA-N 0 2 319.369 0.067 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC1(C(=O)[O-])CCSCC1 ZINC000909346039 659795849 /nfs/dbraw/zinc/79/58/49/659795849.db2.gz DRUDFOPORJBRBU-NXEZZACHSA-N 0 2 309.391 0.990 20 0 DCADLN COCc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000949195740 661377697 /nfs/dbraw/zinc/37/76/97/661377697.db2.gz NQUVRNMHAGGGBI-UHFFFAOYSA-N 0 2 321.337 0.208 20 0 DCADLN Cn1nncc1CCOC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000893762904 661404244 /nfs/dbraw/zinc/40/42/44/661404244.db2.gz SKYIACWMSWILNN-UHFFFAOYSA-N 0 2 308.260 0.358 20 0 DCADLN Cc1c[nH]cc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028315423 661455166 /nfs/dbraw/zinc/45/51/66/661455166.db2.gz MRYDLDBINUWCFM-SNVBAGLBSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1c[nH]cc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028315423 661455167 /nfs/dbraw/zinc/45/51/67/661455167.db2.gz MRYDLDBINUWCFM-SNVBAGLBSA-N 0 2 304.354 0.541 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000982847656 661697703 /nfs/dbraw/zinc/69/77/03/661697703.db2.gz JZPUWHAAKXGGOA-IONNQARKSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000982847656 661697705 /nfs/dbraw/zinc/69/77/05/661697705.db2.gz JZPUWHAAKXGGOA-IONNQARKSA-N 0 2 322.262 0.888 20 0 DCADLN C[C@@H](NC(=O)c1ccoc1)C(=O)NNC(=O)c1ccccn1 ZINC000048168037 661800354 /nfs/dbraw/zinc/80/03/54/661800354.db2.gz DZIFMSSUVPQTAS-SECBINFHSA-N 0 2 302.290 0.254 20 0 DCADLN O=C(c1cc[nH]c1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029318800 662103921 /nfs/dbraw/zinc/10/39/21/662103921.db2.gz ARVHTPDLFIPNDQ-PHIMTYICSA-N 0 2 302.338 0.327 20 0 DCADLN CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001029459989 662227590 /nfs/dbraw/zinc/22/75/90/662227590.db2.gz LYGOBQZZKCMSOR-PHIMTYICSA-N 0 2 305.382 0.876 20 0 DCADLN O=C(N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C1(CF)CCC1 ZINC001029480565 662296397 /nfs/dbraw/zinc/29/63/97/662296397.db2.gz AFLUVIGDYFQHSZ-PHIMTYICSA-N 0 2 323.372 0.825 20 0 DCADLN C[C@H]1OCC[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029528527 662359464 /nfs/dbraw/zinc/35/94/64/662359464.db2.gz XAFKXWKDOJIPLM-WYUUTHIRSA-N 0 2 321.381 0.111 20 0 DCADLN C[C@]1(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CCOC1 ZINC001029530020 662361743 /nfs/dbraw/zinc/36/17/43/662361743.db2.gz PSMIBFURMOEVPW-RWSFTLGLSA-N 0 2 321.381 0.112 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CCN(C(=O)CCc3nc[nH]n3)[C@H]2C)on1 ZINC000986119171 662557541 /nfs/dbraw/zinc/55/75/41/662557541.db2.gz WROAIVGMVRHTHB-WCQYABFASA-N 0 2 318.381 0.813 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=S)NC1CC[NH+](C)CC1 ZINC000729161840 662641186 /nfs/dbraw/zinc/64/11/86/662641186.db2.gz QDSGZEDJPAZQKE-LBPRGKRZSA-N 0 2 300.472 0.265 20 0 DCADLN C[C@H]1[C@H]([NH2+]Cc2cncn2C)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000987070019 662641298 /nfs/dbraw/zinc/64/12/98/662641298.db2.gz RNUHTZWNOWYNPA-SMDDNHRTSA-N 0 2 302.382 0.465 20 0 DCADLN CCC(CC)C(=O)N1C[C@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@@H]1C ZINC000988115271 662732038 /nfs/dbraw/zinc/73/20/38/662732038.db2.gz WBYGLURVOGSVFJ-CMPLNLGQSA-N 0 2 309.414 0.624 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000937827706 662827548 /nfs/dbraw/zinc/82/75/48/662827548.db2.gz CLKRASZHMDPPAX-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC000937827706 662827549 /nfs/dbraw/zinc/82/75/49/662827549.db2.gz CLKRASZHMDPPAX-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)C2CN([C@@H]3CCOC3)C2)n1 ZINC000897675045 662920138 /nfs/dbraw/zinc/92/01/38/662920138.db2.gz PJTMJDYZUUSDQY-SNVBAGLBSA-N 0 2 308.338 0.246 20 0 DCADLN CN(C)C(=O)C[NH+]1CC[C@]2(NC(=O)[C@H]3CCC[N@@H+]3C)CCC[C@H]12 ZINC000990024738 662994992 /nfs/dbraw/zinc/99/49/92/662994992.db2.gz DRVIUUNRCAINGG-JKIFEVAISA-N 0 2 322.453 0.282 20 0 DCADLN CC[NH+]1CCN(C(=O)CNC(=O)c2cccc(F)c2[O-])CC1 ZINC000899140016 663125142 /nfs/dbraw/zinc/12/51/42/663125142.db2.gz STOPCNCCRXLOON-UHFFFAOYSA-N 0 2 309.341 0.425 20 0 DCADLN CNC(=O)C[N@@H+]1CCC[C@H](N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000948304359 665933172 /nfs/dbraw/zinc/93/31/72/665933172.db2.gz XXBCDYNBCIKJFH-NSHDSACASA-N 0 2 323.397 0.096 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@H](N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000948304359 665933176 /nfs/dbraw/zinc/93/31/76/665933176.db2.gz XXBCDYNBCIKJFH-NSHDSACASA-N 0 2 323.397 0.096 20 0 DCADLN CO[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)C(C)C ZINC000941230009 665950850 /nfs/dbraw/zinc/95/08/50/665950850.db2.gz PCLIEPGLSDCBJN-IWSPIJDZSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1NC(=O)C(C)C ZINC000941230009 665950851 /nfs/dbraw/zinc/95/08/51/665950851.db2.gz PCLIEPGLSDCBJN-IWSPIJDZSA-N 0 2 314.279 0.885 20 0 DCADLN COCCN(C)S(=O)(=O)Nc1cc(C(C)=O)cc(F)c1O ZINC000901953064 663375531 /nfs/dbraw/zinc/37/55/31/663375531.db2.gz SCPUDKQPIJOOAG-UHFFFAOYSA-N 0 2 320.342 0.969 20 0 DCADLN O=C([O-])COCCNC(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000902293887 663402814 /nfs/dbraw/zinc/40/28/14/663402814.db2.gz OSJUSXQEIRFBAG-UHFFFAOYSA-N 0 2 303.318 0.762 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC000902304631 663405413 /nfs/dbraw/zinc/40/54/13/663405413.db2.gz DIUYZQSSBLQFTM-XHDPSFHLSA-N 0 2 307.350 0.395 20 0 DCADLN CCc1ccc(C(=O)[O-])cc1S(=O)(=O)NCC[NH+]1CCC1 ZINC000906904370 663650099 /nfs/dbraw/zinc/65/00/99/663650099.db2.gz UCOOAIUHBUZMSM-UHFFFAOYSA-N 0 2 312.391 0.931 20 0 DCADLN O=C(c1ccc(O)c(F)c1)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907479771 663701468 /nfs/dbraw/zinc/70/14/68/663701468.db2.gz MKRQRFVUJRJSBW-VIFPVBQESA-N 0 2 309.326 0.975 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000907760905 663715858 /nfs/dbraw/zinc/71/58/58/663715858.db2.gz XXAIJPMJLYJTES-BAZKMTEUSA-N 0 2 322.452 0.893 20 0 DCADLN C[NH+]1CC2(C1)CCN(C(=O)c1cc([C@@H]3CCC[N@@H+]3C)[nH]n1)C2 ZINC000907763059 663715887 /nfs/dbraw/zinc/71/58/87/663715887.db2.gz JHZSTPCODBOEBY-AWEZNQCLSA-N 0 2 303.410 0.954 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC[C@H](F)C1 ZINC001001087730 665994028 /nfs/dbraw/zinc/99/40/28/665994028.db2.gz QZLPAMKGSUWIRK-RYUDHWBXSA-N 0 2 323.372 0.897 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC[C@H](F)C1 ZINC001001087730 665994030 /nfs/dbraw/zinc/99/40/30/665994030.db2.gz QZLPAMKGSUWIRK-RYUDHWBXSA-N 0 2 323.372 0.897 20 0 DCADLN O=C([O-])CN(C(=O)NCCCCn1cc[nH+]c1)C1CCOCC1 ZINC000908861051 663772430 /nfs/dbraw/zinc/77/24/30/663772430.db2.gz DVUZLXJJGXLYMR-UHFFFAOYSA-N 0 2 324.381 0.939 20 0 DCADLN O=C([O-])[C@@]1(NC(=O)NCCCCn2cc[nH+]c2)CCSC1 ZINC000908861019 663772438 /nfs/dbraw/zinc/77/24/38/663772438.db2.gz CLEDWRWBRQIJOT-CYBMUJFWSA-N 0 2 312.395 0.923 20 0 DCADLN CSC[C@@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936485 663775155 /nfs/dbraw/zinc/77/51/55/663775155.db2.gz MMMVHRXEXQIBOJ-SNVBAGLBSA-N 0 2 319.427 0.213 20 0 DCADLN CSC[C@@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936485 663775156 /nfs/dbraw/zinc/77/51/56/663775156.db2.gz MMMVHRXEXQIBOJ-SNVBAGLBSA-N 0 2 319.427 0.213 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)CCCOC1 ZINC000909697311 663795175 /nfs/dbraw/zinc/79/51/75/663795175.db2.gz GSHIUHHGMSEGKK-IAQYHMDHSA-N 0 2 307.350 0.586 20 0 DCADLN COC1(C[C@@H](NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C(=O)[O-])CCC1 ZINC000909894079 663817561 /nfs/dbraw/zinc/81/75/61/663817561.db2.gz QHGSBLLKSJKJMM-DGCLKSJQSA-N 0 2 321.377 0.974 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CCC[C@@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000910416216 663881096 /nfs/dbraw/zinc/88/10/96/663881096.db2.gz VMXADHNHOKYFAC-IJLUTSLNSA-N 0 2 306.366 0.737 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CCC[C@@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000910416216 663881098 /nfs/dbraw/zinc/88/10/98/663881098.db2.gz VMXADHNHOKYFAC-IJLUTSLNSA-N 0 2 306.366 0.737 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CCC[C@@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000910416215 663881182 /nfs/dbraw/zinc/88/11/82/663881182.db2.gz VMXADHNHOKYFAC-GRYCIOLGSA-N 0 2 306.366 0.737 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CCC[C@@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000910416215 663881185 /nfs/dbraw/zinc/88/11/85/663881185.db2.gz VMXADHNHOKYFAC-GRYCIOLGSA-N 0 2 306.366 0.737 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1CNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000910470305 663888978 /nfs/dbraw/zinc/88/89/78/663888978.db2.gz QEEGCEXQSLFUTF-TUAOUCFPSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1CNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000910470305 663888980 /nfs/dbraw/zinc/88/89/80/663888980.db2.gz QEEGCEXQSLFUTF-TUAOUCFPSA-N 0 2 306.366 0.960 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C(=O)[O-])c(C)n1 ZINC000910988542 663965712 /nfs/dbraw/zinc/96/57/12/663965712.db2.gz QUOKANMMBSEDTP-LBPRGKRZSA-N 0 2 321.377 0.929 20 0 DCADLN CC(C)[C@@H](CNC(=O)c1cccc(C(=O)[O-])n1)[NH+]1CCOCC1 ZINC000911152392 663993734 /nfs/dbraw/zinc/99/37/34/663993734.db2.gz SSKUBALRTDZMSC-CQSZACIVSA-N 0 2 321.377 0.866 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)C3(O)CCC3)CC2)[nH+]c1 ZINC000911172632 664001055 /nfs/dbraw/zinc/00/10/55/664001055.db2.gz SRDQVCXHUYPACU-UHFFFAOYSA-N 0 2 305.334 0.343 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)O[C@H]1C(=O)[O-] ZINC000911320530 664032856 /nfs/dbraw/zinc/03/28/56/664032856.db2.gz VUHTVVAZMAQFNQ-YUSALJHKSA-N 0 2 307.350 0.994 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000911351648 664034306 /nfs/dbraw/zinc/03/43/06/664034306.db2.gz KTFSYDXNNNLOBO-ZYHUDNBSSA-N 0 2 321.377 0.927 20 0 DCADLN O=C([O-])C1(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCOCC1 ZINC000911469859 664056673 /nfs/dbraw/zinc/05/66/73/664056673.db2.gz UODFFMCPFWAHJM-LBPRGKRZSA-N 0 2 319.361 0.658 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@@](C)(CC(=O)[O-])c1ccccn1 ZINC000911615435 664089549 /nfs/dbraw/zinc/08/95/49/664089549.db2.gz AHJBCIACEGSJDT-HNNXBMFYSA-N 0 2 302.334 0.864 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)c(F)c([O-])c2F)[C@H](CO)C1 ZINC000912740238 664216193 /nfs/dbraw/zinc/21/61/93/664216193.db2.gz SESHWXHIXPQTHM-ZETCQYMHSA-N 0 2 304.268 0.558 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)c(F)c([O-])c2F)[C@H](CO)C1 ZINC000912740238 664216196 /nfs/dbraw/zinc/21/61/96/664216196.db2.gz SESHWXHIXPQTHM-ZETCQYMHSA-N 0 2 304.268 0.558 20 0 DCADLN CCOc1nc(C)ccc1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC000912819393 664232607 /nfs/dbraw/zinc/23/26/07/664232607.db2.gz QLUMJNKYTIOXLV-VIFPVBQESA-N 0 2 306.322 0.716 20 0 DCADLN CC(C)[N@H+]1CCC[C@H]1C(=O)NC1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000991478154 664360512 /nfs/dbraw/zinc/36/05/12/664360512.db2.gz JJZJDCSYIIKPAN-ZDUSSCGKSA-N 0 2 319.409 0.152 20 0 DCADLN O=C(CC1CCC1)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030181264 664468186 /nfs/dbraw/zinc/46/81/86/664468186.db2.gz ALUHODWVEDJGTG-UHFFFAOYSA-N 0 2 315.377 0.513 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001030723613 664648737 /nfs/dbraw/zinc/64/87/37/664648737.db2.gz BCSPJIVODBCORO-ZMLRMANQSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1Cc2ccc(F)cc21 ZINC001030847598 664685779 /nfs/dbraw/zinc/68/57/79/664685779.db2.gz KVVACMOUNKWHKB-LBPRGKRZSA-N 0 2 317.324 0.290 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCC(F)(F)C1 ZINC001030938127 664720442 /nfs/dbraw/zinc/72/04/42/664720442.db2.gz CKLHTNLZVDKUDS-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ccccc1F ZINC000730514364 664783922 /nfs/dbraw/zinc/78/39/22/664783922.db2.gz GTVMPGVOQDPQCE-UHFFFAOYSA-N 0 2 301.281 0.527 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(Cc1cccnc1)C1CC1 ZINC000730522486 664785090 /nfs/dbraw/zinc/78/50/90/664785090.db2.gz MNKXJUVWUJUSHT-UHFFFAOYSA-N 0 2 324.344 0.657 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CC1(Cl)Cl ZINC000730525849 664785254 /nfs/dbraw/zinc/78/52/54/664785254.db2.gz JIAOHPRXIZRPJF-LURJTMIESA-N 0 2 316.148 0.381 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccncc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992951625 664786127 /nfs/dbraw/zinc/78/61/27/664786127.db2.gz OBPPWCJRJNSPGE-PWSUYJOCSA-N 0 2 316.365 0.688 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1Cc2ccccc21 ZINC000730530843 664786628 /nfs/dbraw/zinc/78/66/28/664786628.db2.gz ZAFQLKFGDBNUAR-NSHDSACASA-N 0 2 309.329 0.527 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cccnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992971313 664787565 /nfs/dbraw/zinc/78/75/65/664787565.db2.gz XXIYWCDAVGVLLU-PWSUYJOCSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cncs2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993092143 664806870 /nfs/dbraw/zinc/80/68/70/664806870.db2.gz PMPJNSPFWGCGPG-IUCAKERBSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccnn2C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993107551 664809738 /nfs/dbraw/zinc/80/97/38/664809738.db2.gz OVVNMWZSWWGSPT-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cscn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993135304 664825808 /nfs/dbraw/zinc/82/58/08/664825808.db2.gz WJIAAFQUEFJYOB-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cscn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993135316 664825876 /nfs/dbraw/zinc/82/58/76/664825876.db2.gz WJIAAFQUEFJYOB-IUCAKERBSA-N 0 2 322.394 0.750 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000993175834 664858723 /nfs/dbraw/zinc/85/87/23/664858723.db2.gz BMLLNNSFXSWMLK-ZJUUUORDSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1cnc(C[N@@H+]2CC[C@@H](NC(=O)c3n[nH]cc3F)C2)cn1 ZINC001015665351 664966372 /nfs/dbraw/zinc/96/63/72/664966372.db2.gz IIPXDEXPYCOSOA-SNVBAGLBSA-N 0 2 304.329 0.652 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2n[nH]cc2F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993743037 664998177 /nfs/dbraw/zinc/99/81/77/664998177.db2.gz OXCVGYFJSFOKHH-CBAPKCEASA-N 0 2 323.332 0.155 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2n[nH]cc2F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993743020 664998230 /nfs/dbraw/zinc/99/82/30/664998230.db2.gz OXCVGYFJSFOKHH-APPZFPTMSA-N 0 2 323.332 0.155 20 0 DCADLN CN(C)c1cccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031305766 665034236 /nfs/dbraw/zinc/03/42/36/665034236.db2.gz KECRGAQAAWMUBF-UHFFFAOYSA-N 0 2 316.365 0.191 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000994156096 665043120 /nfs/dbraw/zinc/04/31/20/665043120.db2.gz GAODFIJAMRTPIC-UWVGGRQHSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000994184913 665046607 /nfs/dbraw/zinc/04/66/07/665046607.db2.gz XRHFUSUWBFHMFR-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)CC3(F)CC3)CC2)[nH]1 ZINC000915920728 665053549 /nfs/dbraw/zinc/05/35/49/665053549.db2.gz FAHYHLUJSCHNMG-UHFFFAOYSA-N 0 2 304.347 0.522 20 0 DCADLN O=C(Cc1cccc(F)c1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031352639 665107363 /nfs/dbraw/zinc/10/73/63/665107363.db2.gz CPRBPTBEJKUFBA-UHFFFAOYSA-N 0 2 305.313 0.193 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NCCS(C)(=O)=O)c2=O ZINC000918070594 665155367 /nfs/dbraw/zinc/15/53/67/665155367.db2.gz NLESFIRBMQXSDC-UHFFFAOYSA-N 0 2 309.347 0.418 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2n(c1)CCCC2 ZINC001031500782 665176785 /nfs/dbraw/zinc/17/67/85/665176785.db2.gz CUYPAAYVDUMNNS-UHFFFAOYSA-N 0 2 316.365 0.262 20 0 DCADLN CO[C@H](C)C[NH+]1CC(CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001031687923 665289423 /nfs/dbraw/zinc/28/94/23/665289423.db2.gz REHQNNLDOKTYCR-SNVBAGLBSA-N 0 2 303.366 0.654 20 0 DCADLN O=C(Cc1ncc[nH]1)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938531287 665382974 /nfs/dbraw/zinc/38/29/74/665382974.db2.gz SOSUKYCHXGINLI-OIBJUYFYSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1nccs1 ZINC001001291209 666030732 /nfs/dbraw/zinc/03/07/32/666030732.db2.gz SATRBRBUQGIGOQ-UHFFFAOYSA-N 0 2 320.378 0.529 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1nccs1 ZINC001001291209 666030733 /nfs/dbraw/zinc/03/07/33/666030733.db2.gz SATRBRBUQGIGOQ-UHFFFAOYSA-N 0 2 320.378 0.529 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ocnc1C1CC1 ZINC001031974272 666061716 /nfs/dbraw/zinc/06/17/16/666061716.db2.gz ZWZMFJFSCLWKMB-UHFFFAOYSA-N 0 2 318.337 0.238 20 0 DCADLN C/C=C(/C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377714 666117156 /nfs/dbraw/zinc/11/71/56/666117156.db2.gz UPFUQVXHZLGBTM-PFPYCLJUSA-N 0 2 312.263 0.543 20 0 DCADLN C/C=C(/C)C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043377714 666117159 /nfs/dbraw/zinc/11/71/59/666117159.db2.gz UPFUQVXHZLGBTM-PFPYCLJUSA-N 0 2 312.263 0.543 20 0 DCADLN O=C(C[C@H]1CCCCO1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002576791 666191759 /nfs/dbraw/zinc/19/17/59/666191759.db2.gz CESVJCLOKANXPI-GFCCVEGCSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1noc(C[N@@H+]2CC[C@H](NC(=O)c3n[nH]cc3F)[C@H](C)C2)n1 ZINC000942600733 666197892 /nfs/dbraw/zinc/19/78/92/666197892.db2.gz UMXFKSDQKNQQHQ-KCJUWKMLSA-N 0 2 322.344 0.881 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1C[C@@H]1C(F)F ZINC001002714148 666210363 /nfs/dbraw/zinc/21/03/63/666210363.db2.gz IDJGYUGFNIBYKL-IUCAKERBSA-N 0 2 315.324 0.492 20 0 DCADLN O=C(NCC1C[NH+](C[C@@H](O)c2ccc(F)cc2)C1)c1ncn[nH]1 ZINC001032060675 666243246 /nfs/dbraw/zinc/24/32/46/666243246.db2.gz FQWFVYCWKXHQJB-CYBMUJFWSA-N 0 2 319.340 0.339 20 0 DCADLN O=C(Cc1ccccc1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003175463 666281398 /nfs/dbraw/zinc/28/13/98/666281398.db2.gz XKRZZMLHYVONIB-UHFFFAOYSA-N 0 2 315.377 0.834 20 0 DCADLN O=C(NC1CC[NH+](Cc2nc(C3CC3)no2)CC1)c1cnn[n-]1 ZINC001003232170 666285957 /nfs/dbraw/zinc/28/59/57/666285957.db2.gz ZZLMFIPCFGARIN-UHFFFAOYSA-N 0 2 317.353 0.460 20 0 DCADLN CC(C)c1nnc(CN2CCC(NC(=O)c3cnn[nH]3)CC2)o1 ZINC001003233048 666286015 /nfs/dbraw/zinc/28/60/15/666286015.db2.gz LPCBJXKTXIMVRU-UHFFFAOYSA-N 0 2 319.369 0.706 20 0 DCADLN Cc1nocc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003542549 666325503 /nfs/dbraw/zinc/32/55/03/666325503.db2.gz ZUCKRLWEETVJJD-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN CC(C)c1ocnc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032109902 666332110 /nfs/dbraw/zinc/33/21/10/666332110.db2.gz YWIIEZJAAGSXPE-UHFFFAOYSA-N 0 2 320.353 0.484 20 0 DCADLN C[C@H]1CC[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001032140868 666371503 /nfs/dbraw/zinc/37/15/03/666371503.db2.gz ARTDMJSZGPSJDK-UMSPYCQHSA-N 0 2 307.398 0.885 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC001003830613 666377558 /nfs/dbraw/zinc/37/75/58/666377558.db2.gz OMBFFTWQULHOBW-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cccnn1 ZINC001003830613 666377561 /nfs/dbraw/zinc/37/75/61/666377561.db2.gz OMBFFTWQULHOBW-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN CN(C(=O)C1=COCCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032951552 666406005 /nfs/dbraw/zinc/40/60/05/666406005.db2.gz CCRZVZCHRSLREK-LLVKDONJSA-N 0 2 307.354 0.237 20 0 DCADLN CN(C(=O)C1=COCCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032951552 666406007 /nfs/dbraw/zinc/40/60/07/666406007.db2.gz CCRZVZCHRSLREK-LLVKDONJSA-N 0 2 307.354 0.237 20 0 DCADLN Cc1cc(CC(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)no1 ZINC001032969399 666413316 /nfs/dbraw/zinc/41/33/16/666413316.db2.gz WKYZRTIETTUUFE-NSHDSACASA-N 0 2 320.353 0.082 20 0 DCADLN Cc1cc(CC(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)no1 ZINC001032969399 666413317 /nfs/dbraw/zinc/41/33/17/666413317.db2.gz WKYZRTIETTUUFE-NSHDSACASA-N 0 2 320.353 0.082 20 0 DCADLN Cc1cccnc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032986701 666419802 /nfs/dbraw/zinc/41/98/02/666419802.db2.gz OIRAYMZDQPBQDK-LLVKDONJSA-N 0 2 316.365 0.560 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032996896 666420484 /nfs/dbraw/zinc/42/04/84/666420484.db2.gz NLIOIBLGFCQYQS-MEWQQHAOSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032996896 666420486 /nfs/dbraw/zinc/42/04/86/666420486.db2.gz NLIOIBLGFCQYQS-MEWQQHAOSA-N 0 2 305.382 0.589 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]n1)[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC000945599526 666632596 /nfs/dbraw/zinc/63/25/96/666632596.db2.gz VEDYQVHJTJTIRZ-VHSXEESVSA-N 0 2 317.353 0.199 20 0 DCADLN C[C@H](NC(=O)c1cnn[nH]1)[C@@H]1CCCN(C(=O)c2cc[nH]n2)C1 ZINC000945599526 666632597 /nfs/dbraw/zinc/63/25/97/666632597.db2.gz VEDYQVHJTJTIRZ-VHSXEESVSA-N 0 2 317.353 0.199 20 0 DCADLN CC[C@H]1OCCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948702673 666650554 /nfs/dbraw/zinc/65/05/54/666650554.db2.gz CGRMCYMTEZKCBD-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)c1cccn1C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005119486 666683740 /nfs/dbraw/zinc/68/37/40/666683740.db2.gz HOIBXBAQTTYELR-UHFFFAOYSA-N 0 2 318.381 0.585 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033403335 666707970 /nfs/dbraw/zinc/70/79/70/666707970.db2.gz UQXLKHWHYZKJSA-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033403335 666707972 /nfs/dbraw/zinc/70/79/72/666707972.db2.gz UQXLKHWHYZKJSA-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033513952 666735261 /nfs/dbraw/zinc/73/52/61/666735261.db2.gz WUPYVGVITCOTMT-SNVBAGLBSA-N 0 2 319.369 0.197 20 0 DCADLN CC1CC(C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001005241050 666774562 /nfs/dbraw/zinc/77/45/62/666774562.db2.gz VMSMVHGUMWSQDS-UHFFFAOYSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)c1cscn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033774569 666822238 /nfs/dbraw/zinc/82/22/38/666822238.db2.gz VFVJSLRYACINSK-VIFPVBQESA-N 0 2 322.394 0.703 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1[O-])[C@H]1CC[N@@H+]([C@@H](C)C(=O)NC)C1 ZINC001033804758 666835341 /nfs/dbraw/zinc/83/53/41/666835341.db2.gz YVNBOTDXNKFPPK-QWRGUYRKSA-N 0 2 323.397 0.095 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1[O-])[C@H]1CC[N@H+]([C@@H](C)C(=O)NC)C1 ZINC001033804758 666835342 /nfs/dbraw/zinc/83/53/42/666835342.db2.gz YVNBOTDXNKFPPK-QWRGUYRKSA-N 0 2 323.397 0.095 20 0 DCADLN O=C(c1cscn1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032314592 666855037 /nfs/dbraw/zinc/85/50/37/666855037.db2.gz GMLVFWHRMAAYIU-YUMQZZPRSA-N 0 2 306.351 0.066 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N(CC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033959156 666878138 /nfs/dbraw/zinc/87/81/38/666878138.db2.gz CCFWQIOAIQENPJ-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N(CC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033959156 666878142 /nfs/dbraw/zinc/87/81/42/666878142.db2.gz CCFWQIOAIQENPJ-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@H]1C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033984860 666883868 /nfs/dbraw/zinc/88/38/68/666883868.db2.gz AVTPANLTXLUPEI-FRRDWIJNSA-N 0 2 319.409 0.979 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@H]1C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033984860 666883871 /nfs/dbraw/zinc/88/38/71/666883871.db2.gz AVTPANLTXLUPEI-FRRDWIJNSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccccn1 ZINC001034078340 666912207 /nfs/dbraw/zinc/91/22/07/666912207.db2.gz CYPWCETVNAUPDR-NSHDSACASA-N 0 2 316.365 0.690 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccccn1 ZINC001034078340 666912210 /nfs/dbraw/zinc/91/22/10/666912210.db2.gz CYPWCETVNAUPDR-NSHDSACASA-N 0 2 316.365 0.690 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccoc1 ZINC001034106936 666923195 /nfs/dbraw/zinc/92/31/95/666923195.db2.gz PTKIOYQKQNFCSU-LLVKDONJSA-N 0 2 305.338 0.888 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccoc1 ZINC001034106936 666923198 /nfs/dbraw/zinc/92/31/98/666923198.db2.gz PTKIOYQKQNFCSU-LLVKDONJSA-N 0 2 305.338 0.888 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn(CCCOC)c2)C1=O ZINC000861446709 666928418 /nfs/dbraw/zinc/92/84/18/666928418.db2.gz LQCFMUHWKHRVOY-AWEZNQCLSA-N 0 2 323.353 0.285 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cscn1 ZINC001034143589 666937352 /nfs/dbraw/zinc/93/73/52/666937352.db2.gz YUTUQOPEZKIDQI-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CC1(F)F ZINC001034196629 666951943 /nfs/dbraw/zinc/95/19/43/666951943.db2.gz DJJZTGTXEKRPAG-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CC1(F)F ZINC001034196629 666951944 /nfs/dbraw/zinc/95/19/44/666951944.db2.gz DJJZTGTXEKRPAG-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034334249 666991053 /nfs/dbraw/zinc/99/10/53/666991053.db2.gz GDCXSDQVDWTUSO-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034334249 666991055 /nfs/dbraw/zinc/99/10/55/666991055.db2.gz GDCXSDQVDWTUSO-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN CNC(=O)C[NH+]1CCCC[C@H](NC(=O)C[N@H+]2CC[C@H](C)C2)C1 ZINC001034393921 667011488 /nfs/dbraw/zinc/01/14/88/667011488.db2.gz HZOAKPRPRRUCCW-KBPBESRZSA-N 0 2 310.442 0.045 20 0 DCADLN CCCCC(=O)NNC(=O)c1csc(N2CCOCC2)n1 ZINC000012802649 667140422 /nfs/dbraw/zinc/14/04/22/667140422.db2.gz KIUXWLUWEZMHIB-UHFFFAOYSA-N 0 2 312.395 0.931 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1cc(C(=O)OC)n(C)n1)OC ZINC000863449631 667146772 /nfs/dbraw/zinc/14/67/72/667146772.db2.gz QZFPCXCORHRIFJ-MRVPVSSYSA-N 0 2 305.356 0.373 20 0 DCADLN CCC(O)(CC)CN(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000863621407 667161741 /nfs/dbraw/zinc/16/17/41/667161741.db2.gz IIHOYZAIXMRQMT-UHFFFAOYSA-N 0 2 307.354 0.081 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000863756954 667176750 /nfs/dbraw/zinc/17/67/50/667176750.db2.gz PRBVNWODQBMBKV-RNFRBKRXSA-N 0 2 308.256 0.075 20 0 DCADLN COC(=O)CCCONC(=O)[C@@H]1CNC(=O)c2ccccc21 ZINC000863917564 667193323 /nfs/dbraw/zinc/19/33/23/667193323.db2.gz MWVAZZIMWLCQSM-GFCCVEGCSA-N 0 2 306.318 0.515 20 0 DCADLN Cn1cnnc1CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001032416272 667216505 /nfs/dbraw/zinc/21/65/05/667216505.db2.gz CLYSZEAWCGUGAH-NRPADANISA-N 0 2 321.278 0.501 20 0 DCADLN Cn1cnnc1CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)C(F)(F)F ZINC001032416272 667216509 /nfs/dbraw/zinc/21/65/09/667216509.db2.gz CLYSZEAWCGUGAH-NRPADANISA-N 0 2 321.278 0.501 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000888328052 667295465 /nfs/dbraw/zinc/29/54/65/667295465.db2.gz BAKGWEGZEIMNKA-LLVKDONJSA-N 0 2 303.326 0.195 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)[C@H]1CC12CCC2 ZINC001035532265 667370680 /nfs/dbraw/zinc/37/06/80/667370680.db2.gz XBNIXDIWWQQPMP-GHMZBOCLSA-N 0 2 321.381 0.018 20 0 DCADLN CN(C)C(=O)CNS(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866414413 667375118 /nfs/dbraw/zinc/37/51/18/667375118.db2.gz FVDXMXKBZIBFTK-IBGZPJMESA-N 0 2 319.408 0.066 20 0 DCADLN CCN(CC)C(=O)CNS(=O)(=O)N=S(=O)(CC)CC ZINC000866605510 667383578 /nfs/dbraw/zinc/38/35/78/667383578.db2.gz VITDCMWIERHGMR-UHFFFAOYSA-N 0 2 313.445 0.197 20 0 DCADLN CN(C(=O)[C@@H]1CC12CC2)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006051892 667511023 /nfs/dbraw/zinc/51/10/23/667511023.db2.gz IWXWSHBKJDQNTM-NSHDSACASA-N 0 2 305.382 0.733 20 0 DCADLN CN(CCC[N@@H+](C)Cc1ccncc1)C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC000868391069 667564520 /nfs/dbraw/zinc/56/45/20/667564520.db2.gz FXCHXZPGLDCACC-OAHLLOKOSA-N 0 2 317.393 0.819 20 0 DCADLN CN(C(=O)[C@]1(C)CCOC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006196225 667578413 /nfs/dbraw/zinc/57/84/13/667578413.db2.gz IRNOIRCSLIDCGU-OAHLLOKOSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(N[C@H]1CCNC1=O)c1cc(Br)cc(F)c1O ZINC000869222721 667638606 /nfs/dbraw/zinc/63/86/06/667638606.db2.gz ITSBBEGJJXBZOS-QMMMGPOBSA-N 0 2 317.114 0.912 20 0 DCADLN Cc1nc(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)ccc1C(=O)[O-] ZINC000738338496 667667792 /nfs/dbraw/zinc/66/77/92/667667792.db2.gz FKLNINNGBSPLGT-JQWIXIFHSA-N 0 2 321.377 0.927 20 0 DCADLN O=C(Cc1ccccc1O[C@H]1CCOC1)OCc1n[nH]c(=O)[nH]1 ZINC000838277725 667682974 /nfs/dbraw/zinc/68/29/74/667682974.db2.gz PANSLQBRSIKQNW-NSHDSACASA-N 0 2 319.317 0.964 20 0 DCADLN CN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@@H]1CCCCN(C)C1=O ZINC000870607420 667746950 /nfs/dbraw/zinc/74/69/50/667746950.db2.gz ZOKFFTXMSYZWTO-BDAKNGLRSA-N 0 2 312.395 0.012 20 0 DCADLN Cn1cc([N-]S(=O)(=O)c2cccc3c2CC[N@H+](C)C3)nn1 ZINC000886406267 667763101 /nfs/dbraw/zinc/76/31/01/667763101.db2.gz SEIQMKZBOBRGEO-UHFFFAOYSA-N 0 2 307.379 0.604 20 0 DCADLN Cn1cc([N-]S(=O)(=O)c2cccc3c2CC[N@@H+](C)C3)nn1 ZINC000886406267 667763103 /nfs/dbraw/zinc/76/31/03/667763103.db2.gz SEIQMKZBOBRGEO-UHFFFAOYSA-N 0 2 307.379 0.604 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2COc3ccccc3C2)S1 ZINC000742491365 667792363 /nfs/dbraw/zinc/79/23/63/667792363.db2.gz LEPHLKSVOCKLCL-CABZTGNLSA-N 0 2 319.386 0.910 20 0 DCADLN CN(CCNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccc1 ZINC000742500177 667792535 /nfs/dbraw/zinc/79/25/35/667792535.db2.gz JQAKRHJKPGDRHP-NSHDSACASA-N 0 2 306.391 0.795 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC(=O)Nc2ccccc2)S1 ZINC000742560236 667793844 /nfs/dbraw/zinc/79/38/44/667793844.db2.gz CYIFRHFJHBNVHG-SECBINFHSA-N 0 2 306.347 0.298 20 0 DCADLN COC(=O)CC1CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC000742571426 667793854 /nfs/dbraw/zinc/79/38/54/667793854.db2.gz MFIRBSXUHPJSPK-SECBINFHSA-N 0 2 313.379 0.345 20 0 DCADLN CCCn1cc(NC(=O)C[C@H]2SC(=N)NC2=O)ccc1=O ZINC000742553229 667793915 /nfs/dbraw/zinc/79/39/15/667793915.db2.gz BTVHEYNUPVESLZ-SECBINFHSA-N 0 2 308.363 0.753 20 0 DCADLN CCCN(CC(=O)OCC)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742672283 667796977 /nfs/dbraw/zinc/79/69/77/667796977.db2.gz OZWYVKFSZHGXGR-QMMMGPOBSA-N 0 2 301.368 0.345 20 0 DCADLN CC(C)OC(=O)[C@@H](C)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742803408 667799311 /nfs/dbraw/zinc/79/93/11/667799311.db2.gz SLUYUQSRCUHZCG-YUMQZZPRSA-N 0 2 301.368 0.247 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC2(CCCC2)C1 ZINC000742878578 667800654 /nfs/dbraw/zinc/80/06/54/667800654.db2.gz BEPHXVYMQIMMOY-UHFFFAOYSA-N 0 2 301.350 0.864 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2CCC23CCOCC3)S1 ZINC000871577012 667838462 /nfs/dbraw/zinc/83/84/62/667838462.db2.gz GQPJIJNQCATVGS-UWVGGRQHSA-N 0 2 311.407 0.866 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)OCCO3)S1 ZINC000745415068 667847322 /nfs/dbraw/zinc/84/73/22/667847322.db2.gz DDJWZJLXNHNOGL-SNVBAGLBSA-N 0 2 307.331 0.953 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3cncn3)nc2)S1 ZINC000745427893 667847508 /nfs/dbraw/zinc/84/75/08/667847508.db2.gz PCBZIXJOMKNXJD-MRVPVSSYSA-N 0 2 317.334 0.157 20 0 DCADLN C[C@H](NC(=O)OCc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748417269 667927550 /nfs/dbraw/zinc/92/75/50/667927550.db2.gz DUNKLWPAOUMYRI-VIFPVBQESA-N 0 2 319.321 0.441 20 0 DCADLN Cc1n[nH]c(C(=O)NCc2n[nH]c(=O)[nH]2)c1Br ZINC000748420277 667927948 /nfs/dbraw/zinc/92/79/48/667927948.db2.gz YCLPUAHWSYEZSG-UHFFFAOYSA-N 0 2 301.104 0.234 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@H]1SC(=N)NC1=O)c1ccsc1 ZINC000748550424 667931976 /nfs/dbraw/zinc/93/19/76/667931976.db2.gz JALRXGFZUIBXSI-PRHODGIISA-N 0 2 313.404 0.628 20 0 DCADLN COc1c2cnn(C)c2ncc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000752372404 668016182 /nfs/dbraw/zinc/01/61/82/668016182.db2.gz MSJHXYSUJNXVND-UHFFFAOYSA-N 0 2 304.266 0.158 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000873357148 668076145 /nfs/dbraw/zinc/07/61/45/668076145.db2.gz UKEHATXRGCUZOV-SCVCMEIPSA-N 0 2 318.333 0.572 20 0 DCADLN CC[S@@](=O)CCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000758424311 668174298 /nfs/dbraw/zinc/17/42/98/668174298.db2.gz IEAOJQQYTWOZIH-LJQANCHMSA-N 0 2 302.318 0.328 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)N[C@H]1CC[NH+](C2CC2)C1 ZINC000875295138 668295772 /nfs/dbraw/zinc/29/57/72/668295772.db2.gz HWGABMXSOIRSQK-UONOGXRCSA-N 0 2 310.442 0.633 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC2(C1)CCCCC2 ZINC000761187509 668311230 /nfs/dbraw/zinc/31/12/30/668311230.db2.gz ZFPJIONCAOGHSV-UHFFFAOYSA-N 0 2 301.350 0.864 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](CCO)c2ccccc2)S1 ZINC000761895421 668340254 /nfs/dbraw/zinc/34/02/54/668340254.db2.gz KVVRFMOFFPNMFW-WDEREUQCSA-N 0 2 307.375 0.783 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](CCO)c2ccccc2)S1 ZINC000761895419 668340344 /nfs/dbraw/zinc/34/03/44/668340344.db2.gz KVVRFMOFFPNMFW-QWRGUYRKSA-N 0 2 307.375 0.783 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](C(N)=O)c2ccc(F)cc2)S1 ZINC000768540290 668613692 /nfs/dbraw/zinc/61/36/92/668613692.db2.gz WHVHPDNMUAKYRG-WCBMZHEXSA-N 0 2 324.337 0.025 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCS[C@H]3COCC[C@H]32)S1 ZINC000769343660 668644655 /nfs/dbraw/zinc/64/46/55/668644655.db2.gz KIRBZMVIPUPRNR-VGMNWLOBSA-N 0 2 315.420 0.276 20 0 DCADLN C[C@@H](Cc1ccc(O)cc1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000769441823 668648665 /nfs/dbraw/zinc/64/86/65/668648665.db2.gz QPBLYRNNOUFZGY-KWQFWETISA-N 0 2 307.375 0.996 20 0 DCADLN Cn1ccnc1[C@@H]1CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000769770450 668660640 /nfs/dbraw/zinc/66/06/40/668660640.db2.gz MGUXCFGTLFHVPI-ZJUUUORDSA-N 0 2 321.406 0.683 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCC1(O)CCCC1 ZINC000770884742 668721792 /nfs/dbraw/zinc/72/17/92/668721792.db2.gz VWMWKNZSTCGZQE-UHFFFAOYSA-N 0 2 305.334 0.722 20 0 DCADLN CSc1cc(CNC(=O)C[C@H]2SC(=N)NC2=O)ccn1 ZINC000772883717 668787471 /nfs/dbraw/zinc/78/74/71/668787471.db2.gz MJPRGIJIMZXIEW-MRVPVSSYSA-N 0 2 310.404 0.976 20 0 DCADLN O=C(CCOC[C@H]1CCCO1)NCCc1n[nH]c(=S)o1 ZINC000773087908 668793017 /nfs/dbraw/zinc/79/30/17/668793017.db2.gz PFHSAYVPYFJUAL-SECBINFHSA-N 0 2 301.368 0.603 20 0 DCADLN CCCc1cc(C(=O)N2CCSC[C@@H]2c2nn[nH]n2)[nH]n1 ZINC000773159800 668794582 /nfs/dbraw/zinc/79/45/82/668794582.db2.gz SOLVGSMBDQZNDK-SNVBAGLBSA-N 0 2 307.383 0.806 20 0 DCADLN Cc1nn(C)c2nc(C)cc(C(=O)OCc3n[nH]c(=O)[nH]3)c12 ZINC000774898205 668850262 /nfs/dbraw/zinc/85/02/62/668850262.db2.gz SGDKUEAPLGQNEE-UHFFFAOYSA-N 0 2 302.294 0.766 20 0 DCADLN O=C(CCCNC(=O)c1ccsc1)OCc1n[nH]c(=O)[nH]1 ZINC000774897455 668850404 /nfs/dbraw/zinc/85/04/04/668850404.db2.gz SRSNHOQVCIVZJI-UHFFFAOYSA-N 0 2 310.335 0.825 20 0 DCADLN Cc1ccc2ncc(C(=O)OCc3n[nH]c(=O)[nH]3)c(=O)n2c1 ZINC000774914794 668850804 /nfs/dbraw/zinc/85/08/04/668850804.db2.gz RBDMPBLAVYDDSK-UHFFFAOYSA-N 0 2 301.262 0.184 20 0 DCADLN COCCCOc1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)cn1 ZINC000774938248 668851935 /nfs/dbraw/zinc/85/19/35/668851935.db2.gz DHIDUBHIOXYTKU-UHFFFAOYSA-N 0 2 308.294 0.678 20 0 DCADLN Cn1cc(-c2nc(C(=O)OCc3n[nH]c(=O)[nH]3)cs2)cn1 ZINC000774929341 668852068 /nfs/dbraw/zinc/85/20/68/668852068.db2.gz CJYMAHRRXKNVEC-UHFFFAOYSA-N 0 2 306.307 0.724 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CCCCN1C(=O)c1ccco1 ZINC000774933702 668852148 /nfs/dbraw/zinc/85/21/48/668852148.db2.gz SFDDSHGCAPCKSC-SECBINFHSA-N 0 2 320.305 0.841 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C)CCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000775361731 668861313 /nfs/dbraw/zinc/86/13/13/668861313.db2.gz FLJGHHLKDDCSFW-VGMNWLOBSA-N 0 2 313.379 0.343 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](OCC3CC3)C2)S1 ZINC000779130861 668908160 /nfs/dbraw/zinc/90/81/60/668908160.db2.gz ZHAVXFZBZDPZAH-QWRGUYRKSA-N 0 2 311.407 0.960 20 0 DCADLN Cc1nnc(CN(C)CC(=O)NOCCC(F)(F)F)n1C ZINC000779328162 668909861 /nfs/dbraw/zinc/90/98/61/668909861.db2.gz JJSXIVCSWCMODS-UHFFFAOYSA-N 0 2 309.292 0.556 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCC[S@@](C)=O)=N2)cc1 ZINC000779513587 668911915 /nfs/dbraw/zinc/91/19/15/668911915.db2.gz HRVPKPGDSZOLDV-JOCHJYFZSA-N 0 2 323.374 0.695 20 0 DCADLN C[C@@H]1[C@H](C)NC(=O)[C@H](C)[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000930835232 669089982 /nfs/dbraw/zinc/08/99/82/669089982.db2.gz AHPWXLJTRDMDIO-XQQFMLRXSA-N 0 2 305.378 0.832 20 0 DCADLN C[C@@H]1[C@H](C)NC(=O)[C@H](C)[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000930835232 669089985 /nfs/dbraw/zinc/08/99/85/669089985.db2.gz AHPWXLJTRDMDIO-XQQFMLRXSA-N 0 2 305.378 0.832 20 0 DCADLN C[C@@]1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO[C@H]1C1CC1 ZINC000784742321 669219837 /nfs/dbraw/zinc/21/98/37/669219837.db2.gz AGGUHMBEXJOCAN-SWLSCSKDSA-N 0 2 317.349 0.145 20 0 DCADLN C[C@@]1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO[C@@H]1C1CC1 ZINC000784742314 669219929 /nfs/dbraw/zinc/21/99/29/669219929.db2.gz AGGUHMBEXJOCAN-IUODEOHRSA-N 0 2 317.349 0.145 20 0 DCADLN COC(=O)[C@@H](NC(=O)C(F)C(F)(F)F)C1CCOCC1 ZINC000881761398 669378418 /nfs/dbraw/zinc/37/84/18/669378418.db2.gz PTVRZKYMIHDCEU-YUMQZZPRSA-N 0 2 301.236 0.971 20 0 DCADLN COC(=O)[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CCOCC1 ZINC000881761398 669378423 /nfs/dbraw/zinc/37/84/23/669378423.db2.gz PTVRZKYMIHDCEU-YUMQZZPRSA-N 0 2 301.236 0.971 20 0 DCADLN CCC[C@@H](C(=O)OCC)S(=O)(=O)NCC(F)(F)CO ZINC000882076635 669453780 /nfs/dbraw/zinc/45/37/80/669453780.db2.gz LWDXCXFUGRQKMR-QMMMGPOBSA-N 0 2 303.327 0.265 20 0 DCADLN O=c1cc(C[N@@H+]2CCOC[C@H]2C[C@@H]2CCOC2)nc2cc[n-]n21 ZINC000933672061 669517850 /nfs/dbraw/zinc/51/78/50/669517850.db2.gz HFIXVEXHOKWZMW-GXTWGEPZSA-N 0 2 318.377 0.650 20 0 DCADLN O=c1cc(C[N@H+]2CCOC[C@H]2C[C@@H]2CCOC2)nc2cc[n-]n21 ZINC000933672061 669517855 /nfs/dbraw/zinc/51/78/55/669517855.db2.gz HFIXVEXHOKWZMW-GXTWGEPZSA-N 0 2 318.377 0.650 20 0 DCADLN CCn1cccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c1=O ZINC000790083513 669537433 /nfs/dbraw/zinc/53/74/33/669537433.db2.gz NMYPWFSXAGRLMN-VIFPVBQESA-N 0 2 308.363 0.041 20 0 DCADLN COc1ccccc1NS(=O)(=O)N=[S@](C)(=O)N(C)C ZINC000882661091 669561915 /nfs/dbraw/zinc/56/19/15/669561915.db2.gz SQCDLGHCMFKYJX-SFHVURJKSA-N 0 2 307.397 0.926 20 0 DCADLN CCc1nn(C)c(CC)c1S(=O)(=O)Nc1ccc[n+]([O-])c1 ZINC000882759913 669579461 /nfs/dbraw/zinc/57/94/61/669579461.db2.gz PUKLMAIKTLJYCN-UHFFFAOYSA-N 0 2 310.379 0.979 20 0 DCADLN CC(C)OC(=O)CC[C@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000792601847 669675327 /nfs/dbraw/zinc/67/53/27/669675327.db2.gz JMKHMYQRBFOFIJ-IUCAKERBSA-N 0 2 315.395 0.779 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)N(C)c1ccccc1 ZINC000883414426 669681391 /nfs/dbraw/zinc/68/13/91/669681391.db2.gz WNXHKNIRHQRSIM-LBPRGKRZSA-N 0 2 322.361 0.988 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)N(C)c1ccccc1 ZINC000883414426 669681393 /nfs/dbraw/zinc/68/13/93/669681393.db2.gz WNXHKNIRHQRSIM-LBPRGKRZSA-N 0 2 322.361 0.988 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC(C2CCCC2)C1 ZINC000794195568 669806128 /nfs/dbraw/zinc/80/61/28/669806128.db2.gz SWEYNHSYFSECHU-UHFFFAOYSA-N 0 2 301.350 0.720 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cc(-c2ccc(F)cc2)on1 ZINC000156248201 669839114 /nfs/dbraw/zinc/83/91/14/669839114.db2.gz AERAQOPJBSPSQR-UHFFFAOYSA-N 0 2 304.237 0.677 20 0 DCADLN C[S@](=O)C1(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)CC1 ZINC000799775156 670072609 /nfs/dbraw/zinc/07/26/09/670072609.db2.gz CFCBNSSYAMESHU-FQEVSTJZSA-N 0 2 314.329 0.471 20 0 DCADLN CN(C(=O)Cc1ccc[nH]1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006433980 670148975 /nfs/dbraw/zinc/14/89/75/670148975.db2.gz DUSKKBVILLVVIM-UHFFFAOYSA-N 0 2 318.381 0.504 20 0 DCADLN Cc1ccncc1CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948825813 670187979 /nfs/dbraw/zinc/18/79/79/670187979.db2.gz SLMMBWUEDFVPHF-UHFFFAOYSA-N 0 2 316.365 0.101 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)NC[C@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC000949142398 670271794 /nfs/dbraw/zinc/27/17/94/670271794.db2.gz ACPKLEVCAFTNJR-KGLIPLIRSA-N 0 2 319.409 0.085 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)[C@H]1[C@@H]2COC[C@@H]21 ZINC000951660080 670333035 /nfs/dbraw/zinc/33/30/35/670333035.db2.gz JAEIXVKHJLNHPD-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)[C@H]1[C@@H]2COC[C@@H]21 ZINC000951660080 670333040 /nfs/dbraw/zinc/33/30/40/670333040.db2.gz JAEIXVKHJLNHPD-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN CSc1cccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000807780649 670375953 /nfs/dbraw/zinc/37/59/53/670375953.db2.gz STAZGWVMUHXGQC-UHFFFAOYSA-N 0 2 316.346 0.827 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(C3(O)COC3)cc2)cn1 ZINC000808120508 670391110 /nfs/dbraw/zinc/39/11/10/670391110.db2.gz RFWKIYXYGXSLJW-UHFFFAOYSA-N 0 2 323.374 0.922 20 0 DCADLN CCN(C(=O)c1ccnc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949763167 670425823 /nfs/dbraw/zinc/42/58/23/670425823.db2.gz DCVNVSUYIVUQJQ-UHFFFAOYSA-N 0 2 320.328 0.391 20 0 DCADLN O=C(c1cccc(F)c1F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949923868 670464483 /nfs/dbraw/zinc/46/44/83/670464483.db2.gz CVXWMCCVLTWYFB-UHFFFAOYSA-N 0 2 323.303 0.747 20 0 DCADLN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(F)C(F)(F)F)C1 ZINC000811464434 670531511 /nfs/dbraw/zinc/53/15/11/670531511.db2.gz YBZCNYOOYLEGLP-AEJSXWLSSA-N 0 2 317.279 0.759 20 0 DCADLN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000811464434 670531520 /nfs/dbraw/zinc/53/15/20/670531520.db2.gz YBZCNYOOYLEGLP-AEJSXWLSSA-N 0 2 317.279 0.759 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H](C2CC2)C1 ZINC000811530836 670532724 /nfs/dbraw/zinc/53/27/24/670532724.db2.gz BPFOHZHZMJZJTM-LLVKDONJSA-N 0 2 301.350 0.720 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)Cc1cnn(C)c1)n1cc[nH+]c1 ZINC000847848349 670605988 /nfs/dbraw/zinc/60/59/88/670605988.db2.gz MVRCEASZAXEUPW-JTQLQIEISA-N 0 2 311.367 0.214 20 0 DCADLN COC(=O)c1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)nc1 ZINC000848031936 670623729 /nfs/dbraw/zinc/62/37/29/670623729.db2.gz XHEVIHFSZSMORJ-VIFPVBQESA-N 0 2 322.346 0.041 20 0 DCADLN C[C@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c1nccc(N)n1 ZINC000854762335 670624174 /nfs/dbraw/zinc/62/41/74/670624174.db2.gz LTXAWWGFQFXBSY-LURJTMIESA-N 0 2 319.287 0.693 20 0 DCADLN CN(C(=O)C[C@H]1SC(=N)NC1=O)[C@@H](CO)Cc1ccccc1 ZINC000855138781 670680922 /nfs/dbraw/zinc/68/09/22/670680922.db2.gz QQRFBYQVYNHSEZ-VXGBXAGGSA-N 0 2 321.402 0.605 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccccc1NC(=O)C1CC1 ZINC000815746141 670759397 /nfs/dbraw/zinc/75/93/97/670759397.db2.gz YJNMHWHFOSPEFD-UHFFFAOYSA-N 0 2 301.306 0.789 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1cc(C2CC2)no1 ZINC000849726850 670843269 /nfs/dbraw/zinc/84/32/69/670843269.db2.gz PNGQTAFIJVKWPS-UHFFFAOYSA-N 0 2 315.289 0.680 20 0 DCADLN C[C@H]1Cc2c[nH]nc2[C@H](C(=O)NOCCN2CCCC2=O)C1 ZINC000857869973 670956625 /nfs/dbraw/zinc/95/66/25/670956625.db2.gz CNZDXFWOGCSFFV-CMPLNLGQSA-N 0 2 306.366 0.746 20 0 DCADLN CC(C)[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCO1 ZINC000819409713 671117145 /nfs/dbraw/zinc/11/71/45/671117145.db2.gz AGCUAADUZIMKEZ-GFCCVEGCSA-N 0 2 319.365 0.345 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@@H](c2nnc[nH]2)C1 ZINC000819723716 671135695 /nfs/dbraw/zinc/13/56/95/671135695.db2.gz FWAAUBIEIHGPGH-SSDOTTSWSA-N 0 2 319.287 0.578 20 0 DCADLN O=C([O-])[C@H]1[C@@H](NC(=O)C(F)(F)F)CCC[N@@H+]1CCCF ZINC000852736122 671154536 /nfs/dbraw/zinc/15/45/36/671154536.db2.gz LFAIISGWAWKMAV-JGVFFNPUSA-N 0 2 300.252 0.942 20 0 DCADLN O=C([O-])[C@H]1[C@@H](NC(=O)C(F)(F)F)CCC[N@H+]1CCCF ZINC000852736122 671154540 /nfs/dbraw/zinc/15/45/40/671154540.db2.gz LFAIISGWAWKMAV-JGVFFNPUSA-N 0 2 300.252 0.942 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC[C@@H]1C[N@@H+]2CCC[C@@H]2CO1 ZINC000824740545 671439175 /nfs/dbraw/zinc/43/91/75/671439175.db2.gz HFCZIXBBHMMRHW-AAVRWANBSA-N 0 2 304.394 0.503 20 0 DCADLN Cc1cc(-c2nn[nH]n2)ccc1S(=O)(=O)Nc1ncccn1 ZINC000826256714 671482564 /nfs/dbraw/zinc/48/25/64/671482564.db2.gz XKJLGMPTGBHURZ-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN CCN(CC)S(=O)(=O)N1CC[NH+](C/C=C(\C)C(=O)[O-])CC1 ZINC000829679926 671584035 /nfs/dbraw/zinc/58/40/35/671584035.db2.gz IXMIWUAURUYAQM-WUXMJOGZSA-N 0 2 319.427 0.222 20 0 DCADLN CCOCCONC(=O)[C@H](CS(C)(=O)=O)c1ccccc1 ZINC000832385596 671717280 /nfs/dbraw/zinc/71/72/80/671717280.db2.gz DQHBDKUOQSBOPZ-CYBMUJFWSA-N 0 2 315.391 0.899 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1C[C@@H]1c1ccccc1 ZINC000843658555 672115495 /nfs/dbraw/zinc/11/54/95/672115495.db2.gz MQEQMINKUGKXNX-CHWSQXEVSA-N 0 2 323.356 0.991 20 0 DCADLN CC(C)n1ncc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1N ZINC000843876858 672127025 /nfs/dbraw/zinc/12/70/25/672127025.db2.gz AJKJEOFHOKTYTR-VIFPVBQESA-N 0 2 319.369 0.890 20 0 DCADLN Cn1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c(Cl)n1 ZINC000843879387 672127576 /nfs/dbraw/zinc/12/75/76/672127576.db2.gz YVGVWBHGUYSTDX-SSDOTTSWSA-N 0 2 310.745 0.917 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@H]1C[C@@H]2CCCCN2C1=O ZINC000867377767 672215602 /nfs/dbraw/zinc/21/56/02/672215602.db2.gz MMTRZKLMKOMDET-GJPGNPISSA-N 0 2 323.440 0.092 20 0 DCADLN COc1ccc(S(=O)(=O)[N-]C(=O)C[C@@H](C)n2cc[nH+]c2)cn1 ZINC000845530466 672255623 /nfs/dbraw/zinc/25/56/23/672255623.db2.gz OEQNBSPYCLXRRH-SNVBAGLBSA-N 0 2 324.362 0.743 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)[N-]C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845571443 672263652 /nfs/dbraw/zinc/26/36/52/672263652.db2.gz XSEVYWDNEVTKJW-VIFPVBQESA-N 0 2 311.367 0.381 20 0 DCADLN COC(=O)[C@@H](CNC(=O)C[C@H]1SC(=N)NC1=O)CC1CC1 ZINC000846461457 672316249 /nfs/dbraw/zinc/31/62/49/672316249.db2.gz SNIVUCPXPKQSGA-RKDXNWHRSA-N 0 2 313.379 0.248 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cccn1C ZINC000965219106 689376391 /nfs/dbraw/zinc/37/63/91/689376391.db2.gz NCUGVQSZDGXXEY-QWRGUYRKSA-N 0 2 318.381 0.489 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(CF)cc1 ZINC001039067721 694291806 /nfs/dbraw/zinc/29/18/06/694291806.db2.gz NFIHBZPNQLIGMI-GFCCVEGCSA-N 0 2 319.340 0.984 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001039070850 694292564 /nfs/dbraw/zinc/29/25/64/694292564.db2.gz RKIWYJIHEOSNPS-YROUOZJFSA-N 0 2 317.393 0.493 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000966009571 689606762 /nfs/dbraw/zinc/60/67/62/689606762.db2.gz HULAHDBKONUIBK-PSASIEDQSA-N 0 2 319.369 0.182 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1cnoc1 ZINC000967971581 690139031 /nfs/dbraw/zinc/13/90/31/690139031.db2.gz UHJGXXAEDLYFHU-ONGXEEELSA-N 0 2 320.353 0.068 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1cnoc1 ZINC000967971581 690139033 /nfs/dbraw/zinc/13/90/33/690139033.db2.gz UHJGXXAEDLYFHU-ONGXEEELSA-N 0 2 320.353 0.068 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000968513673 690298049 /nfs/dbraw/zinc/29/80/49/690298049.db2.gz OJHDHGRSQLQQDO-PSASIEDQSA-N 0 2 320.353 0.447 20 0 DCADLN C[N@H+]1CCCC[C@@H]1C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC000969100092 690470022 /nfs/dbraw/zinc/47/00/22/690470022.db2.gz XDKVIRYHODKYJS-VXGBXAGGSA-N 0 2 309.439 0.713 20 0 DCADLN O=C(C[C@@H]1CCCCO1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007081517 690625988 /nfs/dbraw/zinc/62/59/88/690625988.db2.gz YMYNURSUROLYBW-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001008510141 690879110 /nfs/dbraw/zinc/87/91/10/690879110.db2.gz HQBVMISIHBUDDL-QWRGUYRKSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001008591869 690894623 /nfs/dbraw/zinc/89/46/23/690894623.db2.gz QVEIOROVYQZDFN-OUAUKWLOSA-N 0 2 309.370 0.158 20 0 DCADLN CCn1cnc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001009231667 691012434 /nfs/dbraw/zinc/01/24/34/691012434.db2.gz MSVZUFWDNJGNNV-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN Cc1sccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014361675 691996881 /nfs/dbraw/zinc/99/68/81/691996881.db2.gz LQFLUZNELHJHIX-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN CC(C)n1nccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014569945 692045352 /nfs/dbraw/zinc/04/53/52/692045352.db2.gz HKROTNCQODDCNE-JTQLQIEISA-N 0 2 319.369 0.292 20 0 DCADLN CCn1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001015594613 692355659 /nfs/dbraw/zinc/35/56/59/692355659.db2.gz XUTGCOHLNKIYRN-LLVKDONJSA-N 0 2 318.381 0.644 20 0 DCADLN CCc1ncoc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015677701 692392382 /nfs/dbraw/zinc/39/23/82/692392382.db2.gz UQXPYFGGEFHLAG-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1C ZINC001016212359 692600460 /nfs/dbraw/zinc/60/04/60/692600460.db2.gz INVAPRPBTNIBQW-LLVKDONJSA-N 0 2 318.381 0.470 20 0 DCADLN C[C@@H]1CCN(C(=O)c2ccn[nH]2)C[C@@H]1CNC(=O)c1cn[nH]n1 ZINC001018523686 693135795 /nfs/dbraw/zinc/13/57/95/693135795.db2.gz UWCRFRUACCBWTK-ZJUUUORDSA-N 0 2 317.353 0.056 20 0 DCADLN C[C@@H]1CCN(C(=O)c2cc[nH]n2)C[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001018523686 693135798 /nfs/dbraw/zinc/13/57/98/693135798.db2.gz UWCRFRUACCBWTK-ZJUUUORDSA-N 0 2 317.353 0.056 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)c1ccco1 ZINC001073517411 694734748 /nfs/dbraw/zinc/73/47/48/694734748.db2.gz OCJJSGASRHJELZ-SNVBAGLBSA-N 0 2 321.337 0.124 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]3OCC[N@@H+](CC(C)C)[C@@H]3C2)c1[O-] ZINC001074218716 694842763 /nfs/dbraw/zinc/84/27/63/694842763.db2.gz DDROXWYVCQWLDR-OLZOCXBDSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]3OCC[N@H+](CC(C)C)[C@@H]3C2)c1[O-] ZINC001074218716 694842766 /nfs/dbraw/zinc/84/27/66/694842766.db2.gz DDROXWYVCQWLDR-OLZOCXBDSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]3OCC[N@H+](CC4CC4)[C@H]3C2)c1[O-] ZINC001074219794 694843413 /nfs/dbraw/zinc/84/34/13/694843413.db2.gz WJDGOQVVZWYQCJ-STQMWFEESA-N 0 2 320.393 0.749 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]3OCC[N@@H+](CC4CC4)[C@H]3C2)c1[O-] ZINC001074219794 694843414 /nfs/dbraw/zinc/84/34/14/694843414.db2.gz WJDGOQVVZWYQCJ-STQMWFEESA-N 0 2 320.393 0.749 20 0 DCADLN O=C([C@H]1CC=CCC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075539619 694957726 /nfs/dbraw/zinc/95/77/26/694957726.db2.gz PRNNGJMLCXWHQT-RWMBFGLXSA-N 0 2 317.393 0.899 20 0 DCADLN O=C([C@H]1CC=CCC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075539619 694957727 /nfs/dbraw/zinc/95/77/27/694957727.db2.gz PRNNGJMLCXWHQT-RWMBFGLXSA-N 0 2 317.393 0.899 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N2CC[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)O1 ZINC001076267664 695010404 /nfs/dbraw/zinc/01/04/04/695010404.db2.gz DAVODJHPRLSYCV-WRWGMCAJSA-N 0 2 321.381 0.111 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N2CC[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)O1 ZINC001076267664 695010405 /nfs/dbraw/zinc/01/04/05/695010405.db2.gz DAVODJHPRLSYCV-WRWGMCAJSA-N 0 2 321.381 0.111 20 0 DCADLN C[C@@H](CCNC(=O)c1ccn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001076593420 695031153 /nfs/dbraw/zinc/03/11/53/695031153.db2.gz YGANDHPVXVTVTD-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001076593420 695031152 /nfs/dbraw/zinc/03/11/52/695031152.db2.gz YGANDHPVXVTVTD-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1c(N)cnn1C ZINC000387680795 1157934660 /nfs/dbraw/zinc/93/46/60/1157934660.db2.gz GQPNDKNZCYPBMF-UHFFFAOYSA-N 0 2 318.362 0.985 20 0 DCADLN COCC(C)(C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001686689499 1158986034 /nfs/dbraw/zinc/98/60/34/1158986034.db2.gz POXZYJYLDZYQLW-ZETCQYMHSA-N 0 2 302.268 0.792 20 0 DCADLN COCC(C)(C)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001686689499 1158986042 /nfs/dbraw/zinc/98/60/42/1158986042.db2.gz POXZYJYLDZYQLW-ZETCQYMHSA-N 0 2 302.268 0.792 20 0 DCADLN Cc1nn(C)cc1CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001349162458 1159174082 /nfs/dbraw/zinc/17/40/82/1159174082.db2.gz CZCVCUOJHJSSQA-JTQLQIEISA-N 0 2 324.278 0.404 20 0 DCADLN Cc1nn(C)cc1CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001349162458 1159174084 /nfs/dbraw/zinc/17/40/84/1159174084.db2.gz CZCVCUOJHJSSQA-JTQLQIEISA-N 0 2 324.278 0.404 20 0 DCADLN CN(C(=O)COC1CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001446348672 1159390243 /nfs/dbraw/zinc/39/02/43/1159390243.db2.gz GXZGYUFJCRHAEY-UHFFFAOYSA-N 0 2 309.370 0.112 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001446644709 1159493785 /nfs/dbraw/zinc/49/37/85/1159493785.db2.gz ROAVVTJCKFLJEN-HTRCEHHLSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001446644709 1159493786 /nfs/dbraw/zinc/49/37/86/1159493786.db2.gz ROAVVTJCKFLJEN-HTRCEHHLSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)c1ccn(C)n1 ZINC001567857984 1160504551 /nfs/dbraw/zinc/50/45/51/1160504551.db2.gz UGOPJPDXMANARM-UHFFFAOYSA-N 0 2 314.305 0.276 20 0 DCADLN CC(C)([N-]C(=O)C(F)(F)F)C(=O)N=S1(=O)CC[NH2+]CC1 ZINC001573483771 1163447371 /nfs/dbraw/zinc/44/73/71/1163447371.db2.gz DKXKRAIWWJDCAX-UHFFFAOYSA-N 0 2 315.317 0.041 20 0 DCADLN CC(C)CCC[C@@H](NS(=O)(=O)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001573510553 1163454610 /nfs/dbraw/zinc/45/46/10/1163454610.db2.gz AVVCGOMCDHFMKS-GFCCVEGCSA-N 0 2 321.443 0.348 20 0 DCADLN CCS(=O)(=O)NC1CC[NH+](Cc2cc(C(=O)[O-])no2)CC1 ZINC001573807659 1163559915 /nfs/dbraw/zinc/55/99/15/1163559915.db2.gz SIVOFAANYCUNGZ-UHFFFAOYSA-N 0 2 317.367 0.277 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@H+](CC(=O)Nc2cccc3[nH]nnc32)C1 ZINC001574299953 1163688961 /nfs/dbraw/zinc/68/89/61/1163688961.db2.gz YGQNWLRHQWGHOU-SECBINFHSA-N 0 2 303.322 0.693 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)Nc2cccc3[nH]nnc32)C1 ZINC001574299953 1163688970 /nfs/dbraw/zinc/68/89/70/1163688970.db2.gz YGQNWLRHQWGHOU-SECBINFHSA-N 0 2 303.322 0.693 20 0 DCADLN COC(=O)[C@@H](Cc1cnn[nH]1)NC(=O)c1ccc(O)cc1F ZINC001576913695 1164530984 /nfs/dbraw/zinc/53/09/84/1164530984.db2.gz QIYAZVSWOMOHPF-LLVKDONJSA-N 0 2 308.269 0.164 20 0 DCADLN Cc1ccncc1CC(=O)NCC1CC([NH2+]Cc2c[nH]nn2)C1 ZINC001577463689 1164695839 /nfs/dbraw/zinc/69/58/39/1164695839.db2.gz AJXQJWFWGXDOGK-UHFFFAOYSA-N 0 2 314.393 0.735 20 0 DCADLN CCN(C[C@H](C)OC)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001577874485 1164820361 /nfs/dbraw/zinc/82/03/61/1164820361.db2.gz VPGWCJFDYHWWEC-VIFPVBQESA-N 0 2 320.353 0.773 20 0 DCADLN O=C(Cn1cccn1)NCCC[C@H]1CCC[N@@H+]1Cc1cnn[nH]1 ZINC001577968309 1164869091 /nfs/dbraw/zinc/86/90/91/1164869091.db2.gz YFAZETUTCHBWQK-AWEZNQCLSA-N 0 2 317.397 0.562 20 0 DCADLN O=C(Cn1cccn1)NCCC[C@H]1CCC[N@H+]1Cc1cnn[nH]1 ZINC001577968309 1164869097 /nfs/dbraw/zinc/86/90/97/1164869097.db2.gz YFAZETUTCHBWQK-AWEZNQCLSA-N 0 2 317.397 0.562 20 0 DCADLN Cc1ccc(C[N@@H+]2CCO[C@@H](CNC(=O)OC3C[NH2+]C3)C2)cc1 ZINC001579692066 1165375941 /nfs/dbraw/zinc/37/59/41/1165375941.db2.gz RLFZPBRQPDKEET-INIZCTEOSA-N 0 2 319.405 0.894 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@H](CO)C1 ZINC001580596902 1165649156 /nfs/dbraw/zinc/64/91/56/1165649156.db2.gz DZOZXIGITMRUKA-VIFPVBQESA-N 0 2 318.337 0.120 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)c1nncs1 ZINC001580596024 1165649673 /nfs/dbraw/zinc/64/96/73/1165649673.db2.gz HZRLSAFRNVUBRV-UHFFFAOYSA-N 0 2 318.322 0.404 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@H](CO)[C@@H]1C ZINC001580597518 1165650465 /nfs/dbraw/zinc/65/04/65/1165650465.db2.gz ICJPZWNSUZVVDL-DTWKUNHWSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCOC1(C)C ZINC001580600663 1165651654 /nfs/dbraw/zinc/65/16/54/1165651654.db2.gz GLVZWMZACGGYHT-SECBINFHSA-N 0 2 318.337 0.573 20 0 DCADLN Nc1cn[nH]c1[C@@H]1CCN(C(=O)c2coc(-c3nn[nH]n3)c2)C1 ZINC001581910506 1165911126 /nfs/dbraw/zinc/91/11/26/1165911126.db2.gz ULHYSLYXVKROTK-SSDOTTSWSA-N 0 2 314.309 0.395 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)n1)Nc1cc(O)c(F)cc1F ZINC001582199001 1165982649 /nfs/dbraw/zinc/98/26/49/1165982649.db2.gz ARDGZPZTNDUOER-UHFFFAOYSA-N 0 2 322.235 0.081 20 0 DCADLN O=C(NCCc1nc[nH]n1)c1cc(F)c(-c2nn[nH]n2)c(F)c1 ZINC001582527784 1166032416 /nfs/dbraw/zinc/03/24/16/1166032416.db2.gz SITCJRDKPGFZLH-UHFFFAOYSA-N 0 2 320.263 0.236 20 0 DCADLN C[C@](CNC(=O)c1coc(C2CC2)n1)(NC(=O)C(N)=O)C1CC1 ZINC001582659400 1166062923 /nfs/dbraw/zinc/06/29/23/1166062923.db2.gz PUIMSRHTXYUTHY-OAHLLOKOSA-N 0 2 320.349 0.052 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001582998294 1166166013 /nfs/dbraw/zinc/16/60/13/1166166013.db2.gz UNIBFNWWWLHETL-GZMNNTSCSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001582998294 1166166016 /nfs/dbraw/zinc/16/60/16/1166166016.db2.gz UNIBFNWWWLHETL-GZMNNTSCSA-N 0 2 314.279 0.836 20 0 DCADLN CC1(C)C[C@@H](Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)C(C)(C)O1 ZINC001590079818 1166767782 /nfs/dbraw/zinc/76/77/82/1166767782.db2.gz KGWNPOZOPCVMRW-QMMMGPOBSA-N 0 2 320.353 0.723 20 0 DCADLN CCC(C)(C)CCNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590375558 1166891816 /nfs/dbraw/zinc/89/18/16/1166891816.db2.gz MNVVKGMHMWHIFQ-UHFFFAOYSA-N 0 2 321.385 0.502 20 0 DCADLN CCC[C@@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)OCC ZINC001590557952 1166942989 /nfs/dbraw/zinc/94/29/89/1166942989.db2.gz VMAUAAPQSZHXSQ-QMMMGPOBSA-N 0 2 323.357 0.283 20 0 DCADLN CCc1nn(CC(=O)[C@H]2C[C@@H]2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929570 1167053164 /nfs/dbraw/zinc/05/31/64/1167053164.db2.gz WXCKQWTVOGKYLG-WPRPVWTQSA-N 0 2 316.365 0.773 20 0 DCADLN COCCC(C)(C)CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001591398262 1167267637 /nfs/dbraw/zinc/26/76/37/1167267637.db2.gz SQNJJOZFDXRZHW-UHFFFAOYSA-N 0 2 323.357 0.141 20 0 DCADLN Cc1[nH][nH]c(=O)c1NC(=O)c1ccc(-c2nn[nH]n2)c(O)c1 ZINC001591780491 1167375671 /nfs/dbraw/zinc/37/56/71/1167375671.db2.gz ZOHYEDLSVFLTGE-UHFFFAOYSA-N 0 2 301.266 0.562 20 0 DCADLN C[C@@]12COC[C@]1(C)C[N@@H+](Cn1cccc(-c3nnn[n-]3)c1=O)C2 ZINC001591781116 1167377362 /nfs/dbraw/zinc/37/73/62/1167377362.db2.gz ZRUJUHVJYIHWOF-GASCZTMLSA-N 0 2 316.365 0.344 20 0 DCADLN C[C@@]12COC[C@]1(C)C[N@H+](Cn1cccc(-c3nnn[n-]3)c1=O)C2 ZINC001591781116 1167377364 /nfs/dbraw/zinc/37/73/64/1167377364.db2.gz ZRUJUHVJYIHWOF-GASCZTMLSA-N 0 2 316.365 0.344 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@@H]2C[C@H]3C[C@H]3C2)c(=O)n1C ZINC001591794011 1167381356 /nfs/dbraw/zinc/38/13/56/1167381356.db2.gz UJHFNHIRNCONTI-ILWJIGKKSA-N 0 2 302.338 0.082 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NOC/C=C\Cl ZINC001591835495 1167390492 /nfs/dbraw/zinc/39/04/92/1167390492.db2.gz IWOBTNWWZCDLNZ-IHWYPQMZSA-N 0 2 310.701 0.690 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CCSC1 ZINC001591835693 1167391163 /nfs/dbraw/zinc/39/11/63/1167391163.db2.gz INPLGJYGVMUBDD-MRVPVSSYSA-N 0 2 320.378 0.759 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)N(C(C)C)C(C)C)c1 ZINC001591836451 1167391530 /nfs/dbraw/zinc/39/15/30/1167391530.db2.gz UUEXOHBYUUOBKJ-UHFFFAOYSA-N 0 2 318.381 0.982 20 0 DCADLN Cc1ccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)nc1Cl ZINC001592172137 1167468523 /nfs/dbraw/zinc/46/85/23/1167468523.db2.gz XRJLGENXUNPNST-UHFFFAOYSA-N 0 2 319.712 0.534 20 0 DCADLN Cc1ccc(NCCCc2n[nH]c(=O)[nH]2)nc1-c1nn[nH]n1 ZINC001592186084 1167474020 /nfs/dbraw/zinc/47/40/20/1167474020.db2.gz PWVQVWJMCNXYGY-UHFFFAOYSA-N 0 2 301.314 0.439 20 0 DCADLN Cc1[nH]nc(NC(=O)c2c(O)cccc2F)c1-c1nn[nH]n1 ZINC001592330407 1167491021 /nfs/dbraw/zinc/49/10/21/1167491021.db2.gz NSOZONIRQIYGTA-UHFFFAOYSA-N 0 2 303.257 0.995 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(SC2CCCCC2)n(C)c1=O ZINC001592557015 1167558137 /nfs/dbraw/zinc/55/81/37/1167558137.db2.gz VFXUSZKAGVELTO-UHFFFAOYSA-N 0 2 322.394 0.689 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NCC2CCCCC2)n(C)c1=O ZINC001592555401 1167558342 /nfs/dbraw/zinc/55/83/42/1167558342.db2.gz IZEQYGUWBZOHPX-UHFFFAOYSA-N 0 2 319.369 0.256 20 0 DCADLN Fc1cc(-c2nn[nH]n2)cnc1N1CC[C@@H](c2nc[nH]n2)C1 ZINC001592637323 1167589394 /nfs/dbraw/zinc/58/93/94/1167589394.db2.gz GTUNNYDZSNGDQK-SSDOTTSWSA-N 0 2 301.289 0.513 20 0 DCADLN O=C(Cc1ccc(-c2nn[nH]n2)nc1)Nc1ccc2[nH]nnc2c1 ZINC001592915069 1167665966 /nfs/dbraw/zinc/66/59/66/1167665966.db2.gz DOXRTQVLQGVRSU-UHFFFAOYSA-N 0 2 321.304 0.714 20 0 DCADLN O=C(NCc1cc(F)c(-c2nn[nH]n2)c(F)c1)c1ncn[nH]1 ZINC001593123267 1167716269 /nfs/dbraw/zinc/71/62/69/1167716269.db2.gz VEURPIRVRZGNJL-UHFFFAOYSA-N 0 2 306.236 0.193 20 0 DCADLN O=C(NCc1cc(F)c(-c2nn[nH]n2)c(F)c1)c1nc[nH]n1 ZINC001593123267 1167716275 /nfs/dbraw/zinc/71/62/75/1167716275.db2.gz VEURPIRVRZGNJL-UHFFFAOYSA-N 0 2 306.236 0.193 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc(CF)cc1 ZINC001593489670 1167871757 /nfs/dbraw/zinc/87/17/57/1167871757.db2.gz UZHWDGBFYQHOAN-UHFFFAOYSA-N 0 2 302.269 0.647 20 0 DCADLN O=c1c(-c2nn[nH]n2)ccc(C(F)(F)F)n1CCOCCO ZINC001593492826 1167877203 /nfs/dbraw/zinc/87/72/03/1167877203.db2.gz PQLWYQBZDZNSDX-UHFFFAOYSA-N 0 2 319.243 0.056 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@@H]2[N@@H+]1Cc1nc(N(C)C)no1 ZINC001594341226 1167965903 /nfs/dbraw/zinc/96/59/03/1167965903.db2.gz SOXXTLUXNKCRJV-RCWTZXSCSA-N 0 2 310.354 0.731 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@@H]2[N@H+]1Cc1nc(N(C)C)no1 ZINC001594341226 1167965911 /nfs/dbraw/zinc/96/59/11/1167965911.db2.gz SOXXTLUXNKCRJV-RCWTZXSCSA-N 0 2 310.354 0.731 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[N@H+](C)CCNS(C)(=O)=O)n1C ZINC001599987604 1168075578 /nfs/dbraw/zinc/07/55/78/1168075578.db2.gz ZOTWOIDRXLMZSO-UHFFFAOYSA-N 0 2 303.384 0.013 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[N@@H+](C)CCNS(C)(=O)=O)n1C ZINC001599987604 1168075644 /nfs/dbraw/zinc/07/56/44/1168075644.db2.gz ZOTWOIDRXLMZSO-UHFFFAOYSA-N 0 2 303.384 0.013 20 0 DCADLN Cc1cc(CNC(=O)C(=O)NC[C@H]2C[C@H]2C(=O)[O-])cc(C)[nH+]1 ZINC001600197433 1168136921 /nfs/dbraw/zinc/13/69/21/1168136921.db2.gz NSCDMHDDFUTKAE-VXGBXAGGSA-N 0 2 305.334 0.152 20 0 DCADLN Cc1cc(COCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])no1 ZINC001600215475 1168140387 /nfs/dbraw/zinc/14/03/87/1168140387.db2.gz VRWXCDLBIRZBGL-LLVKDONJSA-N 0 2 308.294 0.035 20 0 DCADLN Cc1cc(COCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])no1 ZINC001600215475 1168140390 /nfs/dbraw/zinc/14/03/90/1168140390.db2.gz VRWXCDLBIRZBGL-LLVKDONJSA-N 0 2 308.294 0.035 20 0 DCADLN Cc1cccc(C(=O)C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001600511103 1168194098 /nfs/dbraw/zinc/19/40/98/1168194098.db2.gz RQCFPJOPHSNTBJ-GFCCVEGCSA-N 0 2 301.302 0.644 20 0 DCADLN Cc1ccnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600600252 1168207783 /nfs/dbraw/zinc/20/77/83/1168207783.db2.gz ZUTGRMXECOIEED-MOWSAHLDSA-N 0 2 316.361 0.052 20 0 DCADLN Cc1ccnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600600252 1168207790 /nfs/dbraw/zinc/20/77/90/1168207790.db2.gz ZUTGRMXECOIEED-MOWSAHLDSA-N 0 2 316.361 0.052 20 0 DCADLN Cc1ccnc(C[NH2+]C/C=C/CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001600601666 1168209577 /nfs/dbraw/zinc/20/95/77/1168209577.db2.gz RQAOSUOWPDKKRR-JGLYPNHGSA-N 0 2 304.350 0.268 20 0 DCADLN Cc1cn2ccc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])cc2n1 ZINC001600613836 1168214240 /nfs/dbraw/zinc/21/42/40/1168214240.db2.gz XFZGXMYZOWRAIU-GFCCVEGCSA-N 0 2 313.317 0.722 20 0 DCADLN Cc1cnc(C[NH2+]C2(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)nc1 ZINC001600620843 1168216251 /nfs/dbraw/zinc/21/62/51/1168216251.db2.gz BVSLWYIRIMKKPN-GHMZBOCLSA-N 0 2 304.350 0.244 20 0 DCADLN Cc1cnc(C[NH2+]C2(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)nc1 ZINC001600620844 1168216489 /nfs/dbraw/zinc/21/64/89/1168216489.db2.gz BVSLWYIRIMKKPN-MNOVXSKESA-N 0 2 304.350 0.244 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CCNC(=O)[C@@H]3CC(=O)[O-])ccn12 ZINC001600626855 1168218713 /nfs/dbraw/zinc/21/87/13/1168218713.db2.gz PZQQIWSMAFOOEN-NSHDSACASA-N 0 2 316.317 0.058 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001600639955 1168225886 /nfs/dbraw/zinc/22/58/86/1168225886.db2.gz RDJRHOHCXNLCRK-LBPRGKRZSA-N 0 2 322.361 0.762 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)c1ccc(S(C)(=O)=O)nc1 ZINC001600685330 1168249309 /nfs/dbraw/zinc/24/93/09/1168249309.db2.gz GLCMFRIXTADLAF-UHFFFAOYSA-N 0 2 323.330 0.571 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001600907422 1168502401 /nfs/dbraw/zinc/50/24/01/1168502401.db2.gz RJZFWIQDKHOWGY-PHIMTYICSA-N 0 2 308.334 0.743 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001600907422 1168502412 /nfs/dbraw/zinc/50/24/12/1168502412.db2.gz RJZFWIQDKHOWGY-PHIMTYICSA-N 0 2 308.334 0.743 20 0 DCADLN Cn1cc(C[N@@H+]2CCC[C@@H](S(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001600952270 1168528873 /nfs/dbraw/zinc/52/88/73/1168528873.db2.gz WKQPGEUUYIPJIC-LLVKDONJSA-N 0 2 300.380 0.732 20 0 DCADLN Cn1cc(C[N@H+]2CCC[C@@H](S(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001600952270 1168528882 /nfs/dbraw/zinc/52/88/82/1168528882.db2.gz WKQPGEUUYIPJIC-LLVKDONJSA-N 0 2 300.380 0.732 20 0 DCADLN Cn1ccnc1CN1CC[NH+](Cc2ccc(C(=O)[O-])cn2)CC1 ZINC001600985421 1168545832 /nfs/dbraw/zinc/54/58/32/1168545832.db2.gz MXQAAJVORHXTNK-UHFFFAOYSA-N 0 2 315.377 0.831 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1cc(F)cc(C(=O)[O-])c1 ZINC001600993182 1168551140 /nfs/dbraw/zinc/55/11/40/1168551140.db2.gz KMQPMEXIRDYHRI-NSHDSACASA-N 0 2 307.281 0.721 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)/C=C\c2cc(C(=O)[O-])co2)C1 ZINC001601150639 1168602720 /nfs/dbraw/zinc/60/27/20/1168602720.db2.gz CLSMQRHAUWUJIK-DLRQAJBASA-N 0 2 321.333 0.057 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@@H](NC(=O)/C=C\c2cc(C(=O)[O-])co2)C1 ZINC001601150639 1168602727 /nfs/dbraw/zinc/60/27/27/1168602727.db2.gz CLSMQRHAUWUJIK-DLRQAJBASA-N 0 2 321.333 0.057 20 0 DCADLN O=C([O-])[C@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccc(O)cc1 ZINC001601231955 1168670492 /nfs/dbraw/zinc/67/04/92/1168670492.db2.gz PNLCHOXYPBLPHR-BGTMTDRBSA-N 0 2 320.345 0.523 20 0 DCADLN O=C([O-])[C@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1cccc(O)c1 ZINC001601230594 1168671513 /nfs/dbraw/zinc/67/15/13/1168671513.db2.gz CYCFJXDNUUTTOO-ZSQCLPQSSA-N 0 2 320.345 0.523 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C=Cc1cncc(F)c1 ZINC001601244616 1168674194 /nfs/dbraw/zinc/67/41/94/1168674194.db2.gz RGFHMBQKINEGDL-BIOMNHJASA-N 0 2 304.281 0.769 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C=Cc1cncc(F)c1 ZINC001601244616 1168674197 /nfs/dbraw/zinc/67/41/97/1168674197.db2.gz RGFHMBQKINEGDL-BIOMNHJASA-N 0 2 304.281 0.769 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1cc(F)ccc1O ZINC001601354669 1168716718 /nfs/dbraw/zinc/71/67/18/1168716718.db2.gz IVUYIMGNDMJAHT-LLVKDONJSA-N 0 2 307.281 0.609 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1cc(F)ccc1O ZINC001601354669 1168716725 /nfs/dbraw/zinc/71/67/25/1168716725.db2.gz IVUYIMGNDMJAHT-LLVKDONJSA-N 0 2 307.281 0.609 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1COc2ccccc2C1 ZINC001601425965 1168742266 /nfs/dbraw/zinc/74/22/66/1168742266.db2.gz OGCYYJQRUVENGR-OLZOCXBDSA-N 0 2 315.329 0.704 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)s1 ZINC001601548501 1168780585 /nfs/dbraw/zinc/78/05/85/1168780585.db2.gz TYBCCPGNOFBDJS-QMMMGPOBSA-N 0 2 321.362 0.852 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccn(C2CC2)c1=O ZINC001601567356 1168782915 /nfs/dbraw/zinc/78/29/15/1168782915.db2.gz DFQQUDPZHQTZPF-GFCCVEGCSA-N 0 2 316.317 0.332 20 0 DCADLN O=C([O-])C1=CC[C@H](NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)C1 ZINC001601734416 1168839111 /nfs/dbraw/zinc/83/91/11/1168839111.db2.gz KRLXCCIBLPWQSE-UONOGXRCSA-N 0 2 323.393 0.666 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)[C@@H]3CCc4c[nH+]cn4C3)C2)C1 ZINC001601738653 1168843030 /nfs/dbraw/zinc/84/30/30/1168843030.db2.gz GURXMXLAFGKRJA-BMIGLBTASA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001601767347 1168865756 /nfs/dbraw/zinc/86/57/56/1168865756.db2.gz DGMVLFHYNNCMJN-RNFRBKRXSA-N 0 2 309.244 0.442 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001601767347 1168865768 /nfs/dbraw/zinc/86/57/68/1168865768.db2.gz DGMVLFHYNNCMJN-RNFRBKRXSA-N 0 2 309.244 0.442 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+]1Cc1cc(=O)[nH]c(-c2ccccc2)n1 ZINC001601772557 1168871777 /nfs/dbraw/zinc/87/17/77/1168871777.db2.gz LLIJPDQBTXBQJO-STQMWFEESA-N 0 2 315.329 0.869 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+]1Cc1cc(=O)[nH]c(-c2ccccc2)n1 ZINC001601772557 1168871791 /nfs/dbraw/zinc/87/17/91/1168871791.db2.gz LLIJPDQBTXBQJO-STQMWFEESA-N 0 2 315.329 0.869 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1ccon1)C2 ZINC001601776225 1168876357 /nfs/dbraw/zinc/87/63/57/1168876357.db2.gz WKBCDJGYLPRNNG-CHWSQXEVSA-N 0 2 319.361 0.820 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1ccon1)C2 ZINC001601776225 1168876366 /nfs/dbraw/zinc/87/63/66/1168876366.db2.gz WKBCDJGYLPRNNG-CHWSQXEVSA-N 0 2 319.361 0.820 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2cncc(F)c2)C1 ZINC001601778265 1168881818 /nfs/dbraw/zinc/88/18/18/1168881818.db2.gz GJKPAAOWQFAJGJ-QWHCGFSZSA-N 0 2 307.325 0.489 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001601780275 1168885109 /nfs/dbraw/zinc/88/51/09/1168885109.db2.gz KQARCWGGGJMJBG-GWOFURMSSA-N 0 2 320.349 0.405 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NC1C[NH+](CCCOc2ccccc2)C1 ZINC001601783196 1168893650 /nfs/dbraw/zinc/89/36/50/1168893650.db2.gz WHUYMNWBVZMTES-GJZGRUSLSA-N 0 2 318.373 0.977 20 0 DCADLN O=C([O-])[C@H]1CCC[C@H](C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001601802849 1168902234 /nfs/dbraw/zinc/90/22/34/1168902234.db2.gz FPERPBQDIWVRPF-XDQVBPFNSA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])[C@H]1CCC[C@H](C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001601802849 1168902240 /nfs/dbraw/zinc/90/22/40/1168902240.db2.gz FPERPBQDIWVRPF-XDQVBPFNSA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])[C@H]1CN(C[C@@H](O)C[NH+]2CCOCC2)c2ccccc2O1 ZINC001601850711 1168914355 /nfs/dbraw/zinc/91/43/55/1168914355.db2.gz HIBSJYYVRWEKDL-SWLSCSKDSA-N 0 2 322.361 0.032 20 0 DCADLN O=C([O-])[C@@H]1Cc2ccccc2CN1C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC001601864859 1168920272 /nfs/dbraw/zinc/92/02/72/1168920272.db2.gz TXRQKWXRIPKPMH-STQMWFEESA-N 0 2 301.302 0.481 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001601910690 1168930743 /nfs/dbraw/zinc/93/07/43/1168930743.db2.gz RLSFYOINOUECQX-KGLIPLIRSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001601910690 1168930750 /nfs/dbraw/zinc/93/07/50/1168930750.db2.gz RLSFYOINOUECQX-KGLIPLIRSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1Cc1cccc(-n2cccn2)c1 ZINC001601939911 1168941806 /nfs/dbraw/zinc/94/18/06/1168941806.db2.gz PRKUWNHLVAGBKU-CQSZACIVSA-N 0 2 314.345 0.647 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1Cc1cccc(-n2cccn2)c1 ZINC001601939911 1168941808 /nfs/dbraw/zinc/94/18/08/1168941808.db2.gz PRKUWNHLVAGBKU-CQSZACIVSA-N 0 2 314.345 0.647 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1CCOC1CCSCC1 ZINC001601941131 1168943277 /nfs/dbraw/zinc/94/32/77/1168943277.db2.gz WJYHVSLVBPENFK-LLVKDONJSA-N 0 2 302.396 0.174 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1CCOC1CCSCC1 ZINC001601941131 1168943282 /nfs/dbraw/zinc/94/32/82/1168943282.db2.gz WJYHVSLVBPENFK-LLVKDONJSA-N 0 2 302.396 0.174 20 0 DCADLN O=C([O-])CCN1CC[C@H]([NH+]2CCN(c3cccs3)CC2)C1=O ZINC001602017253 1168966767 /nfs/dbraw/zinc/96/67/67/1168966767.db2.gz VCWHNWSALUKTHC-LBPRGKRZSA-N 0 2 323.418 0.946 20 0 DCADLN O=C([O-])CCNC(=O)C[N@@H+]1CCCN(c2ncccc2F)CC1 ZINC001602022502 1168970746 /nfs/dbraw/zinc/97/07/46/1168970746.db2.gz YWWYMIDNKYCUQT-UHFFFAOYSA-N 0 2 324.356 0.324 20 0 DCADLN O=C([O-])CCNC(=O)C[N@H+]1CCCN(c2ncccc2F)CC1 ZINC001602022502 1168970749 /nfs/dbraw/zinc/97/07/49/1168970749.db2.gz YWWYMIDNKYCUQT-UHFFFAOYSA-N 0 2 324.356 0.324 20 0 DCADLN O=C([O-])CC[C@H]1NC(=O)N(CCCNc2cccc[nH+]2)C1=O ZINC001602038854 1168973546 /nfs/dbraw/zinc/97/35/46/1168973546.db2.gz HUGIYXGQOJJVSD-SNVBAGLBSA-N 0 2 306.322 0.669 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCCN1c1cc(N2CCCC2)[nH+]cn1 ZINC001602067010 1168985019 /nfs/dbraw/zinc/98/50/19/1168985019.db2.gz VWTJGHROYYVMBJ-NSHDSACASA-N 0 2 319.365 0.246 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCCN1c1cc(N2CCCC2)nc[nH+]1 ZINC001602067010 1168985027 /nfs/dbraw/zinc/98/50/27/1168985027.db2.gz VWTJGHROYYVMBJ-NSHDSACASA-N 0 2 319.365 0.246 20 0 DCADLN O=C([O-])c1cc(CNc2cnn(CC[NH+]3CCOCC3)c2)on1 ZINC001602262053 1169045149 /nfs/dbraw/zinc/04/51/49/1169045149.db2.gz CGUGKUVRQIPZTK-UHFFFAOYSA-N 0 2 321.337 0.514 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CC[NH2+]C[C@H]2C(F)F)cn1 ZINC001602394304 1169069903 /nfs/dbraw/zinc/06/99/03/1169069903.db2.gz BBHJPCJSISVBNF-VIFPVBQESA-N 0 2 321.305 0.007 20 0 DCADLN O=C([O-])c1cn(CC[NH2+][C@H](c2ncc[nH]2)c2cccnc2)nn1 ZINC001602505677 1169101932 /nfs/dbraw/zinc/10/19/32/1169101932.db2.gz RFWNIOAWXBIKNH-LBPRGKRZSA-N 0 2 313.321 0.474 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCC[C@H](N3CCNC3=O)C2)s1 ZINC001602519882 1169105096 /nfs/dbraw/zinc/10/50/96/1169105096.db2.gz WWQODODCSBKIRI-VIFPVBQESA-N 0 2 310.379 0.831 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCC[C@H](N3CCNC3=O)C2)s1 ZINC001602519882 1169105097 /nfs/dbraw/zinc/10/50/97/1169105097.db2.gz WWQODODCSBKIRI-VIFPVBQESA-N 0 2 310.379 0.831 20 0 DCADLN O=C([O-])c1cnc(NC2CC[NH+]([C@@H]3CCOC3=O)CC2)nc1 ZINC001602531541 1169107965 /nfs/dbraw/zinc/10/79/65/1169107965.db2.gz QCPYEZIKCWXGFD-LLVKDONJSA-N 0 2 306.322 0.367 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nc1 ZINC001603065889 1169243554 /nfs/dbraw/zinc/24/35/54/1169243554.db2.gz CNVLMQNUVZDJEL-GFCCVEGCSA-N 0 2 317.305 0.189 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nc1 ZINC001603065889 1169243558 /nfs/dbraw/zinc/24/35/58/1169243558.db2.gz CNVLMQNUVZDJEL-GFCCVEGCSA-N 0 2 317.305 0.189 20 0 DCADLN C[C@H](C(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC001603141423 1169255698 /nfs/dbraw/zinc/25/56/98/1169255698.db2.gz PWLZOVKCDSUSLG-NXEZZACHSA-N 0 2 304.310 0.207 20 0 DCADLN C[C@H](C(=O)Nc1ccc(F)cc1F)[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001603178781 1169267455 /nfs/dbraw/zinc/26/74/55/1169267455.db2.gz CVZABTFMLMDILE-XLKFXECMSA-N 0 2 314.288 0.813 20 0 DCADLN C[C@H](C(=O)Nc1ccc(F)cc1F)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001603178781 1169267460 /nfs/dbraw/zinc/26/74/60/1169267460.db2.gz CVZABTFMLMDILE-XLKFXECMSA-N 0 2 314.288 0.813 20 0 DCADLN CC(C)(C)c1nnc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001603558011 1169321916 /nfs/dbraw/zinc/32/19/16/1169321916.db2.gz ZXDUVXXJACDNIN-MRVPVSSYSA-N 0 2 323.378 0.984 20 0 DCADLN CC(C)(C)c1nnc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001603558011 1169321928 /nfs/dbraw/zinc/32/19/28/1169321928.db2.gz ZXDUVXXJACDNIN-MRVPVSSYSA-N 0 2 323.378 0.984 20 0 DCADLN CC1(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3=O)COC1 ZINC001603809758 1169399302 /nfs/dbraw/zinc/39/93/02/1169399302.db2.gz MELUACARBHVLOO-UHFFFAOYSA-N 0 2 315.333 0.584 20 0 DCADLN C[C@H](CC(=O)N1CCc2[nH]nc(C(=O)[O-])c2C1)n1cc[nH+]c1 ZINC001604065037 1169483632 /nfs/dbraw/zinc/48/36/32/1169483632.db2.gz NTRRNMKQXAGIOX-SECBINFHSA-N 0 2 303.322 0.840 20 0 DCADLN C[C@H](Cc1cnn(C)c1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001604240608 1169530976 /nfs/dbraw/zinc/53/09/76/1169530976.db2.gz JNQXUASBCHBODR-BXKDBHETSA-N 0 2 305.338 0.134 20 0 DCADLN C[C@H](Cc1cnn(C)c1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001604240608 1169530982 /nfs/dbraw/zinc/53/09/82/1169530982.db2.gz JNQXUASBCHBODR-BXKDBHETSA-N 0 2 305.338 0.134 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)N1CC[NH+](CC[S@](C)=O)CC1 ZINC001604476393 1169599824 /nfs/dbraw/zinc/59/98/24/1169599824.db2.gz BJMOCWRWEUSAHV-CWKPULSASA-N 0 2 315.395 0.430 20 0 DCADLN CC1CCC(NC(=O)C[N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)CC1 ZINC001604704822 1169659980 /nfs/dbraw/zinc/65/99/80/1169659980.db2.gz WVAPOLHEIUFDKO-ZEPSKSRBSA-N 0 2 323.393 0.987 20 0 DCADLN CC1CCC(NC(=O)C[N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)CC1 ZINC001604704822 1169659993 /nfs/dbraw/zinc/65/99/93/1169659993.db2.gz WVAPOLHEIUFDKO-ZEPSKSRBSA-N 0 2 323.393 0.987 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)N1CCN(c2ccccc2F)CC1 ZINC001605415605 1169916277 /nfs/dbraw/zinc/91/62/77/1169916277.db2.gz CACPJCWPILHMES-UHFFFAOYSA-N 0 2 323.368 0.881 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)N1CCN(c2ccccc2F)CC1 ZINC001605415605 1169916281 /nfs/dbraw/zinc/91/62/81/1169916281.db2.gz CACPJCWPILHMES-UHFFFAOYSA-N 0 2 323.368 0.881 20 0 DCADLN CCOC(=O)[C@@H]1C[N@@H+]([C@H](C)C(=O)NCC(=O)[O-])CCC1(F)F ZINC001605527013 1169948383 /nfs/dbraw/zinc/94/83/83/1169948383.db2.gz QNRBPXWWHUXWHG-BDAKNGLRSA-N 0 2 322.308 0.096 20 0 DCADLN CCc1nc(C2CC[NH+]([C@H](C)C(=O)NCC(=O)[O-])CC2)n[nH]1 ZINC001605848214 1170011831 /nfs/dbraw/zinc/01/18/31/1170011831.db2.gz FHZGNTVPYFRFTK-SECBINFHSA-N 0 2 309.370 0.136 20 0 DCADLN CCc1nnc(C2CC[NH+]([C@H](C)C(=O)NCC(=O)[O-])CC2)[nH]1 ZINC001605848214 1170011837 /nfs/dbraw/zinc/01/18/37/1170011837.db2.gz FHZGNTVPYFRFTK-SECBINFHSA-N 0 2 309.370 0.136 20 0 DCADLN CCc1n[nH]c(C2CC[NH+]([C@H](C)C(=O)NCC(=O)[O-])CC2)n1 ZINC001605848214 1170011844 /nfs/dbraw/zinc/01/18/44/1170011844.db2.gz FHZGNTVPYFRFTK-SECBINFHSA-N 0 2 309.370 0.136 20 0 DCADLN Cc1csc(NC(=O)NCc2n[nH]c(C)n2)c1-c1nn[nH]n1 ZINC001606010469 1170046968 /nfs/dbraw/zinc/04/69/68/1170046968.db2.gz BNHYCMGHKYBLDN-UHFFFAOYSA-N 0 2 319.354 0.985 20 0 DCADLN Cc1nn(C[C@](C)(O)C(F)(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114288 1170080098 /nfs/dbraw/zinc/08/00/98/1170080098.db2.gz IHXXBPCUSBGLSV-JTQLQIEISA-N 0 2 318.259 0.354 20 0 DCADLN C[N@H+](CN1C[C@@H](C(=O)[O-])OC1=O)C[C@@]1(O)CCc2ccccc21 ZINC001606142807 1170094604 /nfs/dbraw/zinc/09/46/04/1170094604.db2.gz IBAFFOUDAMXWIN-BBRMVZONSA-N 0 2 320.345 0.615 20 0 DCADLN C[N@@H+](CN1C[C@@H](C(=O)[O-])OC1=O)C[C@@]1(O)CCc2ccccc21 ZINC001606142807 1170094614 /nfs/dbraw/zinc/09/46/14/1170094614.db2.gz IBAFFOUDAMXWIN-BBRMVZONSA-N 0 2 320.345 0.615 20 0 DCADLN Cn1c(=O)[nH]c(NC23CCC(CC2)C3)c(-c2nn[nH]n2)c1=O ZINC001606206091 1170112526 /nfs/dbraw/zinc/11/25/26/1170112526.db2.gz QTDCXILJQDHCCK-UHFFFAOYSA-N 0 2 303.326 0.411 20 0 DCADLN Cn1c(=O)[nH]c(Oc2ccc(F)c(F)c2)c(-c2nn[nH]n2)c1=O ZINC001606205443 1170113111 /nfs/dbraw/zinc/11/31/11/1170113111.db2.gz FITYQVLKKOUBKJ-UHFFFAOYSA-N 0 2 322.231 0.324 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NC[C@@]2(C(=O)[O-])CCOC2)[nH]n1 ZINC001606226455 1170125510 /nfs/dbraw/zinc/12/55/10/1170125510.db2.gz HBOWCJQOVYRUFD-DOMZBBRYSA-N 0 2 322.365 0.398 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)NC[C@@]2(C(=O)[O-])CCOC2)[nH]n1 ZINC001606226455 1170125513 /nfs/dbraw/zinc/12/55/13/1170125513.db2.gz HBOWCJQOVYRUFD-DOMZBBRYSA-N 0 2 322.365 0.398 20 0 DCADLN COC(=O)[C@@H](c1cccc(C(=O)[O-])c1)[N@@H+]1C[C@@H]2COC(=O)[C@@H]2C1 ZINC001606344245 1170163770 /nfs/dbraw/zinc/16/37/70/1170163770.db2.gz NYSBTEBOXZGBNU-JHJVBQTASA-N 0 2 319.313 0.704 20 0 DCADLN COC(=O)[C@@H](c1cccc(C(=O)[O-])c1)[N@H+]1C[C@@H]2COC(=O)[C@@H]2C1 ZINC001606344245 1170163942 /nfs/dbraw/zinc/16/39/42/1170163942.db2.gz NYSBTEBOXZGBNU-JHJVBQTASA-N 0 2 319.313 0.704 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)Nc2cc(C)[nH+]cc2C)C[C@@H]1C(=O)[O-] ZINC001606424405 1170194592 /nfs/dbraw/zinc/19/45/92/1170194592.db2.gz WGWPGFAMVOWJKU-WDEREUQCSA-N 0 2 321.333 0.458 20 0 DCADLN COCC(=O)NC1CC[NH+](Cc2nc(C(=O)[O-])cs2)CC1 ZINC001606774149 1170284899 /nfs/dbraw/zinc/28/48/99/1170284899.db2.gz GMMBTZHHMPEJMQ-UHFFFAOYSA-N 0 2 313.379 0.568 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc(-c2nn[nH]n2)cc1 ZINC001606802000 1170294792 /nfs/dbraw/zinc/29/47/92/1170294792.db2.gz IVFITCCIJZILHW-UHFFFAOYSA-N 0 2 317.334 0.511 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@@H+]1Cc1ccc(C(=O)N(C)C)[nH]1 ZINC001606833520 1170305591 /nfs/dbraw/zinc/30/55/91/1170305591.db2.gz HCNWZBUECBHHJL-OAHLLOKOSA-N 0 2 309.366 0.782 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1nc2cc(F)ccc2o1 ZINC001607089871 1170386863 /nfs/dbraw/zinc/38/68/63/1170386863.db2.gz OVFGYVDZCUDGII-UHFFFAOYSA-N 0 2 313.252 0.752 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1ccn(C2CCCC2)n1 ZINC001607090921 1170389977 /nfs/dbraw/zinc/38/99/77/1170389977.db2.gz CJVYEZQSMGVWON-UHFFFAOYSA-N 0 2 312.337 0.783 20 0 DCADLN COc1ccnc(OC)c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001607350970 1170433608 /nfs/dbraw/zinc/43/36/08/1170433608.db2.gz VOPZUSQTFCGCFN-SECBINFHSA-N 0 2 320.305 0.248 20 0 DCADLN COc1ccnc(OC)c1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001607350970 1170433613 /nfs/dbraw/zinc/43/36/13/1170433613.db2.gz VOPZUSQTFCGCFN-SECBINFHSA-N 0 2 320.305 0.248 20 0 DCADLN C[C@@H](C(=O)[O-])N(Cc1ccccc1)C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC001607417119 1170447425 /nfs/dbraw/zinc/44/74/25/1170447425.db2.gz ZXDAKTNYOJNJPE-GXFFZTMASA-N 0 2 303.318 0.945 20 0 DCADLN C[C@@H]1CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C[C@H]1CC(=O)[O-] ZINC001607431984 1170452107 /nfs/dbraw/zinc/45/21/07/1170452107.db2.gz BVQOKIJZUPEXAH-IJLUTSLNSA-N 0 2 306.366 0.950 20 0 DCADLN C[C@@H]1CN(c2nnc(Cc3[nH+]ccn3C)n2C)C[C@H]1CC(=O)[O-] ZINC001607440295 1170453777 /nfs/dbraw/zinc/45/37/77/1170453777.db2.gz DXEBJMYFFRHDIF-GHMZBOCLSA-N 0 2 318.381 0.686 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)CN1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001607496512 1170467649 /nfs/dbraw/zinc/46/76/49/1170467649.db2.gz WWNLHGZKPBWRMQ-GFCCVEGCSA-N 0 2 321.377 0.611 20 0 DCADLN Cc1ccc(C[N@@H+]2CCC(=O)NCC23COC3)cc1C(=O)[O-] ZINC001607779404 1170504556 /nfs/dbraw/zinc/50/45/56/1170504556.db2.gz GBSFYFBYAOGRFK-UHFFFAOYSA-N 0 2 304.346 0.784 20 0 DCADLN Cc1ccc(C[N@H+]2CCC(=O)NCC23COC3)cc1C(=O)[O-] ZINC001607779404 1170504563 /nfs/dbraw/zinc/50/45/63/1170504563.db2.gz GBSFYFBYAOGRFK-UHFFFAOYSA-N 0 2 304.346 0.784 20 0 DCADLN Cc1nc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@H]2C(=O)[O-])cc[nH+]1 ZINC001607988178 1170539718 /nfs/dbraw/zinc/53/97/18/1170539718.db2.gz GIBNZDPCCXEKFC-VLLVSFFDSA-N 0 2 302.334 0.057 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@H]3CCC[C@@H](C(=O)[O-])C3)CC[NH2+]2)cn1 ZINC001608105912 1170573892 /nfs/dbraw/zinc/57/38/92/1170573892.db2.gz AAHXHUILYNMVDR-SCRDCRAPSA-N 0 2 320.393 0.784 20 0 DCADLN Cn1c[nH+]cc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001608137121 1170588962 /nfs/dbraw/zinc/58/89/62/1170588962.db2.gz UFIHXUSSGOLLKS-WVWOOGAGSA-N 0 2 318.377 0.316 20 0 DCADLN NC(=O)Nc1cccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001608240310 1170626855 /nfs/dbraw/zinc/62/68/55/1170626855.db2.gz XTBTYFAWZDLYPJ-NSHDSACASA-N 0 2 317.305 0.326 20 0 DCADLN NC(=O)Nc1cccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001608240310 1170626856 /nfs/dbraw/zinc/62/68/56/1170626856.db2.gz XTBTYFAWZDLYPJ-NSHDSACASA-N 0 2 317.305 0.326 20 0 DCADLN [NH3+][C@@H](CC(=O)NC[C@@H](Cc1ccncc1)C(=O)[O-])C(F)F ZINC001608249777 1170631021 /nfs/dbraw/zinc/63/10/21/1170631021.db2.gz XUPCBMCQWRDOOY-ZJUUUORDSA-N 0 2 301.293 0.424 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C[C@@H](O)Cc1ccccc1 ZINC001608289932 1170642888 /nfs/dbraw/zinc/64/28/88/1170642888.db2.gz JMEPXHDVVKSAPB-KBPBESRZSA-N 0 2 317.345 0.515 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C[C@@H](O)Cc1ccccc1 ZINC001608289932 1170642893 /nfs/dbraw/zinc/64/28/93/1170642893.db2.gz JMEPXHDVVKSAPB-KBPBESRZSA-N 0 2 317.345 0.515 20 0 DCADLN O=C([O-])c1cccn(CC(=O)NCCCCn2cc[nH+]c2)c1=O ZINC001608379567 1170664292 /nfs/dbraw/zinc/66/42/92/1170664292.db2.gz UOXLXSUCYPZULY-UHFFFAOYSA-N 0 2 318.333 0.340 20 0 DCADLN O=C([O-])[C@@H](CCC1OCCO1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001608397602 1170667841 /nfs/dbraw/zinc/66/78/41/1170667841.db2.gz WEXVSAOGJAOJBE-LLVKDONJSA-N 0 2 319.317 0.899 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)N[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC001608413647 1170673945 /nfs/dbraw/zinc/67/39/45/1170673945.db2.gz AOWGPGBCDNLHBE-OZWUEAAUSA-N 0 2 304.350 0.750 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cncnc1C1CC1 ZINC001608486000 1170689434 /nfs/dbraw/zinc/68/94/34/1170689434.db2.gz MJECPVXOUJUZPS-NSHDSACASA-N 0 2 301.306 0.503 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cncnc1C1CC1 ZINC001608486000 1170689440 /nfs/dbraw/zinc/68/94/40/1170689440.db2.gz MJECPVXOUJUZPS-NSHDSACASA-N 0 2 301.306 0.503 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)[C@H](c1ccccc1)[NH+]1CCC(O)CC1 ZINC001608536399 1170698420 /nfs/dbraw/zinc/69/84/20/1170698420.db2.gz OMYFRTAKEWSMGC-KBPBESRZSA-N 0 2 324.352 0.723 20 0 DCADLN O=C([O-])[C@@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccc(F)c1 ZINC001608540196 1170699039 /nfs/dbraw/zinc/69/90/39/1170699039.db2.gz PCURMVGGPUZIFD-KGLIPLIRSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])[C@@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccc(F)c1 ZINC001608540196 1170699043 /nfs/dbraw/zinc/69/90/43/1170699043.db2.gz PCURMVGGPUZIFD-KGLIPLIRSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])[C@H](c1ccccc1Cl)[N@@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001608564403 1170702702 /nfs/dbraw/zinc/70/27/02/1170702702.db2.gz XIZIQYIPTIQYGW-MNOVXSKESA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@H](c1ccccc1Cl)[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001608564403 1170702704 /nfs/dbraw/zinc/70/27/04/1170702704.db2.gz XIZIQYIPTIQYGW-MNOVXSKESA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@H](c1ccccc1Cl)[N@@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001608564405 1170703292 /nfs/dbraw/zinc/70/32/92/1170703292.db2.gz XIZIQYIPTIQYGW-QWRGUYRKSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@H](c1ccccc1Cl)[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001608564405 1170703298 /nfs/dbraw/zinc/70/32/98/1170703298.db2.gz XIZIQYIPTIQYGW-QWRGUYRKSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])C1(O)CN(c2nc(N3Cc4ccccc4C3)cc[nH+]2)C1 ZINC001608580058 1170707691 /nfs/dbraw/zinc/70/76/91/1170707691.db2.gz YCBWMTPOOXBGQB-UHFFFAOYSA-N 0 2 312.329 0.633 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001608610834 1170719099 /nfs/dbraw/zinc/71/90/99/1170719099.db2.gz LAFCGIVDEZYSJE-WDEREUQCSA-N 0 2 322.390 0.293 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001608610834 1170719106 /nfs/dbraw/zinc/71/91/06/1170719106.db2.gz LAFCGIVDEZYSJE-WDEREUQCSA-N 0 2 322.390 0.293 20 0 DCADLN O=C([O-])Cc1cccc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)c1 ZINC001608784007 1170754084 /nfs/dbraw/zinc/75/40/84/1170754084.db2.gz JDONZMZHFZIGMJ-CQSZACIVSA-N 0 2 303.362 0.043 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1C[C@@H]1CN(C2CCCCC2)C(=O)O1 ZINC001608810651 1170759196 /nfs/dbraw/zinc/75/91/96/1170759196.db2.gz QJQZOZVPDLNQSK-UPJWGTAASA-N 0 2 312.366 0.660 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1C[C@@H]1CN(C2CCCCC2)C(=O)O1 ZINC001608810651 1170759201 /nfs/dbraw/zinc/75/92/01/1170759201.db2.gz QJQZOZVPDLNQSK-UPJWGTAASA-N 0 2 312.366 0.660 20 0 DCADLN O=C([O-])[C@]12CCC[C@H]1C[N@H+](Cn1nc3cnccn3c1=O)C2 ZINC001608825255 1170762461 /nfs/dbraw/zinc/76/24/61/1170762461.db2.gz ZYFBGFNSVZOVLM-HZMBPMFUSA-N 0 2 303.322 0.035 20 0 DCADLN O=C([O-])[C@]12CCC[C@H]1C[N@@H+](Cn1nc3cnccn3c1=O)C2 ZINC001608825255 1170762464 /nfs/dbraw/zinc/76/24/64/1170762464.db2.gz ZYFBGFNSVZOVLM-HZMBPMFUSA-N 0 2 303.322 0.035 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@@H+]3CC[C@H](c4nn[nH]n4)C3)nc2c1 ZINC001608916103 1170771999 /nfs/dbraw/zinc/77/19/99/1170771999.db2.gz GVEMDHAWENVTPT-VIFPVBQESA-N 0 2 313.321 0.764 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@H+]3CC[C@H](c4nn[nH]n4)C3)nc2c1 ZINC001608916103 1170772004 /nfs/dbraw/zinc/77/20/04/1170772004.db2.gz GVEMDHAWENVTPT-VIFPVBQESA-N 0 2 313.321 0.764 20 0 DCADLN CC(C)[C@@]1(C)C[C@@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001609089835 1170803473 /nfs/dbraw/zinc/80/34/73/1170803473.db2.gz GTWPYZZBCQKAHC-RMLUDKJBSA-N 0 2 319.369 0.111 20 0 DCADLN CCCCCCC(=O)Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001609208936 1170869605 /nfs/dbraw/zinc/86/96/05/1170869605.db2.gz BOOBSUVQBHKVFD-UHFFFAOYSA-N 0 2 306.326 0.669 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccc(C)cc2)c1=O ZINC001609258214 1170899590 /nfs/dbraw/zinc/89/95/90/1170899590.db2.gz WFVPTRUFPDAYEU-UHFFFAOYSA-N 0 2 312.333 0.567 20 0 DCADLN Cc1ccc(NS(=O)(=O)c2cncc(-c3nn[nH]n3)c2)cn1 ZINC001609426982 1170974037 /nfs/dbraw/zinc/97/40/37/1170974037.db2.gz CURVAZGAJSTZPX-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cc1ccn(CCO[C@H]2CCCCO2)c(=O)c1-c1nn[nH]n1 ZINC001609437549 1170977346 /nfs/dbraw/zinc/97/73/46/1170977346.db2.gz KZKHZLWOFBMCTO-NSHDSACASA-N 0 2 305.338 0.880 20 0 DCADLN Cc1nn(CC2CCC(O)CC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001609459414 1170985836 /nfs/dbraw/zinc/98/58/36/1170985836.db2.gz GISXHHKPRMFAIQ-UHFFFAOYSA-N 0 2 304.354 0.591 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NC[C@H]2CC=CCC2)n(C)c1=O ZINC001609476808 1170991697 /nfs/dbraw/zinc/99/16/97/1170991697.db2.gz ZTZDVKRKHQOJKS-VIFPVBQESA-N 0 2 317.353 0.032 20 0 DCADLN O=C(CC[C@H]1CCCCO1)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001609521647 1171008011 /nfs/dbraw/zinc/00/80/11/1171008011.db2.gz DIYJIYVLDIPEFW-LLVKDONJSA-N 0 2 318.337 0.342 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc(Br)cn1CCCO ZINC001609603817 1171039169 /nfs/dbraw/zinc/03/91/69/1171039169.db2.gz FSHWCNDJZXKRIP-UHFFFAOYSA-N 0 2 300.116 0.173 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+](C)[C@@H]1CCCCNC1=O)C(=O)[O-] ZINC001609693531 1171066821 /nfs/dbraw/zinc/06/68/21/1171066821.db2.gz SBIGBEJJABPFGY-VXGBXAGGSA-N 0 2 313.398 0.202 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+](C)[C@@H]1CCCCNC1=O)C(=O)[O-] ZINC001609693531 1171066833 /nfs/dbraw/zinc/06/68/33/1171066833.db2.gz SBIGBEJJABPFGY-VXGBXAGGSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@@H]([NH2+]CC(=O)NC1(C(=O)[O-])CCCCC1)c1nncn1C ZINC001609771731 1171081743 /nfs/dbraw/zinc/08/17/43/1171081743.db2.gz HLEMREKBEJMWOB-SNVBAGLBSA-N 0 2 309.370 0.369 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2cccc(CC(=O)[O-])c2)CCO1 ZINC001609875217 1171111992 /nfs/dbraw/zinc/11/19/92/1171111992.db2.gz TVYKACKWJJMKFV-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2cccc(CC(=O)[O-])c2)CCO1 ZINC001609875217 1171111995 /nfs/dbraw/zinc/11/19/95/1171111995.db2.gz TVYKACKWJJMKFV-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN CCC(CC)[C@@H](C(=O)NC[C@](C)(O)C(=O)[O-])[NH+]1CCOCC1 ZINC001609916465 1171121684 /nfs/dbraw/zinc/12/16/84/1171121684.db2.gz ANNMYIICULSDHR-WFASDCNBSA-N 0 2 316.398 0.075 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C[C@H](C(=O)[O-])C1 ZINC001610132030 1171166326 /nfs/dbraw/zinc/16/63/26/1171166326.db2.gz AJQFAHKWHIQQDE-GHMZBOCLSA-N 0 2 306.366 0.304 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C[C@H](C(=O)[O-])C1 ZINC001610132030 1171166330 /nfs/dbraw/zinc/16/63/30/1171166330.db2.gz AJQFAHKWHIQQDE-GHMZBOCLSA-N 0 2 306.366 0.304 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001610229415 1171201135 /nfs/dbraw/zinc/20/11/35/1171201135.db2.gz FYEANZHUOGPWKN-NXEZZACHSA-N 0 2 310.354 0.712 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001610229415 1171201143 /nfs/dbraw/zinc/20/11/43/1171201143.db2.gz FYEANZHUOGPWKN-NXEZZACHSA-N 0 2 310.354 0.712 20 0 DCADLN COc1ccccc1OCC[N@H+](C)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001610367974 1171225592 /nfs/dbraw/zinc/22/55/92/1171225592.db2.gz WQMKTTOJVOBRBX-LBPRGKRZSA-N 0 2 322.361 0.896 20 0 DCADLN COc1ccccc1OCC[N@@H+](C)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001610367974 1171225596 /nfs/dbraw/zinc/22/55/96/1171225596.db2.gz WQMKTTOJVOBRBX-LBPRGKRZSA-N 0 2 322.361 0.896 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+]2CC[C@H](NC(N)=O)C2)c(C)c1C(=O)[O-] ZINC001610396933 1171230220 /nfs/dbraw/zinc/23/02/20/1171230220.db2.gz LIKJIPRGGMFYQU-UWVGGRQHSA-N 0 2 322.365 0.644 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+]2CC[C@H](NC(N)=O)C2)c(C)c1C(=O)[O-] ZINC001610396933 1171230222 /nfs/dbraw/zinc/23/02/22/1171230222.db2.gz LIKJIPRGGMFYQU-UWVGGRQHSA-N 0 2 322.365 0.644 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@@H+]2CC[C@H](NC(N)=O)C2)c(C)c1C(=O)[O-] ZINC001610396937 1171230684 /nfs/dbraw/zinc/23/06/84/1171230684.db2.gz LIKJIPRGGMFYQU-ZJUUUORDSA-N 0 2 322.365 0.644 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@H+]2CC[C@H](NC(N)=O)C2)c(C)c1C(=O)[O-] ZINC001610396937 1171230690 /nfs/dbraw/zinc/23/06/90/1171230690.db2.gz LIKJIPRGGMFYQU-ZJUUUORDSA-N 0 2 322.365 0.644 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1ccccc1CO ZINC001610675912 1171287330 /nfs/dbraw/zinc/28/73/30/1171287330.db2.gz SCSGPTSDBUMUHE-ZDUSSCGKSA-N 0 2 303.318 0.257 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1ccccc1CO ZINC001610675912 1171287332 /nfs/dbraw/zinc/28/73/32/1171287332.db2.gz SCSGPTSDBUMUHE-ZDUSSCGKSA-N 0 2 303.318 0.257 20 0 DCADLN O=C([O-])c1ccc(CC(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC001610673508 1171288007 /nfs/dbraw/zinc/28/80/07/1171288007.db2.gz IOYLODUDSMKIBR-UHFFFAOYSA-N 0 2 318.373 0.908 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001610732681 1171301858 /nfs/dbraw/zinc/30/18/58/1171301858.db2.gz HGEBUSBXBQTGTO-KBPBESRZSA-N 0 2 315.329 0.922 20 0 DCADLN CCC(CC)(NC(=O)c1ccc2n[nH]nc2c1)c1nn[nH]n1 ZINC001623441136 1171438468 /nfs/dbraw/zinc/43/84/68/1171438468.db2.gz LCYRSLHMOWSTJM-UHFFFAOYSA-N 0 2 300.326 0.916 20 0 DCADLN O=C(NCc1nn[nH]n1)c1cnc2c(Cl)cccc2c1O ZINC001632593268 1171620707 /nfs/dbraw/zinc/62/07/07/1171620707.db2.gz PLQNCPUNKHHCHO-UHFFFAOYSA-N 0 2 304.697 0.625 20 0 DCADLN COc1cccn2ncc(C(=O)NCc3nc(O)cc(=O)[nH]3)c12 ZINC001641493210 1171917060 /nfs/dbraw/zinc/91/70/60/1171917060.db2.gz HNKCITALMFKCIB-UHFFFAOYSA-N 0 2 315.289 0.474 20 0 DCADLN COc1cccn2ncc(C(=O)NCC3=NC(=O)CC(=O)N3)c12 ZINC001641493210 1171917068 /nfs/dbraw/zinc/91/70/68/1171917068.db2.gz HNKCITALMFKCIB-UHFFFAOYSA-N 0 2 315.289 0.474 20 0 DCADLN C[C@H](C[C@H]1CCOC1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001645604667 1172072182 /nfs/dbraw/zinc/07/21/82/1172072182.db2.gz BEXQNROIJSHWJR-NXEZZACHSA-N 0 2 305.338 0.003 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(CCOC)CCOC)n2C)c1=O ZINC001646687517 1172474008 /nfs/dbraw/zinc/47/40/08/1172474008.db2.gz AQPJTAIRGUAVIC-UHFFFAOYSA-N 0 2 324.385 0.503 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cc(-c3cnn(C)c3)no2)C[C@@H](C(=O)[O-])O1 ZINC001647323343 1172811715 /nfs/dbraw/zinc/81/17/15/1172811715.db2.gz LLHVIFXMGPLDJC-RNCFNFMXSA-N 0 2 306.322 0.749 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cc(-c3cnn(C)c3)no2)C[C@@H](C(=O)[O-])O1 ZINC001647323343 1172811718 /nfs/dbraw/zinc/81/17/18/1172811718.db2.gz LLHVIFXMGPLDJC-RNCFNFMXSA-N 0 2 306.322 0.749 20 0 DCADLN Cc1nc(CNC(=O)Cc2c(C)nc3cc(=O)[nH]n3c2C)n[nH]1 ZINC001648370144 1173074021 /nfs/dbraw/zinc/07/40/21/1173074021.db2.gz LUFGBUKYCGMSRH-UHFFFAOYSA-N 0 2 315.337 0.337 20 0 DCADLN CC(C)[NH+]1CCN(C(=O)N[C@@H](C)[C@H]2C[N@H+](C)CCN2C)CC1 ZINC001649311775 1173097578 /nfs/dbraw/zinc/09/75/78/1173097578.db2.gz MCAMIQCHCMRCLE-LSDHHAIUSA-N 0 2 311.474 0.356 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H]1[C@@H]1CCCOC1 ZINC001649360736 1173128909 /nfs/dbraw/zinc/12/89/09/1173128909.db2.gz FNGWJFLFTVNDQF-PWSUYJOCSA-N 0 2 317.349 0.099 20 0 DCADLN Cc1nnc([C@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC001649777119 1173217245 /nfs/dbraw/zinc/21/72/45/1173217245.db2.gz UBJZETBYHGFFGV-LURJTMIESA-N 0 2 320.334 0.536 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001649930584 1173316831 /nfs/dbraw/zinc/31/68/31/1173316831.db2.gz ROYCNPGDSYTDAH-CQSZACIVSA-N 0 2 313.375 0.636 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001649930584 1173316836 /nfs/dbraw/zinc/31/68/36/1173316836.db2.gz ROYCNPGDSYTDAH-CQSZACIVSA-N 0 2 313.375 0.636 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)COCC(F)F)CC2)CC1 ZINC001649967342 1173345854 /nfs/dbraw/zinc/34/58/54/1173345854.db2.gz HFGHFMPIVWCGSY-UHFFFAOYSA-N 0 2 305.369 0.507 20 0 DCADLN CN1C[C@H](OC(=O)C(C)(C)NC(=O)C(F)(F)F)CNC1=O ZINC001650471626 1173650643 /nfs/dbraw/zinc/65/06/43/1173650643.db2.gz CEUOEVMQZPPGCO-ZCFIWIBFSA-N 0 2 311.260 0.010 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cccc2c1NC(=O)CO2 ZINC001650837149 1173734787 /nfs/dbraw/zinc/73/47/87/1173734787.db2.gz ULBAKLXKZNQKPT-UHFFFAOYSA-N 0 2 317.305 0.204 20 0 DCADLN COCCCn1cc(C)c(NC(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC001677702743 1175594096 /nfs/dbraw/zinc/59/40/96/1175594096.db2.gz WCTVEMGCFNXQGA-UHFFFAOYSA-N 0 2 309.330 0.373 20 0 DCADLN O=C(CCc1ncccn1)NCCNC(=O)C(F)C(F)(F)F ZINC001720129561 1178603816 /nfs/dbraw/zinc/60/38/16/1178603816.db2.gz BNTIBBBTTLKPIM-JTQLQIEISA-N 0 2 322.262 0.542 20 0 DCADLN O=C(CCc1ncccn1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001720129561 1178603819 /nfs/dbraw/zinc/60/38/19/1178603819.db2.gz BNTIBBBTTLKPIM-JTQLQIEISA-N 0 2 322.262 0.542 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)[nH]n1 ZINC001703118502 1179310660 /nfs/dbraw/zinc/31/06/60/1179310660.db2.gz PSYONSLAELOBMI-JTQLQIEISA-N 0 2 316.365 0.407 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ccnc(F)c1 ZINC001703122879 1179315466 /nfs/dbraw/zinc/31/54/66/1179315466.db2.gz PZOOJYGVUPHBSF-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1ccnc(F)c1 ZINC001703122879 1179315473 /nfs/dbraw/zinc/31/54/73/1179315473.db2.gz PZOOJYGVUPHBSF-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)C(C)(C)[NH+]2CCCC2)c1[O-] ZINC001703150879 1179342803 /nfs/dbraw/zinc/34/28/03/1179342803.db2.gz WIRKGADREUAPLV-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CCn1nncc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001703171722 1179367058 /nfs/dbraw/zinc/36/70/58/1179367058.db2.gz SZGLSFZWSGBMOJ-ZETCQYMHSA-N 0 2 311.239 0.044 20 0 DCADLN CCn1nncc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001703171722 1179367065 /nfs/dbraw/zinc/36/70/65/1179367065.db2.gz SZGLSFZWSGBMOJ-ZETCQYMHSA-N 0 2 311.239 0.044 20 0 DCADLN CCn1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001703182737 1179376873 /nfs/dbraw/zinc/37/68/73/1179376873.db2.gz SRYOMVUVDWYPAZ-MRVPVSSYSA-N 0 2 310.251 0.649 20 0 DCADLN CCn1ccc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001703182737 1179376876 /nfs/dbraw/zinc/37/68/76/1179376876.db2.gz SRYOMVUVDWYPAZ-MRVPVSSYSA-N 0 2 310.251 0.649 20 0 DCADLN Cn1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cc1=O ZINC001703218268 1179399857 /nfs/dbraw/zinc/39/98/57/1179399857.db2.gz LOPNHQUGIKYOTE-SECBINFHSA-N 0 2 323.246 0.132 20 0 DCADLN Cn1ccc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cc1=O ZINC001703218268 1179399864 /nfs/dbraw/zinc/39/98/64/1179399864.db2.gz LOPNHQUGIKYOTE-SECBINFHSA-N 0 2 323.246 0.132 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC=CCNC(=O)C(F)C(F)(F)F ZINC001705051493 1180241227 /nfs/dbraw/zinc/24/12/27/1180241227.db2.gz CQEJKGQMCUCDSS-KZUAUGPASA-N 0 2 323.250 0.416 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC=CCNC(=O)[C@H](F)C(F)(F)F ZINC001705051493 1180241235 /nfs/dbraw/zinc/24/12/35/1180241235.db2.gz CQEJKGQMCUCDSS-KZUAUGPASA-N 0 2 323.250 0.416 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@@H]2CC[N@H+](CCOCC3CC3)C2)c1[O-] ZINC001713996777 1181192853 /nfs/dbraw/zinc/19/28/53/1181192853.db2.gz YSOOOSRIMOCQMJ-CYBMUJFWSA-N 0 2 322.409 0.997 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@@H]2CC[N@@H+](CCOCC3CC3)C2)c1[O-] ZINC001713996777 1181192859 /nfs/dbraw/zinc/19/28/59/1181192859.db2.gz YSOOOSRIMOCQMJ-CYBMUJFWSA-N 0 2 322.409 0.997 20 0 DCADLN CO[C@@H](C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001718020519 1183113444 /nfs/dbraw/zinc/11/34/44/1183113444.db2.gz GEVSXOXBNISWKU-CYBMUJFWSA-N 0 2 321.381 0.184 20 0 DCADLN CO[C@@H](C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001718020519 1183113446 /nfs/dbraw/zinc/11/34/46/1183113446.db2.gz GEVSXOXBNISWKU-CYBMUJFWSA-N 0 2 321.381 0.184 20 0 DCADLN CC(C)OCCCC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001732139405 1185693916 /nfs/dbraw/zinc/69/39/16/1185693916.db2.gz AYJRGCDSHYFNDG-UHFFFAOYSA-N 0 2 311.386 0.264 20 0 DCADLN CC(C)(C)C(=O)C(=O)NCC1=CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001734620837 1186708033 /nfs/dbraw/zinc/70/80/33/1186708033.db2.gz NNPIAKUJBAHJCN-UHFFFAOYSA-N 0 2 321.381 0.374 20 0 DCADLN Cc1ccnc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001742372773 1187969482 /nfs/dbraw/zinc/96/94/82/1187969482.db2.gz MBUBJNIJWZFKJM-SSDOTTSWSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1ccnc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001742372773 1187969488 /nfs/dbraw/zinc/96/94/88/1187969488.db2.gz MBUBJNIJWZFKJM-SSDOTTSWSA-N 0 2 308.235 0.531 20 0 DCADLN CN(CCCNC(=O)Cc1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001743672866 1188154716 /nfs/dbraw/zinc/15/47/16/1188154716.db2.gz SXOXNRGDFWVKKO-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCOC1)C(F)C(F)(F)F ZINC001757936471 1189616373 /nfs/dbraw/zinc/61/63/73/1189616373.db2.gz JYFLLZAIHYIMRC-JGVFFNPUSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCOC1)[C@@H](F)C(F)(F)F ZINC001757936471 1189616375 /nfs/dbraw/zinc/61/63/75/1189616375.db2.gz JYFLLZAIHYIMRC-JGVFFNPUSA-N 0 2 300.252 0.546 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001771920396 1190556338 /nfs/dbraw/zinc/55/63/38/1190556338.db2.gz FIPAMXWEPLVQRX-WCFLWFBJSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001771920395 1190556486 /nfs/dbraw/zinc/55/64/86/1190556486.db2.gz FIPAMXWEPLVQRX-RAIGVLPGSA-N 0 2 307.350 0.584 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC001772205389 1190629159 /nfs/dbraw/zinc/62/91/59/1190629159.db2.gz OJCCJIAVODYNNS-STQMWFEESA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1[NH2+]CCc2occc21)C[NH+]1CCN(C)CC1 ZINC001772226597 1190635330 /nfs/dbraw/zinc/63/53/30/1190635330.db2.gz JTFHBPOZYOYTST-CZUORRHYSA-N 0 2 320.437 0.466 20 0 DCADLN CN(C(=O)c1ccc(F)nc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042548038 751738567 /nfs/dbraw/zinc/73/85/67/751738567.db2.gz FMGDBYQTVXEZBG-UHFFFAOYSA-N 0 2 306.301 0.001 20 0 DCADLN COC(=O)c1nc2ccc(N[C@H]3CCC(=O)N(C)C3)nc2[nH]1 ZINC001167249310 747039217 /nfs/dbraw/zinc/03/92/17/747039217.db2.gz CYYZGALEIUSISV-QMMMGPOBSA-N 0 2 303.322 0.777 20 0 DCADLN COc1ccc(CO)cc1NS(=O)(=O)c1cncc(N)c1 ZINC001211523115 747301094 /nfs/dbraw/zinc/30/10/94/747301094.db2.gz ASCPKJHCESPUCM-UHFFFAOYSA-N 0 2 309.347 0.966 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nc(CC(C)C)no2)c1[O-] ZINC001125734184 747542451 /nfs/dbraw/zinc/54/24/51/747542451.db2.gz IXWMETVATBJBQJ-UHFFFAOYSA-N 0 2 322.369 0.525 20 0 DCADLN CC[C@@H](F)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043378529 752203655 /nfs/dbraw/zinc/20/36/55/752203655.db2.gz YUKHGEVAWUYDHD-RNFRBKRXSA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@@H](F)C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043378529 752203660 /nfs/dbraw/zinc/20/36/60/752203660.db2.gz YUKHGEVAWUYDHD-RNFRBKRXSA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnc(C)[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087522047 748919891 /nfs/dbraw/zinc/91/98/91/748919891.db2.gz KCSRROJGNCGDNV-GXSJLCMTSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnc(C)[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087522047 748919896 /nfs/dbraw/zinc/91/98/96/748919896.db2.gz KCSRROJGNCGDNV-GXSJLCMTSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCN1Cc1nc(C)no1 ZINC001087636574 749003362 /nfs/dbraw/zinc/00/33/62/749003362.db2.gz XVIQJTQWCFZFKO-GXSJLCMTSA-N 0 2 305.342 0.279 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnn[n-]2)CC[N@@H+]1Cc1nc(C)no1 ZINC001087636574 749003366 /nfs/dbraw/zinc/00/33/66/749003366.db2.gz XVIQJTQWCFZFKO-GXSJLCMTSA-N 0 2 305.342 0.279 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnn[n-]2)CC[N@H+]1Cc1nc(C)no1 ZINC001087636574 749003372 /nfs/dbraw/zinc/00/33/72/749003372.db2.gz XVIQJTQWCFZFKO-GXSJLCMTSA-N 0 2 305.342 0.279 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccnnc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087738868 749035092 /nfs/dbraw/zinc/03/50/92/749035092.db2.gz XVZSHCBMYOIRSH-WDEREUQCSA-N 0 2 317.353 0.083 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccnnc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087738868 749035095 /nfs/dbraw/zinc/03/50/95/749035095.db2.gz XVZSHCBMYOIRSH-WDEREUQCSA-N 0 2 317.353 0.083 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CC[N@@H+]1Cc1cc(C)ncn1 ZINC001087767651 749046138 /nfs/dbraw/zinc/04/61/38/749046138.db2.gz MNSRPQDBEBGVFM-QWHCGFSZSA-N 0 2 315.381 0.686 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CC[N@H+]1Cc1cc(C)ncn1 ZINC001087767651 749046143 /nfs/dbraw/zinc/04/61/43/749046143.db2.gz MNSRPQDBEBGVFM-QWHCGFSZSA-N 0 2 315.381 0.686 20 0 DCADLN CCn1nccc(NC(=[NH2+])SCCS(=O)(=O)[O-])c1=O ZINC001168096528 749406013 /nfs/dbraw/zinc/40/60/13/749406013.db2.gz IVGXROAGSKFAKZ-UHFFFAOYSA-N 0 2 306.369 0.231 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)/C=C(/C)C1CC1 ZINC001211954507 749689663 /nfs/dbraw/zinc/68/96/63/749689663.db2.gz MXVURKRYEYALQS-WZFVEOJDSA-N 0 2 321.381 0.182 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccsn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071603615 761986097 /nfs/dbraw/zinc/98/60/97/761986097.db2.gz RYDAVJAXDPKJGK-BDAKNGLRSA-N 0 2 322.394 0.750 20 0 DCADLN Cc1nnc(CN2C[C@@H](NC(=O)c3cnn[nH]3)CC[C@H]2C)[nH]1 ZINC001071631380 762006545 /nfs/dbraw/zinc/00/65/45/762006545.db2.gz MDNFVEWDYFMVLZ-SCZZXKLOSA-N 0 2 304.358 0.014 20 0 DCADLN Cn1c(=O)[nH]c2ccc(C(=O)NCc3nc(O)cc(=O)[nH]3)cc21 ZINC001168429517 750822051 /nfs/dbraw/zinc/82/20/51/750822051.db2.gz DJBPMXUFZIBERG-UHFFFAOYSA-N 0 2 315.289 0.410 20 0 DCADLN CC[C@H](C)[C@@H](OC)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113044329 762110107 /nfs/dbraw/zinc/11/01/07/762110107.db2.gz OZZSTCTUFFYHBO-CMPLNLGQSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H]1CCC[C@@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043696225 752353468 /nfs/dbraw/zinc/35/34/68/752353468.db2.gz IDLXURQXQFPTCW-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(F)c1 ZINC001043966385 752495963 /nfs/dbraw/zinc/49/59/63/752495963.db2.gz RWSCVSNUDDWGNK-UHFFFAOYSA-N 0 2 319.340 0.914 20 0 DCADLN Cc1ccc(F)c(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001043971812 752499047 /nfs/dbraw/zinc/49/90/47/752499047.db2.gz YHPBEIOSVMLPQP-UHFFFAOYSA-N 0 2 319.340 0.914 20 0 DCADLN Cc1nc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)sc1C ZINC001044311996 752683588 /nfs/dbraw/zinc/68/35/88/752683588.db2.gz CBDAWECMWBDFQD-UHFFFAOYSA-N 0 2 322.394 0.540 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2csnn2)C12CCC2)c1cnn[nH]1 ZINC001078667614 753334686 /nfs/dbraw/zinc/33/46/86/753334686.db2.gz GUAKZZNFGZTYFZ-MNOVXSKESA-N 0 2 319.394 0.487 20 0 DCADLN O=C(NCc1cnn2c1CN(CCO)CC2)C(F)C(F)(F)F ZINC001128356378 753602640 /nfs/dbraw/zinc/60/26/40/753602640.db2.gz XMCUUEQFNXBFET-SNVBAGLBSA-N 0 2 324.278 0.208 20 0 DCADLN O=C(NCc1cnn2c1CN(CCO)CC2)[C@@H](F)C(F)(F)F ZINC001128356378 753602646 /nfs/dbraw/zinc/60/26/46/753602646.db2.gz XMCUUEQFNXBFET-SNVBAGLBSA-N 0 2 324.278 0.208 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2nccs2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071927180 762233642 /nfs/dbraw/zinc/23/36/42/762233642.db2.gz KBMSLHGEVSSSIO-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN CCc1noc([C@@H](C)[N@@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046442829 753722244 /nfs/dbraw/zinc/72/22/44/753722244.db2.gz ROHILBNAUXIBJI-YMTOWFKASA-N 0 2 319.369 0.706 20 0 DCADLN CCc1noc([C@@H](C)[N@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046442829 753722245 /nfs/dbraw/zinc/72/22/45/753722245.db2.gz ROHILBNAUXIBJI-YMTOWFKASA-N 0 2 319.369 0.706 20 0 DCADLN Cc1nnc([C@H](C)N2CC[C@](C)(NC(=O)c3cnn[nH]3)C2)o1 ZINC001046443352 753722317 /nfs/dbraw/zinc/72/23/17/753722317.db2.gz YSGMZTUMGKQQPE-SDBXPKJASA-N 0 2 305.342 0.452 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[NH2+]CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001128992068 754452192 /nfs/dbraw/zinc/45/21/92/754452192.db2.gz MXEFHXFIQZVDBX-LBPRGKRZSA-N 0 2 323.441 0.741 20 0 DCADLN COCCC(C)(C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113481576 762314006 /nfs/dbraw/zinc/31/40/06/762314006.db2.gz DWMIOVGGUSCFNT-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN O=C(C(F)F)N1CCC[C@@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049266049 755211547 /nfs/dbraw/zinc/21/15/47/755211547.db2.gz IFTZDIPQTZVXHW-SFYZADRCSA-N 0 2 301.297 0.341 20 0 DCADLN O=C(c1ccco1)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049287897 755216837 /nfs/dbraw/zinc/21/68/37/755216837.db2.gz FPSSTEDQUYBDCA-QWRGUYRKSA-N 0 2 317.349 0.982 20 0 DCADLN O=C(c1ccco1)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049287897 755216840 /nfs/dbraw/zinc/21/68/40/755216840.db2.gz FPSSTEDQUYBDCA-QWRGUYRKSA-N 0 2 317.349 0.982 20 0 DCADLN CC(F)(F)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096724950 755507892 /nfs/dbraw/zinc/50/78/92/755507892.db2.gz FATGVFFGKOHKAW-PRJMDXOYSA-N 0 2 301.297 0.387 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccc[nH]1 ZINC001096798921 755522790 /nfs/dbraw/zinc/52/27/90/755522790.db2.gz TWVCNHKDLZTEEZ-IEBDPFPHSA-N 0 2 302.338 0.374 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CC=CCC1 ZINC001079414713 755815958 /nfs/dbraw/zinc/81/59/58/755815958.db2.gz HOTBPQOWEOBZSQ-GRYCIOLGSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CC=CCC1 ZINC001079414713 755815963 /nfs/dbraw/zinc/81/59/63/755815963.db2.gz HOTBPQOWEOBZSQ-GRYCIOLGSA-N 0 2 305.382 0.803 20 0 DCADLN CCc1cc(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)[nH]n1 ZINC001079441752 755849384 /nfs/dbraw/zinc/84/93/84/755849384.db2.gz HXYSVIYPNKALDI-LDYMZIIASA-N 0 2 319.369 0.046 20 0 DCADLN CCc1cc(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)[nH]n1 ZINC001079441752 755849389 /nfs/dbraw/zinc/84/93/89/755849389.db2.gz HXYSVIYPNKALDI-LDYMZIIASA-N 0 2 319.369 0.046 20 0 DCADLN CCC(C)(C)C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053398620 756030295 /nfs/dbraw/zinc/03/02/95/756030295.db2.gz MSDOWDDEQNPYDX-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)[nH]1 ZINC001079969601 756067115 /nfs/dbraw/zinc/06/71/15/756067115.db2.gz JBISKODVIRBTEK-MWLCHTKSSA-N 0 2 318.381 0.706 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)[nH]1 ZINC001079969601 756067118 /nfs/dbraw/zinc/06/71/18/756067118.db2.gz JBISKODVIRBTEK-MWLCHTKSSA-N 0 2 318.381 0.706 20 0 DCADLN O=C(N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1)C1=CCCC1 ZINC001053773109 756195585 /nfs/dbraw/zinc/19/55/85/756195585.db2.gz DDNHLWXOPCVGQP-LLVKDONJSA-N 0 2 319.365 0.080 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCN(CCF)CC1 ZINC001113670328 762465151 /nfs/dbraw/zinc/46/51/51/762465151.db2.gz CZBUNORKPIBUTA-UHFFFAOYSA-N 0 2 313.295 0.557 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001098140429 762471309 /nfs/dbraw/zinc/47/13/09/762471309.db2.gz KTEGAABMJJENPS-BBBLOLIVSA-N 0 2 318.337 0.342 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1CC12CCC2 ZINC001097943062 756494828 /nfs/dbraw/zinc/49/48/28/756494828.db2.gz TWDOKBCXTAWZBH-KXNHARMFSA-N 0 2 317.393 0.922 20 0 DCADLN O=C(c1cscn1)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072544389 762558718 /nfs/dbraw/zinc/55/87/18/762558718.db2.gz KSLFFLLHRPTQCV-UHFFFAOYSA-N 0 2 320.378 0.315 20 0 DCADLN O=C(c1cscn1)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072544389 762558722 /nfs/dbraw/zinc/55/87/22/762558722.db2.gz KSLFFLLHRPTQCV-UHFFFAOYSA-N 0 2 320.378 0.315 20 0 DCADLN O=c1[nH]c2nc(=O)[nH]c(Nc3nc(Cl)nc4c3CNC4)c2[nH]1 ZINC001170212809 762567521 /nfs/dbraw/zinc/56/75/21/762567521.db2.gz BKMSIVDTBIWBJM-UHFFFAOYSA-N 0 2 320.700 0.506 20 0 DCADLN Cc1nocc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084626868 757938670 /nfs/dbraw/zinc/93/86/70/757938670.db2.gz BPAVWUYJNCLZNO-MWLCHTKSSA-N 0 2 318.337 0.153 20 0 DCADLN CC(=O)NC[C@H]1COCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC001050777133 758050717 /nfs/dbraw/zinc/05/07/17/758050717.db2.gz WELAUMISZUGSGQ-JTQLQIEISA-N 0 2 322.390 0.734 20 0 DCADLN Cc1cocc1C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001051064601 758407012 /nfs/dbraw/zinc/40/70/12/758407012.db2.gz PMYQCLIVGJJMFH-SNVBAGLBSA-N 0 2 321.337 0.043 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CC=CCC1 ZINC001085479832 758931799 /nfs/dbraw/zinc/93/17/99/758931799.db2.gz AUPVAQNUEJOHEA-VXGBXAGGSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1cncc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001085529475 759004365 /nfs/dbraw/zinc/00/43/65/759004365.db2.gz ZQONXGWRUYRMBX-LBPRGKRZSA-N 0 2 316.365 0.560 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1CC(F)(F)C1 ZINC001085539764 759022257 /nfs/dbraw/zinc/02/22/57/759022257.db2.gz GNZDVGMZHRMADY-SECBINFHSA-N 0 2 315.324 0.588 20 0 DCADLN COCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109524722 761447298 /nfs/dbraw/zinc/44/72/98/761447298.db2.gz YGIWHDSUTCZOPA-VWYCJHECSA-N 0 2 323.397 0.404 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001070962260 761541697 /nfs/dbraw/zinc/54/16/97/761541697.db2.gz BZBARYAMXPZYHM-SVRRBLITSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2cn[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001070962260 761541701 /nfs/dbraw/zinc/54/17/01/761541701.db2.gz BZBARYAMXPZYHM-SVRRBLITSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@H]1CN(C(=O)C2CC2)C[C@@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071119007 761633264 /nfs/dbraw/zinc/63/32/64/761633264.db2.gz IHZJNZRZUMVJAW-BONVTDFDSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@H]1CN(C(=O)C2CC2)C[C@@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071119007 761633270 /nfs/dbraw/zinc/63/32/70/761633270.db2.gz IHZJNZRZUMVJAW-BONVTDFDSA-N 0 2 321.381 0.112 20 0 DCADLN COC(=O)c1sccc1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC001123839910 768063611 /nfs/dbraw/zinc/06/36/11/768063611.db2.gz OVTKQSCVNSTKKM-ZCFIWIBFSA-N 0 2 311.319 0.462 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C2CCOCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071305716 761771293 /nfs/dbraw/zinc/77/12/93/761771293.db2.gz YILHZTGJAABSCU-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C2CCOCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071305716 761771297 /nfs/dbraw/zinc/77/12/97/761771297.db2.gz YILHZTGJAABSCU-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001071327115 761780328 /nfs/dbraw/zinc/78/03/28/761780328.db2.gz KMGBCOQLURJCDR-UWVGGRQHSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C2CC=CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071404828 761824945 /nfs/dbraw/zinc/82/49/45/761824945.db2.gz VEAHRWLUMBSDSY-JQWIXIFHSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C2CC=CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071404828 761824952 /nfs/dbraw/zinc/82/49/52/761824952.db2.gz VEAHRWLUMBSDSY-JQWIXIFHSA-N 0 2 305.382 0.946 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071410538 761827117 /nfs/dbraw/zinc/82/71/17/761827117.db2.gz CWSUKQAOALUQDO-ONGXEEELSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071410538 761827125 /nfs/dbraw/zinc/82/71/25/761827125.db2.gz CWSUKQAOALUQDO-ONGXEEELSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071410398 761827542 /nfs/dbraw/zinc/82/75/42/761827542.db2.gz AYKDLIOCMIRQAY-WPRPVWTQSA-N 0 2 320.353 0.590 20 0 DCADLN CC(C)(F)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001098694027 762712244 /nfs/dbraw/zinc/71/22/44/762712244.db2.gz FVRXIHYTXXFNIC-XPTSAGLGSA-N 0 2 311.361 0.729 20 0 DCADLN CC(C)(F)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001098694027 762712247 /nfs/dbraw/zinc/71/22/47/762712247.db2.gz FVRXIHYTXXFNIC-XPTSAGLGSA-N 0 2 311.361 0.729 20 0 DCADLN CC(C)(C)CNC(=O)C[NH2+]CCNC(=O)c1[nH]nc2c1CCC2 ZINC001130733858 763464283 /nfs/dbraw/zinc/46/42/83/763464283.db2.gz BZBLLVDCOTZCLK-UHFFFAOYSA-N 0 2 321.425 0.380 20 0 DCADLN CSCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131781296 764026268 /nfs/dbraw/zinc/02/62/68/764026268.db2.gz XOVDUUIFJAWVHN-NXEZZACHSA-N 0 2 313.427 0.733 20 0 DCADLN CSCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131781296 764026272 /nfs/dbraw/zinc/02/62/72/764026272.db2.gz XOVDUUIFJAWVHN-NXEZZACHSA-N 0 2 313.427 0.733 20 0 DCADLN COCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131853119 764079945 /nfs/dbraw/zinc/07/99/45/764079945.db2.gz RPKRYPFVXSLUJM-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN COCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131853119 764079949 /nfs/dbraw/zinc/07/99/49/764079949.db2.gz RPKRYPFVXSLUJM-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN Cc1ccc(NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F)nn1 ZINC001124809603 768308255 /nfs/dbraw/zinc/30/82/55/768308255.db2.gz XZMODBBFNNDQED-PSASIEDQSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1ccc(NC[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001124809603 768308263 /nfs/dbraw/zinc/30/82/63/768308263.db2.gz XZMODBBFNNDQED-PSASIEDQSA-N 0 2 324.278 0.917 20 0 DCADLN O=C(NCCCNc1cccc[nH+]1)c1c[n-]n2c1nccc2=O ZINC001136960498 766614164 /nfs/dbraw/zinc/61/41/64/766614164.db2.gz XGHWONPARPFSMP-UHFFFAOYSA-N 0 2 312.333 0.650 20 0 DCADLN CC[C@H](C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149208669 767039280 /nfs/dbraw/zinc/03/92/80/767039280.db2.gz VMHOXROKWVUYTG-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1cc(C2CCN(C(=O)CC[C@@H]3NC(=O)NC3=O)CC2)[nH]n1 ZINC001137850021 768392672 /nfs/dbraw/zinc/39/26/72/768392672.db2.gz POUYLNIRFDFXLA-NSHDSACASA-N 0 2 319.365 0.412 20 0 DCADLN O=C([O-])COc1ccc(C[N@H+]2CC(=O)N3CCC[C@H]3C2)cc1 ZINC001138927290 768446041 /nfs/dbraw/zinc/44/60/41/768446041.db2.gz DYAPZJRLUIXGIT-ZDUSSCGKSA-N 0 2 304.346 0.957 20 0 DCADLN O=C([O-])COc1ccc(C[N@@H+]2CC(=O)N3CCC[C@H]3C2)cc1 ZINC001138927290 768446046 /nfs/dbraw/zinc/44/60/46/768446046.db2.gz DYAPZJRLUIXGIT-ZDUSSCGKSA-N 0 2 304.346 0.957 20 0 DCADLN COc1cccc(C[N@@H+]2CCCC[C@H]2C(N)=O)c1OCC(=O)[O-] ZINC001139268324 768464019 /nfs/dbraw/zinc/46/40/19/768464019.db2.gz UPEBTDHUUMMBBW-LBPRGKRZSA-N 0 2 322.361 0.998 20 0 DCADLN COc1cccc(C[N@H+]2CCCC[C@H]2C(N)=O)c1OCC(=O)[O-] ZINC001139268324 768464021 /nfs/dbraw/zinc/46/40/21/768464021.db2.gz UPEBTDHUUMMBBW-LBPRGKRZSA-N 0 2 322.361 0.998 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149504290 768767896 /nfs/dbraw/zinc/76/78/96/768767896.db2.gz GVUAKNSJMRQTMU-CGXZDELUSA-N 0 2 309.370 0.184 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149504294 768768100 /nfs/dbraw/zinc/76/81/00/768768100.db2.gz GVUAKNSJMRQTMU-LFJXOHPOSA-N 0 2 309.370 0.184 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@H]1C=CCC1 ZINC001230743599 768825066 /nfs/dbraw/zinc/82/50/66/768825066.db2.gz QRRLTJBNKBYKAK-RYUDHWBXSA-N 0 2 305.382 0.899 20 0 DCADLN CC[C@@H](C)OCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233728816 769434873 /nfs/dbraw/zinc/43/48/73/769434873.db2.gz CQASGTINHUFZPV-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CC[N@H+]1CCCC[C@@H]1C(=O)NCC[NH2+]Cc1nnnn1C(C)C ZINC001152445399 769557552 /nfs/dbraw/zinc/55/75/52/769557552.db2.gz HGZIGTRYLINEOE-CYBMUJFWSA-N 0 2 323.445 0.334 20 0 DCADLN O=C(C[C@@H]1CCCO1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095200506 769639060 /nfs/dbraw/zinc/63/90/60/769639060.db2.gz JMQMEXHGQLFQLL-RHYQMDGZSA-N 0 2 321.381 0.301 20 0 DCADLN O=C(C[C@@H]1CCCO1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095200506 769639065 /nfs/dbraw/zinc/63/90/65/769639065.db2.gz JMQMEXHGQLFQLL-RHYQMDGZSA-N 0 2 321.381 0.301 20 0 DCADLN CC(C)CNC(=O)[C@@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153356689 769796138 /nfs/dbraw/zinc/79/61/38/769796138.db2.gz YKQHNQCVAKZFGP-CQSZACIVSA-N 0 2 323.441 0.530 20 0 DCADLN COc1cc(C[N@@H+]2CCC(=O)N(CC[NH+](C)C)CC2)c(F)cn1 ZINC001235325807 769811699 /nfs/dbraw/zinc/81/16/99/769811699.db2.gz GWEIXTAUUGEVFU-UHFFFAOYSA-N 0 2 324.400 0.825 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCC2(C1)OCCO2 ZINC001153856900 769966182 /nfs/dbraw/zinc/96/61/82/769966182.db2.gz ZLCRBQAZTVGYAI-UHFFFAOYSA-N 0 2 301.302 0.512 20 0 DCADLN O=C(Cc1csc(C(F)(F)F)n1)NCc1n[nH]c(=O)[nH]1 ZINC001177285660 770391293 /nfs/dbraw/zinc/39/12/93/770391293.db2.gz YYMYWRCGOPCGAA-UHFFFAOYSA-N 0 2 307.257 0.845 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1(Cc2ccccn2)CCOCC1 ZINC001178156395 770652587 /nfs/dbraw/zinc/65/25/87/770652587.db2.gz UMGZUYLOFXMOLE-UHFFFAOYSA-N 0 2 317.349 0.561 20 0 DCADLN CC(C)(OC(=O)c1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001182723109 771533684 /nfs/dbraw/zinc/53/36/84/771533684.db2.gz QVOQHCBPPJDQOG-UHFFFAOYSA-N 0 2 304.306 0.762 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110348285 771770502 /nfs/dbraw/zinc/77/05/02/771770502.db2.gz YOIAJRIHGOXZST-WYUUTHIRSA-N 0 2 323.397 0.547 20 0 DCADLN Cc1ncncc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185565697 771929044 /nfs/dbraw/zinc/92/90/44/771929044.db2.gz BTMFULUDANKZCW-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cc1cncnc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185573681 771930317 /nfs/dbraw/zinc/93/03/17/771930317.db2.gz PIZOELOMOIOWEM-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cn1nc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)c2ccccc21 ZINC001160880466 772131599 /nfs/dbraw/zinc/13/15/99/772131599.db2.gz WJOLLDLBIPGUCC-UHFFFAOYSA-N 0 2 314.349 0.689 20 0 DCADLN CCOCCS(=O)(=O)Nc1nnc(C(F)(F)F)n1C ZINC001187243421 772152148 /nfs/dbraw/zinc/15/21/48/772152148.db2.gz JKAFBOVDJZDMMO-UHFFFAOYSA-N 0 2 302.278 0.612 20 0 DCADLN CCOCCS(=O)(=O)Nc1cc(C(N)=O)ccc1OC ZINC001187286157 772160586 /nfs/dbraw/zinc/16/05/86/772160586.db2.gz DKCVVWKLQQBYEN-UHFFFAOYSA-N 0 2 302.352 0.572 20 0 DCADLN CCOCCS(=O)(=O)Nc1cccc2c1OCC(=O)N2 ZINC001187283430 772160874 /nfs/dbraw/zinc/16/08/74/772160874.db2.gz GTOBRSZFANMDBN-UHFFFAOYSA-N 0 2 300.336 0.796 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cc(C(=O)OC)ccc1O ZINC001188392573 772296608 /nfs/dbraw/zinc/29/66/08/772296608.db2.gz NJDFWHLLBGFSDE-UHFFFAOYSA-N 0 2 317.319 0.484 20 0 DCADLN CC(=O)CCCC(=O)N1CC[C@H](N(C)Cc2n[nH]c(=O)[nH]2)C1 ZINC001188879310 772374944 /nfs/dbraw/zinc/37/49/44/772374944.db2.gz SUHWHNRJTRMNBL-NSHDSACASA-N 0 2 309.370 0.302 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccn(-c2ccc3ccccn32)n1 ZINC001188933049 772385616 /nfs/dbraw/zinc/38/56/16/772385616.db2.gz DNZSNBGKRBYNCJ-UHFFFAOYSA-N 0 2 323.316 0.879 20 0 DCADLN CCCCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110416132 772525134 /nfs/dbraw/zinc/52/51/34/772525134.db2.gz PLQBTVKLWNMDDM-UTUOFQBUSA-N 0 2 323.397 0.549 20 0 DCADLN CS(=O)(=O)c1ccc(NS(=O)(=O)c2ccncc2)cn1 ZINC001190854260 772687020 /nfs/dbraw/zinc/68/70/20/772687020.db2.gz MWEGRHGNMMBSRE-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]cn1C)NC(=O)c1n[nH]c(C)c1C ZINC001191130322 772730401 /nfs/dbraw/zinc/73/04/01/772730401.db2.gz VXIRKVGWSZXLRV-NSHDSACASA-N 0 2 305.338 0.274 20 0 DCADLN Cc1ncc(Br)c(C(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC001191243595 772741635 /nfs/dbraw/zinc/74/16/35/772741635.db2.gz RSNFGZWFILTFQP-UHFFFAOYSA-N 0 2 313.115 0.301 20 0 DCADLN O=C(N[C@@H]1COCCC12OCCO2)c1cc(F)c(O)cc1F ZINC001192877606 772968151 /nfs/dbraw/zinc/96/81/51/772968151.db2.gz VLFWXYQSNWZNNG-GFCCVEGCSA-N 0 2 315.272 0.932 20 0 DCADLN CS(=O)(=O)N1CC(NC(=O)c2ccc(O)c(F)c2F)C1 ZINC001192842516 772968723 /nfs/dbraw/zinc/96/87/23/772968723.db2.gz KGMLIVCKESYFIB-UHFFFAOYSA-N 0 2 306.290 0.044 20 0 DCADLN CC(C)(C)OC(=O)Nc1cn[nH]c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001193000851 772986938 /nfs/dbraw/zinc/98/69/38/772986938.db2.gz DWYGOJSDNNXSIH-UHFFFAOYSA-N 0 2 323.313 0.510 20 0 DCADLN Cc1nnc(CN2C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001207029681 773163587 /nfs/dbraw/zinc/16/35/87/773163587.db2.gz NOPFPUAAABFMSR-YNEQXMIYSA-N 0 2 323.294 0.950 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(F)(F)F ZINC001208344746 773439639 /nfs/dbraw/zinc/43/96/39/773439639.db2.gz GJAGSEKYVSFBOP-GJMOJQLCSA-N 0 2 321.303 0.645 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(F)(F)F ZINC001208344746 773439645 /nfs/dbraw/zinc/43/96/45/773439645.db2.gz GJAGSEKYVSFBOP-GJMOJQLCSA-N 0 2 321.303 0.645 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C)C(F)(F)F ZINC001208344746 773439650 /nfs/dbraw/zinc/43/96/50/773439650.db2.gz GJAGSEKYVSFBOP-GJMOJQLCSA-N 0 2 321.303 0.645 20 0 DCADLN Cn1cnnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001114309630 773493120 /nfs/dbraw/zinc/49/31/20/773493120.db2.gz ZPKORKRGIZQZEP-WDQPUEAGSA-N 0 2 321.278 0.262 20 0 DCADLN Cn1cnnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(F)(F)F ZINC001114309630 773493124 /nfs/dbraw/zinc/49/31/24/773493124.db2.gz ZPKORKRGIZQZEP-WDQPUEAGSA-N 0 2 321.278 0.262 20 0 DCADLN CC(C)NC(=O)C[NH+]1CCCN(C(=O)C[N@H+](C)C2CCC2)CC1 ZINC001196170787 773551675 /nfs/dbraw/zinc/55/16/75/773551675.db2.gz BQXTUPVENYHUAP-UHFFFAOYSA-N 0 2 324.469 0.530 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2cccc(Br)n2)n1 ZINC001196274919 773573437 /nfs/dbraw/zinc/57/34/37/773573437.db2.gz SFVVJRYERNBHQP-UHFFFAOYSA-N 0 2 318.156 0.773 20 0 DCADLN COC[C@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001209895942 773657522 /nfs/dbraw/zinc/65/75/22/773657522.db2.gz GZYKMTIDLJTCMZ-GMTAPVOTSA-N 0 2 311.386 0.119 20 0 DCADLN COC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001209895942 773657527 /nfs/dbraw/zinc/65/75/27/773657527.db2.gz GZYKMTIDLJTCMZ-GMTAPVOTSA-N 0 2 311.386 0.119 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccc3c(c2)C(=O)NC3)n1C ZINC001198391948 773922983 /nfs/dbraw/zinc/92/29/83/773922983.db2.gz LTACUWUNDZIFGW-UHFFFAOYSA-N 0 2 306.347 0.773 20 0 DCADLN O=C([O-])c1ccccc1S(=O)(=O)N1CCc2[nH+]ccn2CC1 ZINC001198766540 773977641 /nfs/dbraw/zinc/97/76/41/773977641.db2.gz KZJHTYBHMZTPSE-UHFFFAOYSA-N 0 2 321.358 0.828 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2ccc(CO)nc2)c1 ZINC001201843586 774564931 /nfs/dbraw/zinc/56/49/31/774564931.db2.gz WHLYSJGOWNRYTL-UHFFFAOYSA-N 0 2 323.330 0.556 20 0 DCADLN COC(=O)CCCS(=O)(=O)Nc1ncccc1C(=O)OC ZINC001201903759 774574333 /nfs/dbraw/zinc/57/43/33/774574333.db2.gz GJCKLGQADFBGRG-UHFFFAOYSA-N 0 2 316.335 0.563 20 0 DCADLN CC[C@@H](C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC(C)C)C[C@H]21)[NH+](C)C ZINC001221815789 775202977 /nfs/dbraw/zinc/20/29/77/775202977.db2.gz BYBCCSMBQMWSQC-QLFBSQMISA-N 0 2 324.469 0.384 20 0 DCADLN COCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCn3cc[nH+]c3C1)C2 ZINC001095645713 775281624 /nfs/dbraw/zinc/28/16/24/775281624.db2.gz DJJHNXMGDYHCCL-XGUBFFRZSA-N 0 2 318.421 0.813 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1(CF)CCC1 ZINC001111048586 775453125 /nfs/dbraw/zinc/45/31/25/775453125.db2.gz LDQSVJVZOWVNSX-MXWKQRLJSA-N 0 2 323.372 0.872 20 0 DCADLN CC(C)=CCCO[C@@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001224715799 775598458 /nfs/dbraw/zinc/59/84/58/775598458.db2.gz ZDSZBFALTFJRRA-ZDUSSCGKSA-N 0 2 307.412 0.948 20 0 DCADLN CCCSCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226317886 775798779 /nfs/dbraw/zinc/79/87/79/775798779.db2.gz JZTAGNGEGNXLMX-UHFFFAOYSA-N 0 2 313.427 0.734 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](Oc2[nH]c(=O)nc3nc[nH]c32)CO1 ZINC001227069747 775922911 /nfs/dbraw/zinc/92/29/11/775922911.db2.gz IVWSNULYNQTPAN-YUMQZZPRSA-N 0 2 308.294 0.548 20 0 DCADLN COCC1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCC1 ZINC001228332682 776071715 /nfs/dbraw/zinc/07/17/15/776071715.db2.gz KHCULPPSNGZOMK-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)c1ccoc1 ZINC001041462035 777297989 /nfs/dbraw/zinc/29/79/89/777297989.db2.gz LNWXCBPCSZBCGC-MRVPVSSYSA-N 0 2 324.230 0.483 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccoc1 ZINC001041462035 777297992 /nfs/dbraw/zinc/29/79/92/777297992.db2.gz LNWXCBPCSZBCGC-MRVPVSSYSA-N 0 2 324.230 0.483 20 0 DCADLN Cc1csc(CCC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001600657615 1168231094 /nfs/dbraw/zinc/23/10/94/1168231094.db2.gz GKVRDCUBXWSEKE-JTQLQIEISA-N 0 2 308.363 0.850 20 0 DCADLN NS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(/C=C/C(=O)[O-])o2)C1 ZINC001601192204 1168644653 /nfs/dbraw/zinc/64/46/53/1168644653.db2.gz IXHXJIWCDXGFSE-BTDICHCPSA-N 0 2 314.363 0.630 20 0 DCADLN NS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(/C=C/C(=O)[O-])o2)C1 ZINC001601192204 1168644663 /nfs/dbraw/zinc/64/46/63/1168644663.db2.gz IXHXJIWCDXGFSE-BTDICHCPSA-N 0 2 314.363 0.630 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)N2CC(n3cc[nH+]c3)C2)CCCC1 ZINC001601709732 1168822309 /nfs/dbraw/zinc/82/23/09/1168822309.db2.gz GKTWKFFMJVWTKB-UHFFFAOYSA-N 0 2 313.379 0.715 20 0 DCADLN O=C([O-])[C@@H]1CCCCC[C@@H]1NS(=O)(=O)CCn1cc[nH+]c1 ZINC001602172446 1169016017 /nfs/dbraw/zinc/01/60/17/1169016017.db2.gz PEDGHMLGLBIGSC-NEPJUHHUSA-N 0 2 315.395 0.836 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@H](C(F)(F)F)C1 ZINC001602219619 1169034288 /nfs/dbraw/zinc/03/42/88/1169034288.db2.gz RRKNQOSTAYKIPG-IVZWLZJFSA-N 0 2 324.299 0.307 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@H](C(F)(F)F)C1 ZINC001602219619 1169034299 /nfs/dbraw/zinc/03/42/99/1169034299.db2.gz RRKNQOSTAYKIPG-IVZWLZJFSA-N 0 2 324.299 0.307 20 0 DCADLN CC(=O)N1CCC[N@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC001603020296 1169232256 /nfs/dbraw/zinc/23/22/56/1169232256.db2.gz GLOAXVKRXVMPFW-ZDUSSCGKSA-N 0 2 313.398 0.156 20 0 DCADLN CC(C)[N@H+](CCCNC(=O)c1ccc2[nH]nnc2c1)CC(N)=O ZINC001317709301 945169559 /nfs/dbraw/zinc/16/95/59/945169559.db2.gz VZYZMJIUGKPZTB-UHFFFAOYSA-N 0 2 318.381 0.274 20 0 DCADLN Cc1ncsc1CCC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492483913 945175247 /nfs/dbraw/zinc/17/52/47/945175247.db2.gz DUCFBPVLHKCKIC-UHFFFAOYSA-N 0 2 324.410 0.456 20 0 DCADLN Cc1ncsc1CCC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492483913 945175250 /nfs/dbraw/zinc/17/52/50/945175250.db2.gz DUCFBPVLHKCKIC-UHFFFAOYSA-N 0 2 324.410 0.456 20 0 DCADLN C/C(=C\C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001317924398 945217075 /nfs/dbraw/zinc/21/70/75/945217075.db2.gz SHIKBIXZFJSCMI-OFFHKIPUSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C/C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001317924397 945217758 /nfs/dbraw/zinc/21/77/58/945217758.db2.gz SHIKBIXZFJSCMI-MQGYJPLLSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@H](C(=O)NC1CC1)[N@@H+]1CCC[C@@H]1CNC(=O)C[NH+]1CCCC1 ZINC001481071030 939548986 /nfs/dbraw/zinc/54/89/86/939548986.db2.gz LADDBVNUXIWKOW-UKRRQHHQSA-N 0 2 322.453 0.330 20 0 DCADLN Cc1cc[nH]c1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481166116 939591430 /nfs/dbraw/zinc/59/14/30/939591430.db2.gz FNLAYCXOQNKSGT-UHFFFAOYSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1cc[nH]c1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481166116 939591432 /nfs/dbraw/zinc/59/14/32/939591432.db2.gz FNLAYCXOQNKSGT-UHFFFAOYSA-N 0 2 304.354 0.541 20 0 DCADLN CO[C@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001493365315 939711445 /nfs/dbraw/zinc/71/14/45/939711445.db2.gz FOXZZTSEEISSTO-LBPRGKRZSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001493365315 939711448 /nfs/dbraw/zinc/71/14/48/939711448.db2.gz FOXZZTSEEISSTO-LBPRGKRZSA-N 0 2 309.370 0.016 20 0 DCADLN Cn1nncc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001481626719 939978632 /nfs/dbraw/zinc/97/86/32/939978632.db2.gz SVLFCEUSKFQQNE-VIFPVBQESA-N 0 2 309.267 0.264 20 0 DCADLN Cn1nncc1CN1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001481626719 939978637 /nfs/dbraw/zinc/97/86/37/939978637.db2.gz SVLFCEUSKFQQNE-VIFPVBQESA-N 0 2 309.267 0.264 20 0 DCADLN Cc1ccncc1CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481630462 939981460 /nfs/dbraw/zinc/98/14/60/939981460.db2.gz JRCXLIWMLLYHNT-UHFFFAOYSA-N 0 2 316.365 0.004 20 0 DCADLN CCCSCC(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001270548302 940921677 /nfs/dbraw/zinc/92/16/77/940921677.db2.gz IYXGXEJNUIUUHK-CYBMUJFWSA-N 0 2 313.427 0.734 20 0 DCADLN COCC(C)(C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409061352 941301861 /nfs/dbraw/zinc/30/18/61/941301861.db2.gz HUXHYTDLBRZSBL-MRVPVSSYSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(C)(C)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409061352 941301862 /nfs/dbraw/zinc/30/18/62/941301862.db2.gz HUXHYTDLBRZSBL-MRVPVSSYSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N[C@@H]2C[N@@H+](CC3CC3)C[C@@H]2O)c[nH+]1 ZINC001083563207 941943881 /nfs/dbraw/zinc/94/38/81/941943881.db2.gz DMPZUDQRQMLTGV-KGLIPLIRSA-N 0 2 306.410 0.498 20 0 DCADLN Cn1cncc1C[NH2+]C[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001483589845 942447484 /nfs/dbraw/zinc/44/74/84/942447484.db2.gz IYPWUKKQSZZVAM-CQSZACIVSA-N 0 2 302.382 0.370 20 0 DCADLN COCC(=O)NC[C@@H](CC(C)C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001409779070 942472499 /nfs/dbraw/zinc/47/24/99/942472499.db2.gz IKPGDRNUIUFJDL-GFCCVEGCSA-N 0 2 310.398 0.554 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(F)F)C(=O)C(F)C(F)(F)F ZINC001413268798 942595423 /nfs/dbraw/zinc/59/54/23/942595423.db2.gz DHSJONFWAQCNNG-RITPCOANSA-N 0 2 324.221 0.478 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(F)F)C(=O)[C@H](F)C(F)(F)F ZINC001413268798 942595429 /nfs/dbraw/zinc/59/54/29/942595429.db2.gz DHSJONFWAQCNNG-RITPCOANSA-N 0 2 324.221 0.478 20 0 DCADLN COC(=O)[C@@H](CO)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001413318021 942627062 /nfs/dbraw/zinc/62/70/62/942627062.db2.gz FLDOXULCIALBDT-ZCFIWIBFSA-N 0 2 324.742 0.018 20 0 DCADLN CC(=O)N1CCC[C@](CO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001055976607 943156068 /nfs/dbraw/zinc/15/60/68/943156068.db2.gz JLTLGNSHWQMEKP-SCZZXKLOSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N1CCC[C@](CO)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001055976607 943156075 /nfs/dbraw/zinc/15/60/75/943156075.db2.gz JLTLGNSHWQMEKP-SCZZXKLOSA-N 0 2 300.252 0.376 20 0 DCADLN CSCC(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056904346 943476372 /nfs/dbraw/zinc/47/63/72/943476372.db2.gz VNVPLXBVYNONLP-MRVPVSSYSA-N 0 2 320.308 0.187 20 0 DCADLN CSCC(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001056904346 943476377 /nfs/dbraw/zinc/47/63/77/943476377.db2.gz VNVPLXBVYNONLP-MRVPVSSYSA-N 0 2 320.308 0.187 20 0 DCADLN Cc1cnc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c(C)c1 ZINC001413669504 943560176 /nfs/dbraw/zinc/56/01/76/943560176.db2.gz CDLVZDIBPYZEAF-UHFFFAOYSA-N 0 2 323.378 0.291 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)COc2ccccc2)[nH]1 ZINC001413673406 943562859 /nfs/dbraw/zinc/56/28/59/943562859.db2.gz RLYGUPITTQMWQA-UHFFFAOYSA-N 0 2 324.362 0.045 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)[C@H](C)[NH2+]Cc2csnn2)c1[O-] ZINC001485140495 943726897 /nfs/dbraw/zinc/72/68/97/943726897.db2.gz RKFXIWBIKVDNSC-NKWVEPMBSA-N 0 2 310.383 0.572 20 0 DCADLN CCCSCC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485281366 943786045 /nfs/dbraw/zinc/78/60/45/943786045.db2.gz OAAINMDTRGRJPB-SECBINFHSA-N 0 2 301.416 0.590 20 0 DCADLN CCCSCC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485281366 943786048 /nfs/dbraw/zinc/78/60/48/943786048.db2.gz OAAINMDTRGRJPB-SECBINFHSA-N 0 2 301.416 0.590 20 0 DCADLN C[C@@H](NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)(C)C ZINC001413944274 944251576 /nfs/dbraw/zinc/25/15/76/944251576.db2.gz LTANALSMSOFCRQ-MRVPVSSYSA-N 0 2 317.415 0.583 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001272850158 944278324 /nfs/dbraw/zinc/27/83/24/944278324.db2.gz DTRZRCAHRWEQLI-SDKXAQGSSA-N 0 2 309.370 0.184 20 0 DCADLN O=C(Cc1csc(-c2cccnc2)n1)NCc1n[nH]c(=O)[nH]1 ZINC001318921711 945464383 /nfs/dbraw/zinc/46/43/83/945464383.db2.gz VPRWPKUSWXBPDI-UHFFFAOYSA-N 0 2 316.346 0.888 20 0 DCADLN COCCC(=O)N[C@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001487137021 945539460 /nfs/dbraw/zinc/53/94/60/945539460.db2.gz DDZYGTUCXYBNNE-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N[C@H](C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001487137021 945539470 /nfs/dbraw/zinc/53/94/70/945539470.db2.gz DDZYGTUCXYBNNE-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N[C@H]1CN(C(=O)C(F)C(F)(F)F)CC1(C)C ZINC001487246615 945624582 /nfs/dbraw/zinc/62/45/82/945624582.db2.gz QTJKCOFQLNWBEW-CBAPKCEASA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CC1(C)C ZINC001487246615 945624588 /nfs/dbraw/zinc/62/45/88/945624588.db2.gz QTJKCOFQLNWBEW-CBAPKCEASA-N 0 2 314.279 0.886 20 0 DCADLN Cc1cnc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)cn1 ZINC001410145956 945946766 /nfs/dbraw/zinc/94/67/66/945946766.db2.gz CBULZDSUSCFUCY-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cnc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001410145956 945946777 /nfs/dbraw/zinc/94/67/77/945946777.db2.gz CBULZDSUSCFUCY-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cnc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)cn1 ZINC001410145969 945948006 /nfs/dbraw/zinc/94/80/06/945948006.db2.gz CBULZDSUSCFUCY-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cnc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001410145969 945948009 /nfs/dbraw/zinc/94/80/09/945948009.db2.gz CBULZDSUSCFUCY-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc(-n2cncn2)nc1 ZINC001321447438 946335130 /nfs/dbraw/zinc/33/51/30/946335130.db2.gz CJLZVONZZJZMNK-UHFFFAOYSA-N 0 2 317.334 0.307 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cnc3c(cnn3C)c2)no1 ZINC001255244174 946481683 /nfs/dbraw/zinc/48/16/83/946481683.db2.gz YMGMQFWEZHMYMQ-UHFFFAOYSA-N 0 2 308.323 0.715 20 0 DCADLN CC(=O)NCCCCCC(=O)NCCc1n[nH]c(=S)o1 ZINC001255242881 946481999 /nfs/dbraw/zinc/48/19/99/946481999.db2.gz KLTXLOPIKGYJMA-UHFFFAOYSA-N 0 2 300.384 0.714 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccnnc1)C(F)C(F)(F)F ZINC001410573925 946580793 /nfs/dbraw/zinc/58/07/93/946580793.db2.gz NAAJTAJHUICRHT-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccnnc1)[C@H](F)C(F)(F)F ZINC001410573925 946580802 /nfs/dbraw/zinc/58/08/02/946580802.db2.gz NAAJTAJHUICRHT-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2cc(F)ccc2F)S1 ZINC001258948499 946876344 /nfs/dbraw/zinc/87/63/44/946876344.db2.gz UTZQIVWJURPUMY-QMMMGPOBSA-N 0 2 308.287 0.552 20 0 DCADLN NC(=O)c1ncc(NS(=O)(=O)c2ccc3c(c2)CCO3)cn1 ZINC001259350252 946948177 /nfs/dbraw/zinc/94/81/77/946948177.db2.gz BIOSQKJOHINDLM-UHFFFAOYSA-N 0 2 320.330 0.311 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)CCc2ccccc2)S1 ZINC001259739620 946979686 /nfs/dbraw/zinc/97/96/86/946979686.db2.gz YYXAOQLIMHSVLV-JTQLQIEISA-N 0 2 300.361 0.458 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(OC3COC3)nc2)cn1 ZINC001259820580 946989204 /nfs/dbraw/zinc/98/92/04/946989204.db2.gz DRMPXJOMVVLYBM-UHFFFAOYSA-N 0 2 310.335 0.394 20 0 DCADLN COC(=O)Cc1ccccc1NS(=O)(=O)c1cnn(C)c1 ZINC001259826094 946991460 /nfs/dbraw/zinc/99/14/60/946991460.db2.gz OYMXTYVUMVXCJI-UHFFFAOYSA-N 0 2 309.347 0.936 20 0 DCADLN COC(=O)c1cc(O)cc(NS(=O)(=O)c2cnn(C)c2)c1 ZINC001259827363 946991747 /nfs/dbraw/zinc/99/17/47/946991747.db2.gz USNZEWFUIBEFKO-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cc(C(=O)OC)nn2C)cn1 ZINC001259832778 946995040 /nfs/dbraw/zinc/99/50/40/946995040.db2.gz XTBBWUZTLAMTTG-UHFFFAOYSA-N 0 2 313.339 0.224 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(C(N)=O)c(O)c2)cn1 ZINC001259839859 946998129 /nfs/dbraw/zinc/99/81/29/946998129.db2.gz VMGYZMHWGBSVEX-UHFFFAOYSA-N 0 2 310.335 0.508 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC001323299358 947023227 /nfs/dbraw/zinc/02/32/27/947023227.db2.gz DLHQZPJNOWVPPD-LBPRGKRZSA-N 0 2 306.366 0.480 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc3c(c2)CC(=O)N3C)c1 ZINC001260153045 947072360 /nfs/dbraw/zinc/07/23/60/947072360.db2.gz QFXGHJGMWCIZTE-UHFFFAOYSA-N 0 2 306.347 0.740 20 0 DCADLN O=C(NCCCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001323955954 947266509 /nfs/dbraw/zinc/26/65/09/947266509.db2.gz VVVNIENHRZRHQJ-SECBINFHSA-N 0 2 314.279 0.624 20 0 DCADLN O=C(NCCCO)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001323955954 947266521 /nfs/dbraw/zinc/26/65/21/947266521.db2.gz VVVNIENHRZRHQJ-SECBINFHSA-N 0 2 314.279 0.624 20 0 DCADLN Cc1nn(C)c2ncc(C[NH2+]Cc3nc(C[NH3+])cc(=O)[nH]3)cc12 ZINC001261837118 947454322 /nfs/dbraw/zinc/45/43/22/947454322.db2.gz UXQYMJIQDVEWNR-UHFFFAOYSA-N 0 2 313.365 0.521 20 0 DCADLN C[C@H](CCCNC(=O)CC(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001487507931 947716659 /nfs/dbraw/zinc/71/66/59/947716659.db2.gz NLJMSBJVHAUFKT-HZGVNTEJSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CCCNC(=O)CC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001487507931 947716653 /nfs/dbraw/zinc/71/66/53/947716653.db2.gz NLJMSBJVHAUFKT-HZGVNTEJSA-N 0 2 315.267 0.163 20 0 DCADLN O=C(c1ccc(-c2nn[nH]n2)o1)N1CCC[C@H](c2nc[nH]n2)C1 ZINC001570920331 948598408 /nfs/dbraw/zinc/59/84/08/948598408.db2.gz JTUNUAFYOZZCBC-QMMMGPOBSA-N 0 2 314.309 0.598 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCC(F)F ZINC001488641862 948993692 /nfs/dbraw/zinc/99/36/92/948993692.db2.gz AJRLWEOXOIBSOF-MRVPVSSYSA-N 0 2 303.313 0.588 20 0 DCADLN Cc1csc(=O)n1CCC(=O)N[C@H](C)c1nn(C)cc1O ZINC001364393553 949177337 /nfs/dbraw/zinc/17/73/37/949177337.db2.gz HMRSZYATIOUJAD-SECBINFHSA-N 0 2 310.379 0.925 20 0 DCADLN CC(=O)N[C@H](CC1CCC1)C(=O)N[C@H](C)c1nn(C)cc1O ZINC001364394701 949183936 /nfs/dbraw/zinc/18/39/36/949183936.db2.gz FKJYTZDWKGWEQF-BXKDBHETSA-N 0 2 308.382 0.998 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CC(c2cccnc2)=NO1)c1nn(C)cc1O ZINC001364402186 949195940 /nfs/dbraw/zinc/19/59/40/949195940.db2.gz SRGRGWHVZYSUSF-NOZJJQNGSA-N 0 2 315.333 0.891 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001364456773 949342344 /nfs/dbraw/zinc/34/23/44/949342344.db2.gz LBWJLMZXQWOHSB-BQBZGAKWSA-N 0 2 307.276 0.542 20 0 DCADLN CC(C)n1nnc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001364457331 949343366 /nfs/dbraw/zinc/34/33/66/949343366.db2.gz YECDPTYQGPWQBM-QMMMGPOBSA-N 0 2 321.345 0.489 20 0 DCADLN O=C([O-])c1ccc(C[NH2+]Cc2nnc3n2CCNC3=O)s1 ZINC001595232497 949363319 /nfs/dbraw/zinc/36/33/19/949363319.db2.gz KXLRVQZQSGVQIX-UHFFFAOYSA-N 0 2 307.335 0.071 20 0 DCADLN O=C(NCc1ccccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364476189 949381341 /nfs/dbraw/zinc/38/13/41/949381341.db2.gz QHQAHDOYCNPHPO-JTQLQIEISA-N 0 2 302.338 0.995 20 0 DCADLN O=C([O-])c1cccc(C[NH+]2CCN(Cc3nnc[nH]3)CC2)c1 ZINC001595242951 949482639 /nfs/dbraw/zinc/48/26/39/949482639.db2.gz FSUBGTJKZJXCCV-UHFFFAOYSA-N 0 2 301.350 0.821 20 0 DCADLN O=C([O-])c1cccc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)c1 ZINC001595242951 949482661 /nfs/dbraw/zinc/48/26/61/949482661.db2.gz FSUBGTJKZJXCCV-UHFFFAOYSA-N 0 2 301.350 0.821 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1C[C@@H](CO)[C@H](CCO)C1 ZINC001364541273 949526097 /nfs/dbraw/zinc/52/60/97/949526097.db2.gz BXUYADMMKSRSSE-BDAKNGLRSA-N 0 2 301.289 0.733 20 0 DCADLN CCCc1nsc(NCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001364588890 949594404 /nfs/dbraw/zinc/59/44/04/949594404.db2.gz UFRPPZWZAFFHPB-UHFFFAOYSA-N 0 2 316.412 0.765 20 0 DCADLN CO[C@@H](CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001364629665 949663853 /nfs/dbraw/zinc/66/38/53/949663853.db2.gz QUOPKLXHKDUDHL-MNOVXSKESA-N 0 2 309.370 0.824 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC(n3cc[nH+]c3)CC2)cn1 ZINC001595266837 949757415 /nfs/dbraw/zinc/75/74/15/949757415.db2.gz FNBUBPNDTJRBLS-UHFFFAOYSA-N 0 2 301.306 0.849 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1cn(C)nn1 ZINC001329717403 949814368 /nfs/dbraw/zinc/81/43/68/949814368.db2.gz YSMXLJANZOOHEL-UHFFFAOYSA-N 0 2 304.335 0.798 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC2(C3(O)CCC3)CCC2)S1 ZINC001364803288 949970665 /nfs/dbraw/zinc/97/06/65/949970665.db2.gz ZRJFBULBKGRARX-VIFPVBQESA-N 0 2 311.407 0.744 20 0 DCADLN COC(=O)[C@@H](O)CNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001364815929 949999843 /nfs/dbraw/zinc/99/98/43/949999843.db2.gz QIDRTPLRQVBHGH-LURJTMIESA-N 0 2 324.742 0.018 20 0 DCADLN O=C([O-])C1(C(=O)NC2CC[NH+]([C@H]3CCOC3=O)CC2)CC=CC1 ZINC001595296095 950141002 /nfs/dbraw/zinc/14/10/02/950141002.db2.gz SWCQEARKJJBGSX-LBPRGKRZSA-N 0 2 322.361 0.304 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@@H+](C)Cc2nccc(C)n2)c1[O-] ZINC001490179198 950374448 /nfs/dbraw/zinc/37/44/48/950374448.db2.gz MYIFHNRHYQHIGF-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@H+](C)Cc2nccc(C)n2)c1[O-] ZINC001490179198 950374466 /nfs/dbraw/zinc/37/44/66/950374466.db2.gz MYIFHNRHYQHIGF-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN Cc1c(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nnn1C ZINC001365063312 950445233 /nfs/dbraw/zinc/44/52/33/950445233.db2.gz KSTZIYQNTNCIKA-MRVPVSSYSA-N 0 2 306.330 0.359 20 0 DCADLN C[C@@H](NC(=O)c1ccoc1)C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001365123306 950560695 /nfs/dbraw/zinc/56/06/95/950560695.db2.gz ZYGLBGJGMXRCJD-DTWKUNHWSA-N 0 2 306.322 0.714 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)NCCCCC(=O)[O-] ZINC000308624606 950649635 /nfs/dbraw/zinc/64/96/35/950649635.db2.gz XMDWZKPOSHXGIQ-UHFFFAOYSA-N 0 2 301.387 0.651 20 0 DCADLN O=C(c1cc(F)cc(Cl)c1O)N1CC[C@@H](O)[C@@H](CO)C1 ZINC001365197240 950714473 /nfs/dbraw/zinc/71/44/73/950714473.db2.gz HBGBODCSSTYEGW-RDDDGLTNSA-N 0 2 303.717 1.000 20 0 DCADLN O=C([O-])CCC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000312021819 950752420 /nfs/dbraw/zinc/75/24/20/950752420.db2.gz LDIPTHIGIALRJT-AWEZNQCLSA-N 0 2 306.362 0.868 20 0 DCADLN O=C([O-])CCC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000312021819 950752434 /nfs/dbraw/zinc/75/24/34/950752434.db2.gz LDIPTHIGIALRJT-AWEZNQCLSA-N 0 2 306.362 0.868 20 0 DCADLN C=C/C(C)=C\CC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001280412183 950847307 /nfs/dbraw/zinc/84/73/07/950847307.db2.gz IDEZJUHLECFMNX-MCWZUMHDSA-N 0 2 321.381 0.350 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](CC(=O)NC(=O)NCc1ccco1)C1CC1 ZINC000383154087 951239459 /nfs/dbraw/zinc/23/94/59/951239459.db2.gz MMYHBJMNJXKJGH-VIFPVBQESA-N 0 2 309.322 0.543 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](CC(=O)NC(=O)NCc1ccco1)C1CC1 ZINC000383154087 951239464 /nfs/dbraw/zinc/23/94/64/951239464.db2.gz MMYHBJMNJXKJGH-VIFPVBQESA-N 0 2 309.322 0.543 20 0 DCADLN CC(C)=CC[N@H+](C)C[C@H](O)CN(C)C(=O)c1[nH]nc(C)c1[O-] ZINC001332635169 951736647 /nfs/dbraw/zinc/73/66/47/951736647.db2.gz WOIMWOJJQNSLKT-LBPRGKRZSA-N 0 2 310.398 0.755 20 0 DCADLN O=C([O-])COCC(=O)N1CC[NH2+][C@@H](c2ccc(Cl)cc2)C1 ZINC001595105988 951877102 /nfs/dbraw/zinc/87/71/02/951877102.db2.gz QOUPGJFTQSEMGV-GFCCVEGCSA-N 0 2 312.753 0.914 20 0 DCADLN O=S(=O)(N[C@@H](CCO)C(F)(F)F)c1cccnc1F ZINC001333463893 952071790 /nfs/dbraw/zinc/07/17/90/952071790.db2.gz IPEUDIOJWOLZNI-ZETCQYMHSA-N 0 2 302.249 0.812 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@H](C[C@H]3CCCOC3)C2=O)[nH]1 ZINC001333584219 952108531 /nfs/dbraw/zinc/10/85/31/952108531.db2.gz XGXPHZCKBPHMAK-ZJUUUORDSA-N 0 2 323.353 0.188 20 0 DCADLN CC(=O)c1ccc(O)c(C(=O)N2C[C@@H]3COC[C@H](C2)N3C)c1 ZINC001275525644 952229613 /nfs/dbraw/zinc/22/96/13/952229613.db2.gz UKONNTKXHRWPDK-BETUJISGSA-N 0 2 304.346 0.750 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CCC2(CCC2)O1 ZINC001412272544 952725499 /nfs/dbraw/zinc/72/54/99/952725499.db2.gz KNWAHPWUEMTJKI-SNVBAGLBSA-N 0 2 317.349 0.289 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cccnc1C(F)(F)F ZINC001412405626 952781323 /nfs/dbraw/zinc/78/13/23/952781323.db2.gz FOQXJRBEPDHFHN-UHFFFAOYSA-N 0 2 300.196 0.747 20 0 DCADLN COC[C@H]1CCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412458166 952814477 /nfs/dbraw/zinc/81/44/77/952814477.db2.gz XDIHYOKBWIYLDD-GFCCVEGCSA-N 0 2 318.333 0.517 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C23CCC(CC2)C3)[nH]1 ZINC001412682969 952990905 /nfs/dbraw/zinc/99/09/05/952990905.db2.gz DFRQQRKNRYUITA-UHFFFAOYSA-N 0 2 312.395 0.546 20 0 DCADLN Cc1ccncc1C(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001412724863 953021827 /nfs/dbraw/zinc/02/18/27/953021827.db2.gz JAYDUQXPPAIKES-GFCCVEGCSA-N 0 2 315.377 0.933 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ncn(Cc2cccnc2)n1 ZINC001338650570 953040379 /nfs/dbraw/zinc/04/03/79/953040379.db2.gz AQMVLFYHFZLXPN-UHFFFAOYSA-N 0 2 319.350 0.256 20 0 DCADLN O=C([O-])[C@@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC001339191817 953079941 /nfs/dbraw/zinc/07/99/41/953079941.db2.gz DJLCFSQIHIWPSF-OYADATRGSA-N 0 2 322.336 0.956 20 0 DCADLN O=C([O-])[C@@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC001339191820 953080242 /nfs/dbraw/zinc/08/02/42/953080242.db2.gz DJLCFSQIHIWPSF-YLSAJCSVSA-N 0 2 322.336 0.956 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)[C@H](C)CNC(=O)CC(N)=O ZINC001340059727 953141492 /nfs/dbraw/zinc/14/14/92/953141492.db2.gz YONHNUFPAYUDFU-HZGVNTEJSA-N 0 2 315.267 0.115 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)[C@H](C)CNC(=O)CC(N)=O ZINC001340059727 953141494 /nfs/dbraw/zinc/14/14/94/953141494.db2.gz YONHNUFPAYUDFU-HZGVNTEJSA-N 0 2 315.267 0.115 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001365892048 953369156 /nfs/dbraw/zinc/36/91/56/953369156.db2.gz RVOWABOEXJFTJE-MXWKQRLJSA-N 0 2 311.386 0.404 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001365892048 953369165 /nfs/dbraw/zinc/36/91/65/953369165.db2.gz RVOWABOEXJFTJE-MXWKQRLJSA-N 0 2 311.386 0.404 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001365942072 953439075 /nfs/dbraw/zinc/43/90/75/953439075.db2.gz JGGDGERPVWHICE-VHSXEESVSA-N 0 2 313.427 0.733 20 0 DCADLN CC(C)[NH+](C)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)N2CCC2)C1 ZINC001365953904 953462431 /nfs/dbraw/zinc/46/24/31/953462431.db2.gz XQYBGANNWBMECR-CQSZACIVSA-N 0 2 310.442 0.140 20 0 DCADLN CCO[C@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001365989269 953531869 /nfs/dbraw/zinc/53/18/69/953531869.db2.gz YYPXMLWGNNFOAM-PWSUYJOCSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001366011150 953577238 /nfs/dbraw/zinc/57/72/38/953577238.db2.gz WLAYFDOQBISCRD-MNOVXSKESA-N 0 2 319.365 0.988 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC001589289301 953587932 /nfs/dbraw/zinc/58/79/32/953587932.db2.gz IEWNVSFVLCMVED-ZDEQEGDKSA-N 0 2 311.382 0.354 20 0 DCADLN C[C@H](NC(=O)C=Cc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001282683518 953646392 /nfs/dbraw/zinc/64/63/92/953646392.db2.gz XDRIIEVOCNIBDF-LWTINBJPSA-N 0 2 317.349 0.753 20 0 DCADLN C/C(=C/C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001282693521 953649012 /nfs/dbraw/zinc/64/90/12/953649012.db2.gz OXDNNOUSAKMWKI-HYHWUIIZSA-N 0 2 305.382 0.803 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cnn1 ZINC001282986730 953880264 /nfs/dbraw/zinc/88/02/64/953880264.db2.gz UAEVHQBDMBGRIK-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cnn1 ZINC001282986730 953880280 /nfs/dbraw/zinc/88/02/80/953880280.db2.gz UAEVHQBDMBGRIK-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN COC(=O)c1ccccc1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC001347202045 954045281 /nfs/dbraw/zinc/04/52/81/954045281.db2.gz CSWZAHMOZQLOFH-MRVPVSSYSA-N 0 2 305.290 0.401 20 0 DCADLN COC[C@@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001283203413 954135371 /nfs/dbraw/zinc/13/53/71/954135371.db2.gz DTVYZXGSYMJGMX-HTQZYQBOSA-N 0 2 302.268 0.792 20 0 DCADLN COC[C@@H](C)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001283203413 954135376 /nfs/dbraw/zinc/13/53/76/954135376.db2.gz DTVYZXGSYMJGMX-HTQZYQBOSA-N 0 2 302.268 0.792 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)CCSCC1 ZINC001594848779 954162173 /nfs/dbraw/zinc/16/21/73/954162173.db2.gz AYRMJKZIGPZYMG-NSHDSACASA-N 0 2 324.406 0.481 20 0 DCADLN O=C([O-])[C@]1(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)CC=CCC1 ZINC001594849922 954172723 /nfs/dbraw/zinc/17/27/23/954172723.db2.gz XBTKLDJPTBBJOI-IUODEOHRSA-N 0 2 304.350 0.694 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCC2(C(=O)[O-])CCC2)[C@@H](C)CO1 ZINC001589399401 954403398 /nfs/dbraw/zinc/40/33/98/954403398.db2.gz DMZATOJEFJAFHV-RYUDHWBXSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCC2(C(=O)[O-])CCC2)[C@@H](C)CO1 ZINC001589399401 954403407 /nfs/dbraw/zinc/40/34/07/954403407.db2.gz DMZATOJEFJAFHV-RYUDHWBXSA-N 0 2 313.398 0.650 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)NCC[NH+](C)[C@H]1CCN(C)C1=O ZINC001366597802 954488663 /nfs/dbraw/zinc/48/86/63/954488663.db2.gz UZQADGDAXXMERC-KBPBESRZSA-N 0 2 310.442 0.140 20 0 DCADLN O=C([O-])C1=NO[C@H](C(=O)N2CC[NH+](Cc3cccs3)CC2)C1 ZINC001594889607 954503683 /nfs/dbraw/zinc/50/36/83/954503683.db2.gz IYWPRIVRNGCHPB-LBPRGKRZSA-N 0 2 323.374 0.622 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@@H]3CC[C@H]3C(=O)[O-])CC2)CC1 ZINC001593784955 954690922 /nfs/dbraw/zinc/69/09/22/954690922.db2.gz HAQJHQPQZAQTPO-ZIAGYGMSSA-N 0 2 309.410 0.336 20 0 DCADLN CC[C@@H](C)NC(=O)C[NH+](CCNC(=O)[C@H]1CCC[N@@H+]1C)C1CC1 ZINC001366746594 954764024 /nfs/dbraw/zinc/76/40/24/954764024.db2.gz GNPVLHSMBIJGSS-UKRRQHHQSA-N 0 2 324.469 0.576 20 0 DCADLN COC(=O)C1=NO[C@]2(CCN(c3ccc(C(=O)[O-])c[nH+]3)C2)C1 ZINC001593816899 955008590 /nfs/dbraw/zinc/00/85/90/955008590.db2.gz VIHNYDZYSADQLH-CQSZACIVSA-N 0 2 305.290 0.678 20 0 DCADLN CC(C)OCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367049386 955261013 /nfs/dbraw/zinc/26/10/13/955261013.db2.gz LHVAUUANTYQMPB-LLVKDONJSA-N 0 2 311.386 0.358 20 0 DCADLN CC(C)OCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367049386 955261021 /nfs/dbraw/zinc/26/10/21/955261021.db2.gz LHVAUUANTYQMPB-LLVKDONJSA-N 0 2 311.386 0.358 20 0 DCADLN CC(C)C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001285259652 955541687 /nfs/dbraw/zinc/54/16/87/955541687.db2.gz BMUMNPLGKZLHCG-NKWVEPMBSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001285259652 955541703 /nfs/dbraw/zinc/54/17/03/955541703.db2.gz BMUMNPLGKZLHCG-NKWVEPMBSA-N 0 2 315.267 0.019 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@]1(C(=O)[O-])CC1(C)C ZINC001593863956 955566839 /nfs/dbraw/zinc/56/68/39/955566839.db2.gz SLLXVLQHYXRDJJ-XPTSAGLGSA-N 0 2 309.322 0.111 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@]1(C(=O)[O-])CC1(C)C ZINC001593863956 955566850 /nfs/dbraw/zinc/56/68/50/955566850.db2.gz SLLXVLQHYXRDJJ-XPTSAGLGSA-N 0 2 309.322 0.111 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1)C(=O)[O-] ZINC001589138323 956247148 /nfs/dbraw/zinc/24/71/48/956247148.db2.gz ACUHPJSJKGBMAS-MLGOLLRUSA-N 0 2 317.345 0.884 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000036983924 957257758 /nfs/dbraw/zinc/25/77/58/957257758.db2.gz PEGPJEDKKIAQSM-UHFFFAOYSA-N 0 2 312.391 0.852 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001603158894 972259599 /nfs/dbraw/zinc/25/95/99/972259599.db2.gz JSRIWUYIUAPYBB-XQQFMLRXSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)OC(=O)C1(CC(=O)[O-])CCOCC1 ZINC001603159180 972260748 /nfs/dbraw/zinc/26/07/48/972260748.db2.gz SSYDIPILVZLLNV-GFCCVEGCSA-N 0 2 315.366 0.522 20 0 DCADLN Cc1n[nH]c(C(=O)NCCCN(C)C(=O)[C@H]2CCC[N@@H+]2C)c1C ZINC001294002441 957462415 /nfs/dbraw/zinc/46/24/15/957462415.db2.gz UNMOWLWXTPESFV-CYBMUJFWSA-N 0 2 321.425 0.699 20 0 DCADLN COCCc1ncc(C[N@H+](CCC(=O)OC)CC(=O)[O-])s1 ZINC001594022551 957674769 /nfs/dbraw/zinc/67/47/69/957674769.db2.gz JMLSBFKXIZJVPW-UHFFFAOYSA-N 0 2 316.379 0.782 20 0 DCADLN COCCc1ncc(C[N@@H+](CCC(=O)OC)CC(=O)[O-])s1 ZINC001594022551 957674774 /nfs/dbraw/zinc/67/47/74/957674774.db2.gz JMLSBFKXIZJVPW-UHFFFAOYSA-N 0 2 316.379 0.782 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2coc(/C=C\C(=O)[O-])c2)CC1 ZINC001334794089 957802680 /nfs/dbraw/zinc/80/26/80/957802680.db2.gz PUUQPYLSYYJPIY-IHWYPQMZSA-N 0 2 308.334 0.782 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001367534642 957981119 /nfs/dbraw/zinc/98/11/19/957981119.db2.gz WKFFAWAOKAPLGX-UHFFFAOYSA-N 0 2 307.358 0.054 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001367534642 957981130 /nfs/dbraw/zinc/98/11/30/957981130.db2.gz WKFFAWAOKAPLGX-UHFFFAOYSA-N 0 2 307.358 0.054 20 0 DCADLN CC[C@H](C)[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)OC ZINC001361458580 957984389 /nfs/dbraw/zinc/98/43/89/957984389.db2.gz TXWPQPMUYBVRSE-ONGXEEELSA-N 0 2 307.354 0.249 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1CCCNC1=O ZINC001296860990 958059978 /nfs/dbraw/zinc/05/99/78/958059978.db2.gz JYEBOPLTWOQOCH-SNVBAGLBSA-N 0 2 315.333 0.950 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@]1(C(=O)[O-])CC=CCC1 ZINC001349674538 958096225 /nfs/dbraw/zinc/09/62/25/958096225.db2.gz PGKPRVHBAKBFAL-CZUORRHYSA-N 0 2 309.410 0.550 20 0 DCADLN CC(C)(NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@H]1CCCCO1 ZINC001361624086 958148572 /nfs/dbraw/zinc/14/85/72/958148572.db2.gz NOTAOTGIGVRNPA-LLVKDONJSA-N 0 2 319.365 0.535 20 0 DCADLN CCn1cc([C@@H](C)NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC001361650869 958176565 /nfs/dbraw/zinc/17/65/65/958176565.db2.gz MJMKTIIIAHEXDK-SECBINFHSA-N 0 2 315.337 0.165 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)NCCc1cn2c([nH+]1)CCCC2 ZINC001361756429 958294083 /nfs/dbraw/zinc/29/40/83/958294083.db2.gz DOWCSINDFHAXFP-UHFFFAOYSA-N 0 2 322.394 0.494 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1noc2c1CCCC2 ZINC001361815921 958364780 /nfs/dbraw/zinc/36/47/80/958364780.db2.gz JHEDRPVMVWNBNJ-UHFFFAOYSA-N 0 2 314.305 0.577 20 0 DCADLN CO[C@H](C)C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001298292176 958517101 /nfs/dbraw/zinc/51/71/01/958517101.db2.gz YVTFGFJWOLGFKV-PYWFFMSPSA-N 0 2 300.252 0.710 20 0 DCADLN CO[C@H](C)C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001298292176 958517111 /nfs/dbraw/zinc/51/71/11/958517111.db2.gz YVTFGFJWOLGFKV-PYWFFMSPSA-N 0 2 300.252 0.710 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ncc(Cl)s1 ZINC001361944053 958522008 /nfs/dbraw/zinc/52/20/08/958522008.db2.gz FPWYEFZHTMLHLY-UHFFFAOYSA-N 0 2 324.753 0.498 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(Cl)c[nH]1 ZINC001367785510 958572106 /nfs/dbraw/zinc/57/21/06/958572106.db2.gz WPDKIKFLXNNPIM-ZETCQYMHSA-N 0 2 312.761 0.742 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(Cl)c[nH]1 ZINC001367785510 958572113 /nfs/dbraw/zinc/57/21/13/958572113.db2.gz WPDKIKFLXNNPIM-ZETCQYMHSA-N 0 2 312.761 0.742 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367787608 958582335 /nfs/dbraw/zinc/58/23/35/958582335.db2.gz MGRUWWZSXYKVGO-BDAKNGLRSA-N 0 2 301.416 0.446 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367787608 958582342 /nfs/dbraw/zinc/58/23/42/958582342.db2.gz MGRUWWZSXYKVGO-BDAKNGLRSA-N 0 2 301.416 0.446 20 0 DCADLN COc1ccccc1O[C@H]1CCC[N@H+](CC(=O)NCC(=O)[O-])C1 ZINC001594145959 958760513 /nfs/dbraw/zinc/76/05/13/958760513.db2.gz HBUQPECLSPGLSG-LBPRGKRZSA-N 0 2 322.361 0.739 20 0 DCADLN COc1ccccc1O[C@H]1CCC[N@@H+](CC(=O)NCC(=O)[O-])C1 ZINC001594145959 958760528 /nfs/dbraw/zinc/76/05/28/958760528.db2.gz HBUQPECLSPGLSG-LBPRGKRZSA-N 0 2 322.361 0.739 20 0 DCADLN Cn1nncc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001367941675 958920201 /nfs/dbraw/zinc/92/02/01/958920201.db2.gz VEIAQKWGVDQQSZ-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN Cn1nncc1CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001367941675 958920218 /nfs/dbraw/zinc/92/02/18/958920218.db2.gz VEIAQKWGVDQQSZ-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN CCn1ncnc1C[NH2+]C1(CNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001367991073 959030276 /nfs/dbraw/zinc/03/02/76/959030276.db2.gz ATVKQZMQTMUSBU-UHFFFAOYSA-N 0 2 319.369 0.087 20 0 DCADLN O=C(NCC1CCOCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001368045882 959148059 /nfs/dbraw/zinc/14/80/59/959148059.db2.gz QCAFIFPDOQZHND-NSHDSACASA-N 0 2 309.370 0.826 20 0 DCADLN Cc1ncccc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001362337248 959170444 /nfs/dbraw/zinc/17/04/44/959170444.db2.gz IDMXNCJQGGGSEP-UHFFFAOYSA-N 0 2 307.331 0.995 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N(CCO)C1CCC1 ZINC001362354907 959200519 /nfs/dbraw/zinc/20/05/19/959200519.db2.gz XNJWRAOFONGHOR-UHFFFAOYSA-N 0 2 318.333 0.253 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001362373333 959236908 /nfs/dbraw/zinc/23/69/08/959236908.db2.gz CGENRGSHKFILOA-BDAKNGLRSA-N 0 2 301.306 0.546 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H](CO)CC1CCCC1 ZINC001362375873 959243188 /nfs/dbraw/zinc/24/31/88/959243188.db2.gz DPDBYWIGUCLPOI-LLVKDONJSA-N 0 2 319.365 0.129 20 0 DCADLN CC(C)(C)[C@@H]1CCN(C(=O)C[C@H]2SC(=N)NC2=O)C[C@@H]1O ZINC001362572438 959623285 /nfs/dbraw/zinc/62/32/85/959623285.db2.gz VPYQXJPDBYZALP-KXUCPTDWSA-N 0 2 313.423 0.798 20 0 DCADLN CC[C@H](F)CN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001368296478 959634378 /nfs/dbraw/zinc/63/43/78/959634378.db2.gz ZYEMSOBGYDQKIJ-JGVFFNPUSA-N 0 2 304.259 0.798 20 0 DCADLN CC[C@H](F)CN1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001368296478 959634385 /nfs/dbraw/zinc/63/43/85/959634385.db2.gz ZYEMSOBGYDQKIJ-JGVFFNPUSA-N 0 2 304.259 0.798 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC[C@@H](O)CC1 ZINC001362653329 959773592 /nfs/dbraw/zinc/77/35/92/959773592.db2.gz GRJYHPFFLWSSQU-GFCCVEGCSA-N 0 2 318.333 0.253 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cc(-n2ccnn2)ccc1F ZINC001301698235 960011020 /nfs/dbraw/zinc/01/10/20/960011020.db2.gz XROHHJJDHHBXEX-UHFFFAOYSA-N 0 2 316.252 0.053 20 0 DCADLN Cn1cnnc1[C@H]1CCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC001362806157 960016681 /nfs/dbraw/zinc/01/66/81/960016681.db2.gz CPEDEWLOIHFGJY-HTQZYQBOSA-N 0 2 308.367 0.035 20 0 DCADLN CC1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001377407539 960041834 /nfs/dbraw/zinc/04/18/34/960041834.db2.gz RPYIBWSSILMHRR-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CC1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001377407539 960041841 /nfs/dbraw/zinc/04/18/41/960041841.db2.gz RPYIBWSSILMHRR-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN COCC[C@H](C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362871339 960115616 /nfs/dbraw/zinc/11/56/16/960115616.db2.gz CWFQBLGJCIKGHN-JTQLQIEISA-N 0 2 320.349 0.669 20 0 DCADLN C[C@@H]1CCCC[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362984883 960258577 /nfs/dbraw/zinc/25/85/77/960258577.db2.gz JJYXEQQKANHUQR-NXEZZACHSA-N 0 2 314.411 0.792 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001378381707 960673250 /nfs/dbraw/zinc/67/32/50/960673250.db2.gz WVLWBBMFXJOZQQ-NKWVEPMBSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001378381707 960673262 /nfs/dbraw/zinc/67/32/62/960673262.db2.gz WVLWBBMFXJOZQQ-NKWVEPMBSA-N 0 2 323.250 0.046 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cc(C(F)(F)F)n[nH]2)S1 ZINC001363293948 960815393 /nfs/dbraw/zinc/81/53/93/960815393.db2.gz TXIMNEKVZICOBO-RXMQYKEDSA-N 0 2 321.284 0.601 20 0 DCADLN CO[C@H](CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C(F)(F)F ZINC001571100522 961211784 /nfs/dbraw/zinc/21/17/84/961211784.db2.gz JHCCFSSTBVKQQA-HTQZYQBOSA-N 0 2 309.244 0.489 20 0 DCADLN CO[C@H](CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C(F)(F)F ZINC001571100522 961211798 /nfs/dbraw/zinc/21/17/98/961211798.db2.gz JHCCFSSTBVKQQA-HTQZYQBOSA-N 0 2 309.244 0.489 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001571106812 961290136 /nfs/dbraw/zinc/29/01/36/961290136.db2.gz RCTCZEYSPFBVQK-VXGBXAGGSA-N 0 2 309.391 0.898 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001571106812 961290156 /nfs/dbraw/zinc/29/01/56/961290156.db2.gz RCTCZEYSPFBVQK-VXGBXAGGSA-N 0 2 309.391 0.898 20 0 DCADLN C[C@@H](CNC(=O)CCc1ccncc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378082686 961402191 /nfs/dbraw/zinc/40/21/91/961402191.db2.gz RLMWGVGXLNMATR-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN C[C@@H](CNC(=O)CCc1ccncc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378082686 961402205 /nfs/dbraw/zinc/40/22/05/961402205.db2.gz RLMWGVGXLNMATR-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN CC(C)(C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1)c1cnc[nH]1 ZINC001363584919 961493171 /nfs/dbraw/zinc/49/31/71/961493171.db2.gz PDGGUIOVOHTFPF-SNVBAGLBSA-N 0 2 303.370 0.682 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2NC(=O)CC23CCCCCC3)S1 ZINC001363638280 961601082 /nfs/dbraw/zinc/60/10/82/961601082.db2.gz BNPHBMQOKFMHHG-SECBINFHSA-N 0 2 324.406 0.899 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2NC(=O)CC23CCCCCC3)S1 ZINC001363638280 961601097 /nfs/dbraw/zinc/60/10/97/961601097.db2.gz BNPHBMQOKFMHHG-SECBINFHSA-N 0 2 324.406 0.899 20 0 DCADLN CC(C)n1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001378183606 961615602 /nfs/dbraw/zinc/61/56/02/961615602.db2.gz JAGKYYVIIJCSFX-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001378183606 961615615 /nfs/dbraw/zinc/61/56/15/961615615.db2.gz JAGKYYVIIJCSFX-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)N[C@@H]3CCn4cc[nH+]c4C3)[C@@H]2C1 ZINC001571138314 961636147 /nfs/dbraw/zinc/63/61/47/961636147.db2.gz WITBUWSSJCCABG-VEGXAWMVSA-N 0 2 318.377 0.996 20 0 DCADLN Cc1nccc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n1 ZINC001363662726 961652140 /nfs/dbraw/zinc/65/21/40/961652140.db2.gz QJBQBEGTYMVYLN-UHFFFAOYSA-N 0 2 303.322 0.609 20 0 DCADLN Cc1nnc2n1CCN(C(=O)C[C@H]1SC(=N)NC1=O)[C@H]2C ZINC001363706861 961734871 /nfs/dbraw/zinc/73/48/71/961734871.db2.gz WBHBGQJUFIJMCQ-POYBYMJQSA-N 0 2 308.367 0.046 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCCCS1(=O)=O)c1nn(C)cc1O ZINC001363835738 961988961 /nfs/dbraw/zinc/98/89/61/961988961.db2.gz VXZVOFDPOYEBIV-WCBMZHEXSA-N 0 2 301.368 0.270 20 0 DCADLN O=C([O-])c1ccc(F)c(C[N@H+]2CCN3CCOC[C@@]3(CO)C2)c1 ZINC001571166640 962003512 /nfs/dbraw/zinc/00/35/12/962003512.db2.gz YWKRIYZSFGEWAM-INIZCTEOSA-N 0 2 324.352 0.403 20 0 DCADLN O=C([O-])c1ccc(F)c(C[N@@H+]2CCN3CCOC[C@@]3(CO)C2)c1 ZINC001571166640 962003528 /nfs/dbraw/zinc/00/35/28/962003528.db2.gz YWKRIYZSFGEWAM-INIZCTEOSA-N 0 2 324.352 0.403 20 0 DCADLN C[C@H](NC(=O)c1coc(S(C)(=O)=O)c1)c1nn(C)cc1O ZINC001363853368 962023835 /nfs/dbraw/zinc/02/38/35/962023835.db2.gz RBBPOOPGIKSRKM-ZETCQYMHSA-N 0 2 313.335 0.613 20 0 DCADLN COCCN(CCNC(=O)[C@@H]1CC1(C)C)Cc1n[nH]c(=O)[nH]1 ZINC001380099425 962667748 /nfs/dbraw/zinc/66/77/48/962667748.db2.gz BZYWOOXQYVKEBO-JTQLQIEISA-N 0 2 311.386 0.121 20 0 DCADLN CCCN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@H](C)C(=O)OC ZINC001364290381 962831576 /nfs/dbraw/zinc/83/15/76/962831576.db2.gz FZMPQWHCNZBNPV-SFYZADRCSA-N 0 2 301.368 0.343 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)CCC[NH+](C)[C@@H](C)c1nncn1C ZINC001380986791 963743024 /nfs/dbraw/zinc/74/30/24/963743024.db2.gz DEHMVKPDRDHOIQ-AWEZNQCLSA-N 0 2 324.473 0.997 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NC[C@@H]1CCC2(C[NH+](C(C)C)C2)O1 ZINC001380997794 963754948 /nfs/dbraw/zinc/75/49/48/963754948.db2.gz GDRDGIOBHFWZNS-AWEZNQCLSA-N 0 2 306.410 0.950 20 0 DCADLN CCc1nnc(C[NH2+][C@@H](C)[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001368849146 964021178 /nfs/dbraw/zinc/02/11/78/964021178.db2.gz WJZCESUGGDFSOL-JGVFFNPUSA-N 0 2 322.369 0.666 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](CO)[NH2+]C/C(Cl)=C/Cl)c1[O-] ZINC001369319531 964729678 /nfs/dbraw/zinc/72/96/78/964729678.db2.gz FOBUEBLRIGTNCK-VKWJFSHESA-N 0 2 323.180 0.423 20 0 DCADLN CO[C@@H]1CC[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001369462769 965023239 /nfs/dbraw/zinc/02/32/39/965023239.db2.gz DHMGKAGCBDQTLD-HBNTYKKESA-N 0 2 309.370 0.967 20 0 DCADLN Cc1nonc1C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001369533846 965146803 /nfs/dbraw/zinc/14/68/03/965146803.db2.gz PKIAXSORUOSRGT-UHFFFAOYSA-N 0 2 323.357 0.184 20 0 DCADLN Cc1nonc1C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001369533846 965146809 /nfs/dbraw/zinc/14/68/09/965146809.db2.gz PKIAXSORUOSRGT-UHFFFAOYSA-N 0 2 323.357 0.184 20 0 DCADLN O=C(NC1CN(C(=O)c2nccs2)C1)C(F)C(F)(F)F ZINC001374665523 965724426 /nfs/dbraw/zinc/72/44/26/965724426.db2.gz MHFWDCFANBVYKT-ZCFIWIBFSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)c2nccs2)C1)[C@@H](F)C(F)(F)F ZINC001374665523 965724434 /nfs/dbraw/zinc/72/44/34/965724434.db2.gz MHFWDCFANBVYKT-ZCFIWIBFSA-N 0 2 311.260 0.984 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001370116469 965788996 /nfs/dbraw/zinc/78/89/96/965788996.db2.gz HEJBPIWPDANADS-XNCJUZBTSA-N 0 2 324.234 0.547 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001370116469 965789006 /nfs/dbraw/zinc/78/90/06/965789006.db2.gz HEJBPIWPDANADS-XNCJUZBTSA-N 0 2 324.234 0.547 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001370312184 965995521 /nfs/dbraw/zinc/99/55/21/965995521.db2.gz RUPBGNNJLRPKTD-HAFWLYHUSA-N 0 2 323.250 0.330 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)cc1 ZINC001374994382 966210244 /nfs/dbraw/zinc/21/02/44/966210244.db2.gz RPAFSLPAGCFQRL-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)cc1 ZINC001374994382 966210250 /nfs/dbraw/zinc/21/02/50/966210250.db2.gz RPAFSLPAGCFQRL-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN CC[C@H](SC)C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508013 966478357 /nfs/dbraw/zinc/47/83/57/966478357.db2.gz MIADKQWZAGGTFY-BDAKNGLRSA-N 0 2 301.416 0.588 20 0 DCADLN CC[C@H](SC)C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508013 966478363 /nfs/dbraw/zinc/47/83/63/966478363.db2.gz MIADKQWZAGGTFY-BDAKNGLRSA-N 0 2 301.416 0.588 20 0 DCADLN CO[C@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001381567444 966544145 /nfs/dbraw/zinc/54/41/45/966544145.db2.gz UVFYKUZRQBYIJQ-CABZTGNLSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001381567444 966544149 /nfs/dbraw/zinc/54/41/49/966544149.db2.gz UVFYKUZRQBYIJQ-CABZTGNLSA-N 0 2 311.386 0.262 20 0 DCADLN CC(C)OCCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381787653 966683275 /nfs/dbraw/zinc/68/32/75/966683275.db2.gz OBQDPUNEIKCXMX-JTQLQIEISA-N 0 2 311.386 0.262 20 0 DCADLN O=C(CCCF)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001371463734 967012341 /nfs/dbraw/zinc/01/23/41/967012341.db2.gz LESQMXJNBBJGBW-BHNWBGBOSA-N 0 2 318.242 0.324 20 0 DCADLN O=C(CCCF)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001371463734 967012351 /nfs/dbraw/zinc/01/23/51/967012351.db2.gz LESQMXJNBBJGBW-BHNWBGBOSA-N 0 2 318.242 0.324 20 0 DCADLN COCCC(=O)N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001371677766 967234321 /nfs/dbraw/zinc/23/43/21/967234321.db2.gz MAFPUBOEIZLOGX-KHQFGBGNSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)N[C@H]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001371677766 967234332 /nfs/dbraw/zinc/23/43/32/967234332.db2.gz MAFPUBOEIZLOGX-KHQFGBGNSA-N 0 2 314.279 0.934 20 0 DCADLN CCC1(C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001382602761 967535509 /nfs/dbraw/zinc/53/55/09/967535509.db2.gz GBBVJSSMAFOWDK-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001382602761 967535515 /nfs/dbraw/zinc/53/55/15/967535515.db2.gz GBBVJSSMAFOWDK-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN CC(C)c1ncc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001372253792 967798687 /nfs/dbraw/zinc/79/86/87/967798687.db2.gz ROJNGOLMCHXRMK-UHFFFAOYSA-N 0 2 324.410 0.952 20 0 DCADLN CC(C)c1ncc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001372253792 967798695 /nfs/dbraw/zinc/79/86/95/967798695.db2.gz ROJNGOLMCHXRMK-UHFFFAOYSA-N 0 2 324.410 0.952 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(C)C)C(=O)C(F)C(F)(F)F ZINC001383002049 967844111 /nfs/dbraw/zinc/84/41/11/967844111.db2.gz DKHNLWFHOJRFDH-YUMQZZPRSA-N 0 2 316.295 0.868 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(C)C)C(=O)[C@H](F)C(F)(F)F ZINC001383002049 967844120 /nfs/dbraw/zinc/84/41/20/967844120.db2.gz DKHNLWFHOJRFDH-YUMQZZPRSA-N 0 2 316.295 0.868 20 0 DCADLN C/C=C\C[C@H](CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001448125031 1013767301 /nfs/dbraw/zinc/76/73/01/1013767301.db2.gz XFVWCSHMQADZMP-OTDNITJGSA-N 0 2 318.333 0.323 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001372525818 968084815 /nfs/dbraw/zinc/08/48/15/968084815.db2.gz ZJDXZFRITRFMBG-LBPRGKRZSA-N 0 2 321.425 0.400 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372592256 968148355 /nfs/dbraw/zinc/14/83/55/968148355.db2.gz ZLDMCINDWCLQMA-BKPPORCPSA-N 0 2 300.252 0.687 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001372592256 968148365 /nfs/dbraw/zinc/14/83/65/968148365.db2.gz ZLDMCINDWCLQMA-BKPPORCPSA-N 0 2 300.252 0.687 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](Cc2ccn(C)n2)C2CC2)c1[O-] ZINC001372783138 968367657 /nfs/dbraw/zinc/36/76/57/968367657.db2.gz CEJMDVMNQVAGLQ-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](Cc2ccn(C)n2)C2CC2)c1[O-] ZINC001372783138 968367663 /nfs/dbraw/zinc/36/76/63/968367663.db2.gz CEJMDVMNQVAGLQ-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN O=C(CCc1ccon1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001372826494 968427859 /nfs/dbraw/zinc/42/78/59/968427859.db2.gz APBBIKOZKVRZLX-UHFFFAOYSA-N 0 2 320.353 0.212 20 0 DCADLN O=C(CCc1ccon1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001372826494 968427865 /nfs/dbraw/zinc/42/78/65/968427865.db2.gz APBBIKOZKVRZLX-UHFFFAOYSA-N 0 2 320.353 0.212 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@@H](C)NC(=O)c1n[nH]c(C)c1[O-] ZINC001373014551 968628227 /nfs/dbraw/zinc/62/82/27/968628227.db2.gz LOYSJVARRWDCOD-SECBINFHSA-N 0 2 311.386 0.000 20 0 DCADLN CCC[N@@H+](C)CC(=O)NC[C@@H](C)NC(=O)c1n[nH]c(C)c1[O-] ZINC001373014551 968628231 /nfs/dbraw/zinc/62/82/31/968628231.db2.gz LOYSJVARRWDCOD-SECBINFHSA-N 0 2 311.386 0.000 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001373400225 969042250 /nfs/dbraw/zinc/04/22/50/969042250.db2.gz XLJZRDSHRXZZLT-SFYZADRCSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](NC(=O)CC[C@@H]1CCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001448280703 1013857363 /nfs/dbraw/zinc/85/73/63/1013857363.db2.gz JVEANZYZWWGOKC-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H]1CCCC12CC2)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001448688960 1013996799 /nfs/dbraw/zinc/99/67/99/1013996799.db2.gz YHPPIQKEEWMTMS-VXGBXAGGSA-N 0 2 321.425 0.625 20 0 DCADLN C[C@H](CN(C)C(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC001609902388 970595285 /nfs/dbraw/zinc/59/52/85/970595285.db2.gz UMWVZDQSKCDBSE-LLVKDONJSA-N 0 2 301.387 0.459 20 0 DCADLN C[C@H](CN(C)C(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC001609902388 970595295 /nfs/dbraw/zinc/59/52/95/970595295.db2.gz UMWVZDQSKCDBSE-LLVKDONJSA-N 0 2 301.387 0.459 20 0 DCADLN C[N@H+](Cc1ccc([S@](C)=O)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001604188855 972621760 /nfs/dbraw/zinc/62/17/60/972621760.db2.gz YCCDWUUBGPLTHT-DMZKTXOQSA-N 0 2 324.402 0.541 20 0 DCADLN C[N@@H+](Cc1ccc([S@](C)=O)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001604188855 972621766 /nfs/dbraw/zinc/62/17/66/972621766.db2.gz YCCDWUUBGPLTHT-DMZKTXOQSA-N 0 2 324.402 0.541 20 0 DCADLN Cn1c(N2CCC[C@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001604201081 972651601 /nfs/dbraw/zinc/65/16/01/972651601.db2.gz MGEXLYASXPTPRP-PGUXBMHVSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC[C@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001604201081 972651607 /nfs/dbraw/zinc/65/16/07/972651607.db2.gz MGEXLYASXPTPRP-PGUXBMHVSA-N 0 2 323.397 0.028 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](C[C@H](O)c2ccc(Cl)cc2)CC1 ZINC001605886189 972680842 /nfs/dbraw/zinc/68/08/42/972680842.db2.gz SPNNZPABVXVMBW-LBPRGKRZSA-N 0 2 312.753 0.602 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)[C@]1(C(=O)[O-])CC1(C)C ZINC001603375866 972928999 /nfs/dbraw/zinc/92/89/99/972928999.db2.gz WGBXNIFYPMJYAW-HSMVNMDESA-N 0 2 310.394 0.809 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])C[N@@H+]1Cn1nc(CO)n(C)c1=S ZINC001603392463 973018807 /nfs/dbraw/zinc/01/88/07/973018807.db2.gz TWMQGRHLVKEQRM-BDAKNGLRSA-N 0 2 300.384 0.586 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cn1nc(CO)n(C)c1=S ZINC001603392463 973018819 /nfs/dbraw/zinc/01/88/19/973018819.db2.gz TWMQGRHLVKEQRM-BDAKNGLRSA-N 0 2 300.384 0.586 20 0 DCADLN Cn1cc([C@@H]([NH2+]CC(=O)NCC(=O)[O-])c2ccc(F)cc2)cn1 ZINC001605484441 973612214 /nfs/dbraw/zinc/61/22/14/973612214.db2.gz ZZOXZTPNPHKBDD-HNNXBMFYSA-N 0 2 320.324 0.439 20 0 DCADLN Cn1ccn2c(C[N@@H+]3CCC[C@@H](CCC(=O)[O-])C3)nnc2c1=O ZINC001605511328 973685793 /nfs/dbraw/zinc/68/57/93/973685793.db2.gz GZCAFIQALGCFFJ-NSHDSACASA-N 0 2 319.365 0.505 20 0 DCADLN Cn1ccn2c(C[N@H+]3CCC[C@@H](CCC(=O)[O-])C3)nnc2c1=O ZINC001605511328 973685799 /nfs/dbraw/zinc/68/57/99/973685799.db2.gz GZCAFIQALGCFFJ-NSHDSACASA-N 0 2 319.365 0.505 20 0 DCADLN O=C([O-])c1ccc(CNS(=O)(=O)CCn2cc[nH+]c2)cc1 ZINC001606495008 973979046 /nfs/dbraw/zinc/97/90/46/973979046.db2.gz JCOARVXFPRKZJG-UHFFFAOYSA-N 0 2 309.347 0.701 20 0 DCADLN Cc1n[nH]c(C(=O)NCCNC(=O)c2ccccc2O)c1C ZINC001522522350 1014159722 /nfs/dbraw/zinc/15/97/22/1014159722.db2.gz XUCSXYCJLTZGEF-UHFFFAOYSA-N 0 2 302.334 0.892 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001522526705 1014163159 /nfs/dbraw/zinc/16/31/59/1014163159.db2.gz XOQROHNLSLQBSO-HTQZYQBOSA-N 0 2 310.251 0.577 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1cccn1 ZINC001522526705 1014163165 /nfs/dbraw/zinc/16/31/65/1014163165.db2.gz XOQROHNLSLQBSO-HTQZYQBOSA-N 0 2 310.251 0.577 20 0 DCADLN CCNc1cc(CNC(=O)N2CCO[C@@H](C(=O)[O-])C2)cc[nH+]1 ZINC001603778294 974229664 /nfs/dbraw/zinc/22/96/64/974229664.db2.gz LGYNDRAXYOKSPX-LLVKDONJSA-N 0 2 308.338 0.508 20 0 DCADLN Cn1ccc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001522624855 1014254359 /nfs/dbraw/zinc/25/43/59/1014254359.db2.gz VDYNTDLSNAHDDJ-VIFPVBQESA-N 0 2 310.251 0.095 20 0 DCADLN Cn1ccc(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001522624855 1014254370 /nfs/dbraw/zinc/25/43/70/1014254370.db2.gz VDYNTDLSNAHDDJ-VIFPVBQESA-N 0 2 310.251 0.095 20 0 DCADLN C[C@@H](CCNC(=O)Cc1c[nH]c[nH+]1)Nc1ncc(C(=O)[O-])cn1 ZINC001592512707 978337957 /nfs/dbraw/zinc/33/79/57/978337957.db2.gz BVSUNRMGLHZSFS-VIFPVBQESA-N 0 2 318.337 0.447 20 0 DCADLN O=C([O-])C1(C(=O)NC[C@@]2([NH+]3CCOCC3)CCSC2)CC1 ZINC000738524221 978551776 /nfs/dbraw/zinc/55/17/76/978551776.db2.gz JEZMZRRBVJYVCC-ZDUSSCGKSA-N 0 2 314.407 0.175 20 0 DCADLN COCC(=O)NC[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001529151457 1014507843 /nfs/dbraw/zinc/50/78/43/1014507843.db2.gz VTJWLLBBTWFSAO-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)NC[C@@H]1CCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001529151457 1014507855 /nfs/dbraw/zinc/50/78/55/1014507855.db2.gz VTJWLLBBTWFSAO-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@@H](C)n1nccc1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001595028148 979149378 /nfs/dbraw/zinc/14/93/78/979149378.db2.gz GGIJDKDTHWBSBW-GHMZBOCLSA-N 0 2 305.338 0.934 20 0 DCADLN C[C@@H](C[S@](C)=O)[N@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001592822565 979529891 /nfs/dbraw/zinc/52/98/91/979529891.db2.gz QIJUCNQCZLBAFY-MQJDWESPSA-N 0 2 318.439 0.589 20 0 DCADLN C[C@@H](C[S@](C)=O)[N@@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001592822565 979529902 /nfs/dbraw/zinc/52/99/02/979529902.db2.gz QIJUCNQCZLBAFY-MQJDWESPSA-N 0 2 318.439 0.589 20 0 DCADLN CC[C@@](COC)([NH2+]Cc1ccc(S(C)(=O)=O)o1)C(=O)[O-] ZINC001595200071 979946650 /nfs/dbraw/zinc/94/66/50/979946650.db2.gz SVTKDEGURNBCQP-LBPRGKRZSA-N 0 2 305.352 0.653 20 0 DCADLN CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1n[nH]cc1C(=O)[O-] ZINC001595488156 981235096 /nfs/dbraw/zinc/23/50/96/981235096.db2.gz NWDKBFLBPPCPOB-SECBINFHSA-N 0 2 316.383 0.213 20 0 DCADLN CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1n[nH]cc1C(=O)[O-] ZINC001595488156 981235097 /nfs/dbraw/zinc/23/50/97/981235097.db2.gz NWDKBFLBPPCPOB-SECBINFHSA-N 0 2 316.383 0.213 20 0 DCADLN CCCCNc1cc(N2C[C@@H](O)C[C@H](C(=O)[O-])C2)nc(N)[nH+]1 ZINC001595763195 982470386 /nfs/dbraw/zinc/47/03/86/982470386.db2.gz CFRJDDFJKFKNRI-UWVGGRQHSA-N 0 2 309.370 0.543 20 0 DCADLN CCCCNc1cc(N2C[C@@H](O)C[C@H](C(=O)[O-])C2)[nH+]c(N)n1 ZINC001595763195 982470391 /nfs/dbraw/zinc/47/03/91/982470391.db2.gz CFRJDDFJKFKNRI-UWVGGRQHSA-N 0 2 309.370 0.543 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001450133879 1014936343 /nfs/dbraw/zinc/93/63/43/1014936343.db2.gz VYSXSMAGSHQUPF-SCZZXKLOSA-N 0 2 314.279 0.840 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001450133879 1014936354 /nfs/dbraw/zinc/93/63/54/1014936354.db2.gz VYSXSMAGSHQUPF-SCZZXKLOSA-N 0 2 314.279 0.840 20 0 DCADLN CCN(CC)C(=O)CCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001596242767 983642876 /nfs/dbraw/zinc/64/28/76/983642876.db2.gz IAVMOPBDVYTRRW-NSHDSACASA-N 0 2 310.354 0.170 20 0 DCADLN CCN(CC)C(=O)CCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001596242767 983642879 /nfs/dbraw/zinc/64/28/79/983642879.db2.gz IAVMOPBDVYTRRW-NSHDSACASA-N 0 2 310.354 0.170 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2cccc(C(=O)[O-])n2)C1 ZINC001596495582 984239039 /nfs/dbraw/zinc/23/90/39/984239039.db2.gz ARYOJMICAGKOAV-NSHDSACASA-N 0 2 313.379 0.293 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2cccc(C(=O)[O-])n2)C1 ZINC001596495582 984239047 /nfs/dbraw/zinc/23/90/47/984239047.db2.gz ARYOJMICAGKOAV-NSHDSACASA-N 0 2 313.379 0.293 20 0 DCADLN CCOC(=O)C(C)(C)CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001596512157 984284290 /nfs/dbraw/zinc/28/42/90/984284290.db2.gz IGKHOLSTDNGDJC-SNVBAGLBSA-N 0 2 311.338 0.432 20 0 DCADLN CCOC(=O)C(CC)(CC)[NH2+]CCC(=O)N(C)CC(=O)[O-] ZINC001596526328 984331729 /nfs/dbraw/zinc/33/17/29/984331729.db2.gz SCUKAGSDWMGNNY-UHFFFAOYSA-N 0 2 302.371 0.631 20 0 DCADLN CCOC(=O)C1(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCCC1 ZINC001596541789 984383345 /nfs/dbraw/zinc/38/33/45/984383345.db2.gz CHLPNXADCUROFN-LLVKDONJSA-N 0 2 323.349 0.645 20 0 DCADLN CCOC(=O)C1(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCCC1 ZINC001596541789 984383354 /nfs/dbraw/zinc/38/33/54/984383354.db2.gz CHLPNXADCUROFN-LLVKDONJSA-N 0 2 323.349 0.645 20 0 DCADLN CCOC(=O)C[N@@H+]1CCCN(c2cc(CC(=O)[O-])ccn2)CC1 ZINC001596623987 984601049 /nfs/dbraw/zinc/60/10/49/984601049.db2.gz DVCRBNAADNYWHP-UHFFFAOYSA-N 0 2 321.377 0.784 20 0 DCADLN CCOC(=O)C[N@H+]1CCCN(c2cc(CC(=O)[O-])ccn2)CC1 ZINC001596623987 984601051 /nfs/dbraw/zinc/60/10/51/984601051.db2.gz DVCRBNAADNYWHP-UHFFFAOYSA-N 0 2 321.377 0.784 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001383725764 985046841 /nfs/dbraw/zinc/04/68/41/985046841.db2.gz DNNOLFNFXIYFHL-JGVFFNPUSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001383725764 985046852 /nfs/dbraw/zinc/04/68/52/985046852.db2.gz DNNOLFNFXIYFHL-JGVFFNPUSA-N 0 2 322.262 0.954 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ccon1 ZINC001525316107 1015123689 /nfs/dbraw/zinc/12/36/89/1015123689.db2.gz KPUUVPRYABPMNX-GKROBHDKSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccon1 ZINC001525316107 1015123697 /nfs/dbraw/zinc/12/36/97/1015123697.db2.gz KPUUVPRYABPMNX-GKROBHDKSA-N 0 2 309.219 0.952 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2cnn(C)c2)n[nH]1 ZINC001551662853 1015168272 /nfs/dbraw/zinc/16/82/72/1015168272.db2.gz GFSRYBUXSBJLQU-VXGBXAGGSA-N 0 2 304.354 0.491 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)C2CCC(O)CC2)n[nH]1 ZINC001551664391 1015168773 /nfs/dbraw/zinc/16/87/73/1015168773.db2.gz DEDNHWMPURSUEB-HOAMVYINSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2OCC[C@H]2C)n[nH]1 ZINC001551662598 1015168936 /nfs/dbraw/zinc/16/89/36/1015168936.db2.gz WNBRGNKAICQKOX-JHEVNIALSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)C2CCC(O)CC2)n[nH]1 ZINC001551664397 1015168992 /nfs/dbraw/zinc/16/89/92/1015168992.db2.gz DEDNHWMPURSUEB-PQAZSJQKSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2cnsn2)n[nH]1 ZINC001551661831 1015169487 /nfs/dbraw/zinc/16/94/87/1015169487.db2.gz DFNUBEIKZGWFSY-PSASIEDQSA-N 0 2 308.367 0.609 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2CCO[C@@H]2C)n[nH]1 ZINC001551669932 1015174406 /nfs/dbraw/zinc/17/44/06/1015174406.db2.gz JFBIFNICQGCVIW-OJAKKHQRSA-N 0 2 308.382 0.869 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2cc(C(=O)[O-])ccn2)[C@H](C)CO1 ZINC001594526607 986056776 /nfs/dbraw/zinc/05/67/76/986056776.db2.gz AOXQUGIAAOIUTO-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2cc(C(=O)[O-])ccn2)[C@H](C)CO1 ZINC001594526607 986056792 /nfs/dbraw/zinc/05/67/92/986056792.db2.gz AOXQUGIAAOIUTO-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001385651324 986495997 /nfs/dbraw/zinc/49/59/97/986495997.db2.gz GDESWRZRGZQDBZ-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)[C@H](F)C(F)(F)F ZINC001385651324 986496000 /nfs/dbraw/zinc/49/60/00/986496000.db2.gz GDESWRZRGZQDBZ-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN C[C@H](CNC(=O)c1nccnc1N)NC(=O)C(F)C(F)(F)F ZINC001386209134 986958472 /nfs/dbraw/zinc/95/84/72/986958472.db2.gz SNWGQVZKFBYQSW-VDTYLAMSSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@H](CNC(=O)c1nccnc1N)NC(=O)[C@H](F)C(F)(F)F ZINC001386209134 986958481 /nfs/dbraw/zinc/95/84/81/986958481.db2.gz SNWGQVZKFBYQSW-VDTYLAMSSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001386701408 987321347 /nfs/dbraw/zinc/32/13/47/987321347.db2.gz IQZILXDMHOPRAJ-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001386701408 987321350 /nfs/dbraw/zinc/32/13/50/987321350.db2.gz IQZILXDMHOPRAJ-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN COC[C@@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001387707813 988059372 /nfs/dbraw/zinc/05/93/72/988059372.db2.gz CJLFEKDAXJJHTM-HTRCEHHLSA-N 0 2 300.252 0.496 20 0 DCADLN COC[C@@H](C)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387707813 988059386 /nfs/dbraw/zinc/05/93/86/988059386.db2.gz CJLFEKDAXJJHTM-HTRCEHHLSA-N 0 2 300.252 0.496 20 0 DCADLN Cc1c[nH]nc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001387716696 988075104 /nfs/dbraw/zinc/07/51/04/988075104.db2.gz DFBQVMJQGCQSHK-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1c[nH]nc1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001387716696 988075120 /nfs/dbraw/zinc/07/51/20/988075120.db2.gz DFBQVMJQGCQSHK-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1ccc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)nn1 ZINC001387792817 988156998 /nfs/dbraw/zinc/15/69/98/988156998.db2.gz FNQADKSDHZDHKU-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ccc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001387792817 988157002 /nfs/dbraw/zinc/15/70/02/988157002.db2.gz FNQADKSDHZDHKU-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN C[C@H](CNC(=O)c1cnon1)CNC(=O)C(F)C(F)(F)F ZINC001388458836 988331853 /nfs/dbraw/zinc/33/18/53/988331853.db2.gz UKUOOPNKPAFOGC-IYSWYEEDSA-N 0 2 312.223 0.452 20 0 DCADLN C[C@H](CNC(=O)c1cnon1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001388458836 988331864 /nfs/dbraw/zinc/33/18/64/988331864.db2.gz UKUOOPNKPAFOGC-IYSWYEEDSA-N 0 2 312.223 0.452 20 0 DCADLN CCCNC(=O)C[NH+]1CCC[C@H](NC(=O)C[N@@H+]2CC[C@H](C)C2)C1 ZINC001389385670 989187490 /nfs/dbraw/zinc/18/74/90/989187490.db2.gz GNOATFDNNXAVGV-GJZGRUSLSA-N 0 2 324.469 0.435 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001389488242 989319084 /nfs/dbraw/zinc/31/90/84/989319084.db2.gz MBXBUXYXAPJTPG-RQJHMYQMSA-N 0 2 307.276 0.399 20 0 DCADLN C[C@@H]1CN(c2nnc([C@H]3C[C@@H](O)C[N@@H+]3C)n2C)C[C@H]1CC(=O)[O-] ZINC001599870599 989342911 /nfs/dbraw/zinc/34/29/11/989342911.db2.gz VNPMNXDDXUDKJJ-DDHJBXDOSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1CN(c2nnc([C@H]3C[C@@H](O)C[N@H+]3C)n2C)C[C@H]1CC(=O)[O-] ZINC001599870599 989342922 /nfs/dbraw/zinc/34/29/22/989342922.db2.gz VNPMNXDDXUDKJJ-DDHJBXDOSA-N 0 2 323.397 0.100 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cc[nH]n1)C(F)C(F)(F)F ZINC001389676262 989482660 /nfs/dbraw/zinc/48/26/60/989482660.db2.gz SRYIWSBFQXZQPH-XPUUQOCRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccn[nH]1)C(F)C(F)(F)F ZINC001389676262 989482664 /nfs/dbraw/zinc/48/26/64/989482664.db2.gz SRYIWSBFQXZQPH-XPUUQOCRSA-N 0 2 308.235 0.641 20 0 DCADLN CC(C)(C)n1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nn1 ZINC001590593484 990245530 /nfs/dbraw/zinc/24/55/30/990245530.db2.gz MUPKSOAQEFRLDP-VIFPVBQESA-N 0 2 306.326 0.182 20 0 DCADLN CC(C)(C)n1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nn1 ZINC001590593484 990245536 /nfs/dbraw/zinc/24/55/36/990245536.db2.gz MUPKSOAQEFRLDP-VIFPVBQESA-N 0 2 306.326 0.182 20 0 DCADLN CC(C)(C)n1ncc2c1nc[nH+]c2N1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001590601946 990259646 /nfs/dbraw/zinc/25/96/46/990259646.db2.gz SGUMTRRBMSICBI-UWVGGRQHSA-N 0 2 319.365 0.853 20 0 DCADLN CS[C@@H](C)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391050610 990541327 /nfs/dbraw/zinc/54/13/27/990541327.db2.gz QSUGCOMKWJFAEH-VHSXEESVSA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@@H](C)CC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391050610 990541336 /nfs/dbraw/zinc/54/13/36/990541336.db2.gz QSUGCOMKWJFAEH-VHSXEESVSA-N 0 2 313.427 0.733 20 0 DCADLN Cn1nnc(CN2CCC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001391060231 990551092 /nfs/dbraw/zinc/55/10/92/990551092.db2.gz XHJNGKSICGOZJT-APPZFPTMSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CCC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001391060231 990551097 /nfs/dbraw/zinc/55/10/97/990551097.db2.gz XHJNGKSICGOZJT-APPZFPTMSA-N 0 2 324.282 0.191 20 0 DCADLN CN(C)C(=O)O[C@@H]1CCC[N@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001598146351 990623072 /nfs/dbraw/zinc/62/30/72/990623072.db2.gz PQKXRLLUPBRECT-LLVKDONJSA-N 0 2 308.338 0.837 20 0 DCADLN CN(C)C(=O)O[C@@H]1CCC[N@@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001598146351 990623074 /nfs/dbraw/zinc/62/30/74/990623074.db2.gz PQKXRLLUPBRECT-LLVKDONJSA-N 0 2 308.338 0.837 20 0 DCADLN O=C(NC[C@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1)C1CC1 ZINC001391232903 990689863 /nfs/dbraw/zinc/68/98/63/990689863.db2.gz MERSNRYCWUPDCF-LBPRGKRZSA-N 0 2 301.350 0.867 20 0 DCADLN O=C(NC[C@@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1)C1CC1 ZINC001391232899 990689967 /nfs/dbraw/zinc/68/99/67/990689967.db2.gz MERSNRYCWUPDCF-GFCCVEGCSA-N 0 2 301.350 0.867 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001451151609 1015616257 /nfs/dbraw/zinc/61/62/57/1015616257.db2.gz IUSGXIXFOFGDFW-CSMHCCOUSA-N 0 2 319.276 0.301 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001451151609 1015616264 /nfs/dbraw/zinc/61/62/64/1015616264.db2.gz IUSGXIXFOFGDFW-CSMHCCOUSA-N 0 2 319.276 0.301 20 0 DCADLN CCN(CC[NH2+][C@H]1CCCNC1=O)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001392451547 991947116 /nfs/dbraw/zinc/94/71/16/991947116.db2.gz AZOWRSWTJUPQBQ-KGLIPLIRSA-N 0 2 321.425 0.551 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001393338862 992894286 /nfs/dbraw/zinc/89/42/86/992894286.db2.gz DNDHGVCNBDLGPI-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001393338862 992894289 /nfs/dbraw/zinc/89/42/89/992894289.db2.gz DNDHGVCNBDLGPI-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C[NH2+]C2(CNC(=O)CCc3cn[nH]n3)CCC2)n1 ZINC001393558775 993082873 /nfs/dbraw/zinc/08/28/73/993082873.db2.gz ZPDPYZGHOZGGOZ-UHFFFAOYSA-N 0 2 317.397 0.300 20 0 DCADLN Cn1ccc(C[NH2+]C2(CNC(=O)CCc3c[nH]nn3)CCC2)n1 ZINC001393558775 993082878 /nfs/dbraw/zinc/08/28/78/993082878.db2.gz ZPDPYZGHOZGGOZ-UHFFFAOYSA-N 0 2 317.397 0.300 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2sccc2-n2cnnn2)C[C@H]1C(=O)[O-] ZINC001598574314 993250563 /nfs/dbraw/zinc/25/05/63/993250563.db2.gz JZNBJOLDIHYORW-CBAPKCEASA-N 0 2 323.334 0.038 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2sccc2-n2cnnn2)C[C@H]1C(=O)[O-] ZINC001598574314 993250567 /nfs/dbraw/zinc/25/05/67/993250567.db2.gz JZNBJOLDIHYORW-CBAPKCEASA-N 0 2 323.334 0.038 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001598574400 993252288 /nfs/dbraw/zinc/25/22/88/993252288.db2.gz WGPUPVNGOCPOHB-PHIMTYICSA-N 0 2 311.363 0.247 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001598574400 993252292 /nfs/dbraw/zinc/25/22/92/993252292.db2.gz WGPUPVNGOCPOHB-PHIMTYICSA-N 0 2 311.363 0.247 20 0 DCADLN CNC(=O)[C@@H](C)[N@@H+](C)C[C@@H]1CCCN1C(=O)Cn1cncc1C ZINC001393880838 993319386 /nfs/dbraw/zinc/31/93/86/993319386.db2.gz SJZROBOOEWFCSW-KGLIPLIRSA-N 0 2 321.425 0.249 20 0 DCADLN CS[C@@H](C)CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394052055 993436743 /nfs/dbraw/zinc/43/67/43/993436743.db2.gz OGCLHIQBOFDRSJ-IUCAKERBSA-N 0 2 301.416 0.588 20 0 DCADLN CS[C@@H](C)CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394052055 993436748 /nfs/dbraw/zinc/43/67/48/993436748.db2.gz OGCLHIQBOFDRSJ-IUCAKERBSA-N 0 2 301.416 0.588 20 0 DCADLN C[C@H](NC(=O)C1(F)CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394245303 993565186 /nfs/dbraw/zinc/56/51/86/993565186.db2.gz OZLJWZSWPAWZFT-VIFPVBQESA-N 0 2 311.361 0.729 20 0 DCADLN Cc1conc1C[NH2+]C[C@H]1C[C@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001394373852 993659700 /nfs/dbraw/zinc/65/97/00/993659700.db2.gz CKCSPRPYVIOJRJ-AULYBMBSSA-N 0 2 318.381 0.718 20 0 DCADLN Cc1nc(CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F)co1 ZINC001394589086 993877657 /nfs/dbraw/zinc/87/76/57/993877657.db2.gz KPKNUPZLELGGOV-APPZFPTMSA-N 0 2 313.251 0.450 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001399907872 993909086 /nfs/dbraw/zinc/90/90/86/993909086.db2.gz FHCXTENGOGJTLY-MFKMUULPSA-N 0 2 310.398 0.697 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-])[C@@H]1CN(C)CC[N@@H+]1C ZINC001593138748 993910195 /nfs/dbraw/zinc/91/01/95/993910195.db2.gz KJSGNOGQHBLILC-RQJABVFESA-N 0 2 311.426 0.628 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-])[C@@H]1CN(C)CC[N@H+]1C ZINC001593138748 993910204 /nfs/dbraw/zinc/91/02/04/993910204.db2.gz KJSGNOGQHBLILC-RQJABVFESA-N 0 2 311.426 0.628 20 0 DCADLN C[NH+]1CCC[C@H]1C(=O)NCC[N@H+](CC(=O)N1CCCC1)C1CC1 ZINC001399999954 994038599 /nfs/dbraw/zinc/03/85/99/994038599.db2.gz VIMSMMWMTWKAKY-HNNXBMFYSA-N 0 2 322.453 0.284 20 0 DCADLN O=C(NC1CN(Cc2nnc(C3CC3)[nH]2)C1)C(F)C(F)(F)F ZINC001400354696 994436408 /nfs/dbraw/zinc/43/64/08/994436408.db2.gz PXBXUFULPXIRKO-VIFPVBQESA-N 0 2 321.278 0.883 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492838885 994609115 /nfs/dbraw/zinc/60/91/15/994609115.db2.gz ABGZMTMNXBZTNC-LLVKDONJSA-N 0 2 319.365 0.526 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492838885 994609124 /nfs/dbraw/zinc/60/91/24/994609124.db2.gz ABGZMTMNXBZTNC-LLVKDONJSA-N 0 2 319.365 0.526 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2cccc(C(=O)[O-])n2)C1 ZINC001598669357 994728834 /nfs/dbraw/zinc/72/88/34/994728834.db2.gz LYGQUWPUTIQFJH-NSHDSACASA-N 0 2 313.379 0.293 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2cccc(C(=O)[O-])n2)C1 ZINC001598669357 994728838 /nfs/dbraw/zinc/72/88/38/994728838.db2.gz LYGQUWPUTIQFJH-NSHDSACASA-N 0 2 313.379 0.293 20 0 DCADLN COC1(CC(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001400690211 994799273 /nfs/dbraw/zinc/79/92/73/994799273.db2.gz HUEKOOAQXIHCEA-LLVKDONJSA-N 0 2 323.397 0.502 20 0 DCADLN COC1(CC(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001400690211 994799284 /nfs/dbraw/zinc/79/92/84/994799284.db2.gz HUEKOOAQXIHCEA-LLVKDONJSA-N 0 2 323.397 0.502 20 0 DCADLN COC(=O)C(C)(C)N1CC[NH+](Cc2cccc(C(=O)[O-])n2)CC1 ZINC001598684159 994980295 /nfs/dbraw/zinc/98/02/95/994980295.db2.gz PNYYIZWYKDVZOV-UHFFFAOYSA-N 0 2 321.377 0.849 20 0 DCADLN CC[NH+](CC)CC(=O)N(C)C[C@H]1CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001396478548 995423183 /nfs/dbraw/zinc/42/31/83/995423183.db2.gz JTRMNYBVHSTUGO-CQSZACIVSA-N 0 2 321.425 0.353 20 0 DCADLN COC(=O)C1=NO[C@@]2(CCN(c3ccc(C)c(C(=O)[O-])[nH+]3)C2)C1 ZINC001598728563 995538053 /nfs/dbraw/zinc/53/80/53/995538053.db2.gz JBMMPFHHNYMCNF-HNNXBMFYSA-N 0 2 319.317 0.986 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])CN1C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001598768112 995855597 /nfs/dbraw/zinc/85/55/97/995855597.db2.gz PNBJDHSGNKOTTR-BTQIBKBOSA-N 0 2 321.333 0.378 20 0 DCADLN CO[C@H](C)CC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001397885960 996231154 /nfs/dbraw/zinc/23/11/54/996231154.db2.gz OMALNZPGYWWGOU-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@H](C)CC(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001397885960 996231160 /nfs/dbraw/zinc/23/11/60/996231160.db2.gz OMALNZPGYWWGOU-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN COC[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001599277551 996832591 /nfs/dbraw/zinc/83/25/91/996832591.db2.gz YEBWQONEQQEQLK-DTWKUNHWSA-N 0 2 314.363 0.466 20 0 DCADLN COC[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001599277551 996832600 /nfs/dbraw/zinc/83/26/00/996832600.db2.gz YEBWQONEQQEQLK-DTWKUNHWSA-N 0 2 314.363 0.466 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@@H+]([C@H]1CCN(C)C1=O)CC2 ZINC001599501222 997124806 /nfs/dbraw/zinc/12/48/06/997124806.db2.gz KIEUQWKNMNIHJI-ZDUSSCGKSA-N 0 2 304.346 0.982 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@H+]([C@H]1CCN(C)C1=O)CC2 ZINC001599501222 997124812 /nfs/dbraw/zinc/12/48/12/997124812.db2.gz KIEUQWKNMNIHJI-ZDUSSCGKSA-N 0 2 304.346 0.982 20 0 DCADLN CC(C)(C)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510972246 1016096283 /nfs/dbraw/zinc/09/62/83/1016096283.db2.gz QFWADXCWYJWPPK-RQJHMYQMSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001510972246 1016096290 /nfs/dbraw/zinc/09/62/90/1016096290.db2.gz QFWADXCWYJWPPK-RQJHMYQMSA-N 0 2 302.268 0.526 20 0 DCADLN C/C=C(/C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510973828 1016097641 /nfs/dbraw/zinc/09/76/41/1016097641.db2.gz VIIKDNVKZCJQOO-HUBQWXJRSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(/C)C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001510973828 1016097653 /nfs/dbraw/zinc/09/76/53/1016097653.db2.gz VIIKDNVKZCJQOO-HUBQWXJRSA-N 0 2 300.252 0.446 20 0 DCADLN CO[C@H]1CC(=O)N(C[NH+]2CCC(c3cc(C(=O)[O-])on3)CC2)C1 ZINC001599095077 997264538 /nfs/dbraw/zinc/26/45/38/997264538.db2.gz FSLBZBBNLBZPMU-NSHDSACASA-N 0 2 323.349 0.757 20 0 DCADLN COC(=O)[C@H]1CCCC[C@H]1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001598907161 997286564 /nfs/dbraw/zinc/28/65/64/997286564.db2.gz VFDGVOJRFJSVIY-WOPDTQHZSA-N 0 2 323.349 0.432 20 0 DCADLN COCc1ncsc1C(=O)O[C@@H]1C[N@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001599305440 997304480 /nfs/dbraw/zinc/30/44/80/997304480.db2.gz BJKQDLFLWDDLGN-SDBXPKJASA-N 0 2 314.363 0.994 20 0 DCADLN COCc1ncsc1C(=O)O[C@@H]1C[N@@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001599305440 997304495 /nfs/dbraw/zinc/30/44/95/997304495.db2.gz BJKQDLFLWDDLGN-SDBXPKJASA-N 0 2 314.363 0.994 20 0 DCADLN COCC1(O)C[NH+](Cc2cc(C)c(OCC(=O)[O-])c(C)c2)C1 ZINC001599202023 997324170 /nfs/dbraw/zinc/32/41/70/997324170.db2.gz WRIYKUMBCDLDMM-UHFFFAOYSA-N 0 2 309.362 0.960 20 0 DCADLN Cc1ccnc(CN[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001507197911 1016113909 /nfs/dbraw/zinc/11/39/09/1016113909.db2.gz JSWVTPYDHVUERJ-WCBMZHEXSA-N 0 2 324.278 0.252 20 0 DCADLN Cc1ccnc(CN[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001507197911 1016113912 /nfs/dbraw/zinc/11/39/12/1016113912.db2.gz JSWVTPYDHVUERJ-WCBMZHEXSA-N 0 2 324.278 0.252 20 0 DCADLN COCc1noc(C[N@@H+]2[C@H]3C[C@@H](C(=O)[O-])O[C@H]3CC[C@H]2C)n1 ZINC001599307891 997357670 /nfs/dbraw/zinc/35/76/70/997357670.db2.gz HMZKYFMGCATZBO-RCWTZXSCSA-N 0 2 311.338 0.811 20 0 DCADLN COCc1noc(C[N@H+]2[C@H]3C[C@@H](C(=O)[O-])O[C@H]3CC[C@H]2C)n1 ZINC001599307891 997357679 /nfs/dbraw/zinc/35/76/79/997357679.db2.gz HMZKYFMGCATZBO-RCWTZXSCSA-N 0 2 311.338 0.811 20 0 DCADLN C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccsc2C(=O)[O-])C1 ZINC001594046770 997706919 /nfs/dbraw/zinc/70/69/19/997706919.db2.gz FLPRWVISRSCENG-NSHDSACASA-N 0 2 322.383 0.768 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])[nH]c1C ZINC001598933239 997810837 /nfs/dbraw/zinc/81/08/37/997810837.db2.gz UOUFJKXULCFGBH-GFCCVEGCSA-N 0 2 323.349 0.275 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])[nH]c1C ZINC001598933239 997810847 /nfs/dbraw/zinc/81/08/47/997810847.db2.gz UOUFJKXULCFGBH-GFCCVEGCSA-N 0 2 323.349 0.275 20 0 DCADLN COc1c(N)[nH+]cnc1N1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001599335471 997827266 /nfs/dbraw/zinc/82/72/66/997827266.db2.gz JBLLIXARQDMKIC-MRVPVSSYSA-N 0 2 319.325 0.199 20 0 DCADLN COc1c(N)nc[nH+]c1N1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001599335471 997827272 /nfs/dbraw/zinc/82/72/72/997827272.db2.gz JBLLIXARQDMKIC-MRVPVSSYSA-N 0 2 319.325 0.199 20 0 DCADLN COC[C@@](C)(CC(=O)[O-])NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC001599147399 998236469 /nfs/dbraw/zinc/23/64/69/998236469.db2.gz KCEPJQXVZSKWCC-IUODEOHRSA-N 0 2 324.381 0.786 20 0 DCADLN COC[C@@](C)(CC(=O)[O-])NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC001599147399 998236476 /nfs/dbraw/zinc/23/64/76/998236476.db2.gz KCEPJQXVZSKWCC-IUODEOHRSA-N 0 2 324.381 0.786 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001598958721 998292729 /nfs/dbraw/zinc/29/27/29/998292729.db2.gz DQSVLKUFEWRGSK-GFCCVEGCSA-N 0 2 317.301 0.553 20 0 DCADLN COC[C@@](C)(NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)C(=O)[O-] ZINC001599152625 998317452 /nfs/dbraw/zinc/31/74/52/998317452.db2.gz RLRMJHQZGVNOOQ-SMDDNHRTSA-N 0 2 310.354 0.396 20 0 DCADLN COC[C@@](C)(NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)C(=O)[O-] ZINC001599152625 998317461 /nfs/dbraw/zinc/31/74/61/998317461.db2.gz RLRMJHQZGVNOOQ-SMDDNHRTSA-N 0 2 310.354 0.396 20 0 DCADLN COC(=O)c1cnc([C@H](C)[N@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)s1 ZINC001599007759 998870155 /nfs/dbraw/zinc/87/01/55/998870155.db2.gz ODWGRSDURNBTQD-YIZRAAEISA-N 0 2 314.363 0.758 20 0 DCADLN COC(=O)c1cnc([C@H](C)[N@@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)s1 ZINC001599007759 998870157 /nfs/dbraw/zinc/87/01/57/998870157.db2.gz ODWGRSDURNBTQD-YIZRAAEISA-N 0 2 314.363 0.758 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])[nH]1 ZINC001594250568 998961459 /nfs/dbraw/zinc/96/14/59/998961459.db2.gz NXJJJDQBFMAOGS-GHMZBOCLSA-N 0 2 307.350 0.648 20 0 DCADLN CO[C@H](C)C[N@H+](C)CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001599039401 999107154 /nfs/dbraw/zinc/10/71/54/999107154.db2.gz BVPIGZVECAXUIW-RNCFNFMXSA-N 0 2 301.343 0.086 20 0 DCADLN CO[C@H](C)C[N@@H+](C)CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001599039401 999107158 /nfs/dbraw/zinc/10/71/58/999107158.db2.gz BVPIGZVECAXUIW-RNCFNFMXSA-N 0 2 301.343 0.086 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2cn(CC(=O)[O-])nn2)C[C@H]1n1ccnc1 ZINC001594425979 999590791 /nfs/dbraw/zinc/59/07/91/999590791.db2.gz FDAGJOMBRVURIQ-DGCLKSJQSA-N 0 2 304.354 0.642 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2cn(CC(=O)[O-])nn2)C[C@H]1n1ccnc1 ZINC001594425979 999590794 /nfs/dbraw/zinc/59/07/94/999590794.db2.gz FDAGJOMBRVURIQ-DGCLKSJQSA-N 0 2 304.354 0.642 20 0 DCADLN COc1cc(C)cnc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418467811 1000252696 /nfs/dbraw/zinc/25/26/96/1000252696.db2.gz FBWYWUFQNUSVLQ-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN COc1cc(C)cnc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418467811 1000252700 /nfs/dbraw/zinc/25/27/00/1000252700.db2.gz FBWYWUFQNUSVLQ-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN CC(C)(C)c1nnc(C(=O)NCC2=NC(=O)CC(=O)N2)s1 ZINC001418623242 1000402558 /nfs/dbraw/zinc/40/25/58/1000402558.db2.gz NLKKEYFGSLNNDT-UHFFFAOYSA-N 0 2 309.351 0.967 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001401392416 1000404535 /nfs/dbraw/zinc/40/45/35/1000404535.db2.gz BRFAYWMAIWBKKC-UHFFFAOYSA-N 0 2 306.370 0.659 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001401392416 1000404537 /nfs/dbraw/zinc/40/45/37/1000404537.db2.gz BRFAYWMAIWBKKC-UHFFFAOYSA-N 0 2 306.370 0.659 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NC[C@H]2CC[NH+](Cc3nncs3)C2)C1 ZINC001418674421 1000440592 /nfs/dbraw/zinc/44/05/92/1000440592.db2.gz QSSIYRQYZXOUNV-CHWSQXEVSA-N 0 2 323.466 0.818 20 0 DCADLN CCC[C@H](C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001418975392 1000659318 /nfs/dbraw/zinc/65/93/18/1000659318.db2.gz JDVRVBUDTGODPX-NSHDSACASA-N 0 2 317.393 0.759 20 0 DCADLN C[C@H](CN(C)Cc1cn(C)nn1)NC(=O)C(F)C(F)(F)F ZINC001401759367 1000697162 /nfs/dbraw/zinc/69/71/62/1000697162.db2.gz VFAMPYXXHSPWHK-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CN(C)Cc1cn(C)nn1)NC(=O)[C@H](F)C(F)(F)F ZINC001401759367 1000697169 /nfs/dbraw/zinc/69/71/69/1000697169.db2.gz VFAMPYXXHSPWHK-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN O=C(NCc1nc2c(c(=O)[nH]1)COCC2)c1[nH]nc2c1CCC2 ZINC001419021302 1000697297 /nfs/dbraw/zinc/69/72/97/1000697297.db2.gz GRSXOWKQCIGWOL-UHFFFAOYSA-N 0 2 315.333 0.397 20 0 DCADLN Cc1nnsc1C[N@@H+](C)C[C@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001401777597 1000715883 /nfs/dbraw/zinc/71/58/83/1000715883.db2.gz YLXHHMRBWFQMGT-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nnsc1C[N@H+](C)C[C@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001401777597 1000715889 /nfs/dbraw/zinc/71/58/89/1000715889.db2.gz YLXHHMRBWFQMGT-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1c(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001401779009 1000718342 /nfs/dbraw/zinc/71/83/42/1000718342.db2.gz IHYWXWCJCPQJMH-VIFPVBQESA-N 0 2 306.370 0.408 20 0 DCADLN Cc1c(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001401779009 1000718350 /nfs/dbraw/zinc/71/83/50/1000718350.db2.gz IHYWXWCJCPQJMH-VIFPVBQESA-N 0 2 306.370 0.408 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001401887558 1000820368 /nfs/dbraw/zinc/82/03/68/1000820368.db2.gz GOQKJTWGLJHVKW-QWRGUYRKSA-N 0 2 323.397 0.272 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001401887558 1000820372 /nfs/dbraw/zinc/82/03/72/1000820372.db2.gz GOQKJTWGLJHVKW-QWRGUYRKSA-N 0 2 323.397 0.272 20 0 DCADLN CO[C@H](C)CC(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402742209 1001487369 /nfs/dbraw/zinc/48/73/69/1001487369.db2.gz XKNOLYCNDVVUCG-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@H](C)CC(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402742209 1001487370 /nfs/dbraw/zinc/48/73/70/1001487370.db2.gz XKNOLYCNDVVUCG-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(CCCC1CC1)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001403236360 1001754293 /nfs/dbraw/zinc/75/42/93/1001754293.db2.gz LJQIDNBFQNKNPO-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN COCCOCC(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001471064659 1016518680 /nfs/dbraw/zinc/51/86/80/1016518680.db2.gz OEWXFCLBGRVZIV-LBPRGKRZSA-N 0 2 320.349 0.573 20 0 DCADLN CC(C)n1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001420034793 1001778133 /nfs/dbraw/zinc/77/81/33/1001778133.db2.gz GEMATJXCOFZUSN-UHFFFAOYSA-N 0 2 321.385 0.492 20 0 DCADLN CC(C)n1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001420034793 1001778138 /nfs/dbraw/zinc/77/81/38/1001778138.db2.gz GEMATJXCOFZUSN-UHFFFAOYSA-N 0 2 321.385 0.492 20 0 DCADLN C[C@H](CNC(=O)c1coc(C2CC2)n1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425023715 1002262976 /nfs/dbraw/zinc/26/29/76/1002262976.db2.gz IGKCEPWRVJHHPU-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](CNC(=O)c1coc(C2CC2)n1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425023715 1002262985 /nfs/dbraw/zinc/26/29/85/1002262985.db2.gz IGKCEPWRVJHHPU-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](CNC(=O)C1(C(F)(F)F)CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425025244 1002264896 /nfs/dbraw/zinc/26/48/96/1002264896.db2.gz LQGNMLVDHLWDFA-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](CNC(=O)C1(C(F)(F)F)CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425025244 1002264904 /nfs/dbraw/zinc/26/49/04/1002264904.db2.gz LQGNMLVDHLWDFA-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN CN(CCNC(=O)[C@H](F)c1ccccc1)Cc1n[nH]c(=O)[nH]1 ZINC001403698518 1002375697 /nfs/dbraw/zinc/37/56/97/1002375697.db2.gz CEWLTLYAOXIIER-GFCCVEGCSA-N 0 2 307.329 0.769 20 0 DCADLN C[N@H+](CCNC(=O)[C@H](F)c1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403698518 1002375706 /nfs/dbraw/zinc/37/57/06/1002375706.db2.gz CEWLTLYAOXIIER-GFCCVEGCSA-N 0 2 307.329 0.769 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H](F)c1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403698518 1002375721 /nfs/dbraw/zinc/37/57/21/1002375721.db2.gz CEWLTLYAOXIIER-GFCCVEGCSA-N 0 2 307.329 0.769 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCc3[nH]cnc3C23CCC3)S1 ZINC001471659360 1016624436 /nfs/dbraw/zinc/62/44/36/1016624436.db2.gz ZKAWMKGNXAVANU-VIFPVBQESA-N 0 2 319.390 0.730 20 0 DCADLN O=C(Cc1ccccc1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420487659 1002490363 /nfs/dbraw/zinc/49/03/63/1002490363.db2.gz QGZORKRMBHYCPC-CYBMUJFWSA-N 0 2 315.377 0.834 20 0 DCADLN O=C(Cc1ccccc1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420487659 1002490370 /nfs/dbraw/zinc/49/03/70/1002490370.db2.gz QGZORKRMBHYCPC-CYBMUJFWSA-N 0 2 315.377 0.834 20 0 DCADLN CCCn1nccc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420569035 1002632978 /nfs/dbraw/zinc/63/29/78/1002632978.db2.gz CMJGFVLDGHARPQ-SNVBAGLBSA-N 0 2 321.385 0.367 20 0 DCADLN CCCn1nccc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420569035 1002632984 /nfs/dbraw/zinc/63/29/84/1002632984.db2.gz CMJGFVLDGHARPQ-SNVBAGLBSA-N 0 2 321.385 0.367 20 0 DCADLN CC[N@H+](CCNC(=O)C1([NH+](C)C)CCC1)Cc1cnnn1C ZINC001403856794 1002638046 /nfs/dbraw/zinc/63/80/46/1002638046.db2.gz LKYDJWKFSZQSRY-UHFFFAOYSA-N 0 2 308.430 0.238 20 0 DCADLN C[C@H](CN(C)Cc1nnc(C2CC2)[nH]1)NC(=O)c1cnn[nH]1 ZINC001420599737 1002675054 /nfs/dbraw/zinc/67/50/54/1002675054.db2.gz XDLJPCLAOIVCPM-MRVPVSSYSA-N 0 2 304.358 0.051 20 0 DCADLN Cc1ncccc1CC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420627568 1002708821 /nfs/dbraw/zinc/70/88/21/1002708821.db2.gz XBXYDNQLQXEPTD-SNVBAGLBSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ncccc1CC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420627568 1002708832 /nfs/dbraw/zinc/70/88/32/1002708832.db2.gz XBXYDNQLQXEPTD-SNVBAGLBSA-N 0 2 318.381 0.393 20 0 DCADLN C[S@](=O)Cc1ccccc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001425480427 1002720943 /nfs/dbraw/zinc/72/09/43/1002720943.db2.gz ITUIIESPZBQBFY-NRFANRHFSA-N 0 2 309.351 0.711 20 0 DCADLN O=C(C[C@H]1CCCCO1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403971812 1002828894 /nfs/dbraw/zinc/82/88/94/1002828894.db2.gz AOXWAVRWIXYVBM-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@H]1CCCCO1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403971812 1002828905 /nfs/dbraw/zinc/82/89/05/1002828905.db2.gz AOXWAVRWIXYVBM-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN([C@@H]2CCN(C)C2=O)C1 ZINC001421059635 1003278577 /nfs/dbraw/zinc/27/85/77/1003278577.db2.gz YVWIJMCSDUQRFU-BDAKNGLRSA-N 0 2 311.279 0.260 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN([C@@H]2CCN(C)C2=O)C1 ZINC001421059635 1003278587 /nfs/dbraw/zinc/27/85/87/1003278587.db2.gz YVWIJMCSDUQRFU-BDAKNGLRSA-N 0 2 311.279 0.260 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421144093 1003377692 /nfs/dbraw/zinc/37/76/92/1003377692.db2.gz JRKKCGNXKIRWBT-SSDOTTSWSA-N 0 2 321.303 0.647 20 0 DCADLN C[C@@]1(NC(=O)Cc2cscn2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421202099 1003438630 /nfs/dbraw/zinc/43/86/30/1003438630.db2.gz CUIOBSVPTDKXBH-CYBMUJFWSA-N 0 2 322.394 0.290 20 0 DCADLN C[C@@]1(NC(=O)Cc2cscn2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421202099 1003438632 /nfs/dbraw/zinc/43/86/32/1003438632.db2.gz CUIOBSVPTDKXBH-CYBMUJFWSA-N 0 2 322.394 0.290 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)SCC(=O)N2 ZINC001426140976 1003462947 /nfs/dbraw/zinc/46/29/47/1003462947.db2.gz ARMFXJCJXXZLAD-UHFFFAOYSA-N 0 2 320.334 0.876 20 0 DCADLN O=C(CCCF)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001513026157 1016784845 /nfs/dbraw/zinc/78/48/45/1016784845.db2.gz GTLCQYOKQZEGHA-BHNWBGBOSA-N 0 2 318.242 0.324 20 0 DCADLN O=C(CCCF)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001513026157 1016784855 /nfs/dbraw/zinc/78/48/55/1016784855.db2.gz GTLCQYOKQZEGHA-BHNWBGBOSA-N 0 2 318.242 0.324 20 0 DCADLN Cn1cnc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001428382156 1003900361 /nfs/dbraw/zinc/90/03/61/1003900361.db2.gz FXNFYPAHKPLORT-VIFPVBQESA-N 0 2 305.342 0.333 20 0 DCADLN COc1ncccc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406655798 1003975754 /nfs/dbraw/zinc/97/57/54/1003975754.db2.gz QMLCRGZOCPEKAN-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1ncccc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406655798 1003975759 /nfs/dbraw/zinc/97/57/59/1003975759.db2.gz QMLCRGZOCPEKAN-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN CC(C)n1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406704060 1004019302 /nfs/dbraw/zinc/01/93/02/1004019302.db2.gz OTGKBFSRQHHTNR-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406704060 1004019310 /nfs/dbraw/zinc/01/93/10/1004019310.db2.gz OTGKBFSRQHHTNR-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cnon1)C(=O)C(F)C(F)(F)F ZINC001426774042 1004027271 /nfs/dbraw/zinc/02/72/71/1004027271.db2.gz QXCLTXXUQMNGNV-POYBYMJQSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cnon1)C(=O)[C@@H](F)C(F)(F)F ZINC001426774042 1004027279 /nfs/dbraw/zinc/02/72/79/1004027279.db2.gz QXCLTXXUQMNGNV-POYBYMJQSA-N 0 2 324.234 0.643 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001406895650 1004195770 /nfs/dbraw/zinc/19/57/70/1004195770.db2.gz FIFDBHTZKLZFJZ-JTQLQIEISA-N 0 2 321.406 0.813 20 0 DCADLN Cc1nccn1CC(=O)NCC1([NH2+][C@@H](C)c2cnccn2)CC1 ZINC001406993677 1004292880 /nfs/dbraw/zinc/29/28/80/1004292880.db2.gz JGGGIGGPFOVDOR-LBPRGKRZSA-N 0 2 314.393 0.981 20 0 DCADLN C[C@H](CCNC(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001428983579 1004308114 /nfs/dbraw/zinc/30/81/14/1004308114.db2.gz HEIMZOHPTPNUEG-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)c1ccn[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001428983579 1004308122 /nfs/dbraw/zinc/30/81/22/1004308122.db2.gz HEIMZOHPTPNUEG-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN CN(C(=O)CC[C@@H]1CCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407044355 1004329727 /nfs/dbraw/zinc/32/97/27/1004329727.db2.gz XUGVVVPQJNKXGF-NSHDSACASA-N 0 2 309.370 0.112 20 0 DCADLN CC(C)(O)CC(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001427375423 1004348260 /nfs/dbraw/zinc/34/82/60/1004348260.db2.gz DNKOWPJYFJUAPE-VXNVDRBHSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001427375423 1004348264 /nfs/dbraw/zinc/34/82/64/1004348264.db2.gz DNKOWPJYFJUAPE-VXNVDRBHSA-N 0 2 314.279 0.765 20 0 DCADLN CCOCC(=O)N(C)C[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001427449769 1004392742 /nfs/dbraw/zinc/39/27/42/1004392742.db2.gz NXLUSILBLUJMJT-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N(C)C[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001427449769 1004392750 /nfs/dbraw/zinc/39/27/50/1004392750.db2.gz NXLUSILBLUJMJT-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CCN1C[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC001427598095 1004483001 /nfs/dbraw/zinc/48/30/01/1004483001.db2.gz AUKFTLAOYVVVQP-ZJUUUORDSA-N 0 2 322.369 0.020 20 0 DCADLN Cc1nc[nH]c1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001429297684 1004515212 /nfs/dbraw/zinc/51/52/12/1004515212.db2.gz PQMYGFQOZXWKPT-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1nc[nH]c1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001429297684 1004515215 /nfs/dbraw/zinc/51/52/15/1004515215.db2.gz PQMYGFQOZXWKPT-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN C[C@@H]1CC[C@@H](CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001427681377 1004530020 /nfs/dbraw/zinc/53/00/20/1004530020.db2.gz AJCBYWAOQJLUMI-MXWKQRLJSA-N 0 2 309.370 0.967 20 0 DCADLN CCC(=O)NCc1cc(=O)[nH]c(CNC(=O)c2[nH]ncc2F)n1 ZINC001414261377 1005267869 /nfs/dbraw/zinc/26/78/69/1005267869.db2.gz DRSVTPXPHUNICL-UHFFFAOYSA-N 0 2 322.300 0.001 20 0 DCADLN CCC[N@H+](C)CC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001414321425 1005286529 /nfs/dbraw/zinc/28/65/29/1005286529.db2.gz UQVOCHPZOYQLHX-KGLIPLIRSA-N 0 2 321.425 0.331 20 0 DCADLN CCOC(=O)c1ccccc1S(=O)(=O)Nc1nnn(C)n1 ZINC001414331654 1005289578 /nfs/dbraw/zinc/28/95/78/1005289578.db2.gz OWTKWSFMVJJQKV-UHFFFAOYSA-N 0 2 311.323 0.188 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001414779498 1005400803 /nfs/dbraw/zinc/40/08/03/1005400803.db2.gz HOLJGVDWYMFHGS-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC001414779498 1005400806 /nfs/dbraw/zinc/40/08/06/1005400806.db2.gz HOLJGVDWYMFHGS-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001414779495 1005401036 /nfs/dbraw/zinc/40/10/36/1005401036.db2.gz HOLJGVDWYMFHGS-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC001414779495 1005401037 /nfs/dbraw/zinc/40/10/37/1005401037.db2.gz HOLJGVDWYMFHGS-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414784768 1005401943 /nfs/dbraw/zinc/40/19/43/1005401943.db2.gz XSIQLWNWGJYMEJ-SVRRBLITSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001414784768 1005401945 /nfs/dbraw/zinc/40/19/45/1005401945.db2.gz XSIQLWNWGJYMEJ-SVRRBLITSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001415516537 1005551667 /nfs/dbraw/zinc/55/16/67/1005551667.db2.gz XFQGEIDHONTCHG-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)n(C)n1 ZINC001415516537 1005551671 /nfs/dbraw/zinc/55/16/71/1005551671.db2.gz XFQGEIDHONTCHG-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001415677960 1005590961 /nfs/dbraw/zinc/59/09/61/1005590961.db2.gz MOHYIPWQUQCOGZ-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001415677960 1005590963 /nfs/dbraw/zinc/59/09/63/1005590963.db2.gz MOHYIPWQUQCOGZ-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CC[C@@H](CNC(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001416188590 1005668733 /nfs/dbraw/zinc/66/87/33/1005668733.db2.gz WUAQUBWLFWTXJV-YUMQZZPRSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)c1cn[nH]c1)NC(=O)[C@H](F)C(F)(F)F ZINC001416188590 1005668734 /nfs/dbraw/zinc/66/87/34/1005668734.db2.gz WUAQUBWLFWTXJV-YUMQZZPRSA-N 0 2 310.251 0.935 20 0 DCADLN Cn1cc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)cn1 ZINC001417146785 1005792660 /nfs/dbraw/zinc/79/26/60/1005792660.db2.gz JWUSARDTKFQVTP-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cc(C(=O)NC2(CNC(=O)[C@@H](F)C(F)(F)F)CC2)cn1 ZINC001417146785 1005792663 /nfs/dbraw/zinc/79/26/63/1005792663.db2.gz JWUSARDTKFQVTP-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1)N(C)C(=O)C(F)C(F)(F)F ZINC001508753771 1016997880 /nfs/dbraw/zinc/99/78/80/1016997880.db2.gz RYEKASIGPJMBDT-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001508753771 1016997896 /nfs/dbraw/zinc/99/78/96/1016997896.db2.gz RYEKASIGPJMBDT-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN Cc1nc(C[NH2+][C@H]2C[C@H](CNC(=O)CCc3cn[nH]n3)C2)co1 ZINC001436388830 1006156689 /nfs/dbraw/zinc/15/66/89/1006156689.db2.gz FPRILZMRXRSMDM-AULYBMBSSA-N 0 2 318.381 0.718 20 0 DCADLN Cc1nc(C[NH2+][C@H]2C[C@H](CNC(=O)CCc3c[nH]nn3)C2)co1 ZINC001436388830 1006156695 /nfs/dbraw/zinc/15/66/95/1006156695.db2.gz FPRILZMRXRSMDM-AULYBMBSSA-N 0 2 318.381 0.718 20 0 DCADLN O=C(CCC1CC1)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001451420339 1006163370 /nfs/dbraw/zinc/16/33/70/1006163370.db2.gz SKTRVRWMRBPFDV-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001451420339 1006163376 /nfs/dbraw/zinc/16/33/76/1006163376.db2.gz SKTRVRWMRBPFDV-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN CN(CCN(C)C(=O)[C@H]1CCC1(F)F)Cc1n[nH]c(=O)[nH]1 ZINC001438889604 1006199384 /nfs/dbraw/zinc/19/93/84/1006199384.db2.gz KBDMTFHADAGAEF-MRVPVSSYSA-N 0 2 303.313 0.446 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCC1(F)F ZINC001438889604 1006199387 /nfs/dbraw/zinc/19/93/87/1006199387.db2.gz KBDMTFHADAGAEF-MRVPVSSYSA-N 0 2 303.313 0.446 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCC1(F)F ZINC001438889604 1006199389 /nfs/dbraw/zinc/19/93/89/1006199389.db2.gz KBDMTFHADAGAEF-MRVPVSSYSA-N 0 2 303.313 0.446 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)CNC(=O)C(F)C(F)(F)F ZINC001451566060 1006276041 /nfs/dbraw/zinc/27/60/41/1006276041.db2.gz UHWUBTKDBQCINW-XVKPBYJWSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)CNC(=O)[C@H](F)C(F)(F)F ZINC001451566060 1006276054 /nfs/dbraw/zinc/27/60/54/1006276054.db2.gz UHWUBTKDBQCINW-XVKPBYJWSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)CNC(=O)C(F)C(F)(F)F ZINC001451599286 1006299192 /nfs/dbraw/zinc/29/91/92/1006299192.db2.gz CDBBNRKEAQOVMV-APPZFPTMSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)CNC(=O)[C@H](F)C(F)(F)F ZINC001451599286 1006299199 /nfs/dbraw/zinc/29/91/99/1006299199.db2.gz CDBBNRKEAQOVMV-APPZFPTMSA-N 0 2 322.262 0.859 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C[NH2+]Cc2nnc(C3CC3)o2)c1[O-] ZINC001439207252 1006577646 /nfs/dbraw/zinc/57/76/46/1006577646.db2.gz ZYQLEMDPUSSBDC-ZETCQYMHSA-N 0 2 320.353 0.592 20 0 DCADLN CC[C@@H](O)[C@@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001452603252 1006935001 /nfs/dbraw/zinc/93/50/01/1006935001.db2.gz QRMUZAKKRSFVQI-NWDGAFQWSA-N 0 2 319.365 0.223 20 0 DCADLN CC[C@H](O)[C@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001452603245 1006936079 /nfs/dbraw/zinc/93/60/79/1006936079.db2.gz QRMUZAKKRSFVQI-NEPJUHHUSA-N 0 2 319.365 0.223 20 0 DCADLN CC(C)(C)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001452639695 1006960506 /nfs/dbraw/zinc/96/05/06/1006960506.db2.gz YLIBAHNSAAEOHE-BWZBUEFSSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)(C)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001452639695 1006960515 /nfs/dbraw/zinc/96/05/15/1006960515.db2.gz YLIBAHNSAAEOHE-BWZBUEFSSA-N 0 2 314.279 0.621 20 0 DCADLN CCOCC(=O)N1C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001452698496 1006995651 /nfs/dbraw/zinc/99/56/51/1006995651.db2.gz XALXLVOISODHMB-MRTMQBJTSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N1C[C@@H](C)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001452698496 1006995655 /nfs/dbraw/zinc/99/56/55/1006995655.db2.gz XALXLVOISODHMB-MRTMQBJTSA-N 0 2 314.279 0.886 20 0 DCADLN COC[C@@H](C)[C@H](C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001439726863 1007159233 /nfs/dbraw/zinc/15/92/33/1007159233.db2.gz ZVNQGRLEWIUWCH-ZJUUUORDSA-N 0 2 311.386 0.072 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnccn1)C(F)C(F)(F)F ZINC001453165557 1007284161 /nfs/dbraw/zinc/28/41/61/1007284161.db2.gz JNYXJXKXYISTTH-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnccn1)[C@@H](F)C(F)(F)F ZINC001453165557 1007284174 /nfs/dbraw/zinc/28/41/74/1007284174.db2.gz JNYXJXKXYISTTH-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1c[nH]nc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001453417419 1007462452 /nfs/dbraw/zinc/46/24/52/1007462452.db2.gz SYRFEVKDIQVHOG-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1c[nH]nc1C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001453417419 1007462457 /nfs/dbraw/zinc/46/24/57/1007462457.db2.gz SYRFEVKDIQVHOG-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN CCC(C)(C)C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001440280833 1007640691 /nfs/dbraw/zinc/64/06/91/1007640691.db2.gz VAYIRNYEJBOYJN-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)CC[C@H]1CCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440911098 1008107113 /nfs/dbraw/zinc/10/71/13/1008107113.db2.gz FLIVMZGWLVYTIH-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)CC[C@H]1CCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440911098 1008107119 /nfs/dbraw/zinc/10/71/19/1008107119.db2.gz FLIVMZGWLVYTIH-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@H](C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001440981489 1008155694 /nfs/dbraw/zinc/15/56/94/1008155694.db2.gz HARKZEUKCDGSFB-ZDUSSCGKSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001454028457 1008862408 /nfs/dbraw/zinc/86/24/08/1008862408.db2.gz RYTKLHUEKQXFEF-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001454028457 1008862418 /nfs/dbraw/zinc/86/24/18/1008862418.db2.gz RYTKLHUEKQXFEF-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN COc1ncccc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001433616736 1009006014 /nfs/dbraw/zinc/00/60/14/1009006014.db2.gz MSGTWQKFFQOGKP-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001454328274 1009076413 /nfs/dbraw/zinc/07/64/13/1009076413.db2.gz KZTLQICDFDYVTH-ATRFCDNQSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001454328274 1009076423 /nfs/dbraw/zinc/07/64/23/1009076423.db2.gz KZTLQICDFDYVTH-ATRFCDNQSA-N 0 2 323.250 0.093 20 0 DCADLN C[C@@H](CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001421801155 1009112399 /nfs/dbraw/zinc/11/23/99/1009112399.db2.gz SSOCCJFSODIQDY-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1nc[nH]n1)[C@@H](F)C(F)(F)F ZINC001433742589 1009140561 /nfs/dbraw/zinc/14/05/61/1009140561.db2.gz YOBJMJKWSCCEEC-NTSWFWBYSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1nc[nH]n1)C(F)C(F)(F)F ZINC001433742589 1009140555 /nfs/dbraw/zinc/14/05/55/1009140555.db2.gz YOBJMJKWSCCEEC-NTSWFWBYSA-N 0 2 309.223 0.036 20 0 DCADLN Cc1nnc(C[NH2+][C@@H](CNC(=O)Cc2nnc(C)[nH]2)C(C)C)[nH]1 ZINC001422083621 1009470618 /nfs/dbraw/zinc/47/06/18/1009470618.db2.gz RTVIJZZYPYIYMZ-NSHDSACASA-N 0 2 320.401 0.013 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCS(=O)(=O)C2CC2)cn1 ZINC001434088661 1009544068 /nfs/dbraw/zinc/54/40/68/1009544068.db2.gz DCZDBAFXKBFDIK-UHFFFAOYSA-N 0 2 323.378 0.117 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC[C@H](O)[C@H](CO)C1 ZINC001434099066 1009561194 /nfs/dbraw/zinc/56/11/94/1009561194.db2.gz MWUXQEQDLPBXDO-AAEUAGOBSA-N 0 2 317.345 0.393 20 0 DCADLN COC(=O)c1cc(C)c(C(=O)NCc2nc(O)cc(=O)[nH]2)o1 ZINC001434231547 1009680354 /nfs/dbraw/zinc/68/03/54/1009680354.db2.gz JHQJSKOQYFUBFV-UHFFFAOYSA-N 0 2 307.262 0.506 20 0 DCADLN COC(=O)c1cc(C)c(C(=O)NCC2=NC(=O)CC(=O)N2)o1 ZINC001434231547 1009680363 /nfs/dbraw/zinc/68/03/63/1009680363.db2.gz JHQJSKOQYFUBFV-UHFFFAOYSA-N 0 2 307.262 0.506 20 0 DCADLN Cc1nc(SCC(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)n[nH]1 ZINC001434365128 1009840425 /nfs/dbraw/zinc/84/04/25/1009840425.db2.gz LRNPEFPICMCJKL-VIFPVBQESA-N 0 2 322.398 0.200 20 0 DCADLN COc1ccc(C(=O)NCc2n[nH]c([C@@H]3CCOC3)n2)c(O)c1 ZINC001434435963 1009953125 /nfs/dbraw/zinc/95/31/25/1009953125.db2.gz DJFTXYRAXUUBNF-SECBINFHSA-N 0 2 318.333 0.953 20 0 DCADLN CCCN(CCNC(=O)c1cnn[nH]1)C(=O)c1cc(CC)n[nH]1 ZINC001455809626 1009979639 /nfs/dbraw/zinc/97/96/39/1009979639.db2.gz HJHVPDILXANFAI-UHFFFAOYSA-N 0 2 319.369 0.372 20 0 DCADLN OCc1nnc(CNCc2ncc(Br)cc2F)[nH]1 ZINC001434516469 1010054424 /nfs/dbraw/zinc/05/44/24/1010054424.db2.gz NQBZZSAIAGZXFC-UHFFFAOYSA-N 0 2 316.134 0.883 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001422485737 1010163952 /nfs/dbraw/zinc/16/39/52/1010163952.db2.gz JYOGWJGJHOEQQK-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001422485737 1010163965 /nfs/dbraw/zinc/16/39/65/1010163965.db2.gz JYOGWJGJHOEQQK-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN C[C@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCO1 ZINC001443544907 1010483739 /nfs/dbraw/zinc/48/37/39/1010483739.db2.gz NUXDVUYCJBKXPV-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCO1 ZINC001443544913 1010484698 /nfs/dbraw/zinc/48/46/98/1010484698.db2.gz NUXDVUYCJBKXPV-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN Cn1ncnc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001443575423 1010505661 /nfs/dbraw/zinc/50/56/61/1010505661.db2.gz BMXGYIJGDFGQAP-SECBINFHSA-N 0 2 309.267 0.264 20 0 DCADLN Cn1ncnc1CN1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001443575423 1010505667 /nfs/dbraw/zinc/50/56/67/1010505667.db2.gz BMXGYIJGDFGQAP-SECBINFHSA-N 0 2 309.267 0.264 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001423135087 1010916192 /nfs/dbraw/zinc/91/61/92/1010916192.db2.gz WMYSRAPMZJZSBF-ZJUUUORDSA-N 0 2 305.338 0.598 20 0 DCADLN CC1(C(=O)NCC2([NH2+]Cc3n[nH]c(=O)[n-]3)CC2)CCOCC1 ZINC001423283309 1011045392 /nfs/dbraw/zinc/04/53/92/1011045392.db2.gz CNOMJRXJZRZHMU-UHFFFAOYSA-N 0 2 309.370 0.065 20 0 DCADLN Cc1nnc(CN[C@@H](CO)CNC(=O)C(C)(C)C(C)(F)F)[nH]1 ZINC001423282586 1011045629 /nfs/dbraw/zinc/04/56/29/1011045629.db2.gz BZGXWEJWICPJDY-SECBINFHSA-N 0 2 319.356 0.361 20 0 DCADLN Cc1nc(CN[C@@H](CO)CNC(=O)C(C)(C)C(C)(F)F)n[nH]1 ZINC001423282586 1011045632 /nfs/dbraw/zinc/04/56/32/1011045632.db2.gz BZGXWEJWICPJDY-SECBINFHSA-N 0 2 319.356 0.361 20 0 DCADLN CCOC(=O)c1n[n-]c([C@H](C)[NH2+]C2CC(S(C)(=O)=O)C2)n1 ZINC001423294432 1011054373 /nfs/dbraw/zinc/05/43/73/1011054373.db2.gz VJBGMRDEIPFMFL-UEJVZZJDSA-N 0 2 316.383 0.208 20 0 DCADLN CCn1ncc(C[NH2+]C[C@@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])n1 ZINC001444584970 1011076334 /nfs/dbraw/zinc/07/63/34/1011076334.db2.gz MTQWUJKGRLWSQF-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2n[nH]c(C)c2C)[C@H]1C ZINC001423369468 1011105759 /nfs/dbraw/zinc/10/57/59/1011105759.db2.gz GKZGRBJSNJXUAS-CHWSQXEVSA-N 0 2 321.425 0.745 20 0 DCADLN C[C@@]1(NC(=O)COC2CCCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423558979 1011261336 /nfs/dbraw/zinc/26/13/36/1011261336.db2.gz NPCREYUUFPIZGT-OAHLLOKOSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@@]1(NC(=O)COC2CCCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423558979 1011261348 /nfs/dbraw/zinc/26/13/48/1011261348.db2.gz NPCREYUUFPIZGT-OAHLLOKOSA-N 0 2 323.397 0.550 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423564778 1011271348 /nfs/dbraw/zinc/27/13/48/1011271348.db2.gz ZRXGZZVGEUVIOW-QMTHXVAHSA-N 0 2 311.386 0.406 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423564778 1011271359 /nfs/dbraw/zinc/27/13/59/1011271359.db2.gz ZRXGZZVGEUVIOW-QMTHXVAHSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)c1cccc(F)c1)c1nn(C)cc1O ZINC001456792921 1011466217 /nfs/dbraw/zinc/46/62/17/1011466217.db2.gz RSEOFVSFAFUYHN-SECBINFHSA-N 0 2 320.324 0.872 20 0 DCADLN CSc1ncc(C(=O)N2CC[C@H](c3[nH]ncc3N)C2)c(=O)[nH]1 ZINC001457146134 1011598304 /nfs/dbraw/zinc/59/83/04/1011598304.db2.gz KSAHKPBMIOUAAI-ZETCQYMHSA-N 0 2 320.378 0.839 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1noc(C)n1 ZINC001457442145 1011714229 /nfs/dbraw/zinc/71/42/29/1011714229.db2.gz OVFFQQFDCRPABX-VIFPVBQESA-N 0 2 317.309 0.030 20 0 DCADLN O=C(Cc1ccn(CC(F)(F)F)n1)NCc1n[nH]c(=O)[nH]1 ZINC001445414743 1011745519 /nfs/dbraw/zinc/74/55/19/1011745519.db2.gz OLONWGIIFZZDLI-UHFFFAOYSA-N 0 2 304.232 0.128 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1COc2ccc(O)cc2O1 ZINC001457672314 1011799548 /nfs/dbraw/zinc/79/95/48/1011799548.db2.gz TZFYXKKOCKKPEL-LLVKDONJSA-N 0 2 320.305 0.105 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)NC[C@H]2CCCO2)n[nH]1 ZINC001553342470 1011902921 /nfs/dbraw/zinc/90/29/21/1011902921.db2.gz GTGUFWXXSSXNJH-UPJWGTAASA-N 0 2 323.397 0.806 20 0 DCADLN COCC(=O)N1C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001445581060 1011954596 /nfs/dbraw/zinc/95/45/96/1011954596.db2.gz NAKRQTAVFPKFFU-BHNWBGBOSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N1C[C@@H](C)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001445581060 1011954612 /nfs/dbraw/zinc/95/46/12/1011954612.db2.gz NAKRQTAVFPKFFU-BHNWBGBOSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N1CC[C@@H](CN(C)C(=O)C(F)C(F)(F)F)C1 ZINC001432062201 1011921097 /nfs/dbraw/zinc/92/10/97/1011921097.db2.gz MDUZMQPZOBBZIX-WPRPVWTQSA-N 0 2 314.279 0.840 20 0 DCADLN COCC(=O)N1CC[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432062201 1011921107 /nfs/dbraw/zinc/92/11/07/1011921107.db2.gz MDUZMQPZOBBZIX-WPRPVWTQSA-N 0 2 314.279 0.840 20 0 DCADLN CC1(C(=O)N2C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C2)CC1 ZINC001445646123 1012056825 /nfs/dbraw/zinc/05/68/25/1012056825.db2.gz MSZWAGZRUKRCHN-GJMOJQLCSA-N 0 2 312.263 0.375 20 0 DCADLN CC1(C(=O)N2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C2)CC1 ZINC001445646123 1012056849 /nfs/dbraw/zinc/05/68/49/1012056849.db2.gz MSZWAGZRUKRCHN-GJMOJQLCSA-N 0 2 312.263 0.375 20 0 DCADLN CC1(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001424141404 1012075203 /nfs/dbraw/zinc/07/52/03/1012075203.db2.gz XHSAMCVWCKXDOA-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC1CCOCC1 ZINC001445685332 1012111860 /nfs/dbraw/zinc/11/18/60/1012111860.db2.gz INVLDBPGHHRJOJ-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC1CCOCC1 ZINC001445685332 1012111868 /nfs/dbraw/zinc/11/18/68/1012111868.db2.gz INVLDBPGHHRJOJ-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001432233171 1012176742 /nfs/dbraw/zinc/17/67/42/1012176742.db2.gz ORHBZAZLEBLDCM-RKDXNWHRSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@@H](C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1CC1 ZINC001432233171 1012176748 /nfs/dbraw/zinc/17/67/48/1012176748.db2.gz ORHBZAZLEBLDCM-RKDXNWHRSA-N 0 2 312.263 0.639 20 0 DCADLN C[C@H](NC(=O)c1cccn2c(=O)[nH]nc12)c1nn(C)cc1O ZINC001558682547 1012378435 /nfs/dbraw/zinc/37/84/35/1012378435.db2.gz GARKRYYKRDLEGY-ZETCQYMHSA-N 0 2 302.294 0.365 20 0 DCADLN C[C@H](NC(=O)c1ccc2n[nH]c(=S)n2c1)C1=NN(C)CC1=O ZINC001558684105 1012378718 /nfs/dbraw/zinc/37/87/18/1012378718.db2.gz VRYJNTBLSPQHMA-ZETCQYMHSA-N 0 2 318.362 0.948 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C2CC2)C1)C(F)C(F)(F)F ZINC001424356357 1012424768 /nfs/dbraw/zinc/42/47/68/1012424768.db2.gz RVDHSSDIGNYBKF-MRVPVSSYSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C2CC2)C1)[C@@H](F)C(F)(F)F ZINC001424356357 1012424779 /nfs/dbraw/zinc/42/47/79/1012424779.db2.gz RVDHSSDIGNYBKF-MRVPVSSYSA-N 0 2 312.263 0.376 20 0 DCADLN CCOCC(=O)N[C@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001424461035 1012521060 /nfs/dbraw/zinc/52/10/60/1012521060.db2.gz IEFMWTAQVXBHBL-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N[C@H](C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001424461035 1012521071 /nfs/dbraw/zinc/52/10/71/1012521071.db2.gz IEFMWTAQVXBHBL-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN NC(=O)N[C@H](CO)C(=O)Nc1cc(Cl)c(O)c(Cl)c1 ZINC001547465471 1012583318 /nfs/dbraw/zinc/58/33/18/1012583318.db2.gz UIKMFUSWBZANBN-SSDOTTSWSA-N 0 2 308.121 0.667 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001432711731 1012797143 /nfs/dbraw/zinc/79/71/43/1012797143.db2.gz JAMMDQSVUBVUBV-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001432711731 1012797155 /nfs/dbraw/zinc/79/71/55/1012797155.db2.gz JAMMDQSVUBVUBV-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001446609568 1012867450 /nfs/dbraw/zinc/86/74/50/1012867450.db2.gz AFSVZYOMHNLKEW-BDAKNGLRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001446609568 1012867463 /nfs/dbraw/zinc/86/74/63/1012867463.db2.gz AFSVZYOMHNLKEW-BDAKNGLRSA-N 0 2 322.262 0.983 20 0 DCADLN C[C@H](NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CCC1 ZINC001478365616 1017345408 /nfs/dbraw/zinc/34/54/08/1017345408.db2.gz LPDRREKAXHJDET-QMMMGPOBSA-N 0 2 315.399 0.337 20 0 DCADLN C[C@H](NC(=O)C1(C)CC=CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506650350 1017436441 /nfs/dbraw/zinc/43/64/41/1017436441.db2.gz NEJNWNWATZXXAW-JTQLQIEISA-N 0 2 305.382 0.803 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001479231417 1017438877 /nfs/dbraw/zinc/43/88/77/1017438877.db2.gz PSHLUIUCEFXMEJ-AVGNSLFASA-N 0 2 319.409 0.979 20 0 DCADLN C[C@H](c1nncn1C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001499673800 1018034099 /nfs/dbraw/zinc/03/40/99/1018034099.db2.gz TZENXLYUUXOYRY-VXNVDRBHSA-N 0 2 323.294 0.919 20 0 DCADLN C[C@H](c1nncn1C)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001499673800 1018034111 /nfs/dbraw/zinc/03/41/11/1018034111.db2.gz TZENXLYUUXOYRY-VXNVDRBHSA-N 0 2 323.294 0.919 20 0 DCADLN Cc1cc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)no1 ZINC001498224354 1018774336 /nfs/dbraw/zinc/77/43/36/1018774336.db2.gz VZJCTKPGMUSHDC-SNVBAGLBSA-N 0 2 323.246 0.753 20 0 DCADLN Cc1cc(CC(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)no1 ZINC001498224354 1018774351 /nfs/dbraw/zinc/77/43/51/1018774351.db2.gz VZJCTKPGMUSHDC-SNVBAGLBSA-N 0 2 323.246 0.753 20 0 DCADLN CC1(CC(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001501808666 1019481746 /nfs/dbraw/zinc/48/17/46/1019481746.db2.gz BXQIQGKJNNYMQD-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN CCN(CCNC(=O)c1ccn[nH]1)C(=O)C(F)C(F)(F)F ZINC001496665519 1019498674 /nfs/dbraw/zinc/49/86/74/1019498674.db2.gz KNSVJCKLAUZWER-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)c1ccn[nH]1)C(=O)[C@H](F)C(F)(F)F ZINC001496665519 1019498687 /nfs/dbraw/zinc/49/86/87/1019498687.db2.gz KNSVJCKLAUZWER-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN CCOCC[N@H+](C)CCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001496784807 1019636917 /nfs/dbraw/zinc/63/69/17/1019636917.db2.gz DTMPDZLQRXUCFN-ZIAGYGMSSA-N 0 2 308.426 0.950 20 0 DCADLN CC[C@@H](CNC(=O)C[N@H+](C)C(C)C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001499142445 1019790498 /nfs/dbraw/zinc/79/04/98/1019790498.db2.gz BANRPRQRYSBUIV-ZDUSSCGKSA-N 0 2 323.441 0.612 20 0 DCADLN O=C(N[C@H]1CCC[N@@H+](CCOCCO)C1)c1[nH]nc2c1CCC2 ZINC001494320335 1020130623 /nfs/dbraw/zinc/13/06/23/1020130623.db2.gz TWHVCNPHWUEYJO-LBPRGKRZSA-N 0 2 322.409 0.102 20 0 DCADLN COCC[N@H+](C)Cc1cn(Cc2nc(C(=O)[O-])cs2)nn1 ZINC001606880760 1170320874 /nfs/dbraw/zinc/32/08/74/1170320874.db2.gz HXSUZLVJXNDZJP-UHFFFAOYSA-N 0 2 311.367 0.559 20 0 DCADLN COCC[N@@H+](C)Cc1cn(Cc2nc(C(=O)[O-])cs2)nn1 ZINC001606880760 1170320879 /nfs/dbraw/zinc/32/08/79/1170320879.db2.gz HXSUZLVJXNDZJP-UHFFFAOYSA-N 0 2 311.367 0.559 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN([C@@H](C(=O)[O-])c2cccnc2)CC1 ZINC001607385852 1170443059 /nfs/dbraw/zinc/44/30/59/1170443059.db2.gz XDAWRZVWPGUQPE-LRTDBIEQSA-N 0 2 311.407 0.203 20 0 DCADLN CC1SC(C(=O)N2CC[N@H+](CCC(=O)[O-])[C@H](C)C2)=NC1=O ZINC001608059788 1170563533 /nfs/dbraw/zinc/56/35/33/1170563533.db2.gz UEVJKULWJKJANE-MRVPVSSYSA-N 0 2 313.379 0.778 20 0 DCADLN CC1SC(C(=O)N2CC[N@@H+](CCC(=O)[O-])[C@H](C)C2)=NC1=O ZINC001608059788 1170563536 /nfs/dbraw/zinc/56/35/36/1170563536.db2.gz UEVJKULWJKJANE-MRVPVSSYSA-N 0 2 313.379 0.778 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)NCCn2cc[nH+]c2)CCCCC1 ZINC001608574029 1170705046 /nfs/dbraw/zinc/70/50/46/1170705046.db2.gz QGUKKUYVHYPVBE-UHFFFAOYSA-N 0 2 315.395 0.838 20 0 DCADLN O=C([O-])CCCCCS(=O)(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001610768437 1171318658 /nfs/dbraw/zinc/31/86/58/1171318658.db2.gz ZERXBUUGLMTVCY-LLVKDONJSA-N 0 2 315.395 0.762 20 0 DCADLN CC(C)(C(=O)NCC(=O)Nc1ccccc1)N1CCOCC1 ZINC000278873289 214462073 /nfs/dbraw/zinc/46/20/73/214462073.db2.gz NDSCKOOHYTZKLO-UHFFFAOYSA-N 0 2 305.378 0.852 20 0 DCADLN O=C(NCCCOCCO)C1=NN(c2ccc(F)cc2)CC1=O ZINC000181357762 199285416 /nfs/dbraw/zinc/28/54/16/199285416.db2.gz GRVDTISKYRPXIA-UHFFFAOYSA-N 0 2 323.324 0.846 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCc1ccc2c(c1)OCCO2 ZINC000279717131 215086251 /nfs/dbraw/zinc/08/62/51/215086251.db2.gz YWXUXWPQQSPFHI-UHFFFAOYSA-N 0 2 322.346 0.278 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1CN(C)CCO1 ZINC000174546385 198351108 /nfs/dbraw/zinc/35/11/08/198351108.db2.gz AKOYDQLEBMKCMO-SNVBAGLBSA-N 0 2 322.390 0.274 20 0 DCADLN COC[C@@H](CO)NC(=O)[C@H]1CC(=O)N(c2cccc(C)c2C)C1 ZINC000280280172 215507791 /nfs/dbraw/zinc/50/77/91/215507791.db2.gz QGWPGZHTBQQBKX-UONOGXRCSA-N 0 2 320.389 0.780 20 0 DCADLN Cc1nc(C)c(S(=O)(=O)NC[C@H](CO)[C@@H]2CCOC2)s1 ZINC000281141002 216105330 /nfs/dbraw/zinc/10/53/30/216105330.db2.gz ZOHTWSRHPWMAGH-GHMZBOCLSA-N 0 2 320.436 0.683 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCCCC(N)=O)c1 ZINC000567043832 291334018 /nfs/dbraw/zinc/33/40/18/291334018.db2.gz WRAVCYPBVGVRFE-OAQYLSRUSA-N 0 2 312.391 0.575 20 0 DCADLN Cc1cc(F)c(S(=O)(=O)NCCc2nc[nH]n2)c(F)c1 ZINC000425163294 240292117 /nfs/dbraw/zinc/29/21/17/240292117.db2.gz CIWNZVKNDQBNQD-UHFFFAOYSA-N 0 2 302.306 0.912 20 0 DCADLN COC[C@H](Cc1ccccc1)NC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000567871747 291386550 /nfs/dbraw/zinc/38/65/50/291386550.db2.gz LKPFXSKIWDNBFX-JKSUJKDBSA-N 0 2 320.437 0.528 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])cc2F)CC1 ZINC000092114008 193161831 /nfs/dbraw/zinc/16/18/31/193161831.db2.gz QOSDAPFFOHFQBV-UHFFFAOYSA-N 0 2 302.327 0.460 20 0 DCADLN CCC[C@@](C)(NC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)OC ZINC000275024863 212079678 /nfs/dbraw/zinc/07/96/78/212079678.db2.gz SISWHFFOAOQAAN-LLVKDONJSA-N 0 2 302.356 0.038 20 0 DCADLN COCCN(CCO)C(=O)[C@@H]1CC(c2cccc(F)c2)=NO1 ZINC000271680605 209120561 /nfs/dbraw/zinc/12/05/61/209120561.db2.gz BRKHGXCDLPYYTD-AWEZNQCLSA-N 0 2 310.325 0.786 20 0 DCADLN CSC[C@@H](C)NC(=O)[C@@H](C)S(=O)(=O)C1CCOCC1 ZINC000331113330 252649047 /nfs/dbraw/zinc/64/90/47/252649047.db2.gz KEPYFTXYNYIGSJ-NXEZZACHSA-N 0 2 309.453 0.836 20 0 DCADLN Cn1ccc(C(=O)N=c2nc(-c3cccnc3)[nH]s2)cc1=O ZINC000339600130 253111731 /nfs/dbraw/zinc/11/17/31/253111731.db2.gz ZWDUEWUIODRNNG-UHFFFAOYSA-N 0 2 313.342 0.973 20 0 DCADLN C[C@H](CO)CCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000340411971 253250577 /nfs/dbraw/zinc/25/05/77/253250577.db2.gz JKEWKIJMXIUJMF-QMMMGPOBSA-N 0 2 306.322 0.574 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)[C@H](C)C(=O)N(C)C)s1 ZINC000340633101 253286621 /nfs/dbraw/zinc/28/66/21/253286621.db2.gz GRGZMGBHMKTUTA-SSDOTTSWSA-N 0 2 320.392 0.753 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(C(N)=O)c(F)c1 ZINC000340840917 253312637 /nfs/dbraw/zinc/31/26/37/253312637.db2.gz FVXLWGXXNWBEQR-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2cn(Cc3cccs3)nn2)C(=O)O1 ZINC000288649233 220321512 /nfs/dbraw/zinc/32/15/12/220321512.db2.gz QUGFORJJKBZVLL-PSASIEDQSA-N 0 2 306.347 0.822 20 0 DCADLN COC[C@H]1C[C@H](OC)CN1S(=O)(=O)NCC(F)(F)F ZINC000343177060 253611864 /nfs/dbraw/zinc/61/18/64/253611864.db2.gz QROVTWAAOYDOIE-SFYZADRCSA-N 0 2 306.306 0.119 20 0 DCADLN O=C(N[C@H]1CCC(=O)NC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348868788 254262418 /nfs/dbraw/zinc/26/24/18/254262418.db2.gz WBYRDRFJJIGTHS-JTQLQIEISA-N 0 2 302.290 0.038 20 0 DCADLN NC(=O)CCn1ccc(NS(=O)(=O)c2ccsc2)n1 ZINC000349994281 254307524 /nfs/dbraw/zinc/30/75/24/254307524.db2.gz ZKMHSPZBEYRLBY-UHFFFAOYSA-N 0 2 300.365 0.621 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1nnc(-c2ccco2)o1 ZINC000350340448 254315571 /nfs/dbraw/zinc/31/55/71/254315571.db2.gz FELNLVAVPFJHFI-UHFFFAOYSA-N 0 2 308.279 0.884 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1CCNC(=O)C1 ZINC000084382671 132131144 /nfs/dbraw/zinc/13/11/44/132131144.db2.gz WAAHAMVULLRZGB-UHFFFAOYSA-N 0 2 304.281 0.289 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ncccc1OCC(F)(F)F ZINC000084407711 395697386 /nfs/dbraw/zinc/69/73/86/395697386.db2.gz MTHNGFINENICAY-UHFFFAOYSA-N 0 2 317.227 0.364 20 0 DCADLN O=C1CN(S(=O)(=O)NCC(F)(F)F)[C@@H]2CCCC[C@H]2N1 ZINC000195377277 395825745 /nfs/dbraw/zinc/82/57/45/395825745.db2.gz GQIFZWHWPYQVQD-HTQZYQBOSA-N 0 2 315.317 0.126 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H]1CCCO1 ZINC000052431342 395863655 /nfs/dbraw/zinc/86/36/55/395863655.db2.gz PRNKXXDXXQFRMO-OIBJUYFYSA-N 0 2 304.306 0.321 20 0 DCADLN CCCC1N=NC(=S)N1CC(=O)NOC[C@@H]1CCOC1 ZINC000276381336 395990989 /nfs/dbraw/zinc/99/09/89/395990989.db2.gz DKZGYDKHEGVKQF-SECBINFHSA-N 0 2 300.384 0.604 20 0 DCADLN CCn1nnnc1-c1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000154507204 396039410 /nfs/dbraw/zinc/03/94/10/396039410.db2.gz GJAMEAUNWRKGFY-UHFFFAOYSA-N 0 2 319.350 0.884 20 0 DCADLN CC[C@@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)C(=O)OC ZINC000276587918 396013390 /nfs/dbraw/zinc/01/33/90/396013390.db2.gz APMPVDTVMMYPAW-YFKPBYRVSA-N 0 2 322.368 0.047 20 0 DCADLN CS(=O)(=O)Cc1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000069646966 396086189 /nfs/dbraw/zinc/08/61/89/396086189.db2.gz QXCDOAFDGJCMHO-UHFFFAOYSA-N 0 2 315.376 0.755 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc3c(c2)NC(=O)CO3)c[nH]1 ZINC000070069487 396099647 /nfs/dbraw/zinc/09/96/47/396099647.db2.gz LNGOIAUPQQSDCV-UHFFFAOYSA-N 0 2 308.319 0.850 20 0 DCADLN Cc1cnc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)s1 ZINC000172716342 396132836 /nfs/dbraw/zinc/13/28/36/396132836.db2.gz NIRRULLIAWXLNS-UHFFFAOYSA-N 0 2 317.330 0.306 20 0 DCADLN COCCn1cc(NS(=O)(=O)c2cncc(OC)c2)cn1 ZINC000282048854 396258411 /nfs/dbraw/zinc/25/84/11/396258411.db2.gz RLCGOVDQMOQZHH-UHFFFAOYSA-N 0 2 312.351 0.734 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)Nc1ccccc1S(N)(=O)=O ZINC000282194259 396271314 /nfs/dbraw/zinc/27/13/14/396271314.db2.gz LAFPXFCELCHPEO-QMMMGPOBSA-N 0 2 308.381 0.111 20 0 DCADLN O=C(NC[C@]1(O)CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000178927342 396215258 /nfs/dbraw/zinc/21/52/58/396215258.db2.gz LBIVPWJGSJLUKZ-OAHLLOKOSA-N 0 2 303.318 0.459 20 0 DCADLN COCC1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCC1 ZINC000283250075 396323174 /nfs/dbraw/zinc/32/31/74/396323174.db2.gz BKGPUJYWYMYCCH-UHFFFAOYSA-N 0 2 320.396 0.665 20 0 DCADLN CO[C@]1(C)C[C@@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C1(C)C ZINC000185777936 396335010 /nfs/dbraw/zinc/33/50/10/396335010.db2.gz UNGRKORGEATBEA-JMCQJSRRSA-N 0 2 300.384 0.510 20 0 DCADLN CCOCCCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000265769740 396342627 /nfs/dbraw/zinc/34/26/27/396342627.db2.gz DOLJRCYROPXPLB-UHFFFAOYSA-N 0 2 306.322 0.901 20 0 DCADLN CN(C)C(=O)CC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000186671138 396355357 /nfs/dbraw/zinc/35/53/57/396355357.db2.gz FWHPATMODVRSDP-UHFFFAOYSA-N 0 2 317.349 0.478 20 0 DCADLN CC[C@H](O)CCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268649000 396364728 /nfs/dbraw/zinc/36/47/28/396364728.db2.gz AGHJKYXJNXURCB-QMMMGPOBSA-N 0 2 306.322 0.635 20 0 DCADLN CC(C)C(=O)NCCNC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000188931567 396388786 /nfs/dbraw/zinc/38/87/86/396388786.db2.gz RFEASOSBHCHSAB-AWEZNQCLSA-N 0 2 311.426 0.518 20 0 DCADLN Cc1cc(C(=O)NC[C@H](O)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000269584178 396426648 /nfs/dbraw/zinc/42/66/48/396426648.db2.gz LLNQVHSNOJHYGA-VIFPVBQESA-N 0 2 306.322 0.491 20 0 DCADLN COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)[C@]2(C)CCCC[NH2+]2)C1 ZINC000580832463 396503242 /nfs/dbraw/zinc/50/32/42/396503242.db2.gz CZRJPHFCLDOXNG-CJNGLKHVSA-N 0 2 311.426 0.520 20 0 DCADLN COc1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000580896190 396509389 /nfs/dbraw/zinc/50/93/89/396509389.db2.gz UCMUSQYEDUKBHE-SNVBAGLBSA-N 0 2 303.322 0.934 20 0 DCADLN CCOC(=O)NCCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289014369 396452862 /nfs/dbraw/zinc/45/28/62/396452862.db2.gz JKXXJZIOWBVXJT-UHFFFAOYSA-N 0 2 319.321 0.840 20 0 DCADLN C[C@@H](C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)S(C)(=O)=O ZINC000289058007 396454247 /nfs/dbraw/zinc/45/42/47/396454247.db2.gz NOUXCMADHMDMJY-ZETCQYMHSA-N 0 2 310.335 0.137 20 0 DCADLN CC(=O)N1CC(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)C1 ZINC000289086339 396454473 /nfs/dbraw/zinc/45/44/73/396454473.db2.gz QCFATCLZLPDCDL-UHFFFAOYSA-N 0 2 301.306 0.182 20 0 DCADLN COCCCn1ccc(NS(=O)(=O)CCOCCOC)n1 ZINC000269952881 396456066 /nfs/dbraw/zinc/45/60/66/396456066.db2.gz JRLPPNVSRVWFRA-UHFFFAOYSA-N 0 2 321.399 0.324 20 0 DCADLN COC(=O)CCCONC(=O)CNC(=O)c1ccc(F)cc1 ZINC000290803773 396472852 /nfs/dbraw/zinc/47/28/52/396472852.db2.gz YJUPPNWJZOJKBY-UHFFFAOYSA-N 0 2 312.297 0.557 20 0 DCADLN COCC[C@H]1COCC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000291251090 396481844 /nfs/dbraw/zinc/48/18/44/396481844.db2.gz NYSWYQVNPJIWSO-HNNXBMFYSA-N 0 2 308.378 0.972 20 0 DCADLN COCC[C@H]1COCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000291251090 396481845 /nfs/dbraw/zinc/48/18/45/396481845.db2.gz NYSWYQVNPJIWSO-HNNXBMFYSA-N 0 2 308.378 0.972 20 0 DCADLN CC[C@@H]1CCCCN1C(=O)C[N@@H+]1CCN2C(=O)[C@H]([NH3+])C[C@@H]2C1 ZINC000581201760 396534751 /nfs/dbraw/zinc/53/47/51/396534751.db2.gz FSNWCSVBSAGMTA-MGPQQGTHSA-N 0 2 308.426 0.021 20 0 DCADLN Cc1ccnc2nc(C(=O)Nc3nc(-c4ccncc4)n[nH]3)nn21 ZINC000373088374 396565371 /nfs/dbraw/zinc/56/53/71/396565371.db2.gz PPVQFKUZJBYWPY-UHFFFAOYSA-N 0 2 321.304 0.865 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)n(C)n1 ZINC000589262818 396711639 /nfs/dbraw/zinc/71/16/39/396711639.db2.gz CFRXBUFXVRZKIP-UHFFFAOYSA-N 0 2 322.346 0.860 20 0 DCADLN CC(=O)Nc1nc(C)c(S(=O)(=O)Nc2ccnn2C)s1 ZINC000589269954 396712204 /nfs/dbraw/zinc/71/22/04/396712204.db2.gz TUXHLLBTWTZIKA-UHFFFAOYSA-N 0 2 315.380 0.944 20 0 DCADLN Cc1cc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)no1 ZINC000299251762 396712590 /nfs/dbraw/zinc/71/25/90/396712590.db2.gz PKVFNXPLGCXYAT-UHFFFAOYSA-N 0 2 303.325 0.458 20 0 DCADLN C[N@@H+]1CCO[C@H](CNc2cc(C(C)(C)C)nc(C(=O)[O-])n2)C1 ZINC000563206135 396714378 /nfs/dbraw/zinc/71/43/78/396714378.db2.gz KJGNWJUNTDNCOF-SNVBAGLBSA-N 0 2 308.382 0.637 20 0 DCADLN C[N@H+]1CCO[C@H](CNc2cc(C(C)(C)C)nc(C(=O)[O-])n2)C1 ZINC000563206135 396714381 /nfs/dbraw/zinc/71/43/81/396714381.db2.gz KJGNWJUNTDNCOF-SNVBAGLBSA-N 0 2 308.382 0.637 20 0 DCADLN COCCCOCC(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000634151743 396798121 /nfs/dbraw/zinc/79/81/21/396798121.db2.gz JGILMEVVXFUWKM-UHFFFAOYSA-N 0 2 303.384 0.833 20 0 DCADLN O=C([O-])c1cc(N2CCN(S(=O)(=O)C3CC3)CC2)cc[nH+]1 ZINC000629767991 396827281 /nfs/dbraw/zinc/82/72/81/396827281.db2.gz SIOPLTABVOSUOX-UHFFFAOYSA-N 0 2 311.363 0.394 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329763617 396849668 /nfs/dbraw/zinc/84/96/68/396849668.db2.gz UTXQFGJSHUACFT-AVGNSLFASA-N 0 2 315.329 0.873 20 0 DCADLN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cc(F)c(O)c(F)c1 ZINC000617887060 396861917 /nfs/dbraw/zinc/86/19/17/396861917.db2.gz CNFFAAAABVHJMS-ZJUUUORDSA-N 0 2 321.301 0.212 20 0 DCADLN C[C@@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)[C@@H]1CCO ZINC000618018401 396892572 /nfs/dbraw/zinc/89/25/72/396892572.db2.gz HKKAXQFQDHREMO-RKDXNWHRSA-N 0 2 300.384 0.612 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCS(=O)CC1 ZINC000597155774 396900060 /nfs/dbraw/zinc/90/00/60/396900060.db2.gz KZTMEQZVXLUYCX-UHFFFAOYSA-N 0 2 307.331 0.234 20 0 DCADLN CCOC(=O)[C@@H](C)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597355602 396949761 /nfs/dbraw/zinc/94/97/61/396949761.db2.gz SIHHTNBDNDHJTK-VIFPVBQESA-N 0 2 319.317 0.959 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@H]2CCCC(=O)N2)c1 ZINC000591779499 397002067 /nfs/dbraw/zinc/00/20/67/397002067.db2.gz JCRAPDKJEXHDJI-RKFFSXRUSA-N 0 2 324.402 0.588 20 0 DCADLN Cc1cc(CNS(=O)(=O)c2n[nH]cc2C(=O)[O-])cc(C)[nH+]1 ZINC000573203762 397143092 /nfs/dbraw/zinc/14/30/92/397143092.db2.gz VREZKSQTGGQXBY-UHFFFAOYSA-N 0 2 310.335 0.598 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC(=O)N(C)CC2)c1 ZINC000598294389 397210452 /nfs/dbraw/zinc/21/04/52/397210452.db2.gz CWFNLHAYVZSBMK-JOCHJYFZSA-N 0 2 324.402 0.494 20 0 DCADLN COCCc1nsc(NC[C@@H]2CCCS(=O)(=O)C2)n1 ZINC000577912425 397370546 /nfs/dbraw/zinc/37/05/46/397370546.db2.gz ZZKSMOKNHOKKHT-VIFPVBQESA-N 0 2 305.425 0.386 20 0 DCADLN CO[C@H]1C[C@@H](NS(=O)(=O)NCC(F)(F)F)C12CCC2 ZINC000416558995 397372433 /nfs/dbraw/zinc/37/24/33/397372433.db2.gz JIBZXJHAHFIAPD-SFYZADRCSA-N 0 2 302.318 0.930 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC(F)(F)C2)on1 ZINC000599261356 397379987 /nfs/dbraw/zinc/37/99/87/397379987.db2.gz OUOAFEOKTICUPL-LURJTMIESA-N 0 2 310.278 0.927 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CC(=O)N(C2CC2)C1 ZINC000578483239 397440867 /nfs/dbraw/zinc/44/08/67/397440867.db2.gz CVOQZQMUWUOUGC-UHFFFAOYSA-N 0 2 314.301 0.434 20 0 DCADLN CCOc1ccc(C[N@@H+]2CCN3C(=O)[C@H]([NH3+])C[C@H]3C2)cc1F ZINC000578550831 397453569 /nfs/dbraw/zinc/45/35/69/397453569.db2.gz PYEOYEJEBNZCEP-GXTWGEPZSA-N 0 2 307.369 0.968 20 0 DCADLN O=C([C@H]1CCCc2nn[nH]c21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518572 397463153 /nfs/dbraw/zinc/46/31/53/397463153.db2.gz IDSPXKYQRIKMGO-IUCAKERBSA-N 0 2 317.353 0.455 20 0 DCADLN O=C(Cn1cc(C2CC2)cn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614520040 397463394 /nfs/dbraw/zinc/46/33/94/397463394.db2.gz YJKYCUBKHLIHKI-NSHDSACASA-N 0 2 316.365 0.990 20 0 DCADLN CC(C)[C@H](CO)ONC(=O)CNC(=O)OCC(F)(F)F ZINC000495927572 397563224 /nfs/dbraw/zinc/56/32/24/397563224.db2.gz KJUSRISOSFDLKT-ZETCQYMHSA-N 0 2 302.249 0.340 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)[C@H]1CCCOC1 ZINC000492508113 397522899 /nfs/dbraw/zinc/52/28/99/397522899.db2.gz TVIBJRIDRXZXDL-GZTOBOFZSA-N 0 2 313.379 0.541 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)[C@@H]1CCCOC1 ZINC000492508114 397522947 /nfs/dbraw/zinc/52/29/47/397522947.db2.gz TVIBJRIDRXZXDL-ISALQUGTSA-N 0 2 313.379 0.541 20 0 DCADLN CCOC(=O)C(C(=O)OCC)N(C)S(=O)(=O)C1(C)CC1 ZINC000603351069 397599758 /nfs/dbraw/zinc/59/97/58/397599758.db2.gz WSDPRWOGJISUIP-UHFFFAOYSA-N 0 2 307.368 0.295 20 0 DCADLN O=C(NCCCn1cncn1)C1=NN(c2ccccc2)CC1=O ZINC000608352012 397726028 /nfs/dbraw/zinc/72/60/28/397726028.db2.gz DZLZTVOEBABSNR-UHFFFAOYSA-N 0 2 312.333 0.990 20 0 DCADLN C[C@H]1CC(=O)NCCN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000608463858 397732430 /nfs/dbraw/zinc/73/24/30/397732430.db2.gz PJOPAUOZVNSAHX-VIFPVBQESA-N 0 2 316.317 0.381 20 0 DCADLN CC(C)C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)N1CCCCC1=O ZINC000087454977 158093280 /nfs/dbraw/zinc/09/32/80/158093280.db2.gz UPEVCLJPRUTDIU-SNVBAGLBSA-N 0 2 309.370 0.141 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC000090231364 158103734 /nfs/dbraw/zinc/10/37/34/158103734.db2.gz CJVAHSVHOQWFRB-UHFFFAOYSA-N 0 2 315.376 0.922 20 0 DCADLN CCc1nn(C)cc1C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000092715895 158114998 /nfs/dbraw/zinc/11/49/98/158114998.db2.gz NZUUHQKLGNOONU-UHFFFAOYSA-N 0 2 319.365 0.882 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCCC[C@@H]1CO)c2=O ZINC000119366026 158186007 /nfs/dbraw/zinc/18/60/07/158186007.db2.gz VZKNTULRZQUSPT-BXKDBHETSA-N 0 2 304.350 0.955 20 0 DCADLN C[NH+](C)CCOc1cc(C(=O)NC[C@@H]2C[N@H+](C)CCO2)ccn1 ZINC000136737807 158343709 /nfs/dbraw/zinc/34/37/09/158343709.db2.gz APJXFFGXPKLOBW-CQSZACIVSA-N 0 2 322.409 0.082 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cnn(C)c2C)cc1 ZINC000176972450 158443374 /nfs/dbraw/zinc/44/33/74/158443374.db2.gz QFPUYJFEQZDBHL-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(C(=O)NCCO)cc1 ZINC000315810534 159001903 /nfs/dbraw/zinc/00/19/03/159001903.db2.gz VTGIKIFCRBGUFJ-UHFFFAOYSA-N 0 2 324.362 0.241 20 0 DCADLN CN(C)c1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000329091870 159061269 /nfs/dbraw/zinc/06/12/69/159061269.db2.gz XCDJIKPFJGUODZ-JTQLQIEISA-N 0 2 316.365 0.991 20 0 DCADLN O=C(CN1CSCC1=O)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000329208795 159073541 /nfs/dbraw/zinc/07/35/41/159073541.db2.gz YUJZHQXOODAUGF-QMMMGPOBSA-N 0 2 311.367 0.097 20 0 DCADLN Cc1c(C(=O)NCc2n[nH]c(=O)[nH]2)cnn1Cc1ccccc1 ZINC000359270657 159258263 /nfs/dbraw/zinc/25/82/63/159258263.db2.gz LAZBLJXKGIGXAG-UHFFFAOYSA-N 0 2 312.333 0.994 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(-c2ccc(F)cc2)n[nH]1 ZINC000359271276 159260168 /nfs/dbraw/zinc/26/01/68/159260168.db2.gz LKQDBDVRURLWBF-UHFFFAOYSA-N 0 2 302.269 0.970 20 0 DCADLN CC(=O)Nc1cc(S(=O)(=O)Nc2cnn(C)c2)ccc1O ZINC000360516144 159271178 /nfs/dbraw/zinc/27/11/78/159271178.db2.gz RXTJCYMYDZQXLO-UHFFFAOYSA-N 0 2 310.335 0.885 20 0 DCADLN O=C(NC[C@H]1C[NH+](C2CC2)CCO1)N1CC[N@H+]2CCC[C@@H]2C1 ZINC000368167364 159379914 /nfs/dbraw/zinc/37/99/14/159379914.db2.gz QHJRVYBRTIZJKR-CABCVRRESA-N 0 2 308.426 0.339 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)C[C@@H]1CCC(=O)[C@H]1C ZINC000408453721 160111657 /nfs/dbraw/zinc/11/16/57/160111657.db2.gz SMCKEQXKRFIMOF-WPRPVWTQSA-N 0 2 313.379 0.539 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)C[C@@H]2CCC(=O)[C@@H]2C)cnn1C ZINC000408485549 160118338 /nfs/dbraw/zinc/11/83/38/160118338.db2.gz QUFYGMBLBIASNM-SCZZXKLOSA-N 0 2 313.379 0.539 20 0 DCADLN COC(=O)CNC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000080467094 286926520 /nfs/dbraw/zinc/92/65/20/286926520.db2.gz FAZXYKZREXCREL-UHFFFAOYSA-N 0 2 307.281 0.928 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cn[nH]c2)ccc1S(C)(=O)=O ZINC000125903639 286968559 /nfs/dbraw/zinc/96/85/59/286968559.db2.gz WIWGXTSEVSSJLX-UHFFFAOYSA-N 0 2 315.376 0.922 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1cccc(-n2cnnn2)c1 ZINC000136497629 287026846 /nfs/dbraw/zinc/02/68/46/287026846.db2.gz KNEATXYDJOCOAW-UHFFFAOYSA-N 0 2 306.307 0.760 20 0 DCADLN C[C@H](NC(=O)NC[C@H]1CCC[N@H+](C)C1)[C@H](C)[NH+]1CCOCC1 ZINC000247111032 287091310 /nfs/dbraw/zinc/09/13/10/287091310.db2.gz KPCQATXUACCXOE-SOUVJXGZSA-N 0 2 312.458 0.737 20 0 DCADLN CC[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H](C)O1 ZINC000111018879 287177808 /nfs/dbraw/zinc/17/78/08/287177808.db2.gz MBQDWHOGDFIPEC-PSASIEDQSA-N 0 2 318.333 0.251 20 0 DCADLN CSCC[C@H](CO)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358389709 287207432 /nfs/dbraw/zinc/20/74/32/287207432.db2.gz ALERLWUJWHIBEJ-MRVPVSSYSA-N 0 2 310.379 0.518 20 0 DCADLN Cc1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)nn1C(F)F ZINC000342334046 415139449 /nfs/dbraw/zinc/13/94/49/415139449.db2.gz LTGWJNUXQGNPKF-UHFFFAOYSA-N 0 2 300.269 0.773 20 0 DCADLN CCC1(CNc2nc(NC(C)C)nc(NCCO)[nH+]2)CCC1 ZINC000342544050 415204781 /nfs/dbraw/zinc/20/47/81/415204781.db2.gz GMIWEARSSJHHQS-UHFFFAOYSA-N 0 2 308.430 0.354 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2cc(F)cc(F)c2)cn1 ZINC000047251586 415267253 /nfs/dbraw/zinc/26/72/53/415267253.db2.gz HUNGPFVKUIVFRI-UHFFFAOYSA-N 0 2 316.289 0.447 20 0 DCADLN CC(C)(CO)[C@@H](NS(=O)(=O)NCC(F)(F)F)C1CC1 ZINC000352564296 415229513 /nfs/dbraw/zinc/22/95/13/415229513.db2.gz DCKAWOUWPPLMFY-QMMMGPOBSA-N 0 2 304.334 0.770 20 0 DCADLN O=c1cc(CN2CCN(CC(F)(F)F)CC2)nc2cc[nH]n21 ZINC000343038162 415414583 /nfs/dbraw/zinc/41/45/83/415414583.db2.gz KZMVJZVFYJGCKK-UHFFFAOYSA-N 0 2 315.299 0.703 20 0 DCADLN CCCc1cc(NCCCc2n[nH]c(=O)[nH]2)n2ncnc2n1 ZINC000080759605 415478451 /nfs/dbraw/zinc/47/84/51/415478451.db2.gz YKSQYZXEAIEQBB-UHFFFAOYSA-N 0 2 302.342 0.533 20 0 DCADLN CCCCS(=O)(=O)NCC(=O)Nc1ccc2nn[nH]c2c1 ZINC000090060259 415530514 /nfs/dbraw/zinc/53/05/14/415530514.db2.gz RULXYQKDGJJWDR-UHFFFAOYSA-N 0 2 311.367 0.616 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCNC(=O)[C@@H]2C)c1 ZINC000424635203 287311689 /nfs/dbraw/zinc/31/16/89/287311689.db2.gz BMGXJUVBCGLDOS-CHNSCGDPSA-N 0 2 310.375 0.150 20 0 DCADLN CCCC[NH+]1CCOC[C@H]1C(=O)N[C@@H]1CC[N@@H+](CCOC)C1 ZINC000353438049 415542955 /nfs/dbraw/zinc/54/29/55/415542955.db2.gz BFYZUBGGAIWIDD-CABCVRRESA-N 0 2 313.442 0.324 20 0 DCADLN Cc1[nH]ncc1CCCNC(=O)CS(=O)(=O)CC(C)C ZINC000102786205 415597945 /nfs/dbraw/zinc/59/79/45/415597945.db2.gz IDDWWQQANMYBFR-UHFFFAOYSA-N 0 2 301.412 0.838 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(O[C@@H]2CCOC2)cc1 ZINC000354160718 415776538 /nfs/dbraw/zinc/77/65/38/415776538.db2.gz YGPSRBWMUITHSQ-LLVKDONJSA-N 0 2 319.321 1.000 20 0 DCADLN COc1cccc(C(=O)NCC(=O)N2C[C@@H](C)[N@H+](C)C[C@@H]2C)c1 ZINC000334034092 415787270 /nfs/dbraw/zinc/78/72/70/415787270.db2.gz MTAXLJCDKAPERL-OLZOCXBDSA-N 0 2 319.405 0.976 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCCO1)[N@@H+]1CCCc2c(cnn2C)C1 ZINC000334025301 415789186 /nfs/dbraw/zinc/78/91/86/415789186.db2.gz GPJYVWNJFKCQHN-TZMCWYRMSA-N 0 2 306.410 0.852 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCCO1)N1CCCc2c(cnn2C)C1 ZINC000334025301 415789198 /nfs/dbraw/zinc/78/91/98/415789198.db2.gz GPJYVWNJFKCQHN-TZMCWYRMSA-N 0 2 306.410 0.852 20 0 DCADLN O=C(N[C@H]1CCc2ncnn2C1)N1CCO[C@H](C2CCC2)C1 ZINC000334028634 415789362 /nfs/dbraw/zinc/78/93/62/415789362.db2.gz JIXIAUBHGGOTIY-STQMWFEESA-N 0 2 305.382 0.803 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1nnc(-c2ccsc2)s1 ZINC000354354252 415795141 /nfs/dbraw/zinc/79/51/41/415795141.db2.gz YABBDZDTJNXQKG-UHFFFAOYSA-N 0 2 323.363 1.412 20 0 DCADLN O=S(=O)(Nc1nc2ncccn2n1)c1cc(F)ccc1F ZINC000355791344 415868097 /nfs/dbraw/zinc/86/80/97/415868097.db2.gz GWDTUMYZKZOJEG-UHFFFAOYSA-N 0 2 311.273 1.203 20 0 DCADLN COCCN(CCCc1ccccc1)C(=O)c1nc(=O)[nH][nH]1 ZINC000127943029 415893125 /nfs/dbraw/zinc/89/31/25/415893125.db2.gz RXOUODWPCCMACM-UHFFFAOYSA-N 0 2 304.350 0.819 20 0 DCADLN CCc1ncc(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)cn1 ZINC000133120311 415941515 /nfs/dbraw/zinc/94/15/15/415941515.db2.gz IYAMHBVDXPLPSZ-NSHDSACASA-N 0 2 323.378 0.998 20 0 DCADLN COc1nc(NCc2n[nH]c(=O)[nH]2)nc(N2CCCCCC2)n1 ZINC000301797456 416092847 /nfs/dbraw/zinc/09/28/47/416092847.db2.gz UTSYPTKVYPLXIT-UHFFFAOYSA-N 0 2 320.357 0.284 20 0 DCADLN O=C(CCn1nc2ccccn2c1=O)Nc1ccc2nn[nH]c2c1 ZINC000176730094 416133216 /nfs/dbraw/zinc/13/32/16/416133216.db2.gz APLGTFOSRQBTBN-UHFFFAOYSA-N 0 2 323.316 0.796 20 0 DCADLN CCCN(CC(=O)OC)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358284601 416220797 /nfs/dbraw/zinc/22/07/97/416220797.db2.gz YNYQCTTWOGXVIY-UHFFFAOYSA-N 0 2 306.322 0.699 20 0 DCADLN O=C(CCNS(=O)(=O)CC(F)(F)F)N1CCCCC1 ZINC000331063553 416258650 /nfs/dbraw/zinc/25/86/50/416258650.db2.gz PJCPOBOCOVAQEK-UHFFFAOYSA-N 0 2 302.318 0.871 20 0 DCADLN CSc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000342043004 416272412 /nfs/dbraw/zinc/27/24/12/416272412.db2.gz FUVYIMQFEHQGJL-UHFFFAOYSA-N 0 2 307.335 0.487 20 0 DCADLN c1nnc2c(NCc3nnc(COc4ccccc4)[nH]3)nccn12 ZINC000351564105 416277493 /nfs/dbraw/zinc/27/74/93/416277493.db2.gz PXFREPFOVVXHAC-UHFFFAOYSA-N 0 2 322.332 1.434 20 0 DCADLN O=C(NC[C@H]1C[C@@H](O)C[N@H+]1Cc1ccccc1)c1nc[nH]n1 ZINC000342211881 416294801 /nfs/dbraw/zinc/29/48/01/416294801.db2.gz WYMVCRVDCOAZDO-CHWSQXEVSA-N 0 2 301.350 0.170 20 0 DCADLN O=C(NC[C@H]1C[C@@H](O)C[N@@H+]1Cc1ccccc1)c1nc[nH]n1 ZINC000342211881 416294804 /nfs/dbraw/zinc/29/48/04/416294804.db2.gz WYMVCRVDCOAZDO-CHWSQXEVSA-N 0 2 301.350 0.170 20 0 DCADLN C[C@H](NS(=O)(=O)NCC(F)(F)F)c1nnc2ccccn21 ZINC000192011353 416295250 /nfs/dbraw/zinc/29/52/50/416295250.db2.gz FTTPJDFUVSLTPM-ZETCQYMHSA-N 0 2 323.300 0.777 20 0 DCADLN COCCOC[C@@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000359348608 416342966 /nfs/dbraw/zinc/34/29/66/416342966.db2.gz OQRJDPKUWWZMTK-MRVPVSSYSA-N 0 2 322.321 0.217 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1c[nH]nc1-c1ccncc1 ZINC000359521166 416349317 /nfs/dbraw/zinc/34/93/17/416349317.db2.gz CHTKNTIVHKJFKH-UHFFFAOYSA-N 0 2 313.321 0.658 20 0 DCADLN CN(C)C(=O)c1ccc(S(=O)(=O)Nc2cnn(C)c2)cc1 ZINC000194607931 416323562 /nfs/dbraw/zinc/32/35/62/416323562.db2.gz RVEZMBZXFXCBHR-UHFFFAOYSA-N 0 2 308.363 0.923 20 0 DCADLN CN(C[C@@H]1CCCC[C@@H]1O)S(=O)(=O)NCC(F)(F)F ZINC000195447741 416326073 /nfs/dbraw/zinc/32/60/73/416326073.db2.gz JNLKSTCNENKAIT-IUCAKERBSA-N 0 2 304.334 0.866 20 0 DCADLN CCOC(=O)[C@H](C)N(C1CC1)S(=O)(=O)NCC(F)(F)F ZINC000195468394 416326628 /nfs/dbraw/zinc/32/66/28/416326628.db2.gz BRVUVGIHIJWBDV-ZETCQYMHSA-N 0 2 318.317 0.799 20 0 DCADLN CC(C)CNC(=O)N1CCC[C@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000359817551 416360673 /nfs/dbraw/zinc/36/06/73/416360673.db2.gz MPWYBMAMUYEMJT-JTQLQIEISA-N 0 2 324.385 0.204 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)c2cnn(C)c2)c1N ZINC000439260524 416610799 /nfs/dbraw/zinc/61/07/99/416610799.db2.gz SXUAZEPMHUVWNK-UHFFFAOYSA-N 0 2 310.335 0.590 20 0 DCADLN CCOCCOC[C@H](O)CNC(=O)c1cc(F)c(O)c(F)c1 ZINC000436619401 416566487 /nfs/dbraw/zinc/56/64/87/416566487.db2.gz WCINYDOCUXDKJL-SNVBAGLBSA-N 0 2 319.304 0.814 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cn(-c2cccc(Cl)c2)nn1 ZINC000440471179 416635326 /nfs/dbraw/zinc/63/53/26/416635326.db2.gz TVWUHUDDYDOYCY-UHFFFAOYSA-N 0 2 319.712 0.674 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC(C)(C)CC(N)=O)c1 ZINC000424787908 416669003 /nfs/dbraw/zinc/66/90/03/416669003.db2.gz VIRTXCOXSHNUPF-NRFANRHFSA-N 0 2 312.391 0.573 20 0 DCADLN O=C(NCCCCO)NCc1nnc(COc2ccccc2)[nH]1 ZINC000426239261 416751606 /nfs/dbraw/zinc/75/16/06/416751606.db2.gz QMPSIYRFOBBUAN-UHFFFAOYSA-N 0 2 319.365 0.955 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cc3ccccn3n2)cn1 ZINC000556802888 416716333 /nfs/dbraw/zinc/71/63/33/416716333.db2.gz HMYLMDDPPYKOSC-UHFFFAOYSA-N 0 2 304.331 1.539 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC1([S@](C)=O)CC1)c2=O ZINC000442935956 416721784 /nfs/dbraw/zinc/72/17/84/416721784.db2.gz WAPFNGZBZBDLJI-NRFANRHFSA-N 0 2 308.363 0.315 20 0 DCADLN CCc1nc(CCNS(=O)(=O)NCC(F)(F)F)no1 ZINC000442960358 416722442 /nfs/dbraw/zinc/72/24/42/416722442.db2.gz WZHJSAPDUFFUKE-UHFFFAOYSA-N 0 2 302.278 0.161 20 0 DCADLN C[C@@H]1[C@@H](C)[S@](=O)CCN1S(=O)(=O)NCC(F)(F)F ZINC000442943867 416722519 /nfs/dbraw/zinc/72/25/19/416722519.db2.gz LENMZFAAQNGLCG-ALOAVZFJSA-N 0 2 308.347 0.224 20 0 DCADLN C[N@H+]1CCN(C(=O)Nc2c[nH]nc2-c2nccs2)[C@H](C[NH3+])C1 ZINC000516633018 416731880 /nfs/dbraw/zinc/73/18/80/416731880.db2.gz UKLKKDGCJNXNPO-SECBINFHSA-N 0 2 321.410 0.640 20 0 DCADLN Cc1[nH]ccc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000425935437 416739917 /nfs/dbraw/zinc/73/99/17/416739917.db2.gz IIYKHCIBYDKLNN-UHFFFAOYSA-N 0 2 301.353 0.798 20 0 DCADLN Cc1noc(-c2ccccc2C(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC000443520449 416765423 /nfs/dbraw/zinc/76/54/23/416765423.db2.gz ZBGCRMCGQPDJBH-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)N1CCCC1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000443519959 416767071 /nfs/dbraw/zinc/76/70/71/416767071.db2.gz PTJUUZOAJOAWDN-KOLCDFICSA-N 0 2 324.385 0.347 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCCC(=O)NC)cn1 ZINC000426820455 416784025 /nfs/dbraw/zinc/78/40/25/416784025.db2.gz LHWHPDSMMOHSFX-UHFFFAOYSA-N 0 2 304.354 0.549 20 0 DCADLN Cc1cc2cc[nH]c2cc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000445100305 416885553 /nfs/dbraw/zinc/88/55/53/416885553.db2.gz APVHXMBPZUHQAU-UHFFFAOYSA-N 0 2 314.305 0.555 20 0 DCADLN CCOC(=O)C1(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)CC1 ZINC000444330404 416833748 /nfs/dbraw/zinc/83/37/48/416833748.db2.gz MDWLVFWBXGIMDQ-UHFFFAOYSA-N 0 2 317.349 0.883 20 0 DCADLN CC(C)[C@H](C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000565043718 416914460 /nfs/dbraw/zinc/91/44/60/416914460.db2.gz LHVRNWPMHWSIJW-AWEZNQCLSA-N 0 2 321.377 0.891 20 0 DCADLN CCC(=O)N1CC[C@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000565660555 417004329 /nfs/dbraw/zinc/00/43/29/417004329.db2.gz LHPAKWUJNPUESV-MNOVXSKESA-N 0 2 321.381 0.475 20 0 DCADLN Cc1cc(C(=O)N(C)CCCCCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000447648282 417014060 /nfs/dbraw/zinc/01/40/60/417014060.db2.gz LEDULYALMJHGOX-UHFFFAOYSA-N 0 2 320.349 0.979 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@@H+]2CCO[C@H](C)C2)cc1 ZINC000523463730 417083320 /nfs/dbraw/zinc/08/33/20/417083320.db2.gz GFMPMWRUGZLSKQ-CYBMUJFWSA-N 0 2 302.382 0.525 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@H+]2CCO[C@H](C)C2)cc1 ZINC000523463730 417083327 /nfs/dbraw/zinc/08/33/27/417083327.db2.gz GFMPMWRUGZLSKQ-CYBMUJFWSA-N 0 2 302.382 0.525 20 0 DCADLN CO[C@@H](Cc1ccccc1)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000450003349 417188686 /nfs/dbraw/zinc/18/86/86/417188686.db2.gz GYMMCSIIIVXOPG-LBPRGKRZSA-N 0 2 304.350 0.817 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)CC(=O)N2C ZINC000432637633 417195217 /nfs/dbraw/zinc/19/52/17/417195217.db2.gz GJYKOUHENHLYLH-UHFFFAOYSA-N 0 2 306.347 0.740 20 0 DCADLN COCC[N@H+](CC(=O)[O-])[C@@H]1CCN(c2ccccc2OC)C1=O ZINC000643434649 417208679 /nfs/dbraw/zinc/20/86/79/417208679.db2.gz XJUNRQASWABMEA-CYBMUJFWSA-N 0 2 322.361 0.834 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])[C@@H]1CCN(c2ccccc2OC)C1=O ZINC000643434649 417208682 /nfs/dbraw/zinc/20/86/82/417208682.db2.gz XJUNRQASWABMEA-CYBMUJFWSA-N 0 2 322.361 0.834 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@@H](O)C12CCCC2 ZINC000432275351 417167206 /nfs/dbraw/zinc/16/72/06/417167206.db2.gz BZUXHIRNRGAAKQ-MRVPVSSYSA-N 0 2 302.318 0.763 20 0 DCADLN CCC[C@@H](NC(=O)c1cc(=O)[nH]c(N(C)C)n1)c1nn[nH]n1 ZINC000433693832 417283405 /nfs/dbraw/zinc/28/34/05/417283405.db2.gz KZBYHLUMBLUOCU-SSDOTTSWSA-N 0 2 306.330 0.033 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ncn(C)n2)ccc1C ZINC000451027265 417294661 /nfs/dbraw/zinc/29/46/61/417294661.db2.gz GTLDEMGKCVMJRV-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCO[C@@]2(CCSC2)C1 ZINC000570446951 417356328 /nfs/dbraw/zinc/35/63/28/417356328.db2.gz HOEVCPRXXAEHBI-QMMMGPOBSA-N 0 2 320.358 0.591 20 0 DCADLN COc1cc(C(=O)Nc2nc(SCCO)n[nH]2)sn1 ZINC000621915885 417343263 /nfs/dbraw/zinc/34/32/63/417343263.db2.gz REAHZBFNCXVWLG-UHFFFAOYSA-N 0 2 301.353 0.607 20 0 DCADLN COCCn1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000570760367 417389541 /nfs/dbraw/zinc/38/95/41/417389541.db2.gz GIMSIUXRDOBXAB-JTQLQIEISA-N 0 2 320.353 0.373 20 0 DCADLN COc1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)sn1 ZINC000622018963 417366953 /nfs/dbraw/zinc/36/69/53/417366953.db2.gz GZOCOIUMMQBDPC-ZETCQYMHSA-N 0 2 309.351 0.995 20 0 DCADLN CC(C)OC(=O)[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C(C)C ZINC000452870179 417505066 /nfs/dbraw/zinc/50/50/66/417505066.db2.gz FYURITMLUUOIMH-VIFPVBQESA-N 0 2 316.383 0.695 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCCC[C@@H]1CN1CCOCC1 ZINC000635547135 417697342 /nfs/dbraw/zinc/69/73/42/417697342.db2.gz SLQTXRTWTIUIOE-CYBMUJFWSA-N 0 2 322.413 0.236 20 0 DCADLN CCCCNc1cc(N2CCO[C@H](CC(=O)[O-])C2)nc(N)[nH+]1 ZINC000580201411 417698225 /nfs/dbraw/zinc/69/82/25/417698225.db2.gz LHLYVMZVJYENCV-SNVBAGLBSA-N 0 2 309.370 0.951 20 0 DCADLN CCCCNc1cc(N2CCO[C@H](CC(=O)[O-])C2)[nH+]c(N)n1 ZINC000580201411 417698231 /nfs/dbraw/zinc/69/82/31/417698231.db2.gz LHLYVMZVJYENCV-SNVBAGLBSA-N 0 2 309.370 0.951 20 0 DCADLN Cc1nnc(SCC(=O)N2CCC(C3N=NC(=O)O3)CC2)[nH]1 ZINC000644886944 417672889 /nfs/dbraw/zinc/67/28/89/417672889.db2.gz ABEUMVBMWPCVAB-UHFFFAOYSA-N 0 2 324.366 0.700 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1ccc(NC(N)=O)cc1 ZINC000577818208 417679769 /nfs/dbraw/zinc/67/97/69/417679769.db2.gz UBDQQHSURGMLJP-UHFFFAOYSA-N 0 2 311.323 0.857 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)[C@@H](CC)CO1 ZINC000651988686 417828472 /nfs/dbraw/zinc/82/84/72/417828472.db2.gz XHAYBPPCCFASLY-RYUDHWBXSA-N 0 2 323.397 0.635 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2nc3n([nH]2)CCCC3)[C@H](C)CO1 ZINC000652004341 417829945 /nfs/dbraw/zinc/82/99/45/417829945.db2.gz JSIZKDFQEUVEQZ-MNOVXSKESA-N 0 2 321.381 0.001 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)[C@H](C)CO1 ZINC000652005404 417830954 /nfs/dbraw/zinc/83/09/54/417830954.db2.gz ZCGHFWOUWRLKEZ-GHMZBOCLSA-N 0 2 309.370 0.245 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)(C)C)[nH]2)[C@@H](C)CO1 ZINC000652006348 417832807 /nfs/dbraw/zinc/83/28/07/417832807.db2.gz LNTUUDTXHXIJMB-WDEREUQCSA-N 0 2 323.397 0.419 20 0 DCADLN Cc1[nH+]ccn1CCCC[N@@H+](C)CC(=O)N1CC[NH+](C)CC1 ZINC000640051477 417781548 /nfs/dbraw/zinc/78/15/48/417781548.db2.gz NUNZNSZTQCUBNR-UHFFFAOYSA-N 0 2 307.442 0.678 20 0 DCADLN CC[C@@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@@H](O)C(C)C ZINC000651851062 417798941 /nfs/dbraw/zinc/79/89/41/417798941.db2.gz CTNFFSFZLIYXAO-MNOVXSKESA-N 0 2 311.386 0.131 20 0 DCADLN CC[C@@H](C)C[C@H](CO)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651869200 417804241 /nfs/dbraw/zinc/80/42/41/417804241.db2.gz MNOCRHNTLMQEDD-GHMZBOCLSA-N 0 2 311.386 0.133 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cc(C)ccc2F)[nH]1 ZINC000657103988 417811891 /nfs/dbraw/zinc/81/18/91/417811891.db2.gz LYVKHGHBFGYUBY-UHFFFAOYSA-N 0 2 314.342 0.877 20 0 DCADLN COCc1nnc(CNS(=O)(=O)Cc2cccc(F)c2)[nH]1 ZINC000657105027 417813315 /nfs/dbraw/zinc/81/33/15/417813315.db2.gz XHYQXLXKONMOJL-UHFFFAOYSA-N 0 2 314.342 0.710 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@@H](OC)C(C)C)[nH]1 ZINC000657104792 417813385 /nfs/dbraw/zinc/81/33/85/417813385.db2.gz PLDRIIOKJXGUPH-SECBINFHSA-N 0 2 306.388 0.042 20 0 DCADLN COCc1nnc(CNS(=O)(=O)[C@@H]2C[C@H]2c2ccccc2)[nH]1 ZINC000657105100 417813406 /nfs/dbraw/zinc/81/34/06/417813406.db2.gz RYHUHKVGHKEHNV-NWDGAFQWSA-N 0 2 322.390 0.927 20 0 DCADLN COCc1nnc(CNS(=O)(=O)Cc2ccccc2C)[nH]1 ZINC000657104913 417813570 /nfs/dbraw/zinc/81/35/70/417813570.db2.gz VNBSJHFBPAPEJZ-UHFFFAOYSA-N 0 2 310.379 0.879 20 0 DCADLN Cc1cc(=NC(=O)C(=O)N[C@H](C)COCC2CC2)[nH]nc1C ZINC000652154512 417853646 /nfs/dbraw/zinc/85/36/46/417853646.db2.gz HTRXFXZNEKSYKZ-SNVBAGLBSA-N 0 2 306.366 0.385 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000636067167 417856084 /nfs/dbraw/zinc/85/60/84/417856084.db2.gz VXWPBCLLXQNBLR-NSHDSACASA-N 0 2 302.400 0.250 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1C[C@@H](CO)Cc2ccccc21 ZINC000646533003 417864308 /nfs/dbraw/zinc/86/43/08/417864308.db2.gz QMBGWHOSDFNUAD-VIFPVBQESA-N 0 2 320.374 0.800 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@]3(CC3(F)F)C2)[nH]1 ZINC000652315216 417881653 /nfs/dbraw/zinc/88/16/53/417881653.db2.gz OMJXIKYAMSWGER-LBPRGKRZSA-N 0 2 313.308 0.477 20 0 DCADLN COC[C@H](CC1CCOCC1)[NH2+]CC(=O)N1CC[NH+](C)CC1 ZINC000657856320 417912021 /nfs/dbraw/zinc/91/20/21/417912021.db2.gz MMZDNHVUJYEWNZ-HNNXBMFYSA-N 0 2 313.442 0.182 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000663028512 417979615 /nfs/dbraw/zinc/97/96/15/417979615.db2.gz WFSORWMRACCTCQ-GFCCVEGCSA-N 0 2 302.290 0.193 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)CS(C)(=O)=O ZINC000647659070 418038017 /nfs/dbraw/zinc/03/80/17/418038017.db2.gz ULWGOLKHNFNLBV-UHFFFAOYSA-N 0 2 310.335 0.469 20 0 DCADLN O=C([O-])[C@H](CNC(=O)C(=O)NCCCn1cc[nH+]c1)C1CCC1 ZINC000663618994 418038796 /nfs/dbraw/zinc/03/87/96/418038796.db2.gz OVPYBBYZBZHQSE-GFCCVEGCSA-N 0 2 322.365 0.007 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ccc(C(=O)[O-])cn1 ZINC000663127677 417994034 /nfs/dbraw/zinc/99/40/34/417994034.db2.gz YRUGRRHANSDIIX-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ccc(C(=O)[O-])cn1 ZINC000663127677 417994038 /nfs/dbraw/zinc/99/40/38/417994038.db2.gz YRUGRRHANSDIIX-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN O=C([O-])[C@H](C(=O)N1CC[NH+]([C@H]2CCOC2)CC1)C1CCCC1 ZINC000663132523 417995056 /nfs/dbraw/zinc/99/50/56/417995056.db2.gz ZRNWWKWSARGBHC-KBPBESRZSA-N 0 2 310.394 0.811 20 0 DCADLN COc1c(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)c(C)nn1C ZINC000648888308 418169860 /nfs/dbraw/zinc/16/98/60/418169860.db2.gz DJYRBDFAVRDABT-UHFFFAOYSA-N 0 2 320.353 0.581 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)N[C@@H]1CCn2cc[nH+]c2C1)C1CCC1 ZINC000665310171 418195101 /nfs/dbraw/zinc/19/51/01/418195101.db2.gz KIMIWLWQRKRWHJ-NEPJUHHUSA-N 0 2 306.366 0.998 20 0 DCADLN CC(C)(C)n1cnc(=NC(=O)N2CC(C)(C)O[C@@H]3COC[C@H]32)[nH]1 ZINC000650124068 418294118 /nfs/dbraw/zinc/29/41/18/418294118.db2.gz HBKQHQJUUIJSKS-GHMZBOCLSA-N 0 2 323.397 0.865 20 0 DCADLN C[C@@H](CNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1)C(=O)[O-] ZINC000655862135 418294354 /nfs/dbraw/zinc/29/43/54/418294354.db2.gz YLQSUJPQTVGPJG-NSHDSACASA-N 0 2 322.365 0.438 20 0 DCADLN O=C([O-])c1cc(N2CCN(CC(=O)NC3CC3)CC2)cc[nH+]1 ZINC000650764917 418323282 /nfs/dbraw/zinc/32/32/82/418323282.db2.gz SLEBKYYQNQHIOC-UHFFFAOYSA-N 0 2 304.350 0.180 20 0 DCADLN CCN(CCCNc1cc[nH+]c(C(=O)[O-])c1)S(C)(=O)=O ZINC000650765069 418323736 /nfs/dbraw/zinc/32/37/36/418323736.db2.gz YFRNOEIMZBCSPU-UHFFFAOYSA-N 0 2 301.368 0.863 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H](C)c2c(C)nn(C)c2C)[nH]n1 ZINC000650817315 418326041 /nfs/dbraw/zinc/32/60/41/418326041.db2.gz ZAIVJGYHRYMNSO-QMMMGPOBSA-N 0 2 316.365 0.351 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CO[C@H]2CCC[C@@H](C)C2)[nH]n1 ZINC000650817039 418326418 /nfs/dbraw/zinc/32/64/18/418326418.db2.gz QRHMYOGAYPPHDO-MNOVXSKESA-N 0 2 306.366 0.792 20 0 DCADLN CC(C)Oc1cncc(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)n1 ZINC000656186655 418335044 /nfs/dbraw/zinc/33/50/44/418335044.db2.gz MIBMXLNWKAIQLG-VIFPVBQESA-N 0 2 316.383 0.482 20 0 DCADLN CCn1ccc(NS(=O)(=O)C[C@@H](OC)[C@@H]2CCOC2)n1 ZINC000656633437 418368294 /nfs/dbraw/zinc/36/82/94/418368294.db2.gz BSICWSHOZLZESG-GHMZBOCLSA-N 0 2 303.384 0.696 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1nc(SC)n[nH]1)[C@H]1CCOC1 ZINC000656729265 418374552 /nfs/dbraw/zinc/37/45/52/418374552.db2.gz UUMQFBGMVACYBG-YUMQZZPRSA-N 0 2 322.412 0.320 20 0 DCADLN CC(C)Cc1nsc(N[C@@H]2CCN(S(C)(=O)=O)C2)n1 ZINC000651400758 418374589 /nfs/dbraw/zinc/37/45/89/418374589.db2.gz VVFRDRCIEGAZSF-SECBINFHSA-N 0 2 304.441 0.604 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@H]2Cc3ccccc32)[nH]1 ZINC000651529579 418388098 /nfs/dbraw/zinc/38/80/98/418388098.db2.gz PFFIGPQJPUARNF-LBPRGKRZSA-N 0 2 313.361 0.676 20 0 DCADLN COc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1NC(N)=O ZINC000487384509 287535631 /nfs/dbraw/zinc/53/56/31/287535631.db2.gz AAGIUWYWPJXBOE-UHFFFAOYSA-N 0 2 311.323 0.710 20 0 DCADLN C/C=C\C[C@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000354242960 261195085 /nfs/dbraw/zinc/19/50/85/261195085.db2.gz VFCGOVKQGBNYNK-PKRMOACSSA-N 0 2 304.306 0.493 20 0 DCADLN CNC(=O)c1ccc(C)c(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000354312057 261203335 /nfs/dbraw/zinc/20/33/35/261203335.db2.gz MZWWROYGTFYTFE-UHFFFAOYSA-N 0 2 304.310 0.500 20 0 DCADLN O=C(N[C@H]1CC[S@](=O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354512178 261229753 /nfs/dbraw/zinc/22/97/53/261229753.db2.gz MEVRBFXREINROV-MGUXCZDKSA-N 0 2 323.349 0.968 20 0 DCADLN C[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)[N@H+]1[C@H](C)CC[C@@H]1C ZINC000354727399 261264351 /nfs/dbraw/zinc/26/43/51/261264351.db2.gz MIDYGGGUSRLOBA-HRDYMLBCSA-N 0 2 315.399 0.408 20 0 DCADLN C[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000354727399 261264352 /nfs/dbraw/zinc/26/43/52/261264352.db2.gz MIDYGGGUSRLOBA-HRDYMLBCSA-N 0 2 315.399 0.408 20 0 DCADLN Cc1cccc2c1N(C(=O)C(=O)NCc1n[nH]c(=O)[nH]1)CC2 ZINC000355982820 261381092 /nfs/dbraw/zinc/38/10/92/261381092.db2.gz SLUCDOIMQCAXHJ-UHFFFAOYSA-N 0 2 301.306 0.024 20 0 DCADLN CCOCc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000355984688 261382017 /nfs/dbraw/zinc/38/20/17/261382017.db2.gz XINUSYINOVVRTA-UHFFFAOYSA-N 0 2 319.321 0.302 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nc2cn[nH]c2)c1 ZINC000362187485 262007408 /nfs/dbraw/zinc/00/74/08/262007408.db2.gz LHVIIISHAFCSNX-UHFFFAOYSA-N 0 2 310.335 0.618 20 0 DCADLN Cc1nn(C)c2ncc(S(=O)(=O)Nc3cncnc3)cc12 ZINC000362226156 262012867 /nfs/dbraw/zinc/01/28/67/262012867.db2.gz ADHKYSCEAVJWGI-UHFFFAOYSA-N 0 2 304.335 0.868 20 0 DCADLN COC(=O)[C@@H](CC1CC1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000412527322 262191111 /nfs/dbraw/zinc/19/11/11/262191111.db2.gz WMLWYOBVKCRBAU-LLVKDONJSA-N 0 2 318.333 0.745 20 0 DCADLN CCc1ccccc1[C@@H]([NH3+])CNC(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000417550480 262255281 /nfs/dbraw/zinc/25/52/81/262255281.db2.gz LKTSRARJDKABSR-HOCLYGCPSA-N 0 2 320.437 0.879 20 0 DCADLN C[C@H]1OCC[C@]1(O)CNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000433216270 262653873 /nfs/dbraw/zinc/65/38/73/262653873.db2.gz AASKPSFVTAOLOK-OQPBUACISA-N 0 2 314.411 0.919 20 0 DCADLN NC(=O)N1CCC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000355854567 271027998 /nfs/dbraw/zinc/02/79/98/271027998.db2.gz QLURLGAMVPGSKO-JTQLQIEISA-N 0 2 316.321 0.659 20 0 DCADLN CC(=O)N1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@H](C)C1 ZINC000358386583 271050592 /nfs/dbraw/zinc/05/05/92/271050592.db2.gz QQBXYCSKLBKMHT-SECBINFHSA-N 0 2 317.349 0.367 20 0 DCADLN CCCOc1ccc(OCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000358925213 271080669 /nfs/dbraw/zinc/08/06/69/271080669.db2.gz DWNLLHPRMVGWJN-UHFFFAOYSA-N 0 2 306.322 0.994 20 0 DCADLN O=C(NCCn1cc[nH+]c1)N1CCC(Cn2cncn2)CC1 ZINC000488801772 272050376 /nfs/dbraw/zinc/05/03/76/272050376.db2.gz MWVWAFBUNQCWDV-UHFFFAOYSA-N 0 2 303.370 0.596 20 0 DCADLN COCc1nc(S(=O)(=O)CCNC(=O)C2CCCC2)n[nH]1 ZINC000489097809 272057360 /nfs/dbraw/zinc/05/73/60/272057360.db2.gz GBIOBYKIVYOKRX-UHFFFAOYSA-N 0 2 316.383 0.031 20 0 DCADLN O=C(/C=C/C1CC1)NS(=O)(=O)c1cnn(CC(F)F)c1 ZINC000492662198 272140759 /nfs/dbraw/zinc/14/07/59/272140759.db2.gz JXWZHQSZMWJMMZ-ONEGZZNKSA-N 0 2 305.306 0.919 20 0 DCADLN O=C(CNC(=O)OCC(F)(F)F)NOC[C@@H]1CCOC1 ZINC000492721106 272144808 /nfs/dbraw/zinc/14/48/08/272144808.db2.gz BXJVVEPKLNLARI-SSDOTTSWSA-N 0 2 300.233 0.359 20 0 DCADLN O=C(/C=C\c1cccnc1)NCC(=O)NOC1CCOCC1 ZINC000492738335 272146648 /nfs/dbraw/zinc/14/66/48/272146648.db2.gz PBMJQASJHHSPED-ARJAWSKDSA-N 0 2 305.334 0.438 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000493005912 272163031 /nfs/dbraw/zinc/16/30/31/272163031.db2.gz NTKVFYLVLJNXQI-QRGHLMKCSA-N 0 2 313.379 0.541 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C\c2cnccc2C)cnn1C ZINC000493040416 272165117 /nfs/dbraw/zinc/16/51/17/272165117.db2.gz RPCUREXQDRECTI-PLNGDYQASA-N 0 2 320.374 0.950 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1ccn(C)c1 ZINC000493616088 272199680 /nfs/dbraw/zinc/19/96/80/272199680.db2.gz KLWXIBUDWMEMHH-SNAWJCMRSA-N 0 2 308.363 0.585 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)NCCc1n[nH]c(=S)o1 ZINC000495539833 272253914 /nfs/dbraw/zinc/25/39/14/272253914.db2.gz LVFFNQXOGZHWLO-UHFFFAOYSA-N 0 2 302.356 0.542 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)NCCC1N=NC(=S)O1 ZINC000495539833 272253918 /nfs/dbraw/zinc/25/39/18/272253918.db2.gz LVFFNQXOGZHWLO-UHFFFAOYSA-N 0 2 302.356 0.542 20 0 DCADLN CCc1nsc(NC[C@@H](O)CNC(=O)OC(C)(C)C)n1 ZINC000496911709 272353300 /nfs/dbraw/zinc/35/33/00/272353300.db2.gz RZKVSCFGPALNIP-MRVPVSSYSA-N 0 2 302.400 0.820 20 0 DCADLN O=C1CCC[C@H]1[C@@H]1COCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000526135039 287689146 /nfs/dbraw/zinc/68/91/46/287689146.db2.gz ZAUFOXJQSGZGAF-STQMWFEESA-N 0 2 316.361 0.593 20 0 DCADLN CN1CC[C@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)CC1=O ZINC000533730431 287800500 /nfs/dbraw/zinc/80/05/00/287800500.db2.gz CZVMQRYTCLJFDP-VIFPVBQESA-N 0 2 317.374 0.829 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)c1nccn2nnnc12 ZINC000545929064 288006581 /nfs/dbraw/zinc/00/65/81/288006581.db2.gz DKIUCGDCEGOWRN-UHFFFAOYSA-N 0 2 314.334 0.866 20 0 DCADLN CC(C)(C)C(=O)NC1CCC(C(=O)NCc2n[nH]c(=O)[nH]2)CC1 ZINC000345191367 278285525 /nfs/dbraw/zinc/28/55/25/278285525.db2.gz STJZULRKVFWHEJ-UHFFFAOYSA-N 0 2 323.397 0.848 20 0 DCADLN C[C@H]1OCC[C@@]12C[N@H+](Cc1cc(=O)n3[n-]ccc3n1)CCO2 ZINC000547769813 288077179 /nfs/dbraw/zinc/07/71/79/288077179.db2.gz MXTFOQNCPOQQND-IAQYHMDHSA-N 0 2 304.350 0.402 20 0 DCADLN C[C@H]1OCC[C@@]12C[N@@H+](Cc1cc(=O)n3[n-]ccc3n1)CCO2 ZINC000547769813 288077182 /nfs/dbraw/zinc/07/71/82/288077182.db2.gz MXTFOQNCPOQQND-IAQYHMDHSA-N 0 2 304.350 0.402 20 0 DCADLN Cc1[nH]ncc1CNC(=O)Cc1ccc(S(N)(=O)=O)s1 ZINC000548302242 288129960 /nfs/dbraw/zinc/12/99/60/288129960.db2.gz CWVBUVFEYFISJR-UHFFFAOYSA-N 0 2 314.392 0.286 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@@H]1CCC(=O)N1 ZINC000548441067 288142864 /nfs/dbraw/zinc/14/28/64/288142864.db2.gz BZSPHRMQRCZDLT-NSHDSACASA-N 0 2 315.333 0.279 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H]2CCCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000553194414 288289909 /nfs/dbraw/zinc/28/99/09/288289909.db2.gz XFRIYJQKTGQDOD-SECBINFHSA-N 0 2 318.333 0.995 20 0 DCADLN CS(=O)(=O)[C@H]1CCC[C@@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC000555344971 288349611 /nfs/dbraw/zinc/34/96/11/288349611.db2.gz WGXFGPCDVTXCIR-SFYZADRCSA-N 0 2 323.358 0.824 20 0 DCADLN CC[C@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@H](C)S1(=O)=O ZINC000561899427 288553654 /nfs/dbraw/zinc/55/36/54/288553654.db2.gz BSKPJUHXEPXFRF-JQWIXIFHSA-N 0 2 324.406 0.420 20 0 DCADLN Cn1cnc(CCNC(=O)c2cc(F)c(F)c(O)c2F)n1 ZINC000564326473 288736628 /nfs/dbraw/zinc/73/66/28/288736628.db2.gz ULJSCVNSAZSSAU-UHFFFAOYSA-N 0 2 300.240 0.911 20 0 DCADLN NC(=O)[C@@H]1C[C@@H](F)CN1C(=O)c1cc(F)c(F)c(O)c1F ZINC000285627567 303311842 /nfs/dbraw/zinc/31/18/42/303311842.db2.gz VZUQQBIEARCTJE-FBCQKBJTSA-N 0 2 306.215 0.847 20 0 DCADLN CCNc1nc(NC(C)(C)C)nc(N2CC[C@@H](CO)[C@@H](O)C2)[nH+]1 ZINC000582878367 337214054 /nfs/dbraw/zinc/21/40/54/337214054.db2.gz RUBHYMXCYDHYER-QWRGUYRKSA-N 0 2 324.429 0.115 20 0 DCADLN CCOc1ccc(OCC[NH+]2CCN(C(=O)C(=O)[O-])CC2)cc1 ZINC000396685708 337241944 /nfs/dbraw/zinc/24/19/44/337241944.db2.gz QLYRGOPIIJQNLD-UHFFFAOYSA-N 0 2 322.361 0.693 20 0 DCADLN CC(=O)N1CCCC[C@@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000583324048 337315985 /nfs/dbraw/zinc/31/59/85/337315985.db2.gz LHMURPWTUMANOL-NWDGAFQWSA-N 0 2 321.381 0.617 20 0 DCADLN CCS(=O)(=O)N1CC[NH+](Cc2ccccc2C(=O)[O-])CC1 ZINC000577381001 341906979 /nfs/dbraw/zinc/90/69/79/341906979.db2.gz NSJQPOBLGROMKS-UHFFFAOYSA-N 0 2 312.391 0.852 20 0 DCADLN O=C([O-])[C@H]1[C@@H](C[NH+]2CC(CNC(=O)C(F)(F)F)C2)C1(F)F ZINC001601749503 1159781973 /nfs/dbraw/zinc/78/19/73/1159781973.db2.gz KVKMFQHPLZXAPP-RNFRBKRXSA-N 0 2 316.226 0.563 20 0 DCADLN CC(=O)N1CC[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000156434539 519617679 /nfs/dbraw/zinc/61/76/79/519617679.db2.gz GJOVLGPITZDJAR-GFCCVEGCSA-N 0 2 314.345 0.929 20 0 DCADLN CCN(C)C(=O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000187278696 520171824 /nfs/dbraw/zinc/17/18/24/520171824.db2.gz WOPCVVMSMPUKDT-UHFFFAOYSA-N 0 2 302.334 0.786 20 0 DCADLN COC[C@@H](O)CCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000130846754 522392420 /nfs/dbraw/zinc/39/24/20/522392420.db2.gz STXSGCNKDDGQMM-LBPRGKRZSA-N 0 2 323.324 0.844 20 0 DCADLN CC[C@@H]1CCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000156484944 523332999 /nfs/dbraw/zinc/33/29/99/523332999.db2.gz QHGJOHGSUVHJRN-MRVPVSSYSA-N 0 2 324.362 0.032 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@H](O)C[C@H]1CO ZINC000364324486 526927333 /nfs/dbraw/zinc/92/73/33/526927333.db2.gz LPNJDNGXWHQZMS-NWDGAFQWSA-N 0 2 303.318 0.146 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H]1COc2ccccc2C1 ZINC000188187668 527048116 /nfs/dbraw/zinc/04/81/16/527048116.db2.gz CWHGWFRKVFNBMY-VIFPVBQESA-N 0 2 306.347 0.310 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)Nc1cnns1 ZINC000191282977 527116646 /nfs/dbraw/zinc/11/66/46/527116646.db2.gz MHHXNJBYVAVITD-UHFFFAOYSA-N 0 2 304.335 0.672 20 0 DCADLN CC(C)C(=O)N1CCCC[C@H]1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000330952217 527469967 /nfs/dbraw/zinc/46/99/67/527469967.db2.gz QKIRBXHAEVUSFC-NSHDSACASA-N 0 2 323.397 0.596 20 0 DCADLN CN1CCC[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1=O ZINC000294484089 546012409 /nfs/dbraw/zinc/01/24/09/546012409.db2.gz IQNZVJZTVMZWCM-NSHDSACASA-N 0 2 316.317 0.837 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](CC(=O)NCCCc2ccccc2)CCO1 ZINC000315274518 546026942 /nfs/dbraw/zinc/02/69/42/546026942.db2.gz FZJFDSWFYNBYOY-CQSZACIVSA-N 0 2 306.362 0.521 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](CC(=O)NCCCc2ccccc2)CCO1 ZINC000315274518 546026943 /nfs/dbraw/zinc/02/69/43/546026943.db2.gz FZJFDSWFYNBYOY-CQSZACIVSA-N 0 2 306.362 0.521 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)[C@@H]2CCC(=O)N2C)[nH]1 ZINC000330260207 546057018 /nfs/dbraw/zinc/05/70/18/546057018.db2.gz OAGHUVSAURNAAA-QWRGUYRKSA-N 0 2 321.381 0.626 20 0 DCADLN CC[NH+](CC)C[C@@H](C)NS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000360009672 546201295 /nfs/dbraw/zinc/20/12/95/546201295.db2.gz AHKULXKXLAYWCA-CQSZACIVSA-N 0 2 321.487 0.358 20 0 DCADLN CC(C)NC(=O)CCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666039850 546421294 /nfs/dbraw/zinc/42/12/94/546421294.db2.gz IVRNNLGZGOJLFZ-UHFFFAOYSA-N 0 2 318.333 0.674 20 0 DCADLN COCc1nnc(CNC(=O)Nc2nc(C)ccc2OC)[nH]1 ZINC000666724121 546501886 /nfs/dbraw/zinc/50/18/86/546501886.db2.gz WDOVZOBZVOIDPY-UHFFFAOYSA-N 0 2 306.326 0.985 20 0 DCADLN COc1ccc2c(c1)OC[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)C2 ZINC000673918902 547537802 /nfs/dbraw/zinc/53/78/02/547537802.db2.gz CDFFVPWSIBLWAR-VIFPVBQESA-N 0 2 304.306 0.386 20 0 DCADLN NC(=O)CSc1ccccc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000674765300 547625056 /nfs/dbraw/zinc/62/50/56/547625056.db2.gz DKHSYGRURMGQHV-UHFFFAOYSA-N 0 2 322.350 0.409 20 0 DCADLN COc1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)ccc1NC(C)=O ZINC000674765633 547625484 /nfs/dbraw/zinc/62/54/84/547625484.db2.gz QHAJVPPILODHQX-UHFFFAOYSA-N 0 2 320.309 0.799 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+]([C@H]2CCCN(c3ccccc3)C2=O)CCO1 ZINC000676231217 547746909 /nfs/dbraw/zinc/74/69/09/547746909.db2.gz JKBWJYUZPWHSFD-UONOGXRCSA-N 0 2 304.346 0.967 20 0 DCADLN O=C([O-])[C@H]1C[N@H+]([C@H]2CCCN(c3ccccc3)C2=O)CCO1 ZINC000676231217 547746910 /nfs/dbraw/zinc/74/69/10/547746910.db2.gz JKBWJYUZPWHSFD-UONOGXRCSA-N 0 2 304.346 0.967 20 0 DCADLN COc1ccnc(N2CCN(C(=O)c3n[nH]c(C)c3O)CC2)n1 ZINC000676632459 547797236 /nfs/dbraw/zinc/79/72/36/547797236.db2.gz RJLRKIFXHNOILM-UHFFFAOYSA-N 0 2 318.337 0.185 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cccc(Cl)c2)[nH]n1 ZINC000677644946 547894314 /nfs/dbraw/zinc/89/43/14/547894314.db2.gz OMTWGSMUFMIKLN-UHFFFAOYSA-N 0 2 300.727 0.963 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccccc1N1CCCC1=O ZINC000682832746 548429896 /nfs/dbraw/zinc/42/98/96/548429896.db2.gz HGIGWFOWYNAWKN-UHFFFAOYSA-N 0 2 321.362 0.743 20 0 DCADLN Cn1ccc(NC(=O)CNC(=O)c2cc(F)c(O)c(F)c2)n1 ZINC000684172922 548576897 /nfs/dbraw/zinc/57/68/97/548576897.db2.gz ISTIWCIJWICFSE-UHFFFAOYSA-N 0 2 310.260 0.772 20 0 DCADLN Cc1cc2c(cc1S(=O)(=O)Nc1cc(C(N)=O)n[nH]1)OCO2 ZINC000684608313 548632524 /nfs/dbraw/zinc/63/25/24/548632524.db2.gz GUYLMTBDVAXYSV-UHFFFAOYSA-N 0 2 324.318 0.347 20 0 DCADLN CC(C)[C@H](C)Sc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820021085 599098983 /nfs/dbraw/zinc/09/89/83/599098983.db2.gz PCIKTKMGLULNMA-ZETCQYMHSA-N 0 2 310.383 0.401 20 0 DCADLN CCCC(=O)NC[C@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736952978 599782557 /nfs/dbraw/zinc/78/25/57/599782557.db2.gz YVXYBQTVFVFDQF-LLVKDONJSA-N 0 2 309.370 0.562 20 0 DCADLN CCCC(=O)NC[C@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736952978 599782559 /nfs/dbraw/zinc/78/25/59/599782559.db2.gz YVXYBQTVFVFDQF-LLVKDONJSA-N 0 2 309.370 0.562 20 0 DCADLN CC(C)N(C)C(=O)C1CCN(C(=O)C[N@H+](C)CC(=O)[O-])CC1 ZINC000738832841 596902430 /nfs/dbraw/zinc/90/24/30/596902430.db2.gz DCRZZSHPDCRBHP-UHFFFAOYSA-N 0 2 313.398 0.108 20 0 DCADLN CC(C)N(C)C(=O)C1CCN(C(=O)C[N@@H+](C)CC(=O)[O-])CC1 ZINC000738832841 596902433 /nfs/dbraw/zinc/90/24/33/596902433.db2.gz DCRZZSHPDCRBHP-UHFFFAOYSA-N 0 2 313.398 0.108 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000818120761 597065327 /nfs/dbraw/zinc/06/53/27/597065327.db2.gz PLPNLQBPJXXJLJ-CHWSQXEVSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000818120761 597065329 /nfs/dbraw/zinc/06/53/29/597065329.db2.gz PLPNLQBPJXXJLJ-CHWSQXEVSA-N 0 2 313.398 0.603 20 0 DCADLN C[N@@H+]1CCc2nc(NC(=O)CSCC(=O)[O-])sc2C1 ZINC000739744705 597098131 /nfs/dbraw/zinc/09/81/31/597098131.db2.gz SBLQKASFBSCSLO-UHFFFAOYSA-N 0 2 301.393 0.887 20 0 DCADLN C[N@H+]1CCc2nc(NC(=O)CSCC(=O)[O-])sc2C1 ZINC000739744705 597098135 /nfs/dbraw/zinc/09/81/35/597098135.db2.gz SBLQKASFBSCSLO-UHFFFAOYSA-N 0 2 301.393 0.887 20 0 DCADLN CC[N@H+](CC(=O)NCC(=O)[O-])C1CN(C(=O)OC(C)(C)C)C1 ZINC000820332682 597799093 /nfs/dbraw/zinc/79/90/93/597799093.db2.gz CSZDCGMOOXYNHD-UHFFFAOYSA-N 0 2 315.370 0.128 20 0 DCADLN CC[N@@H+](CC(=O)NCC(=O)[O-])C1CN(C(=O)OC(C)(C)C)C1 ZINC000820332682 597799096 /nfs/dbraw/zinc/79/90/96/597799096.db2.gz CSZDCGMOOXYNHD-UHFFFAOYSA-N 0 2 315.370 0.128 20 0 DCADLN COc1ccc(CNC(=O)[C@@H](C)[N@H+](C)CC(=O)[O-])cc1OC ZINC000820837172 598042397 /nfs/dbraw/zinc/04/23/97/598042397.db2.gz QYSSXKUEKPLUJU-SNVBAGLBSA-N 0 2 310.350 0.725 20 0 DCADLN COc1ccc(CNC(=O)[C@@H](C)[N@@H+](C)CC(=O)[O-])cc1OC ZINC000820837172 598042401 /nfs/dbraw/zinc/04/24/01/598042401.db2.gz QYSSXKUEKPLUJU-SNVBAGLBSA-N 0 2 310.350 0.725 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)nc2)s1 ZINC000737446681 598438030 /nfs/dbraw/zinc/43/80/30/598438030.db2.gz SIBAVAMRPVHKFJ-UHFFFAOYSA-N 0 2 323.363 0.827 20 0 DCADLN Cc1cccc(OCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000314119027 599777447 /nfs/dbraw/zinc/77/74/47/599777447.db2.gz LKBBSFOZENCECS-ZDUSSCGKSA-N 0 2 303.318 0.909 20 0 DCADLN Cc1cccc(OCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000314119027 599777448 /nfs/dbraw/zinc/77/74/48/599777448.db2.gz LKBBSFOZENCECS-ZDUSSCGKSA-N 0 2 303.318 0.909 20 0 DCADLN CCCC(=O)NC[C@@H]1CCC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736952669 599782678 /nfs/dbraw/zinc/78/26/78/599782678.db2.gz TVIXMNCSNNYINR-NSHDSACASA-N 0 2 309.370 0.562 20 0 DCADLN CCCC(=O)NC[C@@H]1CCC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736952669 599782679 /nfs/dbraw/zinc/78/26/79/599782679.db2.gz TVIXMNCSNNYINR-NSHDSACASA-N 0 2 309.370 0.562 20 0 DCADLN Cn1c2nnc(C[NH2+]C(C)(C)C(=O)[O-])n2c2ccsc2c1=O ZINC000738401053 599926460 /nfs/dbraw/zinc/92/64/60/599926460.db2.gz VMPRCTVCTKURLE-UHFFFAOYSA-N 0 2 321.362 0.596 20 0 DCADLN COC(=O)[C@H](c1ccccc1)[N@H+](C)CCC(=O)N(C)CC(=O)[O-] ZINC000737581602 600213069 /nfs/dbraw/zinc/21/30/69/600213069.db2.gz UEUMJZMCVLVHOW-HNNXBMFYSA-N 0 2 322.361 0.766 20 0 DCADLN COC(=O)[C@H](c1ccccc1)[N@@H+](C)CCC(=O)N(C)CC(=O)[O-] ZINC000737581602 600213070 /nfs/dbraw/zinc/21/30/70/600213070.db2.gz UEUMJZMCVLVHOW-HNNXBMFYSA-N 0 2 322.361 0.766 20 0 DCADLN C[C@H](C(=O)N1CCC2(C[C@H]2C(=O)[O-])CC1)[NH+]1CCN(C)CC1 ZINC000736204188 600276640 /nfs/dbraw/zinc/27/66/40/600276640.db2.gz HHRFSNZGVKZFRK-OLZOCXBDSA-N 0 2 309.410 0.336 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2ccc(C(=O)[O-])cc2F)CC1 ZINC000737519574 600306703 /nfs/dbraw/zinc/30/67/03/600306703.db2.gz YVJRNGLRRVPWNA-UHFFFAOYSA-N 0 2 309.297 0.236 20 0 DCADLN COC(=O)C1([NH2+]CCC(=O)N(C)CC(=O)[O-])CCCCC1 ZINC000737582012 600319127 /nfs/dbraw/zinc/31/91/27/600319127.db2.gz WZMAUESXOXKTQR-UHFFFAOYSA-N 0 2 300.355 0.385 20 0 DCADLN Cn1c[nH+]c2c1CCN(CC(=O)NC1(C(=O)[O-])CCCCC1)C2 ZINC000739048017 600338581 /nfs/dbraw/zinc/33/85/81/600338581.db2.gz LHLOGLKFDIGAGE-UHFFFAOYSA-N 0 2 320.393 0.682 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000736646996 600494909 /nfs/dbraw/zinc/49/49/09/600494909.db2.gz ZRKLALHTIMWBFX-RWMBFGLXSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C(=O)[O-])o1 ZINC000316690151 600527792 /nfs/dbraw/zinc/52/77/92/600527792.db2.gz GISPLBDCBYWANU-SNVBAGLBSA-N 0 2 311.338 0.498 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCc2ccc(C(=O)[O-])o2)CCO1 ZINC000736810075 600544754 /nfs/dbraw/zinc/54/47/54/600544754.db2.gz NNFMBEZIWMTARR-SNVBAGLBSA-N 0 2 311.338 0.498 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCc2ccc(C(=O)[O-])o2)CCO1 ZINC000736810075 600544756 /nfs/dbraw/zinc/54/47/56/600544756.db2.gz NNFMBEZIWMTARR-SNVBAGLBSA-N 0 2 311.338 0.498 20 0 DCADLN O=C([O-])[C@H](CNC(=O)Cn1cc[nH+]c1)Cc1ccc(O)cc1 ZINC000739361691 600667958 /nfs/dbraw/zinc/66/79/58/600667958.db2.gz OOQQXSLKXFDNSF-LBPRGKRZSA-N 0 2 303.318 0.648 20 0 DCADLN CC1(C)CC(=O)N(C[N@@H+]2CCSC[C@@H]2CC(=O)[O-])C1=O ZINC000736726921 600703453 /nfs/dbraw/zinc/70/34/53/600703453.db2.gz SVISJHSQRXTCJR-VIFPVBQESA-N 0 2 300.380 0.621 20 0 DCADLN CC1(C)CC(=O)N(C[N@H+]2CCSC[C@@H]2CC(=O)[O-])C1=O ZINC000736726921 600703456 /nfs/dbraw/zinc/70/34/56/600703456.db2.gz SVISJHSQRXTCJR-VIFPVBQESA-N 0 2 300.380 0.621 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)C1 ZINC000387253653 600972180 /nfs/dbraw/zinc/97/21/80/600972180.db2.gz MHYFIZAXJWOSFX-MJBXVCDLSA-N 0 2 310.394 0.811 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])C1 ZINC000737133738 600977504 /nfs/dbraw/zinc/97/75/04/600977504.db2.gz JBYGUEWSAYHSTP-WDEREUQCSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])C1 ZINC000737133738 600977507 /nfs/dbraw/zinc/97/75/07/600977507.db2.gz JBYGUEWSAYHSTP-WDEREUQCSA-N 0 2 300.355 0.193 20 0 DCADLN O=C([O-])[C@H]1CCC[N@H+](CC(=O)NNC(=O)c2cccs2)C1 ZINC000035296934 601032014 /nfs/dbraw/zinc/03/20/14/601032014.db2.gz HSRICHIITJDTGC-VIFPVBQESA-N 0 2 311.363 0.306 20 0 DCADLN O=C([O-])[C@H]1CCC[N@@H+](CC(=O)NNC(=O)c2cccs2)C1 ZINC000035296934 601032017 /nfs/dbraw/zinc/03/20/17/601032017.db2.gz HSRICHIITJDTGC-VIFPVBQESA-N 0 2 311.363 0.306 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(C(=O)[O-])nc2)C[C@H]1[NH+]1CCOCC1 ZINC000736805248 601109360 /nfs/dbraw/zinc/10/93/60/601109360.db2.gz BSJZKPLDTGQKSO-SMDDNHRTSA-N 0 2 319.361 0.573 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N2CC[NH+](C)CC2)cc1C(=O)[O-] ZINC000832937307 601159392 /nfs/dbraw/zinc/15/93/92/601159392.db2.gz KODUDKDGSLKJDZ-UHFFFAOYSA-N 0 2 305.334 0.406 20 0 DCADLN COc1ccccc1CNC(=O)C[N@@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000832613325 601444310 /nfs/dbraw/zinc/44/43/10/601444310.db2.gz KBPCATYIVYYWGT-HNNXBMFYSA-N 0 2 310.325 0.810 20 0 DCADLN COc1ccccc1CNC(=O)C[N@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000832613325 601444311 /nfs/dbraw/zinc/44/43/11/601444311.db2.gz KBPCATYIVYYWGT-HNNXBMFYSA-N 0 2 310.325 0.810 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)[N@H+]1C[C@H](O)C[C@H](O)C1 ZINC000832918244 601450231 /nfs/dbraw/zinc/45/02/31/601450231.db2.gz UBUYSNZDZUOCRC-WCFLWFBJSA-N 0 2 322.361 0.448 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)[N@@H+]1C[C@H](O)C[C@H](O)C1 ZINC000832918244 601450232 /nfs/dbraw/zinc/45/02/32/601450232.db2.gz UBUYSNZDZUOCRC-WCFLWFBJSA-N 0 2 322.361 0.448 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2C[C@H](C3CCCCC3)C[C@@H]2C(=O)[O-])n1 ZINC000833090828 601541734 /nfs/dbraw/zinc/54/17/34/601541734.db2.gz FMYJDFXHTKHIRG-GHMZBOCLSA-N 0 2 320.397 0.891 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2C[C@H](C3CCCCC3)C[C@@H]2C(=O)[O-])n1 ZINC000833090828 601541737 /nfs/dbraw/zinc/54/17/37/601541737.db2.gz FMYJDFXHTKHIRG-GHMZBOCLSA-N 0 2 320.397 0.891 20 0 DCADLN C[C@H](C(=O)NC(=O)NC(C)(C)C)[N@@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000825990909 601552843 /nfs/dbraw/zinc/55/28/43/601552843.db2.gz RDCPNBBCZJZVCI-AMIZOPFISA-N 0 2 303.334 0.498 20 0 DCADLN C[C@H](C(=O)NC(=O)NC(C)(C)C)[N@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000825990909 601552845 /nfs/dbraw/zinc/55/28/45/601552845.db2.gz RDCPNBBCZJZVCI-AMIZOPFISA-N 0 2 303.334 0.498 20 0 DCADLN C[C@H](C(=O)[O-])[C@H]([NH2+]Cc1nc(N)nc(N)n1)c1ccccc1 ZINC000826055373 601657878 /nfs/dbraw/zinc/65/78/78/601657878.db2.gz ZNYRNLQNNCTUEL-KWQFWETISA-N 0 2 302.338 0.588 20 0 DCADLN C[N@@H+]1CCO[C@H]2CN(C(=O)CCc3ccc(C(=O)[O-])cc3)C[C@H]21 ZINC000830963528 602223674 /nfs/dbraw/zinc/22/36/74/602223674.db2.gz CJMBVWKMNHEXAL-CABCVRRESA-N 0 2 318.373 0.859 20 0 DCADLN C[N@H+]1CCO[C@H]2CN(C(=O)CCc3ccc(C(=O)[O-])cc3)C[C@H]21 ZINC000830963528 602223677 /nfs/dbraw/zinc/22/36/77/602223677.db2.gz CJMBVWKMNHEXAL-CABCVRRESA-N 0 2 318.373 0.859 20 0 DCADLN CC(C)[C@@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000736371300 602281048 /nfs/dbraw/zinc/28/10/48/602281048.db2.gz SSQSECLMHWINTQ-LLVKDONJSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)[C@@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000736371300 602281051 /nfs/dbraw/zinc/28/10/51/602281051.db2.gz SSQSECLMHWINTQ-LLVKDONJSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@H](CNC(=O)N[C@H]1CCCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000736594734 603249547 /nfs/dbraw/zinc/24/95/47/603249547.db2.gz CIIWWMXVPKYTLW-NEPJUHHUSA-N 0 2 314.386 0.149 20 0 DCADLN Cc1ccccc1CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830559568 603514587 /nfs/dbraw/zinc/51/45/87/603514587.db2.gz LPSLNCCEDFQKLK-AWEZNQCLSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1ccccc1CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830559568 603514590 /nfs/dbraw/zinc/51/45/90/603514590.db2.gz LPSLNCCEDFQKLK-AWEZNQCLSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1noc(C)c1CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830909395 603519305 /nfs/dbraw/zinc/51/93/05/603519305.db2.gz KAEMMNAIJGJSIU-LLVKDONJSA-N 0 2 310.354 0.244 20 0 DCADLN Cc1noc(C)c1CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830909395 603519308 /nfs/dbraw/zinc/51/93/08/603519308.db2.gz KAEMMNAIJGJSIU-LLVKDONJSA-N 0 2 310.354 0.244 20 0 DCADLN CN(C[C@@H]1CCC[N@@H+]1CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)[O-] ZINC000828223010 603611047 /nfs/dbraw/zinc/61/10/47/603611047.db2.gz GSVVNFJPLITVLL-XHDPSFHLSA-N 0 2 324.381 0.739 20 0 DCADLN CN(C[C@@H]1CCC[N@H+]1CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)[O-] ZINC000828223010 603611049 /nfs/dbraw/zinc/61/10/49/603611049.db2.gz GSVVNFJPLITVLL-XHDPSFHLSA-N 0 2 324.381 0.739 20 0 DCADLN Cc1cc(C)c(C(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)c(=O)[nH]1 ZINC000829987356 603673401 /nfs/dbraw/zinc/67/34/01/603673401.db2.gz XTWHOQCJKJCOGP-UHFFFAOYSA-N 0 2 322.365 0.429 20 0 DCADLN C[C@H](CNC(=O)N1CCC[C@H]1CNC(=O)[O-])[NH+]1CCOCC1 ZINC000824931523 603692528 /nfs/dbraw/zinc/69/25/28/603692528.db2.gz XIFSIZJATANLBT-NEPJUHHUSA-N 0 2 314.386 0.149 20 0 DCADLN COCC[N@@H+]1CCN(C(=O)[C@]2(F)CCN(C(=O)[O-])C2)C[C@H]1C ZINC000828992556 603900933 /nfs/dbraw/zinc/90/09/33/603900933.db2.gz QGZGBRUVBAXLNI-RISCZKNCSA-N 0 2 317.361 0.258 20 0 DCADLN COCC[N@H+]1CCN(C(=O)[C@]2(F)CCN(C(=O)[O-])C2)C[C@H]1C ZINC000828992556 603900938 /nfs/dbraw/zinc/90/09/38/603900938.db2.gz QGZGBRUVBAXLNI-RISCZKNCSA-N 0 2 317.361 0.258 20 0 DCADLN CC(C)CCS(=O)(=O)NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000824244963 603909919 /nfs/dbraw/zinc/90/99/19/603909919.db2.gz NRISDROXRSVXJA-UHFFFAOYSA-N 0 2 321.443 0.638 20 0 DCADLN O=C([O-])N[C@@H]1CC[C@@H](C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)C1 ZINC000832247327 603928571 /nfs/dbraw/zinc/92/85/71/603928571.db2.gz OWHMUXPIIMICAS-UPJWGTAASA-N 0 2 311.382 0.356 20 0 DCADLN O=C([O-])NCc1nnnn1Cc1[nH+]ccn1Cc1ccccc1 ZINC000832745044 604032126 /nfs/dbraw/zinc/03/21/26/604032126.db2.gz RYRXFVWSXUUZOH-UHFFFAOYSA-N 0 2 313.321 0.734 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@@H+]3CCC[C@@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831018438 604034840 /nfs/dbraw/zinc/03/48/40/604034840.db2.gz HJPOLOURCHLWHG-VXGBXAGGSA-N 0 2 321.381 0.648 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@H+]3CCC[C@@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831018438 604034845 /nfs/dbraw/zinc/03/48/45/604034845.db2.gz HJPOLOURCHLWHG-VXGBXAGGSA-N 0 2 321.381 0.648 20 0 DCADLN COC(C)(C)CNC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000828824722 604075288 /nfs/dbraw/zinc/07/52/88/604075288.db2.gz TYPDGOSIECNIMV-UHFFFAOYSA-N 0 2 302.375 0.006 20 0 DCADLN CNC(=O)Cc1nc(C[N@H+](C)CCCNC(=O)[O-])cs1 ZINC000828546754 604203539 /nfs/dbraw/zinc/20/35/39/604203539.db2.gz GGNRMNIAQZVTPQ-UHFFFAOYSA-N 0 2 300.384 0.521 20 0 DCADLN CNC(=O)Cc1nc(C[N@@H+](C)CCCNC(=O)[O-])cs1 ZINC000828546754 604203543 /nfs/dbraw/zinc/20/35/43/604203543.db2.gz GGNRMNIAQZVTPQ-UHFFFAOYSA-N 0 2 300.384 0.521 20 0 DCADLN O=C([O-])N1CCC[C@@H](C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000831974744 604301288 /nfs/dbraw/zinc/30/12/88/604301288.db2.gz YQNBSLVXBXYIGW-CHWSQXEVSA-N 0 2 311.382 0.310 20 0 DCADLN C[N@@H+]1CCN(C(=O)COCc2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828501004 604398396 /nfs/dbraw/zinc/39/83/96/604398396.db2.gz SNMUKXYJUFPASY-CQSZACIVSA-N 0 2 321.377 0.613 20 0 DCADLN C[N@H+]1CCN(C(=O)COCc2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828501004 604398399 /nfs/dbraw/zinc/39/83/99/604398399.db2.gz SNMUKXYJUFPASY-CQSZACIVSA-N 0 2 321.377 0.613 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824267576 604402406 /nfs/dbraw/zinc/40/24/06/604402406.db2.gz CMTFQJLGBWUXNP-NWDGAFQWSA-N 0 2 301.387 0.458 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824267576 604402407 /nfs/dbraw/zinc/40/24/07/604402407.db2.gz CMTFQJLGBWUXNP-NWDGAFQWSA-N 0 2 301.387 0.458 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCC2CCOCC2)[C@H](CNC(=O)[O-])C1 ZINC000828494230 604409212 /nfs/dbraw/zinc/40/92/12/604409212.db2.gz IHMAIMUBJJYIGA-CYBMUJFWSA-N 0 2 313.398 0.603 20 0 DCADLN C[N@H+]1CCN(C(=O)CCC2CCOCC2)[C@H](CNC(=O)[O-])C1 ZINC000828494230 604409214 /nfs/dbraw/zinc/40/92/14/604409214.db2.gz IHMAIMUBJJYIGA-CYBMUJFWSA-N 0 2 313.398 0.603 20 0 DCADLN Cc1cc(=O)[nH]cc1C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000829892976 604412387 /nfs/dbraw/zinc/41/23/87/604412387.db2.gz BROWRPKESFYRPK-SNVBAGLBSA-N 0 2 308.338 0.119 20 0 DCADLN Cc1cc(=O)[nH]cc1C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000829892976 604412389 /nfs/dbraw/zinc/41/23/89/604412389.db2.gz BROWRPKESFYRPK-SNVBAGLBSA-N 0 2 308.338 0.119 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1cc(C(=O)[O-])cs1 ZINC000827596950 604477483 /nfs/dbraw/zinc/47/74/83/604477483.db2.gz HFMQGWMDUOGOGQ-MRVPVSSYSA-N 0 2 322.346 0.786 20 0 DCADLN Cc1cc[nH+]c(N2CCN([C@H](C)C(=O)NCC(=O)[O-])CC2)c1 ZINC000832984094 604508614 /nfs/dbraw/zinc/50/86/14/604508614.db2.gz MMPXOQVTPMGTCP-GFCCVEGCSA-N 0 2 306.366 0.101 20 0 DCADLN CC(C)(C)n1cc(CN(CC[NH+]2CCOCC2)CC(=O)[O-])cn1 ZINC000833453081 604638334 /nfs/dbraw/zinc/63/83/34/604638334.db2.gz RZFCPFGUCFAZEV-UHFFFAOYSA-N 0 2 324.425 0.857 20 0 DCADLN C[C@H](c1nnnn1-c1ccccc1)[NH+]1CCC(O)(C(=O)[O-])CC1 ZINC000833552189 604726279 /nfs/dbraw/zinc/72/62/79/604726279.db2.gz XRHRYLKNJDBDTG-LLVKDONJSA-N 0 2 317.349 0.635 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)C1 ZINC000832050675 604831373 /nfs/dbraw/zinc/83/13/73/604831373.db2.gz QGQSOPHKRSAEOR-RYUDHWBXSA-N 0 2 308.338 0.425 20 0 DCADLN O=C(CC1CCSCC1)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000831350388 604837519 /nfs/dbraw/zinc/83/75/19/604837519.db2.gz OTCLSYJIDKRPIY-UHFFFAOYSA-N 0 2 315.439 0.932 20 0 DCADLN O=C([O-])[C@]1(F)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000833785638 604858563 /nfs/dbraw/zinc/85/85/63/604858563.db2.gz KRYZBLFBERKFBW-AWEZNQCLSA-N 0 2 304.281 0.906 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)[C@@H](C(=O)[O-])c1ccccc1 ZINC000833657961 604950323 /nfs/dbraw/zinc/95/03/23/604950323.db2.gz WNKIFKTUHYQZRD-ZYHUDNBSSA-N 0 2 307.350 0.978 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)[C@@H](C(=O)[O-])c1ccccc1 ZINC000833657961 604950325 /nfs/dbraw/zinc/95/03/25/604950325.db2.gz WNKIFKTUHYQZRD-ZYHUDNBSSA-N 0 2 307.350 0.978 20 0 DCADLN O=C([O-])[C@H]([NH2+][C@@H]1CCN(CC(F)(F)F)C1=O)c1cccnc1 ZINC000833168038 605001544 /nfs/dbraw/zinc/00/15/44/605001544.db2.gz SMYXTHLZDKISJC-NXEZZACHSA-N 0 2 317.267 0.960 20 0 DCADLN CC(C)NC(=O)CCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833501768 605076818 /nfs/dbraw/zinc/07/68/18/605076818.db2.gz JFWCIHMASGNQCT-NSHDSACASA-N 0 2 310.354 0.217 20 0 DCADLN CC(C)NC(=O)CCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833501768 605076823 /nfs/dbraw/zinc/07/68/23/605076823.db2.gz JFWCIHMASGNQCT-NSHDSACASA-N 0 2 310.354 0.217 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833628348 605187983 /nfs/dbraw/zinc/18/79/83/605187983.db2.gz GOIUSMGPRLJNBW-LLVKDONJSA-N 0 2 319.365 0.003 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833628348 605187987 /nfs/dbraw/zinc/18/79/87/605187987.db2.gz GOIUSMGPRLJNBW-LLVKDONJSA-N 0 2 319.365 0.003 20 0 DCADLN O=C([O-])N1CC[NH+](CCN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000834112964 605344432 /nfs/dbraw/zinc/34/44/32/605344432.db2.gz MHLZLRRTXDZPGY-UHFFFAOYSA-N 0 2 324.381 0.537 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)CN(C[C@H]1CCCO1)C(=O)[O-] ZINC000828311813 605421107 /nfs/dbraw/zinc/42/11/07/605421107.db2.gz AFPSGDWRPHPVMI-CYBMUJFWSA-N 0 2 324.381 0.891 20 0 DCADLN Cc1nnc(NC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])s1 ZINC000830887470 605454146 /nfs/dbraw/zinc/45/41/46/605454146.db2.gz LLRVPPGKWIRBJN-MRVPVSSYSA-N 0 2 314.371 0.262 20 0 DCADLN Cc1nnc(NC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])s1 ZINC000830887470 605454149 /nfs/dbraw/zinc/45/41/49/605454149.db2.gz LLRVPPGKWIRBJN-MRVPVSSYSA-N 0 2 314.371 0.262 20 0 DCADLN COC[C@@](C)(CC(=O)[O-])NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000833721501 605458058 /nfs/dbraw/zinc/45/80/58/605458058.db2.gz VPYHQKXAIKEYED-OAHLLOKOSA-N 0 2 318.333 0.877 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)C3(NC(=O)[O-])CC3)C2)o1 ZINC000830626122 605531941 /nfs/dbraw/zinc/53/19/41/605531941.db2.gz YDYHSJICORKHSL-NSHDSACASA-N 0 2 322.365 0.816 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)C3(NC(=O)[O-])CC3)C2)o1 ZINC000830626122 605531945 /nfs/dbraw/zinc/53/19/45/605531945.db2.gz YDYHSJICORKHSL-NSHDSACASA-N 0 2 322.365 0.816 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NC(C)(C)CNC(=O)[O-])[NH+]1CCOCC1 ZINC000825155155 605610627 /nfs/dbraw/zinc/61/06/27/605610627.db2.gz AFBAKZACFGFEDC-WDEREUQCSA-N 0 2 316.402 0.441 20 0 DCADLN C[C@@H](NC(=O)NC(C)(C)CNC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000825155153 605610751 /nfs/dbraw/zinc/61/07/51/605610751.db2.gz AFBAKZACFGFEDC-MNOVXSKESA-N 0 2 316.402 0.441 20 0 DCADLN O=C([O-])N1CCC[C@H](Nc2cnn(CC[NH+]3CCOCC3)c2)C1 ZINC000834101820 605658584 /nfs/dbraw/zinc/65/85/84/605658584.db2.gz AWQOPMWENRJGKX-ZDUSSCGKSA-N 0 2 323.397 0.770 20 0 DCADLN O=C([O-])N1CC(CNC(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000834043798 605741912 /nfs/dbraw/zinc/74/19/12/605741912.db2.gz BXYZQXPSQZUXQM-UHFFFAOYSA-N 0 2 303.322 0.743 20 0 DCADLN CCCN(C(=O)[C@H]1C[N@H+](C)CCO1)C1CCN(C(=O)[O-])CC1 ZINC000826857444 605819286 /nfs/dbraw/zinc/81/92/86/605819286.db2.gz JAKGXBVTZSCPCJ-CYBMUJFWSA-N 0 2 313.398 0.698 20 0 DCADLN CCCN(C(=O)[C@H]1C[N@@H+](C)CCO1)C1CCN(C(=O)[O-])CC1 ZINC000826857444 605819290 /nfs/dbraw/zinc/81/92/90/605819290.db2.gz JAKGXBVTZSCPCJ-CYBMUJFWSA-N 0 2 313.398 0.698 20 0 DCADLN O=C([O-])N[C@H](CNc1cc(N2CCC[C@H]2CO)nc[nH+]1)C1CC1 ZINC000834150807 605923918 /nfs/dbraw/zinc/92/39/18/605923918.db2.gz PDGLMFBGVLHNNC-NWDGAFQWSA-N 0 2 321.381 0.896 20 0 DCADLN O=C([O-])N[C@H](CNc1cc(N2CCC[C@H]2CO)[nH+]cn1)C1CC1 ZINC000834150807 605923920 /nfs/dbraw/zinc/92/39/20/605923920.db2.gz PDGLMFBGVLHNNC-NWDGAFQWSA-N 0 2 321.381 0.896 20 0 DCADLN C[C@@H](CNC(=O)[O-])CNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000833809375 605967929 /nfs/dbraw/zinc/96/79/29/605967929.db2.gz UCYQVUIIHYPXNR-NEPJUHHUSA-N 0 2 321.381 0.863 20 0 DCADLN Cc1noc([C@@H]2CCC[N@H+](CN3C[C@H](NC(=O)[O-])CC3=O)C2)n1 ZINC000833931418 605994024 /nfs/dbraw/zinc/99/40/24/605994024.db2.gz ATQVNSNGWPZKFW-GHMZBOCLSA-N 0 2 323.353 0.383 20 0 DCADLN Cc1noc([C@@H]2CCC[N@@H+](CN3C[C@H](NC(=O)[O-])CC3=O)C2)n1 ZINC000833931418 605994026 /nfs/dbraw/zinc/99/40/26/605994026.db2.gz ATQVNSNGWPZKFW-GHMZBOCLSA-N 0 2 323.353 0.383 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)c2cnc(NC(=O)[O-])cn2)C1 ZINC000833958047 606006540 /nfs/dbraw/zinc/00/65/40/606006540.db2.gz UUJRUDIJVCUPEI-VIFPVBQESA-N 0 2 316.321 0.930 20 0 DCADLN O=C([O-])N[C@H](CC(F)F)C(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC000834128144 606035245 /nfs/dbraw/zinc/03/52/45/606035245.db2.gz JCJHTEORDKAWSG-SNVBAGLBSA-N 0 2 315.276 0.963 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@H+]1CC[C@@H]1CCS(=O)(=O)C1 ZINC000833831451 606039625 /nfs/dbraw/zinc/03/96/25/606039625.db2.gz ZCBQKQKXVJAROC-GRYCIOLGSA-N 0 2 304.412 0.884 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@@H+]1CC[C@@H]1CCS(=O)(=O)C1 ZINC000833831451 606039629 /nfs/dbraw/zinc/03/96/29/606039629.db2.gz ZCBQKQKXVJAROC-GRYCIOLGSA-N 0 2 304.412 0.884 20 0 DCADLN O=C([O-])N[C@H](CC(F)F)C(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000834128161 606058990 /nfs/dbraw/zinc/05/89/90/606058990.db2.gz JEYQVMXMMPISHS-VHSXEESVSA-N 0 2 321.324 0.211 20 0 DCADLN CCC[C@H](NC(=O)c1cc(-c2nn[nH]n2)cs1)c1nn[nH]n1 ZINC000821023377 606912871 /nfs/dbraw/zinc/91/28/71/606912871.db2.gz YURSUXFTEUGXMZ-ZETCQYMHSA-N 0 2 319.354 0.713 20 0 DCADLN CCCC1(CNc2c(-c3nn[nH]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000821034429 607117308 /nfs/dbraw/zinc/11/73/08/607117308.db2.gz MFANWILSPZPGRN-UHFFFAOYSA-N 0 2 319.369 0.256 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(C/C(Cl)=C\Cl)c1=O ZINC000826356245 608096586 /nfs/dbraw/zinc/09/65/86/608096586.db2.gz IBJPYKABUXYJFA-GORDUTHDSA-N 0 2 303.109 0.046 20 0 DCADLN O=c1[nH]nc(CNc2ccc3cc(-c4nn[nH]n4)ccc3n2)[nH]1 ZINC000826501620 608232658 /nfs/dbraw/zinc/23/26/58/608232658.db2.gz ZGCBAWJPIZVKSO-UHFFFAOYSA-N 0 2 309.293 0.851 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@]1(F)CCOC1 ZINC000998149824 665406584 /nfs/dbraw/zinc/40/65/84/665406584.db2.gz IVWRCOHCOYXHNE-GMSGAONNSA-N 0 2 316.226 0.342 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@]1(F)CCOC1 ZINC000998149824 665406586 /nfs/dbraw/zinc/40/65/86/665406586.db2.gz IVWRCOHCOYXHNE-GMSGAONNSA-N 0 2 316.226 0.342 20 0 DCADLN Cn1c[nH+]cc1CC(=O)NC[C@H](Oc1ccc(F)cc1)C(=O)[O-] ZINC000909389184 660526334 /nfs/dbraw/zinc/52/63/34/660526334.db2.gz PRNCPMGEVCLXPZ-ZDUSSCGKSA-N 0 2 321.308 0.750 20 0 DCADLN Cc1cc[nH]c1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027858243 660849805 /nfs/dbraw/zinc/84/98/05/660849805.db2.gz USTBXXYPXOZPQX-JTQLQIEISA-N 0 2 304.354 0.541 20 0 DCADLN Cc1cc[nH]c1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027858243 660849807 /nfs/dbraw/zinc/84/98/07/660849807.db2.gz USTBXXYPXOZPQX-JTQLQIEISA-N 0 2 304.354 0.541 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1ccns1 ZINC001027914510 660913471 /nfs/dbraw/zinc/91/34/71/660913471.db2.gz RVWVGBXRKJRYIJ-MRVPVSSYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NC[C@H]1CC[N@H+]1C[C@H](O)C1CCCCC1)c1nc[nH]n1 ZINC001038825685 660969956 /nfs/dbraw/zinc/96/99/56/660969956.db2.gz JLTVGVOAASHRAJ-OLZOCXBDSA-N 0 2 307.398 0.550 20 0 DCADLN C[C@@H]1C[C@H](C[NH2+]Cc2cnon2)CN1C(=O)CCc1cnn[nH]1 ZINC000980708273 660988828 /nfs/dbraw/zinc/98/88/28/660988828.db2.gz ZKRIYQVUSGPKHD-GHMZBOCLSA-N 0 2 319.369 0.147 20 0 DCADLN O=C(COC1CCCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980758700 661006373 /nfs/dbraw/zinc/00/63/73/661006373.db2.gz DHDSJRQVPBVGCI-UHFFFAOYSA-N 0 2 323.397 0.504 20 0 DCADLN CC[C@H](SC)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980985610 661058571 /nfs/dbraw/zinc/05/85/71/661058571.db2.gz YCGPXTJYUJYVTR-JTQLQIEISA-N 0 2 313.427 0.686 20 0 DCADLN CO[C@H]1CC[C@@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000981500134 661161049 /nfs/dbraw/zinc/16/10/49/661161049.db2.gz SWKNQBFFVPPYJZ-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(CCc1cccnc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949137246 661275974 /nfs/dbraw/zinc/27/59/74/661275974.db2.gz KZXBOFMRYDKAPM-UHFFFAOYSA-N 0 2 316.365 0.182 20 0 DCADLN Cc1ncc(C[N@H+]2CCC[C@H]2CNC(=O)c2ncn[nH]2)s1 ZINC001028211394 661279376 /nfs/dbraw/zinc/27/93/76/661279376.db2.gz PMARJQBGNQWMBV-JTQLQIEISA-N 0 2 306.395 0.964 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C(C)C)[NH+](C)C ZINC001032611778 665420989 /nfs/dbraw/zinc/42/09/89/665420989.db2.gz PGBLHOUPBRYNAR-IGQOVBAYSA-N 0 2 310.442 0.122 20 0 DCADLN CCn1ncnc1C[NH2+][C@H]1C[C@@H](NC(=O)Cn2ccnc2C)C1 ZINC001023091242 657497214 /nfs/dbraw/zinc/49/72/14/657497214.db2.gz PWWRUMZBVMBACO-BETUJISGSA-N 0 2 317.397 0.240 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC(Oc3ccncc3)C2)S1 ZINC000742626754 657692239 /nfs/dbraw/zinc/69/22/39/657692239.db2.gz AACNZTZLHAARAT-JTQLQIEISA-N 0 2 306.347 0.228 20 0 DCADLN C[C@H](NC(=O)c1cncs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969359513 657753952 /nfs/dbraw/zinc/75/39/52/657753952.db2.gz VFBYQPVKOFAKBE-ZETCQYMHSA-N 0 2 308.367 0.217 20 0 DCADLN Cc1nc([C@H](C)[N@@H+]2CCC[C@H](CNC(=O)c3cnn[n-]3)C2)no1 ZINC001023460953 657895072 /nfs/dbraw/zinc/89/50/72/657895072.db2.gz KVYYMEGCVULXRT-GXSJLCMTSA-N 0 2 319.369 0.699 20 0 DCADLN Cc1nc([C@H](C)[N@H+]2CCC[C@H](CNC(=O)c3cnn[n-]3)C2)no1 ZINC001023460953 657895078 /nfs/dbraw/zinc/89/50/78/657895078.db2.gz KVYYMEGCVULXRT-GXSJLCMTSA-N 0 2 319.369 0.699 20 0 DCADLN Cc1ncc(C(=O)N2CCC[C@H]3C[N@@H+](CC(N)=O)CC[C@H]32)[nH]1 ZINC001021541870 657951978 /nfs/dbraw/zinc/95/19/78/657951978.db2.gz CCOXEXZYMJHYAY-WCQYABFASA-N 0 2 305.382 0.130 20 0 DCADLN C[C@H](NC(=O)c1ccc(F)cn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969533469 657957592 /nfs/dbraw/zinc/95/75/92/657957592.db2.gz XVTYMGMJBACQNX-QMMMGPOBSA-N 0 2 320.328 0.295 20 0 DCADLN O=C(C[C@H]1CCCO1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006828081 657967008 /nfs/dbraw/zinc/96/70/08/657967008.db2.gz XBILVFRCHFPUSO-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@@H](NC(=O)[C@H]1C[C@@H]1C(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969603493 658014228 /nfs/dbraw/zinc/01/42/28/658014228.db2.gz WNPQGYITSDZZHP-YEPSODPASA-N 0 2 315.324 0.348 20 0 DCADLN Cc1noc([C@@H]2CCCCN2C(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC000804858592 658069268 /nfs/dbraw/zinc/06/92/68/658069268.db2.gz CUZPICLNHGOEKN-DTWKUNHWSA-N 0 2 323.378 0.988 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)N[C@H](C)C1C[NH+](CC(=O)NC)C1 ZINC000970930359 658088661 /nfs/dbraw/zinc/08/86/61/658088661.db2.gz YNQSNHQAEOPQRM-TZMCWYRMSA-N 0 2 310.442 0.043 20 0 DCADLN C[C@](O)(CNS(=O)(=O)N=S(C)(C)=O)c1ccsc1 ZINC000866859264 658114572 /nfs/dbraw/zinc/11/45/72/658114572.db2.gz JRJQJBJDIZWQFH-VIFPVBQESA-N 0 2 312.438 0.518 20 0 DCADLN CCC(=O)N1CCC[C@H](C(=O)NNC(=O)c2ccccn2)C1 ZINC000053058420 658128122 /nfs/dbraw/zinc/12/81/22/658128122.db2.gz DDAYMZHBOWJNFK-NSHDSACASA-N 0 2 304.350 0.491 20 0 DCADLN Cc1nonc1C[NH2+][C@@H]1CCCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000998953228 665556474 /nfs/dbraw/zinc/55/64/74/665556474.db2.gz JSRVNMQQZGSDKA-SNVBAGLBSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccccn2)c1[O-] ZINC001038173393 658400087 /nfs/dbraw/zinc/40/00/87/658400087.db2.gz VPAWLUHXCKRERA-LBPRGKRZSA-N 0 2 301.350 0.823 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccccn2)c1[O-] ZINC001038173393 658400092 /nfs/dbraw/zinc/40/00/92/658400092.db2.gz VPAWLUHXCKRERA-LBPRGKRZSA-N 0 2 301.350 0.823 20 0 DCADLN C[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1CNC(=O)c1cn[nH]n1 ZINC001020699629 658401879 /nfs/dbraw/zinc/40/18/79/658401879.db2.gz ABKKCDMGQHJFAT-UWVGGRQHSA-N 0 2 317.353 0.056 20 0 DCADLN C[C@H]1CN(C(=O)c2cc[nH]n2)CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001020699629 658401882 /nfs/dbraw/zinc/40/18/82/658401882.db2.gz ABKKCDMGQHJFAT-UWVGGRQHSA-N 0 2 317.353 0.056 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCCOC1 ZINC000972853334 658482875 /nfs/dbraw/zinc/48/28/75/658482875.db2.gz OKFPOYXLWZJWOK-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCCOC1 ZINC000972853334 658482882 /nfs/dbraw/zinc/48/28/82/658482882.db2.gz OKFPOYXLWZJWOK-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(c1ccnnc1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240603 658901704 /nfs/dbraw/zinc/90/17/04/658901704.db2.gz ZESSPXAMYVVRKK-VIFPVBQESA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1ccnnc1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011240603 658901708 /nfs/dbraw/zinc/90/17/08/658901708.db2.gz ZESSPXAMYVVRKK-VIFPVBQESA-N 0 2 320.246 0.661 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)[C@@H]1CCCCO1 ZINC000973045072 658977316 /nfs/dbraw/zinc/97/73/16/658977316.db2.gz ZDVHANGJODPZPU-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)c2cncs2)C1 ZINC000973072724 659023730 /nfs/dbraw/zinc/02/37/30/659023730.db2.gz UQKHZDIYPSGSKW-MGCOHNPYSA-N 0 2 319.390 0.794 20 0 DCADLN C[C@@H](NC(=O)c1cnon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006691744 659108004 /nfs/dbraw/zinc/10/80/04/659108004.db2.gz KVTYKHSXEGLGDJ-XRGYYRRGSA-N 0 2 324.234 0.547 20 0 DCADLN C[C@@H](NC(=O)c1cnon1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001006691744 659108010 /nfs/dbraw/zinc/10/80/10/659108010.db2.gz KVTYKHSXEGLGDJ-XRGYYRRGSA-N 0 2 324.234 0.547 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCC(F)(F)C1 ZINC001038325362 659229048 /nfs/dbraw/zinc/22/90/48/659229048.db2.gz YHFVJIWFKYWXRD-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1cocn1 ZINC000976265958 659261837 /nfs/dbraw/zinc/26/18/37/659261837.db2.gz JJZWHMLRBVJUBF-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)c1cocn1 ZINC000976265958 659261841 /nfs/dbraw/zinc/26/18/41/659261841.db2.gz JJZWHMLRBVJUBF-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN C[C@H](NC(=O)N(C)[C@H]1CCC[N@@H+](C)C1)[C@H](C)[NH+]1CCOCC1 ZINC000892838359 659480100 /nfs/dbraw/zinc/48/01/00/659480100.db2.gz MZJCALFAZWAECK-KKUMJFAQSA-N 0 2 312.458 0.831 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCOCC1 ZINC000974500980 659533117 /nfs/dbraw/zinc/53/31/17/659533117.db2.gz ODNWOWFKJYGUAO-LLVKDONJSA-N 0 2 321.381 0.184 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCOCC1 ZINC000974500980 659533120 /nfs/dbraw/zinc/53/31/20/659533120.db2.gz ODNWOWFKJYGUAO-LLVKDONJSA-N 0 2 321.381 0.184 20 0 DCADLN Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000940025179 665685741 /nfs/dbraw/zinc/68/57/41/665685741.db2.gz CLKRASZHMDPPAX-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC000940025179 665685743 /nfs/dbraw/zinc/68/57/43/665685743.db2.gz CLKRASZHMDPPAX-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN COC1CC(C(=O)N[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)C1 ZINC000974714669 659642540 /nfs/dbraw/zinc/64/25/40/659642540.db2.gz HFPYZLZDKXTANY-ILDUYXDCSA-N 0 2 323.397 0.262 20 0 DCADLN COC1CC(C(=O)N[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)C1 ZINC000974714669 659642543 /nfs/dbraw/zinc/64/25/43/659642543.db2.gz HFPYZLZDKXTANY-ILDUYXDCSA-N 0 2 323.397 0.262 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000977574721 659765623 /nfs/dbraw/zinc/76/56/23/659765623.db2.gz IGLBUWBFTXYHPE-NSHDSACASA-N 0 2 318.381 0.787 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000977574721 659765626 /nfs/dbraw/zinc/76/56/26/659765626.db2.gz IGLBUWBFTXYHPE-NSHDSACASA-N 0 2 318.381 0.787 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1ncccc1F ZINC001028226567 661317869 /nfs/dbraw/zinc/31/78/69/661317869.db2.gz RIKXLYGATHRJJU-SECBINFHSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1cccc(C(=O)NNC(=O)[C@@H]2COc3ccccc3O2)n1 ZINC000024766871 661408946 /nfs/dbraw/zinc/40/89/46/661408946.db2.gz WLOVNKVCXXTPEI-AWEZNQCLSA-N 0 2 313.313 0.991 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)NC[C@@H]1CC[NH+]1CCn1cccn1 ZINC001038413301 661879367 /nfs/dbraw/zinc/87/93/67/661879367.db2.gz YPEDXIRNVBBWEM-LSDHHAIUSA-N 0 2 305.426 0.558 20 0 DCADLN C[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001029260114 662070661 /nfs/dbraw/zinc/07/06/61/662070661.db2.gz GODQQKAHSBRABV-ZMLRMANQSA-N 0 2 305.382 0.732 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CO1 ZINC001029366176 662119242 /nfs/dbraw/zinc/11/92/42/662119242.db2.gz DBYUBLXKBNJGDX-KXNHARMFSA-N 0 2 321.381 0.111 20 0 DCADLN Cc1occc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000427027 665841819 /nfs/dbraw/zinc/84/18/19/665841819.db2.gz RGPKCEFFESTFEE-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN Cc1occc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000427027 665841821 /nfs/dbraw/zinc/84/18/21/665841821.db2.gz RGPKCEFFESTFEE-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN O=C(Nc1n[nH]c(C(F)(F)F)n1)C1CN([C@@H]2CCOC2)C1 ZINC000895613751 662199666 /nfs/dbraw/zinc/19/96/66/662199666.db2.gz FQPOZJGDIJUTIK-SSDOTTSWSA-N 0 2 305.260 0.483 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)[C@@H]1CCCOC1 ZINC000909538734 662329759 /nfs/dbraw/zinc/32/97/59/662329759.db2.gz MXDWEMJYJGKQRC-WZRBSPASSA-N 0 2 307.350 0.442 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2CCC[N@@H+]([C@H](C)C(N)=O)CC2)c1[O-] ZINC000948281139 665863064 /nfs/dbraw/zinc/86/30/64/665863064.db2.gz GGKKNOJFODNWTO-MNOVXSKESA-N 0 2 323.397 0.224 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2CCC[N@H+]([C@H](C)C(N)=O)CC2)c1[O-] ZINC000948281139 665863068 /nfs/dbraw/zinc/86/30/68/665863068.db2.gz GGKKNOJFODNWTO-MNOVXSKESA-N 0 2 323.397 0.224 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2CCC[N@@H+]([C@@H](C)C(N)=O)CC2)c1[O-] ZINC000948281143 665863564 /nfs/dbraw/zinc/86/35/64/665863564.db2.gz GGKKNOJFODNWTO-QWRGUYRKSA-N 0 2 323.397 0.224 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2CCC[N@H+]([C@@H](C)C(N)=O)CC2)c1[O-] ZINC000948281143 665863566 /nfs/dbraw/zinc/86/35/66/665863566.db2.gz GGKKNOJFODNWTO-QWRGUYRKSA-N 0 2 323.397 0.224 20 0 DCADLN C[N@@H+](CC1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1)[C@H]1CCNC1=O ZINC001030005850 662630581 /nfs/dbraw/zinc/63/05/81/662630581.db2.gz BJSWDVLMZMRRBU-ZDUSSCGKSA-N 0 2 319.409 0.011 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1=CCOCC1 ZINC001000608675 665893500 /nfs/dbraw/zinc/89/35/00/665893500.db2.gz IVKBCPROZDVBME-UHFFFAOYSA-N 0 2 319.365 0.105 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1=CCOCC1 ZINC001000608675 665893501 /nfs/dbraw/zinc/89/35/01/665893501.db2.gz IVKBCPROZDVBME-UHFFFAOYSA-N 0 2 319.365 0.105 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@@H]2CCCN(C(=O)Cc3nnc[nH]3)C2)o1 ZINC001000661332 665905704 /nfs/dbraw/zinc/90/57/04/665905704.db2.gz VNHYLAVAVIFKFZ-MWLCHTKSSA-N 0 2 319.369 0.380 20 0 DCADLN Cn1cc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000937904528 662840758 /nfs/dbraw/zinc/84/07/58/662840758.db2.gz BVDDZVRSJDHYDU-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N[C@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC000937904528 662840759 /nfs/dbraw/zinc/84/07/59/662840759.db2.gz BVDDZVRSJDHYDU-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC1(F)F ZINC001000665203 665906751 /nfs/dbraw/zinc/90/67/51/665906751.db2.gz QPRMQAQEAGHIAW-SECBINFHSA-N 0 2 313.308 0.414 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC1(F)F ZINC001000665203 665906754 /nfs/dbraw/zinc/90/67/54/665906754.db2.gz QPRMQAQEAGHIAW-SECBINFHSA-N 0 2 313.308 0.414 20 0 DCADLN O=C(N[C@]12CCC[C@@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cocn1 ZINC000990044653 662998514 /nfs/dbraw/zinc/99/85/14/662998514.db2.gz YHMSHINMZAXJEK-HZMBPMFUSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@]12CCC[C@@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cocn1 ZINC000990044653 662998515 /nfs/dbraw/zinc/99/85/15/662998515.db2.gz YHMSHINMZAXJEK-HZMBPMFUSA-N 0 2 318.337 0.425 20 0 DCADLN C[S@@](=O)CCNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC000866796797 663045785 /nfs/dbraw/zinc/04/57/85/663045785.db2.gz GOOSSNUOUXLACP-QGZVFWFLSA-N 0 2 312.800 0.862 20 0 DCADLN Cc1cc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)no1 ZINC000990542942 663064445 /nfs/dbraw/zinc/06/44/45/663064445.db2.gz IRCQYDKUCHDNNI-JTQLQIEISA-N 0 2 323.246 0.753 20 0 DCADLN Cc1cc(CC(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)no1 ZINC000990542942 663064446 /nfs/dbraw/zinc/06/44/46/663064446.db2.gz IRCQYDKUCHDNNI-JTQLQIEISA-N 0 2 323.246 0.753 20 0 DCADLN O=C(Cc1ccon1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990564934 663066922 /nfs/dbraw/zinc/06/69/22/663066922.db2.gz PWTYNNNDJGPZGD-VIFPVBQESA-N 0 2 309.219 0.445 20 0 DCADLN O=C(Cc1ccon1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990564934 663066924 /nfs/dbraw/zinc/06/69/24/663066924.db2.gz PWTYNNNDJGPZGD-VIFPVBQESA-N 0 2 309.219 0.445 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2csc(NC3CC3)n2)C(=O)N1C ZINC000899033310 663119661 /nfs/dbraw/zinc/11/96/61/663119661.db2.gz XLXKEUZWUKQZJZ-LURJTMIESA-N 0 2 309.351 0.645 20 0 DCADLN Cc1nn(C(C)(C)C)cc1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC000899035852 663119832 /nfs/dbraw/zinc/11/98/32/663119832.db2.gz ONZMAHRCVIUAPG-SECBINFHSA-N 0 2 307.354 0.874 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938247947 663364834 /nfs/dbraw/zinc/36/48/34/663364834.db2.gz LGJIUYCLJIXVQJ-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938247947 663364836 /nfs/dbraw/zinc/36/48/36/663364836.db2.gz LGJIUYCLJIXVQJ-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN C[C@H](ON=C(N)CN(C)C)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000902612847 663422224 /nfs/dbraw/zinc/42/22/24/663422224.db2.gz NHWPMDATXQMKBL-JTQLQIEISA-N 0 2 322.365 0.845 20 0 DCADLN CCc1noc(C(=O)N2CCN(Cc3cccnc3)CC2)n1 ZINC000902612238 663422341 /nfs/dbraw/zinc/42/23/41/663422341.db2.gz JMFNJJWNRGYSLZ-UHFFFAOYSA-N 0 2 301.350 0.985 20 0 DCADLN Cn1c(=O)c2ccccc2c(=O)n1Cc1ncnn1CCF ZINC000902612713 663422354 /nfs/dbraw/zinc/42/23/54/663422354.db2.gz RJLYRHLYVASLAN-UHFFFAOYSA-N 0 2 303.297 0.310 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C(=O)[O-])cn1 ZINC000902667396 663426997 /nfs/dbraw/zinc/42/69/97/663426997.db2.gz HYERFPZFDYTFQC-LBPRGKRZSA-N 0 2 307.350 0.620 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C(=O)[O-])cn1 ZINC000902667396 663426999 /nfs/dbraw/zinc/42/69/99/663426999.db2.gz HYERFPZFDYTFQC-LBPRGKRZSA-N 0 2 307.350 0.620 20 0 DCADLN CN(C)c1cccnc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000908037197 663730173 /nfs/dbraw/zinc/73/01/73/663730173.db2.gz SDIDVCCZFKPTQP-SNVBAGLBSA-N 0 2 316.365 0.991 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N[C@H](CC1CC1)C(=O)[O-] ZINC000908937338 663775152 /nfs/dbraw/zinc/77/51/52/663775152.db2.gz SIDWLCLJNVFTBS-GFCCVEGCSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N[C@H](CC1CC1)C(=O)[O-] ZINC000908937338 663775153 /nfs/dbraw/zinc/77/51/53/663775153.db2.gz SIDWLCLJNVFTBS-GFCCVEGCSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])C[C@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CCO1 ZINC000909265105 663789985 /nfs/dbraw/zinc/78/99/85/663789985.db2.gz KVNGZBBMPFLGFI-LBPRGKRZSA-N 0 2 316.317 0.583 20 0 DCADLN C/C(=C\C(=O)N1CCC[C@](C)(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC000909839871 663811567 /nfs/dbraw/zinc/81/15/67/663811567.db2.gz HABYQIALBDGWNH-ISBHARSQSA-N 0 2 310.394 0.978 20 0 DCADLN COC1(C[C@H](NC(=O)CCc2c[nH+]cn2C)C(=O)[O-])CCC1 ZINC000909893048 663817345 /nfs/dbraw/zinc/81/73/45/663817345.db2.gz FRKWIZQALUGGGP-LBPRGKRZSA-N 0 2 309.366 0.881 20 0 DCADLN COC1(C[C@@H](NC(=O)[C@H]2CCn3cc[nH+]c3C2)C(=O)[O-])CCC1 ZINC000909896703 663818632 /nfs/dbraw/zinc/81/86/32/663818632.db2.gz WVGHOUIHEWVTIR-NWDGAFQWSA-N 0 2 321.377 0.974 20 0 DCADLN O=C([O-])[C@H](NC(=O)c1cccc2[nH+]ccn21)C1CCOCC1 ZINC000910015572 663835781 /nfs/dbraw/zinc/83/57/81/663835781.db2.gz LUEKXEDFWZFNTD-CYBMUJFWSA-N 0 2 303.318 0.944 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC2(Br)CC2)S1 ZINC000910198780 663851189 /nfs/dbraw/zinc/85/11/89/663851189.db2.gz PWQOSEHMTDKOND-YFKPBYRVSA-N 0 2 306.185 0.587 20 0 DCADLN CC(C)(C(=O)[O-])[NH+]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000910178322 663852237 /nfs/dbraw/zinc/85/22/37/663852237.db2.gz WKETYQFEDBYSDM-LLVKDONJSA-N 0 2 320.393 0.837 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)[C@](C)(C(=O)[O-])C1 ZINC000910265375 663861588 /nfs/dbraw/zinc/86/15/88/663861588.db2.gz QEBNIWLTAFRGMC-ZETOZRRWSA-N 0 2 307.350 0.536 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000910402913 663877365 /nfs/dbraw/zinc/87/73/65/663877365.db2.gz VTXPGJWIOOHGEH-GFCCVEGCSA-N 0 2 324.381 0.223 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000910402913 663877367 /nfs/dbraw/zinc/87/73/67/663877367.db2.gz VTXPGJWIOOHGEH-GFCCVEGCSA-N 0 2 324.381 0.223 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@@](F)(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910454446 663886126 /nfs/dbraw/zinc/88/61/26/663886126.db2.gz OBQVBUYTRIJPBG-PGUXBMHVSA-N 0 2 324.356 0.687 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@@](F)(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910454446 663886129 /nfs/dbraw/zinc/88/61/29/663886129.db2.gz OBQVBUYTRIJPBG-PGUXBMHVSA-N 0 2 324.356 0.687 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CC4CC3(C(=O)[O-])C4)nc[nH+]2)C1 ZINC000910463090 663887226 /nfs/dbraw/zinc/88/72/26/663887226.db2.gz MXOQQJYUKXUVRV-ZWRZFFQRSA-N 0 2 318.377 0.737 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CC4CC3(C(=O)[O-])C4)[nH+]cn2)C1 ZINC000910463090 663887230 /nfs/dbraw/zinc/88/72/30/663887230.db2.gz MXOQQJYUKXUVRV-ZWRZFFQRSA-N 0 2 318.377 0.737 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@@H]2CC[C@H](C(=O)[O-])O2)C[C@H]1n1cc[nH+]c1 ZINC000910687160 663923637 /nfs/dbraw/zinc/92/36/37/663923637.db2.gz LINFEFXUYLCPMF-FVCCEPFGSA-N 0 2 307.350 0.925 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)c1cccnc1 ZINC000910981457 663964629 /nfs/dbraw/zinc/96/46/29/663964629.db2.gz DBRXYYAQSVAUHD-WCQYABFASA-N 0 2 300.318 0.783 20 0 DCADLN C[C@H]1CO[C@H](C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)C1 ZINC000911170485 664000711 /nfs/dbraw/zinc/00/07/11/664000711.db2.gz UNGSMNFOTLCNCZ-YPMHNXCESA-N 0 2 319.361 0.853 20 0 DCADLN C[C@@H](CNC(=O)CC1(C(=O)[O-])CCOCC1)Cn1cc[nH+]c1 ZINC000911234679 664012542 /nfs/dbraw/zinc/01/25/42/664012542.db2.gz MYWFTQQAQYNGMV-LBPRGKRZSA-N 0 2 309.366 0.907 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000911371670 664044902 /nfs/dbraw/zinc/04/49/02/664044902.db2.gz HLPLBVULBQTRSV-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)c2cc(C(=O)[O-])nn2C)C1 ZINC000911590403 664089282 /nfs/dbraw/zinc/08/92/82/664089282.db2.gz VYBBVAQKEGXNRX-SNVBAGLBSA-N 0 2 317.349 0.872 20 0 DCADLN O=C([O-])COc1ccc(C(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1 ZINC000911753495 664105914 /nfs/dbraw/zinc/10/59/14/664105914.db2.gz AAUPRMHIHOXISU-NSHDSACASA-N 0 2 301.302 0.701 20 0 DCADLN C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)N1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000912004833 664131887 /nfs/dbraw/zinc/13/18/87/664131887.db2.gz CAZAKGPKTSQNSL-XAVMHZPKSA-N 0 2 312.395 0.009 20 0 DCADLN O=C(Cc1ccc(-n2cnnn2)cc1)NNC(=O)c1ccccn1 ZINC000045978181 664475570 /nfs/dbraw/zinc/47/55/70/664475570.db2.gz PLUSYMKGVKWGHY-UHFFFAOYSA-N 0 2 323.316 0.061 20 0 DCADLN Cc1nn(C)c(C)c1[C@H](C)C(=O)NNC(=O)c1ccccn1 ZINC000058780444 664507601 /nfs/dbraw/zinc/50/76/01/664507601.db2.gz KJBVBGAVBHXJSR-VIFPVBQESA-N 0 2 301.350 0.997 20 0 DCADLN Cc1scc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001030369357 664521767 /nfs/dbraw/zinc/52/17/67/664521767.db2.gz YSIIXXGZPKQNQA-UHFFFAOYSA-N 0 2 307.379 0.803 20 0 DCADLN Cc1cccc(C)c1CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030381047 664524076 /nfs/dbraw/zinc/52/40/76/664524076.db2.gz DCEUNJFXGZBZHG-UHFFFAOYSA-N 0 2 315.377 0.670 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC[C@H]1C(F)F ZINC001030440887 664546976 /nfs/dbraw/zinc/54/69/76/664546976.db2.gz STKBZHGAYNPGFB-BDAKNGLRSA-N 0 2 315.324 0.492 20 0 DCADLN COCc1ccccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030604493 664601548 /nfs/dbraw/zinc/60/15/48/664601548.db2.gz GRMRLBBAFYBAHL-UHFFFAOYSA-N 0 2 317.349 0.271 20 0 DCADLN O=C(N[C@]12CCC[C@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cnco1 ZINC000992054056 664654086 /nfs/dbraw/zinc/65/40/86/664654086.db2.gz XLEXLZBYEYPLLF-YGRLFVJLSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cnco1 ZINC000992054056 664654087 /nfs/dbraw/zinc/65/40/87/664654087.db2.gz XLEXLZBYEYPLLF-YGRLFVJLSA-N 0 2 318.337 0.425 20 0 DCADLN C[C@@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccs1 ZINC001030941721 664724739 /nfs/dbraw/zinc/72/47/39/664724739.db2.gz BHVUUEXTTZOSTE-MRVPVSSYSA-N 0 2 307.379 0.676 20 0 DCADLN Cc1sccc1CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031055635 664780190 /nfs/dbraw/zinc/78/01/90/664780190.db2.gz XZEFYLOXNDVDFA-UHFFFAOYSA-N 0 2 307.379 0.423 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]1c1cccnc1 ZINC000730520768 664784826 /nfs/dbraw/zinc/78/48/26/664784826.db2.gz NGVCMVIGIVMJSU-ZDUSSCGKSA-N 0 2 324.344 0.830 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2n[nH]cc2c1 ZINC000730522314 664784983 /nfs/dbraw/zinc/78/49/83/664784983.db2.gz JDJFHPWFWMYFBG-UHFFFAOYSA-N 0 2 309.289 0.586 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccco2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992968193 664787372 /nfs/dbraw/zinc/78/73/72/664787372.db2.gz SHHQPZLNSPENRF-ZJUUUORDSA-N 0 2 305.338 0.886 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C2CCOCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000992971967 664787622 /nfs/dbraw/zinc/78/76/22/664787622.db2.gz UNYQOJGAWLBCSZ-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C2CCOCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000992971967 664787624 /nfs/dbraw/zinc/78/76/24/664787624.db2.gz UNYQOJGAWLBCSZ-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H](O)C1CCCCC1 ZINC000730588601 664795751 /nfs/dbraw/zinc/79/57/51/664795751.db2.gz GFPVYTRQJWHXOV-GFCCVEGCSA-N 0 2 319.365 0.129 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccoc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993057355 664798402 /nfs/dbraw/zinc/79/84/02/664798402.db2.gz QVTOEVKJSMVXLV-KOLCDFICSA-N 0 2 305.338 0.886 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccn[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993082438 664803583 /nfs/dbraw/zinc/80/35/83/664803583.db2.gz BTYZUTSNPWOVTD-DTWKUNHWSA-N 0 2 305.342 0.016 20 0 DCADLN CCC[C@@H]1C[C@@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031159504 664812642 /nfs/dbraw/zinc/81/26/42/664812642.db2.gz WOWWPPKFXIWQJD-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cc(F)c[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993600374 664983394 /nfs/dbraw/zinc/98/33/94/664983394.db2.gz HLQZYBVAJYSBOQ-SCZZXKLOSA-N 0 2 322.344 0.760 20 0 DCADLN COc1ccc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001031302545 664987030 /nfs/dbraw/zinc/98/70/30/664987030.db2.gz SCWNIWVGUAONOW-UHFFFAOYSA-N 0 2 317.349 0.062 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccnnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993881552 665012896 /nfs/dbraw/zinc/01/28/96/665012896.db2.gz VXDVIYXJJBUFEA-ONGXEEELSA-N 0 2 317.353 0.083 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c(=O)c1 ZINC000994044551 665030952 /nfs/dbraw/zinc/03/09/52/665030952.db2.gz MJZXAUICIJGHSQ-MRVPVSSYSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c(=O)c1 ZINC000994044551 665030955 /nfs/dbraw/zinc/03/09/55/665030955.db2.gz MJZXAUICIJGHSQ-MRVPVSSYSA-N 0 2 322.218 0.023 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)C[C@@]23CC[N@H+](C2)CCC3)CC1(C)C ZINC000917086668 665114947 /nfs/dbraw/zinc/11/49/47/665114947.db2.gz FLFDLXKTDJGYKF-HNNXBMFYSA-N 0 2 315.483 0.828 20 0 DCADLN CC(C)(C)OC(=O)[C@]1(NS(=O)(=O)CC2(F)CC2)CCOC1 ZINC000917357387 665129121 /nfs/dbraw/zinc/12/91/21/665129121.db2.gz RMPKZKWAJNAFKC-ZDUSSCGKSA-N 0 2 323.386 0.909 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cncc2ccccc21 ZINC001031432072 665147927 /nfs/dbraw/zinc/14/79/27/665147927.db2.gz CQUXVQZVQBRBSJ-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN COc1cc(C[NH+]2CC(CNC(=O)c3[nH]nc(C)c3[O-])C2)on1 ZINC001031616405 665232577 /nfs/dbraw/zinc/23/25/77/665232577.db2.gz SUNBKOAECSFLNK-UHFFFAOYSA-N 0 2 321.337 0.282 20 0 DCADLN CO[C@@H](C)C[NH+]1CC(CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001031687922 665289389 /nfs/dbraw/zinc/28/93/89/665289389.db2.gz REHQNNLDOKTYCR-JTQLQIEISA-N 0 2 303.366 0.654 20 0 DCADLN C[C@H](CO)N(Cc1ccccc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000921470840 665307415 /nfs/dbraw/zinc/30/74/15/665307415.db2.gz NZIFQELGJGJESY-ZYHUDNBSSA-N 0 2 321.402 0.952 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC12CCC2 ZINC001001209647 666018120 /nfs/dbraw/zinc/01/81/20/666018120.db2.gz KCJGNEHNGTYLSF-GFCCVEGCSA-N 0 2 317.393 0.949 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC12CCC2 ZINC001001209647 666018123 /nfs/dbraw/zinc/01/81/23/666018123.db2.gz KCJGNEHNGTYLSF-GFCCVEGCSA-N 0 2 317.393 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC001002150792 666136835 /nfs/dbraw/zinc/13/68/35/666136835.db2.gz UGYLHRDNQUHTAE-MRVPVSSYSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1c[nH]c(C(=O)NCC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001002150792 666136837 /nfs/dbraw/zinc/13/68/37/666136837.db2.gz UGYLHRDNQUHTAE-MRVPVSSYSA-N 0 2 322.262 0.807 20 0 DCADLN CC(C)n1cnc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001043464043 666139890 /nfs/dbraw/zinc/13/98/90/666139890.db2.gz MFPIUSLMHXEPCE-UHFFFAOYSA-N 0 2 319.369 0.244 20 0 DCADLN Cc1cncc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001002520284 666185493 /nfs/dbraw/zinc/18/54/93/666185493.db2.gz RAMQXAGGKKTNAY-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN CCc1nc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001002641796 666201043 /nfs/dbraw/zinc/20/10/43/666201043.db2.gz IJXIWCGJYRSEKV-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN C[C@@H](C(N)=O)[NH+]1CCC(NC(=O)c2ccn3c[nH+]cc3c2)CC1 ZINC001003251583 666288334 /nfs/dbraw/zinc/28/83/34/666288334.db2.gz WHAZMTMODHGRBH-NSHDSACASA-N 0 2 315.377 0.402 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2ccnn2C)CC[C@H]1NC(=O)c1nc[nH]n1 ZINC000943674546 666306747 /nfs/dbraw/zinc/30/67/47/666306747.db2.gz IVXHBUIJWRDCPR-ZYHUDNBSSA-N 0 2 303.370 0.179 20 0 DCADLN C[C@]1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C=CCC1 ZINC001003447378 666313649 /nfs/dbraw/zinc/31/36/49/666313649.db2.gz DHLFNMVJXPEGEX-HNNXBMFYSA-N 0 2 305.382 0.947 20 0 DCADLN CN(C(=O)c1ccccc1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032881944 666372647 /nfs/dbraw/zinc/37/26/47/666372647.db2.gz YFYKDEZKFZZDMK-GFCCVEGCSA-N 0 2 301.350 0.857 20 0 DCADLN CN(C(=O)c1ccccc1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032881944 666372649 /nfs/dbraw/zinc/37/26/49/666372649.db2.gz YFYKDEZKFZZDMK-GFCCVEGCSA-N 0 2 301.350 0.857 20 0 DCADLN Cc1ccoc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032913543 666386756 /nfs/dbraw/zinc/38/67/56/666386756.db2.gz QBBFQHHCMWKNSF-JTQLQIEISA-N 0 2 305.338 0.758 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001032964906 666410215 /nfs/dbraw/zinc/41/02/15/666410215.db2.gz MMBKENALMDEDRV-SNVBAGLBSA-N 0 2 319.369 0.197 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032988382 666418609 /nfs/dbraw/zinc/41/86/09/666418609.db2.gz ZNHAONGKJBZKGW-NMKXLXIOSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032988382 666418611 /nfs/dbraw/zinc/41/86/11/666418611.db2.gz ZNHAONGKJBZKGW-NMKXLXIOSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)Cc1cn2c([nH+]1)CCCC2)[C@H]1CC[N@@H+](CCO)C1 ZINC001033095699 666502800 /nfs/dbraw/zinc/50/28/00/666502800.db2.gz DMSXHRINJPNJMD-AWEZNQCLSA-N 0 2 306.410 0.287 20 0 DCADLN CCc1ncc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001032220770 666528144 /nfs/dbraw/zinc/52/81/44/666528144.db2.gz QPWHDLAPRPMRIC-UHFFFAOYSA-N 0 2 322.394 0.391 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000945398734 666608572 /nfs/dbraw/zinc/60/85/72/666608572.db2.gz JUBXUAPZPNLWAO-CYBMUJFWSA-N 0 2 319.409 0.154 20 0 DCADLN CNC(=O)C[NH+]1CC[C@H](N(C)C(=O)[C@H]2CCCC[N@@H+]2C(C)C)C1 ZINC001033471520 666722107 /nfs/dbraw/zinc/72/21/07/666722107.db2.gz KLUVQOXWZFHZAN-LSDHHAIUSA-N 0 2 324.469 0.528 20 0 DCADLN CCN(C(=O)c1cc[nH]c1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033845513 666844245 /nfs/dbraw/zinc/84/42/45/666844245.db2.gz XRYYWPAEUVVJIQ-LLVKDONJSA-N 0 2 304.354 0.575 20 0 DCADLN CCN(C(=O)c1cc[nH]c1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033845513 666844247 /nfs/dbraw/zinc/84/42/47/666844247.db2.gz XRYYWPAEUVVJIQ-LLVKDONJSA-N 0 2 304.354 0.575 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@]12C[C@H]1COC2 ZINC001034218391 666957032 /nfs/dbraw/zinc/95/70/32/666957032.db2.gz ZSKGBEPJTRQIIS-PGUXBMHVSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@]12C[C@H]1COC2 ZINC001034218391 666957033 /nfs/dbraw/zinc/95/70/33/666957033.db2.gz ZSKGBEPJTRQIIS-PGUXBMHVSA-N 0 2 321.381 0.018 20 0 DCADLN CCc1cc(Cl)cnc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000861795986 666980641 /nfs/dbraw/zinc/98/06/41/666980641.db2.gz OVTJBWBPGPBISL-UHFFFAOYSA-N 0 2 324.728 0.376 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034359462 667002164 /nfs/dbraw/zinc/00/21/64/667002164.db2.gz BHODOFVKOMSIJH-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034359462 667002167 /nfs/dbraw/zinc/00/21/67/667002167.db2.gz BHODOFVKOMSIJH-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1n[nH]cc1F ZINC001034379412 667007533 /nfs/dbraw/zinc/00/75/33/667007533.db2.gz CLHSXCNEAFWIHH-QMMMGPOBSA-N 0 2 323.332 0.157 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccnnc1 ZINC001034416031 667020003 /nfs/dbraw/zinc/02/00/03/667020003.db2.gz LOTCIMRQXMOLLP-LLVKDONJSA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccnnc1 ZINC001034416031 667020005 /nfs/dbraw/zinc/02/00/05/667020005.db2.gz LOTCIMRQXMOLLP-LLVKDONJSA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@H]1C[C@H](O)C1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000862235085 667032383 /nfs/dbraw/zinc/03/23/83/667032383.db2.gz MQQMXYZJYDOJBZ-LJGSYFOKSA-N 0 2 306.240 0.711 20 0 DCADLN CC1(C)CCC[C@@](CO)(NC(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000862846456 667087120 /nfs/dbraw/zinc/08/71/20/667087120.db2.gz OZHYXUMNQRDHPT-YMTOWFKASA-N 0 2 313.423 0.990 20 0 DCADLN Nc1ncnc2c1ncn2CCNC(=O)C(F)C(F)(F)F ZINC000862972998 667104042 /nfs/dbraw/zinc/10/40/42/667104042.db2.gz SEHPNUNFEJNLTC-LURJTMIESA-N 0 2 306.223 0.425 20 0 DCADLN Nc1ncnc2c1ncn2CCNC(=O)[C@H](F)C(F)(F)F ZINC000862972998 667104043 /nfs/dbraw/zinc/10/40/43/667104043.db2.gz SEHPNUNFEJNLTC-LURJTMIESA-N 0 2 306.223 0.425 20 0 DCADLN Cc1ccn(C)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032373297 667118983 /nfs/dbraw/zinc/11/89/83/667118983.db2.gz VWHLFAYIJVZYNU-QWRGUYRKSA-N 0 2 316.365 0.256 20 0 DCADLN Cc1coc(C)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032385450 667143722 /nfs/dbraw/zinc/14/37/22/667143722.db2.gz OIYNATSNXNIQRZ-QWRGUYRKSA-N 0 2 317.349 0.819 20 0 DCADLN Cc1csc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)c1 ZINC001032391929 667158100 /nfs/dbraw/zinc/15/81/00/667158100.db2.gz DUHCQWRSYTYVMC-UWVGGRQHSA-N 0 2 319.390 0.979 20 0 DCADLN CC(=O)NC[C@H]1CCCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000863815209 667185312 /nfs/dbraw/zinc/18/53/12/667185312.db2.gz GHQNZIGNMPMRMF-NXEZZACHSA-N 0 2 312.395 0.060 20 0 DCADLN CC(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032421119 667250497 /nfs/dbraw/zinc/25/04/97/667250497.db2.gz DTMRTOXYPMKDGR-QWRGUYRKSA-N 0 2 307.398 0.978 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@H+]2CCOCC(F)F)c1[O-] ZINC001754476797 1157735784 /nfs/dbraw/zinc/73/57/84/1157735784.db2.gz BQPIJWRLVYLEOI-SECBINFHSA-N 0 2 318.324 0.510 20 0 DCADLN C[C@H]([NH2+]Cc1cnc(N2CC[NH+](C)CC2)nc1)c1ccnn1C ZINC000865837834 667350693 /nfs/dbraw/zinc/35/06/93/667350693.db2.gz BWXZSDMZFZLNNB-ZDUSSCGKSA-N 0 2 315.425 0.813 20 0 DCADLN CCCCCCNC(=O)[C@@H]1CCCN(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000134177310 667518687 /nfs/dbraw/zinc/51/86/87/667518687.db2.gz RQNHARBFVAMQFR-LLVKDONJSA-N 0 2 323.397 0.647 20 0 DCADLN CN(C(=O)Cc1ccco1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006164734 667570164 /nfs/dbraw/zinc/57/01/64/667570164.db2.gz JVRFFWODIALZBW-UHFFFAOYSA-N 0 2 319.365 0.769 20 0 DCADLN Cc1cc(C(N)=O)ccc1NC(=O)CC1SC(=N)NC1=O ZINC000742536544 667793030 /nfs/dbraw/zinc/79/30/30/667793030.db2.gz NPKHMIXOQLQWRF-VIFPVBQESA-N 0 2 306.347 0.589 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOc3ccccc3C2)S1 ZINC000742564995 667793570 /nfs/dbraw/zinc/79/35/70/667793570.db2.gz OKFVVTKNFDTHKG-NSHDSACASA-N 0 2 305.359 0.964 20 0 DCADLN Cc1nc(C2(NC(=O)C[C@H]3SC(=N)NC3=O)CCCC2)no1 ZINC000742556076 667793934 /nfs/dbraw/zinc/79/39/34/667793934.db2.gz QTURUNBSBKHTMK-MRVPVSSYSA-N 0 2 323.378 0.820 20 0 DCADLN Cc1ccc(C(N)=O)cc1NC(=O)CC1SC(=N)NC1=O ZINC000742594772 667794198 /nfs/dbraw/zinc/79/41/98/667794198.db2.gz DGEJWAYIKUBWDX-VIFPVBQESA-N 0 2 306.347 0.589 20 0 DCADLN CCOC(=O)CN(C(=O)C[C@@H]1SC(=N)NC1=O)C(C)C ZINC000742618084 667795335 /nfs/dbraw/zinc/79/53/35/667795335.db2.gz FBHKOCSHUREFBH-QMMMGPOBSA-N 0 2 301.368 0.343 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](N3CCCC3=O)C2)S1 ZINC000742663282 667796323 /nfs/dbraw/zinc/79/63/23/667796323.db2.gz FKTPFHFWWQBIGC-ZJUUUORDSA-N 0 2 324.406 0.156 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](N3CCCC3=O)C2)S1 ZINC000742663280 667796514 /nfs/dbraw/zinc/79/65/14/667796514.db2.gz FKTPFHFWWQBIGC-UWVGGRQHSA-N 0 2 324.406 0.156 20 0 DCADLN Cc1nc([C@H]2CCCCN2C(=O)C[C@@H]2SC(=N)NC2=O)no1 ZINC000742692488 667797409 /nfs/dbraw/zinc/79/74/09/667797409.db2.gz LZBFWKFRUDBZNI-BDAKNGLRSA-N 0 2 323.378 0.988 20 0 DCADLN Cc1nc([C@@H]2CCCCN2C(=O)C[C@H]2SC(=N)NC2=O)no1 ZINC000742692489 667797562 /nfs/dbraw/zinc/79/75/62/667797562.db2.gz LZBFWKFRUDBZNI-DTWKUNHWSA-N 0 2 323.378 0.988 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)CC1(C)OCCO1 ZINC000744590610 667828973 /nfs/dbraw/zinc/82/89/73/667828973.db2.gz XLMOZPZMZBPEEI-BDAKNGLRSA-N 0 2 315.395 0.448 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000748417228 667927965 /nfs/dbraw/zinc/92/79/65/667927965.db2.gz DQXCPJPOLGOHRQ-QMMMGPOBSA-N 0 2 319.296 0.319 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N[C@H]3C[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873065673 667969128 /nfs/dbraw/zinc/96/91/28/667969128.db2.gz JHOIWAISEGTCHS-KKOKHZNYSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N[C@H]3C[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873065673 667969129 /nfs/dbraw/zinc/96/91/29/667969129.db2.gz JHOIWAISEGTCHS-KKOKHZNYSA-N 0 2 306.366 0.959 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1C[C@@H]2CCCC[C@H]2C1 ZINC000750138002 667972452 /nfs/dbraw/zinc/97/24/52/667972452.db2.gz NEAIRYWKGTWFDV-QWRGUYRKSA-N 0 2 301.350 0.720 20 0 DCADLN O=C(CNC(=O)C(F)(F)F)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000750599522 667982971 /nfs/dbraw/zinc/98/29/71/667982971.db2.gz FJRPQHKHIRFHKV-UHFFFAOYSA-N 0 2 302.212 0.886 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](CCO)C2CCCCC2)S1 ZINC000757076918 668112040 /nfs/dbraw/zinc/11/20/40/668112040.db2.gz SGWFQVNCCQHSBE-QWRGUYRKSA-N 0 2 313.423 0.990 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N(CCO)CCc2ccccc2)S1 ZINC000757172173 668115819 /nfs/dbraw/zinc/11/58/19/668115819.db2.gz DTGSRMPVLYBFOX-GFCCVEGCSA-N 0 2 321.402 0.606 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)N[C@@H](CO)CC(F)(F)F ZINC000867018177 668139320 /nfs/dbraw/zinc/13/93/20/668139320.db2.gz VVCOVHLQOLZPFC-ZWBDZOEQSA-N 0 2 312.335 0.252 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)OCCC(N)=O)cc2[nH]c1=S ZINC000759543656 668224479 /nfs/dbraw/zinc/22/44/79/668224479.db2.gz USWOSSOVBNFHIC-UHFFFAOYSA-N 0 2 321.358 0.737 20 0 DCADLN C[S@](=O)CCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760315379 668267593 /nfs/dbraw/zinc/26/75/93/668267593.db2.gz PSCMZZKOUHKDLJ-IBGZPJMESA-N 0 2 302.318 0.328 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)N[C@@H]1CC[NH+](C2CC2)C1 ZINC000875295137 668295684 /nfs/dbraw/zinc/29/56/84/668295684.db2.gz HWGABMXSOIRSQK-KGLIPLIRSA-N 0 2 310.442 0.633 20 0 DCADLN COc1cccc([C@@H](O)CNC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000762396954 668359818 /nfs/dbraw/zinc/35/98/18/668359818.db2.gz GSRDWGVAQTUYPZ-WDEREUQCSA-N 0 2 323.374 0.401 20 0 DCADLN COc1ccc(NC(=O)CC2SC(=N)NC2=O)cc1CO ZINC000763034730 668392889 /nfs/dbraw/zinc/39/28/89/668392889.db2.gz VOASRYZURMVFAX-SNVBAGLBSA-N 0 2 309.347 0.682 20 0 DCADLN CC[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000763812387 668423357 /nfs/dbraw/zinc/42/33/57/668423357.db2.gz APYWMHBBTWILJD-SFYZADRCSA-N 0 2 315.395 0.779 20 0 DCADLN CO[N-]C(=O)CNC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000927208135 668453383 /nfs/dbraw/zinc/45/33/83/668453383.db2.gz XMSRMHDGROTJQQ-UHFFFAOYSA-N 0 2 312.395 0.253 20 0 DCADLN Cc1cccc([C@H](O)CNC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000769303327 668642823 /nfs/dbraw/zinc/64/28/23/668642823.db2.gz JRMIYZYZRTXCSX-MNOVXSKESA-N 0 2 307.375 0.701 20 0 DCADLN CC(C)OC[C@H]1CN(C(=O)C[C@H]2SC(=N)NC2=O)CCO1 ZINC000771674082 668748043 /nfs/dbraw/zinc/74/80/43/668748043.db2.gz DNFTUZUIDDZKNP-NXEZZACHSA-N 0 2 315.395 0.195 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc(-n2cccn2)ccn1 ZINC000773085080 668792849 /nfs/dbraw/zinc/79/28/49/668792849.db2.gz FCQXIOFSEXGAOO-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN CC(=O)NC(=Cc1ccccc1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774876902 668849444 /nfs/dbraw/zinc/84/94/44/668849444.db2.gz XGGAQGLEJTZLGX-YRNVUSSQSA-N 0 2 302.290 0.731 20 0 DCADLN Cc1cc(C)cc(C(=O)NCC(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774884431 668849938 /nfs/dbraw/zinc/84/99/38/668849938.db2.gz WTEDHKLIMZKUPZ-UHFFFAOYSA-N 0 2 304.306 0.600 20 0 DCADLN Cc1ccc(N2C[C@@H](C(=O)OCc3n[nH]c(=O)[nH]3)CC2=O)cc1 ZINC000774889215 668849963 /nfs/dbraw/zinc/84/99/63/668849963.db2.gz LZIWMBSGNFDFFZ-JTQLQIEISA-N 0 2 316.317 0.915 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CCCCN1c1ncccn1 ZINC000774919852 668851304 /nfs/dbraw/zinc/85/13/04/668851304.db2.gz PHWCVIAZFNNBDK-SECBINFHSA-N 0 2 304.310 0.403 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000774919897 668851572 /nfs/dbraw/zinc/85/15/72/668851572.db2.gz UDSHXAFAGZPFHL-VIFPVBQESA-N 0 2 302.290 0.607 20 0 DCADLN CCCCC(=O)N1CSC[C@@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774942100 668851914 /nfs/dbraw/zinc/85/19/14/668851914.db2.gz LLUGNUDMTCTAJH-MRVPVSSYSA-N 0 2 314.367 0.645 20 0 DCADLN CC(C)(C)C(=O)N1CSC[C@@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774945050 668852374 /nfs/dbraw/zinc/85/23/74/668852374.db2.gz FZBRGDTWEVTULQ-SSDOTTSWSA-N 0 2 314.367 0.501 20 0 DCADLN Cc1cccn2c(=O)c(C(=O)OCc3n[nH]c(=O)[nH]3)cnc12 ZINC000775013179 668854201 /nfs/dbraw/zinc/85/42/01/668854201.db2.gz XPHHTEPQLVDRNN-UHFFFAOYSA-N 0 2 301.262 0.184 20 0 DCADLN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000779339215 668909972 /nfs/dbraw/zinc/90/99/72/668909972.db2.gz KKRIHKSHWPJDDK-BDAKNGLRSA-N 0 2 312.395 0.012 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc2ccccc2c1 ZINC000780082413 668966495 /nfs/dbraw/zinc/96/64/95/668966495.db2.gz ANDGMAQUKVWQRH-UHFFFAOYSA-N 0 2 311.301 0.918 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)NC1(C(=O)[O-])CCCC1 ZINC000780542964 668993047 /nfs/dbraw/zinc/99/30/47/668993047.db2.gz YECYOZSLTUFLLF-UHFFFAOYSA-N 0 2 313.398 0.794 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)NC1(C(=O)[O-])CCCC1 ZINC000780542964 668993050 /nfs/dbraw/zinc/99/30/50/668993050.db2.gz YECYOZSLTUFLLF-UHFFFAOYSA-N 0 2 313.398 0.794 20 0 DCADLN Cc1ccc(CN(CCO)C(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000783640301 669166005 /nfs/dbraw/zinc/16/60/05/669166005.db2.gz OZUGPHQTFDQDEO-GFCCVEGCSA-N 0 2 321.402 0.872 20 0 DCADLN C[C@@H]1C[C@H](CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000784379926 669201718 /nfs/dbraw/zinc/20/17/18/669201718.db2.gz NKQUZXMHWXUMIV-GHMZBOCLSA-N 0 2 319.365 0.393 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC([C@@H]3CCCO3)CC2)S1 ZINC000784617317 669215241 /nfs/dbraw/zinc/21/52/41/669215241.db2.gz PUVVUOQYDARSJK-QWRGUYRKSA-N 0 2 311.407 0.960 20 0 DCADLN CCc1nnc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000785312750 669247069 /nfs/dbraw/zinc/24/70/69/669247069.db2.gz DQLNJIJQKPGRLE-UHFFFAOYSA-N 0 2 320.334 0.229 20 0 DCADLN CC[C@@]1(C)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000785418925 669255567 /nfs/dbraw/zinc/25/55/67/669255567.db2.gz FEFWSWOGQJAANI-AWEZNQCLSA-N 0 2 305.338 0.099 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@@H](C)[N@@H+]2CC[C@H](C3OCCO3)C2)CC1 ZINC000932008756 669296781 /nfs/dbraw/zinc/29/67/81/669296781.db2.gz DYKYCTNQAAYLCS-KGLIPLIRSA-N 0 2 311.426 0.234 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(c2c(F)cccc2F)=NO1 ZINC000786275236 669302807 /nfs/dbraw/zinc/30/28/07/669302807.db2.gz ZRWJLUXPFRQUBR-VIFPVBQESA-N 0 2 323.259 0.598 20 0 DCADLN Cc1cc(Cn2cc(CNC(=O)C(F)(F)F)nn2)ncn1 ZINC000881293360 669319504 /nfs/dbraw/zinc/31/95/04/669319504.db2.gz OEMTTYIEZOMUIE-UHFFFAOYSA-N 0 2 300.244 0.603 20 0 DCADLN COC(=O)C1(O)CN(C(=O)c2c[nH]c3c(C)cccc3c2=O)C1 ZINC000881444995 669344478 /nfs/dbraw/zinc/34/44/78/669344478.db2.gz ZEHHJQQUKQRUTC-UHFFFAOYSA-N 0 2 316.313 0.196 20 0 DCADLN O=c1cc(CN2CCOC[C@H]2C[C@@H]2CCCO2)nc2cc[nH]n21 ZINC000933693013 669519971 /nfs/dbraw/zinc/51/99/71/669519971.db2.gz RFWZBOXXXPJWBY-KGLIPLIRSA-N 0 2 318.377 0.793 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(N3CCC3=O)c2)S1 ZINC000791183066 669596430 /nfs/dbraw/zinc/59/64/30/669596430.db2.gz BJYGCTXTPDGNER-SNVBAGLBSA-N 0 2 318.358 0.918 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(C(N)=O)cc2C)cnn1C ZINC000793367881 669716101 /nfs/dbraw/zinc/71/61/01/669716101.db2.gz BUYUREDMYFPUCX-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN COc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)ccc1O ZINC000798097392 669985968 /nfs/dbraw/zinc/98/59/68/669985968.db2.gz CXLWUBVFRJFWTK-UHFFFAOYSA-N 0 2 315.289 0.424 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)N(CC)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC000950447476 670028524 /nfs/dbraw/zinc/02/85/24/670028524.db2.gz PSUBCIPXPNTDDT-VXGBXAGGSA-N 0 2 323.397 0.501 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](CCO)Cc2ccccc2)S1 ZINC000799800553 670073174 /nfs/dbraw/zinc/07/31/74/670073174.db2.gz QFLLXEWPUWYOPF-NEPJUHHUSA-N 0 2 321.402 0.653 20 0 DCADLN CNC(=O)COC(=O)c1nn(-c2cc(C)ccc2F)cc1O ZINC000801416327 670128115 /nfs/dbraw/zinc/12/81/15/670128115.db2.gz CWRQRMULKBHRFU-UHFFFAOYSA-N 0 2 307.281 0.928 20 0 DCADLN CCOc1cccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)n1 ZINC000802553474 670194796 /nfs/dbraw/zinc/19/47/96/670194796.db2.gz NIQUBUKLACEJPX-UHFFFAOYSA-N 0 2 305.338 0.596 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC000949142397 670271480 /nfs/dbraw/zinc/27/14/80/670271480.db2.gz ACPKLEVCAFTNJR-KBPBESRZSA-N 0 2 319.409 0.085 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cnn3ccccc23)S1 ZINC000804444930 670294597 /nfs/dbraw/zinc/29/45/97/670294597.db2.gz AAQLHAIFVQKYIL-JTQLQIEISA-N 0 2 303.347 0.507 20 0 DCADLN C[C@H]1CCC[C@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949930735 670465067 /nfs/dbraw/zinc/46/50/67/670465067.db2.gz RMVDHIHIHQRPEJ-RYUDHWBXSA-N 0 2 307.398 0.981 20 0 DCADLN CCN(C(=O)c1ccnn1CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949955993 670470297 /nfs/dbraw/zinc/47/02/97/670470297.db2.gz BSXCSYHWKZNPHR-UHFFFAOYSA-N 0 2 319.369 0.073 20 0 DCADLN CCN(C(=O)c1c(C)ccn1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949966539 670472919 /nfs/dbraw/zinc/47/29/19/670472919.db2.gz YJMYJNLDWBVNLV-UHFFFAOYSA-N 0 2 318.381 0.504 20 0 DCADLN CCS(=O)(=O)NC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810103981 670476068 /nfs/dbraw/zinc/47/60/68/670476068.db2.gz UYAQFKRSEBYKNO-MRVPVSSYSA-N 0 2 320.308 0.817 20 0 DCADLN CCS(=O)(=O)NC1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000810103981 670476073 /nfs/dbraw/zinc/47/60/73/670476073.db2.gz UYAQFKRSEBYKNO-MRVPVSSYSA-N 0 2 320.308 0.817 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@@H](c3ccncc3)C2)S1 ZINC000848102115 670635090 /nfs/dbraw/zinc/63/50/90/670635090.db2.gz VEGSWSTZHPLNOS-GHMZBOCLSA-N 0 2 304.375 0.954 20 0 DCADLN CC(C)[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C1(CO)CCC1 ZINC000848120962 670636324 /nfs/dbraw/zinc/63/63/24/670636324.db2.gz SVFZGXYNRRCSJU-ONGXEEELSA-N 0 2 313.423 0.846 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCS(=O)(=O)C[C@H]1C1CC1 ZINC000854812109 670637780 /nfs/dbraw/zinc/63/77/80/670637780.db2.gz ZIZLVERODBZDLR-YUMQZZPRSA-N 0 2 303.277 0.922 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCS(=O)(=O)C[C@H]1C1CC1 ZINC000854812109 670637785 /nfs/dbraw/zinc/63/77/85/670637785.db2.gz ZIZLVERODBZDLR-YUMQZZPRSA-N 0 2 303.277 0.922 20 0 DCADLN COC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C1CCOCC1 ZINC000855289929 670695462 /nfs/dbraw/zinc/69/54/62/670695462.db2.gz QOTNYYLVZHSFRU-VHSXEESVSA-N 0 2 315.395 0.101 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NC[C@@H](C)C(=O)[O-])c(N(C)C)[nH+]1 ZINC000848599518 670702041 /nfs/dbraw/zinc/70/20/41/670702041.db2.gz LLPCTSDCMLEXSK-MRVPVSSYSA-N 0 2 308.338 0.231 20 0 DCADLN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cccc(Cl)c1O ZINC000855476513 670718318 /nfs/dbraw/zinc/71/83/18/670718318.db2.gz PQRSQQFPEAFMKC-UWVGGRQHSA-N 0 2 319.766 0.587 20 0 DCADLN COC(=O)[C@H](O)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000815849262 670766971 /nfs/dbraw/zinc/76/69/71/670766971.db2.gz KKJUSSGRPJZBSV-HTQZYQBOSA-N 0 2 301.236 0.659 20 0 DCADLN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000815849262 670766975 /nfs/dbraw/zinc/76/69/75/670766975.db2.gz KKJUSSGRPJZBSV-HTQZYQBOSA-N 0 2 301.236 0.659 20 0 DCADLN COC(=O)[C@@H](O)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000815849265 670767075 /nfs/dbraw/zinc/76/70/75/670767075.db2.gz KKJUSSGRPJZBSV-YUMQZZPRSA-N 0 2 301.236 0.659 20 0 DCADLN COC(=O)[C@@H](O)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000815849265 670767078 /nfs/dbraw/zinc/76/70/78/670767078.db2.gz KKJUSSGRPJZBSV-YUMQZZPRSA-N 0 2 301.236 0.659 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC2(O)CCC(F)(F)CC2)S1 ZINC000856201796 670794593 /nfs/dbraw/zinc/79/45/93/670794593.db2.gz ROJADTBZNFAIQV-SSDOTTSWSA-N 0 2 321.349 0.599 20 0 DCADLN O=C(Cc1ccc(F)cn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000816541375 670820370 /nfs/dbraw/zinc/82/03/70/670820370.db2.gz LASOYZAZKOVTMH-SECBINFHSA-N 0 2 305.313 0.993 20 0 DCADLN O=C(Nn1c(=O)[n-][nH]c1=O)[C@@H]1CCCC[N@@H+]1Cc1ccccc1 ZINC000816733788 670852676 /nfs/dbraw/zinc/85/26/76/670852676.db2.gz LYKOYWOFWYWIRW-LBPRGKRZSA-N 0 2 317.349 0.814 20 0 DCADLN O=C(Nn1c(=O)[n-][nH]c1=O)[C@@H]1CCCC[N@H+]1Cc1ccccc1 ZINC000816733788 670852681 /nfs/dbraw/zinc/85/26/81/670852681.db2.gz LYKOYWOFWYWIRW-LBPRGKRZSA-N 0 2 317.349 0.814 20 0 DCADLN COCC1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCOCC1 ZINC000816790566 670865243 /nfs/dbraw/zinc/86/52/43/670865243.db2.gz FUMAXUKLMCKILF-SECBINFHSA-N 0 2 315.395 0.102 20 0 DCADLN CC(C)(CO)ONC(=O)CSCC(=O)N1CCCCC1 ZINC000857284984 670904756 /nfs/dbraw/zinc/90/47/56/670904756.db2.gz OEVZYEXHQJZJNJ-UHFFFAOYSA-N 0 2 304.412 0.551 20 0 DCADLN CCC[C@@H](C)C(=O)NCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000817225774 670906402 /nfs/dbraw/zinc/90/64/02/670906402.db2.gz UFBXOHNZFPNIJL-BDAKNGLRSA-N 0 2 314.411 0.211 20 0 DCADLN O=C(NCCc1nnc(S)o1)c1cccn(C2CC2)c1=O ZINC000867483968 670944924 /nfs/dbraw/zinc/94/49/24/670944924.db2.gz OGPFPSFPXADXAF-UHFFFAOYSA-N 0 2 306.347 0.827 20 0 DCADLN C[C@@H](O)CCNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000859459134 671116234 /nfs/dbraw/zinc/11/62/34/671116234.db2.gz CSIMQHRMTJFDLK-SSDOTTSWSA-N 0 2 308.256 0.959 20 0 DCADLN CCO[C@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC000859550564 671125488 /nfs/dbraw/zinc/12/54/88/671125488.db2.gz KEBMVJAYRQRRQY-LLVKDONJSA-N 0 2 306.322 0.430 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(CNC(=O)C(F)(F)F)o2)C[C@H]1O ZINC000860530831 671215875 /nfs/dbraw/zinc/21/58/75/671215875.db2.gz BTEMVGIKWDIGOI-VXNVDRBHSA-N 0 2 320.267 0.911 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)c2nnc[nH]2)co1 ZINC000853222342 671222043 /nfs/dbraw/zinc/22/20/43/671222043.db2.gz KISZKJBZACVBNQ-LURJTMIESA-N 0 2 300.296 0.224 20 0 DCADLN CN1C(=O)CSC1=CC(=O)NCCc1n[nH]c(=S)o1 ZINC000822044332 671303948 /nfs/dbraw/zinc/30/39/48/671303948.db2.gz TVQFJIUQVPZOQS-RUDMXATFSA-N 0 2 300.365 0.064 20 0 DCADLN Cc1ccc2c(C(=O)NN3C(=O)[C@@H](C)N(C)C3=O)cnn2c1C ZINC000826831432 671502286 /nfs/dbraw/zinc/50/22/86/671502286.db2.gz ILTDPWBBCQAQKR-SNVBAGLBSA-N 0 2 315.333 0.878 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)C1=NC(=O)N(C)C1 ZINC000827706014 671536202 /nfs/dbraw/zinc/53/62/02/671536202.db2.gz KGZNBSFMCLJEDD-UHFFFAOYSA-N 0 2 316.321 0.421 20 0 DCADLN CO[C@@H]1C[C@H]1C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC000827987361 671541498 /nfs/dbraw/zinc/54/14/98/671541498.db2.gz AUPRUCRRPCCQOU-IJLUTSLNSA-N 0 2 302.334 0.945 20 0 DCADLN COC(=O)C1(O)CN(C(=O)c2cc(F)cc(Cl)c2O)C1 ZINC000829960524 671598741 /nfs/dbraw/zinc/59/87/41/671598741.db2.gz CRCMLPZNLAGFEM-UHFFFAOYSA-N 0 2 303.673 0.545 20 0 DCADLN Cc1cnc(CNC(=O)C[NH+]2CCC(NC(=O)[O-])CC2)s1 ZINC000830629441 671635053 /nfs/dbraw/zinc/63/50/53/671635053.db2.gz BLSAYAOLRLVOIM-UHFFFAOYSA-N 0 2 312.395 0.800 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc(Br)n[nH]1 ZINC000832363708 671716107 /nfs/dbraw/zinc/71/61/07/671716107.db2.gz QOVVCTMYUVENBZ-UHFFFAOYSA-N 0 2 318.156 0.816 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2ncnc3[nH]ccc32)C1=O ZINC000834789687 671780506 /nfs/dbraw/zinc/78/05/06/671780506.db2.gz JXHCBOAETAEACY-CYBMUJFWSA-N 0 2 302.294 0.275 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H](c2cccnc2)C1 ZINC000843634951 672115329 /nfs/dbraw/zinc/11/53/29/672115329.db2.gz IWPBTVSZAAHIMS-LBPRGKRZSA-N 0 2 324.344 0.482 20 0 DCADLN CC[C@@H](NS(=O)(=O)N=S(=O)(CC)CC)[C@@H](O)C(F)F ZINC000867330946 672115442 /nfs/dbraw/zinc/11/54/42/672115442.db2.gz MXAJQTDSBRSCHR-HTQZYQBOSA-N 0 2 322.399 0.733 20 0 DCADLN CC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H](C)CO1 ZINC000844832494 672206036 /nfs/dbraw/zinc/20/60/36/672206036.db2.gz BPUIXPDSRILJSX-NXEZZACHSA-N 0 2 305.338 0.097 20 0 DCADLN CCO[N-]C(=O)CNC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000845544594 672259116 /nfs/dbraw/zinc/25/91/16/672259116.db2.gz RODRYIBLNJJBJN-UHFFFAOYSA-N 0 2 319.365 0.552 20 0 DCADLN COCC1(S(=O)(=O)[N-]C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000845578861 672264665 /nfs/dbraw/zinc/26/46/65/672264665.db2.gz MDHPBQXGHFIMRS-SNVBAGLBSA-N 0 2 301.368 0.459 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@@](C)(O)c1ccccc1 ZINC000846411774 672311600 /nfs/dbraw/zinc/31/16/00/672311600.db2.gz SRJDNXOXVFPSSA-CCUNJIBTSA-N 0 2 321.402 0.955 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](C2CC2)C2CCOCC2)S1 ZINC000846421967 672312306 /nfs/dbraw/zinc/31/23/06/672312306.db2.gz VUEWBZHPUKDUJQ-PWSUYJOCSA-N 0 2 311.407 0.864 20 0 DCADLN Cc1nonc1C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954671446 686096074 /nfs/dbraw/zinc/09/60/74/686096074.db2.gz ZFEFFTBHOLMGGX-QMMMGPOBSA-N 0 2 324.234 0.561 20 0 DCADLN Cc1nonc1C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954671446 686096077 /nfs/dbraw/zinc/09/60/77/686096077.db2.gz ZFEFFTBHOLMGGX-QMMMGPOBSA-N 0 2 324.234 0.561 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955045942 686238433 /nfs/dbraw/zinc/23/84/33/686238433.db2.gz QRSLYVQTFGFQKZ-XFWSIPNHSA-N 0 2 324.274 0.448 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000955045942 686238439 /nfs/dbraw/zinc/23/84/39/686238439.db2.gz QRSLYVQTFGFQKZ-XFWSIPNHSA-N 0 2 324.274 0.448 20 0 DCADLN CN(C(=O)c1cccnn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955100032 686272249 /nfs/dbraw/zinc/27/22/49/686272249.db2.gz HDNKSSNVQJORKL-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1cccnn1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000955100032 686272254 /nfs/dbraw/zinc/27/22/54/686272254.db2.gz HDNKSSNVQJORKL-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CCc1cccnc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038439143 694080482 /nfs/dbraw/zinc/08/04/82/694080482.db2.gz MXWRXDSTFWOWPC-NSHDSACASA-N 0 2 316.365 0.472 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958761593 687909632 /nfs/dbraw/zinc/90/96/32/687909632.db2.gz YGNZRAAHFFZSMY-IWIIMEHWSA-N 0 2 317.349 0.580 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958761593 687909636 /nfs/dbraw/zinc/90/96/36/687909636.db2.gz YGNZRAAHFFZSMY-IWIIMEHWSA-N 0 2 317.349 0.580 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(F)c1 ZINC001038738442 694178039 /nfs/dbraw/zinc/17/80/39/694178039.db2.gz BWHRXFGPAXNBOZ-JTQLQIEISA-N 0 2 319.340 0.962 20 0 DCADLN CCC(=O)N[C@@H]1Cc2ccccc2[C@H]1[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC000960237606 688332137 /nfs/dbraw/zinc/33/21/37/688332137.db2.gz VQANPEYWKFULIR-BXUZGUMPSA-N 0 2 301.350 0.792 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)C1CCC1 ZINC000961115211 688541088 /nfs/dbraw/zinc/54/10/88/688541088.db2.gz SVWWJOYRNDVQDL-VGMNWLOBSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O)C1CCC1 ZINC000961115211 688541090 /nfs/dbraw/zinc/54/10/90/688541090.db2.gz SVWWJOYRNDVQDL-VGMNWLOBSA-N 0 2 312.263 0.375 20 0 DCADLN CCCc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001038864941 694222386 /nfs/dbraw/zinc/22/23/86/694222386.db2.gz TUPPNFAXGVRCFA-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN CCc1cc(C)c(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038921017 694240538 /nfs/dbraw/zinc/24/05/38/694240538.db2.gz KQAGOIJQSULUJA-SNVBAGLBSA-N 0 2 319.365 0.978 20 0 DCADLN COc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1 ZINC001038952278 694252595 /nfs/dbraw/zinc/25/25/95/694252595.db2.gz AVTFBKHETYSVRA-LLVKDONJSA-N 0 2 317.349 0.523 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@H]2C)no1 ZINC000964869054 689316380 /nfs/dbraw/zinc/31/63/80/689316380.db2.gz CKCGJHBGACHDSD-KCJUWKMLSA-N 0 2 320.353 0.447 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCCC1 ZINC000965895376 689572248 /nfs/dbraw/zinc/57/22/48/689572248.db2.gz VHWJUTIKQMFULD-PWSUYJOCSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCCC1 ZINC000965895376 689572251 /nfs/dbraw/zinc/57/22/51/689572251.db2.gz VHWJUTIKQMFULD-PWSUYJOCSA-N 0 2 305.382 0.947 20 0 DCADLN NC(=O)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001039377843 694351835 /nfs/dbraw/zinc/35/18/35/694351835.db2.gz TYJNPBABTPMSBQ-KHQFGBGNSA-N 0 2 311.279 0.437 20 0 DCADLN CCn1ncc(C[NH2+][C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)n1 ZINC000968432754 690266202 /nfs/dbraw/zinc/26/62/02/690266202.db2.gz OVFHJSCKNUHWDM-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC[C@@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC000968752178 690385543 /nfs/dbraw/zinc/38/55/43/690385543.db2.gz JWQXJHCJCZJZCQ-IYSWYEEDSA-N 0 2 311.239 0.101 20 0 DCADLN O=C([C@H]1CCOC1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039525575 694377928 /nfs/dbraw/zinc/37/79/28/694377928.db2.gz YGBLKOXJTZXOSJ-SDDRHHMPSA-N 0 2 321.381 0.112 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CCC[N@@H+](Cc3cncn3C)C2)c1[O-] ZINC001007119601 690630120 /nfs/dbraw/zinc/63/01/20/690630120.db2.gz GOSGBDSWKWQELN-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CCC[N@H+](Cc3cncn3C)C2)c1[O-] ZINC001007119601 690630122 /nfs/dbraw/zinc/63/01/22/690630122.db2.gz GOSGBDSWKWQELN-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN C[C@]1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)C=CCC1 ZINC001008196506 690818707 /nfs/dbraw/zinc/81/87/07/690818707.db2.gz IAOZMIGWAAKRRY-NHYWBVRUSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@@H]1CCC[N@@H+](CCO)C1)c1cc(C[NH+]2CCCC2)on1 ZINC001008536841 690887508 /nfs/dbraw/zinc/88/75/08/690887508.db2.gz BHKODLSHQVRQMV-CYBMUJFWSA-N 0 2 322.409 0.457 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001008605041 690896792 /nfs/dbraw/zinc/89/67/92/690896792.db2.gz RIUMAVOIVWOLFG-KKOKHZNYSA-N 0 2 321.381 0.158 20 0 DCADLN COc1ccc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001008972830 690965635 /nfs/dbraw/zinc/96/56/35/690965635.db2.gz MHQKHZGUAVPXGP-SECBINFHSA-N 0 2 321.337 0.506 20 0 DCADLN O=C(C[C@@H]1CCCOC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009555967 691059636 /nfs/dbraw/zinc/05/96/36/691059636.db2.gz YIBZZIIMGUESLJ-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001009961278 691137247 /nfs/dbraw/zinc/13/72/47/691137247.db2.gz SFYOEDKLNKSWGG-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN CCc1ocnc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010405092 691262500 /nfs/dbraw/zinc/26/25/00/691262500.db2.gz QIRAGBYQEVQTRY-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN Cc1cccnc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010492678 691293461 /nfs/dbraw/zinc/29/34/61/691293461.db2.gz VXYWJWXFLNZOBW-JTQLQIEISA-N 0 2 302.338 0.218 20 0 DCADLN CO[C@@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010562397 691313294 /nfs/dbraw/zinc/31/32/94/691313294.db2.gz RFWFYRJFOSMJPZ-JGVFFNPUSA-N 0 2 300.252 0.593 20 0 DCADLN CO[C@@H](C)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010562397 691313297 /nfs/dbraw/zinc/31/32/97/691313297.db2.gz RFWFYRJFOSMJPZ-JGVFFNPUSA-N 0 2 300.252 0.593 20 0 DCADLN O=C(Cc1ccon1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010796715 691383548 /nfs/dbraw/zinc/38/35/48/691383548.db2.gz MXXUQJJYOONEFA-SNVBAGLBSA-N 0 2 323.246 0.788 20 0 DCADLN O=C(Cc1ccon1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010796715 691383551 /nfs/dbraw/zinc/38/35/51/691383551.db2.gz MXXUQJJYOONEFA-SNVBAGLBSA-N 0 2 323.246 0.788 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010873912 691408759 /nfs/dbraw/zinc/40/87/59/691408759.db2.gz VLPPOLJQKZYBDZ-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN O=C(N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C1(CF)CC1 ZINC001039907199 694450023 /nfs/dbraw/zinc/45/00/23/694450023.db2.gz OYSCAAQBNPEHNO-PHIMTYICSA-N 0 2 323.372 0.825 20 0 DCADLN CC(C)(O)CC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011065465 691454774 /nfs/dbraw/zinc/45/47/74/691454774.db2.gz UPOUTOHIEYTBOO-SECBINFHSA-N 0 2 314.279 0.719 20 0 DCADLN CC(C)(O)CC(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011065465 691454775 /nfs/dbraw/zinc/45/47/75/691454775.db2.gz UPOUTOHIEYTBOO-SECBINFHSA-N 0 2 314.279 0.719 20 0 DCADLN CCc1cc(C(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)C[C@H]2C)[nH]n1 ZINC001012324725 691748291 /nfs/dbraw/zinc/74/82/91/691748291.db2.gz BXRGMCSIOREZSX-SCZZXKLOSA-N 0 2 317.353 0.123 20 0 DCADLN CCc1ncsc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014646956 692063751 /nfs/dbraw/zinc/06/37/51/692063751.db2.gz YXYOYJJIZOLQHY-QMMMGPOBSA-N 0 2 322.394 0.534 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@]12CCC[C@H]1OCC2 ZINC001015245276 692237372 /nfs/dbraw/zinc/23/73/72/692237372.db2.gz HNAFYGCYEXOLSO-HFAKWTLXSA-N 0 2 321.381 0.160 20 0 DCADLN O=C(C[C@@H]1CC=CCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015536940 692336062 /nfs/dbraw/zinc/33/60/62/692336062.db2.gz PWYFMXZUAMQCEG-VXGBXAGGSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1nc(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001016198449 692597048 /nfs/dbraw/zinc/59/70/48/692597048.db2.gz RPMCFHQTNHFRED-SECBINFHSA-N 0 2 322.394 0.208 20 0 DCADLN Cc1ncc(C[NH2+][C@H]2CCN(C(=O)[C@H]3C[N@@H+](C)CCO3)C2)s1 ZINC001018664009 693186232 /nfs/dbraw/zinc/18/62/32/693186232.db2.gz VLXHEKHVIFLOQN-GXTWGEPZSA-N 0 2 324.450 0.473 20 0 DCADLN CC(C)=CC(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074153978 694828429 /nfs/dbraw/zinc/82/84/29/694828429.db2.gz BBDLJRBXXZHRGH-RYUDHWBXSA-N 0 2 321.381 0.278 20 0 DCADLN CC(C)(C)C(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074389323 694884291 /nfs/dbraw/zinc/88/42/91/694884291.db2.gz FOJHENZFFICCAV-GHMZBOCLSA-N 0 2 323.397 0.358 20 0 DCADLN Cn1cccc1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075558595 694958807 /nfs/dbraw/zinc/95/88/07/694958807.db2.gz UIYARRHNSXIUQA-CMPLNLGQSA-N 0 2 316.365 0.195 20 0 DCADLN Cn1cccc1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075558595 694958808 /nfs/dbraw/zinc/95/88/08/694958808.db2.gz UIYARRHNSXIUQA-CMPLNLGQSA-N 0 2 316.365 0.195 20 0 DCADLN C[C@@H](CCNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001075568744 694959719 /nfs/dbraw/zinc/95/97/19/694959719.db2.gz NXOGXFBYDNJPCE-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1cnn(C)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001075568744 694959720 /nfs/dbraw/zinc/95/97/20/694959720.db2.gz NXOGXFBYDNJPCE-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN O=C(CCC1CC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075629632 694964607 /nfs/dbraw/zinc/96/46/07/694964607.db2.gz YHNQFXCXCGLGCZ-NWDGAFQWSA-N 0 2 305.382 0.733 20 0 DCADLN O=C(CCC1CC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075629632 694964608 /nfs/dbraw/zinc/96/46/08/694964608.db2.gz YHNQFXCXCGLGCZ-NWDGAFQWSA-N 0 2 305.382 0.733 20 0 DCADLN CC1(C)C[C@H]1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075700433 694969224 /nfs/dbraw/zinc/96/92/24/694969224.db2.gz PWUZBYDMHMCNML-GARJFASQSA-N 0 2 305.382 0.589 20 0 DCADLN CC1(C)C[C@H]1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075700433 694969225 /nfs/dbraw/zinc/96/92/25/694969225.db2.gz PWUZBYDMHMCNML-GARJFASQSA-N 0 2 305.382 0.589 20 0 DCADLN CC(C)[C@H](F)C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001076146048 695001293 /nfs/dbraw/zinc/00/12/93/695001293.db2.gz FRRLEFWUAOXJQF-UMNHJUIQSA-N 0 2 311.361 0.537 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@@H+]2CCOCC(F)F)c1[O-] ZINC001754476797 1157735780 /nfs/dbraw/zinc/73/57/80/1157735780.db2.gz BQPIJWRLVYLEOI-SECBINFHSA-N 0 2 318.324 0.510 20 0 DCADLN CCCOCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001747951995 1158064915 /nfs/dbraw/zinc/06/49/15/1158064915.db2.gz PSBVIVQOVWVSMT-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCCOCC(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001747951995 1158064922 /nfs/dbraw/zinc/06/49/22/1158064922.db2.gz PSBVIVQOVWVSMT-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CN(CCCNC(=O)c1ccn[nH]1)C(=O)C(F)C(F)(F)F ZINC001687393755 1158145666 /nfs/dbraw/zinc/14/56/66/1158145666.db2.gz WVXPWTFHQDUIDJ-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)c1ccn[nH]1)C(=O)[C@@H](F)C(F)(F)F ZINC001687393755 1158145669 /nfs/dbraw/zinc/14/56/69/1158145669.db2.gz WVXPWTFHQDUIDJ-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN Cc1cn(C(C)(C)C(=O)NC[C@@H](C(=O)[O-])[C@@H]2CCOC2)c[nH+]1 ZINC001649257675 1158482581 /nfs/dbraw/zinc/48/25/81/1158482581.db2.gz QKMFADFWIQIVFO-VXGBXAGGSA-N 0 2 309.366 0.780 20 0 DCADLN O=C(Cc1[nH]nc2c1CCCC2)NCCc1n[nH]c(=S)o1 ZINC001448064898 1159713113 /nfs/dbraw/zinc/71/31/13/1159713113.db2.gz IAKGRSNBGIKRMA-UHFFFAOYSA-N 0 2 307.379 0.862 20 0 DCADLN Cc1noc(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)c1C ZINC001448108181 1159719425 /nfs/dbraw/zinc/71/94/25/1159719425.db2.gz CMGSUUNYNJSHDK-QMMMGPOBSA-N 0 2 319.365 0.800 20 0 DCADLN O=C(NCCc1nc(=O)o[n-]1)c1cc(-n2cc[nH+]c2)ccc1O ZINC001568305542 1160625201 /nfs/dbraw/zinc/62/52/01/1160625201.db2.gz LWMLJJBMOWRFLZ-UHFFFAOYSA-N 0 2 315.289 0.227 20 0 DCADLN C[N@H+](CCC(=O)[O-])Cc1nc2ncc(Br)cn2n1 ZINC001573869890 1163574348 /nfs/dbraw/zinc/57/43/48/1163574348.db2.gz FLTBCTBGZAPWFE-UHFFFAOYSA-N 0 2 314.143 0.793 20 0 DCADLN C[N@@H+](CCC(=O)[O-])Cc1nc2ncc(Br)cn2n1 ZINC001573869890 1163574353 /nfs/dbraw/zinc/57/43/53/1163574353.db2.gz FLTBCTBGZAPWFE-UHFFFAOYSA-N 0 2 314.143 0.793 20 0 DCADLN Cn1ncc(C[NH+]2CCC(C(=O)[O-])([S@](C)=O)CC2)c1Cl ZINC001574226618 1163669643 /nfs/dbraw/zinc/66/96/43/1163669643.db2.gz MMILFYMYAVEELV-FQEVSTJZSA-N 0 2 319.814 0.871 20 0 DCADLN O=C([O-])N1C[C@@H](CO)[C@@H](NC(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001574341915 1163700732 /nfs/dbraw/zinc/70/07/32/1163700732.db2.gz RKNSRQVUJCEHEL-ONGXEEELSA-N 0 2 319.321 0.427 20 0 DCADLN O=C([O-])CC[N@H+](CC(=O)Nc1ccncc1)Cc1cccnc1 ZINC001574361443 1163705030 /nfs/dbraw/zinc/70/50/30/1163705030.db2.gz IPJMNHUCKVUGTD-UHFFFAOYSA-N 0 2 314.345 0.814 20 0 DCADLN O=C([O-])CC[N@@H+](CC(=O)Nc1ccncc1)Cc1cccnc1 ZINC001574361443 1163705036 /nfs/dbraw/zinc/70/50/36/1163705036.db2.gz IPJMNHUCKVUGTD-UHFFFAOYSA-N 0 2 314.345 0.814 20 0 DCADLN O=C([O-])c1n[nH]nc1[C@@H]1CCCN1C(=O)CCn1cc[nH+]c1 ZINC001574423793 1163720049 /nfs/dbraw/zinc/72/00/49/1163720049.db2.gz WKPWXJNKCXMZTP-VIFPVBQESA-N 0 2 304.310 0.453 20 0 DCADLN COc1ncccc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001575310558 1163969066 /nfs/dbraw/zinc/96/90/66/1163969066.db2.gz DCHKHGUQXKBSFA-QMMMGPOBSA-N 0 2 323.246 0.837 20 0 DCADLN COc1ncccc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001575310558 1163969077 /nfs/dbraw/zinc/96/90/77/1163969077.db2.gz DCHKHGUQXKBSFA-QMMMGPOBSA-N 0 2 323.246 0.837 20 0 DCADLN C[C@H](CC(N)=O)C(=O)NC1(C[NH2+]Cc2cn[nH]n2)CCCCC1 ZINC001575518285 1164045720 /nfs/dbraw/zinc/04/57/20/1164045720.db2.gz AKKHMQZRIJOVET-LLVKDONJSA-N 0 2 322.413 0.225 20 0 DCADLN C[C@@]1([N-]C(=O)C(F)(F)F)CC[N@H+](Cc2ccc(N)nn2)C1 ZINC001576535247 1164383233 /nfs/dbraw/zinc/38/32/33/1164383233.db2.gz PVPLGOHQJIEGRM-LLVKDONJSA-N 0 2 303.288 0.702 20 0 DCADLN C[C@@]1([N-]C(=O)C(F)(F)F)CC[N@@H+](Cc2ccc(N)nn2)C1 ZINC001576535247 1164383238 /nfs/dbraw/zinc/38/32/38/1164383238.db2.gz PVPLGOHQJIEGRM-LLVKDONJSA-N 0 2 303.288 0.702 20 0 DCADLN Cc1c(C(=O)NCC2([NH2+]Cc3cn[nH]n3)CCCC2)cnn1C ZINC001577232843 1164645948 /nfs/dbraw/zinc/64/59/48/1164645948.db2.gz CKYANXNMEBTXAO-UHFFFAOYSA-N 0 2 317.397 0.679 20 0 DCADLN O=C(CCc1nn[nH]n1)N[C@H](c1cn[nH]n1)c1ccc(F)cc1 ZINC001577906596 1164831692 /nfs/dbraw/zinc/83/16/92/1164831692.db2.gz FWLDLIKDTLJBQV-ZDUSSCGKSA-N 0 2 316.300 0.295 20 0 DCADLN CCOC(=O)N1CC[C@@H](NC(=O)c2cc3n(n2)C[C@H](C)NC3)C1 ZINC001577967046 1164864742 /nfs/dbraw/zinc/86/47/42/1164864742.db2.gz GGCPJYVZJPFUAT-WDEREUQCSA-N 0 2 321.381 0.335 20 0 DCADLN O=C(NCCC[C@H]1CCC[N@@H+]1Cc1cnn[nH]1)c1cnns1 ZINC001578005309 1164888319 /nfs/dbraw/zinc/88/83/19/1164888319.db2.gz JDZBQPDRXZGZPR-NSHDSACASA-N 0 2 321.410 0.831 20 0 DCADLN O=C(NCCC[C@H]1CCC[N@H+]1Cc1cnn[nH]1)c1cnns1 ZINC001578005309 1164888327 /nfs/dbraw/zinc/88/83/27/1164888327.db2.gz JDZBQPDRXZGZPR-NSHDSACASA-N 0 2 321.410 0.831 20 0 DCADLN O=C(NCCC[C@@H]1CCC[N@@H+]1Cc1cnn[nH]1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001578005571 1164889268 /nfs/dbraw/zinc/88/92/68/1164889268.db2.gz MXOZLEQTPBTNAV-KBXIAJHMSA-N 0 2 319.409 0.558 20 0 DCADLN O=C(NCCC[C@@H]1CCC[N@H+]1Cc1cnn[nH]1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001578005571 1164889277 /nfs/dbraw/zinc/88/92/77/1164889277.db2.gz MXOZLEQTPBTNAV-KBXIAJHMSA-N 0 2 319.409 0.558 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(Cc2ccns2)c1 ZINC001579477321 1165324620 /nfs/dbraw/zinc/32/46/20/1165324620.db2.gz LCQPNTMPZRMJLQ-UHFFFAOYSA-N 0 2 318.318 0.320 20 0 DCADLN COC(=O)c1cc(Cn2cncc(-c3nn[nH]n3)c2=O)cs1 ZINC001579479745 1165326796 /nfs/dbraw/zinc/32/67/96/1165326796.db2.gz QIXSLQMXPFSESP-UHFFFAOYSA-N 0 2 318.318 0.320 20 0 DCADLN C[C@@H]1C[C@H](C)CCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001580315059 1165569109 /nfs/dbraw/zinc/56/91/09/1165569109.db2.gz CXYTVYKYGNDLRQ-RKDXNWHRSA-N 0 2 319.369 0.126 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC2(C1)CCOC2 ZINC001580597193 1165649834 /nfs/dbraw/zinc/64/98/34/1165649834.db2.gz DSLCIISVAJGFLD-UHFFFAOYSA-N 0 2 316.321 0.138 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CCSC1 ZINC001580601658 1165651776 /nfs/dbraw/zinc/65/17/76/1165651776.db2.gz COPVDBPMRRFDPD-ZETCQYMHSA-N 0 2 306.351 0.511 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H](C)C[C@@H](C)O ZINC001580604326 1165652889 /nfs/dbraw/zinc/65/28/89/1165652889.db2.gz QIADICLENQHEOF-VXNVDRBHSA-N 0 2 320.353 0.413 20 0 DCADLN O=C(NC[C@H]1CSCC[N@H+]1Cc1ccccc1)C1(O)C[NH2+]C1 ZINC001580670112 1165680878 /nfs/dbraw/zinc/68/08/78/1165680878.db2.gz DDHWDHOGQWKBGN-AWEZNQCLSA-N 0 2 321.446 0.055 20 0 DCADLN Cc1cnc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)s1 ZINC001581321619 1165813637 /nfs/dbraw/zinc/81/36/37/1165813637.db2.gz MFKURRASYIYDIN-UHFFFAOYSA-N 0 2 320.338 0.043 20 0 DCADLN Cc1nn(Cc2cnc(Cl)cn2)c(=O)c(-c2nn[nH]n2)c1C ZINC001581603947 1165848540 /nfs/dbraw/zinc/84/85/40/1165848540.db2.gz PJNUOWAPZYPCMX-UHFFFAOYSA-N 0 2 318.728 0.532 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@@H]2C[C@H]2C2CCC2)c(-c2nn[nH]n2)c1=O ZINC001581747231 1165865828 /nfs/dbraw/zinc/86/58/28/1165865828.db2.gz DPHBIUJZLCLTRL-IUCAKERBSA-N 0 2 317.353 0.514 20 0 DCADLN O=C(Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2)NCC1CC1 ZINC001582185881 1165978366 /nfs/dbraw/zinc/97/83/66/1165978366.db2.gz XEWUYCFWLZXWAW-UHFFFAOYSA-N 0 2 314.349 0.043 20 0 DCADLN CC(C)(C)C(=O)NC[C@@](C)(NC(=O)C(=O)NCC1CC1)C1CC1 ZINC001582656711 1166062948 /nfs/dbraw/zinc/06/29/48/1166062948.db2.gz SOXJAOVBZUDWDG-QGZVFWFLSA-N 0 2 323.437 0.960 20 0 DCADLN CNC(=O)CC(=O)NC[C@](C)(NC(=O)[C@H](C)C(C)C)C1CC1 ZINC001582663461 1166063499 /nfs/dbraw/zinc/06/34/99/1166063499.db2.gz MDNRGPGDAJVQAN-BZNIZROVSA-N 0 2 311.426 0.816 20 0 DCADLN C[C@@H]1CCCC[C@]1(CNC(=O)CC(N)=O)NC(=O)C(C)(C)F ZINC001582666338 1166063525 /nfs/dbraw/zinc/06/35/25/1166063525.db2.gz FHWWXHYYPZPBJA-MEBBXXQBSA-N 0 2 315.389 0.791 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc(Cl)cc1F ZINC001582806030 1166086942 /nfs/dbraw/zinc/08/69/42/1166086942.db2.gz CWAGQJRHDLXBBP-UHFFFAOYSA-N 0 2 322.687 0.970 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001582998295 1166166727 /nfs/dbraw/zinc/16/67/27/1166166727.db2.gz UNIBFNWWWLHETL-MCJDEOHKSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001582998295 1166166733 /nfs/dbraw/zinc/16/67/33/1166166733.db2.gz UNIBFNWWWLHETL-MCJDEOHKSA-N 0 2 314.279 0.836 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1ncccn1 ZINC001583391899 1166250220 /nfs/dbraw/zinc/25/02/20/1166250220.db2.gz UCRWMYPKVWFTQD-SLYZXXNYSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1ncccn1 ZINC001583391899 1166250227 /nfs/dbraw/zinc/25/02/27/1166250227.db2.gz UCRWMYPKVWFTQD-SLYZXXNYSA-N 0 2 320.246 0.779 20 0 DCADLN CC(=O)C1CCN(Cn2cccc(-c3nn[nH]n3)c2=O)CC1 ZINC001588785780 1166589891 /nfs/dbraw/zinc/58/98/91/1166589891.db2.gz MAXSFKUBMPSFNG-UHFFFAOYSA-N 0 2 302.338 0.287 20 0 DCADLN CC(C)[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCO1 ZINC001589516973 1166665077 /nfs/dbraw/zinc/66/50/77/1166665077.db2.gz JLUGPDRSYGFQLV-GFCCVEGCSA-N 0 2 304.354 0.343 20 0 DCADLN CC[C@@H](C)[C@@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590398996 1166897117 /nfs/dbraw/zinc/89/71/17/1166897117.db2.gz GYQGAVOGVSTCEF-HTQZYQBOSA-N 0 2 307.358 0.111 20 0 DCADLN CCC(CC)N(CC)c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590434797 1166905663 /nfs/dbraw/zinc/90/56/63/1166905663.db2.gz GLELSEONNXMDDK-UHFFFAOYSA-N 0 2 321.385 0.279 20 0 DCADLN CC[C@@H](O)CCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001590476388 1166918983 /nfs/dbraw/zinc/91/89/83/1166918983.db2.gz VRDZJNATDJSOQQ-MRVPVSSYSA-N 0 2 306.326 0.167 20 0 DCADLN CCOC(=O)CCCCCn1cnc(-c2nn[nH]n2)cc1=O ZINC001590761423 1167012004 /nfs/dbraw/zinc/01/20/04/1167012004.db2.gz AIOAWCOCAOUHHD-UHFFFAOYSA-N 0 2 306.326 0.547 20 0 DCADLN CC[C@@H]1C[C@H]1Cn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC001590859885 1167041239 /nfs/dbraw/zinc/04/12/39/1167041239.db2.gz BTFOBHYZNNGEGR-BDAKNGLRSA-N 0 2 303.322 0.861 20 0 DCADLN COC(=O)C(C)(C)C(=O)Cn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001591225824 1167166346 /nfs/dbraw/zinc/16/63/46/1167166346.db2.gz AWOGSTUTTRPFEJ-UHFFFAOYSA-N 0 2 319.321 0.105 20 0 DCADLN COC(=O)c1nc[nH]c1NC(=O)c1cccc(-c2nn[nH]n2)n1 ZINC001591307687 1167206069 /nfs/dbraw/zinc/20/60/69/1167206069.db2.gz CUYGVZUSAJZESC-UHFFFAOYSA-N 0 2 314.265 0.024 20 0 DCADLN COc1c(C)cnc(Cn2cnc(-c3nn[nH]n3)cc2=O)c1C ZINC001591476434 1167305869 /nfs/dbraw/zinc/30/58/69/1167305869.db2.gz BDFUFBAESBFCHD-UHFFFAOYSA-N 0 2 313.321 0.492 20 0 DCADLN COc1cc(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)sn1 ZINC001591540129 1167327038 /nfs/dbraw/zinc/32/70/38/1167327038.db2.gz NNHASSVJYHCGLI-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC001591835960 1167389931 /nfs/dbraw/zinc/38/99/31/1167389931.db2.gz PNNSKZDNQVQQBU-AKZRSSKFSA-N 0 2 300.322 0.804 20 0 DCADLN Cc1nn(Cc2ccc3nonc3c2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592438339 1167521673 /nfs/dbraw/zinc/52/16/73/1167521673.db2.gz YJIVDNHNZHDWHB-UHFFFAOYSA-N 0 2 324.304 0.625 20 0 DCADLN Cc1nc([C@H](C)NC(=O)Cc2noc(C)c2-c2nn[nH]n2)n[nH]1 ZINC001592441930 1167525423 /nfs/dbraw/zinc/52/54/23/1167525423.db2.gz VHMDGYIJHAQBPH-YFKPBYRVSA-N 0 2 317.313 0.010 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)C[C@H](O)C(F)(F)F ZINC001592495783 1167539446 /nfs/dbraw/zinc/53/94/46/1167539446.db2.gz QXZQKQYUNFWRPA-QMMMGPOBSA-N 0 2 319.243 0.763 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H](C)S(=O)[C@H](C)C1 ZINC001592497225 1167539581 /nfs/dbraw/zinc/53/95/81/1167539581.db2.gz VPKXQNYUFAHDSP-HTQZYQBOSA-N 0 2 323.378 0.750 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2CC[C@@H]3C[C@@H]3C2)c(-c2nn[nH]n2)c1=O ZINC001592546240 1167550716 /nfs/dbraw/zinc/55/07/16/1167550716.db2.gz LJLAALXHNVWPGK-BWZBUEFSSA-N 0 2 303.326 0.267 20 0 DCADLN O=C(Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2)N1CCCC1 ZINC001592937641 1167667339 /nfs/dbraw/zinc/66/73/39/1167667339.db2.gz IYMSDJGSBSZXKD-UHFFFAOYSA-N 0 2 314.349 0.140 20 0 DCADLN O=C(NCCCc1nc[nH]n1)c1ccc(-c2nn[nH]n2)s1 ZINC001593080384 1167700135 /nfs/dbraw/zinc/70/01/35/1167700135.db2.gz ZBMJTPZXAMXGQK-UHFFFAOYSA-N 0 2 304.339 0.409 20 0 DCADLN O=C1NC[C@H](Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3)O1 ZINC001593406138 1167813913 /nfs/dbraw/zinc/81/39/13/1167813913.db2.gz PTLRTHLGQPQOFF-SECBINFHSA-N 0 2 316.321 0.016 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@@H]1CCC2(CCC2)CO1 ZINC001593494196 1167875833 /nfs/dbraw/zinc/87/58/33/1167875833.db2.gz JPSQAWZEOMWFFJ-JTQLQIEISA-N 0 2 302.338 0.773 20 0 DCADLN CC(C)(C)C=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001597722081 1168011380 /nfs/dbraw/zinc/01/13/80/1168011380.db2.gz IJXDYPYBDDTILX-WAYWQWQTSA-N 0 2 300.384 0.568 20 0 DCADLN CCC(C)(C)C(=O)C(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001598161350 1168018545 /nfs/dbraw/zinc/01/85/45/1168018545.db2.gz WILPUNMBJFWFKA-UHFFFAOYSA-N 0 2 314.363 0.983 20 0 DCADLN COC(=O)[C@@H](O)C1CC[NH+]([C@H](C)c2nc(C(=O)[O-])co2)CC1 ZINC001598714627 1168028574 /nfs/dbraw/zinc/02/85/74/1168028574.db2.gz ZICZZUDFUGINHF-KCJUWKMLSA-N 0 2 312.322 0.680 20 0 DCADLN Cc1cc(NC(=O)[C@H](C)[NH+]2CCC([C@@H](O)C(=O)[O-])CC2)on1 ZINC001600249426 1168149682 /nfs/dbraw/zinc/14/96/82/1168149682.db2.gz GJAOFNIGBAMNAJ-JOYOIKCWSA-N 0 2 311.338 0.468 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CCC(=O)N(C)CC1 ZINC001600355058 1168172090 /nfs/dbraw/zinc/17/20/90/1168172090.db2.gz KJJFPTDLUXRQAE-UHFFFAOYSA-N 0 2 319.361 0.796 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CCC(=O)N(C)CC1 ZINC001600355058 1168172095 /nfs/dbraw/zinc/17/20/95/1168172095.db2.gz KJJFPTDLUXRQAE-UHFFFAOYSA-N 0 2 319.361 0.796 20 0 DCADLN Cc1ccn([C@@H](C)CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001600594751 1168204929 /nfs/dbraw/zinc/20/49/29/1168204929.db2.gz MTYYOKQOPHGIEW-JQWIXIFHSA-N 0 2 305.338 0.678 20 0 DCADLN Cc1ccn([C@@H](C)CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001600594751 1168204938 /nfs/dbraw/zinc/20/49/38/1168204938.db2.gz MTYYOKQOPHGIEW-JQWIXIFHSA-N 0 2 305.338 0.678 20 0 DCADLN Cc1ccn2cc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])nc2c1 ZINC001600596401 1168207198 /nfs/dbraw/zinc/20/71/98/1168207198.db2.gz COOXICRCQZWVET-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1ccn2cc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])nc2c1 ZINC001600596401 1168207204 /nfs/dbraw/zinc/20/72/04/1168207204.db2.gz COOXICRCQZWVET-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1cncc(-c2nc(C[N@@H+]3C[C@H](O)C[C@@H](C(=O)[O-])C3)no2)c1 ZINC001600626707 1168219649 /nfs/dbraw/zinc/21/96/49/1168219649.db2.gz BTUYVFSVJBYFFB-VXGBXAGGSA-N 0 2 318.333 0.707 20 0 DCADLN Cc1cncc(-c2nc(C[N@H+]3C[C@H](O)C[C@@H](C(=O)[O-])C3)no2)c1 ZINC001600626707 1168219657 /nfs/dbraw/zinc/21/96/57/1168219657.db2.gz BTUYVFSVJBYFFB-VXGBXAGGSA-N 0 2 318.333 0.707 20 0 DCADLN Cc1csc(C(=O)[O-])c1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC001600647042 1168228199 /nfs/dbraw/zinc/22/81/99/1168228199.db2.gz DIWGVXYYDUFNGR-UHFFFAOYSA-N 0 2 322.346 0.706 20 0 DCADLN Cc1nc(N(C)CCCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])cc[nH+]1 ZINC001600750997 1168277029 /nfs/dbraw/zinc/27/70/29/1168277029.db2.gz QGQKCNWSWLJPAL-RYUDHWBXSA-N 0 2 306.366 0.790 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@H+](Cn3cnc(C(=O)[O-])n3)C2)n1 ZINC001600814045 1168340151 /nfs/dbraw/zinc/34/01/51/1168340151.db2.gz WDTBDMCGDYWOCB-JTQLQIEISA-N 0 2 306.326 0.580 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@@H+](Cn3cnc(C(=O)[O-])n3)C2)n1 ZINC001600814045 1168340173 /nfs/dbraw/zinc/34/01/73/1168340173.db2.gz WDTBDMCGDYWOCB-JTQLQIEISA-N 0 2 306.326 0.580 20 0 DCADLN Cc1oncc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001600864025 1168492910 /nfs/dbraw/zinc/49/29/10/1168492910.db2.gz XAIDZSAMLRKBTI-CRWXNKLISA-N 0 2 319.361 0.879 20 0 DCADLN Cc1oncc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001600864025 1168492913 /nfs/dbraw/zinc/49/29/13/1168492913.db2.gz XAIDZSAMLRKBTI-CRWXNKLISA-N 0 2 319.361 0.879 20 0 DCADLN Cn1c(=O)oc2ccc(C[NH2+]Cc3cn(CC(=O)[O-])nn3)cc21 ZINC001600899515 1168500122 /nfs/dbraw/zinc/50/01/22/1168500122.db2.gz XOQKJUSTICAZDD-UHFFFAOYSA-N 0 2 317.305 0.097 20 0 DCADLN Cn1cc(N2CC[NH+](Cc3cnc(C(=O)[O-])cn3)CC2)cn1 ZINC001600958407 1168532464 /nfs/dbraw/zinc/53/24/64/1168532464.db2.gz DWDYIHLEZPIACL-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN Cn1ccc(C[N@H+]2CC=C(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC001600976557 1168541539 /nfs/dbraw/zinc/54/15/39/1168541539.db2.gz RSKMYOYUKCJVQR-UONOGXRCSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1ccc(C[N@@H+]2CC=C(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC001600976557 1168541545 /nfs/dbraw/zinc/54/15/45/1168541545.db2.gz RSKMYOYUKCJVQR-UONOGXRCSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1[nH]c(C[N@@H+]2C[C@@H](C3CCCCC3)C[C@H]2C(=O)[O-])nc1=O ZINC001601009685 1168561525 /nfs/dbraw/zinc/56/15/25/1168561525.db2.gz BTWVSLFJGGRWAD-RYUDHWBXSA-N 0 2 308.382 0.964 20 0 DCADLN Cn1[nH]c(C[N@H+]2C[C@@H](C3CCCCC3)C[C@H]2C(=O)[O-])nc1=O ZINC001601009685 1168561531 /nfs/dbraw/zinc/56/15/31/1168561531.db2.gz BTWVSLFJGGRWAD-RYUDHWBXSA-N 0 2 308.382 0.964 20 0 DCADLN Cn1nnnc1C1CCN(c2cc(CC(=O)[O-])cc[nH+]2)CC1 ZINC001601044343 1168577038 /nfs/dbraw/zinc/57/70/38/1168577038.db2.gz RDYNITVPOOAGIQ-UHFFFAOYSA-N 0 2 302.338 0.616 20 0 DCADLN O=C(C=CCOC1CCOCC1)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601230427 1168670773 /nfs/dbraw/zinc/67/07/73/1168670773.db2.gz BXWBZWGXVMZVHF-AORQRIRUSA-N 0 2 323.349 0.273 20 0 DCADLN O=C(/C=C/COC1CCOCC1)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601230427 1168670777 /nfs/dbraw/zinc/67/07/77/1168670777.db2.gz BXWBZWGXVMZVHF-AORQRIRUSA-N 0 2 323.349 0.273 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)CCCc1ccccn1 ZINC001601271041 1168684885 /nfs/dbraw/zinc/68/48/85/1168684885.db2.gz KPMFRHLJUDDHAK-CYBMUJFWSA-N 0 2 302.334 0.870 20 0 DCADLN O=C(CCOC1CCOCC1)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001601280471 1168689701 /nfs/dbraw/zinc/68/97/01/1168689701.db2.gz ONPTWDPNHZLIBM-LBPRGKRZSA-N 0 2 311.338 0.038 20 0 DCADLN O=C([O-])c1sccc1NC(=O)C[N@H+]1CCC[C@@](O)(CO)C1 ZINC001601310599 1168701983 /nfs/dbraw/zinc/70/19/83/1168701983.db2.gz PFUPTFFPDILUTO-ZDUSSCGKSA-N 0 2 314.363 0.204 20 0 DCADLN O=C([O-])c1sccc1NC(=O)C[N@@H+]1CCC[C@@](O)(CO)C1 ZINC001601310599 1168701988 /nfs/dbraw/zinc/70/19/88/1168701988.db2.gz PFUPTFFPDILUTO-ZDUSSCGKSA-N 0 2 314.363 0.204 20 0 DCADLN O=C([O-])c1csc(C[NH+]2CCN(CC(=O)NC3CC3)CC2)c1 ZINC001601315924 1168704384 /nfs/dbraw/zinc/70/43/84/1168704384.db2.gz IRZHORKWRMNVOL-UHFFFAOYSA-N 0 2 323.418 0.843 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001601565391 1168783404 /nfs/dbraw/zinc/78/34/04/1168783404.db2.gz WVJMTVFHBILZQD-JTQLQIEISA-N 0 2 316.273 0.682 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001601565391 1168783410 /nfs/dbraw/zinc/78/34/10/1168783410.db2.gz WVJMTVFHBILZQD-JTQLQIEISA-N 0 2 316.273 0.682 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ncoc1[C@H]1CCCO1 ZINC001601568059 1168784372 /nfs/dbraw/zinc/78/43/72/1168784372.db2.gz RJZMPRQVVRTXSD-NXEZZACHSA-N 0 2 320.305 0.675 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ncoc1[C@H]1CCCO1 ZINC001601568059 1168784377 /nfs/dbraw/zinc/78/43/77/1168784377.db2.gz RJZMPRQVVRTXSD-NXEZZACHSA-N 0 2 320.305 0.675 20 0 DCADLN O=C([O-])CC1CC[NH+]([C@H]2CC(=O)N(CC(F)(F)F)C2=O)CC1 ZINC001601956989 1168946307 /nfs/dbraw/zinc/94/63/07/1168946307.db2.gz HMZMTWDTZCVABK-VIFPVBQESA-N 0 2 322.283 0.863 20 0 DCADLN O=C([O-])Cc1occc1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001602154887 1169005167 /nfs/dbraw/zinc/00/51/67/1169005167.db2.gz ZFFZHMKDAWAKPO-NSHDSACASA-N 0 2 308.334 0.453 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2C[C@H](O)C[C@@H]2c2ccccc2)nn1 ZINC001602161209 1169008244 /nfs/dbraw/zinc/00/82/44/1169008244.db2.gz UCKRUXVLIOMETB-ZIAGYGMSSA-N 0 2 302.334 0.671 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2C[C@H](O)C[C@@H]2c2ccccc2)nn1 ZINC001602161209 1169008249 /nfs/dbraw/zinc/00/82/49/1169008249.db2.gz UCKRUXVLIOMETB-ZIAGYGMSSA-N 0 2 302.334 0.671 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@]12CCO[C@@H]1CCCC2 ZINC001602168913 1169013302 /nfs/dbraw/zinc/01/33/02/1169013302.db2.gz FDNVLFAOCRZJDH-JMSVASOKSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@]12CCO[C@@H]1CCCC2 ZINC001602168913 1169013312 /nfs/dbraw/zinc/01/33/12/1169013312.db2.gz FDNVLFAOCRZJDH-JMSVASOKSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1c1ccc(O)cc1 ZINC001602182114 1169020279 /nfs/dbraw/zinc/02/02/79/1169020279.db2.gz MRGCTMVPODDVDP-UONOGXRCSA-N 0 2 315.329 0.916 20 0 DCADLN O=C([O-])c1cc(C[N@@H+]2CCC[C@@H]3OCCNC(=O)[C@H]32)cs1 ZINC001602255547 1169045523 /nfs/dbraw/zinc/04/55/23/1169045523.db2.gz LLVFROCZYNOBNE-JQWIXIFHSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1cc(C[N@H+]2CCC[C@@H]3OCCNC(=O)[C@H]32)cs1 ZINC001602255547 1169045529 /nfs/dbraw/zinc/04/55/29/1169045529.db2.gz LLVFROCZYNOBNE-JQWIXIFHSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCC[NH+]2CCC2)cc(F)c1F ZINC001602295907 1169052407 /nfs/dbraw/zinc/05/24/07/1169052407.db2.gz CKZKBMVIKJDTIM-UHFFFAOYSA-N 0 2 320.317 0.647 20 0 DCADLN O=C([O-])c1ccc2c(c1)CN(S(=O)(=O)CCn1cc[nH+]c1)C2 ZINC001602421462 1169076144 /nfs/dbraw/zinc/07/61/44/1169076144.db2.gz NNTWYQWVLVQZQD-UHFFFAOYSA-N 0 2 321.358 0.927 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@H+](Cc3cnc(Cl)cn3)C2)nn1 ZINC001602499445 1169097113 /nfs/dbraw/zinc/09/71/13/1169097113.db2.gz OLMBTNYRVPYHBL-VIFPVBQESA-N 0 2 308.729 0.867 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@@H+](Cc3cnc(Cl)cn3)C2)nn1 ZINC001602499445 1169097121 /nfs/dbraw/zinc/09/71/21/1169097121.db2.gz OLMBTNYRVPYHBL-VIFPVBQESA-N 0 2 308.729 0.867 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCC[C@H](C3N=NC(=O)O3)C2)cn1 ZINC001602519980 1169104131 /nfs/dbraw/zinc/10/41/31/1169104131.db2.gz FLCSDDOTSOQTSH-QMMMGPOBSA-N 0 2 305.294 0.643 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)cn1 ZINC001602519980 1169104142 /nfs/dbraw/zinc/10/41/42/1169104142.db2.gz FLCSDDOTSOQTSH-QMMMGPOBSA-N 0 2 305.294 0.643 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)cn1 ZINC001602519980 1169104145 /nfs/dbraw/zinc/10/41/45/1169104145.db2.gz FLCSDDOTSOQTSH-QMMMGPOBSA-N 0 2 305.294 0.643 20 0 DCADLN O=C([O-])c1cncc(S(=O)(=O)NCCCCn2cc[nH+]c2)c1 ZINC001602542550 1169112405 /nfs/dbraw/zinc/11/24/05/1169112405.db2.gz CFANRMFDAZCNEI-UHFFFAOYSA-N 0 2 324.362 0.735 20 0 DCADLN CC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC001603020296 1169232249 /nfs/dbraw/zinc/23/22/49/1169232249.db2.gz GLOAXVKRXVMPFW-ZDUSSCGKSA-N 0 2 313.398 0.156 20 0 DCADLN C[C@@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])C(=O)OC(C)(C)C ZINC001603154960 1169260045 /nfs/dbraw/zinc/26/00/45/1169260045.db2.gz MZGKKTCPXHYNCO-VHSXEESVSA-N 0 2 311.338 0.430 20 0 DCADLN CC(C)=CCSc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001603186353 1169269654 /nfs/dbraw/zinc/26/96/54/1169269654.db2.gz NKXAHBGBBZCFHS-UHFFFAOYSA-N 0 2 308.367 0.322 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1C(=O)c1ccc(-c2nn[nH]n2)c([O-])c1 ZINC001603834421 1169408288 /nfs/dbraw/zinc/40/82/88/1169408288.db2.gz KEDZWDGMOALXDK-VHSXEESVSA-N 0 2 316.365 0.737 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1C(=O)c1ccc(-c2nn[nH]n2)c([O-])c1 ZINC001603834421 1169408290 /nfs/dbraw/zinc/40/82/90/1169408290.db2.gz KEDZWDGMOALXDK-VHSXEESVSA-N 0 2 316.365 0.737 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])[N@H+](C)C[C@H]1CN(C2CC2)C(=O)O1 ZINC001603918058 1169434894 /nfs/dbraw/zinc/43/48/94/1169434894.db2.gz WHKBDXNDZDCLCV-RYUDHWBXSA-N 0 2 300.355 0.780 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])[N@@H+](C)C[C@H]1CN(C2CC2)C(=O)O1 ZINC001603918058 1169434896 /nfs/dbraw/zinc/43/48/96/1169434896.db2.gz WHKBDXNDZDCLCV-RYUDHWBXSA-N 0 2 300.355 0.780 20 0 DCADLN C[C@@H]([NH2+]CC(=O)Nc1nc(CC(=O)[O-])cs1)c1ccn(C)n1 ZINC001604333621 1169555635 /nfs/dbraw/zinc/55/56/35/1169555635.db2.gz ZKKAPVRQTJLYHH-MRVPVSSYSA-N 0 2 323.378 0.793 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)CCO1 ZINC001604545132 1169614065 /nfs/dbraw/zinc/61/40/65/1169614065.db2.gz OSZKKNNYWRNEAC-GDNZZTSVSA-N 0 2 311.382 0.069 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)CCO1 ZINC001604545132 1169614072 /nfs/dbraw/zinc/61/40/72/1169614072.db2.gz OSZKKNNYWRNEAC-GDNZZTSVSA-N 0 2 311.382 0.069 20 0 DCADLN C[C@H]([NH2+]CC(=O)N1CCO[C@@H](C)C1)c1ncc(C(=O)[O-])s1 ZINC001604768536 1169675119 /nfs/dbraw/zinc/67/51/19/1169675119.db2.gz DPSFYXFTUNAVNI-IUCAKERBSA-N 0 2 313.379 0.739 20 0 DCADLN CC[N@@H+]1CC[C@@H]1C(=O)Nc1ccc(C(=O)NCCC(=O)[O-])cc1 ZINC001605474533 1169933673 /nfs/dbraw/zinc/93/36/73/1169933673.db2.gz ITDLTWOIAKCCIW-CYBMUJFWSA-N 0 2 319.361 0.924 20 0 DCADLN CC[N@H+]1CC[C@@H]1C(=O)Nc1ccc(C(=O)NCCC(=O)[O-])cc1 ZINC001605474533 1169933678 /nfs/dbraw/zinc/93/36/78/1169933678.db2.gz ITDLTWOIAKCCIW-CYBMUJFWSA-N 0 2 319.361 0.924 20 0 DCADLN CCS(=O)(=O)CC[N@@H+]1Cc2cc(O)ccc2C[C@H]1C(=O)[O-] ZINC001605726494 1169993863 /nfs/dbraw/zinc/99/38/63/1169993863.db2.gz FPPVCZYLAVVNHD-ZDUSSCGKSA-N 0 2 313.375 0.638 20 0 DCADLN CCS(=O)(=O)CC[N@H+]1Cc2cc(O)ccc2C[C@H]1C(=O)[O-] ZINC001605726494 1169993870 /nfs/dbraw/zinc/99/38/70/1169993870.db2.gz FPPVCZYLAVVNHD-ZDUSSCGKSA-N 0 2 313.375 0.638 20 0 DCADLN Cc1ccn(CC(=O)c2cscn2)c(=O)c1-c1nn[nH]n1 ZINC001605950525 1170033176 /nfs/dbraw/zinc/03/31/76/1170033176.db2.gz WUJSWZBLWRDSPG-UHFFFAOYSA-N 0 2 302.319 0.676 20 0 DCADLN Cc1nc(CNC(=O)c2ccc(F)c(-c3nn[nH]n3)c2)n[nH]1 ZINC001606011051 1170047704 /nfs/dbraw/zinc/04/77/04/1170047704.db2.gz SKNFILHKTBRFPT-UHFFFAOYSA-N 0 2 302.273 0.362 20 0 DCADLN CN(C)c1cccc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])n1 ZINC001606060028 1170067020 /nfs/dbraw/zinc/06/70/20/1170067020.db2.gz UDXJPGLVUOJMEX-GFCCVEGCSA-N 0 2 306.366 0.313 20 0 DCADLN CN(C)c1cccc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])n1 ZINC001606060028 1170067027 /nfs/dbraw/zinc/06/70/27/1170067027.db2.gz UDXJPGLVUOJMEX-GFCCVEGCSA-N 0 2 306.366 0.313 20 0 DCADLN CN(CCNc1ncc(C(=O)[O-])cn1)C(=O)Cc1[nH]cc[nH+]1 ZINC001606133420 1170090367 /nfs/dbraw/zinc/09/03/67/1170090367.db2.gz WCTUPTIXUDCUJT-UHFFFAOYSA-N 0 2 304.310 0.011 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2C[C@@H]3CCC[C@@H]23)c(-c2nn[nH]n2)c1=O ZINC001606206343 1170112422 /nfs/dbraw/zinc/11/24/22/1170112422.db2.gz VOJJPOYPEPBLTN-XLPZGREQSA-N 0 2 303.326 0.267 20 0 DCADLN Cn1c(=O)[nH]c(N[C@H]2CCC(C)(C)C2)c(-c2nn[nH]n2)c1=O ZINC001606206128 1170113162 /nfs/dbraw/zinc/11/31/62/1170113162.db2.gz RTNBROLIZXOAPZ-ZETCQYMHSA-N 0 2 305.342 0.657 20 0 DCADLN CNC(=O)[C@@H]1CCC[C@H]1[NH2+]Cc1noc(CCCC(=O)[O-])n1 ZINC001606262003 1170139848 /nfs/dbraw/zinc/13/98/48/1170139848.db2.gz JHUXDCSXKDSAEZ-NXEZZACHSA-N 0 2 310.354 0.481 20 0 DCADLN COC(=O)[C@]1(C)C[C@@H](OC)C[N@@H+]1C[C@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606347638 1170166956 /nfs/dbraw/zinc/16/69/56/1170166956.db2.gz JIPMUABUHNKQNR-HFAKWTLXSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@]1(C)C[C@@H](OC)C[N@H+]1C[C@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606347638 1170166959 /nfs/dbraw/zinc/16/69/59/1170166959.db2.gz JIPMUABUHNKQNR-HFAKWTLXSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])N(C(=O)CCCn2cc[nH+]c2)C1 ZINC001606386127 1170178010 /nfs/dbraw/zinc/17/80/10/1170178010.db2.gz AAKLCWXLNOOVBZ-NEPJUHHUSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)C1CCC(NC(=O)Cc2c[nH]c[nH+]2)(C(=O)[O-])CC1 ZINC001606396210 1170183407 /nfs/dbraw/zinc/18/34/07/1170183407.db2.gz IUFAZHOUTNBNRW-UHFFFAOYSA-N 0 2 309.322 0.255 20 0 DCADLN COC(=O)[C@H]1CCC[C@@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001606400689 1170184757 /nfs/dbraw/zinc/18/47/57/1170184757.db2.gz NGIOCQNCFHUBHR-JFGNBEQYSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H]1CCC[C@@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001606400689 1170184758 /nfs/dbraw/zinc/18/47/58/1170184758.db2.gz NGIOCQNCFHUBHR-JFGNBEQYSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)[C@H](C(=O)[O-])C1 ZINC001606404391 1170186845 /nfs/dbraw/zinc/18/68/45/1170186845.db2.gz TZWLGZBLSZQLND-UTUOFQBUSA-N 0 2 323.349 0.699 20 0 DCADLN O=C(NCc1n[nH]c(C2CC2)n1)c1ccsc1-c1nn[nH]n1 ZINC001606847064 1170313401 /nfs/dbraw/zinc/31/34/01/1170313401.db2.gz GYVUFNJDMSPKGB-UHFFFAOYSA-N 0 2 316.350 0.854 20 0 DCADLN COCC[N@H+](C)Cc1cn(C[C@H]2CC(C(=O)[O-])=C(C)O2)nn1 ZINC001606880851 1170320090 /nfs/dbraw/zinc/32/00/90/1170320090.db2.gz LTZSAEBQJYBCFW-GFCCVEGCSA-N 0 2 310.354 0.504 20 0 DCADLN COCC[N@@H+](C)Cc1cn(C[C@H]2CC(C(=O)[O-])=C(C)O2)nn1 ZINC001606880851 1170320092 /nfs/dbraw/zinc/32/00/92/1170320092.db2.gz LTZSAEBQJYBCFW-GFCCVEGCSA-N 0 2 310.354 0.504 20 0 DCADLN O=c1[nH]nc(C2CCN(c3ncccc3-c3nn[nH]n3)CC2)[nH]1 ZINC001607090789 1170388248 /nfs/dbraw/zinc/38/82/48/1170388248.db2.gz VLXUDMMXSZPYPB-UHFFFAOYSA-N 0 2 313.325 0.469 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1nc(-c2ccco2)no1 ZINC001607091998 1170388452 /nfs/dbraw/zinc/38/84/52/1170388452.db2.gz XQKLXZWSHUTZBV-UHFFFAOYSA-N 0 2 312.249 0.115 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1C[C@H]1CCC[C@]2(CCOC2)O1 ZINC001607091876 1170388474 /nfs/dbraw/zinc/38/84/74/1170388474.db2.gz LWYABNFPBSLVLC-IAQYHMDHSA-N 0 2 317.349 0.757 20 0 DCADLN c1cc(-c2nc(CNc3cncc(-c4nn[nH]n4)n3)n[nH]2)ccn1 ZINC001607113978 1170401621 /nfs/dbraw/zinc/40/16/21/1170401621.db2.gz SJMPGPDOWOJYRZ-UHFFFAOYSA-N 0 2 321.308 0.449 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@H+]2C[C@@H](O)[C@H](OC)C2)c1 ZINC001607186797 1170413494 /nfs/dbraw/zinc/41/34/94/1170413494.db2.gz FRVSGMDGVVIAQH-MCIONIFRSA-N 0 2 311.334 0.521 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@@H+]2C[C@@H](O)[C@H](OC)C2)c1 ZINC001607186797 1170413497 /nfs/dbraw/zinc/41/34/97/1170413497.db2.gz FRVSGMDGVVIAQH-MCIONIFRSA-N 0 2 311.334 0.521 20 0 DCADLN CSc1ccc(OC[C@H](O)C[NH+]2CC(O)(C(=O)[O-])C2)cc1 ZINC001607410335 1170447367 /nfs/dbraw/zinc/44/73/67/1170447367.db2.gz VVCRUSDYHWETSD-SNVBAGLBSA-N 0 2 313.375 0.280 20 0 DCADLN Cc1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nn1C(C)C ZINC001607581096 1170479873 /nfs/dbraw/zinc/47/98/73/1170479873.db2.gz BESSCPBLDUVVSO-GFCCVEGCSA-N 0 2 305.338 0.921 20 0 DCADLN Cc1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nn1C(C)C ZINC001607581096 1170479875 /nfs/dbraw/zinc/47/98/75/1170479875.db2.gz BESSCPBLDUVVSO-GFCCVEGCSA-N 0 2 305.338 0.921 20 0 DCADLN Cc1cccn2cc(CCNC(=O)N3CC(O)(C(=O)[O-])C3)[nH+]c12 ZINC001607887468 1170516912 /nfs/dbraw/zinc/51/69/12/1170516912.db2.gz LHFSLEVCGJXZOM-UHFFFAOYSA-N 0 2 318.333 0.026 20 0 DCADLN Cc1coc(C[N@@H+]2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)[O-])c1 ZINC001607922063 1170525203 /nfs/dbraw/zinc/52/52/03/1170525203.db2.gz QCHOICRKKPQNCJ-ONGXEEELSA-N 0 2 307.306 0.167 20 0 DCADLN Cc1coc(C[N@H+]2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)[O-])c1 ZINC001607922063 1170525207 /nfs/dbraw/zinc/52/52/07/1170525207.db2.gz QCHOICRKKPQNCJ-ONGXEEELSA-N 0 2 307.306 0.167 20 0 DCADLN Cc1nc(N(C)CCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])c(C)c(C)[nH+]1 ZINC001607947323 1170531062 /nfs/dbraw/zinc/53/10/62/1170531062.db2.gz KIHWJCWSFKQXDK-RYUDHWBXSA-N 0 2 306.366 0.675 20 0 DCADLN Cc1nnc(C[NH+]2CCC(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)o1 ZINC001608016653 1170549548 /nfs/dbraw/zinc/54/95/48/1170549548.db2.gz ROJMBIXAYXHHIY-RYUDHWBXSA-N 0 2 322.365 0.427 20 0 DCADLN Cc1oc(C[N@@H+]2CCC[C@H](NS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001608048428 1170558098 /nfs/dbraw/zinc/55/80/98/1170558098.db2.gz ITQQKPIBCYXMPC-JTQLQIEISA-N 0 2 316.379 0.800 20 0 DCADLN Cc1oc(C[N@H+]2CCC[C@H](NS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001608048428 1170558120 /nfs/dbraw/zinc/55/81/20/1170558120.db2.gz ITQQKPIBCYXMPC-JTQLQIEISA-N 0 2 316.379 0.800 20 0 DCADLN Cn1c(=O)oc2ccc(C[N@@H+]3CCOC[C@H]3CC(=O)[O-])cc21 ZINC001608077468 1170566144 /nfs/dbraw/zinc/56/61/44/1170566144.db2.gz XENKMQNBMYPVSB-LLVKDONJSA-N 0 2 306.318 0.807 20 0 DCADLN Cn1c(=O)oc2ccc(C[N@H+]3CCOC[C@H]3CC(=O)[O-])cc21 ZINC001608077468 1170566150 /nfs/dbraw/zinc/56/61/50/1170566150.db2.gz XENKMQNBMYPVSB-LLVKDONJSA-N 0 2 306.318 0.807 20 0 DCADLN Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)NCCOCC(=O)[O-])C1 ZINC001608132319 1170586951 /nfs/dbraw/zinc/58/69/51/1170586951.db2.gz CWQWFGKWHCMUGV-GFCCVEGCSA-N 0 2 324.381 0.485 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CC[C@H](C(=O)[O-])C[C@@H]1[C@@H]1CCCO1 ZINC001608136337 1170590106 /nfs/dbraw/zinc/59/01/06/1170590106.db2.gz LQDKWKJRWDYSQV-YUTCNCBUSA-N 0 2 321.377 0.833 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CCCc1ccccn1 ZINC001608305011 1170646157 /nfs/dbraw/zinc/64/61/57/1170646157.db2.gz AHKIYQBFCRORSQ-CYBMUJFWSA-N 0 2 302.334 0.940 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CCCc1ccccn1 ZINC001608305011 1170646159 /nfs/dbraw/zinc/64/61/59/1170646159.db2.gz AHKIYQBFCRORSQ-CYBMUJFWSA-N 0 2 302.334 0.940 20 0 DCADLN O=C([O-])C1=NO[C@]2(CC[N@H+](CC(=O)NCc3ccccn3)C2)C1 ZINC001608329336 1170650414 /nfs/dbraw/zinc/65/04/14/1170650414.db2.gz PVDOSWWFHSEODU-OAHLLOKOSA-N 0 2 318.333 0.003 20 0 DCADLN O=C([O-])C1=NO[C@]2(CC[N@@H+](CC(=O)NCc3ccccn3)C2)C1 ZINC001608329336 1170650416 /nfs/dbraw/zinc/65/04/16/1170650416.db2.gz PVDOSWWFHSEODU-OAHLLOKOSA-N 0 2 318.333 0.003 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[NH+]2CCC3(CC2)OCCO3)n1 ZINC001608329053 1170651011 /nfs/dbraw/zinc/65/10/11/1170651011.db2.gz KKZCYETUAKMLFM-UHFFFAOYSA-N 0 2 321.333 0.557 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ncc2n1CCCC2 ZINC001608486033 1170688766 /nfs/dbraw/zinc/68/87/66/1170688766.db2.gz OHZNXROUCRNLTN-NSHDSACASA-N 0 2 303.322 0.368 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ncc2n1CCCC2 ZINC001608486033 1170688772 /nfs/dbraw/zinc/68/87/72/1170688772.db2.gz OHZNXROUCRNLTN-NSHDSACASA-N 0 2 303.322 0.368 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccn(CC(F)F)n1 ZINC001608487077 1170689339 /nfs/dbraw/zinc/68/93/39/1170689339.db2.gz NVIALJBDAGGBNK-SECBINFHSA-N 0 2 313.264 0.297 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccn(CC(F)F)n1 ZINC001608487077 1170689341 /nfs/dbraw/zinc/68/93/41/1170689341.db2.gz NVIALJBDAGGBNK-SECBINFHSA-N 0 2 313.264 0.297 20 0 DCADLN O=C([O-])CC1(CNS(=O)(=O)CCn2cc[nH+]c2)CCCC1 ZINC001608680135 1170735436 /nfs/dbraw/zinc/73/54/36/1170735436.db2.gz LRAQCILSTALLAL-UHFFFAOYSA-N 0 2 315.395 0.838 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@H]2CCCC[C@H]21 ZINC001608823631 1170762071 /nfs/dbraw/zinc/76/20/71/1170762071.db2.gz DYBUVADTVHALSY-AAVRWANBSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@H]2CCCC[C@H]21 ZINC001608823631 1170762073 /nfs/dbraw/zinc/76/20/73/1170762073.db2.gz DYBUVADTVHALSY-AAVRWANBSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)no1 ZINC001608835408 1170763485 /nfs/dbraw/zinc/76/34/85/1170763485.db2.gz HUZUAMUXBLIMFB-SNVBAGLBSA-N 0 2 309.322 0.310 20 0 DCADLN O=C([O-])c1cc(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)ccn1 ZINC001608842564 1170765015 /nfs/dbraw/zinc/76/50/15/1170765015.db2.gz QSUDIYWZEBWXFZ-LLVKDONJSA-N 0 2 300.318 0.855 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)N3CCOCC3)CC2)[nH+]c1 ZINC001608897994 1170769498 /nfs/dbraw/zinc/76/94/98/1170769498.db2.gz DPPOKNFBTVUUCP-UHFFFAOYSA-N 0 2 320.349 0.354 20 0 DCADLN O=C([O-])c1cncc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1 ZINC001608982299 1170780101 /nfs/dbraw/zinc/78/01/01/1170780101.db2.gz FFVCCTCRTYEEFJ-UHFFFAOYSA-N 0 2 308.319 0.222 20 0 DCADLN O=C([O-])c1ncccc1S(=O)(=O)NCCNc1cccc[nH+]1 ZINC001609000977 1170783975 /nfs/dbraw/zinc/78/39/75/1170783975.db2.gz XTYGMBVORGEUBZ-UHFFFAOYSA-N 0 2 322.346 0.565 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001609165527 1170834227 /nfs/dbraw/zinc/83/42/27/1170834227.db2.gz POOQVPWOICXCEQ-NXEZZACHSA-N 0 2 317.353 0.118 20 0 DCADLN C[C@H]1CCC[C@@H]1CNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001609164610 1170835665 /nfs/dbraw/zinc/83/56/65/1170835665.db2.gz UMNHXEZWNKVTLD-DTWKUNHWSA-N 0 2 319.369 0.112 20 0 DCADLN CC[C@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)C[C@H](C)O1 ZINC001609202407 1170866902 /nfs/dbraw/zinc/86/69/02/1170866902.db2.gz HIMVVOBKQPIOLO-RYUDHWBXSA-N 0 2 318.381 0.794 20 0 DCADLN CC[C@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)CCCO1 ZINC001609202582 1170867343 /nfs/dbraw/zinc/86/73/43/1170867343.db2.gz LQSDHMBHJIEWBR-LBPRGKRZSA-N 0 2 318.381 0.795 20 0 DCADLN CCCn1c(C)nnc1Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001609218393 1170873089 /nfs/dbraw/zinc/87/30/89/1170873089.db2.gz CLBXYDSYUHNQPG-UHFFFAOYSA-N 0 2 314.353 0.695 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)o2)c[nH]c1=O ZINC001609410741 1170970217 /nfs/dbraw/zinc/97/02/17/1170970217.db2.gz WQMIICQWDFTCLE-UHFFFAOYSA-N 0 2 322.306 0.670 20 0 DCADLN Cc1ccn(C[C@H]2CC3(CC3)C(=O)O2)c(=O)c1-c1nn[nH]n1 ZINC001609437612 1170977110 /nfs/dbraw/zinc/97/71/10/1170977110.db2.gz ONFUNSYZVVBZMY-SECBINFHSA-N 0 2 301.306 0.433 20 0 DCADLN Cc1ccn(CN(C)Cc2cc[nH]n2)c(=O)c1-c1nn[nH]n1 ZINC001609437900 1170977425 /nfs/dbraw/zinc/97/74/25/1170977425.db2.gz GSGJRBFRDYLUJN-UHFFFAOYSA-N 0 2 300.326 0.149 20 0 DCADLN Cc1nn(CC(=O)N2CCCCC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001609459266 1170985428 /nfs/dbraw/zinc/98/54/28/1170985428.db2.gz IMTRAMHCHHZMLK-UHFFFAOYSA-N 0 2 317.353 0.053 20 0 DCADLN Cn1cc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3)nn1 ZINC001609479370 1170991453 /nfs/dbraw/zinc/99/14/53/1170991453.db2.gz KLEQGWRLCGOFDS-UHFFFAOYSA-N 0 2 312.337 0.084 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cnc(C(=O)[O-])cn1 ZINC001609839271 1171101069 /nfs/dbraw/zinc/10/10/69/1171101069.db2.gz IVEWKXROKYLOHX-GHMZBOCLSA-N 0 2 320.349 0.110 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cnc(C(=O)[O-])cn1 ZINC001609839279 1171101852 /nfs/dbraw/zinc/10/18/52/1171101852.db2.gz IVEWKXROKYLOHX-QWRGUYRKSA-N 0 2 320.349 0.110 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@@H]2[N@@H+]1Cc1nnnn1C1CC1 ZINC001609853250 1171103774 /nfs/dbraw/zinc/10/37/74/1171103774.db2.gz RSFLASDSLCVKIP-NUGNTBJXSA-N 0 2 307.354 0.603 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@@H]2[N@H+]1Cc1nnnn1C1CC1 ZINC001609853250 1171103778 /nfs/dbraw/zinc/10/37/78/1171103778.db2.gz RSFLASDSLCVKIP-NUGNTBJXSA-N 0 2 307.354 0.603 20 0 DCADLN CCOC(=O)CCC[N@@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001610015853 1171139333 /nfs/dbraw/zinc/13/93/33/1171139333.db2.gz BSGBXSGUGHKPGB-GHMZBOCLSA-N 0 2 301.339 0.668 20 0 DCADLN CCOC(=O)CCC[N@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001610015853 1171139341 /nfs/dbraw/zinc/13/93/41/1171139341.db2.gz BSGBXSGUGHKPGB-GHMZBOCLSA-N 0 2 301.339 0.668 20 0 DCADLN COC(C[N@H+](CCC(=O)[O-])CC(=O)N1CCCC[C@@H]1C)OC ZINC001610223780 1171200029 /nfs/dbraw/zinc/20/00/29/1171200029.db2.gz AUINHLQVNBCIDD-LBPRGKRZSA-N 0 2 316.398 0.783 20 0 DCADLN COC(C[N@@H+](CCC(=O)[O-])CC(=O)N1CCCC[C@@H]1C)OC ZINC001610223780 1171200034 /nfs/dbraw/zinc/20/00/34/1171200034.db2.gz AUINHLQVNBCIDD-LBPRGKRZSA-N 0 2 316.398 0.783 20 0 DCADLN COC[C@H]1CCC[N@H+](Cn2nc(C(=O)[O-])cc2C(=O)OC)C1 ZINC001610252470 1171209256 /nfs/dbraw/zinc/20/92/56/1171209256.db2.gz CZMSUKCVTWDWIS-JTQLQIEISA-N 0 2 311.338 0.684 20 0 DCADLN COC[C@H]1CCC[N@@H+](Cn2nc(C(=O)[O-])cc2C(=O)OC)C1 ZINC001610252470 1171209261 /nfs/dbraw/zinc/20/92/61/1171209261.db2.gz CZMSUKCVTWDWIS-JTQLQIEISA-N 0 2 311.338 0.684 20 0 DCADLN COc1cccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1F ZINC001610353427 1171222766 /nfs/dbraw/zinc/22/27/66/1171222766.db2.gz XAENHFXJJJVFCS-JTQLQIEISA-N 0 2 307.281 0.914 20 0 DCADLN COc1nccnc1C[N@@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001610371942 1171225945 /nfs/dbraw/zinc/22/59/45/1171225945.db2.gz BTTACCNTAIPJQM-JTQLQIEISA-N 0 2 318.337 0.612 20 0 DCADLN COc1nccnc1C[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001610371942 1171225951 /nfs/dbraw/zinc/22/59/51/1171225951.db2.gz BTTACCNTAIPJQM-JTQLQIEISA-N 0 2 318.337 0.612 20 0 DCADLN Cc1ccc(NC(=O)Cn2cc(C(=O)[O-])nn2)c(N(C)C)[nH+]1 ZINC001610507452 1171245767 /nfs/dbraw/zinc/24/57/67/1171245767.db2.gz WQKHGHBWJLGHNL-UHFFFAOYSA-N 0 2 304.310 0.384 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)Nc1nnc([C@H]2CCCO2)o1 ZINC001610552601 1171252550 /nfs/dbraw/zinc/25/25/50/1171252550.db2.gz XFCMWQNKCQBNJH-SECBINFHSA-N 0 2 321.293 0.763 20 0 DCADLN Cn1cc(C[NH+]2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1C(=O)[O-] ZINC001610602705 1171264396 /nfs/dbraw/zinc/26/43/96/1171264396.db2.gz KBDPHDRZNCVTBU-AWEZNQCLSA-N 0 2 321.377 0.547 20 0 DCADLN Cn1nccc1[C@@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(=O)[O-] ZINC001610616151 1171269614 /nfs/dbraw/zinc/26/96/14/1171269614.db2.gz SQNJMHFVELEASA-BXKDBHETSA-N 0 2 303.322 0.121 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCC[N@@H+]1CC(=O)NCCc1ccc(F)cc1 ZINC001610663689 1171285247 /nfs/dbraw/zinc/28/52/47/1171285247.db2.gz JBTPHXBUGSENBN-UKRRQHHQSA-N 0 2 324.352 0.394 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCC[N@H+]1CC(=O)NCCc1ccc(F)cc1 ZINC001610663689 1171285251 /nfs/dbraw/zinc/28/52/51/1171285251.db2.gz JBTPHXBUGSENBN-UKRRQHHQSA-N 0 2 324.352 0.394 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnc3nccn3c2)C1=O ZINC001626596901 1171485060 /nfs/dbraw/zinc/48/50/60/1171485060.db2.gz AYHDKTNPWLHLFA-CYBMUJFWSA-N 0 2 302.294 0.095 20 0 DCADLN CCOC(=O)c1ccc(C(=O)NCCc2n[nH]c(=S)o2)nc1 ZINC001633468440 1171642624 /nfs/dbraw/zinc/64/26/24/1171642624.db2.gz ZVXIBJPCNUHPBK-UHFFFAOYSA-N 0 2 322.346 0.903 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)OC)C(=O)NCCc1n[nH]c(=S)o1 ZINC001634591061 1171678250 /nfs/dbraw/zinc/67/82/50/1171678250.db2.gz PBUJWSCPOCJLRG-CBAPKCEASA-N 0 2 316.383 0.788 20 0 DCADLN CCOC(=O)C(C)(C)CC(=O)NCCc1n[nH]c(=S)o1 ZINC001634591231 1171678430 /nfs/dbraw/zinc/67/84/30/1171678430.db2.gz WGVYZHBUASZJIM-UHFFFAOYSA-N 0 2 301.368 0.996 20 0 DCADLN O=C(Cn1cncn1)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635454831 1171703164 /nfs/dbraw/zinc/70/31/64/1171703164.db2.gz BBUYORXUHIXZJA-LLVKDONJSA-N 0 2 313.321 0.202 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(-c3ccccc3F)c2)c1=O ZINC001637947942 1171782990 /nfs/dbraw/zinc/78/29/90/1171782990.db2.gz RQRURZZOGCWISL-UHFFFAOYSA-N 0 2 316.296 0.773 20 0 DCADLN O=C(Cn1ccc(C(F)(F)F)n1)NCCc1n[nH]c(=S)o1 ZINC001639103607 1171821640 /nfs/dbraw/zinc/82/16/40/1171821640.db2.gz CYAIGSTWXVSOMF-UHFFFAOYSA-N 0 2 321.284 0.933 20 0 DCADLN O=C(/C=C/Cn1cncn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001639544383 1171842966 /nfs/dbraw/zinc/84/29/66/1171842966.db2.gz HNZGHQJYJCQTCL-XCRNYIDWSA-N 0 2 303.326 0.064 20 0 DCADLN Cn1cc(-c2nsc(NC(=O)c3cccc[n+]3[O-])n2)cn1 ZINC001648298852 1173071179 /nfs/dbraw/zinc/07/11/79/1173071179.db2.gz LFQYSBZCEFTFCN-UHFFFAOYSA-N 0 2 302.319 0.824 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc(C(F)(F)F)ncn1 ZINC001648597406 1173077529 /nfs/dbraw/zinc/07/75/29/1173077529.db2.gz JCHZVHDCJUCWEA-UHFFFAOYSA-N 0 2 316.243 0.682 20 0 DCADLN CCn1cc([C@@H]2C[S@](=O)CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001649769847 1173212005 /nfs/dbraw/zinc/21/20/05/1173212005.db2.gz DBSOVNCVMGJSPX-CHNSCGDPSA-N 0 2 310.383 0.032 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001649853481 1173262075 /nfs/dbraw/zinc/26/20/75/1173262075.db2.gz KMSPVPNJZFEFDI-VXNVDRBHSA-N 0 2 319.276 0.303 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001649853481 1173262078 /nfs/dbraw/zinc/26/20/78/1173262078.db2.gz KMSPVPNJZFEFDI-VXNVDRBHSA-N 0 2 319.276 0.303 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)O[C@@H]1CCOC[C@@H]1C ZINC001650474170 1173651838 /nfs/dbraw/zinc/65/18/38/1173651838.db2.gz MLFBOUSUCNXNBO-JOYOIKCWSA-N 0 2 305.334 0.842 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)c1cc(=O)n(C)c(=O)[nH]1 ZINC001654934284 1173813540 /nfs/dbraw/zinc/81/35/40/1173813540.db2.gz FGXSRRKIPCBPJQ-UHFFFAOYSA-N 0 2 319.346 0.833 20 0 DCADLN C/C(=C\C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001666683466 1174621900 /nfs/dbraw/zinc/62/19/00/1174621900.db2.gz DHJPDTXZCKYYSR-JXPAYYINSA-N 0 2 321.381 0.184 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)ncn1 ZINC001686649050 1176182593 /nfs/dbraw/zinc/18/25/93/1176182593.db2.gz AMJHFVJYMOLXHX-QMMMGPOBSA-N 0 2 324.234 0.232 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001686649050 1176182594 /nfs/dbraw/zinc/18/25/94/1176182594.db2.gz AMJHFVJYMOLXHX-QMMMGPOBSA-N 0 2 324.234 0.232 20 0 DCADLN CCC[N@@H+]1CCCC[C@H]1C(=O)Nc1n[n-]c(CC(=O)OC)n1 ZINC000118662833 1176642875 /nfs/dbraw/zinc/64/28/75/1176642875.db2.gz HPFDKXMCNSUXRA-JTQLQIEISA-N 0 2 309.370 0.723 20 0 DCADLN CCC[N@H+]1CCCC[C@H]1C(=O)Nc1n[n-]c(CC(=O)OC)n1 ZINC000118662833 1176642878 /nfs/dbraw/zinc/64/28/78/1176642878.db2.gz HPFDKXMCNSUXRA-JTQLQIEISA-N 0 2 309.370 0.723 20 0 DCADLN Cn1cc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)cn1 ZINC001689220014 1176749718 /nfs/dbraw/zinc/74/97/18/1176749718.db2.gz ABWCOYLQCGHBGH-HPOULIHZSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cc(C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001689220014 1176749722 /nfs/dbraw/zinc/74/97/22/1176749722.db2.gz ABWCOYLQCGHBGH-HPOULIHZSA-N 0 2 322.262 0.723 20 0 DCADLN COCCC[C@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001692380385 1177503437 /nfs/dbraw/zinc/50/34/37/1177503437.db2.gz RHEJBQVINLXGMU-SRVKXCTJSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)[nH]n1 ZINC001703118505 1179310486 /nfs/dbraw/zinc/31/04/86/1179310486.db2.gz PSYONSLAELOBMI-SNVBAGLBSA-N 0 2 316.365 0.407 20 0 DCADLN C[N@H+](CCNC(=O)c1cccc2n[nH]cc21)Cc1n[nH]c(=O)[n-]1 ZINC001714553975 1181413160 /nfs/dbraw/zinc/41/31/60/1181413160.db2.gz XLBSOOQCUJHCIL-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN C[N@@H+](CCNC(=O)c1cccc2n[nH]cc21)Cc1n[nH]c(=O)[n-]1 ZINC001714553975 1181413161 /nfs/dbraw/zinc/41/31/61/1181413161.db2.gz XLBSOOQCUJHCIL-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN C[N@H+](CCNC(=O)c1ncc2ccccn21)Cc1n[nH]c(=O)[n-]1 ZINC001714552047 1181413549 /nfs/dbraw/zinc/41/35/49/1181413549.db2.gz PDWAKWAKCVQOEK-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN C[N@@H+](CCNC(=O)c1ncc2ccccn21)Cc1n[nH]c(=O)[n-]1 ZINC001714552047 1181413553 /nfs/dbraw/zinc/41/35/53/1181413553.db2.gz PDWAKWAKCVQOEK-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN CN(CCCNC(=O)[C@H]1C[C@H]1C(N)=O)C/C(Cl)=C\Cl ZINC001731269156 1185231270 /nfs/dbraw/zinc/23/12/70/1185231270.db2.gz YJOCTVCFSPUPFL-ZQMPTTJKSA-N 0 2 308.209 0.865 20 0 DCADLN COc1cccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001736785643 1187104491 /nfs/dbraw/zinc/10/44/91/1187104491.db2.gz VQBYPLCZLMCZSG-VIFPVBQESA-N 0 2 323.246 0.837 20 0 DCADLN COc1cccc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001736785643 1187104493 /nfs/dbraw/zinc/10/44/93/1187104493.db2.gz VQBYPLCZLMCZSG-VIFPVBQESA-N 0 2 323.246 0.837 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cnccn1 ZINC001737149785 1187264541 /nfs/dbraw/zinc/26/45/41/1187264541.db2.gz SOVOVQMTLBRPNJ-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnccn1 ZINC001737149785 1187264544 /nfs/dbraw/zinc/26/45/44/1187264544.db2.gz SOVOVQMTLBRPNJ-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1ccncn1)C(=O)C(F)C(F)(F)F ZINC001743664075 1188153155 /nfs/dbraw/zinc/15/31/55/1188153155.db2.gz YFXQQSBPIWQKSM-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1ccncn1)C(=O)[C@@H](F)C(F)(F)F ZINC001743664075 1188153157 /nfs/dbraw/zinc/15/31/57/1188153157.db2.gz YFXQQSBPIWQKSM-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN C[N@H+](CCNC(=O)c1cccc2cc[nH]c21)Cc1n[nH]c(=O)[n-]1 ZINC001753065450 1188489470 /nfs/dbraw/zinc/48/94/70/1188489470.db2.gz QTWUDRNYNCKNRD-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN C[N@@H+](CCNC(=O)c1cccc2cc[nH]c21)Cc1n[nH]c(=O)[n-]1 ZINC001753065450 1188489473 /nfs/dbraw/zinc/48/94/73/1188489473.db2.gz QTWUDRNYNCKNRD-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN CC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC=CC1 ZINC001753652126 1188632691 /nfs/dbraw/zinc/63/26/91/1188632691.db2.gz AKIBBIRGALJVQG-LLVKDONJSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCOC1)C(F)C(F)(F)F ZINC001757936473 1189616297 /nfs/dbraw/zinc/61/62/97/1189616297.db2.gz JYFLLZAIHYIMRC-YUMQZZPRSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCOC1)[C@H](F)C(F)(F)F ZINC001757936473 1189616299 /nfs/dbraw/zinc/61/62/99/1189616299.db2.gz JYFLLZAIHYIMRC-YUMQZZPRSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(CCc1cnccn1)NCCNC(=O)C(F)C(F)(F)F ZINC001758028117 1189686749 /nfs/dbraw/zinc/68/67/49/1189686749.db2.gz VREZZXVMRHCUDP-SNVBAGLBSA-N 0 2 322.262 0.542 20 0 DCADLN O=C(CCc1cnccn1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758028117 1189686753 /nfs/dbraw/zinc/68/67/53/1189686753.db2.gz VREZZXVMRHCUDP-SNVBAGLBSA-N 0 2 322.262 0.542 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nn1C ZINC001758027602 1189687236 /nfs/dbraw/zinc/68/72/36/1189687236.db2.gz JRJOPMADGWCXHI-VIFPVBQESA-N 0 2 324.278 0.729 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)nn1C ZINC001758027602 1189687239 /nfs/dbraw/zinc/68/72/39/1189687239.db2.gz JRJOPMADGWCXHI-VIFPVBQESA-N 0 2 324.278 0.729 20 0 DCADLN Cn1nccc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758138173 1189746802 /nfs/dbraw/zinc/74/68/02/1189746802.db2.gz WQFKNFSDTWBGAO-MRVPVSSYSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1nccc1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758138173 1189746805 /nfs/dbraw/zinc/74/68/05/1189746805.db2.gz WQFKNFSDTWBGAO-MRVPVSSYSA-N 0 2 310.251 0.557 20 0 DCADLN O=C(CC1(C(=O)[O-])CCOCC1)N[C@H]1CCn2c[nH+]cc2C1 ZINC001771921949 1190558753 /nfs/dbraw/zinc/55/87/53/1190558753.db2.gz CVOFPSMJVZZFFT-NSHDSACASA-N 0 2 307.350 0.586 20 0 DCADLN CCS(=O)(=O)CCn1cnc2c1nc(C(F)(F)F)[nH]c2=O ZINC001771981198 1190578569 /nfs/dbraw/zinc/57/85/69/1190578569.db2.gz XFJUMMRRAWDALU-UHFFFAOYSA-N 0 2 324.284 0.985 20 0 DCADLN O=C(/C=C/C1CC1)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001042329017 751583482 /nfs/dbraw/zinc/58/34/82/751583482.db2.gz CSQDACKPUFPUFJ-FSIBCCDJSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(/C=C/C1CC1)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001042329017 751583486 /nfs/dbraw/zinc/58/34/86/751583486.db2.gz CSQDACKPUFPUFJ-FSIBCCDJSA-N 0 2 324.274 0.543 20 0 DCADLN Cc1cccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001042484309 751682906 /nfs/dbraw/zinc/68/29/06/751682906.db2.gz XJYQCNDJZSPFDD-UHFFFAOYSA-N 0 2 302.338 0.170 20 0 DCADLN CCC(=O)N1CCOC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001061639773 754173482 /nfs/dbraw/zinc/17/34/82/754173482.db2.gz WBOWUOXXNXEJRJ-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)N1CCOC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001061639773 754173490 /nfs/dbraw/zinc/17/34/90/754173490.db2.gz WBOWUOXXNXEJRJ-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(/C=C\C1CC1)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377829 752202978 /nfs/dbraw/zinc/20/29/78/752202978.db2.gz VMMJZCNZKYMRQD-UMBAGQNISA-N 0 2 324.274 0.543 20 0 DCADLN O=C(/C=C\C1CC1)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043377829 752202986 /nfs/dbraw/zinc/20/29/86/752202986.db2.gz VMMJZCNZKYMRQD-UMBAGQNISA-N 0 2 324.274 0.543 20 0 DCADLN CC(C)(C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058792452 748254786 /nfs/dbraw/zinc/25/47/86/748254786.db2.gz VOLNLKVZMLRASJ-QMMMGPOBSA-N 0 2 316.295 0.870 20 0 DCADLN CC(C)(C)C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001058792452 748254790 /nfs/dbraw/zinc/25/47/90/748254790.db2.gz VOLNLKVZMLRASJ-QMMMGPOBSA-N 0 2 316.295 0.870 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071475739 761890722 /nfs/dbraw/zinc/89/07/22/761890722.db2.gz BEVVVMXXFADZGM-MNOVXSKESA-N 0 2 318.381 0.930 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071475739 761890731 /nfs/dbraw/zinc/89/07/31/761890731.db2.gz BEVVVMXXFADZGM-MNOVXSKESA-N 0 2 318.381 0.930 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ncc[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071494169 761903399 /nfs/dbraw/zinc/90/33/99/761903399.db2.gz OVZPUMXOCRPHRX-IUCAKERBSA-N 0 2 305.342 0.016 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cncnc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087551424 748966297 /nfs/dbraw/zinc/96/62/97/748966297.db2.gz BKKUEXBITVVVHD-WDEREUQCSA-N 0 2 317.353 0.083 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cncnc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087551424 748966307 /nfs/dbraw/zinc/96/63/07/748966307.db2.gz BKKUEXBITVVVHD-WDEREUQCSA-N 0 2 317.353 0.083 20 0 DCADLN CCc1nnc(CN2CC[C@H](NC(=O)c3cnn[nH]3)[C@H]2CC)o1 ZINC001087636953 749002743 /nfs/dbraw/zinc/00/27/43/749002743.db2.gz QYJBGGLMDRRPPR-GXSJLCMTSA-N 0 2 319.369 0.533 20 0 DCADLN CCc1nnc(C[N@H+]2CC[C@H](NC(=O)c3cnn[n-]3)[C@H]2CC)o1 ZINC001087636953 749002745 /nfs/dbraw/zinc/00/27/45/749002745.db2.gz QYJBGGLMDRRPPR-GXSJLCMTSA-N 0 2 319.369 0.533 20 0 DCADLN CCc1nnc(C[N@@H+]2CC[C@H](NC(=O)c3cnn[n-]3)[C@H]2CC)o1 ZINC001087636953 749002747 /nfs/dbraw/zinc/00/27/47/749002747.db2.gz QYJBGGLMDRRPPR-GXSJLCMTSA-N 0 2 319.369 0.533 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)o1 ZINC001088458277 749466104 /nfs/dbraw/zinc/46/61/04/749466104.db2.gz TVMQBUOFOZDWEJ-ZJUUUORDSA-N 0 2 305.338 0.805 20 0 DCADLN C[C@@H](CCNC(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001077298475 750329044 /nfs/dbraw/zinc/32/90/44/750329044.db2.gz HCVHKYJEKSMJFE-FSPLSTOPSA-N 0 2 311.239 0.330 20 0 DCADLN O=C(N[C@@H]1C[N@H+](CC2CC2)C[C@@H]1O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001083583361 750415254 /nfs/dbraw/zinc/41/52/54/750415254.db2.gz CQTGNUDIYJJCJP-MCIONIFRSA-N 0 2 304.394 0.017 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[NH+]2CC[C@H](C)C2)CC[N@@H+]1CC(=O)NC1CC1 ZINC001088859614 750660773 /nfs/dbraw/zinc/66/07/73/750660773.db2.gz HJEJLRMVCYYWJV-GUTXKFCHSA-N 0 2 322.453 0.186 20 0 DCADLN O=S1(=O)CCN(C2CN(Cc3ccc(S)cc3)C2)CC1 ZINC001233261876 750847108 /nfs/dbraw/zinc/84/71/08/750847108.db2.gz HPQSHVJBXQYLRQ-UHFFFAOYSA-N 0 2 312.460 0.890 20 0 DCADLN Cc1nccc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142188 750979474 /nfs/dbraw/zinc/97/94/74/750979474.db2.gz MLONQELVEWSALB-IONNQARKSA-N 0 2 310.251 0.574 20 0 DCADLN O=C(NC[C@@H](O)CNc1cc(F)ncn1)C(F)C(F)(F)F ZINC001106142469 750980277 /nfs/dbraw/zinc/98/02/77/750980277.db2.gz QIJUWPHKJALHRE-YLWLKBPMSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](O)CNc1cc(F)ncn1)[C@@H](F)C(F)(F)F ZINC001106142469 750980282 /nfs/dbraw/zinc/98/02/82/750980282.db2.gz QIJUWPHKJALHRE-YLWLKBPMSA-N 0 2 314.214 0.405 20 0 DCADLN CC1CC(C(=O)NC[C@@]2(C)CN(Cc3n[nH]c(=O)[nH]3)CCO2)C1 ZINC001107857791 752434143 /nfs/dbraw/zinc/43/41/43/752434143.db2.gz DGRAPSSLILBKFC-NWHVRFAMSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@]1(CNC(=O)C=C2CCC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107862482 752442468 /nfs/dbraw/zinc/44/24/68/752442468.db2.gz QHHCGTMNLQZLHD-HNNXBMFYSA-N 0 2 321.381 0.328 20 0 DCADLN Cc1nc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001044086283 752556478 /nfs/dbraw/zinc/55/64/78/752556478.db2.gz UYDBRAXDWJFPFN-UHFFFAOYSA-N 0 2 306.326 0.072 20 0 DCADLN CC/C=C\CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn[nH]2)C1 ZINC001108043377 753444005 /nfs/dbraw/zinc/44/40/05/753444005.db2.gz JIXBWORNKZMMRT-XVWMLYKFSA-N 0 2 307.398 0.982 20 0 DCADLN Cc1ccc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001046120261 753490864 /nfs/dbraw/zinc/49/08/64/753490864.db2.gz GYHMIPCGXCHLNK-AWEZNQCLSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1nnsc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046135800 753501480 /nfs/dbraw/zinc/50/14/80/753501480.db2.gz XFTKSFZJUXCBJQ-LBPRGKRZSA-N 0 2 323.382 0.065 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1CC12CCC2 ZINC001096079559 753530042 /nfs/dbraw/zinc/53/00/42/753530042.db2.gz TWDOKBCXTAWZBH-WYUUTHIRSA-N 0 2 317.393 0.922 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046284468 753613449 /nfs/dbraw/zinc/61/34/49/753613449.db2.gz SBYNHUUXHQBMLN-CQSZACIVSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046284468 753613455 /nfs/dbraw/zinc/61/34/55/753613455.db2.gz SBYNHUUXHQBMLN-CQSZACIVSA-N 0 2 304.354 0.541 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CCC2(F)F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046409506 753700317 /nfs/dbraw/zinc/70/03/17/753700317.db2.gz VYXIDRCDACWVKP-PELKAZGASA-N 0 2 315.324 0.636 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CCC2(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046409506 753700321 /nfs/dbraw/zinc/70/03/21/753700321.db2.gz VYXIDRCDACWVKP-PELKAZGASA-N 0 2 315.324 0.636 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CCC2(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046409506 753700327 /nfs/dbraw/zinc/70/03/27/753700327.db2.gz VYXIDRCDACWVKP-PELKAZGASA-N 0 2 315.324 0.636 20 0 DCADLN CC(C)C(=O)NC[C@@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC001061309091 753751063 /nfs/dbraw/zinc/75/10/63/753751063.db2.gz VBTLSHKUEFUMDT-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)NC[C@@H]1COCCN1C(=O)[C@H](F)C(F)(F)F ZINC001061309091 753751070 /nfs/dbraw/zinc/75/10/70/753751070.db2.gz VBTLSHKUEFUMDT-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccoc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071349686 754086637 /nfs/dbraw/zinc/08/66/37/754086637.db2.gz KOWUFGIONWWIQF-GXSJLCMTSA-N 0 2 305.338 0.886 20 0 DCADLN O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1nncs1)C2)c1nnc[nH]1 ZINC001047165455 754114345 /nfs/dbraw/zinc/11/43/45/754114345.db2.gz JCLYXXKCXQSERR-AEJSXWLSSA-N 0 2 319.394 0.439 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)Cc2ccc[nH]2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001072091889 762349716 /nfs/dbraw/zinc/34/97/16/762349716.db2.gz JQRDJLRDDFXJBG-PWSUYJOCSA-N 0 2 318.381 0.550 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)Cc2ccc[nH]2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001072091889 762349724 /nfs/dbraw/zinc/34/97/24/762349724.db2.gz JQRDJLRDDFXJBG-PWSUYJOCSA-N 0 2 318.381 0.550 20 0 DCADLN O=C(C[C@H]1CCOC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096904655 755545887 /nfs/dbraw/zinc/54/58/87/755545887.db2.gz DODMOVOURLABQN-KKOKHZNYSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(C[C@H]1CCOC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096904655 755545890 /nfs/dbraw/zinc/54/58/90/755545890.db2.gz DODMOVOURLABQN-KKOKHZNYSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cc[nH]c1 ZINC001097120251 755601643 /nfs/dbraw/zinc/60/16/43/755601643.db2.gz BWYPIODYWNXSML-MXWKQRLJSA-N 0 2 302.338 0.374 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccccc1 ZINC001079369205 755812882 /nfs/dbraw/zinc/81/28/82/755812882.db2.gz ONMWXWLDBNOXLS-ZYHUDNBSSA-N 0 2 301.350 0.761 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccccc1 ZINC001079369205 755812886 /nfs/dbraw/zinc/81/28/86/755812886.db2.gz ONMWXWLDBNOXLS-ZYHUDNBSSA-N 0 2 301.350 0.761 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccnc(F)c1 ZINC001079480259 755865812 /nfs/dbraw/zinc/86/58/12/755865812.db2.gz KZHGZAPUMQWIMN-PSASIEDQSA-N 0 2 320.328 0.295 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080023170 756077312 /nfs/dbraw/zinc/07/73/12/756077312.db2.gz DECACPCWRPXWJO-LDYMZIIASA-N 0 2 319.369 0.046 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080023170 756077320 /nfs/dbraw/zinc/07/73/20/756077320.db2.gz DECACPCWRPXWJO-LDYMZIIASA-N 0 2 319.369 0.046 20 0 DCADLN CO[C@H](C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774552 756196538 /nfs/dbraw/zinc/19/65/38/756196538.db2.gz FILJYLUIVIALED-MWLCHTKSSA-N 0 2 324.381 0.032 20 0 DCADLN Cc1nonc1C[NH2+][C@@H]1CN(C(=O)c2n[nH]c(C)c2[O-])C[C@@H]1C ZINC001054574297 756546175 /nfs/dbraw/zinc/54/61/75/756546175.db2.gz QKTUDANCSMFVNO-WRWORJQWSA-N 0 2 320.353 0.365 20 0 DCADLN O=C(C1CCCC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084146037 757695575 /nfs/dbraw/zinc/69/55/75/757695575.db2.gz UNRBJFZLVKORTM-VXGBXAGGSA-N 0 2 305.382 0.733 20 0 DCADLN CC1(C)CC[C@@H]1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084593580 757923146 /nfs/dbraw/zinc/92/31/46/757923146.db2.gz CVWVTWZSAUDAHI-IJLUTSLNSA-N 0 2 319.409 0.979 20 0 DCADLN CCC(=O)NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001065236552 758299683 /nfs/dbraw/zinc/29/96/83/758299683.db2.gz IOJQOAPOWFTIOZ-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)NC[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001065236552 758299693 /nfs/dbraw/zinc/29/96/93/758299693.db2.gz IOJQOAPOWFTIOZ-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1)C(F)F ZINC001065236250 758300012 /nfs/dbraw/zinc/30/00/12/758300012.db2.gz BJLRGZSQZLDJOP-RITPCOANSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1)C(F)F ZINC001065236250 758300018 /nfs/dbraw/zinc/30/00/18/758300018.db2.gz BJLRGZSQZLDJOP-RITPCOANSA-N 0 2 322.205 0.496 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001051107837 758438806 /nfs/dbraw/zinc/43/88/06/758438806.db2.gz ODXYZHXAZCHOLH-IJLUTSLNSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1occc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085476315 758926597 /nfs/dbraw/zinc/92/65/97/758926597.db2.gz WYCNKOIXXOURGP-JTQLQIEISA-N 0 2 305.338 0.758 20 0 DCADLN Cc1nnsc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085479437 758930451 /nfs/dbraw/zinc/93/04/51/758930451.db2.gz SXLNXAIKGMKSHY-MRVPVSSYSA-N 0 2 323.382 0.017 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CCCCO1 ZINC001085545253 759026861 /nfs/dbraw/zinc/02/68/61/759026861.db2.gz GTUUYNGKHYKWOF-MNOVXSKESA-N 0 2 309.370 0.112 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085733533 759248237 /nfs/dbraw/zinc/24/82/37/759248237.db2.gz HNRLMINTHSBNIH-TUAOUCFPSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)CC(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829180 759573891 /nfs/dbraw/zinc/57/38/91/759573891.db2.gz GCCYHIRNJLOMJW-JTQLQIEISA-N 0 2 316.295 0.870 20 0 DCADLN CC(C)CC(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057829180 759573899 /nfs/dbraw/zinc/57/38/99/759573899.db2.gz GCCYHIRNJLOMJW-JTQLQIEISA-N 0 2 316.295 0.870 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccco2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071311847 761772588 /nfs/dbraw/zinc/77/25/88/761772588.db2.gz BMEPSLKNIAKGGT-NXEZZACHSA-N 0 2 305.338 0.886 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071410396 761827499 /nfs/dbraw/zinc/82/74/99/761827499.db2.gz AYKDLIOCMIRQAY-SCZZXKLOSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@@H](CCNC(=O)c1nnc[n-]1)Nc1[nH+]cnc2nc[nH]c21 ZINC001099382298 762875835 /nfs/dbraw/zinc/87/58/35/762875835.db2.gz LWAABDXTWDQGOC-ZETCQYMHSA-N 0 2 301.314 0.092 20 0 DCADLN C[C@H](CCNC(=O)c1nnc[n-]1)Nc1[nH+]cnc2nc[nH]c21 ZINC001099382297 762876501 /nfs/dbraw/zinc/87/65/01/762876501.db2.gz LWAABDXTWDQGOC-SSDOTTSWSA-N 0 2 301.314 0.092 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)Nc1nccn2nnnc12 ZINC001098328186 763678758 /nfs/dbraw/zinc/67/87/58/763678758.db2.gz USIMVPWOTUYKTO-NTSWFWBYSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)Nc1nccn2nnnc12 ZINC001098328186 763678761 /nfs/dbraw/zinc/67/87/61/763678761.db2.gz USIMVPWOTUYKTO-NTSWFWBYSA-N 0 2 321.238 0.336 20 0 DCADLN Cc1cc(C)n2c(C[NH2+]Cc3nc(C[NH3+])cc(=O)[nH]3)cnc2n1 ZINC001118960978 766486654 /nfs/dbraw/zinc/48/66/54/766486654.db2.gz YROFVQQTWOMVEL-UHFFFAOYSA-N 0 2 313.365 0.590 20 0 DCADLN O=C(Cn1cc[nH+]c1)N[C@H]1CC[N@H+](Cc2ccccc2)C[C@@H]1O ZINC001090847296 766663801 /nfs/dbraw/zinc/66/38/01/766663801.db2.gz QRGMIWKVJCJKFV-HOTGVXAUSA-N 0 2 314.389 0.635 20 0 DCADLN Cc1ccc(N2C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C2)nn1 ZINC001091190630 767014113 /nfs/dbraw/zinc/01/41/13/767014113.db2.gz TZAKMPRZLBOQDD-WEDXCCLWSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1ccc(N2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C2)nn1 ZINC001091190630 767014124 /nfs/dbraw/zinc/01/41/24/767014124.db2.gz TZAKMPRZLBOQDD-WEDXCCLWSA-N 0 2 322.262 0.351 20 0 DCADLN CC(C)SCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001230822788 768870345 /nfs/dbraw/zinc/87/03/45/768870345.db2.gz BYEMQCWBXAMBFO-SNVBAGLBSA-N 0 2 313.427 0.685 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC[C@H]1CCCO1 ZINC001230836916 768878932 /nfs/dbraw/zinc/87/89/32/768878932.db2.gz ANQQPQCVAIIZBW-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1n[nH]c(=O)c2ccccc21 ZINC001150615191 769141072 /nfs/dbraw/zinc/14/10/72/769141072.db2.gz SUSUSBKCXIRHGI-LLVKDONJSA-N 0 2 304.287 0.374 20 0 DCADLN CNC(=O)N1CC[NH+](Cc2ccc(OC)c(C(=O)[O-])c2)CC1 ZINC001231755457 769281367 /nfs/dbraw/zinc/28/13/67/769281367.db2.gz PDZQDFZKGPNJMT-UHFFFAOYSA-N 0 2 307.350 0.850 20 0 DCADLN O=C([O-])COc1ccccc1C[N@@H+]1CCN2C(=O)COC[C@@H]2C1 ZINC001231794555 769284351 /nfs/dbraw/zinc/28/43/51/769284351.db2.gz CPCLPAXWWWVDIB-ZDUSSCGKSA-N 0 2 320.345 0.193 20 0 DCADLN O=C([O-])COc1ccccc1C[N@H+]1CCN2C(=O)COC[C@@H]2C1 ZINC001231794555 769284354 /nfs/dbraw/zinc/28/43/54/769284354.db2.gz CPCLPAXWWWVDIB-ZDUSSCGKSA-N 0 2 320.345 0.193 20 0 DCADLN O=C(NCCCNc1ccc2nnnn2n1)C(F)C(F)(F)F ZINC001094845477 769376296 /nfs/dbraw/zinc/37/62/96/769376296.db2.gz CFPWYZTYZVUWPS-QMMMGPOBSA-N 0 2 321.238 0.338 20 0 DCADLN O=C(NCCCNc1ccc2nnnn2n1)[C@H](F)C(F)(F)F ZINC001094845477 769376307 /nfs/dbraw/zinc/37/63/07/769376307.db2.gz CFPWYZTYZVUWPS-QMMMGPOBSA-N 0 2 321.238 0.338 20 0 DCADLN O=C(NCc1nn[nH]n1)c1nc2cc(Br)ccc2[nH]1 ZINC001151826286 769427913 /nfs/dbraw/zinc/42/79/13/769427913.db2.gz CYKSQLITMIIBEH-UHFFFAOYSA-N 0 2 322.126 0.769 20 0 DCADLN O=C(NCc1nn[nH]n1)c1nc2ccc(Br)cc2[nH]1 ZINC001151826286 769427915 /nfs/dbraw/zinc/42/79/15/769427915.db2.gz CYKSQLITMIIBEH-UHFFFAOYSA-N 0 2 322.126 0.769 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095289992 769741912 /nfs/dbraw/zinc/74/19/12/769741912.db2.gz VGVPLXAACFZEMV-USWWRNFRSA-N 0 2 316.365 0.682 20 0 DCADLN O=C(NCc1nn[nH]n1)c1cc(=O)c2cc(Cl)ccc2[nH]1 ZINC001153908391 769996163 /nfs/dbraw/zinc/99/61/63/769996163.db2.gz FBCFDMDCHPKCCW-UHFFFAOYSA-N 0 2 304.697 0.625 20 0 DCADLN CC(C)(O)CONC(=O)c1ncc(Br)cc1O ZINC001156708241 770900704 /nfs/dbraw/zinc/90/07/04/770900704.db2.gz QEHHNKIFAOFILL-UHFFFAOYSA-N 0 2 305.128 0.982 20 0 DCADLN Cc1ccnc(N2CCN(C(=O)[C@H](O)C(F)(F)F)CC2)c1 ZINC001183200931 771623410 /nfs/dbraw/zinc/62/34/10/771623410.db2.gz OQDHCKBJNNGVFH-NSHDSACASA-N 0 2 303.284 0.962 20 0 DCADLN CCOC(=O)c1nc[nH]c1CNC(=O)[C@@H]1C=CN=C2N=CC=C21 ZINC001183590236 771671878 /nfs/dbraw/zinc/67/18/78/771671878.db2.gz WPCMLLDGTUVTEN-SNVBAGLBSA-N 0 2 313.317 0.755 20 0 DCADLN CCOC(=O)c1nc[nH]c1CNC(=O)C1C=CN=C2N=CC=C21 ZINC001183590236 771671880 /nfs/dbraw/zinc/67/18/80/771671880.db2.gz WPCMLLDGTUVTEN-SNVBAGLBSA-N 0 2 313.317 0.755 20 0 DCADLN CO[C@H](C(=O)N[C@@H](C)c1nn(C)cc1O)C(=O)OC(C)(C)C ZINC001158307660 771756511 /nfs/dbraw/zinc/75/65/11/771756511.db2.gz QVUZNIMTIATTDI-GZMMTYOYSA-N 0 2 313.354 0.660 20 0 DCADLN Nc1cc(F)c(Cl)nc1Nc1c(O)[nH]c(=O)[nH]c1=S ZINC001160853557 772125076 /nfs/dbraw/zinc/12/50/76/772125076.db2.gz OYNCTXALHZTTOC-SCSAIBSYSA-N 0 2 303.706 0.404 20 0 DCADLN CCOCCS(=O)(=O)Nc1nccnc1N1CCOCC1 ZINC001187244158 772152243 /nfs/dbraw/zinc/15/22/43/772152243.db2.gz YEUJBEJZQVDWRR-UHFFFAOYSA-N 0 2 316.383 0.091 20 0 DCADLN O=S(=O)(NCCOCCO)c1onc(C2CC2)c1Cl ZINC001187292246 772161312 /nfs/dbraw/zinc/16/13/12/772161312.db2.gz ZHSJTYGGJAIRKG-UHFFFAOYSA-N 0 2 310.759 0.493 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(N2CCOCC2)c1 ZINC001187412230 772181528 /nfs/dbraw/zinc/18/15/28/772181528.db2.gz XUEOOEQWLXHMKI-UHFFFAOYSA-N 0 2 303.322 0.277 20 0 DCADLN O=C(Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12)c1cn[nH]n1 ZINC001189347991 772458132 /nfs/dbraw/zinc/45/81/32/772458132.db2.gz HVGDBARIFNMGTJ-UHFFFAOYSA-N 0 2 324.260 0.344 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(N[C@H]2CCO[C@]3(CC[NH2+]C3)C2)cc1 ZINC001163333197 772508048 /nfs/dbraw/zinc/50/80/48/772508048.db2.gz PWOLSKDLVNJRLD-GXTWGEPZSA-N 0 2 312.306 0.813 20 0 DCADLN O=[P@]([O-])(O)c1ccc(N[C@H]2CCO[C@]3(CC[NH2+]C3)C2)cc1 ZINC001163333197 772508049 /nfs/dbraw/zinc/50/80/49/772508049.db2.gz PWOLSKDLVNJRLD-GXTWGEPZSA-N 0 2 312.306 0.813 20 0 DCADLN CS(=O)(=O)c1ccc(NS(=O)(=O)c2ncc[nH]2)c(F)c1 ZINC001190721347 772668748 /nfs/dbraw/zinc/66/87/48/772668748.db2.gz HLRTZPMFQVWQAB-UHFFFAOYSA-N 0 2 319.339 0.753 20 0 DCADLN O=C(Nc1ncnc2n[nH]nc21)c1cnc(Br)o1 ZINC001191713436 772808729 /nfs/dbraw/zinc/80/87/29/772808729.db2.gz KFPNWQVFLWVFMH-UHFFFAOYSA-N 0 2 310.071 0.751 20 0 DCADLN COC(=O)[C@H]1C[C@@H]1c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001192208201 772884774 /nfs/dbraw/zinc/88/47/74/772884774.db2.gz CAUYVLMMGLVEEZ-MNOVXSKESA-N 0 2 316.317 0.717 20 0 DCADLN CS(=O)(=O)N1CCN(C(=O)c2c(F)ccc(F)c2O)CC1 ZINC001192703086 772948804 /nfs/dbraw/zinc/94/88/04/772948804.db2.gz OFJVBYOLFORUOU-UHFFFAOYSA-N 0 2 320.317 0.388 20 0 DCADLN Nc1cc(F)ccc1S(=O)(=O)Nc1cnn(C2COC2)c1 ZINC001205593793 773002257 /nfs/dbraw/zinc/00/22/57/773002257.db2.gz OYAZYEGIXRPBTF-UHFFFAOYSA-N 0 2 312.326 0.977 20 0 DCADLN COC(=O)c1ccncc1NS(=O)(=O)C[C@H]1CCCO1 ZINC001193204995 773024019 /nfs/dbraw/zinc/02/40/19/773024019.db2.gz BDQHEAKBAKKNCB-SECBINFHSA-N 0 2 300.336 0.789 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)COC1CCCC1 ZINC001206261101 773058867 /nfs/dbraw/zinc/05/88/67/773058867.db2.gz QJYCKDKALOPPBR-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)COC1CCCC1 ZINC001206261101 773058870 /nfs/dbraw/zinc/05/88/70/773058870.db2.gz QJYCKDKALOPPBR-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCc1cccnc1 ZINC001206317911 773066183 /nfs/dbraw/zinc/06/61/83/773066183.db2.gz NTTMDWFSTCMWKX-CYBMUJFWSA-N 0 2 316.379 0.187 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ncn(-c2cccc(F)c2)n1 ZINC001193761207 773112466 /nfs/dbraw/zinc/11/24/66/773112466.db2.gz OHTKQZMTOKNNKU-LLVKDONJSA-N 0 2 321.293 0.445 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cn(Cc2ccccc2F)cn1 ZINC001194635207 773216537 /nfs/dbraw/zinc/21/65/37/773216537.db2.gz PUNXMAFTLFUAJM-UHFFFAOYSA-N 0 2 316.296 0.824 20 0 DCADLN CS[C@H](C)CC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195541426 773431240 /nfs/dbraw/zinc/43/12/40/773431240.db2.gz UHZHNJQEPYQTPT-SNVBAGLBSA-N 0 2 313.427 0.686 20 0 DCADLN COc1ccc(F)c(S(=O)(=O)Nc2c(O)nc[nH]c2=O)c1 ZINC001196726473 773639012 /nfs/dbraw/zinc/63/90/12/773639012.db2.gz RELCVTWEJGZVHX-UHFFFAOYSA-N 0 2 315.282 0.836 20 0 DCADLN COC(=O)/C=C/c1cccc(S(=O)(=O)Nc2ncn(C)n2)c1 ZINC001197067183 773689656 /nfs/dbraw/zinc/68/96/56/773689656.db2.gz VPJSTGLBTDKTME-VOTSOKGWSA-N 0 2 322.346 0.802 20 0 DCADLN COC(=O)c1cncc(NS(=O)(=O)Cc2cccnc2)n1 ZINC001197421253 773745476 /nfs/dbraw/zinc/74/54/76/773745476.db2.gz DVMGWOFTUNIOIA-UHFFFAOYSA-N 0 2 308.319 0.600 20 0 DCADLN O=c1[nH]cc(-c2nc(-c3cccc(-n4cccn4)c3)no2)[nH]c1=O ZINC001213927968 773902063 /nfs/dbraw/zinc/90/20/63/773902063.db2.gz LGKIILVOBMRAIZ-UHFFFAOYSA-N 0 2 322.284 0.966 20 0 DCADLN COc1ccnc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c1F ZINC001213930223 773904242 /nfs/dbraw/zinc/90/42/42/773904242.db2.gz HKRAKZIANPXVCZ-UHFFFAOYSA-N 0 2 305.225 0.323 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C[C@@H](C)C1CC1 ZINC001213938337 773905448 /nfs/dbraw/zinc/90/54/48/773905448.db2.gz PJBMYOONTDEKEC-YUSALJHKSA-N 0 2 323.397 0.262 20 0 DCADLN COC(=O)c1ncccc1NS(=O)(=O)c1cnc(C)n1C ZINC001198353979 773914471 /nfs/dbraw/zinc/91/44/71/773914471.db2.gz FWXQRDHONLDWDL-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COC(=O)c1ncc(NS(=O)(=O)c2cnc(C)n2C)cn1 ZINC001198355866 773915225 /nfs/dbraw/zinc/91/52/25/773915225.db2.gz HUPXMALDYYRAKP-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN Nc1c2c(=O)nccc-2[n-]n1C(=O)c1c[nH+]ccc1N1CCCC1 ZINC001199371369 774098891 /nfs/dbraw/zinc/09/88/91/774098891.db2.gz TYSQXTUSYULRPQ-UHFFFAOYSA-N 0 2 324.344 0.942 20 0 DCADLN O=c1cc(I)nc(OC[C@H]2C[C@H](O)C2)[nH]1 ZINC001218426847 774225202 /nfs/dbraw/zinc/22/52/02/774225202.db2.gz JKKLBXUTOYAINL-IZLXSQMJSA-N 0 2 322.102 0.937 20 0 DCADLN CCOc1nccnc1NS(=O)(=O)CCCC(=O)OC ZINC001201905049 774575313 /nfs/dbraw/zinc/57/53/13/774575313.db2.gz DYXOVSRCQZRWTO-UHFFFAOYSA-N 0 2 303.340 0.570 20 0 DCADLN COCC[C@H](C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001222031679 775250712 /nfs/dbraw/zinc/25/07/12/775250712.db2.gz QZXBDAGLKOJZSW-QJPTWQEYSA-N 0 2 323.397 0.216 20 0 DCADLN Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@H]1C[C@H]3CC[C@@H]1[N@H+]3CCO)CC2 ZINC001095647477 775282826 /nfs/dbraw/zinc/28/28/26/775282826.db2.gz UOMRHFVBMMZBNV-MPTQWLOMSA-N 0 2 318.421 0.537 20 0 DCADLN CCSCCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226285239 775793705 /nfs/dbraw/zinc/79/37/05/775793705.db2.gz OEFGPHHAARRCEB-UHFFFAOYSA-N 0 2 313.427 0.734 20 0 DCADLN CC1=C(Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)C(=O)O[C@@H]1C ZINC001226887246 775890651 /nfs/dbraw/zinc/89/06/51/775890651.db2.gz DFHBRZDLRABPFM-SCSAIBSYSA-N 0 2 306.234 0.135 20 0 DCADLN COC(=O)C1(C)CC(Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c32)C1 ZINC001226887108 775890717 /nfs/dbraw/zinc/89/07/17/775890717.db2.gz ZFGAEIOUMPOLID-UHFFFAOYSA-N 0 2 322.277 0.257 20 0 DCADLN CC(F)(F)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041155100 777094835 /nfs/dbraw/zinc/09/48/35/777094835.db2.gz WMWGQSADFGZLJD-RXMQYKEDSA-N 0 2 322.205 0.232 20 0 DCADLN CC(F)(F)C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001041155100 777094842 /nfs/dbraw/zinc/09/48/42/777094842.db2.gz WMWGQSADFGZLJD-RXMQYKEDSA-N 0 2 322.205 0.232 20 0 DCADLN CN(CCn1cc[nH+]c1)S(=O)(=O)[C@@H]1CCCC[C@H]1C(=O)[O-] ZINC001465113804 804135696 /nfs/dbraw/zinc/13/56/96/804135696.db2.gz CNIAJZMCCNZFFG-VXGBXAGGSA-N 0 2 315.395 0.788 20 0 DCADLN Cc1ncc(S(=O)(=O)NCc2c[nH+]cn2C)cc1C(=O)[O-] ZINC001600746914 1168273443 /nfs/dbraw/zinc/27/34/43/1168273443.db2.gz BARXWTXCVKAURW-UHFFFAOYSA-N 0 2 310.335 0.300 20 0 DCADLN NS(=O)(=O)C[C@H]1CCC[N@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001601193709 1168653008 /nfs/dbraw/zinc/65/30/08/1168653008.db2.gz GUAFUKYMWNJOAI-QMMMGPOBSA-N 0 2 319.408 0.342 20 0 DCADLN NS(=O)(=O)C[C@H]1CCC[N@@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001601193709 1168653022 /nfs/dbraw/zinc/65/30/22/1168653022.db2.gz GUAFUKYMWNJOAI-QMMMGPOBSA-N 0 2 319.408 0.342 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CSCCS1 ZINC001601568500 1168784285 /nfs/dbraw/zinc/78/42/85/1168784285.db2.gz VJOCASOADKWRHI-RKDXNWHRSA-N 0 2 301.393 0.370 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CSCCS1 ZINC001601568500 1168784293 /nfs/dbraw/zinc/78/42/93/1168784293.db2.gz VJOCASOADKWRHI-RKDXNWHRSA-N 0 2 301.393 0.370 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481687378 940038901 /nfs/dbraw/zinc/03/89/01/940038901.db2.gz DZHPDPHGEJZCMH-UFFNRZRYSA-N 0 2 302.338 0.242 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481687378 940038903 /nfs/dbraw/zinc/03/89/03/940038903.db2.gz DZHPDPHGEJZCMH-UFFNRZRYSA-N 0 2 302.338 0.242 20 0 DCADLN C[C@@H]([NH2+]Cc1ccc(CNC(=O)Cn2cc[nH+]c2)cc1)C(N)=O ZINC001482035427 940218524 /nfs/dbraw/zinc/21/85/24/940218524.db2.gz AIGBWGMGWQOTFV-GFCCVEGCSA-N 0 2 315.377 0.163 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001496681491 940350226 /nfs/dbraw/zinc/35/02/26/940350226.db2.gz GNSQFWMFQMBVOX-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001496681491 940350229 /nfs/dbraw/zinc/35/02/29/940350229.db2.gz GNSQFWMFQMBVOX-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN CCOCCC(=O)N[C@H](C)[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001280215852 940892591 /nfs/dbraw/zinc/89/25/91/940892591.db2.gz VLSRALGCNYEBQL-GHMZBOCLSA-N 0 2 310.398 0.697 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)c1ccsc1)C(=O)OCC ZINC000714363398 941472276 /nfs/dbraw/zinc/47/22/76/941472276.db2.gz QHIVFGQDYQUEMP-UHFFFAOYSA-N 0 2 321.376 0.521 20 0 DCADLN CC[C@@H](CNC(=O)c1cnco1)NC(=O)Cc1[nH]c[nH+]c1C ZINC001409344284 941954795 /nfs/dbraw/zinc/95/47/95/941954795.db2.gz DSAQKENUXQQXFA-JTQLQIEISA-N 0 2 305.338 0.573 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cn(C)nn1 ZINC001409565317 942057447 /nfs/dbraw/zinc/05/74/47/942057447.db2.gz HEUHMXHBEBDBRU-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1cn(C)nn1 ZINC001409565317 942057450 /nfs/dbraw/zinc/05/74/50/942057450.db2.gz HEUHMXHBEBDBRU-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN CC(C)(CNC(=O)c1c(O)cc(F)cc1F)CS(N)(=O)=O ZINC001412864719 942113086 /nfs/dbraw/zinc/11/30/86/942113086.db2.gz GQHHFFHKOBQNRL-UHFFFAOYSA-N 0 2 322.333 0.715 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001412882878 942122253 /nfs/dbraw/zinc/12/22/53/942122253.db2.gz WRRIWKJFAMBBQQ-SVRRBLITSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001412882878 942122256 /nfs/dbraw/zinc/12/22/56/942122256.db2.gz WRRIWKJFAMBBQQ-SVRRBLITSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001412882874 942122528 /nfs/dbraw/zinc/12/25/28/942122528.db2.gz WRRIWKJFAMBBQQ-POYBYMJQSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001412882874 942122529 /nfs/dbraw/zinc/12/25/29/942122529.db2.gz WRRIWKJFAMBBQQ-POYBYMJQSA-N 0 2 311.235 0.122 20 0 DCADLN CNc1ncccc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001412891512 942126323 /nfs/dbraw/zinc/12/63/23/942126323.db2.gz ZURYMUGMOIOGFI-VIFPVBQESA-N 0 2 302.338 0.967 20 0 DCADLN CC(C)(C)CC[C@@H](CO)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001412892581 942127214 /nfs/dbraw/zinc/12/72/14/942127214.db2.gz VOICVDCDTXLUSI-JTQLQIEISA-N 0 2 321.381 0.375 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2[nH][nH]c3cc(=O)ccc2-3)S1 ZINC001413010073 942197149 /nfs/dbraw/zinc/19/71/49/942197149.db2.gz MQQGMKFJSCNYHA-QMMMGPOBSA-N 0 2 305.319 0.763 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)Nc2[nH][nH]c3cc(=O)ccc2-3)S1 ZINC001413010073 942197153 /nfs/dbraw/zinc/19/71/53/942197153.db2.gz MQQGMKFJSCNYHA-QMMMGPOBSA-N 0 2 305.319 0.763 20 0 DCADLN CCC[C@H](OCC)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001483674080 942653605 /nfs/dbraw/zinc/65/36/05/942653605.db2.gz WRZXZOGOBCCPJL-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(N[C@H](CO)[C@H](O)c1ccccn1)c1cc(F)c(O)c(F)c1 ZINC001413415282 942961585 /nfs/dbraw/zinc/96/15/85/942961585.db2.gz JSYJZXWHDVUUFG-TZMCWYRMSA-N 0 2 324.283 0.890 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)NC23CCN(CC2)C3)c(Cl)o1 ZINC001413421223 942966200 /nfs/dbraw/zinc/96/62/00/942966200.db2.gz SMWHWKACRXTQLQ-UHFFFAOYSA-N 0 2 319.770 0.158 20 0 DCADLN C[C@@H]1CCCCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413457073 942990512 /nfs/dbraw/zinc/99/05/12/942990512.db2.gz GKMUUJPGLGSNMJ-SECBINFHSA-N 0 2 315.399 0.433 20 0 DCADLN CCC(=O)N1CCC[C@](CO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001055978260 943156632 /nfs/dbraw/zinc/15/66/32/943156632.db2.gz WYBMGQAKZCTXEG-ONGXEEELSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N1CCC[C@](CO)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001055978260 943156635 /nfs/dbraw/zinc/15/66/35/943156635.db2.gz WYBMGQAKZCTXEG-ONGXEEELSA-N 0 2 314.279 0.767 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2[C@@H]2CCOC2)S1 ZINC001413704679 943595941 /nfs/dbraw/zinc/59/59/41/943595941.db2.gz VJQHQLRMLBJUDQ-HTQZYQBOSA-N 0 2 309.351 0.339 20 0 DCADLN C[C@@H](NC(=O)c1ncc(C(N)=O)cc1Cl)c1nn(C)cc1O ZINC001413762542 943671003 /nfs/dbraw/zinc/67/10/03/943671003.db2.gz MHKBQCISGNYMHP-ZCFIWIBFSA-N 0 2 323.740 0.764 20 0 DCADLN C[C@@H](NC(=O)c1cnn2c1NC[C@H](C)C2)c1nn(C)cc1O ZINC001413768981 943676704 /nfs/dbraw/zinc/67/67/04/943676704.db2.gz XUUIWIGTVGHUNZ-DTWKUNHWSA-N 0 2 304.354 0.875 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491184860 943812616 /nfs/dbraw/zinc/81/26/16/943812616.db2.gz NSJHDXGXLRWDPJ-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491184860 943812622 /nfs/dbraw/zinc/81/26/22/943812622.db2.gz NSJHDXGXLRWDPJ-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN C[C@H](CNC(=O)CCc1ccccn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485336265 943841724 /nfs/dbraw/zinc/84/17/24/943841724.db2.gz IJJNMUFWCNSCPM-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN C[C@H](CNC(=O)CCc1ccccn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485336265 943841726 /nfs/dbraw/zinc/84/17/26/943841726.db2.gz IJJNMUFWCNSCPM-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cc[nH]c(=O)c1 ZINC001491220316 943842240 /nfs/dbraw/zinc/84/22/40/943842240.db2.gz LVBFMENFNRHTPL-QMMMGPOBSA-N 0 2 309.219 0.534 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cc[nH]c(=O)c1 ZINC001491220316 943842243 /nfs/dbraw/zinc/84/22/43/943842243.db2.gz LVBFMENFNRHTPL-QMMMGPOBSA-N 0 2 309.219 0.534 20 0 DCADLN CO[C@@H](C)CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491241553 943865531 /nfs/dbraw/zinc/86/55/31/943865531.db2.gz BNSKLFROENLRFZ-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CO[C@@H](C)CCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491241553 943865535 /nfs/dbraw/zinc/86/55/35/943865535.db2.gz BNSKLFROENLRFZ-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN NC(=O)c1[nH]nc2c1CN(C(=O)c1ccc(O)c(F)c1F)CC2 ZINC001276858969 944331933 /nfs/dbraw/zinc/33/19/33/944331933.db2.gz SZOPDHGCNOYPKJ-UHFFFAOYSA-N 0 2 322.271 0.691 20 0 DCADLN Cn1nncc1C[NH2+]C[C@@H](NC(=O)Cn1cc[nH+]c1)C(C)(C)C ZINC001486423288 944871669 /nfs/dbraw/zinc/87/16/69/944871669.db2.gz RRRBKYARHDNYAT-CYBMUJFWSA-N 0 2 319.413 0.332 20 0 DCADLN COCCC(=O)NCC1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001486601813 944989384 /nfs/dbraw/zinc/98/93/84/944989384.db2.gz KRRJEJFYQUSFGV-KTOWXAHTSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NCC1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001486601813 944989388 /nfs/dbraw/zinc/98/93/88/944989388.db2.gz KRRJEJFYQUSFGV-KTOWXAHTSA-N 0 2 314.279 0.934 20 0 DCADLN CCN(CC[NH2+]Cc1cn(CC)nn1)C(=O)c1n[nH]c(C)c1[O-] ZINC001320715701 945989373 /nfs/dbraw/zinc/98/93/73/945989373.db2.gz SGTGILMHHPXYAV-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CC(=O)OCN1CCC(NS(=O)(=O)CC(F)(F)F)CC1 ZINC001253253998 946101874 /nfs/dbraw/zinc/10/18/74/946101874.db2.gz GAVUCWQYDVKFPT-UHFFFAOYSA-N 0 2 318.317 0.453 20 0 DCADLN Cc1nc(Cl)ccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001256212792 946597220 /nfs/dbraw/zinc/59/72/20/946597220.db2.gz ZJDKTNQZLGYLQH-UHFFFAOYSA-N 0 2 310.701 0.122 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001410618197 946663080 /nfs/dbraw/zinc/66/30/80/946663080.db2.gz RYVJXQRBKBFNJK-AWEZNQCLSA-N 0 2 321.425 0.401 20 0 DCADLN O=C(NCCNS(=O)(=O)CC(F)(F)F)c1cccs1 ZINC000355567438 946922893 /nfs/dbraw/zinc/92/28/93/946922893.db2.gz YFXPZPZLQJKOPJ-UHFFFAOYSA-N 0 2 316.326 0.960 20 0 DCADLN Cc1nn(C)c(NS(=O)(=O)C2CCCCC2)c1C(N)=O ZINC001259808692 946986950 /nfs/dbraw/zinc/98/69/50/946986950.db2.gz YLJCTYVMRKEFHU-UHFFFAOYSA-N 0 2 300.384 0.902 20 0 DCADLN COC(=O)[C@H](Cc1cccc(O)c1)NS(=O)(=O)C(F)F ZINC001259961889 947041479 /nfs/dbraw/zinc/04/14/79/947041479.db2.gz WQQBWHPOXYALNM-VIFPVBQESA-N 0 2 309.290 0.618 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC001260225128 947086728 /nfs/dbraw/zinc/08/67/28/947086728.db2.gz FLUAWQKVINBSKT-TXEJJXNPSA-N 0 2 310.375 0.852 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC001260225128 947086742 /nfs/dbraw/zinc/08/67/42/947086742.db2.gz FLUAWQKVINBSKT-TXEJJXNPSA-N 0 2 310.375 0.852 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)o1 ZINC001260666993 947135894 /nfs/dbraw/zinc/13/58/94/947135894.db2.gz SWHZCHIRIZAPPT-UHFFFAOYSA-N 0 2 310.291 0.510 20 0 DCADLN CNC(=O)CCCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001324555854 947456667 /nfs/dbraw/zinc/45/66/67/947456667.db2.gz XJOODLCAAWXAGI-SNVBAGLBSA-N 0 2 309.370 0.523 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N1CC[C@H](CC[NH2+]Cc2cnon2)C1 ZINC001326320759 948128939 /nfs/dbraw/zinc/12/89/39/948128939.db2.gz YYIYLTOHECKYQP-ZDUSSCGKSA-N 0 2 318.381 0.603 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc(=O)[nH]c(C2CC2)n1 ZINC001326757111 948268886 /nfs/dbraw/zinc/26/88/86/948268886.db2.gz PKGCGYIZKSMRSA-UHFFFAOYSA-N 0 2 307.335 0.704 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N(C)C[C@H](C)NC(=O)C[N@H+](C)C(C)C ZINC001487856936 948339422 /nfs/dbraw/zinc/33/94/22/948339422.db2.gz VMJNQCFPRLBONI-LBPRGKRZSA-N 0 2 323.441 0.564 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H]1CC[C@H](O)C1 ZINC001570921554 948623129 /nfs/dbraw/zinc/62/31/29/948623129.db2.gz FGNREPYUSHPTPH-BDAKNGLRSA-N 0 2 318.337 0.167 20 0 DCADLN COc1cc(COCC(=O)N[C@@H](C)c2nn(C)cc2O)ccn1 ZINC001364394155 949178053 /nfs/dbraw/zinc/17/80/53/949178053.db2.gz CYTOAAPVLLLWEB-JTQLQIEISA-N 0 2 320.349 0.923 20 0 DCADLN CCc1csc(NCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001364588521 949594902 /nfs/dbraw/zinc/59/49/02/949594902.db2.gz RWSDHBSNCJTPDG-UHFFFAOYSA-N 0 2 301.397 0.980 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001595261133 949664484 /nfs/dbraw/zinc/66/44/84/949664484.db2.gz WSYWPVKKYKCUPP-CYBMUJFWSA-N 0 2 312.347 0.174 20 0 DCADLN Cc1cn2c(n1)C[C@H](C(=O)NCCc1n[nH]c(=S)o1)CC2 ZINC001329978972 950029597 /nfs/dbraw/zinc/02/95/97/950029597.db2.gz JXPIAMGGWDLWAB-SECBINFHSA-N 0 2 307.379 0.785 20 0 DCADLN CCn1nccc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001364972650 950280792 /nfs/dbraw/zinc/28/07/92/950280792.db2.gz DKFOJKZFQVLZOW-UHFFFAOYSA-N 0 2 305.338 0.727 20 0 DCADLN CCN(C)C(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001589713664 950351300 /nfs/dbraw/zinc/35/13/00/950351300.db2.gz DMVARXDPMIXPOJ-LLVKDONJSA-N 0 2 315.370 0.130 20 0 DCADLN CCN(C)C(=O)OC[C@H]1CCCC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001589713664 950351321 /nfs/dbraw/zinc/35/13/21/950351321.db2.gz DMVARXDPMIXPOJ-LLVKDONJSA-N 0 2 315.370 0.130 20 0 DCADLN O=C(CNS(=O)(=O)c1onc(C2CC2)c1Cl)NC1CC1 ZINC001365015097 950355635 /nfs/dbraw/zinc/35/56/35/950355635.db2.gz FYKMZBGLFOSNKB-UHFFFAOYSA-N 0 2 319.770 0.762 20 0 DCADLN C[C@H](NC(=O)c1cc(=O)[nH]c(C2CC2)n1)c1nn(C)cc1O ZINC001365121356 950557967 /nfs/dbraw/zinc/55/79/67/950557967.db2.gz SGONJRFIJKSGAR-ZETCQYMHSA-N 0 2 303.322 0.990 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1ccnn1C ZINC001365242515 950800458 /nfs/dbraw/zinc/80/04/58/950800458.db2.gz PADJHRGIHGUSEP-UWVGGRQHSA-N 0 2 319.369 0.894 20 0 DCADLN COc1nn(C)cc1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365498288 951193185 /nfs/dbraw/zinc/19/31/85/951193185.db2.gz BBHZXKTXVIPNQL-QMMMGPOBSA-N 0 2 321.341 0.664 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)C1 ZINC000382520623 951223743 /nfs/dbraw/zinc/22/37/43/951223743.db2.gz QRGVDIBIKLBPDN-MJBXVCDLSA-N 0 2 310.394 0.811 20 0 DCADLN Cn1ccnc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1=O ZINC001365776004 951525987 /nfs/dbraw/zinc/52/59/87/951525987.db2.gz RJUMLXFGYLVYBP-MRVPVSSYSA-N 0 2 319.325 0.016 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@@H+]1CC[C@H]1CN(C)C(=O)C[NH+]1CCCC1 ZINC001365805011 951561768 /nfs/dbraw/zinc/56/17/68/951561768.db2.gz NPKSVEUPWNOXSN-GJZGRUSLSA-N 0 2 324.469 0.659 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)NCc1ccccc1C(=O)[O-] ZINC001332438075 951646508 /nfs/dbraw/zinc/64/65/08/951646508.db2.gz NDEQLKVLXNFVGJ-UTCJRWHESA-N 0 2 304.346 0.889 20 0 DCADLN O=C([O-])COCCNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001332598704 951714937 /nfs/dbraw/zinc/71/49/37/951714937.db2.gz XKTGINACWYSFCR-AWEZNQCLSA-N 0 2 321.377 0.654 20 0 DCADLN O=C([O-])COCCNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001332598704 951714942 /nfs/dbraw/zinc/71/49/42/951714942.db2.gz XKTGINACWYSFCR-AWEZNQCLSA-N 0 2 321.377 0.654 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@H]2[N@H+]1CC(=O)NCC(F)(F)F ZINC001604711926 1169661249 /nfs/dbraw/zinc/66/12/49/1169661249.db2.gz QFUXPSLFOOCUGW-IMSYWVGJSA-N 0 2 324.299 0.760 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@@H]1CN1CCCC1 ZINC001595124259 951998911 /nfs/dbraw/zinc/99/89/11/951998911.db2.gz OCHCUMSBBILHAM-GFCCVEGCSA-N 0 2 304.412 0.046 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@@H]1CN1CCCC1 ZINC001595124259 951998914 /nfs/dbraw/zinc/99/89/14/951998914.db2.gz OCHCUMSBBILHAM-GFCCVEGCSA-N 0 2 304.412 0.046 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)C1 ZINC001595173425 952230035 /nfs/dbraw/zinc/23/00/35/952230035.db2.gz QVKYJQCRIQZPGI-BFHYXJOUSA-N 0 2 310.394 0.811 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)ccn1 ZINC000382526233 971819950 /nfs/dbraw/zinc/81/99/50/971819950.db2.gz BEZUMZFXEWEMOX-ZDUSSCGKSA-N 0 2 319.361 0.717 20 0 DCADLN CO[C@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001412206360 952695049 /nfs/dbraw/zinc/69/50/49/952695049.db2.gz AWBPUYCJNXZRLN-BGZDPUMWSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001412206360 952695051 /nfs/dbraw/zinc/69/50/51/952695051.db2.gz AWBPUYCJNXZRLN-BGZDPUMWSA-N 0 2 314.279 0.933 20 0 DCADLN C[C@@H]1CCc2n[nH]cc2[C@H]1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001412376219 952768953 /nfs/dbraw/zinc/76/89/53/952768953.db2.gz OKDQKYXSWKKLEZ-UHLUBPPHSA-N 0 2 303.322 0.593 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc(CO)cc(CO)c2)S1 ZINC001412405599 952781904 /nfs/dbraw/zinc/78/19/04/952781904.db2.gz ZNMWYEBCFHKQBM-SNVBAGLBSA-N 0 2 309.347 0.166 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c(Cl)n1 ZINC001337634649 952892461 /nfs/dbraw/zinc/89/24/61/952892461.db2.gz SCIAUVPSNBALSC-UHFFFAOYSA-N 0 2 310.701 0.122 20 0 DCADLN CCOCC(=O)N[C@@H](C)CN(C)C(=O)C(F)C(F)(F)F ZINC001412564319 952900686 /nfs/dbraw/zinc/90/06/86/952900686.db2.gz QYZVSTVMBUOGDC-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N[C@@H](C)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001412564319 952900689 /nfs/dbraw/zinc/90/06/89/952900689.db2.gz QYZVSTVMBUOGDC-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CC(C)CS(=O)(=O)CC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001412753144 953056989 /nfs/dbraw/zinc/05/69/89/953056989.db2.gz HKGATKCCZFAWCX-VIFPVBQESA-N 0 2 303.384 0.374 20 0 DCADLN COCC(=O)NCC1=CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001339336265 953087836 /nfs/dbraw/zinc/08/78/36/953087836.db2.gz QITTZDZNYOWQAT-SNVBAGLBSA-N 0 2 312.263 0.808 20 0 DCADLN COCC(=O)NCC1=CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001339336265 953087841 /nfs/dbraw/zinc/08/78/41/953087841.db2.gz QITTZDZNYOWQAT-SNVBAGLBSA-N 0 2 312.263 0.808 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)COC(C)(C)C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001365890474 953367115 /nfs/dbraw/zinc/36/71/15/953367115.db2.gz RMHVXDDEABNKKX-ZJUUUORDSA-N 0 2 311.386 0.404 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)COC(C)(C)C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001365890474 953367119 /nfs/dbraw/zinc/36/71/19/953367119.db2.gz RMHVXDDEABNKKX-ZJUUUORDSA-N 0 2 311.386 0.404 20 0 DCADLN CCO[C@@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001365939505 953434162 /nfs/dbraw/zinc/43/41/62/953434162.db2.gz RXRDOJRVZBUDJT-DGCLKSJQSA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001365939495 953437067 /nfs/dbraw/zinc/43/70/67/953437067.db2.gz RXRDOJRVZBUDJT-AAEUAGOBSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001589274523 953451109 /nfs/dbraw/zinc/45/11/09/953451109.db2.gz UAXHXALRWGVXPJ-GRYCIOLGSA-N 0 2 306.366 0.950 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC001589289298 953587606 /nfs/dbraw/zinc/58/76/06/953587606.db2.gz IEWNVSFVLCMVED-CYDGBPFRSA-N 0 2 311.382 0.354 20 0 DCADLN CC(C)(C)/C=C/C(=O)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001282510017 953610540 /nfs/dbraw/zinc/61/05/40/953610540.db2.gz LISKZWAOAUXXMC-SNAWJCMRSA-N 0 2 323.397 0.166 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001282951318 953817593 /nfs/dbraw/zinc/81/75/93/953817593.db2.gz CWDZAOAURDIZGT-MRVPVSSYSA-N 0 2 310.251 0.649 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001282951318 953817607 /nfs/dbraw/zinc/81/76/07/953817607.db2.gz CWDZAOAURDIZGT-MRVPVSSYSA-N 0 2 310.251 0.649 20 0 DCADLN O=C(Nn1cnc2ccccc2c1=O)c1ccn2nnnc2c1 ZINC001348496752 954329144 /nfs/dbraw/zinc/32/91/44/954329144.db2.gz DGXSVIWSPHLUQL-UHFFFAOYSA-N 0 2 307.273 0.218 20 0 DCADLN CN(CCCNC(=O)[C@@H]1CC(C(=O)[O-])=NO1)c1cccc[nH+]1 ZINC001593750256 954396728 /nfs/dbraw/zinc/39/67/28/954396728.db2.gz DAYBHXDKNQSIQM-NSHDSACASA-N 0 2 306.322 0.254 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)Nc2cncc(C(=O)[O-])c2)CCO1 ZINC001589399025 954397008 /nfs/dbraw/zinc/39/70/08/954397008.db2.gz BTNMDKBDMZJINN-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)Nc2cncc(C(=O)[O-])c2)CCO1 ZINC001589399025 954397021 /nfs/dbraw/zinc/39/70/21/954397021.db2.gz BTNMDKBDMZJINN-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCNC(=O)c1ccc(F)cn1 ZINC001348827501 954488349 /nfs/dbraw/zinc/48/83/49/954488349.db2.gz DCOZELXUKKAHAN-UHFFFAOYSA-N 0 2 305.313 0.341 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C(=O)[O-])C(C)(C)C)CC1 ZINC001593793306 954778786 /nfs/dbraw/zinc/77/87/86/954778786.db2.gz KLJWHDJIDOVPBL-LBPRGKRZSA-N 0 2 313.398 0.014 20 0 DCADLN CNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C(=O)[O-])C(C)(C)C)CC1 ZINC001593793306 954778798 /nfs/dbraw/zinc/77/87/98/954778798.db2.gz KLJWHDJIDOVPBL-LBPRGKRZSA-N 0 2 313.398 0.014 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN(C(=O)[C@@]2(C(=O)[O-])CC=CCC2)CC1 ZINC001593793723 954786757 /nfs/dbraw/zinc/78/67/57/954786757.db2.gz WAGWRHBBDYPLQN-MRXNPFEDSA-N 0 2 323.393 0.078 20 0 DCADLN CNC(=O)C[N@H+]1CCCN(C(=O)[C@@]2(C(=O)[O-])CC=CCC2)CC1 ZINC001593793723 954786770 /nfs/dbraw/zinc/78/67/70/954786770.db2.gz WAGWRHBBDYPLQN-MRXNPFEDSA-N 0 2 323.393 0.078 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001593810510 954931652 /nfs/dbraw/zinc/93/16/52/954931652.db2.gz IUZRTZDNVCJFQR-OUAUKWLOSA-N 0 2 309.322 0.042 20 0 DCADLN CC(C)(C)OCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366893538 955008621 /nfs/dbraw/zinc/00/86/21/955008621.db2.gz MOSVPIWEOXDVPP-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001366902428 955028138 /nfs/dbraw/zinc/02/81/38/955028138.db2.gz NPIGKERJXXHIRH-WCQYABFASA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H](CNC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC001589518812 955083593 /nfs/dbraw/zinc/08/35/93/955083593.db2.gz NTJLQUZOCQJGLL-RYUDHWBXSA-N 0 2 322.365 0.274 20 0 DCADLN COCCN(CCNC(=O)[C@@]1(C)C=CCC1)Cc1n[nH]c(=O)[nH]1 ZINC001284658237 955219783 /nfs/dbraw/zinc/21/97/83/955219783.db2.gz SCOBKHBKRNTTSF-HNNXBMFYSA-N 0 2 323.397 0.431 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H](C(=O)[O-])C1CCCC1 ZINC001593863463 955564024 /nfs/dbraw/zinc/56/40/24/955564024.db2.gz IYXGIUZEIXCLFZ-NWDGAFQWSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H](C(=O)[O-])C1CCCC1 ZINC001593863463 955564036 /nfs/dbraw/zinc/56/40/36/955564036.db2.gz IYXGIUZEIXCLFZ-NWDGAFQWSA-N 0 2 323.349 0.501 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@]1(C(=O)[O-])CC=CCC1 ZINC001589040020 955587528 /nfs/dbraw/zinc/58/75/28/955587528.db2.gz WVMSMYIAURAPKS-XJKSGUPXSA-N 0 2 310.394 0.977 20 0 DCADLN Cn1cnc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)n1 ZINC001285538675 955780905 /nfs/dbraw/zinc/78/09/05/955780905.db2.gz OASYTIVAMSBIPP-AIYRYJHASA-N 0 2 323.250 0.118 20 0 DCADLN Cn1cnc(C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001285538675 955780917 /nfs/dbraw/zinc/78/09/17/955780917.db2.gz OASYTIVAMSBIPP-AIYRYJHASA-N 0 2 323.250 0.118 20 0 DCADLN C[C@H](NC(=O)Nc1cncc(C(=O)[O-])c1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001589109314 956059578 /nfs/dbraw/zinc/05/95/78/956059578.db2.gz WMZQCWGKHFVYFN-GWCFXTLKSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@H](NC(=O)Nc1cncc(C(=O)[O-])c1)[C@@H]1CN(C)CC[N@H+]1C ZINC001589109314 956059586 /nfs/dbraw/zinc/05/95/86/956059586.db2.gz WMZQCWGKHFVYFN-GWCFXTLKSA-N 0 2 321.381 0.536 20 0 DCADLN [NH3+][C@@H](CC(=O)[O-])c1noc(-c2ccc(-c3nnc[nH]3)cc2)n1 ZINC001594661620 956542125 /nfs/dbraw/zinc/54/21/25/956542125.db2.gz WGWPVRITWDFYTL-VIFPVBQESA-N 0 2 300.278 0.996 20 0 DCADLN O=C([O-])C1CC2(C1)CC[C@H](CNC(=O)CCn1cc[nH+]c1)O2 ZINC001594690072 956742030 /nfs/dbraw/zinc/74/20/30/956742030.db2.gz OBBQGGZBIZFCSI-MQYJIDSJSA-N 0 2 307.350 0.802 20 0 DCADLN CC1(C)NC(=O)N(CCCNc2[nH+]cccc2C(=O)[O-])C1=O ZINC001589232174 956835235 /nfs/dbraw/zinc/83/52/35/956835235.db2.gz FMGONJKOQUOPBV-UHFFFAOYSA-N 0 2 306.322 0.912 20 0 DCADLN O=C([O-])C1CCC(NC(=O)C(=O)N2CC[NH+](C3CC3)CC2)CC1 ZINC001594730125 957033155 /nfs/dbraw/zinc/03/31/55/957033155.db2.gz SXTKFWJSDJDQFO-UHFFFAOYSA-N 0 2 323.393 0.053 20 0 DCADLN C[N@H+](CCOc1ccccc1C(=O)[O-])CCS(C)(=O)=O ZINC000094298920 958287306 /nfs/dbraw/zinc/28/73/06/958287306.db2.gz NMOIOCJMAIOATN-UHFFFAOYSA-N 0 2 301.364 0.740 20 0 DCADLN C[N@@H+](CCOc1ccccc1C(=O)[O-])CCS(C)(=O)=O ZINC000094298920 958287316 /nfs/dbraw/zinc/28/73/16/958287316.db2.gz NMOIOCJMAIOATN-UHFFFAOYSA-N 0 2 301.364 0.740 20 0 DCADLN COc1ccc(NC(=O)Cc2cnc[nH]2)cc1NS(C)(=O)=O ZINC001361784489 958326504 /nfs/dbraw/zinc/32/65/04/958326504.db2.gz ZEUCIJXJWFXZGA-UHFFFAOYSA-N 0 2 324.362 0.971 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NC[C@H]2CCCNC2=O)cn1 ZINC001362059657 958671185 /nfs/dbraw/zinc/67/11/85/958671185.db2.gz HWWRPAKOFZNBAW-SNVBAGLBSA-N 0 2 316.365 0.549 20 0 DCADLN Cc1[nH]nc(Br)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362072603 958690814 /nfs/dbraw/zinc/69/08/14/958690814.db2.gz CVIIRNHYCNQYIG-UHFFFAOYSA-N 0 2 301.104 0.234 20 0 DCADLN C[N@@H+]1CCCN(S(=O)(=O)c2scnc2C(=O)[O-])CC1 ZINC000134668933 958844548 /nfs/dbraw/zinc/84/45/48/958844548.db2.gz SXBWHSDVPWQLFZ-UHFFFAOYSA-N 0 2 305.381 0.168 20 0 DCADLN C[N@H+]1CCCN(S(=O)(=O)c2scnc2C(=O)[O-])CC1 ZINC000134668933 958844572 /nfs/dbraw/zinc/84/45/72/958844572.db2.gz SXBWHSDVPWQLFZ-UHFFFAOYSA-N 0 2 305.381 0.168 20 0 DCADLN CC1(C)CO[C@@H](CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001367945944 958927250 /nfs/dbraw/zinc/92/72/50/958927250.db2.gz DTJVPAMTHRQQRE-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN COC[C@@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C(C)C ZINC001362213467 958939801 /nfs/dbraw/zinc/93/98/01/958939801.db2.gz BMGWDNLVVMXKSI-GFCCVEGCSA-N 0 2 320.349 0.667 20 0 DCADLN C[C@@H](O)C[C@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362277533 959054402 /nfs/dbraw/zinc/05/44/02/959054402.db2.gz JKAKASSQSXEGIA-DTWKUNHWSA-N 0 2 306.322 0.155 20 0 DCADLN C[C@]1(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCCOC1 ZINC001362289664 959080663 /nfs/dbraw/zinc/08/06/63/959080663.db2.gz ZPLQVVURMHWZOI-HNNXBMFYSA-N 0 2 318.333 0.565 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1ncccn1 ZINC001491726986 959153461 /nfs/dbraw/zinc/15/34/61/959153461.db2.gz XIVOXTSPTBNSQB-ZETCQYMHSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1ncccn1 ZINC001491726986 959153472 /nfs/dbraw/zinc/15/34/72/959153472.db2.gz XIVOXTSPTBNSQB-ZETCQYMHSA-N 0 2 308.235 0.613 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NCCCNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001491735266 959163419 /nfs/dbraw/zinc/16/34/19/959163419.db2.gz KZKZTOUXEDCIHV-ZDUSSCGKSA-N 0 2 321.425 0.307 20 0 DCADLN CO[C@@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001368148082 959326215 /nfs/dbraw/zinc/32/62/15/959326215.db2.gz IKUYGWNUEXDCCU-RISCZKNCSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001368148082 959326201 /nfs/dbraw/zinc/32/62/01/959326201.db2.gz IKUYGWNUEXDCCU-RISCZKNCSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(CCN1CSCCSC1)NCc1n[nH]c(=O)[nH]1 ZINC001362425898 959332452 /nfs/dbraw/zinc/33/24/52/959332452.db2.gz OXNRUXHAAJYHEQ-UHFFFAOYSA-N 0 2 303.413 0.214 20 0 DCADLN Cc1nonc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001377025473 959343752 /nfs/dbraw/zinc/34/37/52/959343752.db2.gz HZAVHQSVOFPUTN-CLZZGJSISA-N 0 2 312.223 0.513 20 0 DCADLN Cc1nonc1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001377025473 959343768 /nfs/dbraw/zinc/34/37/68/959343768.db2.gz HZAVHQSVOFPUTN-CLZZGJSISA-N 0 2 312.223 0.513 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](CC(=O)NCC(=O)OC(C)(C)C)C1CC1 ZINC001588593019 959364171 /nfs/dbraw/zinc/36/41/71/959364171.db2.gz URUSAODTXQYXTB-SECBINFHSA-N 0 2 300.355 0.382 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](CC(=O)NCC(=O)OC(C)(C)C)C1CC1 ZINC001588593019 959364180 /nfs/dbraw/zinc/36/41/80/959364180.db2.gz URUSAODTXQYXTB-SECBINFHSA-N 0 2 300.355 0.382 20 0 DCADLN Cc1cc(CCC(=O)N2CCSC[C@H]2c2nn[nH]n2)[nH]n1 ZINC001362518823 959510246 /nfs/dbraw/zinc/51/02/46/959510246.db2.gz LJULYOYDHNEWPZ-JTQLQIEISA-N 0 2 307.383 0.481 20 0 DCADLN C[C@H](O)C[NH+]1CCN(C(=O)c2coc(/C=C/C(=O)[O-])c2)CC1 ZINC001594243378 959548537 /nfs/dbraw/zinc/54/85/37/959548537.db2.gz TVXUFFOLDZOYAK-VUDBWIFFSA-N 0 2 308.334 0.516 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@]3(C(=O)[O-])CCCO3)CC2)cc[nH+]1 ZINC001594470430 959553147 /nfs/dbraw/zinc/55/31/47/959553147.db2.gz BUSUIZSZLYCISE-HNNXBMFYSA-N 0 2 320.349 0.067 20 0 DCADLN CN(C(=O)c1cc(S(N)(=O)=O)ccc1O)c1ccn(C)n1 ZINC001362539137 959553263 /nfs/dbraw/zinc/55/32/63/959553263.db2.gz ZTVRTRWQDWQOOX-UHFFFAOYSA-N 0 2 310.335 0.050 20 0 DCADLN CCc1cc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)n[nH]1 ZINC001362597767 959671509 /nfs/dbraw/zinc/67/15/09/959671509.db2.gz DEMMDUYQZJLSNK-UHFFFAOYSA-N 0 2 310.335 0.577 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)c2cnc(C(=O)[O-])cn2)co1 ZINC001604074756 972464480 /nfs/dbraw/zinc/46/44/80/972464480.db2.gz CIAAALHZRUNRJO-UHFFFAOYSA-N 0 2 305.294 0.154 20 0 DCADLN Cc1ccc(C)c(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001492402296 959924437 /nfs/dbraw/zinc/92/44/37/959924437.db2.gz MHJAEAGOMRLOBJ-UHFFFAOYSA-N 0 2 317.393 0.918 20 0 DCADLN Cc1ccc(C)c(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001492402296 959924444 /nfs/dbraw/zinc/92/44/44/959924444.db2.gz MHJAEAGOMRLOBJ-UHFFFAOYSA-N 0 2 317.393 0.918 20 0 DCADLN C[C@H](CC(N)=O)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001362768476 959961813 /nfs/dbraw/zinc/96/18/13/959961813.db2.gz JWCRXQKDOUDCMK-KOLCDFICSA-N 0 2 317.349 0.422 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(c3ncccn3)CC2)S1 ZINC001362941756 960205996 /nfs/dbraw/zinc/20/59/96/960205996.db2.gz WUFUBAXGIXPPNK-JTQLQIEISA-N 0 2 319.390 0.739 20 0 DCADLN C[NH+]1CCC[C@@H]1C(=O)NCC[N@H+](CC(=O)NCC1CC1)C1CC1 ZINC001377520160 960223104 /nfs/dbraw/zinc/22/31/04/960223104.db2.gz SUFJPPZOZZBBCF-OAHLLOKOSA-N 0 2 322.453 0.187 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2ccc(Cl)[nH]2)[nH]1 ZINC001362989585 960266925 /nfs/dbraw/zinc/26/69/25/960266925.db2.gz WXGORUISNURRSZ-UHFFFAOYSA-N 0 2 317.758 0.261 20 0 DCADLN CCCN(C(=O)c1cnco1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377651220 960392797 /nfs/dbraw/zinc/39/27/97/960392797.db2.gz MNRWRRRGTKNEBI-SNVBAGLBSA-N 0 2 320.353 0.625 20 0 DCADLN C[C@H]1CSC[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363175091 960538704 /nfs/dbraw/zinc/53/87/04/960538704.db2.gz BUBUMNOOYSBQQB-KWQFWETISA-N 0 2 320.374 0.748 20 0 DCADLN Cn1cnnc1CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001377829143 960753628 /nfs/dbraw/zinc/75/36/28/960753628.db2.gz PXLBZPTVBVJNID-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CCC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001377829143 960753645 /nfs/dbraw/zinc/75/36/45/960753645.db2.gz PXLBZPTVBVJNID-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN NC(=O)[C@@H]1C[C@@H]1C(=O)Nc1nccc(Br)c1O ZINC001363367853 960997551 /nfs/dbraw/zinc/99/75/51/960997551.db2.gz YEZMUMIRWGEFIO-UHNVWZDZSA-N 0 2 300.112 0.610 20 0 DCADLN O=C([O-])[C@@H](Cc1cncs1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001571092094 961114388 /nfs/dbraw/zinc/11/43/88/961114388.db2.gz OGWRVVKXESJLAT-OPRDCNLKSA-N 0 2 306.347 0.782 20 0 DCADLN O=C([O-])[C@@H](Cc1cncs1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001571092094 961114401 /nfs/dbraw/zinc/11/44/01/961114401.db2.gz OGWRVVKXESJLAT-OPRDCNLKSA-N 0 2 306.347 0.782 20 0 DCADLN O=C([O-])[C@H](Cc1cncs1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001571092093 961114935 /nfs/dbraw/zinc/11/49/35/961114935.db2.gz OGWRVVKXESJLAT-BBBLOLIVSA-N 0 2 306.347 0.782 20 0 DCADLN O=C([O-])[C@H](Cc1cncs1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001571092093 961114947 /nfs/dbraw/zinc/11/49/47/961114947.db2.gz OGWRVVKXESJLAT-BBBLOLIVSA-N 0 2 306.347 0.782 20 0 DCADLN Cc1cc(C[N@@H+]2CCCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)on1 ZINC001571097331 961185639 /nfs/dbraw/zinc/18/56/39/961185639.db2.gz WQCPVCLBOYOOGQ-OLZOCXBDSA-N 0 2 307.350 0.738 20 0 DCADLN Cc1cc(C[N@H+]2CCCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)on1 ZINC001571097331 961185653 /nfs/dbraw/zinc/18/56/53/961185653.db2.gz WQCPVCLBOYOOGQ-OLZOCXBDSA-N 0 2 307.350 0.738 20 0 DCADLN CN=[S@](C)(=O)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001363495570 961279038 /nfs/dbraw/zinc/27/90/38/961279038.db2.gz FAPLVOOMSGKOBO-OAQYLSRUSA-N 0 2 310.383 0.268 20 0 DCADLN Cc1cc(CCC(=O)NCc2nnc(C3CCOCC3)[nH]2)n[nH]1 ZINC001363517885 961335477 /nfs/dbraw/zinc/33/54/77/961335477.db2.gz QFXCVPOHOIUELX-UHFFFAOYSA-N 0 2 318.381 0.979 20 0 DCADLN CC(C)c1[nH]cc(CNS(=O)(=O)c2cc(C(=O)[O-])[nH]n2)[nH+]1 ZINC001571118952 961421071 /nfs/dbraw/zinc/42/10/71/961421071.db2.gz NUIAVYYONVOZSR-UHFFFAOYSA-N 0 2 313.339 0.433 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378101784 961429906 /nfs/dbraw/zinc/42/99/06/961429906.db2.gz OUIZWAIWGAZLQH-QMMMGPOBSA-N 0 2 321.385 0.111 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378101784 961429916 /nfs/dbraw/zinc/42/99/16/961429916.db2.gz OUIZWAIWGAZLQH-QMMMGPOBSA-N 0 2 321.385 0.111 20 0 DCADLN CC(C)[C@H](C(=O)[O-])C(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001588803820 961453089 /nfs/dbraw/zinc/45/30/89/961453089.db2.gz JAUYJMUSVSOXRA-NEPJUHHUSA-N 0 2 312.366 0.239 20 0 DCADLN C[C@H](NC(=O)c1ccc(/C=C\C(=O)[O-])o1)[C@@H]1C[N@H+](C)CCO1 ZINC001332206462 961533383 /nfs/dbraw/zinc/53/33/83/961533383.db2.gz BSCWVUGGLPREEU-UDUMCOPGSA-N 0 2 308.334 0.826 20 0 DCADLN C[C@H](NC(=O)c1ccc(/C=C\C(=O)[O-])o1)[C@@H]1C[N@@H+](C)CCO1 ZINC001332206462 961533396 /nfs/dbraw/zinc/53/33/96/961533396.db2.gz BSCWVUGGLPREEU-UDUMCOPGSA-N 0 2 308.334 0.826 20 0 DCADLN Cc1cc(C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)cc(=O)[nH]1 ZINC001363622755 961565532 /nfs/dbraw/zinc/56/55/32/961565532.db2.gz MEJCSRWPJCBOMN-UHFFFAOYSA-N 0 2 318.333 0.920 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[N@@H+]1CCC[C@@H](CS(N)(=O)=O)C1 ZINC001571133263 961585287 /nfs/dbraw/zinc/58/52/87/961585287.db2.gz KZZPTHUDYSZUHB-DTWKUNHWSA-N 0 2 317.367 0.434 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[N@H+]1CCC[C@@H](CS(N)(=O)=O)C1 ZINC001571133263 961585299 /nfs/dbraw/zinc/58/52/99/961585299.db2.gz KZZPTHUDYSZUHB-DTWKUNHWSA-N 0 2 317.367 0.434 20 0 DCADLN Cc1ccc2nc(C[N@H+]3C[C@H](C)O[C@H](C(=O)[O-])C3)cc(=O)n2c1 ZINC001571133307 961586888 /nfs/dbraw/zinc/58/68/88/961586888.db2.gz NHVCDHHWNCMDDD-AAEUAGOBSA-N 0 2 317.345 0.677 20 0 DCADLN Cc1ccc2nc(C[N@@H+]3C[C@H](C)O[C@H](C(=O)[O-])C3)cc(=O)n2c1 ZINC001571133307 961586901 /nfs/dbraw/zinc/58/69/01/961586901.db2.gz NHVCDHHWNCMDDD-AAEUAGOBSA-N 0 2 317.345 0.677 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001430229165 1013270912 /nfs/dbraw/zinc/27/09/12/1013270912.db2.gz YKKVCWGLPYCPHM-BBVRLYRLSA-N 0 2 323.250 0.391 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430229165 1013270919 /nfs/dbraw/zinc/27/09/19/1013270919.db2.gz YKKVCWGLPYCPHM-BBVRLYRLSA-N 0 2 323.250 0.391 20 0 DCADLN C/C(=C/C(=O)N1CC(F)(F)C[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001332639012 961617417 /nfs/dbraw/zinc/61/74/17/961617417.db2.gz XOUCDRIGWLDRIQ-YAEJEKNGSA-N 0 2 318.320 0.586 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)N[C@H]3CCn4cc[nH+]c4C3)[C@@H]2C1 ZINC001571138311 961635969 /nfs/dbraw/zinc/63/59/69/961635969.db2.gz WITBUWSSJCCABG-LBELIVKGSA-N 0 2 318.377 0.996 20 0 DCADLN CCC(CC)(CO)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001363655749 961637430 /nfs/dbraw/zinc/63/74/30/961637430.db2.gz QSBYAGUQFXYZPF-UHFFFAOYSA-N 0 2 311.382 0.996 20 0 DCADLN CCOC(=O)c1nnc(C[NH2+]Cc2cnn(C)c2C2CC2)[n-]1 ZINC001363677110 961681282 /nfs/dbraw/zinc/68/12/82/961681282.db2.gz UOBKJAZGUUGLCV-UHFFFAOYSA-N 0 2 304.354 0.882 20 0 DCADLN COCC(C)(C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001430248433 1013285924 /nfs/dbraw/zinc/28/59/24/1013285924.db2.gz CTAAOCXOKPZIKL-QMMMGPOBSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(C)(C)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001430248433 1013285936 /nfs/dbraw/zinc/28/59/36/1013285936.db2.gz CTAAOCXOKPZIKL-QMMMGPOBSA-N 0 2 314.279 0.886 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001571154500 961843834 /nfs/dbraw/zinc/84/38/34/961843834.db2.gz NGHYCMJFQLSTBK-BWBHSNQOSA-N 0 2 321.377 0.881 20 0 DCADLN C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(C[NH+](C)C)o2)CC1 ZINC001571160701 961927714 /nfs/dbraw/zinc/92/77/14/961927714.db2.gz FHXYJXITDGFUIA-GFCCVEGCSA-N 0 2 323.393 0.962 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(C(=O)c2ccc(CN(C)C)o2)CC1 ZINC001571160701 961927729 /nfs/dbraw/zinc/92/77/29/961927729.db2.gz FHXYJXITDGFUIA-GFCCVEGCSA-N 0 2 323.393 0.962 20 0 DCADLN CC(C)c1cccc(N2CC(=O)C(C(=O)NC[C@@H](O)CO)=N2)c1 ZINC001363814362 961946113 /nfs/dbraw/zinc/94/61/13/961946113.db2.gz RWISWMRRIWGVKJ-CYBMUJFWSA-N 0 2 319.361 0.784 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)NC2CC[NH+]([C@@H]3CCOC3=O)CC2)C1 ZINC001571165663 961993680 /nfs/dbraw/zinc/99/36/80/961993680.db2.gz NQVAMXCBFOXPEJ-DMDPSCGWSA-N 0 2 324.377 0.383 20 0 DCADLN C[C@H](NC(=O)[C@@]12CCC(=O)N1CCS2)c1nn(C)cc1O ZINC001363854270 962028377 /nfs/dbraw/zinc/02/83/77/962028377.db2.gz UHHWWFFLKUIKDI-SDBXPKJASA-N 0 2 310.379 0.368 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2CO[C@H]2c2ccccc2)S1 ZINC001363858099 962038269 /nfs/dbraw/zinc/03/82/69/962038269.db2.gz ZWMWVNUBPATMMH-FOGDFJRCSA-N 0 2 305.359 0.799 20 0 DCADLN O=C(Cc1ccsc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001379940089 962344639 /nfs/dbraw/zinc/34/46/39/962344639.db2.gz HVRKMHOALKTUSY-SNVBAGLBSA-N 0 2 307.379 0.505 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C1CC1)C1CC1 ZINC001380007823 962484802 /nfs/dbraw/zinc/48/48/02/962484802.db2.gz GPZZTVURIABSAX-NSHDSACASA-N 0 2 305.382 0.637 20 0 DCADLN CC(C)O[C@]1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCOC1 ZINC001364186016 962636060 /nfs/dbraw/zinc/63/60/60/962636060.db2.gz RIROKZYJLZWBKW-ZANVPECISA-N 0 2 315.395 0.243 20 0 DCADLN CC(=O)Nc1nc(C(=O)NCC2=NC(=O)CC(=O)N2)cs1 ZINC001364204964 962667023 /nfs/dbraw/zinc/66/70/23/962667023.db2.gz LYAHYHGBRMSRIV-UHFFFAOYSA-N 0 2 309.307 0.233 20 0 DCADLN CC(=O)Nc1nc(C(=O)NCc2nc(O)cc(=O)[nH]2)cs1 ZINC001364204964 962667036 /nfs/dbraw/zinc/66/70/36/962667036.db2.gz LYAHYHGBRMSRIV-UHFFFAOYSA-N 0 2 309.307 0.233 20 0 DCADLN Cc1nnc(CCC(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)o1 ZINC001364246290 962746593 /nfs/dbraw/zinc/74/65/93/962746593.db2.gz ODBWGAURCLHIMJ-UHFFFAOYSA-N 0 2 321.337 0.521 20 0 DCADLN O=C(NC1CC(CNC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001380263775 962949129 /nfs/dbraw/zinc/94/91/29/962949129.db2.gz QMALZNBWGSAAQP-QXUHLLMWSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001380263775 962949143 /nfs/dbraw/zinc/94/91/43/962949143.db2.gz QMALZNBWGSAAQP-QXUHLLMWSA-N 0 2 322.262 0.935 20 0 DCADLN COCCC(C)(C)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375135073 964034332 /nfs/dbraw/zinc/03/43/32/964034332.db2.gz QYXWUAPXPZAGCT-JTQLQIEISA-N 0 2 313.402 0.510 20 0 DCADLN COCCC(C)(C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375135073 964034351 /nfs/dbraw/zinc/03/43/51/964034351.db2.gz QYXWUAPXPZAGCT-JTQLQIEISA-N 0 2 313.402 0.510 20 0 DCADLN C[C@H](CNC(=O)Cc1cncs1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368958106 964192727 /nfs/dbraw/zinc/19/27/27/964192727.db2.gz FROHAIIDOXUAPL-MRVPVSSYSA-N 0 2 310.383 0.146 20 0 DCADLN C[C@H](CNC(=O)Cc1cncs1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368958106 964192730 /nfs/dbraw/zinc/19/27/30/964192730.db2.gz FROHAIIDOXUAPL-MRVPVSSYSA-N 0 2 310.383 0.146 20 0 DCADLN Cc1ncccc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368972392 964215143 /nfs/dbraw/zinc/21/51/43/964215143.db2.gz NHXDNSWDJYMIOM-VIFPVBQESA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ncccc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368972392 964215154 /nfs/dbraw/zinc/21/51/54/964215154.db2.gz NHXDNSWDJYMIOM-VIFPVBQESA-N 0 2 304.354 0.464 20 0 DCADLN Cc1conc1C[NH2+]C1(CNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001375410383 964369136 /nfs/dbraw/zinc/36/91/36/964369136.db2.gz RBUBYLFAZCIPTD-UHFFFAOYSA-N 0 2 305.338 0.772 20 0 DCADLN CS[C@H](C)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001369111633 964416677 /nfs/dbraw/zinc/41/66/77/964416677.db2.gz QYIDRMKPCQADKK-RKDXNWHRSA-N 0 2 313.427 0.588 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2cn(C)nn2)C1 ZINC001373672293 964460268 /nfs/dbraw/zinc/46/02/68/964460268.db2.gz JXKFQOWPTQXFFA-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(Cc2cn(C)nn2)C1 ZINC001373672293 964460272 /nfs/dbraw/zinc/46/02/72/964460272.db2.gz JXKFQOWPTQXFFA-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+][C@H](C)c1nc(C)no1 ZINC001373746128 964565458 /nfs/dbraw/zinc/56/54/58/964565458.db2.gz ZSIVQJVOTGWSNX-PSASIEDQSA-N 0 2 322.369 0.974 20 0 DCADLN C[C@H](CNC(=O)c1ncc[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001375706907 964741816 /nfs/dbraw/zinc/74/18/16/964741816.db2.gz QGFWEOBSPZUZMM-NKWVEPMBSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@H](CNC(=O)c1ncc[nH]1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001375706907 964741821 /nfs/dbraw/zinc/74/18/21/964741821.db2.gz QGFWEOBSPZUZMM-NKWVEPMBSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@@H](c1nnnn1C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001374086468 964997252 /nfs/dbraw/zinc/99/72/52/964997252.db2.gz YBPPPPNNUKKJIB-YUMQZZPRSA-N 0 2 324.282 0.316 20 0 DCADLN C[C@@H](c1nnnn1C)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001374086468 964997258 /nfs/dbraw/zinc/99/72/58/964997258.db2.gz YBPPPPNNUKKJIB-YUMQZZPRSA-N 0 2 324.282 0.316 20 0 DCADLN O=C(NCC1(O)CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCCCC1 ZINC001376139429 965269009 /nfs/dbraw/zinc/26/90/09/965269009.db2.gz COTJJPZNEPHEKF-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cccc3[nH]cnc32)C1 ZINC001376147706 965285729 /nfs/dbraw/zinc/28/57/29/965285729.db2.gz OGZCCUDTTRZTGV-NSHDSACASA-N 0 2 315.377 0.503 20 0 DCADLN Cn1nnc(CN[C@H](CNC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001376213600 965391133 /nfs/dbraw/zinc/39/11/33/965391133.db2.gz DWUCVRXJYVLPLB-VXNVDRBHSA-N 0 2 324.282 0.095 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001370116446 965789677 /nfs/dbraw/zinc/78/96/77/965789677.db2.gz HEJBPIWPDANADS-SVGQVSJJSA-N 0 2 324.234 0.547 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001370116446 965789683 /nfs/dbraw/zinc/78/96/83/965789683.db2.gz HEJBPIWPDANADS-SVGQVSJJSA-N 0 2 324.234 0.547 20 0 DCADLN Cc1cc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001374846849 966023671 /nfs/dbraw/zinc/02/36/71/966023671.db2.gz LYBQPHJPOWFUGL-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN Cc1cc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC001374846849 966023681 /nfs/dbraw/zinc/02/36/81/966023681.db2.gz LYBQPHJPOWFUGL-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN COCC(=O)N(C)[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001370378214 966053481 /nfs/dbraw/zinc/05/34/81/966053481.db2.gz XCHDRWLACGTXJM-WCBMZHEXSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N(C)[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001370378214 966053499 /nfs/dbraw/zinc/05/34/99/966053499.db2.gz XCHDRWLACGTXJM-WCBMZHEXSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001370465493 966155621 /nfs/dbraw/zinc/15/56/21/966155621.db2.gz NKYLJCIWYVIOOH-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn[nH]c1)NC(=O)[C@H](F)C(F)(F)F ZINC001370465493 966155625 /nfs/dbraw/zinc/15/56/25/966155625.db2.gz NKYLJCIWYVIOOH-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)n[nH]1 ZINC001375106443 966358204 /nfs/dbraw/zinc/35/82/04/966358204.db2.gz IXVHEBBHTJQAEM-QMMMGPOBSA-N 0 2 319.369 0.361 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)n[nH]1 ZINC001375106443 966358214 /nfs/dbraw/zinc/35/82/14/966358214.db2.gz IXVHEBBHTJQAEM-QMMMGPOBSA-N 0 2 319.369 0.361 20 0 DCADLN O=C(NC[C@H]1CCCCCN1Cc1n[nH]c(=O)[nH]1)C(F)F ZINC001381754928 966662796 /nfs/dbraw/zinc/66/27/96/966662796.db2.gz DOVMXFUIWDAEMP-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN CN(C(=O)c1cn[nH]n1)C1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001371185150 966794045 /nfs/dbraw/zinc/79/40/45/966794045.db2.gz NUJPTBKKVXJAOG-NPWHJSNTSA-N 0 2 323.250 0.424 20 0 DCADLN CN(C(=O)c1cn[nH]n1)C1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001371185150 966794050 /nfs/dbraw/zinc/79/40/50/966794050.db2.gz NUJPTBKKVXJAOG-NPWHJSNTSA-N 0 2 323.250 0.424 20 0 DCADLN CCn1ncnc1C[NH2+][C@H](C)CN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001382057186 966899405 /nfs/dbraw/zinc/89/94/05/966899405.db2.gz QUHSAXUHYYWINQ-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCn1ncnc1C[NH2+][C@@H](C)CN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001382057187 966900357 /nfs/dbraw/zinc/90/03/57/966900357.db2.gz QUHSAXUHYYWINQ-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN CC(=O)CCCC(=O)N1CCC[C@@H](N(C)Cc2n[nH]c(=O)[nH]2)C1 ZINC001371663445 967216345 /nfs/dbraw/zinc/21/63/45/967216345.db2.gz FOKKNDJAZURSDF-GFCCVEGCSA-N 0 2 323.397 0.692 20 0 DCADLN CCc1ncsc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448029516 1013720449 /nfs/dbraw/zinc/72/04/49/1013720449.db2.gz AEFYTJNVWDFXIN-MRVPVSSYSA-N 0 2 324.410 0.780 20 0 DCADLN CCc1ncsc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448029516 1013720453 /nfs/dbraw/zinc/72/04/53/1013720453.db2.gz AEFYTJNVWDFXIN-MRVPVSSYSA-N 0 2 324.410 0.780 20 0 DCADLN C[N@H+](CCNC(=O)c1coc(C(C)(C)C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001371963675 967492596 /nfs/dbraw/zinc/49/25/96/967492596.db2.gz LMPGUTHIHNOBGS-UHFFFAOYSA-N 0 2 322.369 0.658 20 0 DCADLN C[N@@H+](CCNC(=O)c1coc(C(C)(C)C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001371963675 967492601 /nfs/dbraw/zinc/49/26/01/967492601.db2.gz LMPGUTHIHNOBGS-UHFFFAOYSA-N 0 2 322.369 0.658 20 0 DCADLN CC(C)c1nocc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001371995408 967527355 /nfs/dbraw/zinc/52/73/55/967527355.db2.gz DNNOUKPGVVVUSO-UHFFFAOYSA-N 0 2 308.342 0.484 20 0 DCADLN CC(C)c1nocc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001371995408 967527363 /nfs/dbraw/zinc/52/73/63/967527363.db2.gz DNNOUKPGVVVUSO-UHFFFAOYSA-N 0 2 308.342 0.484 20 0 DCADLN C[N@H+](CCNC(=O)c1cccc2c1CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001372248815 967792341 /nfs/dbraw/zinc/79/23/41/967792341.db2.gz ARKPHHSWYDHMAN-UHFFFAOYSA-N 0 2 315.377 0.861 20 0 DCADLN C[N@@H+](CCNC(=O)c1cccc2c1CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001372248815 967792346 /nfs/dbraw/zinc/79/23/46/967792346.db2.gz ARKPHHSWYDHMAN-UHFFFAOYSA-N 0 2 315.377 0.861 20 0 DCADLN Cc1nc(CC(=O)NC[C@H]2CCC[N@@H+]2Cc2cccnc2)n[nH]1 ZINC001372509196 968063457 /nfs/dbraw/zinc/06/34/57/968063457.db2.gz UFQXGODNHQUNTA-CQSZACIVSA-N 0 2 314.393 0.831 20 0 DCADLN C[C@H](CNC(=O)Cn1cc[nH+]c1)[N@H+](C)CCO[C@@H]1CC1(F)F ZINC001448137781 1013775673 /nfs/dbraw/zinc/77/56/73/1013775673.db2.gz DVUBHIBJOOTBAA-VXGBXAGGSA-N 0 2 316.352 0.744 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@H](C)NC(=O)c1n[nH]c(C)c1[O-] ZINC001373014559 968627593 /nfs/dbraw/zinc/62/75/93/968627593.db2.gz LOYSJVARRWDCOD-VIFPVBQESA-N 0 2 311.386 0.000 20 0 DCADLN CCC[N@@H+](C)CC(=O)NC[C@H](C)NC(=O)c1n[nH]c(C)c1[O-] ZINC001373014559 968627598 /nfs/dbraw/zinc/62/75/98/968627598.db2.gz LOYSJVARRWDCOD-VIFPVBQESA-N 0 2 311.386 0.000 20 0 DCADLN C[C@H](CCNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001373326861 968943020 /nfs/dbraw/zinc/94/30/20/968943020.db2.gz GGWUHYOTXKQFBG-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN CC(C)OCC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001373373495 969000976 /nfs/dbraw/zinc/00/09/76/969000976.db2.gz HVSGIVCFNPBPJF-VIFPVBQESA-N 0 2 302.268 0.886 20 0 DCADLN CC(C)OCC(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001373373495 969000986 /nfs/dbraw/zinc/00/09/86/969000986.db2.gz HVSGIVCFNPBPJF-VIFPVBQESA-N 0 2 302.268 0.886 20 0 DCADLN COCC1(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)CC1 ZINC001448378113 1013890483 /nfs/dbraw/zinc/89/04/83/1013890483.db2.gz AKLYFKRWYOHXBP-JTQLQIEISA-N 0 2 323.397 0.264 20 0 DCADLN CC(C)N(C(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-])c1ccccc1 ZINC000318414632 970432382 /nfs/dbraw/zinc/43/23/82/970432382.db2.gz JEQJKFZICXQHPR-ZIAGYGMSSA-N 0 2 306.362 0.948 20 0 DCADLN CC(C)N(C(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-])c1ccccc1 ZINC000318414632 970432387 /nfs/dbraw/zinc/43/23/87/970432387.db2.gz JEQJKFZICXQHPR-ZIAGYGMSSA-N 0 2 306.362 0.948 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001431358104 1014014968 /nfs/dbraw/zinc/01/49/68/1014014968.db2.gz XOWQRHBAEVVWCC-SECBINFHSA-N 0 2 324.278 0.851 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001431358104 1014014971 /nfs/dbraw/zinc/01/49/71/1014014971.db2.gz XOWQRHBAEVVWCC-SECBINFHSA-N 0 2 324.278 0.851 20 0 DCADLN COc1ccc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1F ZINC000320405877 970659843 /nfs/dbraw/zinc/65/98/43/970659843.db2.gz AIFLBQAMJFXCQG-LBPRGKRZSA-N 0 2 321.308 0.912 20 0 DCADLN COc1ccc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1F ZINC000320405877 970659848 /nfs/dbraw/zinc/65/98/48/970659848.db2.gz AIFLBQAMJFXCQG-LBPRGKRZSA-N 0 2 321.308 0.912 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@@H](C(=O)[O-])C3CC3)CC2)CC1 ZINC001604221685 972720174 /nfs/dbraw/zinc/72/01/74/972720174.db2.gz ZHGWVTIJDKNDJT-AWEZNQCLSA-N 0 2 309.410 0.336 20 0 DCADLN O=C([O-])[C@@H](C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1)C1CC1 ZINC001605900699 972727326 /nfs/dbraw/zinc/72/73/26/972727326.db2.gz HGQIYPRUVATHQI-CYBMUJFWSA-N 0 2 322.365 0.265 20 0 DCADLN O=C([O-])[C@H](c1ccccc1)N1CC[C@@H]([NH2+]Cc2ncc[nH]2)C1=O ZINC001605909729 972767031 /nfs/dbraw/zinc/76/70/31/972767031.db2.gz HYJTWGLVTPCLJX-OCCSQVGLSA-N 0 2 314.345 0.926 20 0 DCADLN Cc1cc(C)c(CNS(=O)(=O)N2CCC[C@H]2C(=O)[O-])c[nH+]1 ZINC001605146463 972831133 /nfs/dbraw/zinc/83/11/33/972831133.db2.gz NABWGEPRAKMGMW-LBPRGKRZSA-N 0 2 313.379 0.582 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N(C)C[C@@H](C)C(=O)[O-])c[nH+]1 ZINC001605146567 972832738 /nfs/dbraw/zinc/83/27/38/972832738.db2.gz WURKOZPARJOFSY-SNVBAGLBSA-N 0 2 307.350 0.494 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C1(C(=O)[O-])CC=CC1 ZINC001603375723 972926150 /nfs/dbraw/zinc/92/61/50/972926150.db2.gz VJONAIJNZLBSPL-QWHCGFSZSA-N 0 2 308.378 0.729 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)C1(C(=O)[O-])CCC1)C2 ZINC001605417109 973430448 /nfs/dbraw/zinc/43/04/48/973430448.db2.gz VIFOXCJFKCKSAZ-LLVKDONJSA-N 0 2 321.377 0.412 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](Cc2nc3ccccc3c(=O)[nH]2)C1 ZINC000401952776 973559513 /nfs/dbraw/zinc/55/95/13/973559513.db2.gz NKKYIJCTRRTAQK-VHSXEESVSA-N 0 2 303.318 0.603 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](Cc2nc3ccccc3c(=O)[nH]2)C1 ZINC000401952776 973559522 /nfs/dbraw/zinc/55/95/22/973559522.db2.gz NKKYIJCTRRTAQK-VHSXEESVSA-N 0 2 303.318 0.603 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+]([C@H]2CCN(C(C)(C)C)C2=O)CCO1 ZINC001604558062 973603405 /nfs/dbraw/zinc/60/34/05/973603405.db2.gz LLEGRQPKXBGJKK-XHDPSFHLSA-N 0 2 314.382 0.188 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+]([C@H]2CCN(C(C)(C)C)C2=O)CCO1 ZINC001604558062 973603407 /nfs/dbraw/zinc/60/34/07/973603407.db2.gz LLEGRQPKXBGJKK-XHDPSFHLSA-N 0 2 314.382 0.188 20 0 DCADLN Cn1ccn2c(C[N@@H+]3CCC[C@H](CCC(=O)[O-])C3)nnc2c1=O ZINC001605511327 973685889 /nfs/dbraw/zinc/68/58/89/973685889.db2.gz GZCAFIQALGCFFJ-LLVKDONJSA-N 0 2 319.365 0.505 20 0 DCADLN Cn1ccn2c(C[N@H+]3CCC[C@H](CCC(=O)[O-])C3)nnc2c1=O ZINC001605511327 973685895 /nfs/dbraw/zinc/68/58/95/973685895.db2.gz GZCAFIQALGCFFJ-LLVKDONJSA-N 0 2 319.365 0.505 20 0 DCADLN COCCNC(=O)C1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC001604612296 973727863 /nfs/dbraw/zinc/72/78/63/973727863.db2.gz VYWOSIDYSZOPRP-UHFFFAOYSA-N 0 2 307.350 0.759 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[NH2+]Cc1cn(-c2ccccc2)nn1 ZINC001605545009 973751382 /nfs/dbraw/zinc/75/13/82/973751382.db2.gz NCYNPQSGWVWQAW-UHFFFAOYSA-N 0 2 312.333 0.989 20 0 DCADLN CC[C@H]1C[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CCN1CC(F)F ZINC001603625531 973936601 /nfs/dbraw/zinc/93/66/01/973936601.db2.gz YEKSXQHMMCYMFP-WDEREUQCSA-N 0 2 319.352 0.333 20 0 DCADLN CC[C@H]1C[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CCN1CC(F)F ZINC001603625531 973936608 /nfs/dbraw/zinc/93/66/08/973936608.db2.gz YEKSXQHMMCYMFP-WDEREUQCSA-N 0 2 319.352 0.333 20 0 DCADLN O=C(NC[C@@H]1C[C@@H](c2nc[nH]n2)C[C@@H]1O)c1ccc(O)c(F)c1 ZINC001549520470 1014287688 /nfs/dbraw/zinc/28/76/88/1014287688.db2.gz WNSIRUPBBBOZMC-NRUUGDAUSA-N 0 2 320.324 0.934 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cnn2cc[nH]c12 ZINC001522689438 1014299421 /nfs/dbraw/zinc/29/94/21/1014299421.db2.gz VEIRRYZCWWATMI-SSDOTTSWSA-N 0 2 321.234 0.409 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cnn2cc[nH]c12 ZINC001522689438 1014299431 /nfs/dbraw/zinc/29/94/31/1014299431.db2.gz VEIRRYZCWWATMI-SSDOTTSWSA-N 0 2 321.234 0.409 20 0 DCADLN CCC[C@H](OC)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001522689179 1014301282 /nfs/dbraw/zinc/30/12/82/1014301282.db2.gz RGXUKGGAQWBODO-JGVFFNPUSA-N 0 2 302.268 0.934 20 0 DCADLN CCC[C@H](OC)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522689179 1014301294 /nfs/dbraw/zinc/30/12/94/1014301294.db2.gz RGXUKGGAQWBODO-JGVFFNPUSA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)c1nnc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001592050410 976788152 /nfs/dbraw/zinc/78/81/52/976788152.db2.gz RQVKOQGGHPONGT-QMMMGPOBSA-N 0 2 309.351 0.810 20 0 DCADLN CC(C)c1nnc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001592050410 976788160 /nfs/dbraw/zinc/78/81/60/976788160.db2.gz RQVKOQGGHPONGT-QMMMGPOBSA-N 0 2 309.351 0.810 20 0 DCADLN C[C@H](/C=C/C(=O)[O-])NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC001592210846 977257545 /nfs/dbraw/zinc/25/75/45/977257545.db2.gz GZCDEBBOUNRWDH-XUIVZRPNSA-N 0 2 324.402 0.982 20 0 DCADLN C[C@H](/C=C/C(=O)[O-])NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC001592210846 977257558 /nfs/dbraw/zinc/25/75/58/977257558.db2.gz GZCDEBBOUNRWDH-XUIVZRPNSA-N 0 2 324.402 0.982 20 0 DCADLN C[C@@H](CC(=O)O[C@@H]1CCN(CCC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001592429532 978055598 /nfs/dbraw/zinc/05/55/98/978055598.db2.gz MQJNQFHKJCLRJA-WDEREUQCSA-N 0 2 309.322 0.453 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)c1ccon1 ZINC001449474330 1014495091 /nfs/dbraw/zinc/49/50/91/1014495091.db2.gz CBDPYPJYPMDPHC-UHFFFAOYSA-N 0 2 305.338 0.527 20 0 DCADLN CC[C@@](COC)(NC(=O)/C=C(/C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001595189249 979871309 /nfs/dbraw/zinc/87/13/09/979871309.db2.gz ZYANUQQMUXQFMA-LMRWQKIVSA-N 0 2 314.382 0.261 20 0 DCADLN Cn1nccc1CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001530485745 1014769263 /nfs/dbraw/zinc/76/92/63/1014769263.db2.gz SZQXJIJKYFGSDQ-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN Cn1nccc1CC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001530485745 1014769275 /nfs/dbraw/zinc/76/92/75/1014769275.db2.gz SZQXJIJKYFGSDQ-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+]1CCc2cc[nH]c(=O)c2C1)C(=O)[O-] ZINC001594894198 982316183 /nfs/dbraw/zinc/31/61/83/982316183.db2.gz NJXSFXDCWRTBAC-YGRLFVJLSA-N 0 2 321.377 0.761 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+]1CCc2cc[nH]c(=O)c2C1)C(=O)[O-] ZINC001594894198 982316195 /nfs/dbraw/zinc/31/61/95/982316195.db2.gz NJXSFXDCWRTBAC-YGRLFVJLSA-N 0 2 321.377 0.761 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+]1CCc2cc[nH]c(=O)c2C1)C(=O)[O-] ZINC001594894196 982316322 /nfs/dbraw/zinc/31/63/22/982316322.db2.gz NJXSFXDCWRTBAC-QMTHXVAHSA-N 0 2 321.377 0.761 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+]1CCc2cc[nH]c(=O)c2C1)C(=O)[O-] ZINC001594894196 982316332 /nfs/dbraw/zinc/31/63/32/982316332.db2.gz NJXSFXDCWRTBAC-QMTHXVAHSA-N 0 2 321.377 0.761 20 0 DCADLN CS[C@@H](C)CC(=O)N1CC(CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001530845008 1014958537 /nfs/dbraw/zinc/95/85/37/1014958537.db2.gz GZXNUUDBZQATOQ-JTQLQIEISA-N 0 2 324.450 0.977 20 0 DCADLN O=C(N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001524428547 1014974638 /nfs/dbraw/zinc/97/46/38/1014974638.db2.gz GAULQRMDWSOZTF-HTQZYQBOSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001524428547 1014974642 /nfs/dbraw/zinc/97/46/42/1014974642.db2.gz GAULQRMDWSOZTF-HTQZYQBOSA-N 0 2 322.262 0.935 20 0 DCADLN CCn1ccc(C[N@H+](CC)CCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001596332679 983770826 /nfs/dbraw/zinc/77/08/26/983770826.db2.gz KWNXMNARDIVSJC-CHWSQXEVSA-N 0 2 308.382 0.562 20 0 DCADLN CCn1ccc(C[N@@H+](CC)CCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001596332679 983770827 /nfs/dbraw/zinc/77/08/27/983770827.db2.gz KWNXMNARDIVSJC-CHWSQXEVSA-N 0 2 308.382 0.562 20 0 DCADLN CCN1OC[C@H]([N@@H+]2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)C1=O ZINC001596449143 984147869 /nfs/dbraw/zinc/14/78/69/984147869.db2.gz CSWNLXRQSRJDSW-BDAKNGLRSA-N 0 2 311.363 0.793 20 0 DCADLN CCN1OC[C@H]([N@H+]2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)C1=O ZINC001596449143 984147873 /nfs/dbraw/zinc/14/78/73/984147873.db2.gz CSWNLXRQSRJDSW-BDAKNGLRSA-N 0 2 311.363 0.793 20 0 DCADLN CCNS(=O)(=O)[C@H]1CCN(c2ccc(C)c(C(=O)[O-])[nH+]2)C1 ZINC001596496046 984241559 /nfs/dbraw/zinc/24/15/59/984241559.db2.gz SDZWYQRQTPSALH-JTQLQIEISA-N 0 2 313.379 0.606 20 0 DCADLN C/C(=C\C(=O)Nc1nn(C)cc1C(=O)[O-])C[NH+]1CCOCC1 ZINC001588945890 984655034 /nfs/dbraw/zinc/65/50/34/984655034.db2.gz OAWFVFHDVZYCQL-JXMROGBWSA-N 0 2 308.338 0.335 20 0 DCADLN C/C(=C\CNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC001588969524 984780569 /nfs/dbraw/zinc/78/05/69/984780569.db2.gz TYYFBKYMXQKZHY-VFJIKVCNSA-N 0 2 311.382 0.524 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cocn1 ZINC001524934739 1015075629 /nfs/dbraw/zinc/07/56/29/1015075629.db2.gz WIPACSOVSRTCST-HAFWLYHUSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cocn1 ZINC001524934739 1015075641 /nfs/dbraw/zinc/07/56/41/1015075641.db2.gz WIPACSOVSRTCST-HAFWLYHUSA-N 0 2 309.219 0.952 20 0 DCADLN CCOC(=O)c1cc(C[NH+]2CCC([C@@H](O)C(=O)[O-])CC2)[nH]n1 ZINC001596704198 984901890 /nfs/dbraw/zinc/90/18/90/984901890.db2.gz WAFZJCYZPFPQMA-GFCCVEGCSA-N 0 2 311.338 0.244 20 0 DCADLN COC[C@H](C)CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450367628 1015093153 /nfs/dbraw/zinc/09/31/53/1015093153.db2.gz AHHRRKSAMACVFN-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN COC[C@H](C)CC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450367628 1015093161 /nfs/dbraw/zinc/09/31/61/1015093161.db2.gz AHHRRKSAMACVFN-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001383729393 985048634 /nfs/dbraw/zinc/04/86/34/985048634.db2.gz QZOVBEGBSRFVBS-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001383729393 985048646 /nfs/dbraw/zinc/04/86/46/985048646.db2.gz QZOVBEGBSRFVBS-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H]1CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C[C@]1(C)C(=O)[O-] ZINC001599918717 985098600 /nfs/dbraw/zinc/09/86/00/985098600.db2.gz DHCWGDCUWOFFJU-PGUXBMHVSA-N 0 2 306.366 0.950 20 0 DCADLN C[C@H](CN(C)C(=O)c1ncccn1)NC(=O)C(F)C(F)(F)F ZINC001383807494 985110193 /nfs/dbraw/zinc/11/01/93/985110193.db2.gz ZIFKKOXAIOXFIX-HTQZYQBOSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1ncccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001383807494 985110196 /nfs/dbraw/zinc/11/01/96/985110196.db2.gz ZIFKKOXAIOXFIX-HTQZYQBOSA-N 0 2 322.262 0.954 20 0 DCADLN CSCCC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001383992257 985258827 /nfs/dbraw/zinc/25/88/27/985258827.db2.gz GLOPIZXDIQVAFR-POYBYMJQSA-N 0 2 320.308 0.233 20 0 DCADLN CSCCC(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001383992257 985258838 /nfs/dbraw/zinc/25/88/38/985258838.db2.gz GLOPIZXDIQVAFR-POYBYMJQSA-N 0 2 320.308 0.233 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+]2CCC[C@](O)(CO)C2)c(C)c1C(=O)[O-] ZINC001599946384 985534407 /nfs/dbraw/zinc/53/44/07/985534407.db2.gz SQUDSBIBFOIHJZ-MEDUHNTESA-N 0 2 324.377 0.720 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+]2CCC[C@](O)(CO)C2)c(C)c1C(=O)[O-] ZINC001599946384 985534418 /nfs/dbraw/zinc/53/44/18/985534418.db2.gz SQUDSBIBFOIHJZ-MEDUHNTESA-N 0 2 324.377 0.720 20 0 DCADLN CC(=O)Nc1cnccc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001589223124 985736131 /nfs/dbraw/zinc/73/61/31/985736131.db2.gz MLNFMYLKCQNWRB-LLVKDONJSA-N 0 2 317.305 0.189 20 0 DCADLN CC(=O)Nc1cnccc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001589223124 985736139 /nfs/dbraw/zinc/73/61/39/985736139.db2.gz MLNFMYLKCQNWRB-LLVKDONJSA-N 0 2 317.305 0.189 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2cnsn2)n[nH]1 ZINC001551661859 1015167514 /nfs/dbraw/zinc/16/75/14/1015167514.db2.gz DFNUBEIKZGWFSY-WPRPVWTQSA-N 0 2 308.367 0.609 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@H]2CCCOC2)n[nH]1 ZINC001551667575 1015170270 /nfs/dbraw/zinc/17/02/70/1015170270.db2.gz PVKNVCQGGOMWSR-XQQFMLRXSA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2CCCOC2)n[nH]1 ZINC001551667573 1015171748 /nfs/dbraw/zinc/17/17/48/1015171748.db2.gz PVKNVCQGGOMWSR-JHJVBQTASA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2cnc(C)cn2)n[nH]1 ZINC001551671953 1015172946 /nfs/dbraw/zinc/17/29/46/1015172946.db2.gz YFPPSXJCISIRAJ-DGCLKSJQSA-N 0 2 316.365 0.856 20 0 DCADLN Cc1cc(CC(=O)N(C)C[C@H]2OCC[C@H]2c2n[nH]c(C)n2)no1 ZINC001551676301 1015173201 /nfs/dbraw/zinc/17/32/01/1015173201.db2.gz SRCVZBBENJIZBN-CHWSQXEVSA-N 0 2 319.365 0.983 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001384953447 985977393 /nfs/dbraw/zinc/97/73/93/985977393.db2.gz NIRHFQNBLUXBHN-RNJXMRFFSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001384953447 985977402 /nfs/dbraw/zinc/97/74/02/985977402.db2.gz NIRHFQNBLUXBHN-RNJXMRFFSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccnc(C(=O)[O-])c2)[C@H](C)CO1 ZINC001594526591 986056951 /nfs/dbraw/zinc/05/69/51/986056951.db2.gz AGQDWKYQAYBAOX-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccnc(C(=O)[O-])c2)[C@H](C)CO1 ZINC001594526591 986056962 /nfs/dbraw/zinc/05/69/62/986056962.db2.gz AGQDWKYQAYBAOX-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](CC(=O)[O-])C2)CCO1 ZINC001594528152 986094241 /nfs/dbraw/zinc/09/42/41/986094241.db2.gz QSLMKHDXYVJIRF-XQQFMLRXSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](CC(=O)[O-])C2)CCO1 ZINC001594528152 986094255 /nfs/dbraw/zinc/09/42/55/986094255.db2.gz QSLMKHDXYVJIRF-XQQFMLRXSA-N 0 2 313.398 0.650 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnco2)C1)C(F)C(F)(F)F ZINC001385326975 986256841 /nfs/dbraw/zinc/25/68/41/986256841.db2.gz AZJCZUNJJXVIFE-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnco2)C1)[C@H](F)C(F)(F)F ZINC001385326975 986256853 /nfs/dbraw/zinc/25/68/53/986256853.db2.gz AZJCZUNJJXVIFE-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594562547 986335786 /nfs/dbraw/zinc/33/57/86/986335786.db2.gz USIVYPKWCAVKSN-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594562547 986335797 /nfs/dbraw/zinc/33/57/97/986335797.db2.gz USIVYPKWCAVKSN-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(C(=O)[O-])cn1 ZINC001594608236 986591348 /nfs/dbraw/zinc/59/13/48/986591348.db2.gz UQPDZZPEGJDQBF-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(C(=O)[O-])cn1 ZINC001594608236 986591353 /nfs/dbraw/zinc/59/13/53/986591353.db2.gz UQPDZZPEGJDQBF-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H](C(=O)NCCc1ccccc1)[N@@H+]1C[C@H](C)O[C@H](C(=O)[O-])C1 ZINC001589409598 986604635 /nfs/dbraw/zinc/60/46/35/986604635.db2.gz HPNMFZSXEHHUST-YDHLFZDLSA-N 0 2 320.389 0.908 20 0 DCADLN C[C@@H](C(=O)NCCc1ccccc1)[N@H+]1C[C@H](C)O[C@H](C(=O)[O-])C1 ZINC001589409598 986604639 /nfs/dbraw/zinc/60/46/39/986604639.db2.gz HPNMFZSXEHHUST-YDHLFZDLSA-N 0 2 320.389 0.908 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(CC(=O)[O-])c(F)c1)N1CC[NH2+]CC1=O ZINC001589435014 986741217 /nfs/dbraw/zinc/74/12/17/986741217.db2.gz DBEJJQYARMFOGP-VIFPVBQESA-N 0 2 323.324 0.212 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386162605 986904049 /nfs/dbraw/zinc/90/40/49/986904049.db2.gz FAIPLUCBEIIRHC-XSPKLOCKSA-N 0 2 314.279 0.933 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001386162605 986904054 /nfs/dbraw/zinc/90/40/54/986904054.db2.gz FAIPLUCBEIIRHC-XSPKLOCKSA-N 0 2 314.279 0.933 20 0 DCADLN O=C(NCC1CN(C(=O)c2cccnn2)C1)C(F)C(F)(F)F ZINC001450629763 1015261374 /nfs/dbraw/zinc/26/13/74/1015261374.db2.gz WBZZGKAXSKCWAG-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2cccnn2)C1)[C@@H](F)C(F)(F)F ZINC001450629763 1015261382 /nfs/dbraw/zinc/26/13/82/1015261382.db2.gz WBZZGKAXSKCWAG-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN CCCOCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001526429821 1015272787 /nfs/dbraw/zinc/27/27/87/1015272787.db2.gz RXOSXHGOGQAHHK-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001526429821 1015272799 /nfs/dbraw/zinc/27/27/99/1015272799.db2.gz RXOSXHGOGQAHHK-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001526429823 1015273229 /nfs/dbraw/zinc/27/32/29/1015273229.db2.gz RXOSXHGOGQAHHK-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001526429823 1015273234 /nfs/dbraw/zinc/27/32/34/1015273234.db2.gz RXOSXHGOGQAHHK-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001386315218 987066648 /nfs/dbraw/zinc/06/66/48/987066648.db2.gz UVACRYAUAABOSB-OOZYFLPDSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001386315218 987066655 /nfs/dbraw/zinc/06/66/55/987066655.db2.gz UVACRYAUAABOSB-OOZYFLPDSA-N 0 2 322.262 0.698 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)NC(=O)C[N@@H+]1CCC[C@@H]1C ZINC001387005565 987560884 /nfs/dbraw/zinc/56/08/84/987560884.db2.gz FUCIJTKPHWVGHK-RYUDHWBXSA-N 0 2 321.425 0.366 20 0 DCADLN CC(C)(C)[C@@H](Cn1cc[nH+]c1)NC(=O)Cn1cc(C(=O)[O-])nn1 ZINC001589711896 987841403 /nfs/dbraw/zinc/84/14/03/987841403.db2.gz IZBCCRJJBTVTQK-LLVKDONJSA-N 0 2 320.353 0.404 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](C)NC(=O)C[C@@H]1CCOC1 ZINC001387552381 987897956 /nfs/dbraw/zinc/89/79/56/987897956.db2.gz CERIKIYTLMUOBZ-YPMHNXCESA-N 0 2 322.409 0.698 20 0 DCADLN CS[C@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001387697486 988037065 /nfs/dbraw/zinc/03/70/65/988037065.db2.gz HYUCLYFSCNZXPL-IYSWYEEDSA-N 0 2 302.293 0.965 20 0 DCADLN CS[C@H](C)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387697486 988037076 /nfs/dbraw/zinc/03/70/76/988037076.db2.gz HYUCLYFSCNZXPL-IYSWYEEDSA-N 0 2 302.293 0.965 20 0 DCADLN Cc1ocnc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387744248 988109326 /nfs/dbraw/zinc/10/93/26/988109326.db2.gz CZKLGXAPTOQOQV-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1ocnc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387744248 988109334 /nfs/dbraw/zinc/10/93/34/988109334.db2.gz CZKLGXAPTOQOQV-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cn1cc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001387877386 988244275 /nfs/dbraw/zinc/24/42/75/988244275.db2.gz JEMQZJODETYYEL-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cc(C(=O)N2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001387877386 988244284 /nfs/dbraw/zinc/24/42/84/988244284.db2.gz JEMQZJODETYYEL-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN CC[C@@H](CNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001450833352 1015385451 /nfs/dbraw/zinc/38/54/51/1015385451.db2.gz IRFWXDOMWQLEEM-IUCAKERBSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)c1cnn(C)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001450833352 1015385464 /nfs/dbraw/zinc/38/54/64/1015385464.db2.gz IRFWXDOMWQLEEM-IUCAKERBSA-N 0 2 324.278 0.945 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001389046877 988757944 /nfs/dbraw/zinc/75/79/44/988757944.db2.gz SGGGSWXTJOCLTM-IONNQARKSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccccn1 ZINC001389046877 988757950 /nfs/dbraw/zinc/75/79/50/988757950.db2.gz SGGGSWXTJOCLTM-IONNQARKSA-N 0 2 323.246 0.189 20 0 DCADLN CCc1noc(C[N@H+](C)CCCNC(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001597748745 989136575 /nfs/dbraw/zinc/13/65/75/989136575.db2.gz QBZWOIDJYJRCPQ-VHSXEESVSA-N 0 2 310.354 0.291 20 0 DCADLN CCc1noc(C[N@@H+](C)CCCNC(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001597748745 989136584 /nfs/dbraw/zinc/13/65/84/989136584.db2.gz QBZWOIDJYJRCPQ-VHSXEESVSA-N 0 2 310.354 0.291 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001389380651 989181872 /nfs/dbraw/zinc/18/18/72/989181872.db2.gz HMAAUQDRQDTUDI-XPUUQOCRSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)[nH]n1 ZINC001389380651 989181882 /nfs/dbraw/zinc/18/18/82/989181882.db2.gz HMAAUQDRQDTUDI-XPUUQOCRSA-N 0 2 310.251 0.853 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389405572 989207921 /nfs/dbraw/zinc/20/79/21/989207921.db2.gz WRALSEDKALNSSJ-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CCc1oc(C[N@@H+]2CCC[C@@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597800023 989388418 /nfs/dbraw/zinc/38/84/18/989388418.db2.gz VHGOFOFGRAQSAG-SNVBAGLBSA-N 0 2 316.379 0.793 20 0 DCADLN CCc1oc(C[N@H+]2CCC[C@@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597800023 989388429 /nfs/dbraw/zinc/38/84/29/989388429.db2.gz VHGOFOFGRAQSAG-SNVBAGLBSA-N 0 2 316.379 0.793 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ccn[nH]1 ZINC001389871958 989650875 /nfs/dbraw/zinc/65/08/75/989650875.db2.gz URJSUEXSAIIABR-SSDOTTSWSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1ccn[nH]1 ZINC001389871958 989650877 /nfs/dbraw/zinc/65/08/77/989650877.db2.gz URJSUEXSAIIABR-SSDOTTSWSA-N 0 2 308.235 0.689 20 0 DCADLN Cc1n[nH]cc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001389931488 989691713 /nfs/dbraw/zinc/69/17/13/989691713.db2.gz WXWGKVXLHIYGNB-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1n[nH]cc1C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001389931488 989691715 /nfs/dbraw/zinc/69/17/15/989691715.db2.gz WXWGKVXLHIYGNB-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@H]1CCCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001390902747 990467807 /nfs/dbraw/zinc/46/78/07/990467807.db2.gz HMRZVZLJICGBRI-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@H]1CCCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001390902747 990467812 /nfs/dbraw/zinc/46/78/12/990467812.db2.gz HMRZVZLJICGBRI-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001451061365 1015565986 /nfs/dbraw/zinc/56/59/86/1015565986.db2.gz FJRNFAQEPHKYHR-RQJHMYQMSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001451061365 1015565993 /nfs/dbraw/zinc/56/59/93/1015565993.db2.gz FJRNFAQEPHKYHR-RQJHMYQMSA-N 0 2 310.251 0.887 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C1(F)CCCC1 ZINC001391054565 990544220 /nfs/dbraw/zinc/54/42/20/990544220.db2.gz YLMPZFAKQOHTGU-JTQLQIEISA-N 0 2 311.361 0.873 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)CNC(=O)C(F)C(F)(F)F ZINC001391204243 990665447 /nfs/dbraw/zinc/66/54/47/990665447.db2.gz TWDVIQGRFLDRDB-VXNVDRBHSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001391204243 990665454 /nfs/dbraw/zinc/66/54/54/990665454.db2.gz TWDVIQGRFLDRDB-VXNVDRBHSA-N 0 2 324.278 0.803 20 0 DCADLN CC(C)([NH2+]Cc1cn(CC(=O)[O-])nn1)C(=O)Nc1ccccc1 ZINC001590872431 990780141 /nfs/dbraw/zinc/78/01/41/990780141.db2.gz IHLUHVYAZLQAPP-UHFFFAOYSA-N 0 2 317.349 0.870 20 0 DCADLN CC[C@H](SC)C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391619741 991024785 /nfs/dbraw/zinc/02/47/85/991024785.db2.gz PNJWNFRASSKLNH-ZJUUUORDSA-N 0 2 313.427 0.685 20 0 DCADLN CN(C)c1cc(COC(=O)c2cnc(C(=O)[O-])cn2)cc[nH+]1 ZINC001598306421 991264499 /nfs/dbraw/zinc/26/44/99/991264499.db2.gz VOHZYTVJAZSAAT-UHFFFAOYSA-N 0 2 302.290 0.993 20 0 DCADLN CC(C)[C@@H]1C[C@@H](C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])CCO1 ZINC001591071425 991529126 /nfs/dbraw/zinc/52/91/26/991529126.db2.gz BXTYISVNXUBBCS-XQQFMLRXSA-N 0 2 309.366 0.904 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1cc(C2CC2)no1 ZINC001598489462 992264384 /nfs/dbraw/zinc/26/43/84/992264384.db2.gz QITHORPYRWGIJJ-NEPJUHHUSA-N 0 2 307.350 0.821 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1cc(C2CC2)no1 ZINC001598489462 992264393 /nfs/dbraw/zinc/26/43/93/992264393.db2.gz QITHORPYRWGIJJ-NEPJUHHUSA-N 0 2 307.350 0.821 20 0 DCADLN CN(CCNC(=O)CCc1c[nH]c[nH+]1)c1ncc(C(=O)[O-])cn1 ZINC001598490148 992276216 /nfs/dbraw/zinc/27/62/16/992276216.db2.gz GSBDFAIWEZJPAL-UHFFFAOYSA-N 0 2 318.337 0.083 20 0 DCADLN CN(CCNC(=O)CCc1c[nH+]c[nH]1)c1ncc(C(=O)[O-])cn1 ZINC001598490148 992276222 /nfs/dbraw/zinc/27/62/22/992276222.db2.gz GSBDFAIWEZJPAL-UHFFFAOYSA-N 0 2 318.337 0.083 20 0 DCADLN O=C(CCc1cccnc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001392832863 992442314 /nfs/dbraw/zinc/44/23/14/992442314.db2.gz IKIBONCGYQHLPN-GFCCVEGCSA-N 0 2 316.365 0.229 20 0 DCADLN CC(C)[C@@H](C(=O)NCC1(O)C[NH+](CCCF)C1)[NH+]1CCCC1 ZINC001393359643 992916067 /nfs/dbraw/zinc/91/60/67/992916067.db2.gz PPMCREWFVMWVIM-AWEZNQCLSA-N 0 2 315.433 0.629 20 0 DCADLN CC(C)[NH+](C)CC(=O)NC[C@@H]1COCC[N@@H+]1C[C@H]1CCOC1 ZINC001393517462 993047506 /nfs/dbraw/zinc/04/75/06/993047506.db2.gz DDNZLJKZRDOVBT-HUUCEWRRSA-N 0 2 313.442 0.180 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001399670257 993654938 /nfs/dbraw/zinc/65/49/38/993654938.db2.gz LVZIWKBJMJAFFN-SNVBAGLBSA-N 0 2 324.278 0.700 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001399670257 993654941 /nfs/dbraw/zinc/65/49/41/993654941.db2.gz LVZIWKBJMJAFFN-SNVBAGLBSA-N 0 2 324.278 0.700 20 0 DCADLN C[C@H](NC(=O)c1ccc(C(=O)[O-])n1C)[C@H](C)[NH+]1CCOCC1 ZINC001593159580 993985803 /nfs/dbraw/zinc/98/58/03/993985803.db2.gz IJPOXCXDGLFGGS-QWRGUYRKSA-N 0 2 309.366 0.562 20 0 DCADLN C[C@@H](CNC(=O)CCc1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001400213981 994291902 /nfs/dbraw/zinc/29/19/02/994291902.db2.gz MDMCIJIBNYUKRH-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CNC(=O)CCc1cn[nH]c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001400213981 994291912 /nfs/dbraw/zinc/29/19/12/994291912.db2.gz MDMCIJIBNYUKRH-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1nc[nH]n1 ZINC001395095893 994429281 /nfs/dbraw/zinc/42/92/81/994429281.db2.gz HMBYVOBDTPPXSN-NTSWFWBYSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1nc[nH]n1 ZINC001395095893 994429285 /nfs/dbraw/zinc/42/92/85/994429285.db2.gz HMBYVOBDTPPXSN-NTSWFWBYSA-N 0 2 311.239 0.282 20 0 DCADLN Cn1cc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)cn1 ZINC001400519546 994608999 /nfs/dbraw/zinc/60/89/99/994608999.db2.gz BTXZPMOZLLPNOB-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cc(C(=O)NCC2(NC(=O)[C@H](F)C(F)(F)F)CC2)cn1 ZINC001400519546 994609007 /nfs/dbraw/zinc/60/90/07/994609007.db2.gz BTXZPMOZLLPNOB-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN CC(F)(F)CC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395737640 994951029 /nfs/dbraw/zinc/95/10/29/994951029.db2.gz CEHWZXKLNRLCKD-IYSWYEEDSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001395737640 994951037 /nfs/dbraw/zinc/95/10/37/994951037.db2.gz CEHWZXKLNRLCKD-IYSWYEEDSA-N 0 2 324.221 0.525 20 0 DCADLN COC(=O)[C@H]([NH2+]C[C@@H]1CC(C(=O)[O-])=C(C)O1)C1CCOCC1 ZINC001598709359 995328351 /nfs/dbraw/zinc/32/83/51/995328351.db2.gz VBZBHFLZFIIZHK-WCQYABFASA-N 0 2 313.350 0.692 20 0 DCADLN C[C@@H](O)C[NH+]1CCN(C(=O)c2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC001593463923 995395275 /nfs/dbraw/zinc/39/52/75/995395275.db2.gz WVXOQTYMRLXYEB-JOAKQRRISA-N 0 2 308.334 0.516 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)Cc1[nH]c[nH+]c1C ZINC001598764955 995820185 /nfs/dbraw/zinc/82/01/85/995820185.db2.gz GGRCATUUHXOYDR-KOLCDFICSA-N 0 2 309.322 0.125 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC001397175891 995844512 /nfs/dbraw/zinc/84/45/12/995844512.db2.gz JTYGCRKIYWCOQY-ZKWXMUAHSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cnccn1 ZINC001397175891 995844514 /nfs/dbraw/zinc/84/45/14/995844514.db2.gz JTYGCRKIYWCOQY-ZKWXMUAHSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(Cc1ncc[nH]1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397209145 995865397 /nfs/dbraw/zinc/86/53/97/995865397.db2.gz NMICKLSEQKFJSI-BYULHYEWSA-N 0 2 322.262 0.616 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397268378 995897850 /nfs/dbraw/zinc/89/78/50/995897850.db2.gz CFTVQNZIHSBQPW-BKPPORCPSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397268378 995897856 /nfs/dbraw/zinc/89/78/56/995897856.db2.gz CFTVQNZIHSBQPW-BKPPORCPSA-N 0 2 322.262 0.996 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC001397277624 995903101 /nfs/dbraw/zinc/90/31/01/995903101.db2.gz PNKXWTBETKEYOG-BKPPORCPSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccncn1 ZINC001397277624 995903108 /nfs/dbraw/zinc/90/31/08/995903108.db2.gz PNKXWTBETKEYOG-BKPPORCPSA-N 0 2 320.246 0.754 20 0 DCADLN COC(=O)[C@@H]1CCC[C@@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001598790940 996071891 /nfs/dbraw/zinc/07/18/91/996071891.db2.gz NGIOCQNCFHUBHR-FOGDFJRCSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H]1CCC[C@@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001598790940 996071895 /nfs/dbraw/zinc/07/18/95/996071895.db2.gz NGIOCQNCFHUBHR-FOGDFJRCSA-N 0 2 323.349 0.501 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(C)CCOCC1 ZINC001397581579 996081718 /nfs/dbraw/zinc/08/17/18/996081718.db2.gz QUCLCSWDNOUEGE-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN COCCC(=O)N1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001397608253 996094483 /nfs/dbraw/zinc/09/44/83/996094483.db2.gz OXXUXOIQMYNCFI-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN COCCC(=O)N1CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397608253 996094488 /nfs/dbraw/zinc/09/44/88/996094488.db2.gz OXXUXOIQMYNCFI-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001397647576 996115102 /nfs/dbraw/zinc/11/51/02/996115102.db2.gz MVEIUGZOASFURH-TZMCWYRMSA-N 0 2 319.409 0.011 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2cccc3[nH+]ccn32)C[C@H]1C(=O)[O-] ZINC001598819582 996309745 /nfs/dbraw/zinc/30/97/45/996309745.db2.gz CNHHEALOTHYJNL-NXEZZACHSA-N 0 2 317.301 0.280 20 0 DCADLN Cn1cnnc1CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001398233206 996424151 /nfs/dbraw/zinc/42/41/51/996424151.db2.gz PXLBZPTVBVJNID-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CCC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001398233206 996424153 /nfs/dbraw/zinc/42/41/53/996424153.db2.gz PXLBZPTVBVJNID-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN O=C(COCC1CC1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398567910 996577444 /nfs/dbraw/zinc/57/74/44/996577444.db2.gz FXVANZIJACUJSY-SNVBAGLBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(COCC1CC1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398567910 996577450 /nfs/dbraw/zinc/57/74/50/996577450.db2.gz FXVANZIJACUJSY-SNVBAGLBSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001398603794 996598990 /nfs/dbraw/zinc/59/89/90/996598990.db2.gz IBBXZMLXYLKRJU-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001398603794 996598992 /nfs/dbraw/zinc/59/89/92/996598992.db2.gz IBBXZMLXYLKRJU-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1nonc1CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001398603768 996599472 /nfs/dbraw/zinc/59/94/72/996599472.db2.gz IAQMASANNBJSCW-SECBINFHSA-N 0 2 324.234 0.148 20 0 DCADLN Cc1nonc1CC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398603768 996599474 /nfs/dbraw/zinc/59/94/74/996599474.db2.gz IAQMASANNBJSCW-SECBINFHSA-N 0 2 324.234 0.148 20 0 DCADLN O=C(/C=C/C1CC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510967385 1016095203 /nfs/dbraw/zinc/09/52/03/1016095203.db2.gz BMJJKPHMRQVZJO-KVCPUQMLSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(/C=C/C1CC1)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001510967385 1016095213 /nfs/dbraw/zinc/09/52/13/1016095213.db2.gz BMJJKPHMRQVZJO-KVCPUQMLSA-N 0 2 312.263 0.446 20 0 DCADLN Cn1c(NC(=O)CC2SC(=N)NC2=O)nc2cccnc21 ZINC001466717355 1016104419 /nfs/dbraw/zinc/10/44/19/1016104419.db2.gz XWUMMNHFYFDEJX-ZETCQYMHSA-N 0 2 304.335 0.463 20 0 DCADLN C[C@@]1(C2CC2)COCC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001593991577 997253175 /nfs/dbraw/zinc/25/31/75/997253175.db2.gz KAODESXTKKTRET-INIZCTEOSA-N 0 2 310.394 0.811 20 0 DCADLN C[C@@]1(C2CC2)COCC[N@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001593991577 997253184 /nfs/dbraw/zinc/25/31/84/997253184.db2.gz KAODESXTKKTRET-INIZCTEOSA-N 0 2 310.394 0.811 20 0 DCADLN COCC1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001404677616 997367515 /nfs/dbraw/zinc/36/75/15/997367515.db2.gz SLCXQTQKENYLBB-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CCCNC(=O)[C@H](C)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404779843 997455581 /nfs/dbraw/zinc/45/55/81/997455581.db2.gz YMMAZNHLKWDBAB-CBAPKCEASA-N 0 2 313.295 0.602 20 0 DCADLN CCCNC(=O)[C@H](C)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404779843 997455588 /nfs/dbraw/zinc/45/55/88/997455588.db2.gz YMMAZNHLKWDBAB-CBAPKCEASA-N 0 2 313.295 0.602 20 0 DCADLN CCn1nncc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001404942323 997613920 /nfs/dbraw/zinc/61/39/20/997613920.db2.gz OORTVKDJPIJXCP-SNVBAGLBSA-N 0 2 323.294 0.746 20 0 DCADLN CCn1nncc1CN1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404942323 997613927 /nfs/dbraw/zinc/61/39/27/997613927.db2.gz OORTVKDJPIJXCP-SNVBAGLBSA-N 0 2 323.294 0.746 20 0 DCADLN O=C(CC1CCC1)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001405247310 998004992 /nfs/dbraw/zinc/00/49/92/998004992.db2.gz DGLCGPNHTNTQLL-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001405247310 998005002 /nfs/dbraw/zinc/00/50/02/998005002.db2.gz DGLCGPNHTNTQLL-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)NC[C@@H](O)CC(=O)[O-])cc1 ZINC001599560909 998192695 /nfs/dbraw/zinc/19/26/95/998192695.db2.gz OOYIFIZQVKXDCE-GXTWGEPZSA-N 0 2 322.361 0.221 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@@H]2C(=O)NC[C@@H](O)CC(=O)[O-])cc1 ZINC001599560909 998192705 /nfs/dbraw/zinc/19/27/05/998192705.db2.gz OOYIFIZQVKXDCE-GXTWGEPZSA-N 0 2 322.361 0.221 20 0 DCADLN COC(=O)NCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC001468050918 1016217213 /nfs/dbraw/zinc/21/72/13/1016217213.db2.gz CHGROSOJPYSKPX-UHFFFAOYSA-N 0 2 304.306 0.664 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594180403 998699930 /nfs/dbraw/zinc/69/99/30/998699930.db2.gz ZIADKNILKHOSSQ-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594180403 998699934 /nfs/dbraw/zinc/69/99/34/998699934.db2.gz ZIADKNILKHOSSQ-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)[C@H]1CO ZINC001594416279 999556184 /nfs/dbraw/zinc/55/61/84/999556184.db2.gz HACKGIBGLIDPNI-SCZZXKLOSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)[C@H]1CO ZINC001594416279 999556188 /nfs/dbraw/zinc/55/61/88/999556188.db2.gz HACKGIBGLIDPNI-SCZZXKLOSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001594448669 999644637 /nfs/dbraw/zinc/64/46/37/999644637.db2.gz VGWCIUCUNZDSLD-JIMOISOXSA-N 0 2 317.349 0.542 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cccc3c2oc(=O)n3C)C(=O)N1C ZINC001470308112 1016411668 /nfs/dbraw/zinc/41/16/68/1016411668.db2.gz KQTJOWOADXZMDX-ZETCQYMHSA-N 0 2 318.289 0.059 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NC[C@H](O)[C@@H](C)O)=N1 ZINC001418669602 1000437583 /nfs/dbraw/zinc/43/75/83/1000437583.db2.gz AFYQBGLEPIVXAK-SKDRFNHKSA-N 0 2 323.324 0.497 20 0 DCADLN CCC(O)(CC)C(C)(C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001418987829 1000666941 /nfs/dbraw/zinc/66/69/41/1000666941.db2.gz VHUDNHCNBKZNOO-UHFFFAOYSA-N 0 2 321.381 0.517 20 0 DCADLN Cc1nsc(C)c1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401800859 1000742032 /nfs/dbraw/zinc/74/20/32/1000742032.db2.gz KZEBMLSFKGGAQK-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nsc(C)c1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401800859 1000742035 /nfs/dbraw/zinc/74/20/35/1000742035.db2.gz KZEBMLSFKGGAQK-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1noc(C)c1CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401929959 1000868878 /nfs/dbraw/zinc/86/88/78/1000868878.db2.gz MZGHTGAGTGUJBV-SNVBAGLBSA-N 0 2 320.353 0.048 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1scc2c1OCCO2 ZINC001470587418 1016463490 /nfs/dbraw/zinc/46/34/90/1016463490.db2.gz QQLOJXAVLJANBE-UHFFFAOYSA-N 0 2 309.303 0.651 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1scc2c1OCCO2 ZINC001470587418 1016463495 /nfs/dbraw/zinc/46/34/95/1016463495.db2.gz QQLOJXAVLJANBE-UHFFFAOYSA-N 0 2 309.303 0.651 20 0 DCADLN Cc1nonc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001402165397 1001078794 /nfs/dbraw/zinc/07/87/94/1001078794.db2.gz NXGQGXZCSXZMTE-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nonc1CN1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001402165397 1001078800 /nfs/dbraw/zinc/07/88/00/1001078800.db2.gz NXGQGXZCSXZMTE-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN O=C(C[C@H]1CCCO1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402736926 1001485678 /nfs/dbraw/zinc/48/56/78/1001485678.db2.gz QTCDFUWKCBGBJT-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@H]1CCCO1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402736926 1001485680 /nfs/dbraw/zinc/48/56/80/1001485680.db2.gz QTCDFUWKCBGBJT-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN CC[C@@H](OC)C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001402741116 1001486782 /nfs/dbraw/zinc/48/67/82/1001486782.db2.gz WFCSNFYDYLILKZ-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCc1ocnc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403118231 1001681911 /nfs/dbraw/zinc/68/19/11/1001681911.db2.gz MGGRXQFKFSUBBH-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1ocnc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403118231 1001681915 /nfs/dbraw/zinc/68/19/15/1001681915.db2.gz MGGRXQFKFSUBBH-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419955080 1001719066 /nfs/dbraw/zinc/71/90/66/1001719066.db2.gz OVMVHVGHVBEDKS-JTQLQIEISA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419955080 1001719070 /nfs/dbraw/zinc/71/90/70/1001719070.db2.gz OVMVHVGHVBEDKS-JTQLQIEISA-N 0 2 311.386 0.216 20 0 DCADLN O=C(N[C@@H](CO)[C@@H](O)c1cccnc1)c1cc(F)c(O)c(F)c1 ZINC001471071136 1016520540 /nfs/dbraw/zinc/52/05/40/1016520540.db2.gz VSKISTTVEGJURC-STQMWFEESA-N 0 2 324.283 0.890 20 0 DCADLN Cc1nnc(CC(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)o1 ZINC001420095933 1001848641 /nfs/dbraw/zinc/84/86/41/1001848641.db2.gz BOGKDVXJXMDFPB-UHFFFAOYSA-N 0 2 307.310 0.131 20 0 DCADLN Cc1nnc(CN(C)[C@@H](C)CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001424949278 1002178140 /nfs/dbraw/zinc/17/81/40/1002178140.db2.gz MIJHSWKMLHDWJL-RCOVLWMOSA-N 0 2 311.283 0.950 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424988118 1002217114 /nfs/dbraw/zinc/21/71/14/1002217114.db2.gz WWJAUFXUIVGEIR-MPPDQPJWSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424988118 1002217121 /nfs/dbraw/zinc/21/71/21/1002217121.db2.gz WWJAUFXUIVGEIR-MPPDQPJWSA-N 0 2 323.397 0.262 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001424989161 1002219543 /nfs/dbraw/zinc/21/95/43/1002219543.db2.gz NGMYVLQRJGVOSX-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001424989161 1002219551 /nfs/dbraw/zinc/21/95/51/1002219551.db2.gz NGMYVLQRJGVOSX-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN C[C@H](NC(=O)C1CCCC1)C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001420412375 1002379891 /nfs/dbraw/zinc/37/98/91/1002379891.db2.gz BMIFTYFDYXCLKL-UWVGGRQHSA-N 0 2 308.382 0.998 20 0 DCADLN CCc1oncc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001420424184 1002398343 /nfs/dbraw/zinc/39/83/43/1002398343.db2.gz UCVHKHPTLMWKNF-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN CCc1oncc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001420424184 1002398349 /nfs/dbraw/zinc/39/83/49/1002398349.db2.gz UCVHKHPTLMWKNF-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN C[C@@H](NC(=O)c1cc2n(n1)CCC[C@H]2O)c1nn(C)cc1O ZINC001420441630 1002422193 /nfs/dbraw/zinc/42/21/93/1002422193.db2.gz RXSKLYZFGMQIDA-LDYMZIIASA-N 0 2 305.338 0.640 20 0 DCADLN C[C@@H](NC(=O)C1(C2CC2)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425218681 1002449565 /nfs/dbraw/zinc/44/95/65/1002449565.db2.gz HUHYLHWHCCWFPZ-SECBINFHSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(CC(F)(F)F)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463912 1002455077 /nfs/dbraw/zinc/45/50/77/1002455077.db2.gz HVDOLSIGDHJUIU-ZETCQYMHSA-N 0 2 307.276 0.543 20 0 DCADLN O=C(CC(F)(F)F)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463912 1002455080 /nfs/dbraw/zinc/45/50/80/1002455080.db2.gz HVDOLSIGDHJUIU-ZETCQYMHSA-N 0 2 307.276 0.543 20 0 DCADLN CCOC(=O)[C@H](C)C1CN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC001471732652 1016644044 /nfs/dbraw/zinc/64/40/44/1016644044.db2.gz HEGMBMKWLFTVFH-APPZFPTMSA-N 0 2 313.379 0.200 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)Cc1ccco1 ZINC001420644953 1002736593 /nfs/dbraw/zinc/73/65/93/1002736593.db2.gz XHPBTYNTHWCYJG-QWRGUYRKSA-N 0 2 321.381 0.919 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)Cc1ccco1 ZINC001420644953 1002736596 /nfs/dbraw/zinc/73/65/96/1002736596.db2.gz XHPBTYNTHWCYJG-QWRGUYRKSA-N 0 2 321.381 0.919 20 0 DCADLN CC[C@H](C)OCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403983819 1002850179 /nfs/dbraw/zinc/85/01/79/1002850179.db2.gz NOZQQNDVPUFGAU-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@H](C)OCC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403983819 1002850194 /nfs/dbraw/zinc/85/01/94/1002850194.db2.gz NOZQQNDVPUFGAU-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)(C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C(F)F ZINC001404058946 1002954231 /nfs/dbraw/zinc/95/42/31/1002954231.db2.gz BKIPYHPWNYRTOH-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)(C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(F)F ZINC001404058946 1002954234 /nfs/dbraw/zinc/95/42/34/1002954234.db2.gz BKIPYHPWNYRTOH-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)(C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(F)F ZINC001404058946 1002954236 /nfs/dbraw/zinc/95/42/36/1002954236.db2.gz BKIPYHPWNYRTOH-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(CC1CC1)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001420802222 1002963291 /nfs/dbraw/zinc/96/32/91/1002963291.db2.gz OWNYZDJTAHMEBS-CYBMUJFWSA-N 0 2 315.377 0.513 20 0 DCADLN CC[C@@H](C)C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001420810254 1002971097 /nfs/dbraw/zinc/97/10/97/1002971097.db2.gz HAJCESFMEQFBIQ-DGCLKSJQSA-N 0 2 317.393 0.759 20 0 DCADLN CO[C@H](CC(C)C)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420871327 1003060344 /nfs/dbraw/zinc/06/03/44/1003060344.db2.gz GTNQYDAVUCOBGE-GHMZBOCLSA-N 0 2 311.386 0.262 20 0 DCADLN CNc1ccc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)cn1 ZINC001472061820 1016718822 /nfs/dbraw/zinc/71/88/22/1016718822.db2.gz QHSAXYGKRMMXBO-UHFFFAOYSA-N 0 2 317.349 0.948 20 0 DCADLN CC(C)C(C)(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472082459 1016724302 /nfs/dbraw/zinc/72/43/02/1016724302.db2.gz OUNZJKZPFRSRHA-UHFFFAOYSA-N 0 2 302.400 0.648 20 0 DCADLN Cn1ccnc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001421134147 1003367334 /nfs/dbraw/zinc/36/73/34/1003367334.db2.gz QHLPYHHJSAQWFS-JTQLQIEISA-N 0 2 308.279 0.869 20 0 DCADLN Cn1ccnc1CN1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001421134147 1003367339 /nfs/dbraw/zinc/36/73/39/1003367339.db2.gz QHLPYHHJSAQWFS-JTQLQIEISA-N 0 2 308.279 0.869 20 0 DCADLN CCc1n[nH]cc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505953426 1016766314 /nfs/dbraw/zinc/76/63/14/1016766314.db2.gz UOUUIKWQQJJCFR-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1n[nH]cc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505953426 1016766328 /nfs/dbraw/zinc/76/63/28/1016766328.db2.gz UOUUIKWQQJJCFR-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN Cn1nnnc1CN1CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001421214909 1003450756 /nfs/dbraw/zinc/45/07/56/1003450756.db2.gz YSJWCEPGSVFSNN-PSASIEDQSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CC[C@@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001421214909 1003450765 /nfs/dbraw/zinc/45/07/65/1003450765.db2.gz YSJWCEPGSVFSNN-PSASIEDQSA-N 0 2 324.282 0.191 20 0 DCADLN COCc1noc([C@@H](C)N(C)C[C@@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001406617171 1003929407 /nfs/dbraw/zinc/92/94/07/1003929407.db2.gz XVSGHKWSQSHTPO-RKDXNWHRSA-N 0 2 323.357 0.145 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3ccon3)C[C@H]21)C(F)C(F)(F)F ZINC001428439483 1003933451 /nfs/dbraw/zinc/93/34/51/1003933451.db2.gz VDYFQUPCSMMUMQ-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3ccon3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001428439483 1003933455 /nfs/dbraw/zinc/93/34/55/1003933455.db2.gz VDYFQUPCSMMUMQ-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN CCOCC(=O)N(C)[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001426691381 1003970277 /nfs/dbraw/zinc/97/02/77/1003970277.db2.gz YVIDHEXNGARRQS-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N(C)[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001426691381 1003970287 /nfs/dbraw/zinc/97/02/87/1003970287.db2.gz YVIDHEXNGARRQS-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN CCc1cc(C(=O)N(CC)[C@H](C)CNC(=O)c2cnn[nH]2)[nH]n1 ZINC001428665348 1004099831 /nfs/dbraw/zinc/09/98/31/1004099831.db2.gz HQYFEHRVQXNQDF-SECBINFHSA-N 0 2 319.369 0.371 20 0 DCADLN CC(C)[C@@H](C(=O)NCC1([NH2+]Cc2nncs2)CC1)[NH+](C)C ZINC001406994402 1004294859 /nfs/dbraw/zinc/29/48/59/1004294859.db2.gz BAUPFJFIXDQEJE-LBPRGKRZSA-N 0 2 311.455 0.863 20 0 DCADLN Cc1cc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC001427505347 1004430169 /nfs/dbraw/zinc/43/01/69/1004430169.db2.gz UFCYCKFCCCHEQO-SNVBAGLBSA-N 0 2 319.369 0.641 20 0 DCADLN C[C@@]1(NC(=O)C[C@@H]2CCCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407172861 1004443335 /nfs/dbraw/zinc/44/33/35/1004443335.db2.gz DGTJNOIXTFQPGC-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(NC(=O)C[C@@H]2CCCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407172861 1004443341 /nfs/dbraw/zinc/44/33/41/1004443341.db2.gz DGTJNOIXTFQPGC-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001429239733 1004477233 /nfs/dbraw/zinc/47/72/33/1004477233.db2.gz HRTFHOPJRUGHKJ-OLZOCXBDSA-N 0 2 321.425 0.400 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001408403031 1005191567 /nfs/dbraw/zinc/19/15/67/1005191567.db2.gz GTQWEVMJADUDLN-NKWVEPMBSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2nc[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001408403031 1005191571 /nfs/dbraw/zinc/19/15/71/1005191571.db2.gz GTQWEVMJADUDLN-NKWVEPMBSA-N 0 2 323.250 0.283 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)c1cc(OC)ns1 ZINC001414357984 1005298641 /nfs/dbraw/zinc/29/86/41/1005298641.db2.gz YBDPPKTZLROOBP-UHFFFAOYSA-N 0 2 316.307 0.238 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC001414959139 1005432747 /nfs/dbraw/zinc/43/27/47/1005432747.db2.gz GEUYGYFPXQCGJY-OPRDCNLKSA-N 0 2 324.274 0.997 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC001414959139 1005432748 /nfs/dbraw/zinc/43/27/48/1005432748.db2.gz GEUYGYFPXQCGJY-OPRDCNLKSA-N 0 2 324.274 0.997 20 0 DCADLN CC(C)OCC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001415516539 1005551436 /nfs/dbraw/zinc/55/14/36/1005551436.db2.gz XGEFNOQKTYIQPF-VIFPVBQESA-N 0 2 302.268 0.886 20 0 DCADLN CC(C)OCC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001415516539 1005551437 /nfs/dbraw/zinc/55/14/37/1005551437.db2.gz XGEFNOQKTYIQPF-VIFPVBQESA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@@H](CNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001416221607 1005673442 /nfs/dbraw/zinc/67/34/42/1005673442.db2.gz FBADMKBTPNYPHL-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)c1ccn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001416221607 1005673444 /nfs/dbraw/zinc/67/34/44/1005673444.db2.gz FBADMKBTPNYPHL-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CNC(=O)C[N@H+](C)CC(C)(C)C)NC(=O)Cn1cc[nH+]c1 ZINC001416828755 1005742798 /nfs/dbraw/zinc/74/27/98/1005742798.db2.gz PUKVFGXVTAMFLQ-CYBMUJFWSA-N 0 2 323.441 0.482 20 0 DCADLN CC1(O)CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC1 ZINC001417672023 1005864981 /nfs/dbraw/zinc/86/49/81/1005864981.db2.gz ORNOZHTXKUYWNG-UHFFFAOYSA-N 0 2 318.333 0.253 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC[C@@H]2CCCO2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001417839905 1005901156 /nfs/dbraw/zinc/90/11/56/1005901156.db2.gz UAQQODQAZIZWQP-WOPDTQHZSA-N 0 2 323.397 0.549 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC[C@@H]2CCCO2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001417839905 1005901157 /nfs/dbraw/zinc/90/11/57/1005901157.db2.gz UAQQODQAZIZWQP-WOPDTQHZSA-N 0 2 323.397 0.549 20 0 DCADLN CC(C)[C@@H](F)C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001417897462 1005918795 /nfs/dbraw/zinc/91/87/95/1005918795.db2.gz PJUZPYFWIUAUPO-GFCCVEGCSA-N 0 2 313.377 0.927 20 0 DCADLN Cc1nn[nH]c1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001506095443 1017009369 /nfs/dbraw/zinc/00/93/69/1017009369.db2.gz DICDMYWIIIDRPX-SSDOTTSWSA-N 0 2 323.250 0.392 20 0 DCADLN Cc1nn[nH]c1C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001506095443 1017009375 /nfs/dbraw/zinc/00/93/75/1017009375.db2.gz DICDMYWIIIDRPX-SSDOTTSWSA-N 0 2 323.250 0.392 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[NH+](C[C@H]3CC(C)(C)CO3)CC2)c1[O-] ZINC001434859163 1006055085 /nfs/dbraw/zinc/05/50/85/1006055085.db2.gz MWIQBAIUVPSAIR-GFCCVEGCSA-N 0 2 322.409 0.997 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001418206862 1006171016 /nfs/dbraw/zinc/17/10/16/1006171016.db2.gz VGBYOSMNRYUBBF-POYBYMJQSA-N 0 2 307.358 0.319 20 0 DCADLN CCc1nnc([C@H](C)[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001439105371 1006474884 /nfs/dbraw/zinc/47/48/84/1006474884.db2.gz BTQNUZPWJYLKCD-APPZFPTMSA-N 0 2 322.369 0.838 20 0 DCADLN CC(C)(F)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452225086 1006670429 /nfs/dbraw/zinc/67/04/29/1006670429.db2.gz NHAAKQLQIVUAGC-PHDIDXHHSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001452225086 1006670435 /nfs/dbraw/zinc/67/04/35/1006670435.db2.gz NHAAKQLQIVUAGC-PHDIDXHHSA-N 0 2 306.231 0.228 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001452272237 1006703325 /nfs/dbraw/zinc/70/33/25/1006703325.db2.gz RBTAMLVKZVIKTO-YUMQZZPRSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccoc1 ZINC001452272237 1006703333 /nfs/dbraw/zinc/70/33/33/1006703333.db2.gz RBTAMLVKZVIKTO-YUMQZZPRSA-N 0 2 312.219 0.387 20 0 DCADLN COCc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001437561858 1007126811 /nfs/dbraw/zinc/12/68/11/1007126811.db2.gz AZWVPOCMPJKTOS-UHFFFAOYSA-N 0 2 319.365 0.519 20 0 DCADLN COCc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001437561858 1007126820 /nfs/dbraw/zinc/12/68/20/1007126820.db2.gz AZWVPOCMPJKTOS-UHFFFAOYSA-N 0 2 319.365 0.519 20 0 DCADLN COCc1nnc(CNC(=O)c2cccc3oc(=O)[nH]c32)[nH]1 ZINC001452955804 1007171814 /nfs/dbraw/zinc/17/18/14/1007171814.db2.gz QEINJROLEWANTM-UHFFFAOYSA-N 0 2 303.278 0.728 20 0 DCADLN CC[N@H+](CCNC(=O)C(C)(C)C(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001437620962 1007183130 /nfs/dbraw/zinc/18/31/30/1007183130.db2.gz RZNRFMRBVDYJHX-UHFFFAOYSA-N 0 2 305.329 0.740 20 0 DCADLN CC[N@@H+](CCNC(=O)C(C)(C)C(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001437620962 1007183133 /nfs/dbraw/zinc/18/31/33/1007183133.db2.gz RZNRFMRBVDYJHX-UHFFFAOYSA-N 0 2 305.329 0.740 20 0 DCADLN Cn1nncc1CN1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001437682620 1007251095 /nfs/dbraw/zinc/25/10/95/1007251095.db2.gz UHMQDFNFCZHTMS-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001453171414 1007290327 /nfs/dbraw/zinc/29/03/27/1007290327.db2.gz VKIPAFFJEFHEFB-WCBMZHEXSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001453171414 1007290341 /nfs/dbraw/zinc/29/03/41/1007290341.db2.gz VKIPAFFJEFHEFB-WCBMZHEXSA-N 0 2 322.262 0.501 20 0 DCADLN COCC1(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CC1 ZINC001437797396 1007412814 /nfs/dbraw/zinc/41/28/14/1007412814.db2.gz HOOKVEANXDDGKL-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN COCC1(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CC1 ZINC001437797396 1007412821 /nfs/dbraw/zinc/41/28/21/1007412821.db2.gz HOOKVEANXDDGKL-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN CCC[N@@H+]1CCC[C@@H]1C(=O)NCC1(O)C[NH+](CC[C@H](C)F)C1 ZINC001440050043 1007454140 /nfs/dbraw/zinc/45/41/40/1007454140.db2.gz SVJQWBWWDLYAOZ-UONOGXRCSA-N 0 2 315.433 0.772 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438018380 1007581106 /nfs/dbraw/zinc/58/11/06/1007581106.db2.gz MIUALWGWHSTPOP-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCCNC(=O)[C@H](C)[NH+]1CC(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001438115878 1007646378 /nfs/dbraw/zinc/64/63/78/1007646378.db2.gz WBGNXFCLUDKXSJ-JTQLQIEISA-N 0 2 323.397 0.000 20 0 DCADLN C[C@H](CNC(=O)c1ccc(F)cc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440897579 1008093026 /nfs/dbraw/zinc/09/30/26/1008093026.db2.gz LDNWSKZONVBXSE-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN C[C@H](CNC(=O)c1ccc(F)cc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440897579 1008093031 /nfs/dbraw/zinc/09/30/31/1008093031.db2.gz LDNWSKZONVBXSE-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN CO[C@@H](C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001440981477 1008155680 /nfs/dbraw/zinc/15/56/80/1008155680.db2.gz HARKZEUKCDGSFB-CYBMUJFWSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)OCC(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001441254659 1008291736 /nfs/dbraw/zinc/29/17/36/1008291736.db2.gz ZXPKWRQBYCNXMZ-JTQLQIEISA-N 0 2 311.386 0.262 20 0 DCADLN CC(C)OCC(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001441254659 1008291738 /nfs/dbraw/zinc/29/17/38/1008291738.db2.gz ZXPKWRQBYCNXMZ-JTQLQIEISA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](O)[C@H]1CCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001453587717 1008596382 /nfs/dbraw/zinc/59/63/82/1008596382.db2.gz JDQYEVIPHLEGIH-JOYOIKCWSA-N 0 2 318.333 0.252 20 0 DCADLN CC(C)CC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433233339 1008627056 /nfs/dbraw/zinc/62/70/56/1008627056.db2.gz RAPLIYPTXMHVDW-APPZFPTMSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001433233339 1008627062 /nfs/dbraw/zinc/62/70/62/1008627062.db2.gz RAPLIYPTXMHVDW-APPZFPTMSA-N 0 2 302.268 0.526 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](O)CNC(=O)[C@H](C)C(C)C ZINC001433327296 1008692623 /nfs/dbraw/zinc/69/26/23/1008692623.db2.gz SYTCEOPPASJPRZ-PWSUYJOCSA-N 0 2 310.398 0.146 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cc(F)cc3n[nH]nc32)C(=O)N1C ZINC001453752180 1008699963 /nfs/dbraw/zinc/69/99/63/1008699963.db2.gz VCXMCSGZFWNNTA-RXMQYKEDSA-N 0 2 306.257 0.024 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001433659718 1009036617 /nfs/dbraw/zinc/03/66/17/1009036617.db2.gz BGRHULMFIXIFGE-QMMMGPOBSA-N 0 2 308.367 0.732 20 0 DCADLN O=C(NCC1(O)CCCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433700748 1009090890 /nfs/dbraw/zinc/09/08/90/1009090890.db2.gz CUZOUENQOMNNQU-UHFFFAOYSA-N 0 2 318.333 0.301 20 0 DCADLN C[C@@H]1Nc2ccnn2[C@@H](C)[C@@H]1CCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001433773479 1009167953 /nfs/dbraw/zinc/16/79/53/1009167953.db2.gz QPKBEHLLQNVVPU-LPEHRKFASA-N 0 2 319.369 0.795 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001454622918 1009233879 /nfs/dbraw/zinc/23/38/79/1009233879.db2.gz KOQRCIZKUAEGKH-RNFRBKRXSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001454622918 1009233891 /nfs/dbraw/zinc/23/38/91/1009233891.db2.gz KOQRCIZKUAEGKH-RNFRBKRXSA-N 0 2 310.251 0.853 20 0 DCADLN CCC[C@@H](OC)C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001433954602 1009397111 /nfs/dbraw/zinc/39/71/11/1009397111.db2.gz UJRFZHWVAYIONV-CYBMUJFWSA-N 0 2 322.409 0.841 20 0 DCADLN CCC(=O)N[C@H](C)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001455119024 1009498456 /nfs/dbraw/zinc/49/84/56/1009498456.db2.gz FCYXXKINSFWGJT-SNVBAGLBSA-N 0 2 318.381 0.845 20 0 DCADLN C[C@H](Cn1cccn1)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001477303404 1017275406 /nfs/dbraw/zinc/27/54/06/1017275406.db2.gz ZNCGIFYPYDAHBJ-MNOVXSKESA-N 0 2 319.369 0.685 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnn[n-]1)[C@@H](C)c1nc(COC)no1 ZINC001442694573 1009637535 /nfs/dbraw/zinc/63/75/35/1009637535.db2.gz ONTSMFBZIFZCDS-VIFPVBQESA-N 0 2 323.357 0.147 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cnn[n-]1)[C@@H](C)c1nc(COC)no1 ZINC001442694573 1009637541 /nfs/dbraw/zinc/63/75/41/1009637541.db2.gz ONTSMFBZIFZCDS-VIFPVBQESA-N 0 2 323.357 0.147 20 0 DCADLN CC[C@@H](C[C@@H](C)O)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434297677 1009732864 /nfs/dbraw/zinc/73/28/64/1009732864.db2.gz QPDXZOYGMDXFRG-KOLCDFICSA-N 0 2 320.349 0.546 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CCC(F)(F)F)[nH]1 ZINC001434383532 1009871641 /nfs/dbraw/zinc/87/16/41/1009871641.db2.gz GJLFEXKLDYASPV-UHFFFAOYSA-N 0 2 314.289 0.308 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)c1cnn(C)c1 ZINC001455636020 1009875768 /nfs/dbraw/zinc/87/57/68/1009875768.db2.gz IMSJIQREDBPMTL-UHFFFAOYSA-N 0 2 318.381 0.273 20 0 DCADLN C[C@H](NC(=O)CNC(=O)c1ccc(F)cc1)c1nn(C)cc1O ZINC001434407734 1009915042 /nfs/dbraw/zinc/91/50/42/1009915042.db2.gz QBIJUQZLPWMZCE-VIFPVBQESA-N 0 2 320.324 0.872 20 0 DCADLN O=C(N[C@@H]1C[C@@H]2CC[C@H]1O2)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434422564 1009936975 /nfs/dbraw/zinc/93/69/75/1009936975.db2.gz IHZKQWXVRZQDCV-QJPTWQEYSA-N 0 2 316.317 0.316 20 0 DCADLN CCc1ccnc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001456314348 1010190022 /nfs/dbraw/zinc/19/00/22/1010190022.db2.gz YIOXAOQSEHAFIA-UHFFFAOYSA-N 0 2 323.378 0.237 20 0 DCADLN CCc1cncc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422535192 1010257833 /nfs/dbraw/zinc/25/78/33/1010257833.db2.gz HEAXCOKRIHHFHZ-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cncc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422535192 1010257839 /nfs/dbraw/zinc/25/78/39/1010257839.db2.gz HEAXCOKRIHHFHZ-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN COc1ccnc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422544446 1010273504 /nfs/dbraw/zinc/27/35/04/1010273504.db2.gz CHIICJCTWSPULE-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1ccnc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422544446 1010273511 /nfs/dbraw/zinc/27/35/11/1010273511.db2.gz CHIICJCTWSPULE-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN C[C@@](O)(CC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001443438745 1010405793 /nfs/dbraw/zinc/40/57/93/1010405793.db2.gz ZWBOOXUXZNOYHM-XHDPSFHLSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C[NH2+][C@@H](C)c2csnn2)c1[O-] ZINC001422635954 1010434709 /nfs/dbraw/zinc/43/47/09/1010434709.db2.gz WHDBMUKSHILGOB-BQBZGAKWSA-N 0 2 310.383 0.744 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NC1(CCO)C[NH+](CC2CCC2)C1 ZINC001422647187 1010450328 /nfs/dbraw/zinc/45/03/28/1010450328.db2.gz OTUHWXSNRUYZQK-UHFFFAOYSA-N 0 2 306.410 0.545 20 0 DCADLN O=C(CCCc1ccccc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001443510182 1010460230 /nfs/dbraw/zinc/46/02/30/1010460230.db2.gz OJFASEQXWLMYEM-UHFFFAOYSA-N 0 2 315.377 0.834 20 0 DCADLN CC[C@@H](C)OCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001443662638 1010570064 /nfs/dbraw/zinc/57/00/64/1010570064.db2.gz HLRNUHRITQMIHS-VWYCJHECSA-N 0 2 309.370 0.111 20 0 DCADLN COC(=O)[C@@H](CF)NS(=O)(=O)C[C@@H]1CCCC2(CCC2)O1 ZINC001475349336 1017095842 /nfs/dbraw/zinc/09/58/42/1017095842.db2.gz KPFUHBMCZSDWFC-WDEREUQCSA-N 0 2 323.386 0.909 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccccc1F ZINC001422919364 1010738600 /nfs/dbraw/zinc/73/86/00/1010738600.db2.gz GKJFVPOUMVZJBA-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccccc1F ZINC001422919364 1010738609 /nfs/dbraw/zinc/73/86/09/1010738609.db2.gz GKJFVPOUMVZJBA-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN CC(C)CC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001444208131 1010905220 /nfs/dbraw/zinc/90/52/20/1010905220.db2.gz GJVFUQBJGWZKJG-APPZFPTMSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001444208131 1010905222 /nfs/dbraw/zinc/90/52/22/1010905222.db2.gz GJVFUQBJGWZKJG-APPZFPTMSA-N 0 2 302.268 0.526 20 0 DCADLN Cn1ccnc1CN[C@@H](CO)CNC(=O)C(C1CC1)C1CC1 ZINC001423282553 1011045705 /nfs/dbraw/zinc/04/57/05/1011045705.db2.gz PWNIVKWELXAPAA-CYBMUJFWSA-N 0 2 306.410 0.423 20 0 DCADLN CCOC(=O)c1nc[nH]c1CNC(=O)CSc1nc(C)n[nH]1 ZINC001456402680 1011244115 /nfs/dbraw/zinc/24/41/15/1011244115.db2.gz VMZVESJYMFHMMP-UHFFFAOYSA-N 0 2 324.366 0.421 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnco2)C1)C(F)C(F)(F)F ZINC001456514543 1011318273 /nfs/dbraw/zinc/31/82/73/1011318273.db2.gz XHKSPSSZKMTLFK-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnco2)C1)[C@H](F)C(F)(F)F ZINC001456514543 1011318284 /nfs/dbraw/zinc/31/82/84/1011318284.db2.gz XHKSPSSZKMTLFK-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cnon1 ZINC001533536021 1011437536 /nfs/dbraw/zinc/43/75/36/1011437536.db2.gz YISCAKCYVXSSSN-HIXXPVPXSA-N 0 2 310.207 0.372 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1cnon1 ZINC001533536021 1011437546 /nfs/dbraw/zinc/43/75/46/1011437546.db2.gz YISCAKCYVXSSSN-HIXXPVPXSA-N 0 2 310.207 0.372 20 0 DCADLN C[C@H](NC(=O)c1ccnc(-n2cncn2)c1)c1nn(C)cc1O ZINC001456784616 1011458270 /nfs/dbraw/zinc/45/82/70/1011458270.db2.gz DTYQUXBOSJRZMN-VIFPVBQESA-N 0 2 313.321 0.592 20 0 DCADLN C[C@@H](NC(=O)c1ccc2n[nH]c(=O)n2c1)c1nn(C)cc1O ZINC001456784316 1011458924 /nfs/dbraw/zinc/45/89/24/1011458924.db2.gz CVWBGPAGTVWTBB-SSDOTTSWSA-N 0 2 302.294 0.365 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnco1)C(F)C(F)(F)F ZINC001534848753 1011698403 /nfs/dbraw/zinc/69/84/03/1011698403.db2.gz BTBFMFOCINYRKE-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnco1)[C@@H](F)C(F)(F)F ZINC001534848753 1011698410 /nfs/dbraw/zinc/69/84/10/1011698410.db2.gz BTBFMFOCINYRKE-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cc[nH]n1 ZINC001424161460 1012103749 /nfs/dbraw/zinc/10/37/49/1012103749.db2.gz FFIGTMAUFDHHOH-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccn[nH]1 ZINC001424161460 1012103758 /nfs/dbraw/zinc/10/37/58/1012103758.db2.gz FFIGTMAUFDHHOH-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN Cc1nonc1C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001536396146 1012113217 /nfs/dbraw/zinc/11/32/17/1012113217.db2.gz YWHUTFUWJUXVGU-QMMMGPOBSA-N 0 2 324.234 0.561 20 0 DCADLN Cc1nonc1C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001536396146 1012113222 /nfs/dbraw/zinc/11/32/22/1012113222.db2.gz YWHUTFUWJUXVGU-QMMMGPOBSA-N 0 2 324.234 0.561 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)n1cccc1 ZINC001445693801 1012121049 /nfs/dbraw/zinc/12/10/49/1012121049.db2.gz SKKHWUXLDZYPPL-MNOVXSKESA-N 0 2 306.370 0.510 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)n1cccc1 ZINC001445693801 1012121059 /nfs/dbraw/zinc/12/10/59/1012121059.db2.gz SKKHWUXLDZYPPL-MNOVXSKESA-N 0 2 306.370 0.510 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccncc1F ZINC001445712467 1012152010 /nfs/dbraw/zinc/15/20/10/1012152010.db2.gz ZKAIBZKJMGFFNE-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccncc1F ZINC001445712467 1012152013 /nfs/dbraw/zinc/15/20/13/1012152013.db2.gz ZKAIBZKJMGFFNE-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](CN(C)Cc1ncnn1C)NC(=O)C(F)C(F)(F)F ZINC001445717850 1012158911 /nfs/dbraw/zinc/15/89/11/1012158911.db2.gz DNTVBONZGVLXLR-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CN(C)Cc1ncnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001445717850 1012158926 /nfs/dbraw/zinc/15/89/26/1012158926.db2.gz DNTVBONZGVLXLR-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN CO[C@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001432233168 1012176223 /nfs/dbraw/zinc/17/62/23/1012176223.db2.gz ORHBZAZLEBLDCM-IUCAKERBSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@H](C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)C1CC1 ZINC001432233168 1012176237 /nfs/dbraw/zinc/17/62/37/1012176237.db2.gz ORHBZAZLEBLDCM-IUCAKERBSA-N 0 2 312.263 0.639 20 0 DCADLN CC(C)OCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001432259615 1012217522 /nfs/dbraw/zinc/21/75/22/1012217522.db2.gz ILJMKRBDNCTYHQ-SECBINFHSA-N 0 2 300.252 0.639 20 0 DCADLN CC(C)OCC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432259615 1012217535 /nfs/dbraw/zinc/21/75/35/1012217535.db2.gz ILJMKRBDNCTYHQ-SECBINFHSA-N 0 2 300.252 0.639 20 0 DCADLN C[C@H](NC(=O)CONC(=O)OC(C)(C)C)c1nn(C)cc1O ZINC001558684154 1012375514 /nfs/dbraw/zinc/37/55/14/1012375514.db2.gz XFFJDBDRRHFRDQ-QMMMGPOBSA-N 0 2 314.342 0.759 20 0 DCADLN CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)c1cn[nH]c1 ZINC001545130342 1012556661 /nfs/dbraw/zinc/55/66/61/1012556661.db2.gz LWNKWRBLOHGWKM-UHFFFAOYSA-N 0 2 300.278 0.266 20 0 DCADLN CC(=O)NCc1cc(=O)[nH]c(CNC(=O)C(F)C(F)(F)F)n1 ZINC001446053005 1012563883 /nfs/dbraw/zinc/56/38/83/1012563883.db2.gz LGQUKDKWBBJYOJ-SECBINFHSA-N 0 2 324.234 0.335 20 0 DCADLN CC(=O)NCc1cc(=O)[nH]c(CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001446053005 1012563895 /nfs/dbraw/zinc/56/38/95/1012563895.db2.gz LGQUKDKWBBJYOJ-SECBINFHSA-N 0 2 324.234 0.335 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccon2)C1 ZINC001506293305 1017187580 /nfs/dbraw/zinc/18/75/80/1017187580.db2.gz JPMNDDFXOORHHV-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2ccon2)C1 ZINC001506293305 1017187587 /nfs/dbraw/zinc/18/75/87/1017187587.db2.gz JPMNDDFXOORHHV-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN O=C(NCc1ccc(=O)[nH]n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478702078 1017375130 /nfs/dbraw/zinc/37/51/30/1017375130.db2.gz PBBPBKIPYHAFDK-MRVPVSSYSA-N 0 2 319.325 0.095 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1ccn(C)n1 ZINC001478796839 1017389677 /nfs/dbraw/zinc/38/96/77/1017389677.db2.gz SETBGJWXDZLWQC-NXEZZACHSA-N 0 2 319.369 0.894 20 0 DCADLN C[C@H](CN(C)C(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001510506154 1017676700 /nfs/dbraw/zinc/67/67/00/1017676700.db2.gz YTEAWLORFXFMFQ-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN CC(C)[C@@H](C(=O)NCCC[N@H+](C)Cc1nncn1C)[NH+](C)C ZINC001479810053 1017810578 /nfs/dbraw/zinc/81/05/78/1017810578.db2.gz NOTOADJGMZVFPW-AWEZNQCLSA-N 0 2 310.446 0.339 20 0 DCADLN Cc1sccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001480355628 1018461157 /nfs/dbraw/zinc/46/11/57/1018461157.db2.gz SOXVCPAQINEEPX-UHFFFAOYSA-N 0 2 307.379 0.838 20 0 DCADLN Cc1ncc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)o1 ZINC001498222552 1018770843 /nfs/dbraw/zinc/77/08/43/1018770843.db2.gz HCFJMZYKXATWSW-JTQLQIEISA-N 0 2 323.246 0.753 20 0 DCADLN Cc1ncc(CC(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)o1 ZINC001498222552 1018770855 /nfs/dbraw/zinc/77/08/55/1018770855.db2.gz HCFJMZYKXATWSW-JTQLQIEISA-N 0 2 323.246 0.753 20 0 DCADLN CCc1nc[nH]c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498221937 1018770916 /nfs/dbraw/zinc/77/09/16/1018770916.db2.gz BMHCUHJWOZEYIU-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN CCc1nc[nH]c1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001498221937 1018770931 /nfs/dbraw/zinc/77/09/31/1018770931.db2.gz BMHCUHJWOZEYIU-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN Cc1noc(C)c1CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498447910 1019126651 /nfs/dbraw/zinc/12/66/51/1019126651.db2.gz GEXDYSLDCQQPBQ-QMMMGPOBSA-N 0 2 322.369 0.294 20 0 DCADLN Cc1noc(C)c1CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498447910 1019126656 /nfs/dbraw/zinc/12/66/56/1019126656.db2.gz GEXDYSLDCQQPBQ-QMMMGPOBSA-N 0 2 322.369 0.294 20 0 DCADLN C[NH+](C)[C@H](C(=O)NC[C@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001493267272 1019270107 /nfs/dbraw/zinc/27/01/07/1019270107.db2.gz OQCLDRUQHBFFKY-CJNGLKHVSA-N 0 2 318.421 0.213 20 0 DCADLN CC[C@H](SC)C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493362128 1019354953 /nfs/dbraw/zinc/35/49/53/1019354953.db2.gz TZMPDZPUUYVHLQ-JTQLQIEISA-N 0 2 313.427 0.733 20 0 DCADLN CC[C@H](SC)C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493362128 1019354970 /nfs/dbraw/zinc/35/49/70/1019354970.db2.gz TZMPDZPUUYVHLQ-JTQLQIEISA-N 0 2 313.427 0.733 20 0 DCADLN O=C(NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cnon1 ZINC001493663666 1019605498 /nfs/dbraw/zinc/60/54/98/1019605498.db2.gz KAGLLCUSKFGLMX-HTRCEHHLSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1cnon1 ZINC001493663666 1019605510 /nfs/dbraw/zinc/60/55/10/1019605510.db2.gz KAGLLCUSKFGLMX-HTRCEHHLSA-N 0 2 324.234 0.595 20 0 DCADLN COCC1(CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001498978164 1019605658 /nfs/dbraw/zinc/60/56/58/1019605658.db2.gz YGPLLZMMWPKFGS-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN CN(CC[N@H+](C)CCOCC(F)(F)F)C(=O)Cc1c[nH+]c[nH]1 ZINC001496791333 1019639788 /nfs/dbraw/zinc/63/97/88/1019639788.db2.gz DHFPWCMGQXOGMI-UHFFFAOYSA-N 0 2 322.331 0.921 20 0 DCADLN CN(CCN(C)C(=O)C(C)(C)C(F)(F)F)Cc1n[nH]c(=O)[nH]1 ZINC001496824589 1019671207 /nfs/dbraw/zinc/67/12/07/1019671207.db2.gz AUCZXMULOQGBFE-UHFFFAOYSA-N 0 2 323.319 0.989 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(C)(C)C(F)(F)F ZINC001496824589 1019671214 /nfs/dbraw/zinc/67/12/14/1019671214.db2.gz AUCZXMULOQGBFE-UHFFFAOYSA-N 0 2 323.319 0.989 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(C)(C)C(F)(F)F ZINC001496824589 1019671219 /nfs/dbraw/zinc/67/12/19/1019671219.db2.gz AUCZXMULOQGBFE-UHFFFAOYSA-N 0 2 323.319 0.989 20 0 DCADLN Cc1ncccc1CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001499066853 1019701495 /nfs/dbraw/zinc/70/14/95/1019701495.db2.gz QLDJWHBROPQLCT-LBPRGKRZSA-N 0 2 316.365 0.147 20 0 DCADLN CC[C@H](CNC(=O)C[N@H+](C)C(C)C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001499144666 1019790905 /nfs/dbraw/zinc/79/09/05/1019790905.db2.gz YTJLQMSUCDXSQD-GFCCVEGCSA-N 0 2 309.414 0.303 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CCn1cc(Cl)cn1 ZINC001608324322 1170649276 /nfs/dbraw/zinc/64/92/76/1170649276.db2.gz YFGKAINURDYGIE-SNVBAGLBSA-N 0 2 311.729 0.462 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CCn1cc(Cl)cn1 ZINC001608324322 1170649281 /nfs/dbraw/zinc/64/92/81/1170649281.db2.gz YFGKAINURDYGIE-SNVBAGLBSA-N 0 2 311.729 0.462 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+](C)[C@H]1CCCCNC1=O)C(=O)[O-] ZINC001609693528 1171066764 /nfs/dbraw/zinc/06/67/64/1171066764.db2.gz SBIGBEJJABPFGY-NEPJUHHUSA-N 0 2 313.398 0.202 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+](C)[C@H]1CCCCNC1=O)C(=O)[O-] ZINC001609693528 1171066773 /nfs/dbraw/zinc/06/67/73/1171066773.db2.gz SBIGBEJJABPFGY-NEPJUHHUSA-N 0 2 313.398 0.202 20 0 DCADLN COCCC[C@@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000272583363 210004565 /nfs/dbraw/zinc/00/45/65/210004565.db2.gz SBUMMPVVZJWEBN-SSDOTTSWSA-N 0 2 322.412 0.911 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)s1 ZINC000083955908 192391562 /nfs/dbraw/zinc/39/15/62/192391562.db2.gz LSZBFPFBSWEQIP-UHFFFAOYSA-N 0 2 324.387 0.933 20 0 DCADLN C[C@H](C(=O)N1CC[C@@H](CO)C1)n1nnc(-c2ccccc2)n1 ZINC000179701578 186207433 /nfs/dbraw/zinc/20/74/33/186207433.db2.gz PTLBNERSKRDPFR-VXGBXAGGSA-N 0 2 301.350 0.742 20 0 DCADLN CC(C)CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000172129468 198043633 /nfs/dbraw/zinc/04/36/33/198043633.db2.gz PZBHDHBHFARLCI-UHFFFAOYSA-N 0 2 302.334 0.872 20 0 DCADLN C[C@@H]1CS(=O)(=O)CCN1CC(=O)NOCc1ccccc1 ZINC000180505134 199167798 /nfs/dbraw/zinc/16/77/98/199167798.db2.gz WZRQXMBMPCUJRT-GFCCVEGCSA-N 0 2 312.391 0.353 20 0 DCADLN Cn1cc(Nc2cccc(C(=O)NCc3n[nH]c(=O)[nH]3)c2)cn1 ZINC000156057377 237045058 /nfs/dbraw/zinc/04/50/58/237045058.db2.gz YEMHYVHBAGSIHK-UHFFFAOYSA-N 0 2 313.321 0.505 20 0 DCADLN C[C@H]1SCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@H]1C ZINC000118374901 291258874 /nfs/dbraw/zinc/25/88/74/291258874.db2.gz ZBQOOFUJAQILAI-JGVFFNPUSA-N 0 2 320.374 0.577 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CC[C@H](C(N)=O)C2)c1 ZINC000424863459 240269132 /nfs/dbraw/zinc/26/91/32/240269132.db2.gz IYDGADGLFYWFDM-QWVJXAHUSA-N 0 2 324.402 0.573 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@]2(C)CCC(=O)N2)c1 ZINC000424861070 240270402 /nfs/dbraw/zinc/27/04/02/240270402.db2.gz CYGJVCHDEPSECE-OYHNWAKOSA-N 0 2 324.402 0.588 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000567844519 291383777 /nfs/dbraw/zinc/38/37/77/291383777.db2.gz PRDWEQCTVRKYRV-TZMCWYRMSA-N 0 2 306.362 0.897 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1CCO)[C@@H]1CCc2c[nH+]cn2C1 ZINC000567967050 291394570 /nfs/dbraw/zinc/39/45/70/291394570.db2.gz GOKCJJVKNNFTBX-ZIAGYGMSSA-N 0 2 306.410 0.409 20 0 DCADLN COc1nc(N2CCN(Cc3[nH]cc[nH+]3)CC2)ccc1C(=O)[O-] ZINC000567978655 291394682 /nfs/dbraw/zinc/39/46/82/291394682.db2.gz NKSIBKDJNLSIJZ-UHFFFAOYSA-N 0 2 317.349 0.834 20 0 DCADLN O=C(c1ccc(O)cc1O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000567957607 291394008 /nfs/dbraw/zinc/39/40/08/291394008.db2.gz JSXKHBXQAVAECQ-MRVPVSSYSA-N 0 2 304.306 0.941 20 0 DCADLN COC(=O)c1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c[nH]1 ZINC000569005513 291458257 /nfs/dbraw/zinc/45/82/57/291458257.db2.gz TVBGNHBONUWLCJ-QMMMGPOBSA-N 0 2 319.321 0.645 20 0 DCADLN COc1ccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)cc1F ZINC000275067883 212103562 /nfs/dbraw/zinc/10/35/62/212103562.db2.gz VWTQSCZYBVEDJJ-UHFFFAOYSA-N 0 2 312.326 0.654 20 0 DCADLN CCC[C@@H](NC(=O)c1cc(F)cc2n[nH]nc21)c1nn[nH]n1 ZINC000282467740 217016743 /nfs/dbraw/zinc/01/67/43/217016743.db2.gz LLYFECJWNBEUGT-MRVPVSSYSA-N 0 2 304.289 0.881 20 0 DCADLN NC(=O)N[C@H](CO)C(=O)N1CC[C@@H](c2c(F)cccc2F)C1 ZINC000331007286 252648601 /nfs/dbraw/zinc/64/86/01/252648601.db2.gz TWRNUGHDPYJWKQ-LDYMZIIASA-N 0 2 313.304 0.724 20 0 DCADLN CCCC[C@@H](COC)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000119631450 195006286 /nfs/dbraw/zinc/00/62/86/195006286.db2.gz RUOQQFZDLYSICA-JTQLQIEISA-N 0 2 320.349 0.546 20 0 DCADLN O=C(NCCn1ncnn1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000338960759 253015504 /nfs/dbraw/zinc/01/55/04/253015504.db2.gz HOEBSPITRQRPFP-UHFFFAOYSA-N 0 2 317.284 0.134 20 0 DCADLN CN1C(=O)c2ccc(S(=O)(=O)Nc3nncs3)cc2C1=O ZINC000339411793 253081406 /nfs/dbraw/zinc/08/14/06/253081406.db2.gz AUBIJKDBETZKDE-UHFFFAOYSA-N 0 2 324.343 0.565 20 0 DCADLN CC(C)[C@@H](CNC(=O)N1CC[NH+](C)CC1)[NH+]1CCN(C)CC1 ZINC000339641181 253120456 /nfs/dbraw/zinc/12/04/56/253120456.db2.gz AHOIOCYCBFORAN-OAHLLOKOSA-N 0 2 311.474 0.215 20 0 DCADLN CCN(C[C@@H](C)O)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000341095659 253343106 /nfs/dbraw/zinc/34/31/06/253343106.db2.gz HDFDQCQXSNKMJQ-MRVPVSSYSA-N 0 2 306.322 0.587 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1NC(=O)NC1=O)c1ccc2n[nH]cc2c1 ZINC000343411929 253650339 /nfs/dbraw/zinc/65/03/39/253650339.db2.gz NGPWGRUKOLYVBT-RDDDGLTNSA-N 0 2 301.306 0.338 20 0 DCADLN COc1ccc(CNC(=O)C[N@@H+]2CCC[C@@H]2C(=O)[O-])cc1OC ZINC000346327797 254036776 /nfs/dbraw/zinc/03/67/76/254036776.db2.gz MHWYCVPUYXMGMQ-GFCCVEGCSA-N 0 2 322.361 0.869 20 0 DCADLN COc1ccc(CNC(=O)C[N@H+]2CCC[C@@H]2C(=O)[O-])cc1OC ZINC000346327797 254036778 /nfs/dbraw/zinc/03/67/78/254036778.db2.gz MHWYCVPUYXMGMQ-GFCCVEGCSA-N 0 2 322.361 0.869 20 0 DCADLN CCCN(CC(N)=O)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000346945482 254117305 /nfs/dbraw/zinc/11/73/05/254117305.db2.gz PGGRRUHLBAUIEI-UHFFFAOYSA-N 0 2 319.321 0.082 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc2c(c1)n(C)c(=O)n2C ZINC000347398958 254154150 /nfs/dbraw/zinc/15/41/50/254154150.db2.gz WGPPJTFECDPTCU-UHFFFAOYSA-N 0 2 321.362 0.709 20 0 DCADLN CC(C)NC(=O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348729063 254250618 /nfs/dbraw/zinc/25/06/18/254250618.db2.gz KONNQPKVPNYCHG-UHFFFAOYSA-N 0 2 304.306 0.284 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2[C@H](CO)CC[C@H]2CO)s[nH]1 ZINC000349277836 254279391 /nfs/dbraw/zinc/27/93/91/254279391.db2.gz BCRPKUMLTLKWFK-IUCAKERBSA-N 0 2 314.411 0.607 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@@H](O)CC12CCC2 ZINC000565237305 288836584 /nfs/dbraw/zinc/83/65/84/288836584.db2.gz ZAOQDPNZZBTHFP-MRVPVSSYSA-N 0 2 302.318 0.763 20 0 DCADLN CC[C@@H](C)NC(=O)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275744176 130375884 /nfs/dbraw/zinc/37/58/84/130375884.db2.gz XQQSSVOCRPRARN-SNVBAGLBSA-N 0 2 318.381 0.845 20 0 DCADLN Cc1nn(C)c(C)c1NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000016727337 395690718 /nfs/dbraw/zinc/69/07/18/395690718.db2.gz ILFNPQNZDIDHPU-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN COC(=O)Cn1cc(NS(=O)(=O)c2cccc(F)c2)cn1 ZINC000038030594 395731107 /nfs/dbraw/zinc/73/11/07/395731107.db2.gz PUZAHPOZTUXJGF-UHFFFAOYSA-N 0 2 313.310 0.996 20 0 DCADLN CCn1cc(C(=O)NN2C(=O)NC3(CCCCC3)C2=O)cn1 ZINC000114536581 395835662 /nfs/dbraw/zinc/83/56/62/395835662.db2.gz OIAZATDOPBAICI-UHFFFAOYSA-N 0 2 305.338 0.803 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N(C)C[C@@H]1CCC[N@@H+](C)C1 ZINC000115798033 395841674 /nfs/dbraw/zinc/84/16/74/395841674.db2.gz PKIZRWKFTPURPF-HUUCEWRRSA-N 0 2 312.458 0.690 20 0 DCADLN CCN(CC)C(=O)c1cccc(NC(=O)c2nc(=O)[nH][nH]2)c1 ZINC000129148227 395919155 /nfs/dbraw/zinc/91/91/55/395919155.db2.gz CJLTVXPAPLIQJN-UHFFFAOYSA-N 0 2 303.322 0.832 20 0 DCADLN COC(=O)c1ncsc1S(=O)(=O)N[C@H](C)c1nnc[nH]1 ZINC000231520573 395884154 /nfs/dbraw/zinc/88/41/54/395884154.db2.gz ZEAFWXBLHKHDKA-RXMQYKEDSA-N 0 2 317.352 0.087 20 0 DCADLN Cc1ccc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)n1C ZINC000057268542 395942105 /nfs/dbraw/zinc/94/21/05/395942105.db2.gz NRKOMNLNRPVSMX-UHFFFAOYSA-N 0 2 315.380 0.809 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)C1=COCCO1 ZINC000274764604 395974850 /nfs/dbraw/zinc/97/48/50/395974850.db2.gz ZDENPPPDHXPHBM-UHFFFAOYSA-N 0 2 316.317 0.888 20 0 DCADLN Cc1nnc(CCNC(=O)C(C)(C)NC(=O)C(F)(F)F)[nH]1 ZINC000277543064 396073620 /nfs/dbraw/zinc/07/36/20/396073620.db2.gz SEBZPOWFLCEPGN-UHFFFAOYSA-N 0 2 307.276 0.229 20 0 DCADLN CN1CC[N@@H+](C)C[C@H]1CNC(=O)[C@@H]1Cc2ccccc2C[NH2+]1 ZINC000261993913 396088402 /nfs/dbraw/zinc/08/84/02/396088402.db2.gz UDPXMGIFKOPDOZ-CVEARBPZSA-N 0 2 302.422 0.063 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)N(C)CC3)c1O ZINC000277970752 396097752 /nfs/dbraw/zinc/09/77/52/396097752.db2.gz DMJQNSHUTXHAFA-GFCCVEGCSA-N 0 2 303.318 0.667 20 0 DCADLN Cc1cc(NS(=O)(=O)c2c[nH]cn2)ccc1-n1cnnn1 ZINC000070417644 396101225 /nfs/dbraw/zinc/10/12/25/396101225.db2.gz HWOPLZIKAZVJKC-UHFFFAOYSA-N 0 2 305.323 0.495 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C)c(C(=O)OC)c2)c1O ZINC000278118967 396106903 /nfs/dbraw/zinc/10/69/03/396106903.db2.gz LNSUJUWMFRPBPF-NSHDSACASA-N 0 2 320.301 0.770 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)Nc1ncc(C(N)=O)s1 ZINC000171151905 396108645 /nfs/dbraw/zinc/10/86/45/396108645.db2.gz OYXXYSQBCAFFGF-UHFFFAOYSA-N 0 2 302.337 0.648 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)CCCO3)c1O ZINC000278237258 396114378 /nfs/dbraw/zinc/11/43/78/396114378.db2.gz SLMPLEVIHBBIEE-GFCCVEGCSA-N 0 2 304.302 1.000 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(CC3CC3)c2)cc1C(N)=O ZINC000174769007 396139328 /nfs/dbraw/zinc/13/93/28/396139328.db2.gz UHUCDAVWVXXVRB-UHFFFAOYSA-N 0 2 323.378 0.531 20 0 DCADLN Cc1nc(CN2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)no1 ZINC000277691718 396082750 /nfs/dbraw/zinc/08/27/50/396082750.db2.gz NSXFYPNKQRJRNQ-VIFPVBQESA-N 0 2 310.251 0.923 20 0 DCADLN Cc1nc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)no1 ZINC000277691718 396082754 /nfs/dbraw/zinc/08/27/54/396082754.db2.gz NSXFYPNKQRJRNQ-VIFPVBQESA-N 0 2 310.251 0.923 20 0 DCADLN CNS(=O)(=O)c1csc(C(=O)NCc2cn[nH]c2C)c1 ZINC000176179035 396150472 /nfs/dbraw/zinc/15/04/72/396150472.db2.gz LXVRCTFAZPYFFI-UHFFFAOYSA-N 0 2 314.392 0.618 20 0 DCADLN CC(C)c1cccc(N2CC(=O)C(C(=O)NCC(N)=O)=N2)c1 ZINC000176269631 396153282 /nfs/dbraw/zinc/15/32/82/396153282.db2.gz ICKPKLXCAHRJOY-UHFFFAOYSA-N 0 2 302.334 0.916 20 0 DCADLN CCN(CCCNC(=O)Cc1[nH+]c[nH]c1C)S(C)(=O)=O ZINC000279256818 396161513 /nfs/dbraw/zinc/16/15/13/396161513.db2.gz SOBHPYJQBYITNG-UHFFFAOYSA-N 0 2 302.400 0.048 20 0 DCADLN C[C@H]1OCC[C@]1(O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000279479815 396177874 /nfs/dbraw/zinc/17/78/74/396177874.db2.gz MMDMFQPHCMIVOY-BZNIZROVSA-N 0 2 317.345 0.848 20 0 DCADLN CC(=O)Nc1ccc(O)c(C(=O)NCCc2nc(C)n[nH]2)c1 ZINC000264326346 396271027 /nfs/dbraw/zinc/27/10/27/396271027.db2.gz JAGWMLZRMLRZIA-UHFFFAOYSA-N 0 2 303.322 0.750 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNc1cccc(C(=O)[O-])[nH+]1 ZINC000263415454 396216769 /nfs/dbraw/zinc/21/67/69/396216769.db2.gz NRWCBYHSIHTVDD-VHSXEESVSA-N 0 2 313.379 0.910 20 0 DCADLN COCCCn1ccc(NS(=O)(=O)C[C@H]2CCCO2)n1 ZINC000265386079 396312177 /nfs/dbraw/zinc/31/21/77/396312177.db2.gz LJPULOCFLHQHLF-LLVKDONJSA-N 0 2 303.384 0.840 20 0 DCADLN C[C@H]1C[C@H](C)N(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000083995491 396318287 /nfs/dbraw/zinc/31/82/87/396318287.db2.gz YSIRWDMDTWWAFN-YUMQZZPRSA-N 0 2 324.362 0.443 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCOc1cccc(F)c1 ZINC000186923265 396360346 /nfs/dbraw/zinc/36/03/46/396360346.db2.gz LLONOFNKMSSACE-UHFFFAOYSA-N 0 2 312.326 0.524 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1cnn(CC(F)(F)F)c1 ZINC000186391600 396349248 /nfs/dbraw/zinc/34/92/48/396349248.db2.gz OEBHDXVJRUOPQY-UHFFFAOYSA-N 0 2 309.273 0.980 20 0 DCADLN O=S(=O)(NC[C@H](O)Cc1ccccc1)NCC(F)(F)F ZINC000192021839 396428862 /nfs/dbraw/zinc/42/88/62/396428862.db2.gz SCMQVLNPSJZULO-SNVBAGLBSA-N 0 2 312.313 0.576 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc(NC(C)=O)ccc2C)c1O ZINC000286114037 396375616 /nfs/dbraw/zinc/37/56/16/396375616.db2.gz WIRPMTBNCVRZBP-GFCCVEGCSA-N 0 2 319.317 0.941 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cnn(CC(F)F)c2)n(C)n1 ZINC000188004096 396377644 /nfs/dbraw/zinc/37/76/44/396377644.db2.gz WIRBWCVKRBYRLX-UHFFFAOYSA-N 0 2 305.310 0.991 20 0 DCADLN COc1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000580896189 396509618 /nfs/dbraw/zinc/50/96/18/396509618.db2.gz UCMUSQYEDUKBHE-JTQLQIEISA-N 0 2 303.322 0.934 20 0 DCADLN CSCC[C@@H](O)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289092193 396454479 /nfs/dbraw/zinc/45/44/79/396454479.db2.gz QSFHNLOBHXJAAH-SNVBAGLBSA-N 0 2 308.363 0.818 20 0 DCADLN C[C@H]1OC(=O)N[C@H]1C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000497047255 396487039 /nfs/dbraw/zinc/48/70/39/396487039.db2.gz QQSXUTYQZUJDBS-HZGVNTEJSA-N 0 2 322.346 0.852 20 0 DCADLN CC[C@@H]1CCCCN1C(=O)C[N@@H+]1CCN2C(=O)[C@@H]([NH3+])C[C@@H]2C1 ZINC000581201759 396534398 /nfs/dbraw/zinc/53/43/98/396534398.db2.gz FSNWCSVBSAGMTA-MCIONIFRSA-N 0 2 308.426 0.021 20 0 DCADLN CCc1noc(C)c1CNS(=O)(=O)c1c(N)noc1C ZINC000292835276 396572387 /nfs/dbraw/zinc/57/23/87/396572387.db2.gz PDNBCKHPMWJFMB-UHFFFAOYSA-N 0 2 300.340 0.903 20 0 DCADLN C[C@H](Cc1cnn(C)c1)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000581886730 396590385 /nfs/dbraw/zinc/59/03/85/396590385.db2.gz QGNROMQTPAXINU-ZYHUDNBSSA-N 0 2 318.381 0.829 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@H+]1C[C@H](O)COCc1cccs1 ZINC000563278108 396719742 /nfs/dbraw/zinc/71/97/42/396719742.db2.gz OQUNRDRNDOWHKP-JQWIXIFHSA-N 0 2 301.364 0.411 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@@H+]1C[C@H](O)COCc1cccs1 ZINC000563278108 396719746 /nfs/dbraw/zinc/71/97/46/396719746.db2.gz OQUNRDRNDOWHKP-JQWIXIFHSA-N 0 2 301.364 0.411 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(NC(=O)C2CC2)nc1 ZINC000596533211 396791230 /nfs/dbraw/zinc/79/12/30/396791230.db2.gz AIHRBGOVZZAXDK-UHFFFAOYSA-N 0 2 321.362 0.964 20 0 DCADLN COC(=O)Cc1cccc(NS(=O)(=O)c2cnn(C)c2C)n1 ZINC000589978128 396732693 /nfs/dbraw/zinc/73/26/93/396732693.db2.gz ZRHRAHHZOFXDSP-UHFFFAOYSA-N 0 2 324.362 0.640 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@H](NC(C)=O)C(C)C)s[nH]1 ZINC000634153373 396797370 /nfs/dbraw/zinc/79/73/70/396797370.db2.gz NOWRKOQQCVWDLZ-VIFPVBQESA-N 0 2 314.411 0.941 20 0 DCADLN COC(=O)COCCNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000596724936 396824337 /nfs/dbraw/zinc/82/43/37/396824337.db2.gz DFVJCHFAXBTUEA-UHFFFAOYSA-N 0 2 316.383 0.569 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000564334032 396825052 /nfs/dbraw/zinc/82/50/52/396825052.db2.gz LNBBSPZVFHSYLC-JTQLQIEISA-N 0 2 304.354 0.799 20 0 DCADLN CC(=O)N[C@H]1C(C)=NN(c2nc3ccccc3c(=O)n2C)C1=O ZINC000634587430 396888042 /nfs/dbraw/zinc/88/80/42/396888042.db2.gz OEIAGGKQFFMSBD-LBPRGKRZSA-N 0 2 313.317 0.161 20 0 DCADLN CC(=O)N[C@@H]1C(C)=NN(c2nnc(-c3ccccc3)n2C)C1=O ZINC000634590557 396889662 /nfs/dbraw/zinc/88/96/62/396889662.db2.gz CTBWUVGSKPBNNU-GFCCVEGCSA-N 0 2 312.333 0.709 20 0 DCADLN CC(=O)Nc1c(C)[nH]n(-c2nnc(-c3ccccc3)n2C)c1=O ZINC000634590557 396889671 /nfs/dbraw/zinc/88/96/71/396889671.db2.gz CTBWUVGSKPBNNU-GFCCVEGCSA-N 0 2 312.333 0.709 20 0 DCADLN CCN(CC)c1ccnc(N2N=C(C)[C@@H](NC(C)=O)C2=O)n1 ZINC000634618399 396891461 /nfs/dbraw/zinc/89/14/61/396891461.db2.gz PPTHLBNVLWRKKA-GFCCVEGCSA-N 0 2 304.354 0.550 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCOC[C@H]1[C@@H]1CCCO1 ZINC000376218970 396896296 /nfs/dbraw/zinc/89/62/96/396896296.db2.gz MAINVVQVJPPPNR-IUCAKERBSA-N 0 2 314.367 0.009 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@]1(C)C(=O)[O-])C(=O)OC ZINC000600700472 396922427 /nfs/dbraw/zinc/92/24/27/396922427.db2.gz HVBHUSCVIGGYHZ-JVLSTEMRSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@]1(C)C(=O)[O-])C(=O)OC ZINC000600700472 396922430 /nfs/dbraw/zinc/92/24/30/396922430.db2.gz HVBHUSCVIGGYHZ-JVLSTEMRSA-N 0 2 314.382 0.629 20 0 DCADLN NC(=O)[C@@H]1CCCN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000630023683 396923203 /nfs/dbraw/zinc/92/32/03/396923203.db2.gz LJHDKAXIUIUWGG-JTQLQIEISA-N 0 2 302.290 0.120 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)N1CCc2cccc(C(=O)[O-])c2C1 ZINC000630201316 396958971 /nfs/dbraw/zinc/95/89/71/396958971.db2.gz SHCIYFIJQGVZDJ-OAHLLOKOSA-N 0 2 318.373 0.990 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)N1CCc2cccc(C(=O)[O-])c2C1 ZINC000630201316 396958974 /nfs/dbraw/zinc/95/89/74/396958974.db2.gz SHCIYFIJQGVZDJ-OAHLLOKOSA-N 0 2 318.373 0.990 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](C[C@@H]1CCCOC1)C(=O)[O-] ZINC000630267198 396975831 /nfs/dbraw/zinc/97/58/31/396975831.db2.gz HKZNDTQYVRWDAW-NTZNESFSSA-N 0 2 309.366 0.974 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](C[C@@H]1CCCOC1)C(=O)[O-] ZINC000630267198 396975835 /nfs/dbraw/zinc/97/58/35/396975835.db2.gz HKZNDTQYVRWDAW-NTZNESFSSA-N 0 2 309.366 0.974 20 0 DCADLN CCOC(=O)[C@@H](CO)NC(=O)c1cc(F)c(F)c(O)c1F ZINC000612556450 397096265 /nfs/dbraw/zinc/09/62/65/397096265.db2.gz BKADXDAFLMYJKT-SSDOTTSWSA-N 0 2 307.224 0.463 20 0 DCADLN COCC[N@@H+](C)C[C@H](O)C[NH+]1CCCC[C@H]1c1ccnn1C ZINC000573054575 397127902 /nfs/dbraw/zinc/12/79/02/397127902.db2.gz ZBCRKMPAEOOPFG-HOCLYGCPSA-N 0 2 310.442 0.886 20 0 DCADLN CO[C@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)C1CCCC1 ZINC000620165606 397248039 /nfs/dbraw/zinc/24/80/39/397248039.db2.gz OHIIOILFKGJPIP-SECBINFHSA-N 0 2 300.384 0.924 20 0 DCADLN COCC[C@@H]1COCCN1S(=O)(=O)NCC(F)(F)F ZINC000366127329 397340818 /nfs/dbraw/zinc/34/08/18/397340818.db2.gz KSEQADKZTHTAFP-MRVPVSSYSA-N 0 2 306.306 0.120 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)n1 ZINC000593282843 397348115 /nfs/dbraw/zinc/34/81/15/397348115.db2.gz RIYVNLURODASHZ-QMMMGPOBSA-N 0 2 315.351 0.087 20 0 DCADLN COCCCN(CCO)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613787431 397321547 /nfs/dbraw/zinc/32/15/47/397321547.db2.gz DNUIYOXDVZBHGH-UHFFFAOYSA-N 0 2 321.333 0.501 20 0 DCADLN CCOc1ccc(C[N@@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@H]3C2)cc1F ZINC000578550832 397453646 /nfs/dbraw/zinc/45/36/46/397453646.db2.gz PYEOYEJEBNZCEP-JSGCOSHPSA-N 0 2 307.369 0.968 20 0 DCADLN CO[C@H]1C[C@@H]([N@H+](C)CN2C[C@]3(C[NH+]4CCC3CC4)OC2=O)C1 ZINC000495744389 397553587 /nfs/dbraw/zinc/55/35/87/397553587.db2.gz GWXPIRGERHQDJQ-LZWOXQAQSA-N 0 2 309.410 0.970 20 0 DCADLN CO[C@@H]1COC[C@@H]1NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000579081964 397519814 /nfs/dbraw/zinc/51/98/14/397519814.db2.gz LBSPUXMSQDIMTC-WCQYABFASA-N 0 2 303.318 0.722 20 0 DCADLN CC(F)(F)CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000579857068 397596401 /nfs/dbraw/zinc/59/64/01/397596401.db2.gz IBSSSTDQYGEFAI-UHFFFAOYSA-N 0 2 320.277 0.370 20 0 DCADLN CCC[C@H](C)S(=O)(=O)N(C)C(C(=O)OCC)C(=O)OCC ZINC000603349164 397599532 /nfs/dbraw/zinc/59/95/32/397599532.db2.gz DCEFKIYHLAEVHB-JTQLQIEISA-N 0 2 323.411 0.931 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(-c3ccccn3)c2)cn1 ZINC000606827033 397688017 /nfs/dbraw/zinc/68/80/17/397688017.db2.gz NMAGKZIFHKIQFX-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN CCOC(=O)CN(CC)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000609585486 397784811 /nfs/dbraw/zinc/78/48/11/397784811.db2.gz XUIXTNJSLFKYGO-UHFFFAOYSA-N 0 2 320.305 0.461 20 0 DCADLN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000195162324 296573128 /nfs/dbraw/zinc/57/31/28/296573128.db2.gz KTSPCJLLWRFABJ-ZWNOBZJWSA-N 0 2 314.345 0.832 20 0 DCADLN CCNC(=O)c1ccc(NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000055622828 158023180 /nfs/dbraw/zinc/02/31/80/158023180.db2.gz HUXWVFRNGNGTIW-UHFFFAOYSA-N 0 2 308.363 0.971 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H](C)C(=O)N(C)C)c1 ZINC000133665967 158320669 /nfs/dbraw/zinc/32/06/69/158320669.db2.gz FMWILIBYZLVBMZ-LADRHHBVSA-N 0 2 312.391 0.396 20 0 DCADLN Cc1cccc([C@H](CC(=O)NCc2n[nH]c(=O)[nH]2)NC(N)=O)c1 ZINC000359268356 159258553 /nfs/dbraw/zinc/25/85/53/159258553.db2.gz HUAJAWYJUGZYEL-JTQLQIEISA-N 0 2 318.337 0.235 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)NC(=O)CCS2 ZINC000359278649 159261528 /nfs/dbraw/zinc/26/15/28/159261528.db2.gz SKXQUPXFCPXIDU-UHFFFAOYSA-N 0 2 319.346 0.875 20 0 DCADLN O=C(CN1CCN2C(=O)OC[C@H]2C1)NOCc1ccccc1 ZINC000367358818 159333675 /nfs/dbraw/zinc/33/36/75/159333675.db2.gz PPNSLCHFLCRJNT-CYBMUJFWSA-N 0 2 305.334 0.371 20 0 DCADLN COC[C@@H]1CCCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000367665356 159349143 /nfs/dbraw/zinc/34/91/43/159349143.db2.gz LBAIJANKUHSWGO-SECBINFHSA-N 0 2 300.384 0.878 20 0 DCADLN CC[C@@H]1C[C@H](CC(=O)NS(=O)(=O)c2cnn(C)c2)CCO1 ZINC000408434484 160107556 /nfs/dbraw/zinc/10/75/56/160107556.db2.gz YRBFXTXWOINZQZ-GHMZBOCLSA-N 0 2 315.395 0.820 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)CC[C@@H]2CCCCO2)cnn1C ZINC000408485205 160118505 /nfs/dbraw/zinc/11/85/05/160118505.db2.gz PRHQELKTRDUQBQ-NSHDSACASA-N 0 2 315.395 0.883 20 0 DCADLN C[C@@H]1[C@H](C)S(=O)(=O)CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000127412768 286977795 /nfs/dbraw/zinc/97/77/95/286977795.db2.gz IBKGHKIDJQODJQ-ZJUUUORDSA-N 0 2 310.379 0.030 20 0 DCADLN COC(=O)C1(NC(=O)c2c[nH]c3c(cnn3C)c2=O)CCC1 ZINC000358398248 287208723 /nfs/dbraw/zinc/20/87/23/287208723.db2.gz LYUPLDGTUZKNBT-UHFFFAOYSA-N 0 2 304.306 0.499 20 0 DCADLN COc1ncccc1C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000265257270 415125116 /nfs/dbraw/zinc/12/51/16/415125116.db2.gz YTIYNHDPBIELPA-UHFFFAOYSA-N 0 2 318.333 0.990 20 0 DCADLN O=C(NCCc1cnccn1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342429093 415171506 /nfs/dbraw/zinc/17/15/06/415171506.db2.gz XZQMUWBYIIRJRR-UHFFFAOYSA-N 0 2 312.289 0.199 20 0 DCADLN CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCC[C@H]1O ZINC000332152703 415268063 /nfs/dbraw/zinc/26/80/63/415268063.db2.gz LWRUODFBJBXTIS-NXEZZACHSA-N 0 2 304.306 0.421 20 0 DCADLN COC(=O)NCC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000274594858 415355866 /nfs/dbraw/zinc/35/58/66/415355866.db2.gz RNHBDPBUDRBROR-UHFFFAOYSA-N 0 2 319.321 0.356 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H](C)[C@@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000275963884 415402307 /nfs/dbraw/zinc/40/23/07/415402307.db2.gz HVVWMVARAFIGDZ-XVKPBYJWSA-N 0 2 318.333 0.587 20 0 DCADLN C[C@@]1(CNC(=O)CSc2n[nH]c(=O)[nH]2)CCCC[C@@H]1O ZINC000275077159 415373125 /nfs/dbraw/zinc/37/31/25/415373125.db2.gz CVLXKSINBATWDP-UFBFGSQYSA-N 0 2 300.384 0.248 20 0 DCADLN COc1cccc(CCC(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000080974391 415478990 /nfs/dbraw/zinc/47/89/90/415478990.db2.gz CPGWSFYWYOFCDP-UHFFFAOYSA-N 0 2 304.350 0.788 20 0 DCADLN C[C@@H]1CCc2ccccc2N1CCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000085382424 415505048 /nfs/dbraw/zinc/50/50/48/415505048.db2.gz JZFCFVHXXGKNSM-LLVKDONJSA-N 0 2 315.377 0.946 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCN(C(C)=O)C2)c1 ZINC000424734163 287313190 /nfs/dbraw/zinc/31/31/90/287313190.db2.gz TYLDQQATZSWTII-YTEVENLXSA-N 0 2 324.402 0.540 20 0 DCADLN CS(=O)(=O)[C@H]1CC[N@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000282146257 415578713 /nfs/dbraw/zinc/57/87/13/415578713.db2.gz KWXYVJZASVLRIR-ZDUSSCGKSA-N 0 2 312.391 0.353 20 0 DCADLN CS(=O)(=O)[C@H]1CC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000282146257 415578722 /nfs/dbraw/zinc/57/87/22/415578722.db2.gz KWXYVJZASVLRIR-ZDUSSCGKSA-N 0 2 312.391 0.353 20 0 DCADLN CS(=O)(=O)[C@H]1CCN(CC(=O)NOCc2ccccc2)C1 ZINC000282146257 415578729 /nfs/dbraw/zinc/57/87/29/415578729.db2.gz KWXYVJZASVLRIR-ZDUSSCGKSA-N 0 2 312.391 0.353 20 0 DCADLN COC(=O)[C@@H](CNC(=O)c1nc(=O)[nH][nH]1)Cc1cccc(C)c1 ZINC000106919457 415656951 /nfs/dbraw/zinc/65/69/51/415656951.db2.gz LQZDQQNBAYLGLI-LLVKDONJSA-N 0 2 318.333 0.168 20 0 DCADLN O=C(NCCCn1nc2n(c1=O)CCCC2)[C@H](F)C(F)(F)F ZINC000289927491 415740059 /nfs/dbraw/zinc/74/00/59/415740059.db2.gz STOUYTVWHUOKKA-VIFPVBQESA-N 0 2 324.278 0.788 20 0 DCADLN O=C(NCCCn1nc2n(c1=O)CCCC2)C(F)C(F)(F)F ZINC000289927491 415740062 /nfs/dbraw/zinc/74/00/62/415740062.db2.gz STOUYTVWHUOKKA-VIFPVBQESA-N 0 2 324.278 0.788 20 0 DCADLN CSCC[C@H](C)N(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000120712853 415776934 /nfs/dbraw/zinc/77/69/34/415776934.db2.gz UVFYTCQFIWINRP-QMMMGPOBSA-N 0 2 322.390 0.825 20 0 DCADLN CC(=O)N1CCN(C(=O)[C@H](C)[N@@H+]2CCO[C@H](C3CCC3)C2)CC1 ZINC000334028556 415788655 /nfs/dbraw/zinc/78/86/55/415788655.db2.gz JGYYMNSCARALMA-BBRMVZONSA-N 0 2 323.437 0.567 20 0 DCADLN CC(=O)N1CCN(C(=O)[C@H](C)N2CCO[C@H](C3CCC3)C2)CC1 ZINC000334028556 415788672 /nfs/dbraw/zinc/78/86/72/415788672.db2.gz JGYYMNSCARALMA-BBRMVZONSA-N 0 2 323.437 0.567 20 0 DCADLN NC(=O)NC(=O)CSc1nc(=O)cc(O)n1C1CCCC1 ZINC000298264680 415999491 /nfs/dbraw/zinc/99/94/91/415999491.db2.gz BVQFGUPSNMEDRO-UHFFFAOYSA-N 0 2 312.351 0.351 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCS(=O)CC1 ZINC000173136498 416054743 /nfs/dbraw/zinc/05/47/43/416054743.db2.gz WOEBVJBTRPMHAN-UHFFFAOYSA-N 0 2 305.359 0.782 20 0 DCADLN CC(=O)NC1CCC(NS(=O)(=O)NCC(F)(F)F)CC1 ZINC000344963131 416015671 /nfs/dbraw/zinc/01/56/71/416015671.db2.gz YPLOXZISNJUMEG-UHFFFAOYSA-N 0 2 317.333 0.420 20 0 DCADLN Cn1cc(C(=O)C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)cn1 ZINC000170747483 416031042 /nfs/dbraw/zinc/03/10/42/416031042.db2.gz TXNWYGFIGGLAQB-UHFFFAOYSA-N 0 2 313.273 0.585 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H](CO)CC1CCCC1 ZINC000357689964 416115462 /nfs/dbraw/zinc/11/54/62/416115462.db2.gz NWGHAYVSUYWKPV-SNVBAGLBSA-N 0 2 314.411 0.907 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)NCc2cccc(C(=O)[O-])c2)C1 ZINC000316790503 416116121 /nfs/dbraw/zinc/11/61/21/416116121.db2.gz MHTGLJQZOMTHIW-CYBMUJFWSA-N 0 2 307.350 0.515 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)NCc2cccc(C(=O)[O-])c2)C1 ZINC000316790503 416116123 /nfs/dbraw/zinc/11/61/23/416116123.db2.gz MHTGLJQZOMTHIW-CYBMUJFWSA-N 0 2 307.350 0.515 20 0 DCADLN Cc1cncc(/C=C/C(=O)NS(=O)(=O)c2cnn(C)c2)c1 ZINC000179113919 416183215 /nfs/dbraw/zinc/18/32/15/416183215.db2.gz CDSNQNINELJCNW-ONEGZZNKSA-N 0 2 306.347 0.642 20 0 DCADLN Cc1nn(C)cc1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000358094298 416190782 /nfs/dbraw/zinc/19/07/82/416190782.db2.gz HJMAGOGMXZSHOP-UHFFFAOYSA-N 0 2 314.305 0.408 20 0 DCADLN O=C(Cn1cn[nH]c1=O)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000180530316 416197091 /nfs/dbraw/zinc/19/70/91/416197091.db2.gz VYWSTYGXPJGHRI-UHFFFAOYSA-N 0 2 319.712 0.649 20 0 DCADLN COc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)cc(OC)c1OC ZINC000358350794 416226365 /nfs/dbraw/zinc/22/63/65/416226365.db2.gz FENYGHTYEKTZKS-UHFFFAOYSA-N 0 2 308.294 0.466 20 0 DCADLN O=C(N[C@@H]1C=C[C@@H](CO)C1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000341734960 416227884 /nfs/dbraw/zinc/22/78/84/416227884.db2.gz YPFLYCWNIBVOIW-VXNVDRBHSA-N 0 2 302.290 0.103 20 0 DCADLN C[C@@H]1[C@H](C)[S@](=O)CCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358373907 416231050 /nfs/dbraw/zinc/23/10/50/416231050.db2.gz SKWVKBFNUQANJD-QURMUULBSA-N 0 2 322.390 0.655 20 0 DCADLN CCc1nc(NS(=O)(=O)c2c(C)nn(COC)c2C)no1 ZINC000331082685 416261335 /nfs/dbraw/zinc/26/13/35/416261335.db2.gz YKRJPNKJNOITQU-UHFFFAOYSA-N 0 2 315.355 0.850 20 0 DCADLN CC[C@@H](C)C(=O)N1CCC[C@@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000331080391 416261764 /nfs/dbraw/zinc/26/17/64/416261764.db2.gz LMAYTTCJOPXYCP-NXEZZACHSA-N 0 2 309.370 0.411 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc2ccccc21 ZINC000342049541 416273516 /nfs/dbraw/zinc/27/35/16/416273516.db2.gz QBKZSQZWROJVMA-UHFFFAOYSA-N 0 2 311.301 0.918 20 0 DCADLN Cc1nnc(CNC(=O)C2=NN(c3ccccc3)CC2=O)n1C ZINC000342155218 416286792 /nfs/dbraw/zinc/28/67/92/416286792.db2.gz OSGDKBIRQVPLAL-UHFFFAOYSA-N 0 2 312.333 0.945 20 0 DCADLN CCN(CC(N)=O)C(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000191813741 416289472 /nfs/dbraw/zinc/28/94/72/416289472.db2.gz YTGBWWHNZAHXPB-UHFFFAOYSA-N 0 2 320.324 0.973 20 0 DCADLN Cc1nn(C)c(C)c1CNS(=O)(=O)NCC(F)(F)F ZINC000195318741 416326107 /nfs/dbraw/zinc/32/61/07/416326107.db2.gz LOGJOMFJURXXCX-UHFFFAOYSA-N 0 2 300.306 0.523 20 0 DCADLN CCOCCO[C@H]1CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000195430782 416326225 /nfs/dbraw/zinc/32/62/25/416326225.db2.gz LDSFWCUZOPEOKA-VIFPVBQESA-N 0 2 320.333 0.511 20 0 DCADLN CC(C)C(=O)NCCN(C)S(=O)(=O)NCC(F)(F)F ZINC000195441818 416326350 /nfs/dbraw/zinc/32/63/50/416326350.db2.gz ZSZBGMAWGFWZMJ-UHFFFAOYSA-N 0 2 305.322 0.087 20 0 DCADLN O=C1[C@H](NS(=O)(=O)NCC(F)(F)F)C[C@H]2CCCCN12 ZINC000416517636 416373446 /nfs/dbraw/zinc/37/34/46/416373446.db2.gz SAWXZJXWXWXURE-HTQZYQBOSA-N 0 2 315.317 0.126 20 0 DCADLN C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)n1nnc(-c2ccccc2)n1 ZINC000359811502 416360355 /nfs/dbraw/zinc/36/03/55/416360355.db2.gz FLELGYZDOYNOPO-QMMMGPOBSA-N 0 2 314.309 0.041 20 0 DCADLN CN(C)C(=O)Nc1cccc(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000359842341 416361919 /nfs/dbraw/zinc/36/19/19/416361919.db2.gz KUGZNSFMHKGBCP-UHFFFAOYSA-N 0 2 304.310 0.534 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC(F)(F)C[NH3+])[NH+]1CCN(C)CC1 ZINC000416952767 416380484 /nfs/dbraw/zinc/38/04/84/416380484.db2.gz JNYOGFOQECCCSM-GFCCVEGCSA-N 0 2 321.416 0.152 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2[nH]nc(C)c2C)cn1 ZINC000532670850 416446762 /nfs/dbraw/zinc/44/67/62/416446762.db2.gz HQAIJWOCBYAAET-UHFFFAOYSA-N 0 2 313.339 0.197 20 0 DCADLN C[N@H+](CC(=O)[O-])[C@@H]1CN(Cc2cc3ccccc3o2)C[C@H]1O ZINC000435631952 416528548 /nfs/dbraw/zinc/52/85/48/416528548.db2.gz JISQTBQYMVNBLX-ZIAGYGMSSA-N 0 2 304.346 0.994 20 0 DCADLN C[N@@H+](CC(=O)[O-])[C@@H]1CN(Cc2cc3ccccc3o2)C[C@H]1O ZINC000435631952 416528549 /nfs/dbraw/zinc/52/85/49/416528549.db2.gz JISQTBQYMVNBLX-ZIAGYGMSSA-N 0 2 304.346 0.994 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCCOC[C@@H]1CCCO1 ZINC000361867052 416514473 /nfs/dbraw/zinc/51/44/73/416514473.db2.gz XWACPMVJGGDBQR-VIFPVBQESA-N 0 2 316.383 0.304 20 0 DCADLN COC(=O)Cc1nc(NC(=O)c2cc(F)c(O)c(F)c2)n[nH]1 ZINC000436521497 416563359 /nfs/dbraw/zinc/56/33/59/416563359.db2.gz FHPDEDHCCQUDBD-UHFFFAOYSA-N 0 2 312.232 0.756 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3c(c2)C(=O)NC3=O)nn1C ZINC000439067565 416605074 /nfs/dbraw/zinc/60/50/74/416605074.db2.gz PBCDIOQGDSOZNK-UHFFFAOYSA-N 0 2 320.330 0.413 20 0 DCADLN COCC[C@H](NC(=O)c1cc(F)cc(Cl)c1O)C(N)=O ZINC000436746741 416569756 /nfs/dbraw/zinc/56/97/56/416569756.db2.gz ZOLXXTDSRXQQHG-VIFPVBQESA-N 0 2 304.705 0.805 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2ccc(C)c(C)c2)n1 ZINC000437325924 416581695 /nfs/dbraw/zinc/58/16/95/416581695.db2.gz UEPGVMWKLBWEJK-UHFFFAOYSA-N 0 2 324.362 0.869 20 0 DCADLN COC[C@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C[C@H](C)O1 ZINC000439935417 416623573 /nfs/dbraw/zinc/62/35/73/416623573.db2.gz MYRJROSSDDSHCJ-VHSXEESVSA-N 0 2 320.349 0.550 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C\c1ccn(C)c1 ZINC000493694805 416641439 /nfs/dbraw/zinc/64/14/39/416641439.db2.gz OVPOFQONZVQLQS-ARJAWSKDSA-N 0 2 310.335 0.422 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)[C@H]2CCNC2=O)c1 ZINC000424755659 416666929 /nfs/dbraw/zinc/66/69/29/416666929.db2.gz RMNLCPNYBINKAD-LAJNKCICSA-N 0 2 310.375 0.150 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2ccc(OC)nc2)nc1 ZINC000442608369 416700678 /nfs/dbraw/zinc/70/06/78/416700678.db2.gz VOAIROGAHJBAAH-UHFFFAOYSA-N 0 2 322.346 0.646 20 0 DCADLN CN(C1CCC(CO)CC1)S(=O)(=O)NCC(F)(F)F ZINC000443015063 416724700 /nfs/dbraw/zinc/72/47/00/416724700.db2.gz FEBULWHPOATRQC-UHFFFAOYSA-N 0 2 304.334 0.866 20 0 DCADLN C[C@H]1NC(=O)CC[C@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000443032206 416726268 /nfs/dbraw/zinc/72/62/68/416726268.db2.gz IKERRVFDBPAKHF-GMSGAONNSA-N 0 2 303.322 0.071 20 0 DCADLN CC(C)OC[C@@H](CO)N(C)S(=O)(=O)NCC(F)(F)F ZINC000443221564 416736451 /nfs/dbraw/zinc/73/64/51/416736451.db2.gz HBAZHMYTTCMTKT-MRVPVSSYSA-N 0 2 308.322 0.101 20 0 DCADLN Cc1ccc(CN(CCO)S(=O)(=O)NCC(F)(F)F)o1 ZINC000443213311 416737765 /nfs/dbraw/zinc/73/77/65/416737765.db2.gz PSYMQRZZWAAVFN-UHFFFAOYSA-N 0 2 316.301 0.779 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@@H]2CCN(C)C(=O)C2)cn1 ZINC000517026008 416770769 /nfs/dbraw/zinc/77/07/69/416770769.db2.gz XQTYZOINHXQLGX-SNVBAGLBSA-N 0 2 316.365 0.408 20 0 DCADLN COc1cc(OC)c2[nH+]cc(C(=O)[O-])c(NC[C@@H](O)CO)c2c1 ZINC000518985041 416886898 /nfs/dbraw/zinc/88/68/98/416886898.db2.gz MCUBLFWLEVLUFU-MRVPVSSYSA-N 0 2 322.317 0.715 20 0 DCADLN COC(=O)[C@]1(C)CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000428329480 416888487 /nfs/dbraw/zinc/88/84/87/416888487.db2.gz ALJDAJNTDAJYPC-HNNXBMFYSA-N 0 2 318.333 0.842 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)c1ncn(C)n1 ZINC000444436494 416841247 /nfs/dbraw/zinc/84/12/47/416841247.db2.gz XTKKBCTVGHCZNA-SSDOTTSWSA-N 0 2 301.310 0.293 20 0 DCADLN CC(C)Cc1nsc(NC[C@H]2CS(=O)(=O)CCO2)n1 ZINC000560392743 416851808 /nfs/dbraw/zinc/85/18/08/416851808.db2.gz RPCFZHQLAQEYSS-VIFPVBQESA-N 0 2 305.425 0.384 20 0 DCADLN CN(C[C@H]1CCCOC1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000519369538 416901769 /nfs/dbraw/zinc/90/17/69/416901769.db2.gz MMQCOQKKEGHDRX-SECBINFHSA-N 0 2 318.333 0.935 20 0 DCADLN CC[C@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(=O)NC ZINC000616145137 416973986 /nfs/dbraw/zinc/97/39/86/416973986.db2.gz JMNCLYCWYJOAFT-JTQLQIEISA-N 0 2 304.306 0.284 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000430662926 417053020 /nfs/dbraw/zinc/05/30/20/417053020.db2.gz LCDLHDGXCHPZPP-LBPRGKRZSA-N 0 2 316.361 0.893 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000430662926 417053025 /nfs/dbraw/zinc/05/30/25/417053025.db2.gz LCDLHDGXCHPZPP-LBPRGKRZSA-N 0 2 316.361 0.893 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(N2CCC2=O)c1 ZINC000448047166 417057859 /nfs/dbraw/zinc/05/78/59/417057859.db2.gz CDLCGNKZCVFXGX-UHFFFAOYSA-N 0 2 302.294 0.569 20 0 DCADLN O=C1CN(CCNc2nc(C3CCCCC3)ns2)CCN1 ZINC000568245855 417113488 /nfs/dbraw/zinc/11/34/88/417113488.db2.gz FYENMZNSJJHPCZ-UHFFFAOYSA-N 0 2 309.439 0.851 20 0 DCADLN CC(C)OC[C@@H](CO)N(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000432597775 417192376 /nfs/dbraw/zinc/19/23/76/417192376.db2.gz MVANMBUCZNQTEP-SNVBAGLBSA-N 0 2 322.365 0.532 20 0 DCADLN Cc1cccc2c1O[C@@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)C2 ZINC000450007159 417194462 /nfs/dbraw/zinc/19/44/62/417194462.db2.gz YGRQCGTUUIQWJV-LLVKDONJSA-N 0 2 302.334 0.871 20 0 DCADLN Cn1ncc2c1nc(N1CCC3(C[C@@H]3C(=O)[O-])CC1)[nH+]c2N ZINC000570283429 417334054 /nfs/dbraw/zinc/33/40/54/417334054.db2.gz RNTDTHKKIDYKFE-SECBINFHSA-N 0 2 302.338 0.637 20 0 DCADLN COc1cc2nc(NC[C@H](C)C(=O)[O-])[nH+]c(N)c2cc1OC ZINC000572367802 417458970 /nfs/dbraw/zinc/45/89/70/417458970.db2.gz JYXMZBUJQHGNMY-ZETCQYMHSA-N 0 2 306.322 0.784 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2CC[C@@H](F)C2)s[nH]1 ZINC000453809772 417532075 /nfs/dbraw/zinc/53/20/75/417532075.db2.gz FIEMSBBYQMOYEJ-SSDOTTSWSA-N 0 2 300.359 0.766 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)C[C@@H](C)OC)c(OC)c1 ZINC000530144207 417541756 /nfs/dbraw/zinc/54/17/56/417541756.db2.gz XWIHODNMIWSIEY-SECBINFHSA-N 0 2 316.379 0.831 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)C1(C(=O)NC2CC2)CCC1 ZINC000531364711 417619895 /nfs/dbraw/zinc/61/98/95/417619895.db2.gz SUWQYQLGAGXYPB-UHFFFAOYSA-N 0 2 307.354 0.008 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[NH2+]Cc1n[nH]cc1Br ZINC000635309860 417633159 /nfs/dbraw/zinc/63/31/59/417633159.db2.gz UFDQUMQCZHJIBW-UHFFFAOYSA-N 0 2 314.143 0.894 20 0 DCADLN CNc1nc(N2CCC(O)(C(=O)[O-])CC2)[nH+]c2ccccc21 ZINC000578240189 417683879 /nfs/dbraw/zinc/68/38/79/417683879.db2.gz KGICDQZJUPSQJJ-UHFFFAOYSA-N 0 2 302.334 1.087 20 0 DCADLN CC[C@@H]1C[N@H+](CC)CCN1C(=O)CC[NH+]1CCN(CC)CC1 ZINC000456386234 417647348 /nfs/dbraw/zinc/64/73/48/417647348.db2.gz RICAEWWZNGMIEF-MRXNPFEDSA-N 0 2 310.486 0.957 20 0 DCADLN CC[C@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(=O)NC ZINC000457062858 417681906 /nfs/dbraw/zinc/68/19/06/417681906.db2.gz VDWWJXCOQAPPLH-NSHDSACASA-N 0 2 302.334 0.832 20 0 DCADLN C[C@@H]1CC[C@@H](CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)O1 ZINC000457374369 417706467 /nfs/dbraw/zinc/70/64/67/417706467.db2.gz PJPSLLNDDQIJSG-APPZFPTMSA-N 0 2 304.306 0.733 20 0 DCADLN Cc1[nH+]ccn1CC[N@H+]1CCC[C@H]1CNC(=O)c1cnn(C)c1 ZINC000639972957 417771191 /nfs/dbraw/zinc/77/11/91/417771191.db2.gz GOHHKPOKVUWHRK-HNNXBMFYSA-N 0 2 316.409 0.819 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccnc1OCC(F)F ZINC000629297056 417773272 /nfs/dbraw/zinc/77/32/72/417773272.db2.gz RXTARYJBKSOQKD-UHFFFAOYSA-N 0 2 319.293 0.655 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC000646146600 417789604 /nfs/dbraw/zinc/78/96/04/417789604.db2.gz AFOMLJANCYBQBZ-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC000646146600 417789609 /nfs/dbraw/zinc/78/96/09/417789609.db2.gz AFOMLJANCYBQBZ-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN CCOC1(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCCC1 ZINC000651823797 417790801 /nfs/dbraw/zinc/79/08/01/417790801.db2.gz WVSNOSYUPUVGDN-UHFFFAOYSA-N 0 2 323.397 0.685 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@H](C3CCC3)C2)[nH]1 ZINC000651852927 417799359 /nfs/dbraw/zinc/79/93/59/417799359.db2.gz WDCMVFXXLJNQHK-LBPRGKRZSA-N 0 2 305.382 0.868 20 0 DCADLN CC(C)c1[nH][nH]c(=O)c1C(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000663220108 418001324 /nfs/dbraw/zinc/00/13/24/418001324.db2.gz XKWPHCHPZSRPIA-LLVKDONJSA-N 0 2 323.374 0.787 20 0 DCADLN CC(C)(C)n1ncc2c1nc(NCc1n[nH]c(=O)[nH]1)nc2N ZINC000663703632 418049208 /nfs/dbraw/zinc/04/92/08/418049208.db2.gz LNPQNSLPXDUWDJ-UHFFFAOYSA-N 0 2 303.330 0.599 20 0 DCADLN Cn1cc[nH+]c1CN1CCN(C(=O)[C@@H](C(=O)[O-])C(C)(C)C)CC1 ZINC000663041881 417980678 /nfs/dbraw/zinc/98/06/78/417980678.db2.gz PBJJFDWKQUNERT-ZDUSSCGKSA-N 0 2 322.409 0.811 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000663112503 417991609 /nfs/dbraw/zinc/99/16/09/417991609.db2.gz KKNGEDWEKIOHHR-LLVKDONJSA-N 0 2 323.271 0.894 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1CCN(Cc2nc[nH]n2)CC1 ZINC000652901264 417994157 /nfs/dbraw/zinc/99/41/57/417994157.db2.gz VFCUWIMDPBLSBZ-UHFFFAOYSA-N 0 2 323.303 0.747 20 0 DCADLN COc1cccc(NCC(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000653346868 418061002 /nfs/dbraw/zinc/06/10/02/418061002.db2.gz KBEWGYHLGVORGY-UHFFFAOYSA-N 0 2 305.338 0.680 20 0 DCADLN COCc1nnc(CNC(=O)NCc2ccc(O)c(F)c2)[nH]1 ZINC000664193622 418096513 /nfs/dbraw/zinc/09/65/13/418096513.db2.gz WOZQMIHASHYLES-UHFFFAOYSA-N 0 2 309.301 0.795 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2C[C@H](C)[C@](C)(CO)C2)n1 ZINC000664595208 418138219 /nfs/dbraw/zinc/13/82/19/418138219.db2.gz FMWBBHRITFLOBZ-NHYWBVRUSA-N 0 2 324.429 0.551 20 0 DCADLN CN1CC[NH+](C)[C@H](CNC(=O)N[C@H]2CCC[N@H+]3CCCC[C@@H]23)C1 ZINC000654439551 418143804 /nfs/dbraw/zinc/14/38/04/418143804.db2.gz PUCSIJHIIMZIED-PMPSAXMXSA-N 0 2 323.485 0.548 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(OCC(F)(F)F)o1 ZINC000654853699 418195617 /nfs/dbraw/zinc/19/56/17/418195617.db2.gz HTMMHPXHNNDKAH-UHFFFAOYSA-N 0 2 306.200 0.974 20 0 DCADLN O=C(NCCNC(=O)C1CC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665390607 418203892 /nfs/dbraw/zinc/20/38/92/418203892.db2.gz KSFQFVNEPCBEOL-UHFFFAOYSA-N 0 2 316.317 0.286 20 0 DCADLN CC(C)NC(=O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665467708 418208635 /nfs/dbraw/zinc/20/86/35/418208635.db2.gz DZXYQYXOLKDHPD-UHFFFAOYSA-N 0 2 304.306 0.284 20 0 DCADLN O=C(N[C@@H]1CCNC(=O)CC1)C1=NN(c2ccccc2)CC1=O ZINC000665657273 418229929 /nfs/dbraw/zinc/22/99/29/418229929.db2.gz LSIGENBRJMOXPJ-NSHDSACASA-N 0 2 314.345 0.976 20 0 DCADLN COC[C@H]1C[C@@H](O)CN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000650026587 418288617 /nfs/dbraw/zinc/28/86/17/418288617.db2.gz NHGCWSQFKGAYAQ-RKDXNWHRSA-N 0 2 314.411 0.871 20 0 DCADLN C[N@@H+]1CCC[C@H](CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000660846822 418294946 /nfs/dbraw/zinc/29/49/46/418294946.db2.gz JHIJXPRLMMTEDL-CABCVRRESA-N 0 2 310.442 0.444 20 0 DCADLN Cc1cc(C)n2nc(C(=O)N=c3ncn(C(C)C)[nH]3)nc2n1 ZINC000650275245 418301686 /nfs/dbraw/zinc/30/16/86/418301686.db2.gz HTIZEZCCWBLRCR-UHFFFAOYSA-N 0 2 300.326 0.588 20 0 DCADLN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N1CC(=O)Nc2ccccc21 ZINC000650514303 418313024 /nfs/dbraw/zinc/31/30/24/418313024.db2.gz DLWZPEXPINOTPK-LLVKDONJSA-N 0 2 305.334 0.767 20 0 DCADLN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N1CC(=O)Nc2ccccc21 ZINC000650514303 418313026 /nfs/dbraw/zinc/31/30/26/418313026.db2.gz DLWZPEXPINOTPK-LLVKDONJSA-N 0 2 305.334 0.767 20 0 DCADLN CC(C)n1cnc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)n1 ZINC000650488484 418313543 /nfs/dbraw/zinc/31/35/43/418313543.db2.gz IVSSAXNAVJSVDL-UHFFFAOYSA-N 0 2 312.355 0.097 20 0 DCADLN C[C@@H](NC(=O)NCCN1CC[N@H+](C)C(C)(C)C1)c1[nH+]ccn1C ZINC000661131572 418316956 /nfs/dbraw/zinc/31/69/56/418316956.db2.gz WAPBBLCOHTZCFQ-CYBMUJFWSA-N 0 2 322.457 0.806 20 0 DCADLN COCCNC(=O)[C@H](CC(C)C)Nc1cc[nH+]c(C(=O)[O-])c1 ZINC000650765472 418323973 /nfs/dbraw/zinc/32/39/73/418323973.db2.gz QORDKPYSYGPODJ-LBPRGKRZSA-N 0 2 309.366 0.791 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000649462633 418255519 /nfs/dbraw/zinc/25/55/19/418255519.db2.gz MVPRROFLLIQBNF-ZIAGYGMSSA-N 0 2 304.346 0.757 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cnn(C)c2C2CC2)[nH]n1 ZINC000650817252 418326034 /nfs/dbraw/zinc/32/60/34/418326034.db2.gz XPFCWVGRQWXCTR-UHFFFAOYSA-N 0 2 300.322 0.121 20 0 DCADLN CO[C@@](C)(CO)CNC(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000655408251 418259829 /nfs/dbraw/zinc/25/98/29/418259829.db2.gz DKYCKYXVYWQSIQ-OAHLLOKOSA-N 0 2 305.334 0.771 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cn(CCC(C)C)nn2)[nH]n1 ZINC000650817026 418326200 /nfs/dbraw/zinc/32/62/00/418326200.db2.gz QCMQDVIKIUGSOD-UHFFFAOYSA-N 0 2 317.353 0.148 20 0 DCADLN O=C(C[C@]1(C(=O)[O-])CCOC1)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000656480297 418357336 /nfs/dbraw/zinc/35/73/36/418357336.db2.gz MFESGSFSRYRDQL-BLLLJJGKSA-N 0 2 319.361 0.658 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@@H]([C@H]3CCOC3)C2)[nH]1 ZINC000651633241 418399058 /nfs/dbraw/zinc/39/90/58/418399058.db2.gz JLNDERYATVECKG-NEPJUHHUSA-N 0 2 321.381 0.104 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@@H](C)S[C@H](C)C2)[nH]1 ZINC000651591234 418395012 /nfs/dbraw/zinc/39/50/12/418395012.db2.gz JNVWIBOZNIXZCK-NXEZZACHSA-N 0 2 311.411 0.572 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCS1 ZINC000651594102 418395851 /nfs/dbraw/zinc/39/58/51/418395851.db2.gz JUKFYZOMPGLJFR-JTQLQIEISA-N 0 2 311.411 0.573 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCSC[C@@H](C)C2)[nH]1 ZINC000651750624 418408476 /nfs/dbraw/zinc/40/84/76/418408476.db2.gz QDMXMOFMMXTVLI-JTQLQIEISA-N 0 2 311.411 0.431 20 0 DCADLN CCC[C@@]1(CO)CCCN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651757297 418408935 /nfs/dbraw/zinc/40/89/35/418408935.db2.gz JOYNCEPVNJMJPR-HNNXBMFYSA-N 0 2 323.397 0.373 20 0 DCADLN CO[C@H]1CCCC[C@@H]1NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651772793 418409621 /nfs/dbraw/zinc/40/96/21/418409621.db2.gz CTZLEONLAUGHCC-QWRGUYRKSA-N 0 2 309.370 0.293 20 0 DCADLN CCC1(CO)CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651643121 418399960 /nfs/dbraw/zinc/39/99/60/418399960.db2.gz RXZOUASPYHUKHC-UHFFFAOYSA-N 0 2 323.397 0.231 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)C ZINC000299262942 229015217 /nfs/dbraw/zinc/01/52/17/229015217.db2.gz QIOULNKKIPEOCI-VIFPVBQESA-N 0 2 320.305 0.363 20 0 DCADLN CCCCOCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000195108905 261150601 /nfs/dbraw/zinc/15/06/01/261150601.db2.gz WZOKBMVJDAKGCE-UHFFFAOYSA-N 0 2 306.322 0.158 20 0 DCADLN CCOc1ccc(C(=O)NCC(=O)NOC[C@@H]2CCOC2)cc1 ZINC000276361572 261170484 /nfs/dbraw/zinc/17/04/84/261170484.db2.gz YUDFJMOHVOKMHS-GFCCVEGCSA-N 0 2 322.361 0.899 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(CNC(N)=O)cc1 ZINC000340089623 261181932 /nfs/dbraw/zinc/18/19/32/261181932.db2.gz QVXGQVXUSSJKFS-UHFFFAOYSA-N 0 2 309.351 0.389 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC[NH+]1CCC1 ZINC000355359421 261334064 /nfs/dbraw/zinc/33/40/64/261334064.db2.gz RPKGDGOTGQJIEM-AWEZNQCLSA-N 0 2 321.425 0.305 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cncc(F)c2)n2ncnc2n1 ZINC000357394917 261536146 /nfs/dbraw/zinc/53/61/46/261536146.db2.gz VVMVUOTUSQCHGW-UHFFFAOYSA-N 0 2 308.298 0.768 20 0 DCADLN COc1ccc(CCC(=O)NCc2n[nH]c(=O)[nH]2)cc1OC ZINC000358348017 261659157 /nfs/dbraw/zinc/65/91/57/261659157.db2.gz AXQUFVAFRZSFPA-UHFFFAOYSA-N 0 2 306.322 0.777 20 0 DCADLN O=C(Cc1cc(Cl)c2c(c1)OCCO2)NCc1n[nH]c(=O)[nH]1 ZINC000358376381 261663963 /nfs/dbraw/zinc/66/39/63/261663963.db2.gz HUXHVFGVGLOUOI-UHFFFAOYSA-N 0 2 324.724 0.794 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cn(Cc2cccs2)nn1 ZINC000359844702 261774830 /nfs/dbraw/zinc/77/48/30/261774830.db2.gz YSMYERPLWCVMOD-UHFFFAOYSA-N 0 2 305.323 0.142 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nc2ccncc2)c1 ZINC000362188751 262007759 /nfs/dbraw/zinc/00/77/59/262007759.db2.gz NXEYEQLSDIFZEH-UHFFFAOYSA-N 0 2 321.358 0.712 20 0 DCADLN CS(=O)(=O)c1ccccc1S(=O)(=O)Nc1cncnc1 ZINC000362215348 262010664 /nfs/dbraw/zinc/01/06/64/262010664.db2.gz NOJCLOAMFPJAST-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1cnn(C)c1C ZINC000362434388 262034684 /nfs/dbraw/zinc/03/46/84/262034684.db2.gz MKJOTDZOAJTDTH-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCC[C@@H](CO)C1)c2=O ZINC000412938889 262197648 /nfs/dbraw/zinc/19/76/48/262197648.db2.gz PUYYDRLIPDZJLU-ZJUUUORDSA-N 0 2 304.350 0.955 20 0 DCADLN COC(=O)[C@H]1CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358322500 271049555 /nfs/dbraw/zinc/04/95/55/271049555.db2.gz MYBVTFAFCUVBNV-SNVBAGLBSA-N 0 2 304.306 0.452 20 0 DCADLN CCOc1ccc2c(c1)C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)CO2 ZINC000359792604 271144773 /nfs/dbraw/zinc/14/47/73/271144773.db2.gz AAGHZSUMWQXIEN-SNVBAGLBSA-N 0 2 318.333 0.777 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C2CCC(O)CC2)s1 ZINC000471785693 272031674 /nfs/dbraw/zinc/03/16/74/272031674.db2.gz FQOSQWGFQICPEN-UHFFFAOYSA-N 0 2 320.396 0.399 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C\c2cnn(C)c2C)cn1 ZINC000492249751 272118878 /nfs/dbraw/zinc/11/88/78/272118878.db2.gz QEZRFPIWZNLNSQ-WAYWQWQTSA-N 0 2 323.378 0.463 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C\c2cncc(F)c2)cn1 ZINC000492285959 272120755 /nfs/dbraw/zinc/12/07/55/272120755.db2.gz CNYYDTXTPISQQC-ARJAWSKDSA-N 0 2 324.337 0.955 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)[C@H](C)COC ZINC000492475037 272131979 /nfs/dbraw/zinc/13/19/79/272131979.db2.gz QAWRELZAPNXMJB-WWQCOOJYSA-N 0 2 301.368 0.397 20 0 DCADLN O=C(/C=C\c1ccc[nH]1)NS(=O)(=O)c1cnn2c1OCCC2 ZINC000492864545 272155138 /nfs/dbraw/zinc/15/51/38/272155138.db2.gz LOFWRYUPTPWCCA-PLNGDYQASA-N 0 2 322.346 0.512 20 0 DCADLN CCOC(=O)NCCC(=O)NS(=O)(=O)c1ccsc1 ZINC000493339231 272182404 /nfs/dbraw/zinc/18/24/04/272182404.db2.gz VGHJXOBTLICFAH-UHFFFAOYSA-N 0 2 306.365 0.689 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1cccnc1 ZINC000493643123 272201397 /nfs/dbraw/zinc/20/13/97/272201397.db2.gz LWNRPDMFLXGKSI-AATRIKPKSA-N 0 2 306.347 0.642 20 0 DCADLN COC(=O)N[C@H](C(=O)NCCc1n[nH]c(=S)o1)C(C)C ZINC000495487806 272250796 /nfs/dbraw/zinc/25/07/96/272250796.db2.gz QTTOSLCSANBSTA-QMMMGPOBSA-N 0 2 302.356 0.398 20 0 DCADLN COC(=O)N[C@H](C(=O)NCCC1N=NC(=S)O1)C(C)C ZINC000495487806 272250798 /nfs/dbraw/zinc/25/07/98/272250798.db2.gz QTTOSLCSANBSTA-QMMMGPOBSA-N 0 2 302.356 0.398 20 0 DCADLN CC(C)(C)OC(=O)NC[C@](C)(O)CSc1n[nH]c(=O)[nH]1 ZINC000497089528 272367682 /nfs/dbraw/zinc/36/76/82/272367682.db2.gz MZDFMGLLIYIFCI-NSHDSACASA-N 0 2 304.372 0.878 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)CC(=O)N1 ZINC000544618043 287978936 /nfs/dbraw/zinc/97/89/36/287978936.db2.gz UEMDQRNYMJDGLE-PSASIEDQSA-N 0 2 317.374 0.875 20 0 DCADLN CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[N-]OCc1ccccc1 ZINC000282974273 278140606 /nfs/dbraw/zinc/14/06/06/278140606.db2.gz MRZPONRRXAKNGQ-AWEZNQCLSA-N 0 2 302.334 0.705 20 0 DCADLN CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[N-]OCc1ccccc1 ZINC000282974273 278140608 /nfs/dbraw/zinc/14/06/08/278140608.db2.gz MRZPONRRXAKNGQ-AWEZNQCLSA-N 0 2 302.334 0.705 20 0 DCADLN CC(C)CS(=O)(=O)N[C@H](CCC(=O)[O-])C[NH+]1CCOCC1 ZINC000547575910 288059674 /nfs/dbraw/zinc/05/96/74/288059674.db2.gz PFQXZJXFLJTSJV-GFCCVEGCSA-N 0 2 322.427 0.127 20 0 DCADLN O=S(=O)(NCc1nnc(COc2ccccc2)[nH]1)NC1CC1 ZINC000547793265 288080055 /nfs/dbraw/zinc/08/00/55/288080055.db2.gz NSVCUEBLEANWKH-UHFFFAOYSA-N 0 2 323.378 0.470 20 0 DCADLN CCn1cc([C@@H]2NC(=O)CC[C@H]2N=c2nc(C)[nH]s2)cn1 ZINC000548345662 288134092 /nfs/dbraw/zinc/13/40/92/288134092.db2.gz RUKHIDCCOQCGRX-PWSUYJOCSA-N 0 2 306.395 0.917 20 0 DCADLN CNC(=O)NCCC(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000565960405 288891065 /nfs/dbraw/zinc/89/10/65/288891065.db2.gz DGVHIJGPPRUPPA-UHFFFAOYSA-N 0 2 318.337 0.743 20 0 DCADLN CN(C(=O)c1cc(F)c(O)c(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC000176968722 288918994 /nfs/dbraw/zinc/91/89/94/288918994.db2.gz KOCBTJFQRSRYAC-QMMMGPOBSA-N 0 2 305.302 0.930 20 0 DCADLN CO[C@]1(C)C[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C1(C)C ZINC000185777953 294862437 /nfs/dbraw/zinc/86/24/37/294862437.db2.gz UNGRKORGEATBEA-JVXZTZIISA-N 0 2 300.384 0.510 20 0 DCADLN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cc(F)c(O)c(F)c1 ZINC000290680480 300381707 /nfs/dbraw/zinc/38/17/07/300381707.db2.gz QHKPTZKBUHVMJQ-QUBYGPBYSA-N 0 2 305.302 0.833 20 0 DCADLN C[C@H]1C[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC(=O)N1 ZINC000348875180 300471312 /nfs/dbraw/zinc/47/13/12/300471312.db2.gz JYZOWLSBRSANJB-WPRPVWTQSA-N 0 2 316.317 0.883 20 0 DCADLN O=C1[C@H](NS(=O)(=O)NCC(F)(F)F)C[C@@H]2CCCCN12 ZINC000416517637 304715391 /nfs/dbraw/zinc/71/53/91/304715391.db2.gz SAWXZJXWXWXURE-JGVFFNPUSA-N 0 2 315.317 0.126 20 0 DCADLN COC(=O)C[C@H]1C(=O)NCC[N@H+]1Cc1ccc(C(=O)[O-])cc1 ZINC000583696222 337050550 /nfs/dbraw/zinc/05/05/50/337050550.db2.gz JZONWWFTWXCUBB-LBPRGKRZSA-N 0 2 306.318 0.248 20 0 DCADLN COC(=O)C[C@H]1C(=O)NCC[N@@H+]1Cc1ccc(C(=O)[O-])cc1 ZINC000583696222 337050551 /nfs/dbraw/zinc/05/05/51/337050551.db2.gz JZONWWFTWXCUBB-LBPRGKRZSA-N 0 2 306.318 0.248 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+](CC(=O)[O-])C(C)C)C(=O)OC ZINC000582847914 337209973 /nfs/dbraw/zinc/20/99/73/337209973.db2.gz CFVIGFFXHPYMMQ-MFKMUULPSA-N 0 2 302.371 0.485 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+](CC(=O)[O-])C(C)C)C(=O)OC ZINC000582847914 337209974 /nfs/dbraw/zinc/20/99/74/337209974.db2.gz CFVIGFFXHPYMMQ-MFKMUULPSA-N 0 2 302.371 0.485 20 0 DCADLN O=C(CN1CCCC[C@@H]1c1n[nH]c(=O)[nH]1)NCC(F)(F)F ZINC000330849305 534528747 /nfs/dbraw/zinc/52/87/47/534528747.db2.gz PTDVHPNIVLFLRO-SSDOTTSWSA-N 0 2 307.276 0.716 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2cn(C)c(C)n2)cn1C ZINC000267825342 521897753 /nfs/dbraw/zinc/89/77/53/521897753.db2.gz YUQRNHUZTBINDQ-UHFFFAOYSA-N 0 2 312.351 0.654 20 0 DCADLN CC[C@](C)(O)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266905822 523505303 /nfs/dbraw/zinc/50/53/03/523505303.db2.gz MICNJPLMLHOBDX-AWEZNQCLSA-N 0 2 306.322 0.635 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn(CC(C)C)c2)C1=O ZINC000193156729 523733316 /nfs/dbraw/zinc/73/33/16/523733316.db2.gz PAGCRMHNZMYKDL-CQSZACIVSA-N 0 2 307.354 0.904 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)c2ccc(C)s2)n1 ZINC000266359402 524076578 /nfs/dbraw/zinc/07/65/78/524076578.db2.gz RRTVJONDRLTJDB-UHFFFAOYSA-N 0 2 315.380 0.195 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1ccc(S(C)(=O)=O)cc1 ZINC000119977295 526558148 /nfs/dbraw/zinc/55/81/48/526558148.db2.gz ZUIYWCGTTHKMBD-UHFFFAOYSA-N 0 2 315.376 0.922 20 0 DCADLN CC(C)OCCS(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330802099 527986932 /nfs/dbraw/zinc/98/69/32/527986932.db2.gz AMYIEOQLZATHRA-JTQLQIEISA-N 0 2 318.399 0.445 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2c(C)cnn2C)cn1C ZINC000285196159 536567427 /nfs/dbraw/zinc/56/74/27/536567427.db2.gz SJRPSBRQWXMMME-UHFFFAOYSA-N 0 2 312.351 0.654 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccn(Cc2ccccc2)n1 ZINC000128943944 545781891 /nfs/dbraw/zinc/78/18/91/545781891.db2.gz NXAYSDHFYFOBCW-UHFFFAOYSA-N 0 2 313.321 0.665 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000136977388 545808472 /nfs/dbraw/zinc/80/84/72/545808472.db2.gz JLJHBDWMLQEZDZ-UHFFFAOYSA-N 0 2 304.310 0.169 20 0 DCADLN CSC[C@@H](CCO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342097061 546119821 /nfs/dbraw/zinc/11/98/21/546119821.db2.gz XIIDCXCURUUQKK-MRVPVSSYSA-N 0 2 324.362 0.280 20 0 DCADLN CCOc1ccc(N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)nn1 ZINC000662253231 546371672 /nfs/dbraw/zinc/37/16/72/546371672.db2.gz UPQOKUJDTARGSZ-UHFFFAOYSA-N 0 2 305.342 0.021 20 0 DCADLN CCC(CC)(NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(N)=O ZINC000667699160 546641152 /nfs/dbraw/zinc/64/11/52/546641152.db2.gz USWVCYNVQYVHGX-UHFFFAOYSA-N 0 2 318.333 0.804 20 0 DCADLN Cc1[nH]nc(C(=O)Nc2cccc(NC(=O)C(N)=O)c2)c1O ZINC000669928141 547031841 /nfs/dbraw/zinc/03/18/41/547031841.db2.gz HUOOJGWHBIBQRV-UHFFFAOYSA-N 0 2 303.278 0.100 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc2c(c1)NC(=O)CO2 ZINC000673459649 547481041 /nfs/dbraw/zinc/48/10/41/547481041.db2.gz SIUIFELMOKELMV-UHFFFAOYSA-N 0 2 322.346 0.860 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)CS(=O)(=O)C1CCCC1 ZINC000673479696 547484288 /nfs/dbraw/zinc/48/42/88/547484288.db2.gz UBERTVYBPHGXDB-UHFFFAOYSA-N 0 2 303.340 0.091 20 0 DCADLN O=C(NC1CC1)c1ccc(NCCCc2n[nH]c(=O)[nH]2)nc1 ZINC000674265749 547575081 /nfs/dbraw/zinc/57/50/81/547575081.db2.gz ZYFABZDWVTUCAK-UHFFFAOYSA-N 0 2 302.338 0.842 20 0 DCADLN CCc1[nH+]c2ccccc2n1CC(=O)N1CCOC[C@@H]1C(=O)[O-] ZINC000675996491 547725793 /nfs/dbraw/zinc/72/57/93/547725793.db2.gz CJYYFWBLZKHWKB-CYBMUJFWSA-N 0 2 317.345 0.911 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1cccc(F)c1)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231668 547746828 /nfs/dbraw/zinc/74/68/28/547746828.db2.gz OKYGGHXJTDLCNV-FZMZJTMJSA-N 0 2 324.352 0.958 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1cccc(F)c1)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231668 547746831 /nfs/dbraw/zinc/74/68/31/547746831.db2.gz OKYGGHXJTDLCNV-FZMZJTMJSA-N 0 2 324.352 0.958 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)Nc1ccc(NC(C)=O)cc1 ZINC000676229885 547746954 /nfs/dbraw/zinc/74/69/54/547746954.db2.gz AYTNCHOONYVAKS-UHFFFAOYSA-N 0 2 323.349 0.617 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)Nc1ccc(NC(C)=O)cc1 ZINC000676229885 547746955 /nfs/dbraw/zinc/74/69/55/547746955.db2.gz AYTNCHOONYVAKS-UHFFFAOYSA-N 0 2 323.349 0.617 20 0 DCADLN C[C@@H](C(=O)NCc1ccccc1F)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231716 547747136 /nfs/dbraw/zinc/74/71/36/547747136.db2.gz LYOVOGZHAIXXLX-GWCFXTLKSA-N 0 2 310.325 0.616 20 0 DCADLN C[C@@H](C(=O)NCc1ccccc1F)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231716 547747138 /nfs/dbraw/zinc/74/71/38/547747138.db2.gz LYOVOGZHAIXXLX-GWCFXTLKSA-N 0 2 310.325 0.616 20 0 DCADLN O=C(NCc1cn2cc(Cl)ccc2[nH+]1)c1n[nH]c(=O)[n-]c1=O ZINC000677948844 547926855 /nfs/dbraw/zinc/92/68/55/547926855.db2.gz DUNQDLJTNPAMNF-UHFFFAOYSA-N 0 2 320.696 0.514 20 0 DCADLN CC(=O)NCCc1ccc(S(=O)(=O)Nc2noc(C)n2)cc1 ZINC000679204486 548033838 /nfs/dbraw/zinc/03/38/38/548033838.db2.gz IMMALJNAQDVFST-UHFFFAOYSA-N 0 2 324.362 0.857 20 0 DCADLN CNS(=O)(=O)c1ccc(C(=O)Nc2nnc(OC)s2)o1 ZINC000682690166 548419646 /nfs/dbraw/zinc/41/96/46/548419646.db2.gz LNGMOMUNGGMRKX-UHFFFAOYSA-N 0 2 318.336 0.300 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccnn1Cc1ccccc1 ZINC000682807100 548426780 /nfs/dbraw/zinc/42/67/80/548426780.db2.gz PFIMTRASIODMQI-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN COc1cc(OC2CC2)ccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000682913506 548438414 /nfs/dbraw/zinc/43/84/14/548438414.db2.gz XZUXTLWOAUEXNW-UHFFFAOYSA-N 0 2 304.306 0.990 20 0 DCADLN CC1(C)CN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000683954654 548543887 /nfs/dbraw/zinc/54/38/87/548543887.db2.gz CWJNBGAMIBLRTI-UHFFFAOYSA-N 0 2 310.335 0.467 20 0 DCADLN C[C@@]1(O)CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000684338885 548596453 /nfs/dbraw/zinc/59/64/53/548596453.db2.gz RLNOCJOOEZXYEF-OAHLLOKOSA-N 0 2 318.333 0.813 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CC(F)(F)C(F)F)c1=O ZINC000736714353 598892166 /nfs/dbraw/zinc/89/21/66/598892166.db2.gz QZRNLVFKCFPHOI-UHFFFAOYSA-N 0 2 322.222 0.110 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[NH+]2CCC(C(=O)[O-])CC2)cc1 ZINC000739882948 596923435 /nfs/dbraw/zinc/92/34/35/596923435.db2.gz NDWJVBUXGWVJJX-UHFFFAOYSA-N 0 2 320.345 0.748 20 0 DCADLN O=C([O-])CSCC(=O)N[C@@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000819539602 597184050 /nfs/dbraw/zinc/18/40/50/597184050.db2.gz AZKVTDODUHUVFQ-NEPJUHHUSA-N 0 2 316.423 0.564 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](C[C@H]2CN(c3ccccc3)C(=O)O2)CCO1 ZINC000738549162 597398597 /nfs/dbraw/zinc/39/85/97/597398597.db2.gz QPZNHXQHDZZEQH-STQMWFEESA-N 0 2 306.318 0.797 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](C[C@H]2CN(c3ccccc3)C(=O)O2)CCO1 ZINC000738549162 597398600 /nfs/dbraw/zinc/39/86/00/597398600.db2.gz QPZNHXQHDZZEQH-STQMWFEESA-N 0 2 306.318 0.797 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](CCC(=O)NCC(=O)c2ccccc2)CCO1 ZINC000821377770 597674010 /nfs/dbraw/zinc/67/40/10/597674010.db2.gz SOHGIWNZHODKOC-CQSZACIVSA-N 0 2 320.345 0.161 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](CCC(=O)NCC(=O)c2ccccc2)CCO1 ZINC000821377770 597674013 /nfs/dbraw/zinc/67/40/13/597674013.db2.gz SOHGIWNZHODKOC-CQSZACIVSA-N 0 2 320.345 0.161 20 0 DCADLN C[C@H](C(=O)N(C)C[C@@H]1COc2ccccc2O1)[N@H+](C)CC(=O)[O-] ZINC000819883049 598042602 /nfs/dbraw/zinc/04/26/02/598042602.db2.gz LHQVEOGPMPGXIJ-VXGBXAGGSA-N 0 2 322.361 0.690 20 0 DCADLN C[C@H](C(=O)N(C)C[C@@H]1COc2ccccc2O1)[N@@H+](C)CC(=O)[O-] ZINC000819883049 598042605 /nfs/dbraw/zinc/04/26/05/598042605.db2.gz LHQVEOGPMPGXIJ-VXGBXAGGSA-N 0 2 322.361 0.690 20 0 DCADLN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)[N@H+](C)CC(=O)[O-] ZINC000819882630 598043817 /nfs/dbraw/zinc/04/38/17/598043817.db2.gz AYZZRYDXNNTZQY-GFCCVEGCSA-N 0 2 321.377 0.446 20 0 DCADLN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)[N@@H+](C)CC(=O)[O-] ZINC000819882630 598043821 /nfs/dbraw/zinc/04/38/21/598043821.db2.gz AYZZRYDXNNTZQY-GFCCVEGCSA-N 0 2 321.377 0.446 20 0 DCADLN C[C@H](C(=O)NCCc1ccc2c(c1)OCCO2)[N@H+](C)CC(=O)[O-] ZINC000819883407 598043858 /nfs/dbraw/zinc/04/38/58/598043858.db2.gz WHOAFWBJRAEPBV-LLVKDONJSA-N 0 2 322.361 0.521 20 0 DCADLN C[C@H](C(=O)NCCc1ccc2c(c1)OCCO2)[N@@H+](C)CC(=O)[O-] ZINC000819883407 598043859 /nfs/dbraw/zinc/04/38/59/598043859.db2.gz WHOAFWBJRAEPBV-LLVKDONJSA-N 0 2 322.361 0.521 20 0 DCADLN CCc1nn(C[C@@H]2CCCO2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705584 598639959 /nfs/dbraw/zinc/63/99/59/598639959.db2.gz KVBFRWYWODWKAC-VIFPVBQESA-N 0 2 304.354 0.727 20 0 DCADLN COC(=O)C1=C(C)NC(=S)N[C@H]1c1ccc(-c2nn[nH]n2)o1 ZINC000821654259 599493042 /nfs/dbraw/zinc/49/30/42/599493042.db2.gz YNSVEWUMDHIIEM-VIFPVBQESA-N 0 2 320.334 0.426 20 0 DCADLN COc1ccccc1CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314077861 599777438 /nfs/dbraw/zinc/77/74/38/599777438.db2.gz DOKZYWNIRBXJQV-LBPRGKRZSA-N 0 2 303.318 0.773 20 0 DCADLN COc1ccccc1CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314077861 599777439 /nfs/dbraw/zinc/77/74/39/599777439.db2.gz DOKZYWNIRBXJQV-LBPRGKRZSA-N 0 2 303.318 0.773 20 0 DCADLN N#Cc1ccccc1OCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000378693117 599778162 /nfs/dbraw/zinc/77/81/62/599778162.db2.gz CQYRPPHRMKRJEF-LBPRGKRZSA-N 0 2 314.301 0.472 20 0 DCADLN N#Cc1ccccc1OCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000378693117 599778164 /nfs/dbraw/zinc/77/81/64/599778164.db2.gz CQYRPPHRMKRJEF-LBPRGKRZSA-N 0 2 314.301 0.472 20 0 DCADLN CC(C)CNC(=O)NC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccccc1 ZINC000315252899 599785900 /nfs/dbraw/zinc/78/59/00/599785900.db2.gz ORERRNXLAQVIKY-CYBMUJFWSA-N 0 2 307.350 0.884 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000316723941 599803501 /nfs/dbraw/zinc/80/35/01/599803501.db2.gz MJKDHTFVABBCSI-CYBMUJFWSA-N 0 2 307.350 0.515 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000316723941 599803502 /nfs/dbraw/zinc/80/35/02/599803502.db2.gz MJKDHTFVABBCSI-CYBMUJFWSA-N 0 2 307.350 0.515 20 0 DCADLN CN(Cc1ccc(C(=O)[O-])cc1)C(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000320825466 599805954 /nfs/dbraw/zinc/80/59/54/599805954.db2.gz TWAZCIOPUVTFPI-CQSZACIVSA-N 0 2 321.377 0.857 20 0 DCADLN CN(Cc1ccc(C(=O)[O-])cc1)C(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000320825466 599805956 /nfs/dbraw/zinc/80/59/56/599805956.db2.gz TWAZCIOPUVTFPI-CQSZACIVSA-N 0 2 321.377 0.857 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2cc(C(=O)[O-])ccc2F)C1 ZINC000316856677 599833307 /nfs/dbraw/zinc/83/33/07/599833307.db2.gz SMXXUCDGGKSLOF-JTQLQIEISA-N 0 2 311.313 0.976 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2cc(C(=O)[O-])ccc2F)C1 ZINC000316856677 599833308 /nfs/dbraw/zinc/83/33/08/599833308.db2.gz SMXXUCDGGKSLOF-JTQLQIEISA-N 0 2 311.313 0.976 20 0 DCADLN CC(C)(CNC(=O)NCCSCC(=O)[O-])[NH+]1CCOCC1 ZINC000736321465 599901913 /nfs/dbraw/zinc/90/19/13/599901913.db2.gz DKFVTJDCSZZADC-UHFFFAOYSA-N 0 2 319.427 0.214 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)NCCSCC(=O)[O-])CCO1 ZINC000736735379 599906146 /nfs/dbraw/zinc/90/61/46/599906146.db2.gz IGMCRTPGJLMGTR-UHFFFAOYSA-N 0 2 319.427 0.214 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)NCCSCC(=O)[O-])CCO1 ZINC000736735379 599906147 /nfs/dbraw/zinc/90/61/47/599906147.db2.gz IGMCRTPGJLMGTR-UHFFFAOYSA-N 0 2 319.427 0.214 20 0 DCADLN O=C([O-])CCNC(=O)C[NH+]1CCN(c2ccc(O)cc2)CC1 ZINC000739733829 600003262 /nfs/dbraw/zinc/00/32/62/600003262.db2.gz FJXPESCMQOREJN-UHFFFAOYSA-N 0 2 307.350 0.105 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)N2Cc3ccc(C(=O)[O-])cc3C2)C1 ZINC000391147403 600023495 /nfs/dbraw/zinc/02/34/95/600023495.db2.gz UURFPQXZHSZJQN-AWEZNQCLSA-N 0 2 319.361 0.741 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)N2Cc3ccc(C(=O)[O-])cc3C2)C1 ZINC000391147403 600023499 /nfs/dbraw/zinc/02/34/99/600023499.db2.gz UURFPQXZHSZJQN-AWEZNQCLSA-N 0 2 319.361 0.741 20 0 DCADLN C[C@@H](C(=O)[O-])n1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000736227844 600120015 /nfs/dbraw/zinc/12/00/15/600120015.db2.gz ZKBNRJSYXWRUNY-AAEUAGOBSA-N 0 2 317.349 0.892 20 0 DCADLN C[C@@H](C(=O)[O-])n1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000736227844 600120018 /nfs/dbraw/zinc/12/00/18/600120018.db2.gz ZKBNRJSYXWRUNY-AAEUAGOBSA-N 0 2 317.349 0.892 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000740056454 600139322 /nfs/dbraw/zinc/13/93/22/600139322.db2.gz DYBUVADTVHALSY-CRWXNKLISA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000740056454 600139323 /nfs/dbraw/zinc/13/93/23/600139323.db2.gz DYBUVADTVHALSY-CRWXNKLISA-N 0 2 310.394 0.687 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2ccc(C(=O)[O-])cc2F)C1 ZINC000387768000 600278237 /nfs/dbraw/zinc/27/82/37/600278237.db2.gz VUNURUXWCIKYNG-JTQLQIEISA-N 0 2 311.313 0.976 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2ccc(C(=O)[O-])cc2F)C1 ZINC000387768000 600278238 /nfs/dbraw/zinc/27/82/38/600278238.db2.gz VUNURUXWCIKYNG-JTQLQIEISA-N 0 2 311.313 0.976 20 0 DCADLN COC(=O)c1cc(NC(=O)C[N@@H+]2CC[C@H](O)C2)cc(C(=O)[O-])c1 ZINC000737668322 600392892 /nfs/dbraw/zinc/39/28/92/600392892.db2.gz VHOVBMVPZOJDPG-LBPRGKRZSA-N 0 2 322.317 0.177 20 0 DCADLN COC(=O)c1cc(NC(=O)C[N@H+]2CC[C@H](O)C2)cc(C(=O)[O-])c1 ZINC000737668322 600392895 /nfs/dbraw/zinc/39/28/95/600392895.db2.gz VHOVBMVPZOJDPG-LBPRGKRZSA-N 0 2 322.317 0.177 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000736207849 600434979 /nfs/dbraw/zinc/43/49/79/600434979.db2.gz KAVDZBXXIGCIOF-DTWKUNHWSA-N 0 2 312.288 0.619 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000736207849 600434977 /nfs/dbraw/zinc/43/49/77/600434977.db2.gz KAVDZBXXIGCIOF-DTWKUNHWSA-N 0 2 312.288 0.619 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+]1CCNC(=O)[C@@H]1c1ccccc1 ZINC000737401973 600437132 /nfs/dbraw/zinc/43/71/32/600437132.db2.gz ZWOZZHVHNKUFJI-HNNXBMFYSA-N 0 2 319.361 0.093 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+]1CCNC(=O)[C@@H]1c1ccccc1 ZINC000737401973 600437133 /nfs/dbraw/zinc/43/71/33/600437133.db2.gz ZWOZZHVHNKUFJI-HNNXBMFYSA-N 0 2 319.361 0.093 20 0 DCADLN Cn1cc(N2CC[C@@H]([NH2+][C@@H](C(=O)[O-])c3ccccc3)C2=O)cn1 ZINC000738411744 600440389 /nfs/dbraw/zinc/44/03/89/600440389.db2.gz SPKQIEULXKNNIB-ZIAGYGMSSA-N 0 2 314.345 0.941 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000736736917 600494689 /nfs/dbraw/zinc/49/46/89/600494689.db2.gz ITXVEJRTPUFLHG-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000736736917 600494691 /nfs/dbraw/zinc/49/46/91/600494691.db2.gz ITXVEJRTPUFLHG-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN Cc1cccn2cc(CC(=O)Nc3n[nH]c(CC(=O)[O-])n3)[nH+]c12 ZINC000738784860 600536496 /nfs/dbraw/zinc/53/64/96/600536496.db2.gz AOGCGZXCYMPCID-UHFFFAOYSA-N 0 2 314.305 0.569 20 0 DCADLN Cc1nnc(CN2CC[NH+](Cc3occc3C(=O)[O-])CC2)[nH]1 ZINC000738857765 600558336 /nfs/dbraw/zinc/55/83/36/600558336.db2.gz PPSZEONLDHLARJ-UHFFFAOYSA-N 0 2 305.338 0.722 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](C)[C@H](C)C(=O)[O-])C1 ZINC000737133735 600977691 /nfs/dbraw/zinc/97/76/91/600977691.db2.gz JBYGUEWSAYHSTP-GHMZBOCLSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])C1 ZINC000737133735 600977694 /nfs/dbraw/zinc/97/76/94/600977694.db2.gz JBYGUEWSAYHSTP-GHMZBOCLSA-N 0 2 300.355 0.193 20 0 DCADLN C/C(=C/C[N@@H+]1CCO[C@H](CN(C)c2cccnn2)C1)C(=O)[O-] ZINC000825747667 601177801 /nfs/dbraw/zinc/17/78/01/601177801.db2.gz WPBJTWXXFCIPJI-QWGHSUKYSA-N 0 2 306.366 0.645 20 0 DCADLN C/C(=C/C[N@H+]1CCO[C@H](CN(C)c2cccnn2)C1)C(=O)[O-] ZINC000825747667 601177802 /nfs/dbraw/zinc/17/78/02/601177802.db2.gz WPBJTWXXFCIPJI-QWGHSUKYSA-N 0 2 306.366 0.645 20 0 DCADLN C[C@@H](OC(=O)[C@H](C)[N@H+](C)Cc1ccccc1)C(=O)NCC(=O)[O-] ZINC000827809414 601403296 /nfs/dbraw/zinc/40/32/96/601403296.db2.gz GVTPIPBSGFZUIX-NWDGAFQWSA-N 0 2 322.361 0.639 20 0 DCADLN C[C@@H](OC(=O)[C@H](C)[N@@H+](C)Cc1ccccc1)C(=O)NCC(=O)[O-] ZINC000827809414 601403297 /nfs/dbraw/zinc/40/32/97/601403297.db2.gz GVTPIPBSGFZUIX-NWDGAFQWSA-N 0 2 322.361 0.639 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCOCC2(C)C)C[C@H]1C(=O)[O-] ZINC000828362709 601663618 /nfs/dbraw/zinc/66/36/18/601663618.db2.gz RCMPLZLXHAUHDZ-NWDGAFQWSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCOCC2(C)C)C[C@H]1C(=O)[O-] ZINC000828362709 601663615 /nfs/dbraw/zinc/66/36/15/601663615.db2.gz RCMPLZLXHAUHDZ-NWDGAFQWSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCOCC2(C)C)C[C@@H]1C(=O)[O-] ZINC000828362711 601663725 /nfs/dbraw/zinc/66/37/25/601663725.db2.gz RCMPLZLXHAUHDZ-RYUDHWBXSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCOCC2(C)C)C[C@@H]1C(=O)[O-] ZINC000828362711 601663726 /nfs/dbraw/zinc/66/37/26/601663726.db2.gz RCMPLZLXHAUHDZ-RYUDHWBXSA-N 0 2 313.398 0.459 20 0 DCADLN COCCn1cnn(C[N@@H+]2C[C@@H](C)[C@H](C(=O)[O-])C2)c1=S ZINC000831807138 601820762 /nfs/dbraw/zinc/82/07/62/601820762.db2.gz BHYXUDOGIRNGBR-NXEZZACHSA-N 0 2 300.384 0.670 20 0 DCADLN COCCn1cnn(C[N@H+]2C[C@@H](C)[C@H](C(=O)[O-])C2)c1=S ZINC000831807138 601820763 /nfs/dbraw/zinc/82/07/63/601820763.db2.gz BHYXUDOGIRNGBR-NXEZZACHSA-N 0 2 300.384 0.670 20 0 DCADLN Cc1cc(NC(=O)C[N@@H+]2CCSC[C@H]2CC(=O)[O-])n(C)n1 ZINC000832893201 601886423 /nfs/dbraw/zinc/88/64/23/601886423.db2.gz RBPXNKYTGAARMX-SNVBAGLBSA-N 0 2 312.395 0.559 20 0 DCADLN Cc1cc(NC(=O)C[N@H+]2CCSC[C@H]2CC(=O)[O-])n(C)n1 ZINC000832893201 601886426 /nfs/dbraw/zinc/88/64/26/601886426.db2.gz RBPXNKYTGAARMX-SNVBAGLBSA-N 0 2 312.395 0.559 20 0 DCADLN CN(C)C(=O)CCN1CC[NH+](Cc2cccc(C(=O)[O-])c2)CC1 ZINC000820530339 602238490 /nfs/dbraw/zinc/23/84/90/602238490.db2.gz CVACENCZXNSHBL-UHFFFAOYSA-N 0 2 319.405 0.981 20 0 DCADLN C[C@H]1CCN(Cn2nc(C(=O)[O-])ccc2=O)C[C@H]1n1cc[nH+]c1 ZINC000828295492 602304579 /nfs/dbraw/zinc/30/45/79/602304579.db2.gz ILPZLHMEXMYOGX-WCQYABFASA-N 0 2 317.349 0.679 20 0 DCADLN C[C@H](NC(=O)N1CCN(C(=O)[O-])CC1)[C@H](C)[NH+]1CCOCC1 ZINC000736646991 602638889 /nfs/dbraw/zinc/63/88/89/602638889.db2.gz ZNANCGGLZYJZMR-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN CN(CCCC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC000828278282 603038095 /nfs/dbraw/zinc/03/80/95/603038095.db2.gz LBPUCQPHWGQSAA-CYBMUJFWSA-N 0 2 313.398 0.700 20 0 DCADLN C[C@H](c1cccnc1)[NH+]1CCN(C(=O)CN(C)C(=O)[O-])CC1 ZINC000736725316 603230903 /nfs/dbraw/zinc/23/09/03/603230903.db2.gz QZWBEORWWCFIMC-GFCCVEGCSA-N 0 2 306.366 0.897 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@@H]2CCCN(C(=O)[O-])C2)CCO1 ZINC000736806668 603297131 /nfs/dbraw/zinc/29/71/31/603297131.db2.gz DGXODCWTIVQOLH-VXGBXAGGSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N[C@@H]2CCCN(C(=O)[O-])C2)CCO1 ZINC000736806668 603297133 /nfs/dbraw/zinc/29/71/33/603297133.db2.gz DGXODCWTIVQOLH-VXGBXAGGSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H](CNC(=O)CN(C[C@@H]1CCCO1)C(=O)[O-])Cn1cc[nH+]c1 ZINC000824921193 603493841 /nfs/dbraw/zinc/49/38/41/603493841.db2.gz CFFSXAJCLINVTI-STQMWFEESA-N 0 2 324.381 0.794 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCOc2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828500581 603508362 /nfs/dbraw/zinc/50/83/62/603508362.db2.gz LYNRMYSZWHCCQK-ZDUSSCGKSA-N 0 2 321.377 0.866 20 0 DCADLN C[N@H+]1CCN(C(=O)CCOc2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828500581 603508363 /nfs/dbraw/zinc/50/83/63/603508363.db2.gz LYNRMYSZWHCCQK-ZDUSSCGKSA-N 0 2 321.377 0.866 20 0 DCADLN Cc1ccc(OCC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000830407966 603509186 /nfs/dbraw/zinc/50/91/86/603509186.db2.gz YBBJBAZNBSXHAU-ZDUSSCGKSA-N 0 2 321.377 0.784 20 0 DCADLN Cc1ccc(OCC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000830407966 603509190 /nfs/dbraw/zinc/50/91/90/603509190.db2.gz YBBJBAZNBSXHAU-ZDUSSCGKSA-N 0 2 321.377 0.784 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2CCCC[C@@H]2[C@H]2CCCN2C(=O)[O-])n1 ZINC000831265490 603552477 /nfs/dbraw/zinc/55/24/77/603552477.db2.gz NDIYQQJITZXXQF-NXEZZACHSA-N 0 2 321.385 0.533 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2CCCC[C@@H]2[C@H]2CCCN2C(=O)[O-])n1 ZINC000831265490 603552481 /nfs/dbraw/zinc/55/24/81/603552481.db2.gz NDIYQQJITZXXQF-NXEZZACHSA-N 0 2 321.385 0.533 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@@H]1CC[C@H](NC(=O)[O-])C1)[NH+]1CCOCC1 ZINC000825084801 603573426 /nfs/dbraw/zinc/57/34/26/603573426.db2.gz VUNJLTRSYTZNHG-LOWDOPEQSA-N 0 2 313.398 0.648 20 0 DCADLN Cc1[nH]c2ccc(NC(=O)[C@@H]3C[C@H](O)CN3C(=O)[O-])cc2[nH+]1 ZINC000830786290 603641836 /nfs/dbraw/zinc/64/18/36/603641836.db2.gz ZWZWWJIXLCIYRQ-CABZTGNLSA-N 0 2 304.306 0.923 20 0 DCADLN CC(C)C[C@](C)(CNC(=O)[O-])NC(=O)[C@H]1C[N@H+](C)CCO1 ZINC000824175530 603800661 /nfs/dbraw/zinc/80/06/61/603800661.db2.gz ATTNTNJTLTZUJK-BXUZGUMPSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@](C)(CNC(=O)[O-])NC(=O)[C@H]1C[N@@H+](C)CCO1 ZINC000824175530 603800665 /nfs/dbraw/zinc/80/06/65/603800665.db2.gz ATTNTNJTLTZUJK-BXUZGUMPSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)(CNC(=O)[C@]1(C)CCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000825656391 603863314 /nfs/dbraw/zinc/86/33/14/603863314.db2.gz CAUTUHCBXZXQBK-OAHLLOKOSA-N 0 2 313.398 0.603 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)[C@]2(C)CCN(C(=O)[O-])C2)CC1 ZINC000827399405 603936291 /nfs/dbraw/zinc/93/62/91/603936291.db2.gz GGBRMJFDURWKMP-OAHLLOKOSA-N 0 2 313.398 0.557 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000824855545 604062529 /nfs/dbraw/zinc/06/25/29/604062529.db2.gz RTDVDYJLQISTDB-LLVKDONJSA-N 0 2 301.387 0.459 20 0 DCADLN O=C([O-])NCCCOC1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000832624539 604070367 /nfs/dbraw/zinc/07/03/67/604070367.db2.gz BWFKIGUGQSBZCE-UHFFFAOYSA-N 0 2 310.354 0.548 20 0 DCADLN CSC(C)(C)CNC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000829703200 604078097 /nfs/dbraw/zinc/07/80/97/604078097.db2.gz DZOMUJBEVRXRHF-UHFFFAOYSA-N 0 2 318.443 0.723 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CCC[C@@H]2CNC(=O)[O-])CCO1 ZINC000826057667 604083140 /nfs/dbraw/zinc/08/31/40/604083140.db2.gz JGYAEXRTAVWNIC-VXGBXAGGSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CCC[C@@H]2CNC(=O)[O-])CCO1 ZINC000826057667 604083143 /nfs/dbraw/zinc/08/31/43/604083143.db2.gz JGYAEXRTAVWNIC-VXGBXAGGSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H](CN(C)C(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000824854200 604147992 /nfs/dbraw/zinc/14/79/92/604147992.db2.gz HOYDQELVCOQDHC-NSHDSACASA-N 0 2 301.387 0.459 20 0 DCADLN C[C@@H](CN(C)C(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000824854200 604147994 /nfs/dbraw/zinc/14/79/94/604147994.db2.gz HOYDQELVCOQDHC-NSHDSACASA-N 0 2 301.387 0.459 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@@H]2CN(C(=O)[O-])CCO2)C[C@@H]1n1cc[nH+]c1 ZINC000825938847 604298239 /nfs/dbraw/zinc/29/82/39/604298239.db2.gz QQZIRHFSHPHHHT-AGIUHOORSA-N 0 2 322.365 0.671 20 0 DCADLN COc1cccc(CC(=O)N2CC[NH+](CCNC(=O)[O-])CC2)c1 ZINC000829549379 604359475 /nfs/dbraw/zinc/35/94/75/604359475.db2.gz FCABXDJREPBVPN-UHFFFAOYSA-N 0 2 321.377 0.650 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2COc3ccccc32)[C@@H](CNC(=O)[O-])C1 ZINC000828493905 604402650 /nfs/dbraw/zinc/40/26/50/604402650.db2.gz FBKUPTJHZQBARO-WCQYABFASA-N 0 2 319.361 0.573 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2COc3ccccc32)[C@@H](CNC(=O)[O-])C1 ZINC000828493905 604402652 /nfs/dbraw/zinc/40/26/52/604402652.db2.gz FBKUPTJHZQBARO-WCQYABFASA-N 0 2 319.361 0.573 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000825618941 604406802 /nfs/dbraw/zinc/40/68/02/604406802.db2.gz YCRQRYMKTNPAMX-OLZOCXBDSA-N 0 2 321.377 0.864 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000825618941 604406803 /nfs/dbraw/zinc/40/68/03/604406803.db2.gz YCRQRYMKTNPAMX-OLZOCXBDSA-N 0 2 321.377 0.864 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1CN(c2[nH+]cnc3c2cnn3C)CCO1 ZINC000825371234 604451738 /nfs/dbraw/zinc/45/17/38/604451738.db2.gz DTYVFTVGOVYALV-PSASIEDQSA-N 0 2 306.326 0.225 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000833183957 604744715 /nfs/dbraw/zinc/74/47/15/604744715.db2.gz BEIKASIPPWEMRW-BFHYXJOUSA-N 0 2 310.394 0.811 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833588487 604801187 /nfs/dbraw/zinc/80/11/87/604801187.db2.gz PUKNDLZADIVIIZ-RKDXNWHRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833588487 604801190 /nfs/dbraw/zinc/80/11/90/604801190.db2.gz PUKNDLZADIVIIZ-RKDXNWHRSA-N 0 2 302.352 0.691 20 0 DCADLN O=C([O-])N1CCO[C@H](CC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)C1 ZINC000832060551 604830980 /nfs/dbraw/zinc/83/09/80/604830980.db2.gz NFZLOAJLKHGMNX-CHWSQXEVSA-N 0 2 322.365 0.816 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1CN(C(=O)[O-])CCO1)Cn1cc[nH+]c1 ZINC000824921045 604946653 /nfs/dbraw/zinc/94/66/53/604946653.db2.gz BMXRRQXCIRLBTP-NWDGAFQWSA-N 0 2 310.354 0.404 20 0 DCADLN CC(C)(CNC(=O)c1cncc(C(=O)[O-])c1)[NH+]1CCOCC1 ZINC000833458333 605097643 /nfs/dbraw/zinc/09/76/43/605097643.db2.gz NPZNWXVLASBWDX-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)Cc1ccccc1C(=O)[O-] ZINC000833632484 605244421 /nfs/dbraw/zinc/24/44/21/605244421.db2.gz XMZZYQOWBHOYCW-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)Cc1ccccc1C(=O)[O-] ZINC000833632484 605244423 /nfs/dbraw/zinc/24/44/23/605244423.db2.gz XMZZYQOWBHOYCW-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN O=C(C[C@@H]1CN(C(=O)[O-])CCO1)NCCNc1cccc[nH+]1 ZINC000831384075 605255197 /nfs/dbraw/zinc/25/51/97/605255197.db2.gz ZXHHKXVWXCFBLM-LLVKDONJSA-N 0 2 308.338 0.379 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)CO[C@@H]1CCCN(C(=O)[O-])C1 ZINC000825054670 605261205 /nfs/dbraw/zinc/26/12/05/605261205.db2.gz GTGMOSUAUBQYKA-NWDGAFQWSA-N 0 2 310.354 0.547 20 0 DCADLN O=C([O-])N[C@@H]1CC[C@H](C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000832254770 605291683 /nfs/dbraw/zinc/29/16/83/605291683.db2.gz MZOCERWWAUMPBO-XQQFMLRXSA-N 0 2 311.382 0.356 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](CN2CC[NH+](CC[S@](C)=O)CC2)O1 ZINC000833580784 605363664 /nfs/dbraw/zinc/36/36/64/605363664.db2.gz SSGKSQRQAVKLLE-GTJPDFRWSA-N 0 2 316.423 0.130 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1CCN(C(=O)NCCCn2cc[nH+]c2)C1 ZINC000833816370 605486045 /nfs/dbraw/zinc/48/60/45/605486045.db2.gz KTPIMQFVPSJYAD-VXGBXAGGSA-N 0 2 309.370 0.961 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)O[C@H](C)C[NH+]1CCOCC1 ZINC000833485070 605488823 /nfs/dbraw/zinc/48/88/23/605488823.db2.gz YAHZJPALKANJRU-VXGBXAGGSA-N 0 2 302.371 0.933 20 0 DCADLN O=C([O-])Nc1cccc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)c1 ZINC000834262132 605652862 /nfs/dbraw/zinc/65/28/62/605652862.db2.gz HRQJQEJWRRHDMB-CYBMUJFWSA-N 0 2 304.350 0.506 20 0 DCADLN CC[C@](C)(CNC(=O)[O-])C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000833840285 605693241 /nfs/dbraw/zinc/69/32/41/605693241.db2.gz JPPNPPRKHVOUQX-BXUZGUMPSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@](C)(CNC(=O)[O-])C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000833840285 605693244 /nfs/dbraw/zinc/69/32/44/605693244.db2.gz JPPNPPRKHVOUQX-BXUZGUMPSA-N 0 2 301.387 0.507 20 0 DCADLN O=C([O-])N(CC(=O)N1CCN(c2cccc[nH+]2)CC1)C1CC1 ZINC000833999185 605959502 /nfs/dbraw/zinc/95/95/02/605959502.db2.gz PLQYYVIUKXDCEK-UHFFFAOYSA-N 0 2 304.350 0.873 20 0 DCADLN O=C([O-])N1CC[C@H](C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834017131 605975438 /nfs/dbraw/zinc/97/54/38/605975438.db2.gz ICTWYIFLJAIMAV-NWDGAFQWSA-N 0 2 304.350 0.776 20 0 DCADLN O=C([O-])N1CCC[C@@H]1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000834016603 605988003 /nfs/dbraw/zinc/98/80/03/605988003.db2.gz FEBOTOCQCKRACH-NWDGAFQWSA-N 0 2 304.350 0.919 20 0 DCADLN O=C([O-])N[C@H](CC(F)F)C(=O)N[C@@H]1CCc2[nH+]ccn2C1 ZINC000834129669 606025448 /nfs/dbraw/zinc/02/54/48/606025448.db2.gz BYOFUUJHAKBMTL-HTQZYQBOSA-N 0 2 302.281 0.606 20 0 DCADLN CCc1nc([C@@H](C)NC(=O)c2ccc(-c3nn[nH]n3)nc2)n[nH]1 ZINC000825739490 608108493 /nfs/dbraw/zinc/10/84/93/608108493.db2.gz FAJYRGPGZYSGCO-SSDOTTSWSA-N 0 2 313.325 0.433 20 0 DCADLN O=S(=O)(Cc1ccccc1)Nc1n[nH]cc1-c1nn[nH]n1 ZINC000826486779 608307330 /nfs/dbraw/zinc/30/73/30/608307330.db2.gz QCDFHEAZAYPVLN-UHFFFAOYSA-N 0 2 305.323 0.532 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000977660335 660412296 /nfs/dbraw/zinc/41/22/96/660412296.db2.gz DYDAPABJQRMDCN-SECBINFHSA-N 0 2 319.369 0.182 20 0 DCADLN CCn1nccc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027846046 660828483 /nfs/dbraw/zinc/82/84/83/660828483.db2.gz XZLHVLUEHYXRDK-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN CCn1nccc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027846046 660828486 /nfs/dbraw/zinc/82/84/86/660828486.db2.gz XZLHVLUEHYXRDK-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN Cc1cnccc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027866794 660858899 /nfs/dbraw/zinc/85/88/99/660858899.db2.gz FHPWQGFFZXYDNG-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cnccc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027866794 660858900 /nfs/dbraw/zinc/85/89/00/660858900.db2.gz FHPWQGFFZXYDNG-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN C[C@@H]1C[C@H](C[NH2+]Cc2cnns2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC000980707889 660988517 /nfs/dbraw/zinc/98/85/17/660988517.db2.gz HSUOMDMYKXKUBL-GHMZBOCLSA-N 0 2 320.422 0.831 20 0 DCADLN C[C@@H]1OCCC[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981053259 661067714 /nfs/dbraw/zinc/06/77/14/661067714.db2.gz YAJVTPCYUPDLOE-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CCc1ncoc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028125481 661220644 /nfs/dbraw/zinc/22/06/44/661220644.db2.gz RTPUXKJVPLRYBX-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028143439 661235600 /nfs/dbraw/zinc/23/56/00/661235600.db2.gz HAPFKCNGKYWKGQ-SCVCMEIPSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028143439 661235601 /nfs/dbraw/zinc/23/56/01/661235601.db2.gz HAPFKCNGKYWKGQ-SCVCMEIPSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028143438 661235772 /nfs/dbraw/zinc/23/57/72/661235772.db2.gz HAPFKCNGKYWKGQ-FOGDFJRCSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028143438 661235773 /nfs/dbraw/zinc/23/57/73/661235773.db2.gz HAPFKCNGKYWKGQ-FOGDFJRCSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)CC1 ZINC000981993091 661268861 /nfs/dbraw/zinc/26/88/61/661268861.db2.gz YZEXXOYBSDHCSY-BFHYXJOUSA-N 0 2 306.410 0.439 20 0 DCADLN CC(C)(C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C(F)F ZINC001032614143 665423775 /nfs/dbraw/zinc/42/37/75/665423775.db2.gz IGBQAGDYNIFPSP-YUMQZZPRSA-N 0 2 315.324 0.587 20 0 DCADLN C[C@@H](NC(=O)c1ccncc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969426828 657858755 /nfs/dbraw/zinc/85/87/55/657858755.db2.gz FIQLIAIYBNFLKF-MRVPVSSYSA-N 0 2 320.328 0.295 20 0 DCADLN C[C@H](NC(=O)c1ccncc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969426832 657860048 /nfs/dbraw/zinc/86/00/48/657860048.db2.gz FIQLIAIYBNFLKF-QMMMGPOBSA-N 0 2 320.328 0.295 20 0 DCADLN Cc1nc([C@@H](C)[N@@H+]2CCC[C@@H](CNC(=O)c3cnn[n-]3)C2)no1 ZINC001023460954 657894078 /nfs/dbraw/zinc/89/40/78/657894078.db2.gz KVYYMEGCVULXRT-KOLCDFICSA-N 0 2 319.369 0.699 20 0 DCADLN Cc1nc([C@@H](C)[N@H+]2CCC[C@@H](CNC(=O)c3cnn[n-]3)C2)no1 ZINC001023460954 657894080 /nfs/dbraw/zinc/89/40/80/657894080.db2.gz KVYYMEGCVULXRT-KOLCDFICSA-N 0 2 319.369 0.699 20 0 DCADLN Cc1nscc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969520964 657945872 /nfs/dbraw/zinc/94/58/72/657945872.db2.gz ARSRYXGMFVKCOA-SSDOTTSWSA-N 0 2 322.394 0.526 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969608276 658028413 /nfs/dbraw/zinc/02/84/13/658028413.db2.gz LKJUIJOYMBLROO-VIFPVBQESA-N 0 2 318.381 0.651 20 0 DCADLN C[C@H](NC(=O)c1ccns1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969772582 658135684 /nfs/dbraw/zinc/13/56/84/658135684.db2.gz JIHWYLDVSATUNQ-ZETCQYMHSA-N 0 2 308.367 0.217 20 0 DCADLN Cc1cnccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038236178 658209122 /nfs/dbraw/zinc/20/91/22/658209122.db2.gz VGRIXLVKAJKNRS-SNVBAGLBSA-N 0 2 302.338 0.218 20 0 DCADLN CC[C@H](CO)Nc1cc(NC[C@@H]2C[N@H+]3CCC[C@H]3CO2)nc[nH+]1 ZINC000892144862 658223288 /nfs/dbraw/zinc/22/32/88/658223288.db2.gz PKLXMNZLSUDUCU-HZSPNIEDSA-N 0 2 321.425 0.935 20 0 DCADLN CCCn1nccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038296262 658277195 /nfs/dbraw/zinc/27/71/95/658277195.db2.gz MDOWWZKERGLQIU-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN Cc1noc([C@H](C)[NH+]2CC([C@H](C)NC(=O)c3cnn[n-]3)C2)n1 ZINC000970034664 658377939 /nfs/dbraw/zinc/37/79/39/658377939.db2.gz ISCVDWRGXRHJOJ-YUMQZZPRSA-N 0 2 305.342 0.307 20 0 DCADLN COc1ccc(C[NH2+][C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC000970097377 658449824 /nfs/dbraw/zinc/44/98/24/658449824.db2.gz CMKBJJSOCGLYHN-CYBMUJFWSA-N 0 2 315.377 0.747 20 0 DCADLN CCCCc1noc(C[NH2+][C@H]2C[C@H](NC(=O)c3nnc[nH]3)C2)n1 ZINC001020782699 658462668 /nfs/dbraw/zinc/46/26/68/658462668.db2.gz WQSIRNLAXWXREP-MGCOHNPYSA-N 0 2 319.369 0.581 20 0 DCADLN CC(C)=CC(=O)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972230503 658464835 /nfs/dbraw/zinc/46/48/35/658464835.db2.gz MGTZEBYLVLKCLY-HNNXBMFYSA-N 0 2 321.381 0.280 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cncs1 ZINC000972841114 658473948 /nfs/dbraw/zinc/47/39/48/658473948.db2.gz GLFBCHBOKKOMQZ-VIFPVBQESA-N 0 2 322.394 0.607 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001024300662 658554437 /nfs/dbraw/zinc/55/44/37/658554437.db2.gz JFILOZMXWFVJED-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001024300662 658554446 /nfs/dbraw/zinc/55/44/46/658554446.db2.gz JFILOZMXWFVJED-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc[nH]1 ZINC001024326693 658572169 /nfs/dbraw/zinc/57/21/69/658572169.db2.gz DODVKECGLWZLEK-SNVBAGLBSA-N 0 2 304.354 0.623 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc[nH]1 ZINC001024326693 658572175 /nfs/dbraw/zinc/57/21/75/658572175.db2.gz DODVKECGLWZLEK-SNVBAGLBSA-N 0 2 304.354 0.623 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cn[nH]c1 ZINC001024344485 658578088 /nfs/dbraw/zinc/57/80/88/658578088.db2.gz BBVXJRPNCUVNKG-SNVBAGLBSA-N 0 2 305.342 0.018 20 0 DCADLN Cc1ncoc1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024377301 658598065 /nfs/dbraw/zinc/59/80/65/658598065.db2.gz PBBLXVZACUDMQN-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN Cc1ncoc1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024377300 658598247 /nfs/dbraw/zinc/59/82/47/658598247.db2.gz PBBLXVZACUDMQN-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cncnc1 ZINC001024405796 658603835 /nfs/dbraw/zinc/60/38/35/658603835.db2.gz CBQYACKNZFVJBW-LLVKDONJSA-N 0 2 317.353 0.085 20 0 DCADLN C[N@H+](Cc1cncs1)[C@H]1CCN(C(=O)CCc2c[nH]nn2)C1 ZINC000972369492 658633118 /nfs/dbraw/zinc/63/31/18/658633118.db2.gz FUZOFVYIYIJUHW-LBPRGKRZSA-N 0 2 320.422 0.927 20 0 DCADLN CCc1noc(CN(C)[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC000972425722 658754797 /nfs/dbraw/zinc/75/47/97/658754797.db2.gz HRPKOLKNZREWQB-GFCCVEGCSA-N 0 2 318.381 0.631 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024654612 658764610 /nfs/dbraw/zinc/76/46/10/658764610.db2.gz PIWDACNGKHSVSF-MDZLAQPJSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000939604290 665611173 /nfs/dbraw/zinc/61/11/73/665611173.db2.gz DXJQMEZEZINCEG-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)[nH]n1 ZINC000939604290 665611175 /nfs/dbraw/zinc/61/11/75/665611175.db2.gz DXJQMEZEZINCEG-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(Cc1cnoc1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240269 658893592 /nfs/dbraw/zinc/89/35/92/658893592.db2.gz PIZYYMJFQBOBJB-JTQLQIEISA-N 0 2 323.246 0.788 20 0 DCADLN O=C(Cc1cnoc1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011240269 658893598 /nfs/dbraw/zinc/89/35/98/658893598.db2.gz PIZYYMJFQBOBJB-JTQLQIEISA-N 0 2 323.246 0.788 20 0 DCADLN CC1(C)C[C@H]1C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973050541 658981840 /nfs/dbraw/zinc/98/18/40/658981840.db2.gz IIAWTBCHQATXEZ-UWVGGRQHSA-N 0 2 307.398 0.883 20 0 DCADLN CC1(C)C[C@H]1C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973050541 658981844 /nfs/dbraw/zinc/98/18/44/658981844.db2.gz IIAWTBCHQATXEZ-UWVGGRQHSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1(F)CCCCC1 ZINC001032717288 665653748 /nfs/dbraw/zinc/65/37/48/665653748.db2.gz ZVHNQJUOVYJIIN-QWRGUYRKSA-N 0 2 323.372 0.968 20 0 DCADLN Cn1ccnc1C[N@H+](C)[C@H]1CCCN(C(=O)[C@@H]2CCC[NH+]2C)C1 ZINC001027333152 659269240 /nfs/dbraw/zinc/26/92/40/659269240.db2.gz VMJLKFJFLQADCG-GJZGRUSLSA-N 0 2 319.453 0.937 20 0 DCADLN Cc1nc(CN(C)[C@H]2CCCN(C(=O)[C@H]3CCC[N@@H+]3C)C2)n[nH]1 ZINC001027334495 659273444 /nfs/dbraw/zinc/27/34/44/659273444.db2.gz OWBJEOZYKVYGKG-UONOGXRCSA-N 0 2 320.441 0.630 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000936337726 659526262 /nfs/dbraw/zinc/52/62/62/659526262.db2.gz XPRAJWCIFIVTDN-SNVBAGLBSA-N 0 2 316.365 0.269 20 0 DCADLN CC1(C)C[N@H+](CC(N)=O)C[C@H]1NC(=O)[C@H]1CCCc2n[nH]cc21 ZINC000974581730 659585271 /nfs/dbraw/zinc/58/52/71/659585271.db2.gz GQQANMOBTLCHRV-GXFFZTMASA-N 0 2 319.409 0.142 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)n1 ZINC000977306350 659637569 /nfs/dbraw/zinc/63/75/69/659637569.db2.gz XSGHVNLWYDDLGI-SECBINFHSA-N 0 2 319.369 0.182 20 0 DCADLN CC1(C)C[N@H+](CC(N)=O)C[C@H]1NC(=O)[C@H](C1CC1)[NH+]1CCCC1 ZINC000974864176 659699526 /nfs/dbraw/zinc/69/95/26/659699526.db2.gz QBEUQSOEYBDFNF-HIFRSBDPSA-N 0 2 322.453 0.173 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)O1 ZINC000975070292 659778018 /nfs/dbraw/zinc/77/80/18/659778018.db2.gz HKJKVCHGJMACLG-GMTAPVOTSA-N 0 2 323.397 0.404 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC000940124761 665706856 /nfs/dbraw/zinc/70/68/56/665706856.db2.gz DROWQEWGQCRIIZ-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cncnc1 ZINC000940124761 665706857 /nfs/dbraw/zinc/70/68/57/665706857.db2.gz DROWQEWGQCRIIZ-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1occ2c1CCC2 ZINC001031878548 665730472 /nfs/dbraw/zinc/73/04/72/665730472.db2.gz IWZFSJJSGXRJQU-UHFFFAOYSA-N 0 2 317.349 0.454 20 0 DCADLN Cc1nc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001028217379 661293495 /nfs/dbraw/zinc/29/34/95/661293495.db2.gz SIHUNFUWGITBJG-SNVBAGLBSA-N 0 2 320.353 0.510 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N1CC2(C1)CCC[N@@H+](CCO)C2 ZINC000982136037 661297677 /nfs/dbraw/zinc/29/76/77/661297677.db2.gz ZEOALGFMAHYWBU-UHFFFAOYSA-N 0 2 306.410 0.269 20 0 DCADLN O=C(Cc1cc2ccccc2[nH]c1=O)NNC(=O)c1ccccn1 ZINC000047047092 661458007 /nfs/dbraw/zinc/45/80/07/661458007.db2.gz ZYRIGWQQUUMHCX-UHFFFAOYSA-N 0 2 322.324 0.927 20 0 DCADLN Cc1nccc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001028327000 661460006 /nfs/dbraw/zinc/46/00/06/661460006.db2.gz ZTGCJYQRUJQIAK-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ncc(F)cc1F ZINC001038410142 661848344 /nfs/dbraw/zinc/84/83/44/661848344.db2.gz KFOAFPLICYULOE-MRVPVSSYSA-N 0 2 324.291 0.188 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2CC[C@@H]3[C@@H]2CCC[N@@H+]3CCO)c1 ZINC000895292401 662162595 /nfs/dbraw/zinc/16/25/95/662162595.db2.gz UQKCWQMMOIDRJX-CABCVRRESA-N 0 2 321.425 0.203 20 0 DCADLN CCOC(=O)c1c[nH+]c2ccccc2c1NCC(=O)[N-]OC ZINC000896195664 662250809 /nfs/dbraw/zinc/25/08/09/662250809.db2.gz NOHXBRMFYQOBKY-UHFFFAOYSA-N 0 2 303.318 0.923 20 0 DCADLN Cn1ccc(C[NH2+]C[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC000984858709 662323814 /nfs/dbraw/zinc/32/38/14/662323814.db2.gz NGYFOQRVMHTZOE-AULYBMBSSA-N 0 2 302.382 0.370 20 0 DCADLN O=C([O-])[C@@H](NC(=O)c1cccc2[nH+]ccn21)[C@@H]1CCCOC1 ZINC000909542069 662335123 /nfs/dbraw/zinc/33/51/23/662335123.db2.gz ZZSBARBIAXSRHL-MFKMUULPSA-N 0 2 303.318 0.944 20 0 DCADLN NC(=O)c1ccc(C(=O)NN2C(=O)c3ccccc3C2=O)s1 ZINC000728158703 662358474 /nfs/dbraw/zinc/35/84/74/662358474.db2.gz DVERURPHUIOYMQ-UHFFFAOYSA-N 0 2 315.310 0.788 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)Nc3nn[nH]n3)cc2[nH]c1=S ZINC000728207788 662367636 /nfs/dbraw/zinc/36/76/36/662367636.db2.gz HCXMYYFBYNRZMF-UHFFFAOYSA-N 0 2 317.334 0.471 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)c[nH+]1 ZINC000909563049 662367708 /nfs/dbraw/zinc/36/77/08/662367708.db2.gz QTVUOGSAOWXPJX-BLLLJJGKSA-N 0 2 321.377 0.876 20 0 DCADLN CC(C)CN1C[C@@H](C(=O)NNC(=O)c2ccccn2)CC1=O ZINC000029748196 662632216 /nfs/dbraw/zinc/63/22/16/662632216.db2.gz MYXNFYKCONANBA-NSHDSACASA-N 0 2 304.350 0.347 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[N@@H+](C2CC2)C[C@@H]1C ZINC000866953260 662662168 /nfs/dbraw/zinc/66/21/68/662662168.db2.gz IWODOIHZODJOAP-JQWIXIFHSA-N 0 2 323.484 0.811 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[N@H+](C2CC2)C[C@@H]1C ZINC000866953260 662662170 /nfs/dbraw/zinc/66/21/70/662662170.db2.gz IWODOIHZODJOAP-JQWIXIFHSA-N 0 2 323.484 0.811 20 0 DCADLN CO[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)C(F)F ZINC000940940717 665889484 /nfs/dbraw/zinc/88/94/84/665889484.db2.gz YZQXOSIFZBLWEL-PBXRRBTRSA-N 0 2 322.205 0.494 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937682191 662783581 /nfs/dbraw/zinc/78/35/81/662783581.db2.gz NFRULXQPGYOOCV-WPRPVWTQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000937682191 662783582 /nfs/dbraw/zinc/78/35/82/662783582.db2.gz NFRULXQPGYOOCV-WPRPVWTQSA-N 0 2 322.262 0.501 20 0 DCADLN C[C@@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)n1cccn1 ZINC000990298574 663029931 /nfs/dbraw/zinc/02/99/31/663029931.db2.gz BLAPHBWLJUMVDU-CBAPKCEASA-N 0 2 322.262 0.672 20 0 DCADLN C[C@@H](C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)n1cccn1 ZINC000990298574 663029932 /nfs/dbraw/zinc/02/99/32/663029932.db2.gz BLAPHBWLJUMVDU-CBAPKCEASA-N 0 2 322.262 0.672 20 0 DCADLN O=C(C[C@H]1CCCO1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990318842 663031390 /nfs/dbraw/zinc/03/13/90/663031390.db2.gz PHXLXBUZVYAOBL-PSASIEDQSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(C[C@H]1CCCO1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990318842 663031391 /nfs/dbraw/zinc/03/13/91/663031391.db2.gz PHXLXBUZVYAOBL-PSASIEDQSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000990371600 663039370 /nfs/dbraw/zinc/03/93/70/663039370.db2.gz KGBXSNSGYIEMAQ-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)[nH]n1 ZINC000990371600 663039371 /nfs/dbraw/zinc/03/93/71/663039371.db2.gz KGBXSNSGYIEMAQ-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1[nH]nc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)c1C ZINC000990551647 663065361 /nfs/dbraw/zinc/06/53/61/663065361.db2.gz AMGGRPMMMOWYQT-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN Cc1[nH]nc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)c1C ZINC000990551647 663065363 /nfs/dbraw/zinc/06/53/63/663065363.db2.gz AMGGRPMMMOWYQT-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1csc(Cc2ccccc2)n1 ZINC000030598713 663079591 /nfs/dbraw/zinc/07/95/91/663079591.db2.gz XUZNSDRCTFGRIZ-UHFFFAOYSA-N 0 2 316.342 0.931 20 0 DCADLN C[NH+](C)Cc1cccc(C(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC000898751898 663106460 /nfs/dbraw/zinc/10/64/60/663106460.db2.gz OWGUASXOCQFZJS-UHFFFAOYSA-N 0 2 302.334 0.879 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCCCO1 ZINC000990857057 663265688 /nfs/dbraw/zinc/26/56/88/663265688.db2.gz PJKMHLGQFVJTOA-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CCCCO1 ZINC000990857057 663265691 /nfs/dbraw/zinc/26/56/91/663265691.db2.gz PJKMHLGQFVJTOA-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C([O-])C1(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCOCC1 ZINC000901702475 663363106 /nfs/dbraw/zinc/36/31/06/663363106.db2.gz CLCYAMQIBMWZIC-LLVKDONJSA-N 0 2 307.350 0.443 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]Cc2ccc3c(c2)OCCO3)nn1 ZINC000901805983 663368863 /nfs/dbraw/zinc/36/88/63/663368863.db2.gz PIZFYNITJZDWKJ-UHFFFAOYSA-N 0 2 304.306 0.424 20 0 DCADLN COc1cccc2c1OCC[C@@H]2[NH2+]Cc1cn(CC(=O)[O-])nn1 ZINC000902204669 663395565 /nfs/dbraw/zinc/39/55/65/663395565.db2.gz VVQDQBXSXFIXHY-LBPRGKRZSA-N 0 2 318.333 0.985 20 0 DCADLN CCC(CC)[C@H](C(=O)NCCOCC(=O)[O-])[NH+]1CCOCC1 ZINC000902294784 663403102 /nfs/dbraw/zinc/40/31/02/663403102.db2.gz ZMOCUOFIAJAXGD-CQSZACIVSA-N 0 2 316.398 0.341 20 0 DCADLN CN(C)CC(N)=NOCC(=O)NCc1cc(F)cc(F)c1 ZINC000902613001 663422177 /nfs/dbraw/zinc/42/21/77/663422177.db2.gz OFFKVYJMZINSKS-UHFFFAOYSA-N 0 2 300.309 0.641 20 0 DCADLN NC(=NOC[C@H]1CNC(=O)C1)[C@H]1CCC(=O)N(CC2CCC2)C1 ZINC000902613428 663422430 /nfs/dbraw/zinc/42/24/30/663422430.db2.gz NSTKCNWABKZSOF-OLZOCXBDSA-N 0 2 322.409 0.660 20 0 DCADLN CN(C)[S@@](C)(=O)=NS(=O)(=O)NC(C)(C)C(C)(F)F ZINC000903643678 663471902 /nfs/dbraw/zinc/47/19/02/663471902.db2.gz YQHOKAOKYRCQSZ-KRWDZBQOSA-N 0 2 307.388 0.829 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cc(F)c[nH]1 ZINC001000963694 665972488 /nfs/dbraw/zinc/97/24/88/665972488.db2.gz MQWNPGYWNPBMTJ-UHFFFAOYSA-N 0 2 320.328 0.540 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cc(F)c[nH]1 ZINC001000963694 665972491 /nfs/dbraw/zinc/97/24/91/665972491.db2.gz MQWNPGYWNPBMTJ-UHFFFAOYSA-N 0 2 320.328 0.540 20 0 DCADLN O=C([O-])c1ccc(N2CCCN(C(=O)[C@H]3CCOC3)CC2)[nH+]c1 ZINC000907815377 663719169 /nfs/dbraw/zinc/71/91/69/663719169.db2.gz ZLBNXZXRHDZJHT-ZDUSSCGKSA-N 0 2 319.361 0.855 20 0 DCADLN O=C([O-])c1ccc(N2CCCN(C(=O)[C@@H]3CCOC3)CC2)[nH+]c1 ZINC000907815376 663719191 /nfs/dbraw/zinc/71/91/91/663719191.db2.gz ZLBNXZXRHDZJHT-CYBMUJFWSA-N 0 2 319.361 0.855 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C2CN(CCF)C2)CC1 ZINC000941416984 665994004 /nfs/dbraw/zinc/99/40/04/665994004.db2.gz QZBKPZIEJWKVGZ-SNVBAGLBSA-N 0 2 315.286 0.685 20 0 DCADLN CC(C)(C)OC(=O)NC(=C(O)Nn1ccnn1)C(F)(F)F ZINC000908831059 663771774 /nfs/dbraw/zinc/77/17/74/663771774.db2.gz WTOJQWBTRILQPK-LURJTMIESA-N 0 2 309.248 0.804 20 0 DCADLN CO[C@@H]1CN(C(=O)NCCCCn2cc[nH+]c2)[C@@](C)(C(=O)[O-])C1 ZINC000908862126 663772566 /nfs/dbraw/zinc/77/25/66/663772566.db2.gz LKTAVZBVMAMPIJ-SWLSCSKDSA-N 0 2 324.381 0.937 20 0 DCADLN C/C=C\C[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913802 663774021 /nfs/dbraw/zinc/77/40/21/663774021.db2.gz IZJIGJQNROYSMI-RXNFCKPNSA-N 0 2 313.398 0.816 20 0 DCADLN C/C=C\C[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913802 663774022 /nfs/dbraw/zinc/77/40/22/663774022.db2.gz IZJIGJQNROYSMI-RXNFCKPNSA-N 0 2 313.398 0.816 20 0 DCADLN C[C@H](C(=O)[O-])N(C(=O)NCC[N@@H+]1CCOCC1(C)C)C1CC1 ZINC000908937535 663775612 /nfs/dbraw/zinc/77/56/12/663775612.db2.gz UGUYBCQBNUZBIT-LLVKDONJSA-N 0 2 313.398 0.744 20 0 DCADLN C[C@H](C(=O)[O-])N(C(=O)NCC[N@H+]1CCOCC1(C)C)C1CC1 ZINC000908937535 663775613 /nfs/dbraw/zinc/77/56/13/663775613.db2.gz UGUYBCQBNUZBIT-LLVKDONJSA-N 0 2 313.398 0.744 20 0 DCADLN CC[C@H](C(=O)N1CC[C@@](F)(C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000909310167 663793841 /nfs/dbraw/zinc/79/38/41/663793841.db2.gz XLTOLGGGJKPPGV-JMSVASOKSA-N 0 2 316.373 0.901 20 0 DCADLN CC[C@H](C(=O)N1CC[C@@](F)(C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000909310167 663793842 /nfs/dbraw/zinc/79/38/42/663793842.db2.gz XLTOLGGGJKPPGV-JMSVASOKSA-N 0 2 316.373 0.901 20 0 DCADLN CC[C@@H](C(=O)N1CC[C@](F)(C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000909310169 663793946 /nfs/dbraw/zinc/79/39/46/663793946.db2.gz XLTOLGGGJKPPGV-TYNCELHUSA-N 0 2 316.373 0.901 20 0 DCADLN CC[C@@H](C(=O)N1CC[C@](F)(C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000909310169 663793947 /nfs/dbraw/zinc/79/39/47/663793947.db2.gz XLTOLGGGJKPPGV-TYNCELHUSA-N 0 2 316.373 0.901 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000909709721 663795871 /nfs/dbraw/zinc/79/58/71/663795871.db2.gz ADWYCYVFHNFSNQ-UEKVPHQBSA-N 0 2 307.350 0.853 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)[C@H](C(=O)[O-])C1 ZINC000909984783 663826711 /nfs/dbraw/zinc/82/67/11/663826711.db2.gz SYHWBVKYRFSMPB-UHTWSYAYSA-N 0 2 307.350 0.536 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000910221690 663855499 /nfs/dbraw/zinc/85/54/99/663855499.db2.gz LFQHWIZSRWLHEJ-HNNXBMFYSA-N 0 2 316.317 0.583 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000910245415 663858910 /nfs/dbraw/zinc/85/89/10/663858910.db2.gz RBULHKKGLOVDBM-OUCADQQQSA-N 0 2 321.377 0.832 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)[C@@](C)(C(=O)[O-])C1 ZINC000910262802 663865979 /nfs/dbraw/zinc/86/59/79/663865979.db2.gz FIRACFBUPRCXAD-IFUGULHKSA-N 0 2 307.350 0.536 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCc2ccn3cc[nH+]c3c2)O[C@H]1C(=O)[O-] ZINC000911405693 664049345 /nfs/dbraw/zinc/04/93/45/664049345.db2.gz IZQWFBWILZNVBC-IRUJWGPZSA-N 0 2 303.318 0.829 20 0 DCADLN Cc1nc(N2CCN(C(=O)C[C@H](C)CC(=O)[O-])CC2)cc[nH+]1 ZINC000911457390 664060449 /nfs/dbraw/zinc/06/04/49/664060449.db2.gz CRYWGYVYLUFKCI-NSHDSACASA-N 0 2 306.366 0.935 20 0 DCADLN CCc1nocc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950342058 664382839 /nfs/dbraw/zinc/38/28/39/664382839.db2.gz GTMIKVNEZQXHHW-UHFFFAOYSA-N 0 2 306.326 0.019 20 0 DCADLN Cc1c(Cl)cncc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030476926 664558863 /nfs/dbraw/zinc/55/88/63/664558863.db2.gz QZNGBYRCXHOGHM-UHFFFAOYSA-N 0 2 322.756 0.481 20 0 DCADLN Cc1cc(F)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1F ZINC001030481281 664561196 /nfs/dbraw/zinc/56/11/96/664561196.db2.gz WRLZZWWWHTVFDT-UHFFFAOYSA-N 0 2 323.303 0.711 20 0 DCADLN COCc1csc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030617889 664606433 /nfs/dbraw/zinc/60/64/33/664606433.db2.gz NNBXHFSTGKOMPI-UHFFFAOYSA-N 0 2 323.378 0.332 20 0 DCADLN C[C@H](NC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1)C(=O)[O-] ZINC000311721273 664675224 /nfs/dbraw/zinc/67/52/24/664675224.db2.gz YQCMXLYFSOFJMG-JSGCOSHPSA-N 0 2 321.377 0.660 20 0 DCADLN C[C@H](NC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1)C(=O)[O-] ZINC000311721273 664675225 /nfs/dbraw/zinc/67/52/25/664675225.db2.gz YQCMXLYFSOFJMG-JSGCOSHPSA-N 0 2 321.377 0.660 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2n[nH]cc2c1 ZINC001030862494 664689761 /nfs/dbraw/zinc/68/97/61/664689761.db2.gz SPNBNNWSSURRAU-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCC(F)(F)C1 ZINC001030938126 664720480 /nfs/dbraw/zinc/72/04/80/664720480.db2.gz CKLHTNLZVDKUDS-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCCc2ncccc21 ZINC000730521260 664784975 /nfs/dbraw/zinc/78/49/75/664784975.db2.gz VWDLEKASZBUHON-UHFFFAOYSA-N 0 2 310.317 0.446 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ccc(F)c(F)c1 ZINC000730525484 664785312 /nfs/dbraw/zinc/78/53/12/664785312.db2.gz CFHOQHCSWWUUOE-UHFFFAOYSA-N 0 2 319.271 0.666 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cnns2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993085372 664805176 /nfs/dbraw/zinc/80/51/76/664805176.db2.gz XSPMPSKCWFBGDL-JGVFFNPUSA-N 0 2 323.382 0.145 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000993223087 664909641 /nfs/dbraw/zinc/90/96/41/664909641.db2.gz WZERPJSRAICHQO-JQWIXIFHSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000993223087 664909642 /nfs/dbraw/zinc/90/96/42/664909642.db2.gz WZERPJSRAICHQO-JQWIXIFHSA-N 0 2 318.381 0.930 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2csnn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993633656 664990137 /nfs/dbraw/zinc/99/01/37/664990137.db2.gz LDGIZSWAAZGOSR-HTQZYQBOSA-N 0 2 323.382 0.145 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C)n1 ZINC000993964680 665020647 /nfs/dbraw/zinc/02/06/47/665020647.db2.gz PYSGUXVFNDNUGE-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN O=c1[nH]nc([C@H]2CCCCN2S(=O)(=O)CC2(F)CC2)[nH]1 ZINC000916226706 665064485 /nfs/dbraw/zinc/06/44/85/665064485.db2.gz YDKJQFTZHMJUHZ-MRVPVSSYSA-N 0 2 304.347 0.869 20 0 DCADLN CCOc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001031335206 665092326 /nfs/dbraw/zinc/09/23/26/665092326.db2.gz WQWIKDPTQZPMHD-UHFFFAOYSA-N 0 2 317.349 0.523 20 0 DCADLN CC1=CC[C@@](C)(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001031423376 665143900 /nfs/dbraw/zinc/14/39/00/665143900.db2.gz JSPPHBVAEOBTDW-OAHLLOKOSA-N 0 2 305.382 0.947 20 0 DCADLN Cn1ccc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC000921307295 665294278 /nfs/dbraw/zinc/29/42/78/665294278.db2.gz IPPKDAVIFYYURT-LLVKDONJSA-N 0 2 304.354 0.938 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC000938501484 665380284 /nfs/dbraw/zinc/38/02/84/665380284.db2.gz HDXPNSYIJIQFJV-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccncn1 ZINC000938501484 665380287 /nfs/dbraw/zinc/38/02/87/665380287.db2.gz HDXPNSYIJIQFJV-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@@H](N(C)C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC000938587049 665393577 /nfs/dbraw/zinc/39/35/77/665393577.db2.gz JQZBCWLERAWYSL-GFCCVEGCSA-N 0 2 316.365 0.357 20 0 DCADLN CCCC(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043373835 666112910 /nfs/dbraw/zinc/11/29/10/666112910.db2.gz FDSZUCQJCKGCRG-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CCCC(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043373835 666112906 /nfs/dbraw/zinc/11/29/06/666112906.db2.gz FDSZUCQJCKGCRG-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN Cc1[nH]nc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c1C ZINC001002492038 666183174 /nfs/dbraw/zinc/18/31/74/666183174.db2.gz IDKYCFSJUKNHQM-UHFFFAOYSA-N 0 2 319.369 0.245 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC1(F)F ZINC001002758972 666215979 /nfs/dbraw/zinc/21/59/79/666215979.db2.gz HTIGZDWLEQDYSC-QMMMGPOBSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(Cc1cncs1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003081221 666270209 /nfs/dbraw/zinc/27/02/09/666270209.db2.gz MWCJPBWSJRQXMA-UHFFFAOYSA-N 0 2 322.394 0.290 20 0 DCADLN Cc1c(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)ccn1C ZINC001003578646 666331043 /nfs/dbraw/zinc/33/10/43/666331043.db2.gz IDKGTHZUUKACLT-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NC2CC[NH+](Cc3ccn(C)n3)CC2)C1 ZINC001003626758 666335382 /nfs/dbraw/zinc/33/53/82/666335382.db2.gz WLOBCJUOOMVUJY-AWEZNQCLSA-N 0 2 319.453 0.843 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC001003801446 666364950 /nfs/dbraw/zinc/36/49/50/666364950.db2.gz QBHZIKIGLDAMCP-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccncn1 ZINC001003801446 666364953 /nfs/dbraw/zinc/36/49/53/666364953.db2.gz QBHZIKIGLDAMCP-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN CN(C(=O)c1ccncc1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032869867 666365708 /nfs/dbraw/zinc/36/57/08/666365708.db2.gz SHCMYYMBPPCRFL-NSHDSACASA-N 0 2 302.338 0.252 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1C[C@@]12CCOC2 ZINC001003926173 666407475 /nfs/dbraw/zinc/40/74/75/666407475.db2.gz HTQUFZUNIYTJNN-IAQYHMDHSA-N 0 2 321.381 0.018 20 0 DCADLN CN(C(=O)CC1CCOCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032965103 666410629 /nfs/dbraw/zinc/41/06/29/666410629.db2.gz NMZQVDPUVISNLK-LBPRGKRZSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)CC1CCOCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032965103 666410630 /nfs/dbraw/zinc/41/06/30/666410630.db2.gz NMZQVDPUVISNLK-LBPRGKRZSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)c1ccncc1F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032978478 666416904 /nfs/dbraw/zinc/41/69/04/666416904.db2.gz VPQGZTITZRPULE-SECBINFHSA-N 0 2 320.328 0.391 20 0 DCADLN CN(C(=O)C1CCC(O)CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033041926 666452671 /nfs/dbraw/zinc/45/26/71/666452671.db2.gz QBVHDKKGPGQHCZ-CXQJBGSLSA-N 0 2 323.397 0.094 20 0 DCADLN CN(C(=O)C1CCC(O)CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033041926 666452672 /nfs/dbraw/zinc/45/26/72/666452672.db2.gz QBVHDKKGPGQHCZ-CXQJBGSLSA-N 0 2 323.397 0.094 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCO[C@@H]1CCOC1 ZINC000922449781 666456127 /nfs/dbraw/zinc/45/61/27/666456127.db2.gz MLXSRVBTEUNMIU-LLVKDONJSA-N 0 2 321.333 0.222 20 0 DCADLN CO[C@H]1CCCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032220659 666527149 /nfs/dbraw/zinc/52/71/49/666527149.db2.gz NBHKPAJEKODVJU-RYUDHWBXSA-N 0 2 323.397 0.264 20 0 DCADLN CN(C(=O)Cc1ccccc1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033200650 666618626 /nfs/dbraw/zinc/61/86/26/666618626.db2.gz UAVXFWAZEDJOAX-CYBMUJFWSA-N 0 2 315.377 0.786 20 0 DCADLN CN(C(=O)Cc1ccccc1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033200650 666618627 /nfs/dbraw/zinc/61/86/27/666618627.db2.gz UAVXFWAZEDJOAX-CYBMUJFWSA-N 0 2 315.377 0.786 20 0 DCADLN CN(C(=O)c1cnns1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005148255 666709381 /nfs/dbraw/zinc/70/93/81/666709381.db2.gz HSBHUYKVPHJFOO-UHFFFAOYSA-N 0 2 323.382 0.098 20 0 DCADLN CN(C(=O)c1ncccc1F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033532972 666745969 /nfs/dbraw/zinc/74/59/69/666745969.db2.gz WRMUMTCAQHBENC-SECBINFHSA-N 0 2 320.328 0.391 20 0 DCADLN CN(C(=O)C[NH+]1CCCC1)[C@H]1CC[N@H+](Cc2cncn2C)C1 ZINC001033657027 666783170 /nfs/dbraw/zinc/78/31/70/666783170.db2.gz HUYDZXVPFYQXNP-AWEZNQCLSA-N 0 2 305.426 0.549 20 0 DCADLN CCN(C(=O)c1cccn1C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033757606 666819769 /nfs/dbraw/zinc/81/97/69/666819769.db2.gz SIPXMXLMGGGLKR-NSHDSACASA-N 0 2 318.381 0.585 20 0 DCADLN CCN(C(=O)c1cccn1C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033757606 666819772 /nfs/dbraw/zinc/81/97/72/666819772.db2.gz SIPXMXLMGGGLKR-NSHDSACASA-N 0 2 318.381 0.585 20 0 DCADLN CCN(C(=O)[C@H]1CC12CC2)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033976134 666882630 /nfs/dbraw/zinc/88/26/30/666882630.db2.gz SFHWMOFQMYMNFG-GHMZBOCLSA-N 0 2 305.382 0.733 20 0 DCADLN CCN(C(=O)[C@H]1CC12CC2)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033976134 666882633 /nfs/dbraw/zinc/88/26/33/666882633.db2.gz SFHWMOFQMYMNFG-GHMZBOCLSA-N 0 2 305.382 0.733 20 0 DCADLN CCN(C(=O)c1cccnn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033996651 666890331 /nfs/dbraw/zinc/89/03/31/666890331.db2.gz QPQIKVAIZUAEDV-SNVBAGLBSA-N 0 2 317.353 0.037 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCOC1 ZINC001034126093 666921893 /nfs/dbraw/zinc/92/18/93/666921893.db2.gz SVTGSWHODYYQQB-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCOC1 ZINC001034126093 666921895 /nfs/dbraw/zinc/92/18/95/666921895.db2.gz SVTGSWHODYYQQB-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCCCCO)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861372210 666922875 /nfs/dbraw/zinc/92/28/75/666922875.db2.gz ZSGSQDYWQQVHHO-UHFFFAOYSA-N 0 2 308.256 0.960 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001034160781 666940549 /nfs/dbraw/zinc/94/05/49/666940549.db2.gz KSVJDNSVIPMKIK-LBPRGKRZSA-N 0 2 318.381 0.633 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001034160781 666940552 /nfs/dbraw/zinc/94/05/52/666940552.db2.gz KSVJDNSVIPMKIK-LBPRGKRZSA-N 0 2 318.381 0.633 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CCCCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001034181543 666949190 /nfs/dbraw/zinc/94/91/90/666949190.db2.gz JJNWMLWFTMLEMR-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN COC1CC(C(=O)N[C@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001034259315 666967258 /nfs/dbraw/zinc/96/72/58/666967258.db2.gz RVPUGABSYAKQQD-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN COC1CC(C(=O)N[C@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001034259315 666967259 /nfs/dbraw/zinc/96/72/59/666967259.db2.gz RVPUGABSYAKQQD-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034334251 666990835 /nfs/dbraw/zinc/99/08/35/666990835.db2.gz GDCXSDQVDWTUSO-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034334251 666990837 /nfs/dbraw/zinc/99/08/37/666990837.db2.gz GDCXSDQVDWTUSO-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC000862632156 667057778 /nfs/dbraw/zinc/05/77/78/667057778.db2.gz HPMRELPCMSUJEM-QMMMGPOBSA-N 0 2 318.292 0.523 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC000862632156 667057783 /nfs/dbraw/zinc/05/77/83/667057783.db2.gz HPMRELPCMSUJEM-QMMMGPOBSA-N 0 2 318.292 0.523 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC000862632155 667057878 /nfs/dbraw/zinc/05/78/78/667057878.db2.gz HPMRELPCMSUJEM-MRVPVSSYSA-N 0 2 318.292 0.523 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC000862632155 667057883 /nfs/dbraw/zinc/05/78/83/667057883.db2.gz HPMRELPCMSUJEM-MRVPVSSYSA-N 0 2 318.292 0.523 20 0 DCADLN COc1cc(NC(=O)CC2SC(=N)NC2=O)cnc1OC ZINC000862722988 667074128 /nfs/dbraw/zinc/07/41/28/667074128.db2.gz OMRMMUDPAFMGBJ-QMMMGPOBSA-N 0 2 310.335 0.594 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)CCCN2C ZINC000863432674 667143418 /nfs/dbraw/zinc/14/34/18/667143418.db2.gz FGZHMZZOBOTNPP-UHFFFAOYSA-N 0 2 307.379 0.998 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1cc(C(=O)OC)n(C)n1)OC ZINC000863449632 667146450 /nfs/dbraw/zinc/14/64/50/667146450.db2.gz QZFPCXCORHRIFJ-QMMMGPOBSA-N 0 2 305.356 0.373 20 0 DCADLN C[C@H](NC(=O)c1ccn[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001005725542 667153451 /nfs/dbraw/zinc/15/34/51/667153451.db2.gz RAZFOELPKSPMME-IMTBSYHQSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)c1ccn[nH]1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001005725542 667153453 /nfs/dbraw/zinc/15/34/53/667153453.db2.gz RAZFOELPKSPMME-IMTBSYHQSA-N 0 2 322.262 0.887 20 0 DCADLN Cc1nnc(C[NH2+]C[C@H]2CCCN2C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001034907862 667180981 /nfs/dbraw/zinc/18/09/81/667180981.db2.gz CEGATAAFUIQMBJ-SNVBAGLBSA-N 0 2 319.369 0.245 20 0 DCADLN CN(C)C(=O)CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001032416812 667217272 /nfs/dbraw/zinc/21/72/72/667217272.db2.gz NANKFAKCRYXHJX-NRPADANISA-N 0 2 311.279 0.260 20 0 DCADLN CN(C)C(=O)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)C(F)(F)F ZINC001032416812 667217274 /nfs/dbraw/zinc/21/72/74/667217274.db2.gz NANKFAKCRYXHJX-NRPADANISA-N 0 2 311.279 0.260 20 0 DCADLN C[S@](=O)CCNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC000866796796 667399806 /nfs/dbraw/zinc/39/98/06/667399806.db2.gz GOOSSNUOUXLACP-KRWDZBQOSA-N 0 2 312.800 0.862 20 0 DCADLN COc1ccc(CC(=O)NCCc2n[nH]c(=S)o2)cc1O ZINC000867483808 667407140 /nfs/dbraw/zinc/40/71/40/667407140.db2.gz KXAHOEJMKGSZGX-UHFFFAOYSA-N 0 2 309.347 0.974 20 0 DCADLN C[C@@H]1C[C@@H]1CC(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005929630 667462947 /nfs/dbraw/zinc/46/29/47/667462947.db2.gz KPOLUHDQWIZZCC-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN CC1(C)[C@H]([NH3+])c2ccccc2N1C(=O)CN1CC[NH2+]CC1=O ZINC000867988937 667523994 /nfs/dbraw/zinc/52/39/94/667523994.db2.gz XRIBUPJJDDWNMN-OAHLLOKOSA-N 0 2 302.378 0.243 20 0 DCADLN COc1ccc(F)cc1CS(=O)(=O)Nc1cn(C)nn1 ZINC000886406243 667762981 /nfs/dbraw/zinc/76/29/81/667762981.db2.gz QUUGCZZUQPDEDD-UHFFFAOYSA-N 0 2 300.315 0.905 20 0 DCADLN CSc1cc(NS(=O)(=O)[C@H](C)C(=O)N(C)C)ncn1 ZINC000886418788 667765360 /nfs/dbraw/zinc/76/53/60/667765360.db2.gz VUNQDZGYANEWBP-SSDOTTSWSA-N 0 2 304.397 0.417 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2CCCc3[nH]c(=O)ccc32)S1 ZINC000742512436 667793261 /nfs/dbraw/zinc/79/32/61/667793261.db2.gz HABMOQHWZHSWCO-UWVGGRQHSA-N 0 2 320.374 0.837 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCO[C@H](C(F)(F)F)C2)S1 ZINC000742693458 667797496 /nfs/dbraw/zinc/79/74/96/667797496.db2.gz BBUVDBYRCIZMKL-WDSKDSINSA-N 0 2 311.285 0.333 20 0 DCADLN CC1(C)[C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)[C@H]2CCCO[C@H]21 ZINC000742707794 667797969 /nfs/dbraw/zinc/79/79/69/667797969.db2.gz POVUIUUFFFRUTP-JZSSXWJLSA-N 0 2 311.407 0.863 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(NC(N)=O)cc2)S1 ZINC000745421055 667847130 /nfs/dbraw/zinc/84/71/30/667847130.db2.gz ILOABUREKHQEAS-QMMMGPOBSA-N 0 2 307.335 0.672 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc3c(c2)OCCO3)S1 ZINC000745413964 667847215 /nfs/dbraw/zinc/84/72/15/667847215.db2.gz UCOIXHDMXXSKTO-LLVKDONJSA-N 0 2 321.358 0.630 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCOCc2ccccc2)S1 ZINC000746862669 667888211 /nfs/dbraw/zinc/88/82/11/667888211.db2.gz AYYCBCDUKDGGSW-NSHDSACASA-N 0 2 307.375 0.876 20 0 DCADLN CNC(=O)NC(=O)[C@H](C)OC(=O)c1cc(F)c(O)c(F)c1 ZINC000748483408 667930496 /nfs/dbraw/zinc/93/04/96/667930496.db2.gz SDAQLUJWWSLATN-YFKPBYRVSA-N 0 2 302.233 0.671 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)Oc1cccnc1F ZINC000751508998 668003577 /nfs/dbraw/zinc/00/35/77/668003577.db2.gz RTCTYCJZQGSJOI-UHFFFAOYSA-N 0 2 315.282 0.807 20 0 DCADLN Cc1ccn2c(c1)nnc2NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000755639127 668063247 /nfs/dbraw/zinc/06/32/47/668063247.db2.gz GYCCFGABLCCKJH-UHFFFAOYSA-N 0 2 324.304 0.061 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@H]1CCCO1 ZINC000756103035 668078525 /nfs/dbraw/zinc/07/85/25/668078525.db2.gz FNDBBNDHVONLSJ-GHMZBOCLSA-N 0 2 305.338 0.145 20 0 DCADLN C[C@H]1N(C(=O)C[C@@H]2SC(=N)NC2=O)CCC12CCOCC2 ZINC000756831163 668102322 /nfs/dbraw/zinc/10/23/22/668102322.db2.gz LOVMJGIWBWBLMI-ZJUUUORDSA-N 0 2 311.407 0.960 20 0 DCADLN CC1=C(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C(=O)OC1(C)C ZINC000839758208 668141047 /nfs/dbraw/zinc/14/10/47/668141047.db2.gz JWJARODJFICVPR-VIFPVBQESA-N 0 2 320.349 0.868 20 0 DCADLN CCc1nnc(COC(=O)C(C)(C)NC(=O)C(F)(F)F)n1C ZINC000759127477 668207616 /nfs/dbraw/zinc/20/76/16/668207616.db2.gz CPKPWWUZOKILEA-UHFFFAOYSA-N 0 2 322.287 0.878 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000759466422 668220875 /nfs/dbraw/zinc/22/08/75/668220875.db2.gz YFNBOLGHMRXVJO-MNOVXSKESA-N 0 2 321.406 0.948 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](CCO)c2cccs2)S1 ZINC000761940489 668341378 /nfs/dbraw/zinc/34/13/78/668341378.db2.gz AIBIUWKGBXEYMW-IONNQARKSA-N 0 2 313.404 0.844 20 0 DCADLN Cc1ccccc1[C@@H](O)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762443807 668361120 /nfs/dbraw/zinc/36/11/20/668361120.db2.gz NZUVEBBFZHQHJI-QWRGUYRKSA-N 0 2 307.375 0.701 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](CCO)c2ccccc2)S1 ZINC000762647516 668370378 /nfs/dbraw/zinc/37/03/78/668370378.db2.gz CAOGRJVPPDBLPR-VXGBXAGGSA-N 0 2 321.402 0.825 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CN(C(=O)OC[C@@H]2CCCO2)C[C@H]1C(=O)[O-] ZINC000926487190 668409292 /nfs/dbraw/zinc/40/92/92/668409292.db2.gz SZBMAXAINGDISR-QJPTWQEYSA-N 0 2 323.349 0.836 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCCC2(CC2)C1 ZINC000888702715 668422203 /nfs/dbraw/zinc/42/22/03/668422203.db2.gz PBDZCXMBHOQMFZ-SNVBAGLBSA-N 0 2 301.350 0.910 20 0 DCADLN CC(C)COC(=O)[C@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000765242471 668482809 /nfs/dbraw/zinc/48/28/09/668482809.db2.gz PQBXHYAEHHWGRL-YUMQZZPRSA-N 0 2 301.368 0.247 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2CCc3cc(O)ccc3C2)S1 ZINC000768830451 668624074 /nfs/dbraw/zinc/62/40/74/668624074.db2.gz BXCNTAMJERFGST-JQWIXIFHSA-N 0 2 319.386 0.922 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn3ccccc23)C1=O ZINC000769855755 668666998 /nfs/dbraw/zinc/66/69/98/668666998.db2.gz SAPIRKPAGMKAKT-AWEZNQCLSA-N 0 2 301.306 0.700 20 0 DCADLN CCC(=O)N1CCCC[C@@H]1C(=O)NCCc1n[nH]c(=S)o1 ZINC000773088792 668792893 /nfs/dbraw/zinc/79/28/93/668792893.db2.gz STEAJTMTDFMUMW-SECBINFHSA-N 0 2 312.395 0.808 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1cnoc1C1CC1 ZINC000773516814 668805542 /nfs/dbraw/zinc/80/55/42/668805542.db2.gz NFSKILJLMXXEDP-UHFFFAOYSA-N 0 2 315.289 0.680 20 0 DCADLN CC(=O)N[C@H](CC(=O)OCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000774872523 668849554 /nfs/dbraw/zinc/84/95/54/668849554.db2.gz BQTCAIZQWNVKPQ-LLVKDONJSA-N 0 2 304.306 0.821 20 0 DCADLN COc1cc(C=CC(=O)OCc2n[nH]c(=O)[nH]2)cc(OC)c1O ZINC000774959005 668852749 /nfs/dbraw/zinc/85/27/49/668852749.db2.gz JFKYTRHWEZFLAJ-ARJAWSKDSA-N 0 2 321.289 0.990 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cn(Cc2cccc(F)c2)nn1 ZINC000774969918 668852780 /nfs/dbraw/zinc/85/27/80/668852780.db2.gz ZRGBCCGNERSSAI-UHFFFAOYSA-N 0 2 318.268 0.646 20 0 DCADLN CC(C)[C@@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780511084 668991210 /nfs/dbraw/zinc/99/12/10/668991210.db2.gz LQWNTDQBFZZILC-LLVKDONJSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)[C@@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780511084 668991214 /nfs/dbraw/zinc/99/12/14/668991214.db2.gz LQWNTDQBFZZILC-LLVKDONJSA-N 0 2 301.387 0.506 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(CC[S@](=O)C(F)(F)F)C2)[nH]1 ZINC000930499064 669029866 /nfs/dbraw/zinc/02/98/66/669029866.db2.gz UIEMDLKCMQXKRZ-JKNYTWMOSA-N 0 2 312.317 0.958 20 0 DCADLN COCc1noc(CN2CC[C@](C)(NC(=O)C(F)(F)F)C2)n1 ZINC000879763119 669104250 /nfs/dbraw/zinc/10/42/50/669104250.db2.gz ICJOHAPGFOUHEJ-NSHDSACASA-N 0 2 322.287 0.859 20 0 DCADLN CS[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)(C)C ZINC000784151942 669191920 /nfs/dbraw/zinc/19/19/20/669191920.db2.gz DZXQKKRPALLASP-SNVBAGLBSA-N 0 2 323.422 0.965 20 0 DCADLN CCOC(=O)CCCn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881193600 669304080 /nfs/dbraw/zinc/30/40/80/669304080.db2.gz CYBKAECARPYJSV-UHFFFAOYSA-N 0 2 308.260 0.800 20 0 DCADLN Cc1cnn(CCn2cc(CNC(=O)C(F)(F)F)nn2)c1 ZINC000881328499 669323677 /nfs/dbraw/zinc/32/36/77/669323677.db2.gz JRMNMQQIXIZXBS-UHFFFAOYSA-N 0 2 302.260 0.662 20 0 DCADLN CC(C)COC[C@@H](O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881400347 669335858 /nfs/dbraw/zinc/33/58/58/669335858.db2.gz JZLOTMYZDHTSJG-JTQLQIEISA-N 0 2 324.303 0.490 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000789523326 669499930 /nfs/dbraw/zinc/49/99/30/669499930.db2.gz XWAFLUBJTKTHFJ-GFCCVEGCSA-N 0 2 301.350 0.743 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)O[C@@H]1CCc2cccnc21 ZINC000789674676 669504340 /nfs/dbraw/zinc/50/43/40/669504340.db2.gz PIJNCJYHJMTRBH-LLVKDONJSA-N 0 2 311.301 0.697 20 0 DCADLN C[C@@H]1C[C@H](C)[C@H](C)N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000789687477 669505336 /nfs/dbraw/zinc/50/53/36/669505336.db2.gz BFNDDOAEOPIGQT-VWYCJHECSA-N 0 2 303.366 0.964 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000789964838 669524461 /nfs/dbraw/zinc/52/44/61/669524461.db2.gz LFQUBQIWYNNRPC-SMPFOWEGSA-N 0 2 314.329 0.327 20 0 DCADLN Cc1cccc(O)c1NS(=O)(=O)N=[S@](C)(=O)N(C)C ZINC000882708928 669571098 /nfs/dbraw/zinc/57/10/98/669571098.db2.gz NRPCIESKHLRBIE-SFHVURJKSA-N 0 2 307.397 0.932 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(F)nc1F ZINC000791735953 669626362 /nfs/dbraw/zinc/62/63/62/669626362.db2.gz PRFPMJBWHCYLFF-UHFFFAOYSA-N 0 2 306.232 0.383 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCO[C@@H]1CCCCO1 ZINC000791870515 669636178 /nfs/dbraw/zinc/63/61/78/669636178.db2.gz NXOKWALABCTZSN-GFCCVEGCSA-N 0 2 322.321 0.158 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)NCC(F)(F)F ZINC000883413721 669681287 /nfs/dbraw/zinc/68/12/87/669681287.db2.gz YTELFJBSVBMEEK-ZETCQYMHSA-N 0 2 314.260 0.003 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)NCC(F)(F)F ZINC000883413721 669681292 /nfs/dbraw/zinc/68/12/92/669681292.db2.gz YTELFJBSVBMEEK-ZETCQYMHSA-N 0 2 314.260 0.003 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)N1CCCCC1 ZINC000883413170 669681601 /nfs/dbraw/zinc/68/16/01/669681601.db2.gz CWLTZIPZQBVMCI-NSHDSACASA-N 0 2 300.355 0.337 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)N1CCCCC1 ZINC000883413170 669681604 /nfs/dbraw/zinc/68/16/04/669681604.db2.gz CWLTZIPZQBVMCI-NSHDSACASA-N 0 2 300.355 0.337 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)N1CCCCC1=O ZINC000793925904 669756173 /nfs/dbraw/zinc/75/61/73/669756173.db2.gz URKDNVLYHLOYJZ-BDAKNGLRSA-N 0 2 312.395 0.060 20 0 DCADLN O=C([C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000935748802 669766985 /nfs/dbraw/zinc/76/69/85/669766985.db2.gz GFNMDBBAEIVULI-LVEVGFFFSA-N 0 2 304.350 0.640 20 0 DCADLN Cc1cccc2c1OC[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C2 ZINC000794170017 669803293 /nfs/dbraw/zinc/80/32/93/669803293.db2.gz PTWKMRGQMQSNCM-QWRGUYRKSA-N 0 2 319.386 0.971 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@@H]1CC[C@@H](C)O1 ZINC000158988066 669932300 /nfs/dbraw/zinc/93/23/00/669932300.db2.gz TXRGDKDQGNNEED-KOLCDFICSA-N 0 2 305.334 0.984 20 0 DCADLN Cc1nonc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000950837427 670137347 /nfs/dbraw/zinc/13/73/47/670137347.db2.gz XVNCEVOUUAWDBL-SVRRBLITSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000950837427 670137349 /nfs/dbraw/zinc/13/73/49/670137349.db2.gz XVNCEVOUUAWDBL-SVRRBLITSA-N 0 2 324.234 0.609 20 0 DCADLN COC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1N ZINC000801979401 670147940 /nfs/dbraw/zinc/14/79/40/670147940.db2.gz OAQSYLJLFNGWPP-MRVPVSSYSA-N 0 2 322.346 0.550 20 0 DCADLN CCN(C(=O)c1cc(F)c[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950905999 670150982 /nfs/dbraw/zinc/15/09/82/670150982.db2.gz IJJNMXMKVWXDIZ-UHFFFAOYSA-N 0 2 308.317 0.324 20 0 DCADLN CC(C)[C@H]1OCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948698584 670160538 /nfs/dbraw/zinc/16/05/38/670160538.db2.gz LLIVRRBAYSRTDT-DGCLKSJQSA-N 0 2 323.397 0.216 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc2ccccn2n1 ZINC000804376162 670292307 /nfs/dbraw/zinc/29/23/07/670292307.db2.gz FVQRQQCGEIERDX-UHFFFAOYSA-N 0 2 309.289 0.358 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2occ3c2CCOC3)C1=O ZINC000806309170 670307203 /nfs/dbraw/zinc/30/72/03/670307203.db2.gz OVDVOPQTHFZBFU-AWEZNQCLSA-N 0 2 307.306 0.718 20 0 DCADLN O=C(CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)NCc1ccccn1 ZINC000808776704 670425348 /nfs/dbraw/zinc/42/53/48/670425348.db2.gz AYSHHRPLABMBPP-LLVKDONJSA-N 0 2 316.365 0.401 20 0 DCADLN Cn1cc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)cn1 ZINC000810357335 670480990 /nfs/dbraw/zinc/48/09/90/670480990.db2.gz RQAYDYIVJQNYHD-SNVBAGLBSA-N 0 2 308.279 0.965 20 0 DCADLN Cn1cc(CN2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)cn1 ZINC000810357335 670480992 /nfs/dbraw/zinc/48/09/92/670480992.db2.gz RQAYDYIVJQNYHD-SNVBAGLBSA-N 0 2 308.279 0.965 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@]1(F)CCOC1 ZINC000810929354 670501172 /nfs/dbraw/zinc/50/11/72/670501172.db2.gz MHYTXCPDVRMMTM-AWEZNQCLSA-N 0 2 309.297 0.545 20 0 DCADLN CC(C)(C)OC(=O)N[C@H]1C[C@H](C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000853948989 670507827 /nfs/dbraw/zinc/50/78/27/670507827.db2.gz IHKYQCVTSDMWSP-ZKCHVHJHSA-N 0 2 312.326 0.857 20 0 DCADLN O=CN1CCCN(C(=O)C2=NN(c3ccccc3)CC2=O)CC1 ZINC000847368375 670542877 /nfs/dbraw/zinc/54/28/77/670542877.db2.gz BCTIHMWXGIVFSK-UHFFFAOYSA-N 0 2 314.345 0.882 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@H](c3ccncc3)C2)S1 ZINC000848102118 670634812 /nfs/dbraw/zinc/63/48/12/670634812.db2.gz VEGSWSTZHPLNOS-WDEREUQCSA-N 0 2 304.375 0.954 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CCCN(c2ccccc2)C1=O ZINC000814609283 670699407 /nfs/dbraw/zinc/69/94/07/670699407.db2.gz ZXZVWAOSQMDXSP-NSHDSACASA-N 0 2 316.317 0.997 20 0 DCADLN Cn1cc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(Cl)n1 ZINC000816154843 670790286 /nfs/dbraw/zinc/79/02/86/670790286.db2.gz HKSMVYGVDHLCJS-UHFFFAOYSA-N 0 2 322.712 0.202 20 0 DCADLN C[C@@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@](C)(C(F)F)O1 ZINC000856486639 670824550 /nfs/dbraw/zinc/82/45/50/670824550.db2.gz KAPCQGOUEZRUHQ-KEHGIVTQSA-N 0 2 321.349 0.814 20 0 DCADLN C[C@@H]1CN(C(=O)C[C@H]2SC(=N)NC2=O)C[C@@](C)(C(F)F)O1 ZINC000856486642 670825054 /nfs/dbraw/zinc/82/50/54/670825054.db2.gz KAPCQGOUEZRUHQ-QHMRUWFYSA-N 0 2 321.349 0.814 20 0 DCADLN O=C(Nn1c(=O)[n-][nH]c1=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000816731081 670849765 /nfs/dbraw/zinc/84/97/65/670849765.db2.gz AHSDBJDAZURHQA-UHFFFAOYSA-N 0 2 300.278 0.318 20 0 DCADLN CCn1c(NC(=O)CC2SC(=N)NC2=O)nc2cccnc21 ZINC000817186294 670905236 /nfs/dbraw/zinc/90/52/36/670905236.db2.gz XYZRWUDJFWXGMP-QMMMGPOBSA-N 0 2 318.362 0.946 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cncc(N2CCCC2)n1 ZINC000867483940 670945322 /nfs/dbraw/zinc/94/53/22/670945322.db2.gz NPRAUSRZFVELBA-UHFFFAOYSA-N 0 2 320.378 0.721 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC3(CCOCC3)[C@H]2C2CC2)S1 ZINC000818790762 671060052 /nfs/dbraw/zinc/06/00/52/671060052.db2.gz ZFDFTJHVMGDEMJ-ZYHUDNBSSA-N 0 2 323.418 0.960 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@H](c2nnc[nH]2)C1 ZINC000819723717 671135610 /nfs/dbraw/zinc/13/56/10/671135610.db2.gz FWAAUBIEIHGPGH-ZETCQYMHSA-N 0 2 319.287 0.578 20 0 DCADLN COCCOc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)ccc1N ZINC000860092203 671177372 /nfs/dbraw/zinc/17/73/72/671177372.db2.gz YNIWLJBVXIHMKA-UHFFFAOYSA-N 0 2 308.294 0.475 20 0 DCADLN CCn1ncn(NC(=O)c2cnn3c2ccc(C)c3C)c1=O ZINC000820399623 671198999 /nfs/dbraw/zinc/19/89/99/671198999.db2.gz LGDJVZTZDCUYES-UHFFFAOYSA-N 0 2 300.322 0.713 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cncc(N2CCCC2=O)c1 ZINC000860343933 671199712 /nfs/dbraw/zinc/19/97/12/671199712.db2.gz GMCVZVVZWBEZJG-UHFFFAOYSA-N 0 2 303.278 0.389 20 0 DCADLN O=C(N[C@@H]1CCOC1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860373753 671202082 /nfs/dbraw/zinc/20/20/82/671202082.db2.gz WEXHVXPYNNEGFI-SSDOTTSWSA-N 0 2 306.240 0.977 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@H+](Cc2ccc3c(c2)nnn3C)CCO1 ZINC000825359137 671457872 /nfs/dbraw/zinc/45/78/72/671457872.db2.gz JTSQWZDQSGCEHT-IINYFYTJSA-N 0 2 319.365 0.825 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@@H+](Cc2ccc3c(c2)nnn3C)CCO1 ZINC000825359137 671457873 /nfs/dbraw/zinc/45/78/73/671457873.db2.gz JTSQWZDQSGCEHT-IINYFYTJSA-N 0 2 319.365 0.825 20 0 DCADLN CCOC(=O)C(F)(F)[C@H](NS(=O)(=O)CCOC)C1CC1 ZINC000829915920 671595517 /nfs/dbraw/zinc/59/55/17/671595517.db2.gz PPWNBOZEJBGVAU-SECBINFHSA-N 0 2 315.338 0.529 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1CCC(CO)(CO)CC1 ZINC000832545738 671724604 /nfs/dbraw/zinc/72/46/04/671724604.db2.gz ZEMFDYBPHLXKCP-UHFFFAOYSA-N 0 2 301.289 0.877 20 0 DCADLN C[C@@H](CS(=O)(=O)c1nc2ccccc2[nH]1)S(C)(=O)=O ZINC000834398612 671758456 /nfs/dbraw/zinc/75/84/56/671758456.db2.gz NNQLTFKCWFTRGQ-QMMMGPOBSA-N 0 2 302.377 0.770 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCOCC1CCCC1 ZINC000835980747 671811985 /nfs/dbraw/zinc/81/19/85/671811985.db2.gz BBXFVGBLUBETAP-UHFFFAOYSA-N 0 2 320.349 0.821 20 0 DCADLN CC(C)(CNC(=O)C[C@@H]1SC(=N)NC1=O)C1(O)CCCC1 ZINC000837110540 671845562 /nfs/dbraw/zinc/84/55/62/671845562.db2.gz YAQGXMLVMVXHJS-VIFPVBQESA-N 0 2 313.423 0.990 20 0 DCADLN Cn1ncc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1Cl ZINC000843878572 672126979 /nfs/dbraw/zinc/12/69/79/672126979.db2.gz OAAYZGIVRRWVMA-SSDOTTSWSA-N 0 2 310.745 0.917 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@@H](Nc3ncccn3)C2)S1 ZINC000843941673 672130265 /nfs/dbraw/zinc/13/02/65/672130265.db2.gz IWBYPYWZWSBQNP-RKDXNWHRSA-N 0 2 320.378 0.046 20 0 DCADLN COC(=O)[C@H](CC(C)(C)C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000844008360 672136648 /nfs/dbraw/zinc/13/66/48/672136648.db2.gz XGPQZBRLIXFLCR-JGVFFNPUSA-N 0 2 315.395 0.637 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](C2CC2)C2CCOCC2)S1 ZINC000846421968 672312297 /nfs/dbraw/zinc/31/22/97/672312297.db2.gz VUEWBZHPUKDUJQ-ZYHUDNBSSA-N 0 2 311.407 0.864 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1ccc(F)cc1 ZINC000846495535 672319006 /nfs/dbraw/zinc/31/90/06/672319006.db2.gz SHTWXPMSIYJSGW-CQSZACIVSA-N 0 2 323.368 0.974 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1ccc(F)cc1 ZINC000846495535 672319009 /nfs/dbraw/zinc/31/90/09/672319009.db2.gz SHTWXPMSIYJSGW-CQSZACIVSA-N 0 2 323.368 0.974 20 0 DCADLN O=C([O-])CNC(=O)c1ccc(NC(=O)Cn2cc[nH+]c2)cc1 ZINC000390457882 685260445 /nfs/dbraw/zinc/26/04/45/685260445.db2.gz FFYOWCSYQWVJFD-UHFFFAOYSA-N 0 2 302.290 0.336 20 0 DCADLN CCN(Cc1ccccn1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000752472402 685335322 /nfs/dbraw/zinc/33/53/22/685335322.db2.gz QTLNVLDILKGXEA-UHFFFAOYSA-N 0 2 312.333 0.515 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1)C1CC1 ZINC001037993069 693981051 /nfs/dbraw/zinc/98/10/51/693981051.db2.gz IRDQTRPYIDQIHD-GFCCVEGCSA-N 0 2 301.350 0.123 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955045938 686238774 /nfs/dbraw/zinc/23/87/74/686238774.db2.gz QRSLYVQTFGFQKZ-JLIMGVALSA-N 0 2 324.274 0.448 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000955045938 686238779 /nfs/dbraw/zinc/23/87/79/686238779.db2.gz QRSLYVQTFGFQKZ-JLIMGVALSA-N 0 2 324.274 0.448 20 0 DCADLN CN(C(=O)c1ccncn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955086702 686259926 /nfs/dbraw/zinc/25/99/26/686259926.db2.gz LQTBIYBQGKYZRW-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1ccncn1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000955086702 686259929 /nfs/dbraw/zinc/25/99/29/686259929.db2.gz LQTBIYBQGKYZRW-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1C=CC=CC=C1 ZINC001038304667 694039181 /nfs/dbraw/zinc/03/91/81/694039181.db2.gz MIPWBYUPOGDRGI-GFCCVEGCSA-N 0 2 301.350 0.499 20 0 DCADLN O=C([C@H]1C[C@H]1C1CCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956970799 686924418 /nfs/dbraw/zinc/92/44/18/686924418.db2.gz NCYMWRXRLHNHEI-STQMWFEESA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000957730340 687172855 /nfs/dbraw/zinc/17/28/55/687172855.db2.gz DUJQQBYNHDSGIO-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958514261 687720235 /nfs/dbraw/zinc/72/02/35/687720235.db2.gz DGLXUMDYODPQOC-IAZYJMLFSA-N 0 2 302.338 0.007 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958514261 687720239 /nfs/dbraw/zinc/72/02/39/687720239.db2.gz DGLXUMDYODPQOC-IAZYJMLFSA-N 0 2 302.338 0.007 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccoc1C1CC1 ZINC001038636452 694141899 /nfs/dbraw/zinc/14/18/99/694141899.db2.gz PGDCIPHEXKXKNE-JTQLQIEISA-N 0 2 317.349 0.985 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@@H]2C)no1 ZINC000964869056 689316362 /nfs/dbraw/zinc/31/63/62/689316362.db2.gz CKCGJHBGACHDSD-KWQFWETISA-N 0 2 320.353 0.447 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000968176986 690191012 /nfs/dbraw/zinc/19/10/12/690191012.db2.gz PIXGSUDFWJXXCF-LDYMZIIASA-N 0 2 320.353 0.447 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1n[nH]cc1F ZINC000968258479 690213969 /nfs/dbraw/zinc/21/39/69/690213969.db2.gz ZKLDMERCJCIECH-IONNQARKSA-N 0 2 323.332 0.013 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C[C@@H]1CCOC1 ZINC000972980396 690500097 /nfs/dbraw/zinc/50/00/97/690500097.db2.gz OYPWLXOUIOOKBS-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C[C@@H]1CCOC1 ZINC000972980396 690500100 /nfs/dbraw/zinc/50/01/00/690500100.db2.gz OYPWLXOUIOOKBS-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cncc(F)c1 ZINC001007082518 690626269 /nfs/dbraw/zinc/62/62/69/690626269.db2.gz BMPCDHJJSOAUHG-NSHDSACASA-N 0 2 320.328 0.439 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1(F)CCCC1 ZINC001007719307 690733539 /nfs/dbraw/zinc/73/35/39/690733539.db2.gz NOXBGMVSWVHZKW-JTQLQIEISA-N 0 2 311.361 0.873 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008008993 690786281 /nfs/dbraw/zinc/78/62/81/690786281.db2.gz KILSMWHADDFYFL-TUAOUCFPSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008394909 690856970 /nfs/dbraw/zinc/85/69/70/690856970.db2.gz PXXWIKKFZIYYCW-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)[nH]1 ZINC001008448277 690868577 /nfs/dbraw/zinc/86/85/77/690868577.db2.gz LIINQLWCTVXWJB-MRVPVSSYSA-N 0 2 324.772 0.886 20 0 DCADLN Cn1cc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)cn1 ZINC001010274364 691205404 /nfs/dbraw/zinc/20/54/04/691205404.db2.gz ZFQLHXNBGWDDMV-VIFPVBQESA-N 0 2 322.262 0.605 20 0 DCADLN Cn1cc(C(=O)N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)cn1 ZINC001010274364 691205406 /nfs/dbraw/zinc/20/54/06/691205406.db2.gz ZFQLHXNBGWDDMV-VIFPVBQESA-N 0 2 322.262 0.605 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@H]2CCOC2)CC1 ZINC001010615403 691326707 /nfs/dbraw/zinc/32/67/07/691326707.db2.gz VEHBJGLDJKDSDQ-IUCAKERBSA-N 0 2 312.263 0.594 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCN(C(=O)[C@H]2CCOC2)CC1 ZINC001010615403 691326711 /nfs/dbraw/zinc/32/67/11/691326711.db2.gz VEHBJGLDJKDSDQ-IUCAKERBSA-N 0 2 312.263 0.594 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)oc1C ZINC001010619158 691327514 /nfs/dbraw/zinc/32/75/14/691327514.db2.gz VOKZHIKQWSJFPK-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN O=C(C1=CCOCC1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011038806 691446295 /nfs/dbraw/zinc/44/62/95/691446295.db2.gz OFICBSNPQFXJJL-JTQLQIEISA-N 0 2 324.274 0.904 20 0 DCADLN O=C(C1=CCOCC1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011038806 691446298 /nfs/dbraw/zinc/44/62/98/691446298.db2.gz OFICBSNPQFXJJL-JTQLQIEISA-N 0 2 324.274 0.904 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240514 691491916 /nfs/dbraw/zinc/49/19/16/691491916.db2.gz WAXNYTHKKHOXAX-JTQLQIEISA-N 0 2 322.262 0.523 20 0 DCADLN O=C(c1cnco1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240071 691492024 /nfs/dbraw/zinc/49/20/24/691492024.db2.gz NLSLISMUWFXIFC-QMMMGPOBSA-N 0 2 309.219 0.859 20 0 DCADLN O=C(c1cnco1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011240071 691492027 /nfs/dbraw/zinc/49/20/27/691492027.db2.gz NLSLISMUWFXIFC-QMMMGPOBSA-N 0 2 309.219 0.859 20 0 DCADLN CCCC(=O)N1CC[C@@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040069518 694475874 /nfs/dbraw/zinc/47/58/74/694475874.db2.gz LQKHOEUJEJWWRJ-GXSJLCMTSA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)N1CC[C@@](O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001040069518 694475875 /nfs/dbraw/zinc/47/58/75/694475875.db2.gz LQKHOEUJEJWWRJ-GXSJLCMTSA-N 0 2 314.279 0.767 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001014957916 692142564 /nfs/dbraw/zinc/14/25/64/692142564.db2.gz SEFYXWCMYOXDHP-SNVBAGLBSA-N 0 2 304.354 0.460 20 0 DCADLN CCc1nnc([C@H](C)N2CC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001015066512 692178845 /nfs/dbraw/zinc/17/88/45/692178845.db2.gz JLNODNRFRACEQE-IUCAKERBSA-N 0 2 304.358 0.051 20 0 DCADLN CC(C)(C)[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015239230 692236141 /nfs/dbraw/zinc/23/61/41/692236141.db2.gz PUJUZFBNHHBZKK-HBNTYKKESA-N 0 2 307.398 0.883 20 0 DCADLN CC1(C)CO[C@@H](CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001015240956 692236814 /nfs/dbraw/zinc/23/68/14/692236814.db2.gz IYWRBWMBOMEWNP-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015347140 692269606 /nfs/dbraw/zinc/26/96/06/692269606.db2.gz AFBNLQHGCTZYJM-AXFHLTTASA-N 0 2 309.370 0.016 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H](F)c1ccccc1 ZINC001015768790 692435315 /nfs/dbraw/zinc/43/53/15/692435315.db2.gz HFUDENDRHSTEJX-AAEUAGOBSA-N 0 2 319.340 0.912 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncoc1C1CC1 ZINC001015945931 692517374 /nfs/dbraw/zinc/51/73/74/692517374.db2.gz PAVWAWGIAJHBNL-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN O=C(Cc1cccc(F)c1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016188520 692594175 /nfs/dbraw/zinc/59/41/75/692594175.db2.gz RTWBOZCFYJDSDF-GFCCVEGCSA-N 0 2 319.340 0.583 20 0 DCADLN COCC(=O)N1CC2(C1)CCN(C(=O)C(F)C(F)(F)F)C2 ZINC001018690695 693195509 /nfs/dbraw/zinc/19/55/09/693195509.db2.gz IIORRLFTENODSL-VIFPVBQESA-N 0 2 312.263 0.594 20 0 DCADLN COCC(=O)N1CC2(C1)CCN(C(=O)[C@H](F)C(F)(F)F)C2 ZINC001018690695 693195514 /nfs/dbraw/zinc/19/55/14/693195514.db2.gz IIORRLFTENODSL-VIFPVBQESA-N 0 2 312.263 0.594 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC[C@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001018878153 693272868 /nfs/dbraw/zinc/27/28/68/693272868.db2.gz JWQXJHCJCZJZCQ-FSPLSTOPSA-N 0 2 311.239 0.101 20 0 DCADLN C[C@@H](CCNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001076015496 694993906 /nfs/dbraw/zinc/99/39/06/694993906.db2.gz OQDKVFUDMMWWKW-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CCNC(=O)Cc1cc[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001076015496 694993907 /nfs/dbraw/zinc/99/39/07/694993907.db2.gz OQDKVFUDMMWWKW-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN CC(=O)N[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@H]1O ZINC001076655052 695035101 /nfs/dbraw/zinc/03/51/01/695035101.db2.gz CDQYNSNUHIPFLG-NXEZZACHSA-N 0 2 308.363 0.078 20 0 DCADLN NC(=O)C(=O)NCCCCCCNC(=O)C(F)C(F)(F)F ZINC001687131184 1157364392 /nfs/dbraw/zinc/36/43/92/1157364392.db2.gz GYAQMGMLUIMJMC-ZETCQYMHSA-N 0 2 315.267 0.165 20 0 DCADLN NC(=O)C(=O)NCCCCCCNC(=O)[C@H](F)C(F)(F)F ZINC001687131184 1157364401 /nfs/dbraw/zinc/36/44/01/1157364401.db2.gz GYAQMGMLUIMJMC-ZETCQYMHSA-N 0 2 315.267 0.165 20 0 DCADLN O=C(NCCCn1cncn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001687197464 1157503823 /nfs/dbraw/zinc/50/38/23/1157503823.db2.gz LPOQIOIORMVYFO-JTQLQIEISA-N 0 2 320.357 0.081 20 0 DCADLN CS[C@@H](C)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571682274 1162900403 /nfs/dbraw/zinc/90/04/03/1162900403.db2.gz XHYUSSZHRDBDNZ-VIFPVBQESA-N 0 2 311.411 0.510 20 0 DCADLN CS[C@@H](C)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571682274 1162900406 /nfs/dbraw/zinc/90/04/06/1162900406.db2.gz XHYUSSZHRDBDNZ-VIFPVBQESA-N 0 2 311.411 0.510 20 0 DCADLN CCn1ncn(NC(=O)c2cnn3cccc(Cl)c23)c1=O ZINC001569831097 1161280507 /nfs/dbraw/zinc/28/05/07/1161280507.db2.gz SZDCUJCHVGKPFJ-UHFFFAOYSA-N 0 2 306.713 0.750 20 0 DCADLN CCO[C@@H]1[C@H](C)[C@H]1C(=O)NC1CN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC001573795066 1163557497 /nfs/dbraw/zinc/55/74/97/1163557497.db2.gz DOFHRLQCDHHAQO-OWYVNGRQSA-N 0 2 319.361 0.756 20 0 DCADLN CN(C)[C@H](C(=O)Nc1ccc(C(=O)[O-])nc1)c1c[nH+]cn1C ZINC001573858652 1163570421 /nfs/dbraw/zinc/57/04/21/1163570421.db2.gz GISDLZSZLOPKMZ-LBPRGKRZSA-N 0 2 303.322 0.755 20 0 DCADLN CN(CC(=O)[O-])C(=O)C[NH2+][C@H](CO)c1cc(F)ccc1F ZINC001573864372 1163571427 /nfs/dbraw/zinc/57/14/27/1163571427.db2.gz ADQSTHZJAXHWOU-LLVKDONJSA-N 0 2 302.277 0.131 20 0 DCADLN Cn1c(C(=O)[O-])cnc1NC(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001574210021 1163664719 /nfs/dbraw/zinc/66/47/19/1163664719.db2.gz UYTGCTDURAQLJY-MRVPVSSYSA-N 0 2 304.310 0.451 20 0 DCADLN CN(CCCNC(=O)c1cncn1C)C(=O)C(F)C(F)(F)F ZINC001577163339 1164619367 /nfs/dbraw/zinc/61/93/67/1164619367.db2.gz VLSSIYIJCYJHBY-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)c1cncn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001577163339 1164619373 /nfs/dbraw/zinc/61/93/73/1164619373.db2.gz VLSSIYIJCYJHBY-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN Cc1c[nH]c(C(=O)NCCC[N@@H+](Cc2cnn[nH]2)C(C)C)n1 ZINC001577246435 1164648893 /nfs/dbraw/zinc/64/88/93/1164648893.db2.gz ZFOGZRURZGZMOH-UHFFFAOYSA-N 0 2 305.386 0.867 20 0 DCADLN Cc1c[nH]c(C(=O)NCCC[N@H+](Cc2c[nH]nn2)C(C)C)n1 ZINC001577246435 1164648897 /nfs/dbraw/zinc/64/88/97/1164648897.db2.gz ZFOGZRURZGZMOH-UHFFFAOYSA-N 0 2 305.386 0.867 20 0 DCADLN O=C(Cc1ccsc1)NC[C@H]1COCCN1Cc1cn[nH]n1 ZINC001577963927 1164867318 /nfs/dbraw/zinc/86/73/18/1164867318.db2.gz UCGAVCCGTGILFH-ZDUSSCGKSA-N 0 2 321.406 0.426 20 0 DCADLN O=C(Cc1ccsc1)NC[C@H]1COCCN1Cc1c[nH]nn1 ZINC001577963927 1164867326 /nfs/dbraw/zinc/86/73/26/1164867326.db2.gz UCGAVCCGTGILFH-ZDUSSCGKSA-N 0 2 321.406 0.426 20 0 DCADLN O=C(NCC[C@H]1CC[N@H+](Cc2c[nH]nn2)C1)c1cccnn1 ZINC001578005079 1164889567 /nfs/dbraw/zinc/88/95/67/1164889567.db2.gz BVFDDJDVURUIKO-NSHDSACASA-N 0 2 301.354 0.237 20 0 DCADLN CCOCC(=O)Nc1nc(-c2cccc(-c3nn[nH]n3)c2)n[nH]1 ZINC001578366300 1164989217 /nfs/dbraw/zinc/98/92/17/1164989217.db2.gz MUXORZFIIMEFTR-UHFFFAOYSA-N 0 2 314.309 0.627 20 0 DCADLN COC(=O)c1cc(Cn2cnc(-c3nn[nH]n3)cc2=O)cs1 ZINC001579479495 1165326466 /nfs/dbraw/zinc/32/64/66/1165326466.db2.gz LOFCNLKEVAXLGU-UHFFFAOYSA-N 0 2 318.318 0.320 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N[C@H]2CNOC2)=N1 ZINC001579613545 1165359094 /nfs/dbraw/zinc/35/90/94/1165359094.db2.gz AHKXOLXQMVYANL-JTQLQIEISA-N 0 2 306.297 0.659 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](CCO)C(C)C ZINC001580601241 1165651323 /nfs/dbraw/zinc/65/13/23/1165651323.db2.gz KUUYIOZDKJZFPB-JTQLQIEISA-N 0 2 320.353 0.413 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H]1CC[C@@H](C)O1 ZINC001580604237 1165653184 /nfs/dbraw/zinc/65/31/84/1165653184.db2.gz OZHBKKISDZDUSW-BDAKNGLRSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1n[nH]cc1CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001580605831 1165654851 /nfs/dbraw/zinc/65/48/51/1165654851.db2.gz LCLMKUOQMIZMOS-UHFFFAOYSA-N 0 2 314.309 0.237 20 0 DCADLN Cc1ccccc1OCCn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001581261126 1165807265 /nfs/dbraw/zinc/80/72/65/1165807265.db2.gz UFFOWKKBVJRZTH-UHFFFAOYSA-N 0 2 314.305 0.516 20 0 DCADLN Fc1cc(-c2nn[nH]n2)cnc1N1CCC[C@H](c2nc[nH]n2)C1 ZINC001581852016 1165887254 /nfs/dbraw/zinc/88/72/54/1165887254.db2.gz IABIHGRVSIKBGI-QMMMGPOBSA-N 0 2 315.316 0.903 20 0 DCADLN O=C(c1cc(-c2nn[nH]n2)ccc1F)N1CCc2[nH]nnc2C1 ZINC001582685058 1166066986 /nfs/dbraw/zinc/06/69/86/1166066986.db2.gz NBZADRXFONMYNB-UHFFFAOYSA-N 0 2 314.284 0.322 20 0 DCADLN CC(=O)C[C@@H](C)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001588785694 1166589977 /nfs/dbraw/zinc/58/99/77/1166589977.db2.gz HUHNGHLUBZZIAO-SSDOTTSWSA-N 0 2 304.310 0.373 20 0 DCADLN CC(C)C(C)(C)CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589425313 1166656311 /nfs/dbraw/zinc/65/63/11/1166656311.db2.gz KSZAKMKCAMRVSH-UHFFFAOYSA-N 0 2 307.358 0.760 20 0 DCADLN C[C@H]1CC(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C[C@H](C)O1 ZINC001590205489 1166813064 /nfs/dbraw/zinc/81/30/64/1166813064.db2.gz ATIQMEJSSPEBBD-BQBZGAKWSA-N 0 2 321.341 0.034 20 0 DCADLN C[C@@H]1CCC[C@@H]1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590247395 1166826512 /nfs/dbraw/zinc/82/65/12/1166826512.db2.gz JVCXGIRPPBNSAU-HTQZYQBOSA-N 0 2 305.342 0.514 20 0 DCADLN CCc1nn(C[C@H]2CCC(=O)O2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929429 1167055216 /nfs/dbraw/zinc/05/52/16/1167055216.db2.gz PYPBHFBOUVJGLP-MRVPVSSYSA-N 0 2 318.337 0.254 20 0 DCADLN CSC1(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CCC1 ZINC001591688483 1167353168 /nfs/dbraw/zinc/35/31/68/1167353168.db2.gz WRZRGNRFTIGNDV-UHFFFAOYSA-N 0 2 323.382 0.364 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C/C=C/Cl)c(=O)n1C1CC1 ZINC001591794004 1167382134 /nfs/dbraw/zinc/38/21/34/1167382134.db2.gz UEGJQGHIPYRDDG-GORDUTHDSA-N 0 2 308.729 0.586 20 0 DCADLN Cc1n[nH]c([C@@H]2CCCN(c3ccnc(-c4nn[nH]n4)n3)C2)n1 ZINC001592356683 1167504401 /nfs/dbraw/zinc/50/44/01/1167504401.db2.gz OYILOHGLYRNFTJ-SECBINFHSA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nc([C@@H]2CCCN(c3ccnc(-c4nn[nH]n4)n3)C2)n[nH]1 ZINC001592356683 1167504408 /nfs/dbraw/zinc/50/44/08/1167504408.db2.gz OYILOHGLYRNFTJ-SECBINFHSA-N 0 2 312.341 0.467 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CC[C@@H]3C[C@@H]3C2)c1=O ZINC001592558260 1167557898 /nfs/dbraw/zinc/55/78/98/1167557898.db2.gz XOYJHKIWPGZAHK-BBBLOLIVSA-N 0 2 302.338 0.163 20 0 DCADLN O=C(COc1ccccc1)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001592895493 1167659586 /nfs/dbraw/zinc/65/95/86/1167659586.db2.gz LOSZFEDJPZHXIJ-UHFFFAOYSA-N 0 2 312.289 0.072 20 0 DCADLN O=C(Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2)c1ccc[nH]1 ZINC001592937370 1167668130 /nfs/dbraw/zinc/66/81/30/1167668130.db2.gz WHTHAUVHOPTGNC-UHFFFAOYSA-N 0 2 310.317 0.728 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cccc(-c2nn[nH]n2)c1 ZINC001593107201 1167709727 /nfs/dbraw/zinc/70/97/27/1167709727.db2.gz VFWLGFSFGMETSM-UHFFFAOYSA-N 0 2 317.334 0.511 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001593489187 1167874333 /nfs/dbraw/zinc/87/43/33/1167874333.db2.gz CZEWLMIYNPDDHE-KHQFGBGNSA-N 0 2 304.310 0.087 20 0 DCADLN c1cnc(-c2nn[nH]n2)c(NCc2n[nH]c([C@@H]3CCCO3)n2)n1 ZINC001593583635 1167949083 /nfs/dbraw/zinc/94/90/83/1167949083.db2.gz CGRVISOTIBTVEZ-ZETCQYMHSA-N 0 2 314.313 0.238 20 0 DCADLN Cc1cc([C@H]([NH2+]CC(=O)N2CCOCC2)C(=O)[O-])ccc1F ZINC001600147463 1168126382 /nfs/dbraw/zinc/12/63/82/1168126382.db2.gz OSQMCMPQXCZGRB-AWEZNQCLSA-N 0 2 310.325 0.708 20 0 DCADLN Cc1cc(C)cc([C@@H]([NH2+]CC(=O)N2CCOCC2)C(=O)[O-])c1 ZINC001600167251 1168129810 /nfs/dbraw/zinc/12/98/10/1168129810.db2.gz FIUTVLMAQJLJQL-OAHLLOKOSA-N 0 2 306.362 0.878 20 0 DCADLN Cc1cc(CN2C(=O)N[C@H]([C@@H]3C[C@H]3C(=O)[O-])C2=O)cc(C)[nH+]1 ZINC001600191371 1168133401 /nfs/dbraw/zinc/13/34/01/1168133401.db2.gz HIQBMAVOOJLXBE-IJLUTSLNSA-N 0 2 303.318 0.840 20 0 DCADLN Cc1cc(CNC(=O)/C=C\C[NH+]2CCOCC2)oc1C(=O)[O-] ZINC001600199091 1168137012 /nfs/dbraw/zinc/13/70/12/1168137012.db2.gz WMSOTCKUAIIZKO-IHWYPQMZSA-N 0 2 308.334 0.791 20 0 DCADLN Cc1cc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c(C(=O)[O-])[nH]1 ZINC001600262537 1168153100 /nfs/dbraw/zinc/15/31/00/1168153100.db2.gz UXERNWFMEJMQFM-LLVKDONJSA-N 0 2 322.365 0.960 20 0 DCADLN Cc1cc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)C[C@H]2C)oc1C(=O)[O-] ZINC001600293175 1168156679 /nfs/dbraw/zinc/15/66/79/1168156679.db2.gz HPTRCLMEDDAVPE-NXEZZACHSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1cc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)C[C@H]2C)oc1C(=O)[O-] ZINC001600293175 1168156686 /nfs/dbraw/zinc/15/66/86/1168156686.db2.gz HPTRCLMEDDAVPE-NXEZZACHSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1cc(S(=O)(=O)N2C[C@H](C)[N@@H+](C)C[C@@H]2C)oc1C(=O)[O-] ZINC001600293176 1168156950 /nfs/dbraw/zinc/15/69/50/1168156950.db2.gz HPTRCLMEDDAVPE-UWVGGRQHSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1cc(S(=O)(=O)N2C[C@H](C)[N@H+](C)C[C@@H]2C)oc1C(=O)[O-] ZINC001600293176 1168156955 /nfs/dbraw/zinc/15/69/55/1168156955.db2.gz HPTRCLMEDDAVPE-UWVGGRQHSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1ccc(N(C)C[C@@H](O)C[NH+]2CCOCC2)nc1C(=O)[O-] ZINC001600432989 1168182942 /nfs/dbraw/zinc/18/29/42/1168182942.db2.gz XCSGLFYCPDBHMQ-GFCCVEGCSA-N 0 2 309.366 0.218 20 0 DCADLN Cc1ccc2ncc(C[N@@H+]3CCC[C@H]3C(=O)NCC(=O)[O-])n2c1 ZINC001600503925 1168193978 /nfs/dbraw/zinc/19/39/78/1168193978.db2.gz SXDKJTRLMWTMAV-ZDUSSCGKSA-N 0 2 316.361 0.808 20 0 DCADLN Cc1ccc2ncc(C[N@H+]3CCC[C@H]3C(=O)NCC(=O)[O-])n2c1 ZINC001600503925 1168193984 /nfs/dbraw/zinc/19/39/84/1168193984.db2.gz SXDKJTRLMWTMAV-ZDUSSCGKSA-N 0 2 316.361 0.808 20 0 DCADLN Cc1ccc2[nH+]cc(CN3CCC[C@H]3C(=O)NCC(=O)[O-])n2c1 ZINC001600503925 1168193990 /nfs/dbraw/zinc/19/39/90/1168193990.db2.gz SXDKJTRLMWTMAV-ZDUSSCGKSA-N 0 2 316.361 0.808 20 0 DCADLN Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001600585904 1168204204 /nfs/dbraw/zinc/20/42/04/1168204204.db2.gz OEFDMYQVWIAICN-QWHCGFSZSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1ccccc1OC[C@@H](O)C[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001600585904 1168204209 /nfs/dbraw/zinc/20/42/09/1168204209.db2.gz OEFDMYQVWIAICN-QWHCGFSZSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1nc(CN2CC[NH+](C[C@H]3[C@H](C(=O)[O-])C3(F)F)CC2)n[nH]1 ZINC001600701164 1168255770 /nfs/dbraw/zinc/25/57/70/1168255770.db2.gz QALIWHJFAWZROM-GXSJLCMTSA-N 0 2 315.324 0.197 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)C[C@H](C)CC(=O)[O-])C2 ZINC001600724185 1168263368 /nfs/dbraw/zinc/26/33/68/1168263368.db2.gz IVBHOWDBLDPQAK-CMPLNLGQSA-N 0 2 323.393 0.658 20 0 DCADLN Cc1ncc(C[N@@H+]2CCCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)cn1 ZINC001600736101 1168268010 /nfs/dbraw/zinc/26/80/10/1168268010.db2.gz POGMOJSZMGTTKY-UONOGXRCSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1ncc(C[N@H+]2CCCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)cn1 ZINC001600736101 1168268018 /nfs/dbraw/zinc/26/80/18/1168268018.db2.gz POGMOJSZMGTTKY-UONOGXRCSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1ncc(C[N@@H+]2CCCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)cn1 ZINC001600736099 1168269026 /nfs/dbraw/zinc/26/90/26/1168269026.db2.gz POGMOJSZMGTTKY-KBPBESRZSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1ncc(C[N@H+]2CCCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)cn1 ZINC001600736099 1168269036 /nfs/dbraw/zinc/26/90/36/1168269036.db2.gz POGMOJSZMGTTKY-KBPBESRZSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600769125 1168284928 /nfs/dbraw/zinc/28/49/28/1168284928.db2.gz NFPVVPPWPLKULE-JOYOIKCWSA-N 0 2 319.365 0.607 20 0 DCADLN Cc1nnc(C[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1C1CC1 ZINC001600795660 1168298755 /nfs/dbraw/zinc/29/87/55/1168298755.db2.gz CYKHOWVADUHXDO-NSHDSACASA-N 0 2 317.353 0.658 20 0 DCADLN Cc1nnc(C[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1C1CC1 ZINC001600795660 1168298759 /nfs/dbraw/zinc/29/87/59/1168298759.db2.gz CYKHOWVADUHXDO-NSHDSACASA-N 0 2 317.353 0.658 20 0 DCADLN Cc1noc([C@H](C)[NH2+][C@@H](C)C(=O)N2CCC(C(=O)[O-])CC2)n1 ZINC001600803850 1168300330 /nfs/dbraw/zinc/30/03/30/1168300330.db2.gz DDHFKDCLCDFSDX-IUCAKERBSA-N 0 2 310.354 0.740 20 0 DCADLN Cn1c[nH+]cc1CC(=O)NCCCNc1ncc(C(=O)[O-])cn1 ZINC001600993880 1168550237 /nfs/dbraw/zinc/55/02/37/1168550237.db2.gz YYSBHWFAZNNMNV-UHFFFAOYSA-N 0 2 318.337 0.069 20 0 DCADLN Cn1nccc1[C@H]1CCC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001601035976 1168573956 /nfs/dbraw/zinc/57/39/56/1168573956.db2.gz BMCPJAZCRAKPMP-CQSZACIVSA-N 0 2 320.393 0.880 20 0 DCADLN Cn1nccc1[C@H]1CCC[N@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001601035976 1168573959 /nfs/dbraw/zinc/57/39/59/1168573959.db2.gz BMCPJAZCRAKPMP-CQSZACIVSA-N 0 2 320.393 0.880 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CCC(=O)c1ccccn1 ZINC001601261988 1168681706 /nfs/dbraw/zinc/68/17/06/1168681706.db2.gz CMXBIJGWSZRPIX-LBPRGKRZSA-N 0 2 316.317 0.580 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CCC(=O)c1ccccn1 ZINC001601261988 1168681720 /nfs/dbraw/zinc/68/17/20/1168681720.db2.gz CMXBIJGWSZRPIX-LBPRGKRZSA-N 0 2 316.317 0.580 20 0 DCADLN O=C([O-])[C@H]1CC[N@H+](CC(=O)Nc2ccon2)[C@@H]([C@H]2CCCO2)C1 ZINC001601305352 1168697945 /nfs/dbraw/zinc/69/79/45/1168697945.db2.gz KROJKMAPFPSRAV-QJPTWQEYSA-N 0 2 323.349 0.957 20 0 DCADLN O=C([O-])[C@H]1CC[N@@H+](CC(=O)Nc2ccon2)[C@@H]([C@H]2CCCO2)C1 ZINC001601305352 1168697949 /nfs/dbraw/zinc/69/79/49/1168697949.db2.gz KROJKMAPFPSRAV-QJPTWQEYSA-N 0 2 323.349 0.957 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)CSCc1ccccn1 ZINC001601348390 1168715924 /nfs/dbraw/zinc/71/59/24/1168715924.db2.gz VGROQZPUZCZNTB-GFCCVEGCSA-N 0 2 320.374 0.781 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cnn(C2CCC2)c1 ZINC001601426215 1168742607 /nfs/dbraw/zinc/74/26/07/1168742607.db2.gz UXWPOFGBVNEQLY-LBPRGKRZSA-N 0 2 303.322 0.688 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc2n(n1)CCCC2 ZINC001601564511 1168782240 /nfs/dbraw/zinc/78/22/40/1168782240.db2.gz ALEBMBUARLCHCL-LBPRGKRZSA-N 0 2 303.322 0.368 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc2n(n1)CCCC2 ZINC001601564511 1168782242 /nfs/dbraw/zinc/78/22/42/1168782242.db2.gz ALEBMBUARLCHCL-LBPRGKRZSA-N 0 2 303.322 0.368 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)[C@H]3CCn4cc[nH+]c4C3)C2)C1 ZINC001601740260 1168844490 /nfs/dbraw/zinc/84/44/90/1168844490.db2.gz UFSJSKRDZMWMCB-ZUZCIYMTSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001601768309 1168867533 /nfs/dbraw/zinc/86/75/33/1168867533.db2.gz ZWXFKPDIEHMOOX-ZYHUDNBSSA-N 0 2 316.317 0.175 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cnc2ccccc2n1 ZINC001602068960 1168985907 /nfs/dbraw/zinc/98/59/07/1168985907.db2.gz XTYJPLQUWGQMSD-AWEZNQCLSA-N 0 2 314.345 0.795 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1Cc1cnc2ccccc2n1 ZINC001602068960 1168985910 /nfs/dbraw/zinc/98/59/10/1168985910.db2.gz XTYJPLQUWGQMSD-AWEZNQCLSA-N 0 2 314.345 0.795 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CCc3nc(C4CC4)ncc3C2)nn1 ZINC001602162976 1169010170 /nfs/dbraw/zinc/01/01/70/1169010170.db2.gz REBOIONUAMICII-UHFFFAOYSA-N 0 2 314.349 0.588 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CCc3nc(C4CC4)ncc3C2)nn1 ZINC001602162976 1169010181 /nfs/dbraw/zinc/01/01/81/1169010181.db2.gz REBOIONUAMICII-UHFFFAOYSA-N 0 2 314.349 0.588 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)[C@@H](O)c2c[nH+]c[nH]2)C[C@H]1c1ccccc1 ZINC001602181286 1169019996 /nfs/dbraw/zinc/01/99/96/1169019996.db2.gz YCFOGQLLKTYHCN-SCRDCRAPSA-N 0 2 315.329 0.770 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1CNC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC001602193451 1169021319 /nfs/dbraw/zinc/02/13/19/1169021319.db2.gz FJERGQFCRISXPH-VXGBXAGGSA-N 0 2 304.350 0.634 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@@H](C(F)(F)F)C1 ZINC001602219620 1169033834 /nfs/dbraw/zinc/03/38/34/1169033834.db2.gz RRKNQOSTAYKIPG-OPRDCNLKSA-N 0 2 324.299 0.307 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@@H](C(F)(F)F)C1 ZINC001602219620 1169033845 /nfs/dbraw/zinc/03/38/45/1169033845.db2.gz RRKNQOSTAYKIPG-OPRDCNLKSA-N 0 2 324.299 0.307 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)ccn1 ZINC001602243078 1169040099 /nfs/dbraw/zinc/04/00/99/1169040099.db2.gz IEKKQBZOZRLYMU-GFCCVEGCSA-N 0 2 301.306 0.290 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC001602393065 1169068300 /nfs/dbraw/zinc/06/83/00/1169068300.db2.gz NWMARPVMFYFEJH-UHFFFAOYSA-N 0 2 307.331 0.827 20 0 DCADLN O=C([O-])c1ccn(CC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)n1 ZINC001602477522 1169086383 /nfs/dbraw/zinc/08/63/83/1169086383.db2.gz YQTLPBWYYTVEGW-JTQLQIEISA-N 0 2 303.322 0.711 20 0 DCADLN O=C([O-])c1cncnc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001602543717 1169111865 /nfs/dbraw/zinc/11/18/65/1169111865.db2.gz ZGAQHIJJLMHOOW-UHFFFAOYSA-N 0 2 318.337 0.447 20 0 DCADLN O=C([O-])[C@]12CCC[C@H]1CN(C(=O)c1n[nH]c3c1C[NH2+]CC3)C2 ZINC001602622512 1169132343 /nfs/dbraw/zinc/13/23/43/1169132343.db2.gz VYYHBWHBTYQXHL-VFZGTOFNSA-N 0 2 304.350 0.382 20 0 DCADLN CC(=O)c1cccc(OC[C@H](O)C[N@@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])c1 ZINC001603119290 1169249472 /nfs/dbraw/zinc/24/94/72/1169249472.db2.gz QJVLTOWIMWOFHM-UMVBOHGHSA-N 0 2 323.345 0.149 20 0 DCADLN CC(=O)c1cccc(OC[C@H](O)C[N@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])c1 ZINC001603119290 1169249479 /nfs/dbraw/zinc/24/94/79/1169249479.db2.gz QJVLTOWIMWOFHM-UMVBOHGHSA-N 0 2 323.345 0.149 20 0 DCADLN CC(F)(F)CCCn1cnc2ncc(-c3nn[nH]n3)c(=O)n21 ZINC001603595033 1169330693 /nfs/dbraw/zinc/33/06/93/1169330693.db2.gz CBBFAGSZYBWCOP-UHFFFAOYSA-N 0 2 310.268 0.507 20 0 DCADLN C[C@@H]1CCCC[C@@H]1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603890124 1169423999 /nfs/dbraw/zinc/42/39/99/1169423999.db2.gz BBTKTZMYWUEDPT-RKDXNWHRSA-N 0 2 319.369 0.904 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)C2CCC(C(=O)[O-])CC2)CC1 ZINC001603897050 1169425467 /nfs/dbraw/zinc/42/54/67/1169425467.db2.gz YDTHVFNMKDGKAX-UHFFFAOYSA-N 0 2 318.439 0.986 20 0 DCADLN CCC(C)(C)CCn1c(=O)c(-c2nn[nH]n2)cn(CCO)c1=O ZINC001603981639 1169463554 /nfs/dbraw/zinc/46/35/54/1169463554.db2.gz BVFUMPYQFCWFDL-UHFFFAOYSA-N 0 2 322.369 0.009 20 0 DCADLN CCC(F)(F)Cn1c(=O)c(-c2nn[nH]n2)c(C)n(C)c1=O ZINC001604043901 1169479370 /nfs/dbraw/zinc/47/93/70/1169479370.db2.gz IIBVXAJWBMQACR-UHFFFAOYSA-N 0 2 300.269 0.081 20 0 DCADLN C[C@H](CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1cnn(C)c1 ZINC001604068129 1169485563 /nfs/dbraw/zinc/48/55/63/1169485563.db2.gz LDSRREZJHXNJSV-SKDRFNHKSA-N 0 2 305.338 0.449 20 0 DCADLN C[C@H](CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1cnn(C)c1 ZINC001604068129 1169485569 /nfs/dbraw/zinc/48/55/69/1169485569.db2.gz LDSRREZJHXNJSV-SKDRFNHKSA-N 0 2 305.338 0.449 20 0 DCADLN C[C@H](CNC(=O)N[C@H]1CC=C(C(=O)[O-])C1)[NH+]1CCN(C)CC1 ZINC001604177861 1169515933 /nfs/dbraw/zinc/51/59/33/1169515933.db2.gz GWVKQARHBHXFLF-YPMHNXCESA-N 0 2 310.398 0.095 20 0 DCADLN C[C@@H]([NH2+]C[C@H](O)COc1ccc(C(=O)[O-])cc1)c1ncn(C)n1 ZINC001604333085 1169556048 /nfs/dbraw/zinc/55/60/48/1169556048.db2.gz OUACOTYQERUTJV-PWSUYJOCSA-N 0 2 320.349 0.604 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[NH+]1CCC2(CC1)NC(=O)NC2=O ZINC001604476802 1169600660 /nfs/dbraw/zinc/60/06/60/1169600660.db2.gz GILUBUOEMPIPER-ZETCQYMHSA-N 0 2 308.294 0.108 20 0 DCADLN CCc1nn(Cc2cnsn2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604579998 1169622299 /nfs/dbraw/zinc/62/22/99/1169622299.db2.gz SRHCOKSGQZVTJT-UHFFFAOYSA-N 0 2 318.366 0.448 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@H]2[N@@H+]1CC(=O)NCC(F)(F)F ZINC001604711926 1169661248 /nfs/dbraw/zinc/66/12/48/1169661248.db2.gz QFUXPSLFOOCUGW-IMSYWVGJSA-N 0 2 324.299 0.760 20 0 DCADLN C[C@H]1CCc2nc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cn2C1 ZINC001604768102 1169673718 /nfs/dbraw/zinc/67/37/18/1169673718.db2.gz XSAOPKYTDBCMOV-ONGXEEELSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@H]1CCc2nc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cn2C1 ZINC001604768102 1169673721 /nfs/dbraw/zinc/67/37/21/1169673721.db2.gz XSAOPKYTDBCMOV-ONGXEEELSA-N 0 2 317.349 0.614 20 0 DCADLN CO[C@@H](CC(C)C)Cn1c(=O)c(-c2nn[nH]n2)c(C)n(C)c1=O ZINC001605006662 1169776520 /nfs/dbraw/zinc/77/65/20/1169776520.db2.gz GTZUABQBLSCMRS-JTQLQIEISA-N 0 2 322.369 0.097 20 0 DCADLN CCCCOC(=O)[C@@H](C)[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001605281553 1169884954 /nfs/dbraw/zinc/88/49/54/1169884954.db2.gz GDTKIEWTIYOUCE-GHMZBOCLSA-N 0 2 310.354 0.955 20 0 DCADLN CCCCOC(=O)[C@@H](C)[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001605281553 1169884963 /nfs/dbraw/zinc/88/49/63/1169884963.db2.gz GDTKIEWTIYOUCE-GHMZBOCLSA-N 0 2 310.354 0.955 20 0 DCADLN CCN1C[C@@H](C[N@H+](C)[C@@H](COC2CCC2)C(=O)[O-])OC1=O ZINC001605469161 1169931214 /nfs/dbraw/zinc/93/12/14/1169931214.db2.gz FFYABODTOKUNQC-NEPJUHHUSA-N 0 2 300.355 0.781 20 0 DCADLN CCN1C[C@@H](C[N@@H+](C)[C@@H](COC2CCC2)C(=O)[O-])OC1=O ZINC001605469161 1169931220 /nfs/dbraw/zinc/93/12/20/1169931220.db2.gz FFYABODTOKUNQC-NEPJUHHUSA-N 0 2 300.355 0.781 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CC[C@H](O)CC1 ZINC001605509928 1169943484 /nfs/dbraw/zinc/94/34/84/1169943484.db2.gz LXMDCSCZUJKHCK-KYZUINATSA-N 0 2 318.337 0.309 20 0 DCADLN CCOC(=O)[C@H]1C[N@@H+]([C@H](C)c2nc(C(=O)[O-])co2)CC12COC2 ZINC001605525838 1169948124 /nfs/dbraw/zinc/94/81/24/1169948124.db2.gz DVRWVNPTOYFVIU-NXEZZACHSA-N 0 2 324.333 0.945 20 0 DCADLN CCOC(=O)[C@H]1C[N@H+]([C@H](C)c2nc(C(=O)[O-])co2)CC12COC2 ZINC001605525838 1169948130 /nfs/dbraw/zinc/94/81/30/1169948130.db2.gz DVRWVNPTOYFVIU-NXEZZACHSA-N 0 2 324.333 0.945 20 0 DCADLN CCOC(=O)N1CCC[N@H+](Cc2cnc(C(=O)[O-])cn2)CC1 ZINC001605551296 1169957248 /nfs/dbraw/zinc/95/72/48/1169957248.db2.gz QFLSEDJLYJZUES-UHFFFAOYSA-N 0 2 308.338 0.839 20 0 DCADLN CCOC(=O)N1CCC[N@@H+](Cc2cnc(C(=O)[O-])cn2)CC1 ZINC001605551296 1169957254 /nfs/dbraw/zinc/95/72/54/1169957254.db2.gz QFLSEDJLYJZUES-UHFFFAOYSA-N 0 2 308.338 0.839 20 0 DCADLN Cc1cc(C)cc([C@@H](O)Cn2cnc(-c3nn[nH]n3)cc2=O)c1 ZINC001605645277 1169981201 /nfs/dbraw/zinc/98/12/01/1169981201.db2.gz ZHPVGDCWQPBKDI-ZDUSSCGKSA-N 0 2 312.333 0.774 20 0 DCADLN Cc1ccc(O)c(NC(=O)[C@H](C)n2cnc(-c3nn[nH]n3)n2)n1 ZINC001605875857 1170019531 /nfs/dbraw/zinc/01/95/31/1170019531.db2.gz RDUROVSDZKDNOZ-ZETCQYMHSA-N 0 2 315.297 0.067 20 0 DCADLN CN(C(=O)[C@H]1C[C@@H]1C(=O)[O-])C1CC[NH+](Cc2cnns2)CC1 ZINC001605956632 1170035826 /nfs/dbraw/zinc/03/58/26/1170035826.db2.gz KKAZRXXXKMROHU-RYUDHWBXSA-N 0 2 324.406 0.682 20 0 DCADLN CN(C(=O)CCc1c[nH+]cn1C)[C@H](COC1CCC1)C(=O)[O-] ZINC001605961376 1170038559 /nfs/dbraw/zinc/03/85/59/1170038559.db2.gz FJLNYRDFZAUIDL-CYBMUJFWSA-N 0 2 309.366 0.833 20 0 DCADLN Cc1cnc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)cn1 ZINC001605978704 1170040824 /nfs/dbraw/zinc/04/08/24/1170040824.db2.gz CUHIBEPJTFOHJT-UHFFFAOYSA-N 0 2 309.333 0.664 20 0 DCADLN CN(C)c1cccc(C[NH+]2CC(NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)c1 ZINC001606059737 1170066894 /nfs/dbraw/zinc/06/68/94/1170066894.db2.gz NGSASXDGXFFFGP-CABCVRRESA-N 0 2 317.389 0.774 20 0 DCADLN Cc1nn(CCC[C@@H]2CCOC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114616 1170080543 /nfs/dbraw/zinc/08/05/43/1170080543.db2.gz YXFAWINSZRDRCB-LLVKDONJSA-N 0 2 304.354 0.857 20 0 DCADLN Cn1c(=O)[nH]c(NCC2CCSCC2)c(-c2nn[nH]n2)c1=O ZINC001606206070 1170112864 /nfs/dbraw/zinc/11/28/64/1170112864.db2.gz PWIIGOUSZNXQKY-UHFFFAOYSA-N 0 2 323.382 0.221 20 0 DCADLN CNC(=O)c1ccc(C[N@H+](C)CN2C[C@@H](C(=O)[O-])OC2=O)cc1 ZINC001606285602 1170148920 /nfs/dbraw/zinc/14/89/20/1170148920.db2.gz QNQAYSUNNZYWCS-LBPRGKRZSA-N 0 2 321.333 0.341 20 0 DCADLN CNC(=O)c1ccc(C[N@@H+](C)CN2C[C@@H](C(=O)[O-])OC2=O)cc1 ZINC001606285602 1170148922 /nfs/dbraw/zinc/14/89/22/1170148922.db2.gz QNQAYSUNNZYWCS-LBPRGKRZSA-N 0 2 321.333 0.341 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC001606334672 1170161856 /nfs/dbraw/zinc/16/18/56/1170161856.db2.gz ZAWYOMMCXUZSKA-KCJUWKMLSA-N 0 2 313.272 0.640 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC001606334672 1170161859 /nfs/dbraw/zinc/16/18/59/1170161859.db2.gz ZAWYOMMCXUZSKA-KCJUWKMLSA-N 0 2 313.272 0.640 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N2CC[C@H]2C(=O)[O-])cc1 ZINC001607253830 1170418576 /nfs/dbraw/zinc/41/85/76/1170418576.db2.gz LZEHWUIAIMQKLR-KBPBESRZSA-N 0 2 304.346 0.955 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@H]2C(=O)N2CC[C@H]2C(=O)[O-])cc1 ZINC001607253830 1170418580 /nfs/dbraw/zinc/41/85/80/1170418580.db2.gz LZEHWUIAIMQKLR-KBPBESRZSA-N 0 2 304.346 0.955 20 0 DCADLN COc1ccc(C[N@H+](C)[C@H]2CCN(CCC(=O)[O-])C2=O)cn1 ZINC001607251578 1170419022 /nfs/dbraw/zinc/41/90/22/1170419022.db2.gz JAWYWERXFVWVRG-LBPRGKRZSA-N 0 2 307.350 0.598 20 0 DCADLN COc1ccc(C[N@@H+](C)[C@H]2CCN(CCC(=O)[O-])C2=O)cn1 ZINC001607251578 1170419026 /nfs/dbraw/zinc/41/90/26/1170419026.db2.gz JAWYWERXFVWVRG-LBPRGKRZSA-N 0 2 307.350 0.598 20 0 DCADLN COc1ncc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1Cl ZINC001607359914 1170435759 /nfs/dbraw/zinc/43/57/59/1170435759.db2.gz UMKWOTIOBKOIPE-JTQLQIEISA-N 0 2 324.724 0.823 20 0 DCADLN C[C@H]1CN(C(=O)[C@@H]([NH3+])c2c(F)cccc2F)C[C@@H](C(=O)[O-])O1 ZINC001607466618 1170460336 /nfs/dbraw/zinc/46/03/36/1170460336.db2.gz WSZHQTWETXCVCX-JWYUURKGSA-N 0 2 314.288 0.665 20 0 DCADLN Cc1[nH]c(C(=O)C[NH+]2CCC(OCCO)CC2)c(C)c1C(=O)[O-] ZINC001607485237 1170466075 /nfs/dbraw/zinc/46/60/75/1170466075.db2.gz GNISQIPYTXJSQR-UHFFFAOYSA-N 0 2 324.377 0.986 20 0 DCADLN Cc1c(NC(=O)[C@@H](C)N2CC[NH2+]CC2=O)cccc1C(=O)[O-] ZINC001607538721 1170475618 /nfs/dbraw/zinc/47/56/18/1170475618.db2.gz SIXVPNHKCVQPHL-SNVBAGLBSA-N 0 2 305.334 0.452 20 0 DCADLN Cc1cc(N2CC[C@H]([NH2+]Cc3cc(C(=O)[O-])no3)C2=O)n(C)n1 ZINC001607669106 1170491678 /nfs/dbraw/zinc/49/16/78/1170491678.db2.gz AYNYHYLVZSMCAR-JTQLQIEISA-N 0 2 319.321 0.310 20 0 DCADLN Cc1csc(=O)n1CC[N@@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001607921640 1170525449 /nfs/dbraw/zinc/52/54/49/1170525449.db2.gz GYHKLVJAULWCSV-UHFFFAOYSA-N 0 2 308.363 0.698 20 0 DCADLN Cc1csc(=O)n1CC[N@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001607921640 1170525453 /nfs/dbraw/zinc/52/54/53/1170525453.db2.gz GYHKLVJAULWCSV-UHFFFAOYSA-N 0 2 308.363 0.698 20 0 DCADLN Cc1nnc(C[NH+]2CCC(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)o1 ZINC001608016651 1170548768 /nfs/dbraw/zinc/54/87/68/1170548768.db2.gz ROJMBIXAYXHHIY-NEPJUHHUSA-N 0 2 322.365 0.427 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@@H]3CCC[C@H](C(=O)[O-])C3)CC[NH2+]2)cn1 ZINC001608105909 1170573574 /nfs/dbraw/zinc/57/35/74/1170573574.db2.gz AAHXHUILYNMVDR-DYEKYZERSA-N 0 2 320.393 0.784 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cccc2c1OCCO2 ZINC001608403348 1170670500 /nfs/dbraw/zinc/67/05/00/1170670500.db2.gz PBGNNLJQFYEJIT-LLVKDONJSA-N 0 2 317.301 0.538 20 0 DCADLN O=C([O-])[C@@H]1CCCC[C@@H]1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC001608627320 1170725014 /nfs/dbraw/zinc/72/50/14/1170725014.db2.gz RMZQPPKVHULQQM-NEPJUHHUSA-N 0 2 313.379 0.672 20 0 DCADLN O=C([O-])CC1(NS(=O)(=O)CCC[NH+]2CCOCC2)CCC1 ZINC001608688151 1170737068 /nfs/dbraw/zinc/73/70/68/1170737068.db2.gz AOONZYLJKOKLSL-UHFFFAOYSA-N 0 2 320.411 0.026 20 0 DCADLN O=C([O-])CNC(=O)C[NH2+]Cc1ccncc1Br ZINC001608752635 1170750153 /nfs/dbraw/zinc/75/01/53/1170750153.db2.gz HIFGOKUDSBJBSZ-UHFFFAOYSA-N 0 2 302.128 0.135 20 0 DCADLN CC(C)[C@]1(C)C[C@@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001609089836 1170807283 /nfs/dbraw/zinc/80/72/83/1170807283.db2.gz GTWPYZZBCQKAHC-RTHLEPHNSA-N 0 2 319.369 0.111 20 0 DCADLN CCc1ccccc1CCn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001609253475 1170897135 /nfs/dbraw/zinc/89/71/35/1170897135.db2.gz QCSHJJGAWAEKFE-UHFFFAOYSA-N 0 2 312.333 0.934 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CC2=CCCCC2)c1=O ZINC001609257595 1170900895 /nfs/dbraw/zinc/90/08/95/1170900895.db2.gz DKPQQLDTSQCKAS-UHFFFAOYSA-N 0 2 302.338 0.710 20 0 DCADLN Cc1ccn(CC(=O)N2CCCC[C@@H]2C)c(=O)c1-c1nn[nH]n1 ZINC001609437603 1170976294 /nfs/dbraw/zinc/97/62/94/1170976294.db2.gz NYXPQJMLZGRKOZ-NSHDSACASA-N 0 2 316.365 0.738 20 0 DCADLN O=S(=O)(Nc1cccnc1)c1cncc(-c2nn[nH]n2)c1 ZINC001609596189 1171036355 /nfs/dbraw/zinc/03/63/55/1171036355.db2.gz ODYAOBLYUBVUES-UHFFFAOYSA-N 0 2 303.307 0.458 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC001609735173 1171076442 /nfs/dbraw/zinc/07/64/42/1171076442.db2.gz MAWDXSIZYLVSPZ-JTQLQIEISA-N 0 2 317.349 0.579 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1c[nH]c(C(=O)[O-])c1 ZINC001609837422 1171100318 /nfs/dbraw/zinc/10/03/18/1171100318.db2.gz ANJGBXYZQVBMEB-JQWIXIFHSA-N 0 2 307.350 0.648 20 0 DCADLN CCCCn1nnnc1C[N@@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001609973031 1171130154 /nfs/dbraw/zinc/13/01/54/1171130154.db2.gz IAPFSIQDQBSFGM-UHFFFAOYSA-N 0 2 305.342 0.453 20 0 DCADLN CCCCn1nnnc1C[N@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001609973031 1171130158 /nfs/dbraw/zinc/13/01/58/1171130158.db2.gz IAPFSIQDQBSFGM-UHFFFAOYSA-N 0 2 305.342 0.453 20 0 DCADLN CCOc1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cn1 ZINC001610039900 1171146029 /nfs/dbraw/zinc/14/60/29/1171146029.db2.gz ZEAYGGLNEJHRNR-LLVKDONJSA-N 0 2 304.306 0.560 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2[nH]nc3ccccc32)C[C@@H](C(=O)[O-])C1 ZINC001610136769 1171170086 /nfs/dbraw/zinc/17/00/86/1171170086.db2.gz OPKXGLJURGWVFM-JTQLQIEISA-N 0 2 302.334 0.651 20 0 DCADLN C[N@H+]1CCN(C(=O)c2[nH]nc3ccccc32)C[C@@H](C(=O)[O-])C1 ZINC001610136769 1171170087 /nfs/dbraw/zinc/17/00/87/1171170087.db2.gz OPKXGLJURGWVFM-JTQLQIEISA-N 0 2 302.334 0.651 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)CC(C)(C)CC(=O)[O-] ZINC001610156105 1171181531 /nfs/dbraw/zinc/18/15/31/1171181531.db2.gz VWBMYHBSYKLODE-SNVBAGLBSA-N 0 2 311.338 0.432 20 0 DCADLN COC1(C)CN(c2nc[nH+]c(N3CC(C)(OC)C3)c2C(=O)[O-])C1 ZINC001610233685 1171202575 /nfs/dbraw/zinc/20/25/75/1171202575.db2.gz ICLYKIMNUKNMAS-UHFFFAOYSA-N 0 2 322.365 0.625 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)NCCCn3cc[nH+]c3)C[C@H]1COCC2 ZINC001610693175 1171293173 /nfs/dbraw/zinc/29/31/73/1171293173.db2.gz QRLHDMGTXFNFNW-SWLSCSKDSA-N 0 2 322.365 0.406 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)C1SCCCS1 ZINC001610717988 1171297389 /nfs/dbraw/zinc/29/73/89/1171297389.db2.gz KHRCLVBXNCICDH-MRVPVSSYSA-N 0 2 301.393 0.649 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nnn(C)n2)c2ncccc12 ZINC001622523319 1171425926 /nfs/dbraw/zinc/42/59/26/1171425926.db2.gz JKXFNYMRIOCWPA-UHFFFAOYSA-N 0 2 320.334 0.568 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2ccc(O)cc2)c1 ZINC001631277059 1171591828 /nfs/dbraw/zinc/59/18/28/1171591828.db2.gz HUFBFMHGSBVFHM-UHFFFAOYSA-N 0 2 308.315 0.998 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1ccc2nc[nH]c2n1 ZINC001632423040 1171616331 /nfs/dbraw/zinc/61/63/31/1171616331.db2.gz VFKUHHIIKAWQGH-UHFFFAOYSA-N 0 2 307.269 0.899 20 0 DCADLN O=C(c1cnc2c[nH]nc2c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001634041473 1171658639 /nfs/dbraw/zinc/65/86/39/1171658639.db2.gz ZVAIEHOEOUXZNW-QMMMGPOBSA-N 0 2 313.321 0.801 20 0 DCADLN C/C=C\[C@H](O)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635457294 1171703435 /nfs/dbraw/zinc/70/34/35/1171703435.db2.gz WMCYWIFBTACKKS-NVJVVVOFSA-N 0 2 302.334 0.847 20 0 DCADLN O=C(CCc1nn[nH]n1)N[C@@H](Cn1ccnc1)c1ccccc1 ZINC001636676815 1171745760 /nfs/dbraw/zinc/74/57/60/1171745760.db2.gz JBANKSLQPDZKBJ-ZDUSSCGKSA-N 0 2 311.349 0.887 20 0 DCADLN CC(=O)Nc1ccc(O)c(C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC001639104444 1171822108 /nfs/dbraw/zinc/82/21/08/1171822108.db2.gz PYIKSAUSRCSAON-UHFFFAOYSA-N 0 2 322.346 0.995 20 0 DCADLN Cc1cc(C(=O)NCCc2n[nH]c(=S)o2)ncc1C(N)=O ZINC001639103627 1171822122 /nfs/dbraw/zinc/82/21/22/1171822122.db2.gz DSGSRISHOSOAOW-UHFFFAOYSA-N 0 2 307.335 0.133 20 0 DCADLN CC1=NS(=O)(=O)N(C)C=C1C(=O)NOc1ccc(F)cc1 ZINC001640101293 1171865007 /nfs/dbraw/zinc/86/50/07/1171865007.db2.gz CZUSYIWXPZJKKM-UHFFFAOYSA-N 0 2 313.310 0.771 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCC[C@@H]2CCOC2=O)cn1 ZINC001644887328 1172023555 /nfs/dbraw/zinc/02/35/55/1172023555.db2.gz KKUSQHCQEUXQLT-SNVBAGLBSA-N 0 2 317.349 0.883 20 0 DCADLN CC(C)c1[nH]cc(CNC(=O)c2c[n-]n3c2nccc3=O)[nH+]1 ZINC001645476465 1172043444 /nfs/dbraw/zinc/04/34/44/1172043444.db2.gz NTWACOIKYBLLTA-UHFFFAOYSA-N 0 2 300.322 0.799 20 0 DCADLN CC(C)c1[nH]c(CNC(=O)c2c[n-]n3c2nccc3=O)c[nH+]1 ZINC001645476465 1172043451 /nfs/dbraw/zinc/04/34/51/1172043451.db2.gz NTWACOIKYBLLTA-UHFFFAOYSA-N 0 2 300.322 0.799 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3cncn3)cc2)S1 ZINC001647092488 1172670315 /nfs/dbraw/zinc/67/03/15/1172670315.db2.gz LFWCUMIRCTWVCR-SNVBAGLBSA-N 0 2 316.346 0.762 20 0 DCADLN COCc1ccc(C[NH+]2CCN([C@]3(C(=O)[O-])CCOC3)CC2)o1 ZINC001647408610 1172868852 /nfs/dbraw/zinc/86/88/52/1172868852.db2.gz FHHKREWVZULNCM-MRXNPFEDSA-N 0 2 324.377 0.787 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CC[N@@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001649864188 1173269661 /nfs/dbraw/zinc/26/96/61/1173269661.db2.gz JJNJETVWLWMNOF-ZJUUUORDSA-N 0 2 323.374 0.804 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CC[N@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001649864188 1173269663 /nfs/dbraw/zinc/26/96/63/1173269663.db2.gz JJNJETVWLWMNOF-ZJUUUORDSA-N 0 2 323.374 0.804 20 0 DCADLN COCc1nnc(CNC(=O)NC[C@@H](C)c2ccccn2)[nH]1 ZINC001649892155 1173287367 /nfs/dbraw/zinc/28/73/67/1173287367.db2.gz PRNAQUSNWRCHJM-SNVBAGLBSA-N 0 2 304.354 0.949 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001650026214 1173389126 /nfs/dbraw/zinc/38/91/26/1173389126.db2.gz JBKCTVNDNDJQDV-CYBMUJFWSA-N 0 2 319.361 0.635 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001673369028 1175197791 /nfs/dbraw/zinc/19/77/91/1175197791.db2.gz ILSBSBNMXYHYBR-JTQLQIEISA-N 0 2 323.397 0.596 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001673369028 1175197793 /nfs/dbraw/zinc/19/77/93/1175197793.db2.gz ILSBSBNMXYHYBR-JTQLQIEISA-N 0 2 323.397 0.596 20 0 DCADLN O=C(C[C@@H]1CCCO1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001677537981 1175578435 /nfs/dbraw/zinc/57/84/35/1175578435.db2.gz PKKGHQVNDCWCLO-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(C[C@@H]1CCCO1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001677537981 1175578439 /nfs/dbraw/zinc/57/84/39/1175578439.db2.gz PKKGHQVNDCWCLO-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN COCC(C)(C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001686689498 1176193022 /nfs/dbraw/zinc/19/30/22/1176193022.db2.gz POXZYJYLDZYQLW-SSDOTTSWSA-N 0 2 302.268 0.792 20 0 DCADLN COCC(C)(C)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686689498 1176193027 /nfs/dbraw/zinc/19/30/27/1176193027.db2.gz POXZYJYLDZYQLW-SSDOTTSWSA-N 0 2 302.268 0.792 20 0 DCADLN CO[C@H](C(=O)NCCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001686983121 1176259796 /nfs/dbraw/zinc/25/97/96/1176259796.db2.gz DDMSETZXLZJOJM-IUCAKERBSA-N 0 2 314.279 0.934 20 0 DCADLN CO[C@H](C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001686983121 1176259798 /nfs/dbraw/zinc/25/97/98/1176259798.db2.gz DDMSETZXLZJOJM-IUCAKERBSA-N 0 2 314.279 0.934 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001686988650 1176260943 /nfs/dbraw/zinc/26/09/43/1176260943.db2.gz HHFLMOZZJYXGRL-HTQZYQBOSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686988650 1176260946 /nfs/dbraw/zinc/26/09/46/1176260946.db2.gz HHFLMOZZJYXGRL-HTQZYQBOSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(N)=O ZINC001689016468 1176674929 /nfs/dbraw/zinc/67/49/29/1176674929.db2.gz NWBHJMREPGVUDS-HZGVNTEJSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CC(N)=O ZINC001689016468 1176674933 /nfs/dbraw/zinc/67/49/33/1176674933.db2.gz NWBHJMREPGVUDS-HZGVNTEJSA-N 0 2 315.267 0.019 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@H+](C)CCOCC(F)F)c1[O-] ZINC001699445833 1178141667 /nfs/dbraw/zinc/14/16/67/1178141667.db2.gz SSGSISCMPGXNKK-QMMMGPOBSA-N 0 2 320.340 0.756 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@@H+](C)CCOCC(F)F)c1[O-] ZINC001699445833 1178141674 /nfs/dbraw/zinc/14/16/74/1178141674.db2.gz SSGSISCMPGXNKK-QMMMGPOBSA-N 0 2 320.340 0.756 20 0 DCADLN Cc1nnsc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001703099568 1179290764 /nfs/dbraw/zinc/29/07/64/1179290764.db2.gz DOHXCTZIHFCCML-LURJTMIESA-N 0 2 314.264 0.593 20 0 DCADLN Cc1nnsc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001703099568 1179290767 /nfs/dbraw/zinc/29/07/67/1179290767.db2.gz DOHXCTZIHFCCML-LURJTMIESA-N 0 2 314.264 0.593 20 0 DCADLN O=C(C=Cc1ccco1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001714955282 1181615623 /nfs/dbraw/zinc/61/56/23/1181615623.db2.gz OJZFSMSKGGJAHJ-ARJAWSKDSA-N 0 2 303.322 0.365 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc2c(c1)CC(=O)N2C ZINC000171153096 1183713052 /nfs/dbraw/zinc/71/30/52/1183713052.db2.gz MBGOOIYRBNBRTN-UHFFFAOYSA-N 0 2 306.347 0.740 20 0 DCADLN Cc1conc1CN(C)CCCNC(=O)C[C@@H]1CCC(=O)N1 ZINC001731271668 1185232272 /nfs/dbraw/zinc/23/22/72/1185232272.db2.gz MSPLHYFYTCMFTM-LBPRGKRZSA-N 0 2 308.382 0.590 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)[C@H]2CCC[N@H+]2C(C)C)c1[O-] ZINC001736718542 1187062406 /nfs/dbraw/zinc/06/24/06/1187062406.db2.gz ZVHHAAIOXLMNPW-LLVKDONJSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)[C@H]2CCC[N@@H+]2C(C)C)c1[O-] ZINC001736718542 1187062408 /nfs/dbraw/zinc/06/24/08/1187062408.db2.gz ZVHHAAIOXLMNPW-LLVKDONJSA-N 0 2 323.397 0.143 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)c1cnn(C)c1 ZINC001736785201 1187105125 /nfs/dbraw/zinc/10/51/25/1187105125.db2.gz JUUYOMAQTJYXJJ-IONNQARKSA-N 0 2 324.278 0.656 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1cnn(C)c1 ZINC001736785201 1187105127 /nfs/dbraw/zinc/10/51/27/1187105127.db2.gz JUUYOMAQTJYXJJ-IONNQARKSA-N 0 2 324.278 0.656 20 0 DCADLN CCCOCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001738565827 1187596529 /nfs/dbraw/zinc/59/65/29/1187596529.db2.gz BXOIKERJYWCUSY-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001738565827 1187596533 /nfs/dbraw/zinc/59/65/33/1187596533.db2.gz BXOIKERJYWCUSY-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@@]1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCCOC1 ZINC001742496851 1187995106 /nfs/dbraw/zinc/99/51/06/1187995106.db2.gz GQQMXAOIXSGNQF-GZMMTYOYSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@@]1(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)CCCOC1 ZINC001742496851 1187995108 /nfs/dbraw/zinc/99/51/08/1187995108.db2.gz GQQMXAOIXSGNQF-GZMMTYOYSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cc[nH]c(=O)c1 ZINC001742815066 1188058505 /nfs/dbraw/zinc/05/85/05/1188058505.db2.gz IYBFGALJPILNTQ-VIFPVBQESA-N 0 2 323.246 0.924 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1cc[nH]c(=O)c1 ZINC001742815066 1188058509 /nfs/dbraw/zinc/05/85/09/1188058509.db2.gz IYBFGALJPILNTQ-VIFPVBQESA-N 0 2 323.246 0.924 20 0 DCADLN C[C@H]1CCN(C(=O)CSc2n[nH]c(=O)[n-]2)C[C@@H]1n1cc[nH+]c1 ZINC000288284534 1188226681 /nfs/dbraw/zinc/22/66/81/1188226681.db2.gz GYRICXFCGZLZFM-UWVGGRQHSA-N 0 2 322.394 0.496 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@@H+](C)[C@@H](C)c2nncn2C)c1[O-] ZINC001751958898 1188367298 /nfs/dbraw/zinc/36/72/98/1188367298.db2.gz PALYQIGPTPBTPK-JTQLQIEISA-N 0 2 321.385 0.365 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@H+](C)[C@@H](C)c2nncn2C)c1[O-] ZINC001751958898 1188367301 /nfs/dbraw/zinc/36/73/01/1188367301.db2.gz PALYQIGPTPBTPK-JTQLQIEISA-N 0 2 321.385 0.365 20 0 DCADLN CCc1c(C(=O)NCCNC(=O)C(F)C(F)(F)F)cnn1C ZINC001758028084 1189686942 /nfs/dbraw/zinc/68/69/42/1189686942.db2.gz UQDKUIRHOMMKJV-SECBINFHSA-N 0 2 324.278 0.729 20 0 DCADLN CCc1c(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cnn1C ZINC001758028084 1189686945 /nfs/dbraw/zinc/68/69/45/1189686945.db2.gz UQDKUIRHOMMKJV-SECBINFHSA-N 0 2 324.278 0.729 20 0 DCADLN CCC(=O)N[C@H](C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001758027852 1189687472 /nfs/dbraw/zinc/68/74/72/1189687472.db2.gz NPELWVHIRQWPAA-HTRCEHHLSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)N[C@H](C)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758027852 1189687477 /nfs/dbraw/zinc/68/74/77/1189687477.db2.gz NPELWVHIRQWPAA-HTRCEHHLSA-N 0 2 315.267 0.034 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001758419711 1189878875 /nfs/dbraw/zinc/87/88/75/1189878875.db2.gz KHAOYNVRXQQRDN-OCCSQVGLSA-N 0 2 322.409 0.650 20 0 DCADLN Cn1cc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)nn1 ZINC001759692856 1190270622 /nfs/dbraw/zinc/27/06/22/1190270622.db2.gz KQKZYRXSIWHUNT-YAJNLLPGSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1cc(C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001759692856 1190270623 /nfs/dbraw/zinc/27/06/23/1190270623.db2.gz KQKZYRXSIWHUNT-YAJNLLPGSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1cc(CCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001771693529 1190470158 /nfs/dbraw/zinc/47/01/58/1190470158.db2.gz ZAJAZYUQGLXDNI-NSHDSACASA-N 0 2 304.354 0.583 20 0 DCADLN O=C(N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C1(CO)CCOCC1 ZINC001771752725 1190489657 /nfs/dbraw/zinc/48/96/57/1190489657.db2.gz LEWSZRGTANLCGB-SNVBAGLBSA-N 0 2 310.354 0.005 20 0 DCADLN CN(C)C(=O)[C@@H]1CCCN1c1nnc(-c2n[nH]c(Cl)n2)n1C ZINC001772149871 1190614754 /nfs/dbraw/zinc/61/47/54/1190614754.db2.gz CIAFTWKNSYXSNB-ZETCQYMHSA-N 0 2 324.776 0.311 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C2C[NH+](C[C@H]3CCO[C@H](C)C3)C2)c1[O-] ZINC001042619444 751786540 /nfs/dbraw/zinc/78/65/40/751786540.db2.gz DMGHVNPALTXMBI-PWSUYJOCSA-N 0 2 322.409 0.995 20 0 DCADLN CN(C(=O)[C@]1(C)CC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042781249 751906779 /nfs/dbraw/zinc/90/67/79/751906779.db2.gz VRDNGZMFAWLVNK-OAHLLOKOSA-N 0 2 305.382 0.899 20 0 DCADLN CCN(C(=O)[C@@H](C)OC)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001079408055 755827924 /nfs/dbraw/zinc/82/79/24/755827924.db2.gz MTMZIISHHZYMFB-VXNVDRBHSA-N 0 2 314.279 0.981 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2CCC2)C1)C(F)C(F)(F)F ZINC001043373685 752200607 /nfs/dbraw/zinc/20/06/07/752200607.db2.gz CZXYVKKNKDZEFR-MRVPVSSYSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2CCC2)C1)[C@@H](F)C(F)(F)F ZINC001043373685 752200616 /nfs/dbraw/zinc/20/06/16/752200616.db2.gz CZXYVKKNKDZEFR-MRVPVSSYSA-N 0 2 312.263 0.376 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cc(F)c[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087662294 749010852 /nfs/dbraw/zinc/01/08/52/749010852.db2.gz BXPRQAGJRIDBEV-GXSJLCMTSA-N 0 2 322.344 0.760 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cc(F)c[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087662294 749010855 /nfs/dbraw/zinc/01/08/55/749010855.db2.gz BXPRQAGJRIDBEV-GXSJLCMTSA-N 0 2 322.344 0.760 20 0 DCADLN Cc1ccoc1CC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088844421 749467957 /nfs/dbraw/zinc/46/79/57/749467957.db2.gz QFGCIMXNWXVUGT-MNOVXSKESA-N 0 2 319.365 0.733 20 0 DCADLN Cc1ccoc1CC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088844421 749467961 /nfs/dbraw/zinc/46/79/61/749467961.db2.gz QFGCIMXNWXVUGT-MNOVXSKESA-N 0 2 319.365 0.733 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@H]2CCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088501634 749516264 /nfs/dbraw/zinc/51/62/64/749516264.db2.gz DLNOMXXJAAEYCS-MXWKQRLJSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@H]2CCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088501634 749516268 /nfs/dbraw/zinc/51/62/68/749516268.db2.gz DLNOMXXJAAEYCS-MXWKQRLJSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088547633 749575935 /nfs/dbraw/zinc/57/59/35/749575935.db2.gz GXGRVZWUVISUTE-ZJUUUORDSA-N 0 2 304.354 0.540 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088547633 749575938 /nfs/dbraw/zinc/57/59/38/749575938.db2.gz GXGRVZWUVISUTE-ZJUUUORDSA-N 0 2 304.354 0.540 20 0 DCADLN Cc1ccc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001106142776 750980315 /nfs/dbraw/zinc/98/03/15/750980315.db2.gz YFTLFQJPHXAHBB-IONNQARKSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccc(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001106142776 750980317 /nfs/dbraw/zinc/98/03/17/750980317.db2.gz YFTLFQJPHXAHBB-IONNQARKSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)NCC[NH2+][C@@H]3CCCNC3=O)ccn12 ZINC001127292783 751036029 /nfs/dbraw/zinc/03/60/29/751036029.db2.gz LGZWNPVZJFYMJT-CYBMUJFWSA-N 0 2 315.377 0.241 20 0 DCADLN CN(C(=O)[C@@H]1CCC(C)(C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043784810 752388209 /nfs/dbraw/zinc/38/82/09/752388209.db2.gz OFHJJPRUJWFLLO-SNVBAGLBSA-N 0 2 307.398 0.979 20 0 DCADLN CC[C@@H](C(=O)N[C@H](C)CNc1ncnc2[nH]cnc21)[NH+](C)C ZINC001107848698 752413362 /nfs/dbraw/zinc/41/33/62/752413362.db2.gz NLGGSLVJHCCWDO-ZJUUUORDSA-N 0 2 305.386 0.561 20 0 DCADLN CC[C@@H]1C[C@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001044154775 752598854 /nfs/dbraw/zinc/59/88/54/752598854.db2.gz ZMXLKCKKVAVMBN-ZYHUDNBSSA-N 0 2 323.397 0.358 20 0 DCADLN COc1cccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001044224672 752634724 /nfs/dbraw/zinc/63/47/24/752634724.db2.gz ZTISOGZRFQBODT-UHFFFAOYSA-N 0 2 317.349 0.475 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049285431 752770675 /nfs/dbraw/zinc/77/06/75/752770675.db2.gz QTCCLXMYXISXIE-VHSXEESVSA-N 0 2 311.361 0.824 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049285431 752770677 /nfs/dbraw/zinc/77/06/77/752770677.db2.gz QTCCLXMYXISXIE-VHSXEESVSA-N 0 2 311.361 0.824 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@H]2[C@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049285431 752770682 /nfs/dbraw/zinc/77/06/82/752770682.db2.gz QTCCLXMYXISXIE-VHSXEESVSA-N 0 2 311.361 0.824 20 0 DCADLN CC/C=C/CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn[nH]2)C1 ZINC001108043376 753444064 /nfs/dbraw/zinc/44/40/64/753444064.db2.gz JIXBWORNKZMMRT-RGDDUWESSA-N 0 2 307.398 0.982 20 0 DCADLN Cc1ncoc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046217292 753565691 /nfs/dbraw/zinc/56/56/91/753565691.db2.gz NVRXAPUOTFZNLR-ZDUSSCGKSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@@H](CC(F)(F)F)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113294618 762227328 /nfs/dbraw/zinc/22/73/28/762227328.db2.gz GNRSLLSLFIGDNC-QMMMGPOBSA-N 0 2 321.303 0.743 20 0 DCADLN Cc1noc([C@H](C)N2CC[C@](C)(NC(=O)c3cnn[nH]3)C2)n1 ZINC001046441470 753720634 /nfs/dbraw/zinc/72/06/34/753720634.db2.gz KJIHXQHLPINVEJ-SDBXPKJASA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@H](C)[N@@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046441470 753720638 /nfs/dbraw/zinc/72/06/38/753720638.db2.gz KJIHXQHLPINVEJ-SDBXPKJASA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@H](C)[N@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046441470 753720644 /nfs/dbraw/zinc/72/06/44/753720644.db2.gz KJIHXQHLPINVEJ-SDBXPKJASA-N 0 2 305.342 0.452 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccoc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071349688 754086501 /nfs/dbraw/zinc/08/65/01/754086501.db2.gz KOWUFGIONWWIQF-MWLCHTKSSA-N 0 2 305.338 0.886 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccoc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071349689 754086815 /nfs/dbraw/zinc/08/68/15/754086815.db2.gz KOWUFGIONWWIQF-ONGXEEELSA-N 0 2 305.338 0.886 20 0 DCADLN O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1nncs1)C2)c1cnon1 ZINC001047166378 754114569 /nfs/dbraw/zinc/11/45/69/754114569.db2.gz ANTZTRZWTWRJIE-GUBZILKMSA-N 0 2 320.378 0.704 20 0 DCADLN CC(C)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001048956939 755039602 /nfs/dbraw/zinc/03/96/02/755039602.db2.gz AREBVOPPTZLAQG-CIUDSAMLSA-N 0 2 314.279 0.573 20 0 DCADLN CC(C)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001048956939 755039604 /nfs/dbraw/zinc/03/96/04/755039604.db2.gz AREBVOPPTZLAQG-CIUDSAMLSA-N 0 2 314.279 0.573 20 0 DCADLN O=C(c1ccoc1)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049331521 755225467 /nfs/dbraw/zinc/22/54/67/755225467.db2.gz MGGIGIKTIALXPQ-NWDGAFQWSA-N 0 2 317.349 0.982 20 0 DCADLN O=C(c1ccoc1)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049331521 755225470 /nfs/dbraw/zinc/22/54/70/755225470.db2.gz MGGIGIKTIALXPQ-NWDGAFQWSA-N 0 2 317.349 0.982 20 0 DCADLN CCN(C(=O)[C@@H](C)OC)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001079408055 755827915 /nfs/dbraw/zinc/82/79/15/755827915.db2.gz MTMZIISHHZYMFB-VXNVDRBHSA-N 0 2 314.279 0.981 20 0 DCADLN C[C@@H](O)CN1CC2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC001053263088 755979093 /nfs/dbraw/zinc/97/90/93/755979093.db2.gz IGNIWDGMLJLIET-RKDXNWHRSA-N 0 2 314.279 0.181 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C[C@@H]1C=CCC1 ZINC001081340145 756583298 /nfs/dbraw/zinc/58/32/98/756583298.db2.gz YQIYCQMJOXCEGJ-IJLUTSLNSA-N 0 2 321.381 0.182 20 0 DCADLN C/C(=C/C(=O)N1C[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001084209834 757701958 /nfs/dbraw/zinc/70/19/58/757701958.db2.gz WCNANCOAMSVOEG-PIFJCSEESA-N 0 2 317.393 0.899 20 0 DCADLN C/C(=C/C(=O)N1C[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001084209834 757701964 /nfs/dbraw/zinc/70/19/64/757701964.db2.gz WCNANCOAMSVOEG-PIFJCSEESA-N 0 2 317.393 0.899 20 0 DCADLN Cc1[nH]ccc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084267433 757764653 /nfs/dbraw/zinc/76/46/53/757764653.db2.gz WUWOGPBTEZNKSH-ZYHUDNBSSA-N 0 2 316.365 0.493 20 0 DCADLN CNC(=O)CN1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001084360481 757801071 /nfs/dbraw/zinc/80/10/71/757801071.db2.gz DDRLYFBZLHBNMD-NQMVMOMDSA-N 0 2 311.279 0.166 20 0 DCADLN CO[C@@H](C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C(C)C ZINC001084634380 757940930 /nfs/dbraw/zinc/94/09/30/757940930.db2.gz OCBGBQWTNRMMQS-NQBHXWOUSA-N 0 2 323.397 0.214 20 0 DCADLN O=C(N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1(CF)CCC1 ZINC001084671806 757960940 /nfs/dbraw/zinc/96/09/40/757960940.db2.gz LIWNLLBTBIKWSW-GHMZBOCLSA-N 0 2 323.372 0.683 20 0 DCADLN O=C([C@H]1CC12CCC2)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084689334 757970230 /nfs/dbraw/zinc/97/02/30/757970230.db2.gz CDDOVKAMVLKCDC-IJLUTSLNSA-N 0 2 317.393 0.733 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccsc1 ZINC001085476004 758926448 /nfs/dbraw/zinc/92/64/48/758926448.db2.gz PMRKGHMWHGBLKG-SNVBAGLBSA-N 0 2 307.379 0.918 20 0 DCADLN C/C=C(\C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057830265 759573538 /nfs/dbraw/zinc/57/35/38/759573538.db2.gz BWTPLUPFFBEFIV-IPQKIJNHSA-N 0 2 314.279 0.790 20 0 DCADLN C/C=C(\C)C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057830265 759573544 /nfs/dbraw/zinc/57/35/44/759573544.db2.gz BWTPLUPFFBEFIV-IPQKIJNHSA-N 0 2 314.279 0.790 20 0 DCADLN CCC(=O)N1CC2(C1)C[C@@H](NC(=O)C(F)C(F)(F)F)CO2 ZINC001068897087 760594027 /nfs/dbraw/zinc/59/40/27/760594027.db2.gz RWANIOGGVVQLBX-APPZFPTMSA-N 0 2 312.263 0.783 20 0 DCADLN CCC(=O)N1CC2(C1)C[C@@H](NC(=O)[C@H](F)C(F)(F)F)CO2 ZINC001068897087 760594030 /nfs/dbraw/zinc/59/40/30/760594030.db2.gz RWANIOGGVVQLBX-APPZFPTMSA-N 0 2 312.263 0.783 20 0 DCADLN CSCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001108912558 761143414 /nfs/dbraw/zinc/14/34/14/761143414.db2.gz PIIIJQCSNCPAAP-UTLUCORTSA-N 0 2 311.411 0.485 20 0 DCADLN CSCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001108912558 761143416 /nfs/dbraw/zinc/14/34/16/761143416.db2.gz PIIIJQCSNCPAAP-UTLUCORTSA-N 0 2 311.411 0.485 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109068375 761224322 /nfs/dbraw/zinc/22/43/22/761224322.db2.gz TYPOZDNZSUNWJG-ZNSHCXBVSA-N 0 2 309.370 0.014 20 0 DCADLN CC(C)[C@@H](F)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109622605 761483391 /nfs/dbraw/zinc/48/33/91/761483391.db2.gz SNNZCFFTESWDSL-FYLLDIAZSA-N 0 2 311.361 0.726 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cccnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071319323 761776904 /nfs/dbraw/zinc/77/69/04/761776904.db2.gz MXMRJPFTGZGSKA-CMPLNLGQSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cnccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071321711 761777568 /nfs/dbraw/zinc/77/75/68/761777568.db2.gz NFIXDKFFOUQPLY-UWVGGRQHSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001071330047 761781459 /nfs/dbraw/zinc/78/14/59/761781459.db2.gz QUOYRFZKZAVXIC-WPRPVWTQSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccc[nH]2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071342307 761787315 /nfs/dbraw/zinc/78/73/15/761787315.db2.gz GQNGPNBMBMSOQH-UWVGGRQHSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccc[nH]2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071342307 761787317 /nfs/dbraw/zinc/78/73/17/761787317.db2.gz GQNGPNBMBMSOQH-UWVGGRQHSA-N 0 2 304.354 0.621 20 0 DCADLN Cc1nnc(CN2C[C@@H]3CCC[C@]3(NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001098919335 762753085 /nfs/dbraw/zinc/75/30/85/762753085.db2.gz HBDSTNWBFLZSMF-HZMBPMFUSA-N 0 2 316.369 0.016 20 0 DCADLN O=C(CCCC1CC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099967604 763172736 /nfs/dbraw/zinc/17/27/36/763172736.db2.gz QBNLNKPKTQWERV-NWDGAFQWSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)CC(F)(F)F)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131892153 764105096 /nfs/dbraw/zinc/10/50/96/764105096.db2.gz LCGXCVYBAFTXGP-SFYZADRCSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)CC(F)(F)F)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131892153 764105104 /nfs/dbraw/zinc/10/51/04/764105104.db2.gz LCGXCVYBAFTXGP-SFYZADRCSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)CC(F)(F)F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001131892153 764105111 /nfs/dbraw/zinc/10/51/11/764105111.db2.gz LCGXCVYBAFTXGP-SFYZADRCSA-N 0 2 321.303 0.932 20 0 DCADLN CC[C@H](F)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001111837606 765402608 /nfs/dbraw/zinc/40/26/08/765402608.db2.gz VASAFWIPYIOXEZ-BHDSKKPTSA-N 0 2 311.361 0.729 20 0 DCADLN CC[C@H](F)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001111837606 765402615 /nfs/dbraw/zinc/40/26/15/765402615.db2.gz VASAFWIPYIOXEZ-BHDSKKPTSA-N 0 2 311.361 0.729 20 0 DCADLN Cc1ccnc(NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001124808738 768307242 /nfs/dbraw/zinc/30/72/42/768307242.db2.gz KOFAXIWFHNFSBZ-DTWKUNHWSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1ccnc(NC[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F)n1 ZINC001124808738 768307250 /nfs/dbraw/zinc/30/72/50/768307250.db2.gz KOFAXIWFHNFSBZ-DTWKUNHWSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1ccc(NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F)nn1 ZINC001124809605 768308372 /nfs/dbraw/zinc/30/83/72/768308372.db2.gz XZMODBBFNNDQED-WCBMZHEXSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1ccc(NC[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001124809605 768308379 /nfs/dbraw/zinc/30/83/79/768308379.db2.gz XZMODBBFNNDQED-WCBMZHEXSA-N 0 2 324.278 0.917 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)N(C)C[C@H](O)C[NH+]1CCOCC1 ZINC001119537895 766717519 /nfs/dbraw/zinc/71/75/19/766717519.db2.gz TVMNYXZWRGXNRI-LBPRGKRZSA-N 0 2 316.398 0.029 20 0 DCADLN COC(=O)C=CC(=O)N1CCc2c(C[N@H+](C)C(C)C)n[nH]c2C1 ZINC001144097688 766799969 /nfs/dbraw/zinc/79/99/69/766799969.db2.gz KSWBQTFJCWFESX-AATRIKPKSA-N 0 2 320.393 0.864 20 0 DCADLN CC[C@@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149208667 767039462 /nfs/dbraw/zinc/03/94/62/767039462.db2.gz VMHOXROKWVUYTG-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN Cn1ncc(CCC(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)n1 ZINC001140363246 768527710 /nfs/dbraw/zinc/52/77/10/768527710.db2.gz BPBNONKJECFDGA-UHFFFAOYSA-N 0 2 313.321 0.877 20 0 DCADLN Nc1c(Br)cccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001149296366 768727780 /nfs/dbraw/zinc/72/77/80/768727780.db2.gz FMGULHOAIYXOBU-UHFFFAOYSA-N 0 2 312.127 0.785 20 0 DCADLN CCCSCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001230927378 769135259 /nfs/dbraw/zinc/13/52/59/769135259.db2.gz YODJGKFJDNNSPU-JTQLQIEISA-N 0 2 313.427 0.686 20 0 DCADLN CCCCOCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231369551 769239951 /nfs/dbraw/zinc/23/99/51/769239951.db2.gz VBBPPBVFPCCEGD-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN O=C(NOC[C@@H]1CCOC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001234258532 769605902 /nfs/dbraw/zinc/60/59/02/769605902.db2.gz NNFIMXREHQYNJK-ZJUUUORDSA-N 0 2 311.342 0.367 20 0 DCADLN O=C(NOC[C@H]1CCOC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001234258529 769606279 /nfs/dbraw/zinc/60/62/79/769606279.db2.gz NNFIMXREHQYNJK-UWVGGRQHSA-N 0 2 311.342 0.367 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc(=O)c2cccc(O)c2[nH]1 ZINC001152680759 769622896 /nfs/dbraw/zinc/62/28/96/769622896.db2.gz UICCIOGWOUVMBH-GFCCVEGCSA-N 0 2 319.298 0.685 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cnn(C)n1)C(=O)C(F)C(F)(F)F ZINC001234426315 769652460 /nfs/dbraw/zinc/65/24/60/769652460.db2.gz TWGGGHFKXPRKJL-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cnn(C)n1)C(=O)[C@@H](F)C(F)(F)F ZINC001234426315 769652464 /nfs/dbraw/zinc/65/24/64/769652464.db2.gz TWGGGHFKXPRKJL-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN CC[N@H+](Cc1n[nH]c(=O)[n-]1)[C@H](C)CNC(=O)c1ncccc1O ZINC001152975552 769684761 /nfs/dbraw/zinc/68/47/61/769684761.db2.gz VTYOWPNIQJBAHI-SECBINFHSA-N 0 2 320.353 0.251 20 0 DCADLN CC[N@@H+](Cc1n[nH]c(=O)[n-]1)[C@H](C)CNC(=O)c1ncccc1O ZINC001152975552 769684768 /nfs/dbraw/zinc/68/47/68/769684768.db2.gz VTYOWPNIQJBAHI-SECBINFHSA-N 0 2 320.353 0.251 20 0 DCADLN CCN(CC)C(=O)[C@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153356245 769797011 /nfs/dbraw/zinc/79/70/11/769797011.db2.gz KQDXTBSALZJJKM-AWEZNQCLSA-N 0 2 323.441 0.626 20 0 DCADLN CN(C[C@@H]1CC[NH+]1CC(=O)N1CCC1)C(=O)C[N@H+](C)C1CCC1 ZINC001235392748 769828390 /nfs/dbraw/zinc/82/83/90/769828390.db2.gz MFBSSXJGDLVPRU-HNNXBMFYSA-N 0 2 322.453 0.236 20 0 DCADLN Cc1[nH][nH]c2ncnc(=NC(=O)c3cnc4cccnc4c3O)c1-2 ZINC001153851002 769963181 /nfs/dbraw/zinc/96/31/81/769963181.db2.gz JQMIBUDMSAPVIH-UHFFFAOYSA-N 0 2 321.300 0.524 20 0 DCADLN CN(C(=O)CCNC(=O)c1c[nH]c2cccnc2c1=O)C1CC1 ZINC001153861047 769968058 /nfs/dbraw/zinc/96/80/58/769968058.db2.gz VWZFORSYERNYQU-UHFFFAOYSA-N 0 2 314.345 0.664 20 0 DCADLN O=C(CCc1nc(-c2cccnc2)no1)NCc1n[nH]c(=O)[nH]1 ZINC001176784697 770165798 /nfs/dbraw/zinc/16/57/98/770165798.db2.gz WUPYUGGDRUMAMJ-UHFFFAOYSA-N 0 2 315.293 0.204 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)C1(O)Cc2ccccc2C1 ZINC001154900731 770332085 /nfs/dbraw/zinc/33/20/85/770332085.db2.gz IBNHXEWILWZBDF-UHFFFAOYSA-N 0 2 305.359 0.547 20 0 DCADLN CC[N@@H+](CC(=O)NC)[C@H](C)CNC(=O)c1c[nH+]ccc1N(C)C ZINC001156428538 770814982 /nfs/dbraw/zinc/81/49/82/770814982.db2.gz QPPCJDXKSMRXHD-GFCCVEGCSA-N 0 2 321.425 0.334 20 0 DCADLN CCOC(=O)C1(NC(=O)[C@H]2CCCC[N@@H+]2C)CC[NH+](C)CC1 ZINC001178701036 770841172 /nfs/dbraw/zinc/84/11/72/770841172.db2.gz GLWFVECPHCPYNT-CYBMUJFWSA-N 0 2 311.426 0.614 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=Cc2cccnc2)[nH]1 ZINC001179021532 770921337 /nfs/dbraw/zinc/92/13/37/770921337.db2.gz VQETYBLXEHEXRH-SNAWJCMRSA-N 0 2 321.362 0.074 20 0 DCADLN CCN(C)C(=O)C[N@H+](CC)[C@H](C)CNC(=O)Cn1cc[nH+]c1C ZINC001156942290 770972323 /nfs/dbraw/zinc/97/23/23/770972323.db2.gz JQANCPUTBJEGIG-CYBMUJFWSA-N 0 2 323.441 0.496 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](C)CCN1C(=O)C1(C(=O)[O-])CCCCC1 ZINC001180437714 771116429 /nfs/dbraw/zinc/11/64/29/771116429.db2.gz BFZRPZJODDLBLH-NSHDSACASA-N 0 2 312.366 0.337 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](C)CCN1C(=O)C1(C(=O)[O-])CCCCC1 ZINC001180437714 771116433 /nfs/dbraw/zinc/11/64/33/771116433.db2.gz BFZRPZJODDLBLH-NSHDSACASA-N 0 2 312.366 0.337 20 0 DCADLN O=C(Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12)C1COC1 ZINC001181006750 771217878 /nfs/dbraw/zinc/21/78/78/771217878.db2.gz HUKMTZLGGGWCPI-UHFFFAOYSA-N 0 2 313.273 0.558 20 0 DCADLN CC1(C)C[C@@H]1C(=O)NCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001181301065 771263761 /nfs/dbraw/zinc/26/37/61/771263761.db2.gz NJPMYSHBZMYHEQ-MNOVXSKESA-N 0 2 322.365 0.074 20 0 DCADLN CC1(C)C[C@@H]1C(=O)NCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001181301065 771263766 /nfs/dbraw/zinc/26/37/66/771263766.db2.gz NJPMYSHBZMYHEQ-MNOVXSKESA-N 0 2 322.365 0.074 20 0 DCADLN COC(=O)CSCCC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001158307965 771756530 /nfs/dbraw/zinc/75/65/30/771756530.db2.gz UAAVFJCAADBMIN-QMMMGPOBSA-N 0 2 301.368 0.599 20 0 DCADLN Cc1nc(C(=O)NCc2n[nH]c(=O)[nH]2)sc1Br ZINC001184903090 771842179 /nfs/dbraw/zinc/84/21/79/771842179.db2.gz GSZXXUSHBJYBMS-UHFFFAOYSA-N 0 2 318.156 0.968 20 0 DCADLN CCCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110361047 771906553 /nfs/dbraw/zinc/90/65/53/771906553.db2.gz WHYILOSOTGGDLK-MXWKQRLJSA-N 0 2 309.370 0.158 20 0 DCADLN Cc1c(F)cccc1S(=O)(=O)Nc1cnc(C(N)=O)nc1 ZINC001185796443 771956492 /nfs/dbraw/zinc/95/64/92/771956492.db2.gz GMUIBGCGNVDDGO-UHFFFAOYSA-N 0 2 310.310 0.824 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1S(=O)(=O)N1C[C@@H]2C[C@H]1C[N@H+]2C ZINC001185899029 771969009 /nfs/dbraw/zinc/96/90/09/771969009.db2.gz ICTGVXRGLQNOSB-RYUDHWBXSA-N 0 2 310.375 0.770 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1S(=O)(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2C ZINC001185899029 771969012 /nfs/dbraw/zinc/96/90/12/771969012.db2.gz ICTGVXRGLQNOSB-RYUDHWBXSA-N 0 2 310.375 0.770 20 0 DCADLN CC(=O)O[C@H](Cc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001186342368 772037065 /nfs/dbraw/zinc/03/70/65/772037065.db2.gz FKNWDOQKQDFNBR-LLVKDONJSA-N 0 2 304.306 0.301 20 0 DCADLN CCc1nc2c(nccc2C(=O)NCCCc2n[nH]c(=O)[nH]2)[nH]1 ZINC001160880409 772131478 /nfs/dbraw/zinc/13/14/78/772131478.db2.gz VQTUHWMXUULPOB-UHFFFAOYSA-N 0 2 315.337 0.707 20 0 DCADLN CCOCCS(=O)(=O)N[C@H](C(=O)OCC)c1cnccn1 ZINC001187311692 772173342 /nfs/dbraw/zinc/17/33/42/772173342.db2.gz MZWOBFMFDJJUDU-NSHDSACASA-N 0 2 317.367 0.037 20 0 DCADLN Cc1cccnc1O[C@H]1CCN(C(=O)[C@@H]([NH3+])Cc2c[nH+]c[nH]2)C1 ZINC001161395331 772217146 /nfs/dbraw/zinc/21/71/46/772217146.db2.gz UINZHYGNBMHLMC-KBPBESRZSA-N 0 2 315.377 0.663 20 0 DCADLN CCOC(=O)c1cc(NC(=O)c2n[nH]nc2C(F)(F)F)n[nH]1 ZINC001187759240 772224892 /nfs/dbraw/zinc/22/48/92/772224892.db2.gz WDDCTSVEEXAAEA-UHFFFAOYSA-N 0 2 318.215 0.976 20 0 DCADLN O=C(Nc1ncnc2[nH]nnc21)c1cccn(C(F)F)c1=O ZINC001188517503 772310814 /nfs/dbraw/zinc/31/08/14/772310814.db2.gz GOACEMZJUFMFFW-UHFFFAOYSA-N 0 2 307.220 0.557 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2ncc(F)cc2F)c(=O)[nH]1 ZINC001190141923 772588860 /nfs/dbraw/zinc/58/88/60/772588860.db2.gz JDQOMRNMYKFMTN-UHFFFAOYSA-N 0 2 302.262 0.965 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)c1ncc(F)cc1F)=C(C)O ZINC001190207762 772607226 /nfs/dbraw/zinc/60/72/26/772607226.db2.gz VVIOOBZKVDFFOI-VIFPVBQESA-N 0 2 322.289 0.159 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cccc(CO)n2)cc1 ZINC001191579753 772785410 /nfs/dbraw/zinc/78/54/10/772785410.db2.gz QACDVFCZYRKQME-UHFFFAOYSA-N 0 2 321.358 0.734 20 0 DCADLN Cc1nnc(-c2cccc(S(=O)(=O)Nc3ncn(C)n3)c2)o1 ZINC001192220117 772890603 /nfs/dbraw/zinc/89/06/03/772890603.db2.gz WPUMNNRFBUWVLP-UHFFFAOYSA-N 0 2 320.334 0.974 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206378840 773071558 /nfs/dbraw/zinc/07/15/58/773071558.db2.gz PKNNTFLAZPVTTE-GMTAPVOTSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206378840 773071562 /nfs/dbraw/zinc/07/15/62/773071562.db2.gz PKNNTFLAZPVTTE-GMTAPVOTSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC(F)(F)F ZINC001206421554 773075120 /nfs/dbraw/zinc/07/51/20/773075120.db2.gz FKOGULGVJVDNIZ-RNFRBKRXSA-N 0 2 307.276 0.399 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC(F)(F)F ZINC001206421554 773075124 /nfs/dbraw/zinc/07/51/24/773075124.db2.gz FKOGULGVJVDNIZ-RNFRBKRXSA-N 0 2 307.276 0.399 20 0 DCADLN COc1ccc2nc(NS(=O)(=O)c3nccn3C)nnc2c1 ZINC001193554739 773083716 /nfs/dbraw/zinc/08/37/16/773083716.db2.gz FOUSZIMFGKGZBF-UHFFFAOYSA-N 0 2 320.334 0.568 20 0 DCADLN CCOC(=O)c1cc[nH]c1NC(=O)c1cc(C(=O)OC)n[nH]1 ZINC001194279437 773166047 /nfs/dbraw/zinc/16/60/47/773166047.db2.gz UONMFTGGROPICV-UHFFFAOYSA-N 0 2 306.278 0.953 20 0 DCADLN CCOC(=O)c1cc[nH]c1NC(=O)c1cc(C(=O)OC)[nH]n1 ZINC001194279437 773166049 /nfs/dbraw/zinc/16/60/49/773166049.db2.gz UONMFTGGROPICV-UHFFFAOYSA-N 0 2 306.278 0.953 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc3c(c2)CC(=O)N3C)[nH]n1 ZINC001194288539 773177589 /nfs/dbraw/zinc/17/75/89/773177589.db2.gz HZHANLIKFCVMMN-UHFFFAOYSA-N 0 2 314.301 0.968 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc3c(c2)CC(=O)N3C)n[nH]1 ZINC001194288539 773177592 /nfs/dbraw/zinc/17/75/92/773177592.db2.gz HZHANLIKFCVMMN-UHFFFAOYSA-N 0 2 314.301 0.968 20 0 DCADLN CO[C@H](C)CCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195598527 773441236 /nfs/dbraw/zinc/44/12/36/773441236.db2.gz WMYJIALDURUVMX-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cc(Cl)ncc1CO ZINC001195942704 773500182 /nfs/dbraw/zinc/50/01/82/773500182.db2.gz RCHAGKLMPWKXMR-UHFFFAOYSA-N 0 2 308.743 0.532 20 0 DCADLN C[C@@H](C(N)=O)[N@@H+]1CCCN(C(=O)C[NH+](C)CC(C)(C)C)CC1 ZINC001197181118 773701351 /nfs/dbraw/zinc/70/13/51/773701351.db2.gz RYNWDPNILOWKJE-ZDUSSCGKSA-N 0 2 312.458 0.372 20 0 DCADLN CC[C@@H](C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1)[NH+](C)C ZINC001197171879 773710320 /nfs/dbraw/zinc/71/03/20/773710320.db2.gz DSKMFXXQWNYHFQ-AWEZNQCLSA-N 0 2 312.458 0.339 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnn(Cc2ccc(F)cc2)c1 ZINC001197458931 773755287 /nfs/dbraw/zinc/75/52/87/773755287.db2.gz BZEVTQVGUADXNL-UHFFFAOYSA-N 0 2 316.296 0.824 20 0 DCADLN COC[C@H](C)CC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001197647759 773777547 /nfs/dbraw/zinc/77/75/47/773777547.db2.gz VUQFHIIPNUCEAD-LLVKDONJSA-N 0 2 311.386 0.217 20 0 DCADLN COC(=O)C(NC(=S)Nc1cccc(F)c1)C(=O)OC ZINC001197656236 773778767 /nfs/dbraw/zinc/77/87/67/773778767.db2.gz MUVJUYIXZQIMRR-UHFFFAOYSA-N 0 2 300.311 0.827 20 0 DCADLN CC[C@@H](F)C[N@H+]1C[C@@H](NC(=O)CCc2c[nH]nn2)[C@H](OC)C1 ZINC001213466912 773849671 /nfs/dbraw/zinc/84/96/71/773849671.db2.gz YBKKFSLNSPCVAR-RAIGVLPGSA-N 0 2 313.377 0.301 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2c3ncnc-3ncn2C)cn1 ZINC001198272231 773897446 /nfs/dbraw/zinc/89/74/46/773897446.db2.gz IYHIMVOQFCWUIM-UHFFFAOYSA-N 0 2 304.335 0.819 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc(OCC2CCC2)ncn1 ZINC001198812381 773988156 /nfs/dbraw/zinc/98/81/56/773988156.db2.gz OBFRENXKVLHJAH-LBPRGKRZSA-N 0 2 322.346 0.694 20 0 DCADLN Cn1cc[nH+]c1CCNS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198820756 773990374 /nfs/dbraw/zinc/99/03/74/773990374.db2.gz OWDGVGLZOXALHW-UHFFFAOYSA-N 0 2 309.347 0.639 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cn(CC(F)(F)F)cn1 ZINC001199394764 774093743 /nfs/dbraw/zinc/09/37/43/774093743.db2.gz MCMLTCVTWBEYTE-SSDOTTSWSA-N 0 2 308.241 0.484 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CC[NH+](CC(=O)[O-])CC2)c(F)c1 ZINC000404973203 774145411 /nfs/dbraw/zinc/14/54/11/774145411.db2.gz SXSJBBQFMIHYNU-UHFFFAOYSA-N 0 2 316.354 0.525 20 0 DCADLN N[C@H](C(=O)Nc1nc[nH]c(=O)c1Br)C(F)(F)F ZINC001218680348 774279724 /nfs/dbraw/zinc/27/97/24/774279724.db2.gz XEODVJXNECJJRE-GSVOUGTGSA-N 0 2 315.049 0.773 20 0 DCADLN NC(C(=O)Nc1nc[nH]c(=O)c1Br)C(F)(F)F ZINC001218680348 774279727 /nfs/dbraw/zinc/27/97/27/774279727.db2.gz XEODVJXNECJJRE-GSVOUGTGSA-N 0 2 315.049 0.773 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1=CCOCC1 ZINC001095346470 775009027 /nfs/dbraw/zinc/00/90/27/775009027.db2.gz QXXBOCDZFLYAKQ-WOPDTQHZSA-N 0 2 319.365 0.079 20 0 DCADLN O=C(Cc1cccnc1Br)NCc1n[nH]c(=O)[nH]1 ZINC001137240118 775017051 /nfs/dbraw/zinc/01/70/51/775017051.db2.gz SBVJJZGMXOHBFY-UHFFFAOYSA-N 0 2 312.127 0.527 20 0 DCADLN CCO[C@H](CC)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221380741 775081524 /nfs/dbraw/zinc/08/15/24/775081524.db2.gz YOFNRKQMCDHWLU-IJLUTSLNSA-N 0 2 323.397 0.358 20 0 DCADLN COC(C)(C)CO[C@@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001222359386 775333360 /nfs/dbraw/zinc/33/33/60/775333360.db2.gz YGBQBSNRYOCCAW-NSHDSACASA-N 0 2 311.400 0.017 20 0 DCADLN Cc1ccc(NCCN(CCO)C(=O)C(F)C(F)(F)F)nn1 ZINC001111579863 775673169 /nfs/dbraw/zinc/67/31/69/775673169.db2.gz VROXWBATCJGYQP-SNVBAGLBSA-N 0 2 324.278 0.918 20 0 DCADLN Cc1ccc(NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001111579863 775673175 /nfs/dbraw/zinc/67/31/75/775673175.db2.gz VROXWBATCJGYQP-SNVBAGLBSA-N 0 2 324.278 0.918 20 0 DCADLN COC(=O)c1nc(O[C@@H]2C[C@@H]3[C@H](OC(C)=O)C[C@H](C2)[N@H+]3C)n[n-]1 ZINC001228311687 776068123 /nfs/dbraw/zinc/06/81/23/776068123.db2.gz NGYZLAWNWNJCMH-UKKRHICBSA-N 0 2 324.337 0.137 20 0 DCADLN COC(=O)c1nc(O[C@@H]2C[C@@H]3[C@H](OC(C)=O)C[C@H](C2)[N@@H+]3C)n[n-]1 ZINC001228311687 776068134 /nfs/dbraw/zinc/06/81/34/776068134.db2.gz NGYZLAWNWNJCMH-UKKRHICBSA-N 0 2 324.337 0.137 20 0 DCADLN O=C(c1ccoc1)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041939932 777594530 /nfs/dbraw/zinc/59/45/30/777594530.db2.gz YDKJHDSUSCAAMP-CMPLNLGQSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccoc1)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041939932 777594541 /nfs/dbraw/zinc/59/45/41/777594541.db2.gz YDKJHDSUSCAAMP-CMPLNLGQSA-N 0 2 317.349 0.840 20 0 DCADLN C[C@](CNC(=O)C1(C)CC(F)(F)C1)(NC(=O)C(N)=O)C1CC1 ZINC001582655498 1166062736 /nfs/dbraw/zinc/06/27/36/1166062736.db2.gz CRXFDXPIJRLIMP-CYBMUJFWSA-N 0 2 317.336 0.308 20 0 DCADLN NS(=O)(=O)C1CC[NH+]([C@@H](C(=O)[O-])c2ccsc2)CC1 ZINC001601191980 1168646413 /nfs/dbraw/zinc/64/64/13/1168646413.db2.gz FNDVPTGUXZPDMP-SNVBAGLBSA-N 0 2 304.393 0.627 20 0 DCADLN CCCCC[N@@H+]1CCc2onc(C(=O)N=c3nn[n-]n3C)c2C1 ZINC001278227944 945186885 /nfs/dbraw/zinc/18/68/85/945186885.db2.gz FPEKJRFGTQDYNX-UHFFFAOYSA-N 0 2 319.369 0.421 20 0 DCADLN CCCCC[N@H+]1CCc2onc(C(=O)N=c3nn[n-]n3C)c2C1 ZINC001278227944 945186890 /nfs/dbraw/zinc/18/68/90/945186890.db2.gz FPEKJRFGTQDYNX-UHFFFAOYSA-N 0 2 319.369 0.421 20 0 DCADLN CC1(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CC=CC1 ZINC001493411362 939098967 /nfs/dbraw/zinc/09/89/67/939098967.db2.gz CDYWMMIXUHWNIQ-UHFFFAOYSA-N 0 2 305.382 0.947 20 0 DCADLN CC1(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CC=CC1 ZINC001493411362 939098971 /nfs/dbraw/zinc/09/89/71/939098971.db2.gz CDYWMMIXUHWNIQ-UHFFFAOYSA-N 0 2 305.382 0.947 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCCOC1)C(=O)C(F)C(F)(F)F ZINC001408536870 939173477 /nfs/dbraw/zinc/17/34/77/939173477.db2.gz GGPWDRGGSSFOJA-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001408536870 939173480 /nfs/dbraw/zinc/17/34/80/939173480.db2.gz GGPWDRGGSSFOJA-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CCOc1nc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)co1 ZINC001480985587 939512043 /nfs/dbraw/zinc/51/20/43/939512043.db2.gz GRPBNKCZHYVSOP-UHFFFAOYSA-N 0 2 324.341 0.149 20 0 DCADLN CCOc1nc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)co1 ZINC001480985587 939512045 /nfs/dbraw/zinc/51/20/45/939512045.db2.gz GRPBNKCZHYVSOP-UHFFFAOYSA-N 0 2 324.341 0.149 20 0 DCADLN Cc1nccnc1C[NH2+]C[C@@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001482128684 940268388 /nfs/dbraw/zinc/26/83/88/940268388.db2.gz NKCRQWIBJXXCFK-CYBMUJFWSA-N 0 2 316.409 0.914 20 0 DCADLN NC(=O)C1=NO[C@@H]2CN(C(=O)c3cccc(Cl)c3O)C[C@H]12 ZINC001269756317 940771259 /nfs/dbraw/zinc/77/12/59/940771259.db2.gz BVCOXEHDOFPUTP-IONNQARKSA-N 0 2 309.709 0.358 20 0 DCADLN Cc1nnc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)o1 ZINC001423093780 941299836 /nfs/dbraw/zinc/29/98/36/941299836.db2.gz MLJKGSKAPZVTMG-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nnc(CN2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)o1 ZINC001423093780 941299840 /nfs/dbraw/zinc/29/98/40/941299840.db2.gz MLJKGSKAPZVTMG-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001409147046 941551715 /nfs/dbraw/zinc/55/17/15/941551715.db2.gz MCAGMXZLEMPIBS-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409147046 941551717 /nfs/dbraw/zinc/55/17/17/941551717.db2.gz MCAGMXZLEMPIBS-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001412856130 942111092 /nfs/dbraw/zinc/11/10/92/942111092.db2.gz KMDCEEGLHBOTSA-SFYZADRCSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001412856130 942111094 /nfs/dbraw/zinc/11/10/94/942111094.db2.gz KMDCEEGLHBOTSA-SFYZADRCSA-N 0 2 311.235 0.122 20 0 DCADLN Cc1ccccc1CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409674864 942137938 /nfs/dbraw/zinc/13/79/38/942137938.db2.gz FWGAMPPGVWUSLJ-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1ccccc1CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409674864 942137940 /nfs/dbraw/zinc/13/79/40/942137940.db2.gz FWGAMPPGVWUSLJ-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409707141 942155512 /nfs/dbraw/zinc/15/55/12/942155512.db2.gz SBDBPKHDXLHLCQ-KPNLAOHISA-N 0 2 319.409 0.739 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409707141 942155516 /nfs/dbraw/zinc/15/55/16/942155516.db2.gz SBDBPKHDXLHLCQ-KPNLAOHISA-N 0 2 319.409 0.739 20 0 DCADLN O=C(CCCF)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001412957523 942155834 /nfs/dbraw/zinc/15/58/34/942155834.db2.gz IPLZMXQAYQUEPW-XPUUQOCRSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001412957523 942155839 /nfs/dbraw/zinc/15/58/39/942155839.db2.gz IPLZMXQAYQUEPW-XPUUQOCRSA-N 0 2 306.231 0.230 20 0 DCADLN COC(=O)[C@@H](NCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)CCO2 ZINC001412984489 942175395 /nfs/dbraw/zinc/17/53/95/942175395.db2.gz SSYFDQLLKBXDNT-LBPRGKRZSA-N 0 2 304.306 0.449 20 0 DCADLN CCc1nnc(C[NH2+]C[C@@H](NC(=O)c2nnc[nH]2)C2CC2)s1 ZINC001483595164 942452129 /nfs/dbraw/zinc/45/21/29/942452129.db2.gz OYNODVWOONSPTR-SECBINFHSA-N 0 2 321.410 0.517 20 0 DCADLN CCO[C@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001413244697 942582228 /nfs/dbraw/zinc/58/22/28/942582228.db2.gz ZBRGXKCPTUFWPQ-UMSPYCQHSA-N 0 2 318.333 0.564 20 0 DCADLN COC(=O)[C@H](CO)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001413318017 942627207 /nfs/dbraw/zinc/62/72/07/942627207.db2.gz FLDOXULCIALBDT-LURJTMIESA-N 0 2 324.742 0.018 20 0 DCADLN CCOC[C@H](C)CS(=O)(=O)NCC(F)(F)C(=O)OC ZINC001365466921 942803941 /nfs/dbraw/zinc/80/39/41/942803941.db2.gz HZUHFJTYRHDURK-QMMMGPOBSA-N 0 2 303.327 0.387 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NCc1nc2cnccc2s1 ZINC001413444526 942983119 /nfs/dbraw/zinc/98/31/19/942983119.db2.gz VOYWOGKXIXEQRJ-UHFFFAOYSA-N 0 2 320.421 0.753 20 0 DCADLN CCO[C@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001484043475 943112493 /nfs/dbraw/zinc/11/24/93/943112493.db2.gz AWDIIGSGCMTKPA-WFASDCNBSA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001484043475 943112499 /nfs/dbraw/zinc/11/24/99/943112499.db2.gz AWDIIGSGCMTKPA-WFASDCNBSA-N 0 2 323.397 0.406 20 0 DCADLN CC(=O)N1CCC[C@@H](N(CCO)C(=O)C(F)C(F)(F)F)C1 ZINC001520064603 943144028 /nfs/dbraw/zinc/14/40/28/943144028.db2.gz SZUNRYQOIATQRT-ZJUUUORDSA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N1CCC[C@@H](N(CCO)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001520064603 943144034 /nfs/dbraw/zinc/14/40/34/943144034.db2.gz SZUNRYQOIATQRT-ZJUUUORDSA-N 0 2 314.279 0.719 20 0 DCADLN O=C(CC1=CCCCC1)NCC1(O)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001484262952 943268629 /nfs/dbraw/zinc/26/86/29/943268629.db2.gz KSFMWBOYOVMYTJ-UHFFFAOYSA-N 0 2 321.381 0.064 20 0 DCADLN CC(C)(F)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056781076 943413333 /nfs/dbraw/zinc/41/33/33/943413333.db2.gz HWDWHQLXRZGYQA-SSDOTTSWSA-N 0 2 320.258 0.572 20 0 DCADLN CC(C)(F)C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001056781076 943413336 /nfs/dbraw/zinc/41/33/36/943413336.db2.gz HWDWHQLXRZGYQA-SSDOTTSWSA-N 0 2 320.258 0.572 20 0 DCADLN COCCC(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001298203896 943518653 /nfs/dbraw/zinc/51/86/53/943518653.db2.gz CUKXOALUJMKOTP-HPOULIHZSA-N 0 2 300.252 0.712 20 0 DCADLN COCCC(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001298203896 943518659 /nfs/dbraw/zinc/51/86/59/943518659.db2.gz CUKXOALUJMKOTP-HPOULIHZSA-N 0 2 300.252 0.712 20 0 DCADLN CCC[N@@H+]1CCCC[C@H]1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)OC ZINC001413676604 943565872 /nfs/dbraw/zinc/56/58/72/943565872.db2.gz TWXCYIVKDLVLKS-KGLIPLIRSA-N 0 2 322.409 0.875 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC(=O)N(C(C)(C)C)C1)c1nn(C)cc1O ZINC001413758852 943668082 /nfs/dbraw/zinc/66/80/82/943668082.db2.gz IJIGLOVYLNARLY-VHSXEESVSA-N 0 2 308.382 0.950 20 0 DCADLN C[C@H](CNC(=O)c1nnc[nH]1)[N@@H+](C)[C@H](C)C(=O)NC1CCCC1 ZINC001485366178 943879997 /nfs/dbraw/zinc/87/99/97/943879997.db2.gz RACPZJTUZGPOCN-GHMZBOCLSA-N 0 2 322.413 0.302 20 0 DCADLN C[C@H](CNC(=O)c1ncn[nH]1)[N@H+](C)[C@H](C)C(=O)NC1CCCC1 ZINC001485366178 943880001 /nfs/dbraw/zinc/88/00/01/943880001.db2.gz RACPZJTUZGPOCN-GHMZBOCLSA-N 0 2 322.413 0.302 20 0 DCADLN C[C@H](CNC(=O)c1ncn[nH]1)[N@@H+](C)[C@H](C)C(=O)NC1CCCC1 ZINC001485366178 943880006 /nfs/dbraw/zinc/88/00/06/943880006.db2.gz RACPZJTUZGPOCN-GHMZBOCLSA-N 0 2 322.413 0.302 20 0 DCADLN C[C@@H]1C[C@H]([NH2+]CC(=O)NC2CC2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001486115020 944573299 /nfs/dbraw/zinc/57/32/99/944573299.db2.gz PYBMIVDZLUVLFF-RISCZKNCSA-N 0 2 319.409 0.200 20 0 DCADLN C[C@H]1C[C@H]([NH2+]CC(=O)NC2CC2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001486115018 944574142 /nfs/dbraw/zinc/57/41/42/944574142.db2.gz PYBMIVDZLUVLFF-FZMZJTMJSA-N 0 2 319.409 0.200 20 0 DCADLN Nc1cnnc(NNS(=O)(=O)c2cncc(F)c2)c1Cl ZINC001319751724 945658742 /nfs/dbraw/zinc/65/87/42/945658742.db2.gz WDPAAMQEBMMEQW-UHFFFAOYSA-N 0 2 318.721 0.552 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc1 ZINC001602320380 971179544 /nfs/dbraw/zinc/17/95/44/971179544.db2.gz RIEKTPPSGBWAKS-CYBMUJFWSA-N 0 2 300.318 0.895 20 0 DCADLN O=C(NCC[NH+]1CC=CC1)c1ccc(-c2nc(=O)o[n-]2)cc1 ZINC001322299864 946642518 /nfs/dbraw/zinc/64/25/18/946642518.db2.gz GBIZOIRKHFMAHM-UHFFFAOYSA-N 0 2 300.318 0.632 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)NC1(C(F)(F)F)COC1 ZINC001258811151 946865199 /nfs/dbraw/zinc/86/51/99/946865199.db2.gz WLBGJFWZQGVDHH-UHFFFAOYSA-N 0 2 300.258 0.901 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccnc2OC2COC2)cn1 ZINC001259820738 946989853 /nfs/dbraw/zinc/98/98/53/946989853.db2.gz NMPUCFOPLGSDIY-UHFFFAOYSA-N 0 2 310.335 0.394 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc3c(c2)OCO3)S1 ZINC001259851018 947000594 /nfs/dbraw/zinc/00/05/94/947000594.db2.gz LZQHJGKPLICEKN-VIFPVBQESA-N 0 2 316.316 0.003 20 0 DCADLN O=S(=O)(Nc1ccnn1C1COC1)c1ccc2c(c1)OCO2 ZINC001259844543 947001420 /nfs/dbraw/zinc/00/14/20/947001420.db2.gz XFVHFNBCETUGTD-UHFFFAOYSA-N 0 2 323.330 0.984 20 0 DCADLN COCCS(=O)(=O)Nc1ccc(S(C)(=O)=O)c(F)c1 ZINC001259972272 947044515 /nfs/dbraw/zinc/04/45/15/947044515.db2.gz ZWDRTTGSUYOUPN-UHFFFAOYSA-N 0 2 311.356 0.617 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc2[nH]ccc2c1 ZINC001323447839 947085663 /nfs/dbraw/zinc/08/56/63/947085663.db2.gz YUSAXDLLMUUOBI-UHFFFAOYSA-N 0 2 300.278 0.247 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2cccc(S(C)(=O)=O)c2)n1 ZINC001260598523 947127646 /nfs/dbraw/zinc/12/76/46/947127646.db2.gz BMFZWBYWLIDXNR-UHFFFAOYSA-N 0 2 316.364 0.019 20 0 DCADLN Cc1ncncc1NS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001260667639 947135515 /nfs/dbraw/zinc/13/55/15/947135515.db2.gz BHXVLKQRPKEEIL-UHFFFAOYSA-N 0 2 320.330 0.917 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)NCCCc1ccc(O)cc1 ZINC001323750451 947191135 /nfs/dbraw/zinc/19/11/35/947191135.db2.gz ZTJZOJGOJZRZQZ-UHFFFAOYSA-N 0 2 316.361 0.789 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)C1(C(=O)[O-])CCSCC1 ZINC001262158815 947587215 /nfs/dbraw/zinc/58/72/15/947587215.db2.gz YOTPQHPHGLWNHK-NSHDSACASA-N 0 2 316.423 0.421 20 0 DCADLN COC(=O)c1cnc(NCCNC(=O)C(F)C(F)(F)F)nc1 ZINC001094182787 947802272 /nfs/dbraw/zinc/80/22/72/947802272.db2.gz NNPMODAWHJKMFL-SSDOTTSWSA-N 0 2 324.234 0.692 20 0 DCADLN COC(=O)c1cnc(NCCNC(=O)[C@@H](F)C(F)(F)F)nc1 ZINC001094182787 947802275 /nfs/dbraw/zinc/80/22/75/947802275.db2.gz NNPMODAWHJKMFL-SSDOTTSWSA-N 0 2 324.234 0.692 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccnc(-n2cncn2)c1 ZINC001325840564 947947897 /nfs/dbraw/zinc/94/78/97/947947897.db2.gz YKLLYABEQZZOMU-UHFFFAOYSA-N 0 2 317.334 0.307 20 0 DCADLN Cc1nncn1CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001325947043 947987182 /nfs/dbraw/zinc/98/71/82/947987182.db2.gz JWWFELIJASHHLZ-UHFFFAOYSA-N 0 2 300.326 0.140 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC001326174339 948081397 /nfs/dbraw/zinc/08/13/97/948081397.db2.gz XXFHAAXMUIYQPS-GWCFXTLKSA-N 0 2 302.396 0.031 20 0 DCADLN O=C(NCCCOCCNC(=O)C1CC1)C(F)C(F)(F)F ZINC001487616291 948148361 /nfs/dbraw/zinc/14/83/61/948148361.db2.gz YGQKAXOLMZWVGO-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCOCCNC(=O)C1CC1)[C@H](F)C(F)(F)F ZINC001487616291 948148364 /nfs/dbraw/zinc/14/83/64/948148364.db2.gz YGQKAXOLMZWVGO-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN CCC(=O)NCCCOCCNC(=O)C(F)C(F)(F)F ZINC001487621870 948153137 /nfs/dbraw/zinc/15/31/37/948153137.db2.gz PFYDFKGTCKDGGF-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN CCC(=O)NCCCOCCNC(=O)[C@H](F)C(F)(F)F ZINC001487621870 948153145 /nfs/dbraw/zinc/15/31/45/948153145.db2.gz PFYDFKGTCKDGGF-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001487801116 948285272 /nfs/dbraw/zinc/28/52/72/948285272.db2.gz YMFJMNSARHNCLI-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001487801116 948285277 /nfs/dbraw/zinc/28/52/77/948285277.db2.gz YMFJMNSARHNCLI-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001487978119 948399726 /nfs/dbraw/zinc/39/97/26/948399726.db2.gz RIVQZDBPDHGOIQ-DTWKUNHWSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)C1=CCCC1 ZINC001487978119 948399733 /nfs/dbraw/zinc/39/97/33/948399733.db2.gz RIVQZDBPDHGOIQ-DTWKUNHWSA-N 0 2 312.263 0.590 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)c1cc(-c2nn[nH]n2)c[nH]1 ZINC001570918505 948574313 /nfs/dbraw/zinc/57/43/13/948574313.db2.gz QIIOLOJBRSZMFY-UHFFFAOYSA-N 0 2 316.329 0.006 20 0 DCADLN CC1(c2nnc(C3CCOCC3)o2)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001364449222 949325209 /nfs/dbraw/zinc/32/52/09/949325209.db2.gz IQVVFBOBXUAQKZ-UHFFFAOYSA-N 0 2 320.353 0.561 20 0 DCADLN CCc1nnc([C@@H]2C[C@@H](O)CN2C(=O)C(F)C(F)(F)F)[nH]1 ZINC001364453330 949333655 /nfs/dbraw/zinc/33/36/55/949333655.db2.gz PDFCKJXHARJAQT-CHKWXVPMSA-N 0 2 310.251 0.902 20 0 DCADLN CCc1nnc([C@@H]2C[C@@H](O)CN2C(=O)C(F)C(F)(F)F)[nH]1 ZINC001364453332 949335409 /nfs/dbraw/zinc/33/54/09/949335409.db2.gz PDFCKJXHARJAQT-GKROBHDKSA-N 0 2 310.251 0.902 20 0 DCADLN O=C([N-]OC[C@H]1CCOC1)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001329081029 949339664 /nfs/dbraw/zinc/33/96/64/949339664.db2.gz KEENBURWNCFRQS-LBPRGKRZSA-N 0 2 318.333 0.035 20 0 DCADLN CC(C)n1nnc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001364457326 949343870 /nfs/dbraw/zinc/34/38/70/949343870.db2.gz YECDPTYQGPWQBM-MRVPVSSYSA-N 0 2 321.345 0.489 20 0 DCADLN CCC[C@H](C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364487630 949407641 /nfs/dbraw/zinc/40/76/41/949407641.db2.gz CLRNQWBZRJIWGZ-QMMMGPOBSA-N 0 2 303.388 0.337 20 0 DCADLN COC(=O)c1cnc([C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)s1 ZINC001364516532 949464614 /nfs/dbraw/zinc/46/46/14/949464614.db2.gz KIMOQPZUILXBNF-ZETCQYMHSA-N 0 2 309.351 0.743 20 0 DCADLN COC(=O)c1cnc([C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)s1 ZINC001364516532 949464624 /nfs/dbraw/zinc/46/46/24/949464624.db2.gz KIMOQPZUILXBNF-ZETCQYMHSA-N 0 2 309.351 0.743 20 0 DCADLN CO[C@@H](CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001364629670 949665437 /nfs/dbraw/zinc/66/54/37/949665437.db2.gz QUOPKLXHKDUDHL-QWRGUYRKSA-N 0 2 309.370 0.824 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@@H]1O)C(F)C(F)(F)F ZINC001489588016 949915907 /nfs/dbraw/zinc/91/59/07/949915907.db2.gz HJCQZMKFSYPDNT-HRDYMLBCSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@@H]1O)[C@@H](F)C(F)(F)F ZINC001489588016 949915929 /nfs/dbraw/zinc/91/59/29/949915929.db2.gz HJCQZMKFSYPDNT-HRDYMLBCSA-N 0 2 323.246 0.217 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)NCc2c[nH+]cc(C)c2)n[n-]1 ZINC001364809917 949983847 /nfs/dbraw/zinc/98/38/47/949983847.db2.gz GWXKJQJHNFMOAR-UHFFFAOYSA-N 0 2 310.335 0.378 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cnn(CC3CC3)c2)n1 ZINC001364965463 950270581 /nfs/dbraw/zinc/27/05/81/950270581.db2.gz YQEVTRKHMFDFGL-UHFFFAOYSA-N 0 2 311.371 0.661 20 0 DCADLN CC(C)CS(=O)(=O)CCC(=O)N[C@H](C)c1nn(C)cc1O ZINC001365121837 950556744 /nfs/dbraw/zinc/55/67/44/950556744.db2.gz VRWVOQSCVMNMML-SNVBAGLBSA-N 0 2 317.411 0.764 20 0 DCADLN C[C@H](NC(=O)c1cn(CC2(C)COC2)nn1)c1nn(C)cc1O ZINC001365122512 950556838 /nfs/dbraw/zinc/55/68/38/950556838.db2.gz XGYTZBFKPWRMHN-VIFPVBQESA-N 0 2 320.353 0.245 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)NC(=O)c1ccoc1)c1nn(C)cc1O ZINC001365123305 950561670 /nfs/dbraw/zinc/56/16/70/950561670.db2.gz ZYGLBGJGMXRCJD-BDAKNGLRSA-N 0 2 306.322 0.714 20 0 DCADLN Cc1noc([C@H](NC(=O)C[C@H]2SC(=N)NC2=O)C(C)C)n1 ZINC001365185846 950692311 /nfs/dbraw/zinc/69/23/11/950692311.db2.gz BLPJIEXCGGTTTR-VXNVDRBHSA-N 0 2 311.367 0.748 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1ncnn1C ZINC001365373769 951034136 /nfs/dbraw/zinc/03/41/36/951034136.db2.gz ILKRTACKZAYHTE-DTWKUNHWSA-N 0 2 320.357 0.289 20 0 DCADLN COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)C(F)C(F)(F)F ZINC001490733341 951062760 /nfs/dbraw/zinc/06/27/60/951062760.db2.gz ZIJDSHKRASNNGU-ODXREFDESA-N 0 2 312.263 0.781 20 0 DCADLN COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@H](F)C(F)(F)F ZINC001490733341 951062776 /nfs/dbraw/zinc/06/27/76/951062776.db2.gz ZIJDSHKRASNNGU-ODXREFDESA-N 0 2 312.263 0.781 20 0 DCADLN CC[C@@H](NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001365416343 951101689 /nfs/dbraw/zinc/10/16/89/951101689.db2.gz GCTDBBLIXZLWOO-SECBINFHSA-N 0 2 315.399 0.337 20 0 DCADLN O=C([O-])CC[C@@H]1CCCN(S(=O)(=O)CCn2cc[nH+]c2)C1 ZINC001595016699 951290579 /nfs/dbraw/zinc/29/05/79/951290579.db2.gz CYAIKXLLZIXXHZ-LBPRGKRZSA-N 0 2 315.395 0.790 20 0 DCADLN COC(=O)c1coc(CNS(=O)(=O)c2c(N)noc2C)c1 ZINC001365724235 951476177 /nfs/dbraw/zinc/47/61/77/951476177.db2.gz YJYGQHDYRMMSKU-UHFFFAOYSA-N 0 2 315.307 0.423 20 0 DCADLN CC(=O)Nc1ccc(C)c(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001365734127 951488690 /nfs/dbraw/zinc/48/86/90/951488690.db2.gz PSXHIKROASYYJF-UHFFFAOYSA-N 0 2 310.339 0.278 20 0 DCADLN CC(C)[C@H](C(=O)NC/C=C/C[NH2+][C@H](C)c1nnnn1C)[NH+](C)C ZINC001274338732 951572515 /nfs/dbraw/zinc/57/25/15/951572515.db2.gz IRFNIBQDJCBFLV-WUOSCTTQSA-N 0 2 323.445 0.119 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCC(O)(C(F)(F)F)CC2)C1=O ZINC001595074180 951626586 /nfs/dbraw/zinc/62/65/86/951626586.db2.gz NBBORWHGYLMTOZ-QMMMGPOBSA-N 0 2 310.272 0.061 20 0 DCADLN CCCC[C@@H](O)C[NH+]1CC2(C1)CN(CC[NH+](C)C)C(=O)CO2 ZINC001274573057 951709108 /nfs/dbraw/zinc/70/91/08/951709108.db2.gz RHZVNTBOILNNAE-CQSZACIVSA-N 0 2 313.442 0.012 20 0 DCADLN COCOCCC[N@@H+]1C[C@@H]2COC[C@H](C1)N2CCn1cc[nH+]c1 ZINC001274934976 951901954 /nfs/dbraw/zinc/90/19/54/951901954.db2.gz JVHFSMRWJQKTLB-IYBDPMFKSA-N 0 2 324.425 0.279 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)o1 ZINC000382675298 971829685 /nfs/dbraw/zinc/82/96/85/971829685.db2.gz YLWHWPQAKCDBPV-LLVKDONJSA-N 0 2 308.334 0.915 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1c[nH]c(=O)c(C(F)(F)F)c1 ZINC001411890823 952519537 /nfs/dbraw/zinc/51/95/37/952519537.db2.gz UXXRUQNHZFQTHE-UHFFFAOYSA-N 0 2 303.200 0.560 20 0 DCADLN O=C(NC[C@@H]1CCCS1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001411909383 952533159 /nfs/dbraw/zinc/53/31/59/952533159.db2.gz AMGRLHZMSOMVBN-NSHDSACASA-N 0 2 320.374 0.892 20 0 DCADLN COCC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)C(C)(C)C1 ZINC001412054204 952623174 /nfs/dbraw/zinc/62/31/74/952623174.db2.gz RIJIDLIUMRVPQE-VXNVDRBHSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C(C)(C)C1 ZINC001412054204 952623180 /nfs/dbraw/zinc/62/31/80/952623180.db2.gz RIJIDLIUMRVPQE-VXNVDRBHSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1cc2n(n1)CCCC2 ZINC001412295761 952734952 /nfs/dbraw/zinc/73/49/52/952734952.db2.gz FMSSMOGYGRDZOG-GZMMTYOYSA-N 0 2 321.406 0.953 20 0 DCADLN CC(C)(O)[C@H](O)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001412466196 952822249 /nfs/dbraw/zinc/82/22/49/952822249.db2.gz UOKHZKDVZDSPIO-GHMZBOCLSA-N 0 2 320.349 0.042 20 0 DCADLN O=C(NC1(CCO)C[NH+](CCCCCF)C1)c1n[nH]cc1F ZINC001276083021 952900115 /nfs/dbraw/zinc/90/01/15/952900115.db2.gz PNSZNWSGPIYMIC-UHFFFAOYSA-N 0 2 316.352 0.855 20 0 DCADLN C/C(=C/C(=O)N[C@](C)(CCF)C(=O)[O-])C[NH+]1CCOCC1 ZINC001339540359 953101533 /nfs/dbraw/zinc/10/15/33/953101533.db2.gz TZJUIUQGSZJILS-RBJHHQRMSA-N 0 2 302.346 0.584 20 0 DCADLN C[C@@H](CCCCNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001340718222 953190844 /nfs/dbraw/zinc/19/08/44/953190844.db2.gz CKQYKYBZXZSYGE-BQBZGAKWSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CCCCNC(=O)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001340718222 953190847 /nfs/dbraw/zinc/19/08/47/953190847.db2.gz CKQYKYBZXZSYGE-BQBZGAKWSA-N 0 2 315.267 0.163 20 0 DCADLN COCC(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001479226267 953266791 /nfs/dbraw/zinc/26/67/91/953266791.db2.gz BRFJWMYLRDVDJT-SNVBAGLBSA-N 0 2 311.386 0.216 20 0 DCADLN CC(C)SCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001365938579 953436526 /nfs/dbraw/zinc/43/65/26/953436526.db2.gz SSBNOYANMGSQQB-JTQLQIEISA-N 0 2 313.427 0.733 20 0 DCADLN C[C@@H](CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001365985854 953522378 /nfs/dbraw/zinc/52/23/78/953522378.db2.gz QFODCICLKXSOOY-YUMQZZPRSA-N 0 2 321.303 0.789 20 0 DCADLN Cn1ncc2c1CCC[C@H]2[N@H+](C)CN1C[C@H](C(=O)[O-])CC1=O ZINC001593756713 954457404 /nfs/dbraw/zinc/45/74/04/954457404.db2.gz DQVDCGCSNOSRCT-ZYHUDNBSSA-N 0 2 306.366 0.620 20 0 DCADLN Cn1ncc2c1CCC[C@H]2[N@@H+](C)CN1C[C@H](C(=O)[O-])CC1=O ZINC001593756713 954457410 /nfs/dbraw/zinc/45/74/10/954457410.db2.gz DQVDCGCSNOSRCT-ZYHUDNBSSA-N 0 2 306.366 0.620 20 0 DCADLN C[C@@](O)(CC(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001348810678 954479156 /nfs/dbraw/zinc/47/91/56/954479156.db2.gz KZKGXNLBLBGQIW-MWLCHTKSSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@@](O)(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001348810678 954479166 /nfs/dbraw/zinc/47/91/66/954479166.db2.gz KZKGXNLBLBGQIW-MWLCHTKSSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1ccccc1C(=O)[O-] ZINC001589409243 954502162 /nfs/dbraw/zinc/50/21/62/954502162.db2.gz WNTWMEBFJHUPLF-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1ccccc1C(=O)[O-] ZINC001589409243 954502170 /nfs/dbraw/zinc/50/21/70/954502170.db2.gz WNTWMEBFJHUPLF-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N(C)C[C@H](C)C(=O)[O-] ZINC001589515001 955062714 /nfs/dbraw/zinc/06/27/14/955062714.db2.gz QVPAJYZOMKIKPE-RYUDHWBXSA-N 0 2 301.387 0.459 20 0 DCADLN CC[C@@H](OC1CCCC1)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366942762 955095371 /nfs/dbraw/zinc/09/53/71/955095371.db2.gz FXSJPEHGQKPXCC-GFCCVEGCSA-N 0 2 323.397 0.549 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C1)[NH+](C)C ZINC001367036411 955242919 /nfs/dbraw/zinc/24/29/19/955242919.db2.gz AECZAOHNBXGHEZ-HIFRSBDPSA-N 0 2 305.426 0.816 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)c3cccc(C(=O)[O-])c3)CC[NH2+]2)cn1 ZINC001594536242 955576973 /nfs/dbraw/zinc/57/69/73/955576973.db2.gz DJURCESBTWGSRM-AWEZNQCLSA-N 0 2 314.345 0.905 20 0 DCADLN C[C@@H](CNC(=O)CSCC(=O)[O-])[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589046141 955628875 /nfs/dbraw/zinc/62/88/75/955628875.db2.gz SPOAQOTWJKVHMJ-GARJFASQSA-N 0 2 304.412 0.418 20 0 DCADLN C[C@@H](CNC(=O)CSCC(=O)[O-])[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589046141 955628887 /nfs/dbraw/zinc/62/88/87/955628887.db2.gz SPOAQOTWJKVHMJ-GARJFASQSA-N 0 2 304.412 0.418 20 0 DCADLN Cn1cc(C[NH+]2CCN(Cc3c(C(=O)[O-])cnn3C)CC2)cn1 ZINC001594542877 955667757 /nfs/dbraw/zinc/66/77/57/955667757.db2.gz NYDOGRWPZHWJBK-UHFFFAOYSA-N 0 2 318.381 0.170 20 0 DCADLN COC[C@@H](C)CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416051851 956215838 /nfs/dbraw/zinc/21/58/38/956215838.db2.gz YZTYDWXGGBOQPO-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN COC[C@@H](C)CC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001416051851 956215845 /nfs/dbraw/zinc/21/58/45/956215845.db2.gz YZTYDWXGGBOQPO-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1)C(=O)[O-] ZINC001589138321 956246067 /nfs/dbraw/zinc/24/60/67/956246067.db2.gz ACUHPJSJKGBMAS-BLLLJJGKSA-N 0 2 317.345 0.884 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001593960301 956482026 /nfs/dbraw/zinc/48/20/26/956482026.db2.gz UMDCBQIRSPBUSN-ABAIWWIYSA-N 0 2 307.350 0.395 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2c(C3CC3)cnn2C)CCO1 ZINC001593986072 956781979 /nfs/dbraw/zinc/78/19/79/956781979.db2.gz NBECGRLWNMXCCS-OAHLLOKOSA-N 0 2 309.366 0.600 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2c(C3CC3)cnn2C)CCO1 ZINC001593986072 956781987 /nfs/dbraw/zinc/78/19/87/956781987.db2.gz NBECGRLWNMXCCS-OAHLLOKOSA-N 0 2 309.366 0.600 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1ccon1 ZINC001357624804 957338162 /nfs/dbraw/zinc/33/81/62/957338162.db2.gz AYZXROMHAXKSSM-CMLYIYFCSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1ccon1 ZINC001357624804 957338172 /nfs/dbraw/zinc/33/81/72/957338172.db2.gz AYZXROMHAXKSSM-CMLYIYFCSA-N 0 2 309.219 0.977 20 0 DCADLN Cn1nccc1C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001357648008 957346732 /nfs/dbraw/zinc/34/67/32/957346732.db2.gz SISWJNQJRGTJAF-PKRMOACSSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1nccc1C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001357648008 957346740 /nfs/dbraw/zinc/34/67/40/957346740.db2.gz SISWJNQJRGTJAF-PKRMOACSSA-N 0 2 322.262 0.723 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCSC[C@H]1C1CC1 ZINC001361390994 957921200 /nfs/dbraw/zinc/92/12/00/957921200.db2.gz NBWVTVSNKYDGBO-NSHDSACASA-N 0 2 319.390 0.425 20 0 DCADLN Cc1ccn(C)c1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367510461 957927952 /nfs/dbraw/zinc/92/79/52/957927952.db2.gz CTFMEUJAMYIHDH-UHFFFAOYSA-N 0 2 306.370 0.361 20 0 DCADLN Cc1ccn(C)c1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367510461 957927968 /nfs/dbraw/zinc/92/79/68/957927968.db2.gz CTFMEUJAMYIHDH-UHFFFAOYSA-N 0 2 306.370 0.361 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H](C1CC1)C1CCC1 ZINC001361400078 957933725 /nfs/dbraw/zinc/93/37/25/957933725.db2.gz RPGARANTQURORP-ZDUSSCGKSA-N 0 2 301.350 0.766 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+](CCc3cnccn3)CC2)CCC1 ZINC001350621393 958112332 /nfs/dbraw/zinc/11/23/32/958112332.db2.gz LFNNTCPJGOTLBQ-UHFFFAOYSA-N 0 2 318.377 0.418 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)CC1(C)C ZINC000110719438 958393727 /nfs/dbraw/zinc/39/37/27/958393727.db2.gz FWWQZZMCOUYVGV-UHFFFAOYSA-N 0 2 301.368 0.428 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)CC1(C)C ZINC000110719438 958393743 /nfs/dbraw/zinc/39/37/43/958393743.db2.gz FWWQZZMCOUYVGV-UHFFFAOYSA-N 0 2 301.368 0.428 20 0 DCADLN Cc1ccc(C[NH+]2CCOCC2)cc1NC(=O)COCC(=O)[O-] ZINC001594358820 958431096 /nfs/dbraw/zinc/43/10/96/958431096.db2.gz UCJVHYSBMUWJLN-UHFFFAOYSA-N 0 2 322.361 0.867 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367787616 958581210 /nfs/dbraw/zinc/58/12/10/958581210.db2.gz MGRUWWZSXYKVGO-RKDXNWHRSA-N 0 2 301.416 0.446 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367787616 958581217 /nfs/dbraw/zinc/58/12/17/958581217.db2.gz MGRUWWZSXYKVGO-RKDXNWHRSA-N 0 2 301.416 0.446 20 0 DCADLN COc1cccc([C@@H]2CN(C(=O)[C@H](OC)C(=O)[O-])CC[NH2+]2)c1 ZINC001594125439 958635219 /nfs/dbraw/zinc/63/52/19/958635219.db2.gz VMYHZRRVHVJMPL-STQMWFEESA-N 0 2 308.334 0.268 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCCC1 ZINC001367971274 958983474 /nfs/dbraw/zinc/98/34/74/958983474.db2.gz CHZNUPLKUBJQRV-WCQYABFASA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](CC(N)=O)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001491725871 959150053 /nfs/dbraw/zinc/15/00/53/959150053.db2.gz MUOSRKCBBWQMMX-HTRCEHHLSA-N 0 2 315.267 0.021 20 0 DCADLN C[C@H](CC(N)=O)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491725871 959150061 /nfs/dbraw/zinc/15/00/61/959150061.db2.gz MUOSRKCBBWQMMX-HTRCEHHLSA-N 0 2 315.267 0.021 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H]1C[C@H](C)Cc2cn[nH]c21)c1nn[nH]n1 ZINC001362449518 959375200 /nfs/dbraw/zinc/37/52/00/959375200.db2.gz CBMZSNPDUMWCMJ-KKZNHRDASA-N 0 2 303.370 0.851 20 0 DCADLN Cc1nc(C[N@H+]2C[C@@H](C(=O)[O-])C[C@@H](c3cnn(C)c3)C2)no1 ZINC001594452612 959392530 /nfs/dbraw/zinc/39/25/30/959392530.db2.gz TVJIPEPGUQBOKG-MNOVXSKESA-N 0 2 305.338 0.802 20 0 DCADLN Cc1nc(C[N@@H+]2C[C@@H](C(=O)[O-])C[C@@H](c3cnn(C)c3)C2)no1 ZINC001594452612 959392552 /nfs/dbraw/zinc/39/25/52/959392552.db2.gz TVJIPEPGUQBOKG-MNOVXSKESA-N 0 2 305.338 0.802 20 0 DCADLN O=C([C@@H]1CCc2nc[nH]c2C1)N1CCC[C@H](c2nn[nH]n2)C1 ZINC001362562141 959599790 /nfs/dbraw/zinc/59/97/90/959599790.db2.gz LMDZAULFLIUKJY-ZJUUUORDSA-N 0 2 301.354 0.434 20 0 DCADLN O=C(N[C@H]1CCOC1=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001362592979 959661820 /nfs/dbraw/zinc/66/18/20/959661820.db2.gz AITXSESNRRLDIZ-JTQLQIEISA-N 0 2 305.265 0.762 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)C1=CCS(=O)(=O)C1 ZINC001362638086 959743286 /nfs/dbraw/zinc/74/32/86/959743286.db2.gz ITRQAZKPULOPHX-UHFFFAOYSA-N 0 2 320.330 0.471 20 0 DCADLN CO[C@@H](C)C(=O)NCCCN(C)C(=O)C(F)C(F)(F)F ZINC001492298358 959863442 /nfs/dbraw/zinc/86/34/42/959863442.db2.gz OTIJSRXSGZYZBB-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@@H](C)C(=O)NCCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001492298358 959863458 /nfs/dbraw/zinc/86/34/58/959863458.db2.gz OTIJSRXSGZYZBB-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN Cc1cc(Br)oc1C(=O)Nn1ccc(=O)[nH]c1=O ZINC001362716820 959880357 /nfs/dbraw/zinc/88/03/57/959880357.db2.gz VRJUIEJYIZFNHQ-UHFFFAOYSA-N 0 2 314.095 0.997 20 0 DCADLN CS[C@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362720386 959887305 /nfs/dbraw/zinc/88/73/05/959887305.db2.gz CGBXBWCDOUPPCR-HOMQSWHASA-N 0 2 320.374 0.890 20 0 DCADLN Cc1cccnc1C(F)(F)C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001362721353 959889383 /nfs/dbraw/zinc/88/93/83/959889383.db2.gz UQLMOWXFLWBWOQ-UHFFFAOYSA-N 0 2 310.260 0.999 20 0 DCADLN Cc1cccnc1C(F)(F)C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001362721353 959889399 /nfs/dbraw/zinc/88/93/99/959889399.db2.gz UQLMOWXFLWBWOQ-UHFFFAOYSA-N 0 2 310.260 0.999 20 0 DCADLN C[C@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1cnccn1 ZINC001362740303 959915849 /nfs/dbraw/zinc/91/58/49/959915849.db2.gz MZOVAKCQJRUEGY-VHSXEESVSA-N 0 2 302.338 0.810 20 0 DCADLN Cn1cc(C[NH2+]C2(CNC(=O)[C@H]3CCCC[N@@H+]3C)CCC2)nn1 ZINC001368478752 959920896 /nfs/dbraw/zinc/92/08/96/959920896.db2.gz SMGIQLNXQBXHBK-CQSZACIVSA-N 0 2 320.441 0.428 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C)c(C)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001377332236 959937397 /nfs/dbraw/zinc/93/73/97/959937397.db2.gz MTYLJXTWHZPFGP-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C)c(C)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001377332236 959937406 /nfs/dbraw/zinc/93/74/06/959937406.db2.gz MTYLJXTWHZPFGP-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN CCn1cc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c(C(C)C)n1 ZINC001301130976 959994323 /nfs/dbraw/zinc/99/43/23/959994323.db2.gz BBUJYUZANJOKMJ-VIFPVBQESA-N 0 2 307.354 0.954 20 0 DCADLN Cc1nn2cccnc2c1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001301575134 960005257 /nfs/dbraw/zinc/00/52/57/960005257.db2.gz RVMAIZFTDNZYQK-UHFFFAOYSA-N 0 2 300.278 0.169 20 0 DCADLN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2n[nH]cc2F)C1 ZINC001362802801 960011468 /nfs/dbraw/zinc/01/14/68/960011468.db2.gz IEZZCLNQABMINU-JTQLQIEISA-N 0 2 308.235 0.832 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(c3ncccn3)CC2)S1 ZINC001362941760 960205580 /nfs/dbraw/zinc/20/55/80/960205580.db2.gz WUFUBAXGIXPPNK-SNVBAGLBSA-N 0 2 319.390 0.739 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cncs1 ZINC001377515397 960214415 /nfs/dbraw/zinc/21/44/15/960214415.db2.gz ACZQJVPECKHZRO-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cncs1 ZINC001377515397 960214425 /nfs/dbraw/zinc/21/44/25/960214425.db2.gz ACZQJVPECKHZRO-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1nc2ccccc2o1 ZINC001362955447 960221829 /nfs/dbraw/zinc/22/18/29/960221829.db2.gz SYAFUVIHFJUHNX-UHFFFAOYSA-N 0 2 324.300 0.529 20 0 DCADLN Cc1cnc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)cn1 ZINC001362972504 960244899 /nfs/dbraw/zinc/24/48/99/960244899.db2.gz OKZJETAECPBPMK-UHFFFAOYSA-N 0 2 303.322 0.609 20 0 DCADLN C[C@H](NC(=O)[C@H](C)Sc1nncn1C)c1nn(C)cc1O ZINC001363041443 960334778 /nfs/dbraw/zinc/33/47/78/960334778.db2.gz OHDHKQGBIRICTM-YUMQZZPRSA-N 0 2 310.383 0.612 20 0 DCADLN CN1C(=O)CCC[C@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363056991 960354195 /nfs/dbraw/zinc/35/41/95/960354195.db2.gz UCVAJYUEQDAGID-ZJUUUORDSA-N 0 2 307.354 0.227 20 0 DCADLN C[C@H](CC(=O)Nc1nccc(Br)c1O)NC(N)=O ZINC001363078278 960378175 /nfs/dbraw/zinc/37/81/75/960378175.db2.gz JKUHIEGSYSVMTD-RXMQYKEDSA-N 0 2 317.143 0.935 20 0 DCADLN CCCc1cc(C(=O)N2CCC[C@@H]2C[N@@H+](C)[C@@H](C)C(N)=O)n[nH]1 ZINC001377661230 960401924 /nfs/dbraw/zinc/40/19/24/960401924.db2.gz WZEVNCNDYJPIFN-WCQYABFASA-N 0 2 321.425 0.772 20 0 DCADLN C[C@@H](CNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001377686601 960437602 /nfs/dbraw/zinc/43/76/02/960437602.db2.gz KKKJSAOQDIHBHG-IMTBSYHQSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@@H](CNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001377686607 960437969 /nfs/dbraw/zinc/43/79/69/960437969.db2.gz KKKJSAOQDIHBHG-RCOVLWMOSA-N 0 2 310.251 0.474 20 0 DCADLN CC[C@H](CNC(=O)Cn1cc[nH+]c1C)[NH2+]Cc1cc(OC)no1 ZINC001378345688 960624043 /nfs/dbraw/zinc/62/40/43/960624043.db2.gz ZXYCDBLZGLVTPU-GFCCVEGCSA-N 0 2 321.381 0.873 20 0 DCADLN C[C@H]1CN(C(=O)C[C@H]2SC(=N)NC2=O)Cc2cncnc21 ZINC001363221125 960633192 /nfs/dbraw/zinc/63/31/92/960633192.db2.gz IDHRXJJVFXYQRT-IONNQARKSA-N 0 2 305.363 0.479 20 0 DCADLN C[C@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)Cc2cncnc21 ZINC001363221118 960635338 /nfs/dbraw/zinc/63/53/38/960635338.db2.gz IDHRXJJVFXYQRT-CBAPKCEASA-N 0 2 305.363 0.479 20 0 DCADLN O=C(CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)Nc1cccnn1 ZINC001363250202 960699066 /nfs/dbraw/zinc/69/90/66/960699066.db2.gz SDTSIBPXCILTEF-VIFPVBQESA-N 0 2 303.326 0.118 20 0 DCADLN NC(=O)c1cc(C[NH2+]Cc2ccccc2OCC(=O)[O-])on1 ZINC001571084893 961039183 /nfs/dbraw/zinc/03/91/83/961039183.db2.gz QKJPUJRNFDZXLR-UHFFFAOYSA-N 0 2 305.290 0.527 20 0 DCADLN C[C@H]1CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C[C@@H](C(=O)[O-])O1 ZINC001571102875 961251078 /nfs/dbraw/zinc/25/10/78/961251078.db2.gz QOKIKCXHNPXEBL-AXFHLTTASA-N 0 2 308.338 0.081 20 0 DCADLN O=C(CCc1ccsc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378060908 961332846 /nfs/dbraw/zinc/33/28/46/961332846.db2.gz UPKFFALKBKRMPJ-NSHDSACASA-N 0 2 321.406 0.895 20 0 DCADLN CCc1nnsc1C(=O)N1CC[N@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC001571116233 961394390 /nfs/dbraw/zinc/39/43/90/961394390.db2.gz ZCKONXFAUAYKNV-VIFPVBQESA-N 0 2 312.395 0.722 20 0 DCADLN CCc1nnsc1C(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC001571116233 961394409 /nfs/dbraw/zinc/39/44/09/961394409.db2.gz ZCKONXFAUAYKNV-VIFPVBQESA-N 0 2 312.395 0.722 20 0 DCADLN CC(C)(CNS(=O)(=O)c1cc(C(=O)[O-])[nH]n1)n1cc[nH+]c1 ZINC001571118244 961411589 /nfs/dbraw/zinc/41/15/89/961411589.db2.gz BJOBLKMTGWIBCM-UHFFFAOYSA-N 0 2 313.339 0.018 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378101619 961428275 /nfs/dbraw/zinc/42/82/75/961428275.db2.gz QIMKYOQAULNFJG-MXWKQRLJSA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378101619 961428285 /nfs/dbraw/zinc/42/82/85/961428285.db2.gz QIMKYOQAULNFJG-MXWKQRLJSA-N 0 2 311.386 0.262 20 0 DCADLN O=C(CC[C@H]1CCCCO1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378123176 961472994 /nfs/dbraw/zinc/47/29/94/961472994.db2.gz PMXBRPQCEBRBLN-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN CC(C)(C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1)c1cnc[nH]1 ZINC001363584916 961495271 /nfs/dbraw/zinc/49/52/71/961495271.db2.gz PDGGUIOVOHTFPF-JTQLQIEISA-N 0 2 303.370 0.682 20 0 DCADLN O=C([O-])c1cnc(N[C@@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001571129142 961534644 /nfs/dbraw/zinc/53/46/44/961534644.db2.gz ZPDRXGXAGHFTGW-AOOOYVTPSA-N 0 2 316.321 0.200 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)C[C@H]2SC(=N)NC2=O)[C@H](C)C1 ZINC001363608538 961538637 /nfs/dbraw/zinc/53/86/37/961538637.db2.gz PGFSELYFNDIWGU-IWSPIJDZSA-N 0 2 313.379 0.343 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[NH2+]Cc1nc(-c2ccccn2)n[nH]1 ZINC001574467820 961592867 /nfs/dbraw/zinc/59/28/67/961592867.db2.gz SBUSVIKULPWTBZ-UHFFFAOYSA-N 0 2 313.321 0.588 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001430229166 1013270794 /nfs/dbraw/zinc/27/07/94/1013270794.db2.gz YKKVCWGLPYCPHM-GKROBHDKSA-N 0 2 323.250 0.391 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430229166 1013270800 /nfs/dbraw/zinc/27/08/00/1013270800.db2.gz YKKVCWGLPYCPHM-GKROBHDKSA-N 0 2 323.250 0.391 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCC[N@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001571145337 961729243 /nfs/dbraw/zinc/72/92/43/961729243.db2.gz SVFWFWYXRLWXEN-MRVPVSSYSA-N 0 2 319.408 0.342 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCC[N@@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001571145337 961729256 /nfs/dbraw/zinc/72/92/56/961729256.db2.gz SVFWFWYXRLWXEN-MRVPVSSYSA-N 0 2 319.408 0.342 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CNC(=O)c2ccccc21)c1nn(C)cc1O ZINC001363836424 961984662 /nfs/dbraw/zinc/98/46/62/961984662.db2.gz JRQLNZKHUMXZLZ-SKDRFNHKSA-N 0 2 314.345 0.830 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H](C)n1cccc1 ZINC001379767693 961985744 /nfs/dbraw/zinc/98/57/44/961985744.db2.gz KJOASYIGHSLLIS-VXGBXAGGSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H](C)n1cccc1 ZINC001379767693 961985753 /nfs/dbraw/zinc/98/57/53/961985753.db2.gz KJOASYIGHSLLIS-VXGBXAGGSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@H](NC(=O)c1ccc(CNC(N)=O)cc1)c1nn(C)cc1O ZINC001363837192 961990808 /nfs/dbraw/zinc/99/08/08/961990808.db2.gz XPAILSWVHZLMPO-VIFPVBQESA-N 0 2 317.349 0.785 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccc(F)cc1 ZINC001379781402 962018172 /nfs/dbraw/zinc/01/81/72/962018172.db2.gz DLLSUSZCSQWDMN-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccc(F)cc1 ZINC001379781402 962018196 /nfs/dbraw/zinc/01/81/96/962018196.db2.gz DLLSUSZCSQWDMN-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN CC(=O)Nc1cccnc1C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001363855171 962029973 /nfs/dbraw/zinc/02/99/73/962029973.db2.gz WHHNEFPIALCOTR-QMMMGPOBSA-N 0 2 303.322 0.970 20 0 DCADLN COCCC(C)(C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379115568 962138829 /nfs/dbraw/zinc/13/88/29/962138829.db2.gz BWIMLFYRKFIXOH-UHFFFAOYSA-N 0 2 313.402 0.463 20 0 DCADLN COCCC(C)(C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379115568 962138833 /nfs/dbraw/zinc/13/88/33/962138833.db2.gz BWIMLFYRKFIXOH-UHFFFAOYSA-N 0 2 313.402 0.463 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1ccc2c(c1)OCC(=O)N2 ZINC001363927527 962140099 /nfs/dbraw/zinc/14/00/99/962140099.db2.gz HNPPRUMUJNVUJL-UHFFFAOYSA-N 0 2 322.346 0.528 20 0 DCADLN COCC(=O)NC[C@]1(C)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001379891695 962228371 /nfs/dbraw/zinc/22/83/71/962228371.db2.gz OCAWSXLUCCNNQC-ONGXEEELSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NC[C@]1(C)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001379891695 962228385 /nfs/dbraw/zinc/22/83/85/962228385.db2.gz OCAWSXLUCCNNQC-ONGXEEELSA-N 0 2 314.279 0.888 20 0 DCADLN O=S(=O)(NCc1nc([C@H]2CCOC2)n[nH]1)c1ccccc1O ZINC001364028356 962324251 /nfs/dbraw/zinc/32/42/51/962324251.db2.gz NCIQVEPXHJTYQR-VIFPVBQESA-N 0 2 324.362 0.493 20 0 DCADLN O=S(=O)(NCc1nnc([C@H]2CCOC2)[nH]1)c1ccccc1O ZINC001364028356 962324274 /nfs/dbraw/zinc/32/42/74/962324274.db2.gz NCIQVEPXHJTYQR-VIFPVBQESA-N 0 2 324.362 0.493 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC001574102206 962401866 /nfs/dbraw/zinc/40/18/66/962401866.db2.gz SIDNIALPYGRWCW-KKTNHOPESA-N 0 2 318.373 0.944 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)NC[C@H](O)C[NH2+]C/C(Cl)=C/Cl ZINC001379231343 962418594 /nfs/dbraw/zinc/41/85/94/962418594.db2.gz QVZNKGLJTZSKPC-CIYHXPSUSA-N 0 2 324.252 0.856 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc(N3CCCC3=O)cc2)n1 ZINC001364074594 962419172 /nfs/dbraw/zinc/41/91/72/962419172.db2.gz UUSPWSDGAWQYNQ-UHFFFAOYSA-N 0 2 322.350 0.138 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001379368150 962744264 /nfs/dbraw/zinc/74/42/64/962744264.db2.gz OYQUHGYKUVRZGK-MRVPVSSYSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001379368150 962744281 /nfs/dbraw/zinc/74/42/81/962744281.db2.gz OYQUHGYKUVRZGK-MRVPVSSYSA-N 0 2 308.235 0.593 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)N(C)C(=O)C(F)C(F)(F)F ZINC001380645723 963454706 /nfs/dbraw/zinc/45/47/06/963454706.db2.gz UCZSBJOPEMBBPO-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001380645723 963454712 /nfs/dbraw/zinc/45/47/12/963454712.db2.gz UCZSBJOPEMBBPO-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN Cn1nnc(CNC2(CNC(=O)C(F)C(F)(F)F)CCC2)n1 ZINC001380857299 963636358 /nfs/dbraw/zinc/63/63/58/963636358.db2.gz PJXMPBOQQGJHRO-QMMMGPOBSA-N 0 2 324.282 0.239 20 0 DCADLN Cn1nnc(CNC2(CNC(=O)[C@H](F)C(F)(F)F)CCC2)n1 ZINC001380857299 963636365 /nfs/dbraw/zinc/63/63/65/963636365.db2.gz PJXMPBOQQGJHRO-QMMMGPOBSA-N 0 2 324.282 0.239 20 0 DCADLN Cn1nnc(CNC2(CNC(=O)C(F)C(F)(F)F)CCC2)n1 ZINC001380857290 963636800 /nfs/dbraw/zinc/63/68/00/963636800.db2.gz PJXMPBOQQGJHRO-MRVPVSSYSA-N 0 2 324.282 0.239 20 0 DCADLN Cn1nnc(CNC2(CNC(=O)[C@@H](F)C(F)(F)F)CCC2)n1 ZINC001380857290 963636806 /nfs/dbraw/zinc/63/68/06/963636806.db2.gz PJXMPBOQQGJHRO-MRVPVSSYSA-N 0 2 324.282 0.239 20 0 DCADLN COCCN1CC2(C1)C[C@@H](NC(=O)C(F)C(F)(F)F)CO2 ZINC001381011379 963763891 /nfs/dbraw/zinc/76/38/91/963763891.db2.gz LKNDIINAHYKDFT-RKDXNWHRSA-N 0 2 314.279 0.493 20 0 DCADLN CC(C)[C@@H](CCN(C)C(=O)c1ccn[nH]1)NC(=O)c1cn[nH]n1 ZINC001381024169 963770656 /nfs/dbraw/zinc/77/06/56/963770656.db2.gz JBTLUQABWPHASR-SNVBAGLBSA-N 0 2 319.369 0.445 20 0 DCADLN CC(C)[C@@H](CCN(C)C(=O)c1cc[nH]n1)NC(=O)c1cnn[nH]1 ZINC001381024169 963770662 /nfs/dbraw/zinc/77/06/62/963770662.db2.gz JBTLUQABWPHASR-SNVBAGLBSA-N 0 2 319.369 0.445 20 0 DCADLN C[C@H](NC(=O)C[C@H]1CCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369077847 964376408 /nfs/dbraw/zinc/37/64/08/964376408.db2.gz KRGKTPMMJLAPBT-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(COCC1CC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369796187 965413233 /nfs/dbraw/zinc/41/32/33/965413233.db2.gz NCTOLVIBXDNPJV-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001370116473 965789756 /nfs/dbraw/zinc/78/97/56/965789756.db2.gz HEJBPIWPDANADS-XRGYYRRGSA-N 0 2 324.234 0.547 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001370116473 965789761 /nfs/dbraw/zinc/78/97/61/965789761.db2.gz HEJBPIWPDANADS-XRGYYRRGSA-N 0 2 324.234 0.547 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)[NH2+]Cc2nc(C3CC3)no2)c1[O-] ZINC001376717983 966052857 /nfs/dbraw/zinc/05/28/57/966052857.db2.gz YLCBHFHUJIRHFA-ZETCQYMHSA-N 0 2 320.353 0.592 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001376717089 966053177 /nfs/dbraw/zinc/05/31/77/966053177.db2.gz WWRMRIXUNRIULX-VXNVDRBHSA-N 0 2 321.385 0.573 20 0 DCADLN C[C@@H](CNC(=O)c1ccccc1O)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381503289 966471990 /nfs/dbraw/zinc/47/19/90/966471990.db2.gz ZWBQXMXJLLHICI-VIFPVBQESA-N 0 2 305.338 0.466 20 0 DCADLN C[C@@H](CNC(=O)c1ccccc1O)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381503289 966472000 /nfs/dbraw/zinc/47/20/00/966472000.db2.gz ZWBQXMXJLLHICI-VIFPVBQESA-N 0 2 305.338 0.466 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001382025940 966874565 /nfs/dbraw/zinc/87/45/65/966874565.db2.gz LYRVHEJRJZBCTH-IYSWYEEDSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001382025940 966874573 /nfs/dbraw/zinc/87/45/73/966874573.db2.gz LYRVHEJRJZBCTH-IYSWYEEDSA-N 0 2 312.223 0.547 20 0 DCADLN Cc1nnsc1C[N@@H+](C)[C@@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001448016281 1013710317 /nfs/dbraw/zinc/71/03/17/1013710317.db2.gz XBQMLAMRBDCHEP-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nnsc1C[N@H+](C)[C@@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001448016281 1013710327 /nfs/dbraw/zinc/71/03/27/1013710327.db2.gz XBQMLAMRBDCHEP-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN CCC(C)(C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001382593969 967526002 /nfs/dbraw/zinc/52/60/02/967526002.db2.gz MPAXSONMLXZAHE-SFYZADRCSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001382593969 967526018 /nfs/dbraw/zinc/52/60/18/967526018.db2.gz MPAXSONMLXZAHE-SFYZADRCSA-N 0 2 316.295 0.916 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ncc[nH]2)C1)C(F)C(F)(F)F ZINC001372017935 967566340 /nfs/dbraw/zinc/56/63/40/967566340.db2.gz XEGUZPONQBZJKX-RNFRBKRXSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ncc[nH]2)C1)[C@@H](F)C(F)(F)F ZINC001372017935 967566347 /nfs/dbraw/zinc/56/63/47/967566347.db2.gz XEGUZPONQBZJKX-RNFRBKRXSA-N 0 2 308.235 0.641 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1Cc2ccccc2O1)Cc1n[nH]c(=O)[n-]1 ZINC001372030478 967581917 /nfs/dbraw/zinc/58/19/17/967581917.db2.gz LUBACTPUJGDUQF-LBPRGKRZSA-N 0 2 317.349 0.062 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1Cc2ccccc2O1)Cc1n[nH]c(=O)[n-]1 ZINC001372030478 967581919 /nfs/dbraw/zinc/58/19/19/967581919.db2.gz LUBACTPUJGDUQF-LBPRGKRZSA-N 0 2 317.349 0.062 20 0 DCADLN Cn1cnnc1CN(CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001372809036 968405804 /nfs/dbraw/zinc/40/58/04/968405804.db2.gz MATCSDCDZFOIAV-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN(CCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001372809036 968405808 /nfs/dbraw/zinc/40/58/08/968405808.db2.gz MATCSDCDZFOIAV-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1C[C@]12CCOC2 ZINC001372888688 968503553 /nfs/dbraw/zinc/50/35/53/968503553.db2.gz SXTOFWNGSVHDCL-NHYWBVRUSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1C[C@]12CCOC2 ZINC001372888688 968503557 /nfs/dbraw/zinc/50/35/57/968503557.db2.gz SXTOFWNGSVHDCL-NHYWBVRUSA-N 0 2 321.381 0.018 20 0 DCADLN CN(CCNC(=O)CC1(O)CCC1)C(=O)C(F)C(F)(F)F ZINC001373430404 969088057 /nfs/dbraw/zinc/08/80/57/969088057.db2.gz WVXDBFOWGLMJKE-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CN(CCNC(=O)CC1(O)CCC1)C(=O)[C@H](F)C(F)(F)F ZINC001373430404 969088064 /nfs/dbraw/zinc/08/80/64/969088064.db2.gz WVXDBFOWGLMJKE-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)F)CCC1 ZINC001373530275 969191043 /nfs/dbraw/zinc/19/10/43/969191043.db2.gz FIKZIPKEZCSIPJ-UHFFFAOYSA-N 0 2 315.324 0.494 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ncccn1 ZINC001431302572 1013987472 /nfs/dbraw/zinc/98/74/72/1013987472.db2.gz KAEIALYGFWOAHP-ZETCQYMHSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ncccn1 ZINC001431302572 1013987475 /nfs/dbraw/zinc/98/74/75/1013987475.db2.gz KAEIALYGFWOAHP-ZETCQYMHSA-N 0 2 308.235 0.565 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000318510646 970441249 /nfs/dbraw/zinc/44/12/49/970441249.db2.gz YCBLCONKSFUZFX-GHMZBOCLSA-N 0 2 323.736 0.368 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000318510646 970441253 /nfs/dbraw/zinc/44/12/53/970441253.db2.gz YCBLCONKSFUZFX-GHMZBOCLSA-N 0 2 323.736 0.368 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ncc[nH]1 ZINC001448926287 1014099259 /nfs/dbraw/zinc/09/92/59/1014099259.db2.gz YZHKQBVWKFKAJA-VIFPVBQESA-N 0 2 310.251 0.427 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCC(Cc3c[nH]c[nH+]3)CC2)[nH]n1 ZINC001606459575 973912412 /nfs/dbraw/zinc/91/24/12/973912412.db2.gz JYVXMFVQSHDELJ-UHFFFAOYSA-N 0 2 303.322 0.926 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001449037033 1014150783 /nfs/dbraw/zinc/15/07/83/1014150783.db2.gz WLJALRYAOXSMCD-WDEREUQCSA-N 0 2 311.386 0.404 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001449037033 1014150790 /nfs/dbraw/zinc/15/07/90/1014150790.db2.gz WLJALRYAOXSMCD-WDEREUQCSA-N 0 2 311.386 0.404 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)c1 ZINC001606504378 973996694 /nfs/dbraw/zinc/99/66/94/973996694.db2.gz VYURLRRLSPAGLF-CYBMUJFWSA-N 0 2 300.318 0.895 20 0 DCADLN COc1cccc2c1C[N@@H+]([C@H]1CCN(CC(=O)[O-])C1=O)CC2 ZINC001604877079 974130796 /nfs/dbraw/zinc/13/07/96/974130796.db2.gz RKFJQLYOWSZDMT-ZDUSSCGKSA-N 0 2 304.346 0.739 20 0 DCADLN COc1cccc2c1C[N@H+]([C@H]1CCN(CC(=O)[O-])C1=O)CC2 ZINC001604877079 974130802 /nfs/dbraw/zinc/13/08/02/974130802.db2.gz RKFJQLYOWSZDMT-ZDUSSCGKSA-N 0 2 304.346 0.739 20 0 DCADLN CC(C)OCCOC1CC[NH+](Cn2cc(C(=O)[O-])nn2)CC1 ZINC001591726233 975682358 /nfs/dbraw/zinc/68/23/58/975682358.db2.gz KOSHUIQASOVFOP-UHFFFAOYSA-N 0 2 312.370 0.840 20 0 DCADLN CC(C)OCc1noc(C[NH2+][C@H](C(=O)[O-])C2CCOCC2)n1 ZINC001591734518 975717701 /nfs/dbraw/zinc/71/77/01/975717701.db2.gz MJPWUNRSLQXANB-ZDUSSCGKSA-N 0 2 313.354 0.964 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCCC[C@H]1C1OCCO1)C(=O)[O-] ZINC001591866688 976174063 /nfs/dbraw/zinc/17/40/63/976174063.db2.gz KRLGYQHCXVVBMM-AAEUAGOBSA-N 0 2 314.382 0.439 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCCC[C@H]1C1OCCO1)C(=O)[O-] ZINC001591866688 976174070 /nfs/dbraw/zinc/17/40/70/976174070.db2.gz KRLGYQHCXVVBMM-AAEUAGOBSA-N 0 2 314.382 0.439 20 0 DCADLN Cn1cc(O[C@@H]2COC[C@H]2NC(=O)C(F)C(F)(F)F)cn1 ZINC001549664352 1014389583 /nfs/dbraw/zinc/38/95/83/1014389583.db2.gz MJAAWNPSJCRTLW-IWSPIJDZSA-N 0 2 311.235 0.583 20 0 DCADLN Cn1cc(O[C@@H]2COC[C@H]2NC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001549664352 1014389596 /nfs/dbraw/zinc/38/95/96/1014389596.db2.gz MJAAWNPSJCRTLW-IWSPIJDZSA-N 0 2 311.235 0.583 20 0 DCADLN C[C@@H](CC(=O)N1CCC[C@H]1C(=O)NCC(=O)[O-])n1cc[nH+]c1 ZINC001592233578 977432915 /nfs/dbraw/zinc/43/29/15/977432915.db2.gz IXDPOBUPMMVBFR-QWRGUYRKSA-N 0 2 308.338 0.026 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)N[C@H]1CC=C(C(=O)[O-])C1 ZINC001592625010 978602425 /nfs/dbraw/zinc/60/24/25/978602425.db2.gz UQVCMIXNYMJSDN-AAEUAGOBSA-N 0 2 311.382 0.522 20 0 DCADLN CCCN(CCNC(=O)Cc1[nH]cc[nH+]1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001595883131 982901191 /nfs/dbraw/zinc/90/11/91/982901191.db2.gz RIYDISQEISRNAE-GHMZBOCLSA-N 0 2 322.365 0.028 20 0 DCADLN CC[N@H+](Cc1ccc(C(=O)[O-])n1C)[C@@H]1CCS(=O)(=O)C1 ZINC001596357882 983830697 /nfs/dbraw/zinc/83/06/97/983830697.db2.gz QFHGGQJMNIDABJ-LLVKDONJSA-N 0 2 300.380 0.732 20 0 DCADLN CC[N@@H+](Cc1ccc(C(=O)[O-])n1C)[C@@H]1CCS(=O)(=O)C1 ZINC001596357882 983830704 /nfs/dbraw/zinc/83/07/04/983830704.db2.gz QFHGGQJMNIDABJ-LLVKDONJSA-N 0 2 300.380 0.732 20 0 DCADLN CCNc1cccnc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001596504369 984258580 /nfs/dbraw/zinc/25/85/80/984258580.db2.gz NLGWLTHZCZLWOB-LLVKDONJSA-N 0 2 303.322 0.662 20 0 DCADLN CCNc1cccnc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001596504369 984258585 /nfs/dbraw/zinc/25/85/85/984258585.db2.gz NLGWLTHZCZLWOB-LLVKDONJSA-N 0 2 303.322 0.662 20 0 DCADLN CCOC(=O)C(C)(C)CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001596512156 984284016 /nfs/dbraw/zinc/28/40/16/984284016.db2.gz IGKHOLSTDNGDJC-JTQLQIEISA-N 0 2 311.338 0.432 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2c[nH]nc2C(=O)[O-])C1 ZINC001596575462 984478332 /nfs/dbraw/zinc/47/83/32/984478332.db2.gz APYIVJOMVIQRKF-VIFPVBQESA-N 0 2 324.337 0.322 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2c[nH]nc2C(=O)[O-])C1 ZINC001596575462 984478335 /nfs/dbraw/zinc/47/83/35/984478335.db2.gz APYIVJOMVIQRKF-VIFPVBQESA-N 0 2 324.337 0.322 20 0 DCADLN CCOC(=O)[C@@H]1C[N@H+](CC(=O)NCCC(=O)[O-])CCC1(F)F ZINC001596585589 984511431 /nfs/dbraw/zinc/51/14/31/984511431.db2.gz PYBVCTHXRJDIGQ-VIFPVBQESA-N 0 2 322.308 0.098 20 0 DCADLN CCOC(=O)[C@@H]1C[N@@H+](CC(=O)NCCC(=O)[O-])CCC1(F)F ZINC001596585589 984511434 /nfs/dbraw/zinc/51/14/34/984511434.db2.gz PYBVCTHXRJDIGQ-VIFPVBQESA-N 0 2 322.308 0.098 20 0 DCADLN CCOC(=O)C[C@@H](O)CO[NH+]=C(N)c1cccc(C(=O)[O-])c1 ZINC001596594888 984545384 /nfs/dbraw/zinc/54/53/84/984545384.db2.gz XJZLJVLBMCUEIV-LLVKDONJSA-N 0 2 310.306 0.545 20 0 DCADLN C/C(=C/C(=O)Nc1cc(C(=O)[O-])n(C)n1)C[NH+]1CCOCC1 ZINC001588944005 984643536 /nfs/dbraw/zinc/64/35/36/984643536.db2.gz LYEDDURNHYYXTR-YFHOEESVSA-N 0 2 308.338 0.335 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H]1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001596677540 984753442 /nfs/dbraw/zinc/75/34/42/984753442.db2.gz GTLOIXDVGACPMX-AXFHLTTASA-N 0 2 309.322 0.111 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H]1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001596677540 984753444 /nfs/dbraw/zinc/75/34/44/984753444.db2.gz GTLOIXDVGACPMX-AXFHLTTASA-N 0 2 309.322 0.111 20 0 DCADLN CCn1ccnc1C(=O)N(C)C[C@@H]1OCC[C@H]1c1n[nH]c(C)n1 ZINC001551459727 1015094305 /nfs/dbraw/zinc/09/43/05/1015094305.db2.gz LBKFKHRZEQNBRF-NEPJUHHUSA-N 0 2 318.381 0.974 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)CC2(CC(=O)[O-])CC2)C[C@H](C)[N@H+]1C ZINC001599929996 985294754 /nfs/dbraw/zinc/29/47/54/985294754.db2.gz GPOKCPFCDUOYHB-PHIMTYICSA-N 0 2 304.412 0.596 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)CC2(CC(=O)[O-])CC2)C[C@H](C)[N@@H+]1C ZINC001599929996 985294760 /nfs/dbraw/zinc/29/47/60/985294760.db2.gz GPOKCPFCDUOYHB-PHIMTYICSA-N 0 2 304.412 0.596 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[NH+]2CCC(O)(CO)CC2)c(C)c1C(=O)[O-] ZINC001599944318 985498359 /nfs/dbraw/zinc/49/83/59/985498359.db2.gz IILHVLSQMYIQQZ-LLVKDONJSA-N 0 2 324.377 0.720 20 0 DCADLN CCOC[C@H](C(=O)[O-])N(C)C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001596872628 985707127 /nfs/dbraw/zinc/70/71/27/985707127.db2.gz NHCMBJJIHPTZNW-GFCCVEGCSA-N 0 2 309.366 0.710 20 0 DCADLN C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594508439 985749845 /nfs/dbraw/zinc/74/98/45/985749845.db2.gz RNTQMPWXUCUVPQ-XCBNKYQSSA-N 0 2 315.351 0.134 20 0 DCADLN C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594508439 985749851 /nfs/dbraw/zinc/74/98/51/985749851.db2.gz RNTQMPWXUCUVPQ-XCBNKYQSSA-N 0 2 315.351 0.134 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2C[C@@H](C)CO2)n[nH]1 ZINC001551655900 1015166942 /nfs/dbraw/zinc/16/69/42/1015166942.db2.gz IJOAVNNVJUUSTH-FOUMNBMASA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2OCC[C@@H]2C)n[nH]1 ZINC001551662604 1015168037 /nfs/dbraw/zinc/16/80/37/1015168037.db2.gz WNBRGNKAICQKOX-WKSBVSIWSA-N 0 2 308.382 0.869 20 0 DCADLN CCC1(C(=O)N(C)C[C@H]2OCC[C@@H]2c2n[nH]c(C)n2)COC1 ZINC001551664466 1015169056 /nfs/dbraw/zinc/16/90/56/1015169056.db2.gz DOPZVDNEBFRXTE-NWDGAFQWSA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)CN2CCCC2=O)n[nH]1 ZINC001551661717 1015169226 /nfs/dbraw/zinc/16/92/26/1015169226.db2.gz CZPIXYPKFHIASO-RYUDHWBXSA-N 0 2 321.381 0.066 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1csc(C(=O)[O-])n1 ZINC001594527505 986080470 /nfs/dbraw/zinc/08/04/70/986080470.db2.gz LFFNWBQSXDVTHR-BDAKNGLRSA-N 0 2 313.379 0.680 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1csc(C(=O)[O-])n1 ZINC001594527505 986080486 /nfs/dbraw/zinc/08/04/86/986080486.db2.gz LFFNWBQSXDVTHR-BDAKNGLRSA-N 0 2 313.379 0.680 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCc2cc(C(=O)[O-])co2)CCO1 ZINC001594528855 986106205 /nfs/dbraw/zinc/10/62/05/986106205.db2.gz YQDAYLVPEQHFLU-JTQLQIEISA-N 0 2 311.338 0.498 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCc2cc(C(=O)[O-])co2)CCO1 ZINC001594528855 986106213 /nfs/dbraw/zinc/10/62/13/986106213.db2.gz YQDAYLVPEQHFLU-JTQLQIEISA-N 0 2 311.338 0.498 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001385650587 986495758 /nfs/dbraw/zinc/49/57/58/986495758.db2.gz CIYAWRGNZMFNDN-WDSKDSINSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2nc[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001385650587 986495762 /nfs/dbraw/zinc/49/57/62/986495762.db2.gz CIYAWRGNZMFNDN-WDSKDSINSA-N 0 2 309.223 0.036 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)CC[C@H]1C(=O)[O-] ZINC001594608291 986591185 /nfs/dbraw/zinc/59/11/85/986591185.db2.gz WXHRZXWEFVKFAW-RWMBFGLXSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCOC[C@@H]2C)CC[C@H]1C(=O)[O-] ZINC001594608291 986591187 /nfs/dbraw/zinc/59/11/87/986591187.db2.gz WXHRZXWEFVKFAW-RWMBFGLXSA-N 0 2 313.398 0.459 20 0 DCADLN CSCC(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001386066334 986796596 /nfs/dbraw/zinc/79/65/96/986796596.db2.gz HDOLORQASJVEPI-XPUUQOCRSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001386066334 986796604 /nfs/dbraw/zinc/79/66/04/986796604.db2.gz HDOLORQASJVEPI-XPUUQOCRSA-N 0 2 302.293 0.967 20 0 DCADLN CN(CCNC(=O)c1cnns1)C(=O)C(F)C(F)(F)F ZINC001387653733 987977833 /nfs/dbraw/zinc/97/78/33/987977833.db2.gz FPRDEXKNUXXXQY-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)c1cnns1)C(=O)[C@@H](F)C(F)(F)F ZINC001387653733 987977846 /nfs/dbraw/zinc/97/78/46/987977846.db2.gz FPRDEXKNUXXXQY-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN CC(C)(C)OC(=O)C[N@H+](CCC(=O)[O-])C[C@H]1COCCO1 ZINC001589883206 988558650 /nfs/dbraw/zinc/55/86/50/988558650.db2.gz LMJULSGILYLLDH-NSHDSACASA-N 0 2 303.355 0.520 20 0 DCADLN CC(C)(C)OC(=O)C[N@@H+](CCC(=O)[O-])C[C@H]1COCCO1 ZINC001589883206 988558656 /nfs/dbraw/zinc/55/86/56/988558656.db2.gz LMJULSGILYLLDH-NSHDSACASA-N 0 2 303.355 0.520 20 0 DCADLN O=C([O-])[C@@]12COC[C@H]1CN(C(=O)Nc1ccn3cc[nH+]c3c1)C2 ZINC001552375190 1015411724 /nfs/dbraw/zinc/41/17/24/1015411724.db2.gz DMJJNOIHEMABDL-BMIGLBTASA-N 0 2 316.317 0.899 20 0 DCADLN CCc1nnc([C@@H](C)[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)o1 ZINC001597724715 989022988 /nfs/dbraw/zinc/02/29/88/989022988.db2.gz UZLVVNADGSZPIQ-RKDXNWHRSA-N 0 2 306.326 0.930 20 0 DCADLN CCc1nnc([C@@H](C)[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)o1 ZINC001597724715 989022999 /nfs/dbraw/zinc/02/29/99/989022999.db2.gz UZLVVNADGSZPIQ-RKDXNWHRSA-N 0 2 306.326 0.930 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCCC[C@H]1N1CCCC1=O ZINC001552546845 1015458507 /nfs/dbraw/zinc/45/85/07/1015458507.db2.gz UEPYYOFISDUHRH-VHSXEESVSA-N 0 2 307.354 0.308 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@@H+]1CC[C@H](NC(=O)C[NH+](C)C2CCC2)C1 ZINC001389452742 989268881 /nfs/dbraw/zinc/26/88/81/989268881.db2.gz CEBUKAAQRFZPPX-KGLIPLIRSA-N 0 2 324.469 0.576 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCCCNc2cccc[nH+]2)O[C@H]1C(=O)[O-] ZINC001599875521 989413135 /nfs/dbraw/zinc/41/31/35/989413135.db2.gz UVOLIRPCCLXBEY-NQBHXWOUSA-N 0 2 307.350 0.878 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001389620962 989436362 /nfs/dbraw/zinc/43/63/62/989436362.db2.gz GREKYUVLHNOJGN-ZDUSSCGKSA-N 0 2 321.425 0.699 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001450955710 1015480584 /nfs/dbraw/zinc/48/05/84/1015480584.db2.gz GUQGJSQUJOBJBW-IYSWYEEDSA-N 0 2 312.223 0.595 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001450955710 1015480592 /nfs/dbraw/zinc/48/05/92/1015480592.db2.gz GUQGJSQUJOBJBW-IYSWYEEDSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CCCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001390144768 989865291 /nfs/dbraw/zinc/86/52/91/989865291.db2.gz VQYIXVPQCFKEFT-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CCCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001390144768 989865305 /nfs/dbraw/zinc/86/53/05/989865305.db2.gz VQYIXVPQCFKEFT-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN CCn1nc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1C1CC1 ZINC001597927041 989872140 /nfs/dbraw/zinc/87/21/40/989872140.db2.gz XBAGCOPCVLXTCS-GFCCVEGCSA-N 0 2 317.349 0.860 20 0 DCADLN CC(=O)N[C@H](C)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001527795129 1015544734 /nfs/dbraw/zinc/54/47/34/1015544734.db2.gz REILLUNXLWZABE-SECBINFHSA-N 0 2 304.354 0.455 20 0 DCADLN CCn1nnc(C)c1C[N@H+](C)CCNC(=O)C1([NH+](C)C)CCC1 ZINC001390762382 990363042 /nfs/dbraw/zinc/36/30/42/990363042.db2.gz DSBILENSFBEYCE-UHFFFAOYSA-N 0 2 322.457 0.639 20 0 DCADLN CC[N@@H+]1CC[C@H]1CNC(=O)N1CC[C@H]([NH+]2CCN(C)CC2)C1 ZINC001552901236 1015560365 /nfs/dbraw/zinc/56/03/65/1015560365.db2.gz RQXTXKNLYHMLFS-GJZGRUSLSA-N 0 2 309.458 0.112 20 0 DCADLN CC(C)(CNC(=O)NCC[N@@H+]1CCOCC1(C)C)CC(=O)[O-] ZINC001590723314 990504602 /nfs/dbraw/zinc/50/46/02/990504602.db2.gz WCBQATTUGCPXKL-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN CC(C)(CNC(=O)NCC[N@H+]1CCOCC1(C)C)CC(=O)[O-] ZINC001590723314 990504606 /nfs/dbraw/zinc/50/46/06/990504606.db2.gz WCBQATTUGCPXKL-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN Cn1nccc1C[N@H+]1CCC[C@H]1CNC(=O)CCc1nnc[nH]1 ZINC001391055183 990544309 /nfs/dbraw/zinc/54/43/09/990544309.db2.gz XEHYDXASHCOPMS-LBPRGKRZSA-N 0 2 317.397 0.252 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001391057797 990546291 /nfs/dbraw/zinc/54/62/91/990546291.db2.gz CKFYHEWSPAVWLV-GHMZBOCLSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001391057797 990546299 /nfs/dbraw/zinc/54/62/99/990546299.db2.gz CKFYHEWSPAVWLV-GHMZBOCLSA-N 0 2 319.365 0.988 20 0 DCADLN Cn1nnc(CN2CCC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001391060235 990552275 /nfs/dbraw/zinc/55/22/75/990552275.db2.gz XHJNGKSICGOZJT-IONNQARKSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CCC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001391060235 990552280 /nfs/dbraw/zinc/55/22/80/990552280.db2.gz XHJNGKSICGOZJT-IONNQARKSA-N 0 2 324.282 0.191 20 0 DCADLN CC(C)(CNS(=O)(=O)c1ccc(C(=O)[O-])nc1)n1cc[nH+]c1 ZINC001590766681 990572571 /nfs/dbraw/zinc/57/25/71/990572571.db2.gz ZZVANMRGFLCTFM-UHFFFAOYSA-N 0 2 324.362 0.690 20 0 DCADLN Cn1nncc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001391576084 990969035 /nfs/dbraw/zinc/96/90/35/990969035.db2.gz FQCYLCMOCPCRIG-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1nncc1CN1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001391576084 990969042 /nfs/dbraw/zinc/96/90/42/990969042.db2.gz FQCYLCMOCPCRIG-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN COc1ncc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1Cl ZINC001599733390 991739677 /nfs/dbraw/zinc/73/96/77/991739677.db2.gz WYFIESSWQSLTNY-JTQLQIEISA-N 0 2 324.724 0.892 20 0 DCADLN COc1ncc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1Cl ZINC001599733390 991739684 /nfs/dbraw/zinc/73/96/84/991739684.db2.gz WYFIESSWQSLTNY-JTQLQIEISA-N 0 2 324.724 0.892 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC12CCCC2 ZINC001392318922 991747630 /nfs/dbraw/zinc/74/76/30/991747630.db2.gz WVYZRRZCAOQDGZ-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC12CCCC2 ZINC001392318922 991747638 /nfs/dbraw/zinc/74/76/38/991747638.db2.gz WVYZRRZCAOQDGZ-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1ccnn1CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001392328663 991761950 /nfs/dbraw/zinc/76/19/50/991761950.db2.gz DORODASXSQQRPS-XCBNKYQSSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccnn1CC(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001392328663 991761958 /nfs/dbraw/zinc/76/19/58/991761958.db2.gz DORODASXSQQRPS-XCBNKYQSSA-N 0 2 324.278 0.713 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CCC(F)(F)[C@H](CO)C1)C(=O)[O-] ZINC001591167811 991940396 /nfs/dbraw/zinc/94/03/96/991940396.db2.gz NQWFKWSCUIQVNU-WDEREUQCSA-N 0 2 322.352 0.551 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CCC(F)(F)[C@H](CO)C1)C(=O)[O-] ZINC001591167811 991940402 /nfs/dbraw/zinc/94/04/02/991940402.db2.gz NQWFKWSCUIQVNU-WDEREUQCSA-N 0 2 322.352 0.551 20 0 DCADLN CCc1noc([C@H](C)[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001392471899 991972689 /nfs/dbraw/zinc/97/26/89/991972689.db2.gz SLOOHMPNBLMPRY-APPZFPTMSA-N 0 2 322.369 0.838 20 0 DCADLN CN(CCCNC(=O)Cc1c[nH]c[nH+]1)c1ncc(C(=O)[O-])cn1 ZINC001598480772 992145983 /nfs/dbraw/zinc/14/59/83/992145983.db2.gz VFHSAZPFIZJEFZ-UHFFFAOYSA-N 0 2 318.337 0.083 20 0 DCADLN CN(CCCNc1ncc(C(=O)[O-])cn1)C(=O)Cc1c[nH+]c[nH]1 ZINC001598483620 992187016 /nfs/dbraw/zinc/18/70/16/992187016.db2.gz WSMKCYSPLYBQFR-UHFFFAOYSA-N 0 2 318.337 0.401 20 0 DCADLN COCC1(CC(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001392653983 992210927 /nfs/dbraw/zinc/21/09/27/992210927.db2.gz HPEFDKOTSSAHTM-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COCC1(CC(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001392653983 992210934 /nfs/dbraw/zinc/21/09/34/992210934.db2.gz HPEFDKOTSSAHTM-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN Cc1ccn(CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001392864471 992474989 /nfs/dbraw/zinc/47/49/89/992474989.db2.gz MMYSNYCKRHPKEE-WPRPVWTQSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccn(CC(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)n1 ZINC001392864471 992474992 /nfs/dbraw/zinc/47/49/92/992474992.db2.gz MMYSNYCKRHPKEE-WPRPVWTQSA-N 0 2 324.278 0.713 20 0 DCADLN CC(C)Cc1noc(C[NH2+]CCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001591497835 992635476 /nfs/dbraw/zinc/63/54/76/992635476.db2.gz KWUPOMVGTUQZDK-MNOVXSKESA-N 0 2 324.381 0.537 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@@]2(CC(=O)[O-])CCOC2)[nH]n1 ZINC001598599297 993552987 /nfs/dbraw/zinc/55/29/87/993552987.db2.gz VOZHUQUYGYUFBM-IUODEOHRSA-N 0 2 322.365 0.540 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@@]2(CC(=O)[O-])CCOC2)[nH]n1 ZINC001598599297 993552989 /nfs/dbraw/zinc/55/29/89/993552989.db2.gz VOZHUQUYGYUFBM-IUODEOHRSA-N 0 2 322.365 0.540 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc(CF)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001492883350 993612576 /nfs/dbraw/zinc/61/25/76/993612576.db2.gz CQWKWPFWAKWAPH-UHFFFAOYSA-N 0 2 307.329 0.842 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc(CF)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001492883350 993612582 /nfs/dbraw/zinc/61/25/82/993612582.db2.gz CQWKWPFWAKWAPH-UHFFFAOYSA-N 0 2 307.329 0.842 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N[C@H]1CC=C(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC001593081548 993663415 /nfs/dbraw/zinc/66/34/15/993663415.db2.gz CQNGLOYHOXNUTC-LOWVWBTDSA-N 0 2 311.382 0.568 20 0 DCADLN C[N@@H+]1CCN(C(=O)CC(=O)[O-])[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC001598606607 993673390 /nfs/dbraw/zinc/67/33/90/993673390.db2.gz ZFEQNLZVFFTHMG-JTQLQIEISA-N 0 2 315.370 0.128 20 0 DCADLN C[N@H+]1CCN(C(=O)CC(=O)[O-])[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC001598606607 993673395 /nfs/dbraw/zinc/67/33/95/993673395.db2.gz ZFEQNLZVFFTHMG-JTQLQIEISA-N 0 2 315.370 0.128 20 0 DCADLN CC(C)OCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399837615 993829807 /nfs/dbraw/zinc/82/98/07/993829807.db2.gz XCEQVFLDIVBMJH-NSHDSACASA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)OCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399837615 993829810 /nfs/dbraw/zinc/82/98/10/993829810.db2.gz XCEQVFLDIVBMJH-NSHDSACASA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)(O)CC(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400410501 994488171 /nfs/dbraw/zinc/48/81/71/994488171.db2.gz SJHOVEHHHSFCCK-CBAPKCEASA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001400410501 994488176 /nfs/dbraw/zinc/48/81/76/994488176.db2.gz SJHOVEHHHSFCCK-CBAPKCEASA-N 0 2 314.279 0.765 20 0 DCADLN O=C(CCc1ccncc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400508814 994600382 /nfs/dbraw/zinc/60/03/82/994600382.db2.gz CTYUETZNZXBVHG-UHFFFAOYSA-N 0 2 316.365 0.086 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1[C@H]2CN(C(=O)[C@@H]3C[C@H]3C)C[C@H]21 ZINC001395363866 994613226 /nfs/dbraw/zinc/61/32/26/994613226.db2.gz BURZOUUVTYVVKA-FZGMBXNASA-N 0 2 316.405 0.737 20 0 DCADLN O=C(NC1(CNC(=O)[C@]23C[C@H]2COC3)CC1)C(F)C(F)(F)F ZINC001400590209 994681338 /nfs/dbraw/zinc/68/13/38/994681338.db2.gz GMJFDKMNXKXNBC-SXMVTHIZSA-N 0 2 324.274 0.688 20 0 DCADLN O=C(NC1(CNC(=O)[C@]23C[C@H]2COC3)CC1)[C@@H](F)C(F)(F)F ZINC001400590209 994681345 /nfs/dbraw/zinc/68/13/45/994681345.db2.gz GMJFDKMNXKXNBC-SXMVTHIZSA-N 0 2 324.274 0.688 20 0 DCADLN CN(C(=O)CCC(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400699800 994812912 /nfs/dbraw/zinc/81/29/12/994812912.db2.gz HOIWNLIYTWLLNE-MRVPVSSYSA-N 0 2 303.313 0.588 20 0 DCADLN CN(C(=O)CCC(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400699800 994812923 /nfs/dbraw/zinc/81/29/23/994812923.db2.gz HOIWNLIYTWLLNE-MRVPVSSYSA-N 0 2 303.313 0.588 20 0 DCADLN O=C(NCc1ccoc1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001464525025 1015918182 /nfs/dbraw/zinc/91/81/82/1015918182.db2.gz ZBLGFRNGSGMKDJ-UHFFFAOYSA-N 0 2 300.274 0.789 20 0 DCADLN CCCCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001396368741 995345606 /nfs/dbraw/zinc/34/56/06/995345606.db2.gz TZOYVIIXQJDBCO-NQMVMOMDSA-N 0 2 314.279 0.765 20 0 DCADLN CCCCC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001396368741 995345610 /nfs/dbraw/zinc/34/56/10/995345610.db2.gz TZOYVIIXQJDBCO-NQMVMOMDSA-N 0 2 314.279 0.765 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001396757009 995589118 /nfs/dbraw/zinc/58/91/18/995589118.db2.gz WVOSYCIKXYCYIW-XLPZGREQSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001396757009 995589126 /nfs/dbraw/zinc/58/91/26/995589126.db2.gz WVOSYCIKXYCYIW-XLPZGREQSA-N 0 2 300.252 0.639 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCC(=O)OC(C)C ZINC001598749180 995629539 /nfs/dbraw/zinc/62/95/39/995629539.db2.gz FPHIXTXCQDYYJF-WDEREUQCSA-N 0 2 301.339 0.666 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCC(=O)OC(C)C ZINC001598749180 995629541 /nfs/dbraw/zinc/62/95/41/995629541.db2.gz FPHIXTXCQDYYJF-WDEREUQCSA-N 0 2 301.339 0.666 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397252940 995887739 /nfs/dbraw/zinc/88/77/39/995887739.db2.gz FAIPLUCBEIIRHC-LURQLKTLSA-N 0 2 314.279 0.933 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397252940 995887745 /nfs/dbraw/zinc/88/77/45/995887745.db2.gz FAIPLUCBEIIRHC-LURQLKTLSA-N 0 2 314.279 0.933 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCc2[nH+]ccn2C)[C@@H](C(=O)[O-])C1 ZINC001598793775 996096051 /nfs/dbraw/zinc/09/60/51/996096051.db2.gz QURLUVVORMHODZ-GHMZBOCLSA-N 0 2 323.349 0.218 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[NH+]1CCC(N2CCNC2=O)CC1 ZINC001593724596 996267307 /nfs/dbraw/zinc/26/73/07/996267307.db2.gz HSSHZITUBVDRSM-VIFPVBQESA-N 0 2 308.338 0.923 20 0 DCADLN CN(CCNC(=O)Cc1cnoc1)C(=O)C(F)C(F)(F)F ZINC001398010073 996311692 /nfs/dbraw/zinc/31/16/92/996311692.db2.gz VZFQIDKBIILMDR-SECBINFHSA-N 0 2 311.235 0.692 20 0 DCADLN CN(CCNC(=O)Cc1cnoc1)C(=O)[C@@H](F)C(F)(F)F ZINC001398010073 996311700 /nfs/dbraw/zinc/31/17/00/996311700.db2.gz VZFQIDKBIILMDR-SECBINFHSA-N 0 2 311.235 0.692 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2nc(C(C)(C)C)no2)C[C@@H]1C(=O)[O-] ZINC001598824589 996368484 /nfs/dbraw/zinc/36/84/84/996368484.db2.gz HREQWJJLEMHXAF-DTWKUNHWSA-N 0 2 311.338 0.673 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2nc(C(C)(C)C)no2)C[C@@H]1C(=O)[O-] ZINC001598824589 996368491 /nfs/dbraw/zinc/36/84/91/996368491.db2.gz HREQWJJLEMHXAF-DTWKUNHWSA-N 0 2 311.338 0.673 20 0 DCADLN COC(=O)/C=C/c1ccc(C(=O)O[C@@H]2C[C@@H](C(=O)[O-])[N@H+](C)C2)o1 ZINC001598832041 996447037 /nfs/dbraw/zinc/44/70/37/996447037.db2.gz RCWQBCNRNCIQEO-MRUFAENASA-N 0 2 323.301 0.780 20 0 DCADLN COC(=O)/C=C/c1ccc(C(=O)O[C@@H]2C[C@@H](C(=O)[O-])[N@@H+](C)C2)o1 ZINC001598832041 996447041 /nfs/dbraw/zinc/44/70/41/996447041.db2.gz RCWQBCNRNCIQEO-MRUFAENASA-N 0 2 323.301 0.780 20 0 DCADLN COCC(C)(C)CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001398316943 996467102 /nfs/dbraw/zinc/46/71/02/996467102.db2.gz RTDMPOUGSFDNCJ-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CC1(C)CC(=O)NCC[N@@H+]1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001593843506 996607886 /nfs/dbraw/zinc/60/78/86/996607886.db2.gz CWKJYGBKYZPPJW-UHFFFAOYSA-N 0 2 319.361 0.924 20 0 DCADLN CC1(C)CC(=O)NCC[N@H+]1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001593843506 996607889 /nfs/dbraw/zinc/60/78/89/996607889.db2.gz CWKJYGBKYZPPJW-UHFFFAOYSA-N 0 2 319.361 0.924 20 0 DCADLN COC[C@@H](C)C(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398742893 996667542 /nfs/dbraw/zinc/66/75/42/996667542.db2.gz VTMFUEHSACPSRV-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@@H](C)C(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398742893 996667544 /nfs/dbraw/zinc/66/75/44/996667544.db2.gz VTMFUEHSACPSRV-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN COC(=O)Cc1occc1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001598874677 996755435 /nfs/dbraw/zinc/75/54/35/996755435.db2.gz TXMFFDVMKFPCBH-JTQLQIEISA-N 0 2 321.289 0.075 20 0 DCADLN COC(=O)N(C)CC[N@H+](C)CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC001598877021 996768674 /nfs/dbraw/zinc/76/86/74/996768674.db2.gz MCANJBGOEQYKIZ-UHFFFAOYSA-N 0 2 323.349 0.953 20 0 DCADLN COC(=O)N(C)CC[N@@H+](C)CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC001598877021 996768675 /nfs/dbraw/zinc/76/86/75/996768675.db2.gz MCANJBGOEQYKIZ-UHFFFAOYSA-N 0 2 323.349 0.953 20 0 DCADLN CC1(C)CN(C[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)C(=O)O1 ZINC001593942859 996973902 /nfs/dbraw/zinc/97/39/02/996973902.db2.gz RUKQYXGINKDRQY-SECBINFHSA-N 0 2 309.326 0.411 20 0 DCADLN CC1(C)CN(C[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)C(=O)O1 ZINC001593942859 996973917 /nfs/dbraw/zinc/97/39/17/996973917.db2.gz RUKQYXGINKDRQY-SECBINFHSA-N 0 2 309.326 0.411 20 0 DCADLN CO[C@]1(CNc2ccc(C)c(C(=O)[O-])[nH+]2)CCS(=O)(=O)C1 ZINC001599090644 997185973 /nfs/dbraw/zinc/18/59/73/997185973.db2.gz JUCYHXLDGWYPBT-ZDUSSCGKSA-N 0 2 314.363 0.704 20 0 DCADLN COC[C@@]1(C)C[N@@H+]([C@@H]2CCCN(CCC(=O)[O-])C2=O)CCO1 ZINC001599195152 997200635 /nfs/dbraw/zinc/20/06/35/997200635.db2.gz MWVIABLXBDFMQV-IUODEOHRSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@@]1(C)C[N@H+]([C@@H]2CCCN(CCC(=O)[O-])C2=O)CCO1 ZINC001599195152 997200647 /nfs/dbraw/zinc/20/06/47/997200647.db2.gz MWVIABLXBDFMQV-IUODEOHRSA-N 0 2 314.382 0.189 20 0 DCADLN CNC(=O)[C@@H](C)[N@H+](C)CC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001404622609 997302571 /nfs/dbraw/zinc/30/25/71/997302571.db2.gz RDSGLNLAMKTKGR-GFCCVEGCSA-N 0 2 321.425 0.257 20 0 DCADLN Cc1ccnc(CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001507197909 1016113828 /nfs/dbraw/zinc/11/38/28/1016113828.db2.gz JSWVTPYDHVUERJ-PSASIEDQSA-N 0 2 324.278 0.252 20 0 DCADLN Cc1ccnc(CN[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001507197909 1016113832 /nfs/dbraw/zinc/11/38/32/1016113832.db2.gz JSWVTPYDHVUERJ-PSASIEDQSA-N 0 2 324.278 0.252 20 0 DCADLN Cn1nncc1CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001404668415 997361133 /nfs/dbraw/zinc/36/11/33/997361133.db2.gz AHBNZFAJFPVWLP-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1nncc1CN1CCC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404668415 997361140 /nfs/dbraw/zinc/36/11/40/997361140.db2.gz AHBNZFAJFPVWLP-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN CCCC[C@@](C)(F)C(=O)NCCN(C)Cc1n[nH]c(=O)[nH]1 ZINC001399513175 997462340 /nfs/dbraw/zinc/46/23/40/997462340.db2.gz XWSOJWTXRZVFMO-CYBMUJFWSA-N 0 2 301.366 0.977 20 0 DCADLN CCCC[C@@](C)(F)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399513175 997462348 /nfs/dbraw/zinc/46/23/48/997462348.db2.gz XWSOJWTXRZVFMO-CYBMUJFWSA-N 0 2 301.366 0.977 20 0 DCADLN CCCC[C@@](C)(F)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399513175 997462356 /nfs/dbraw/zinc/46/23/56/997462356.db2.gz XWSOJWTXRZVFMO-CYBMUJFWSA-N 0 2 301.366 0.977 20 0 DCADLN COCC[C@@H](NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)C(=O)[O-] ZINC001599216093 997584669 /nfs/dbraw/zinc/58/46/69/997584669.db2.gz IRDUZUSZRNQUQS-SKDRFNHKSA-N 0 2 310.354 0.396 20 0 DCADLN COCC[C@@H](NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)C(=O)[O-] ZINC001599216093 997584681 /nfs/dbraw/zinc/58/46/81/997584681.db2.gz IRDUZUSZRNQUQS-SKDRFNHKSA-N 0 2 310.354 0.396 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@@H+]2CC[C@@H](OC(C)C)C2)n1 ZINC001598922474 997593821 /nfs/dbraw/zinc/59/38/21/997593821.db2.gz QFKOAQSMCZRHIA-SNVBAGLBSA-N 0 2 311.338 0.825 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@H+]2CC[C@@H](OC(C)C)C2)n1 ZINC001598922474 997593840 /nfs/dbraw/zinc/59/38/40/997593840.db2.gz QFKOAQSMCZRHIA-SNVBAGLBSA-N 0 2 311.338 0.825 20 0 DCADLN CCO[C@H](CC)C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405087352 997772565 /nfs/dbraw/zinc/77/25/65/997772565.db2.gz IXTSCUOQRWBOFX-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CO[C@H]1CC[N@H+](CC(=O)NCc2ccccc2)[C@@H](C(=O)[O-])C1 ZINC001599124646 997816825 /nfs/dbraw/zinc/81/68/25/997816825.db2.gz FPPGYFLONFQMIS-UONOGXRCSA-N 0 2 306.362 0.867 20 0 DCADLN CO[C@H]1CC[N@@H+](CC(=O)NCc2ccccc2)[C@@H](C(=O)[O-])C1 ZINC001599124646 997816833 /nfs/dbraw/zinc/81/68/33/997816833.db2.gz FPPGYFLONFQMIS-UONOGXRCSA-N 0 2 306.362 0.867 20 0 DCADLN CO[C@@H]1CC[N@H+](CC(=O)NCc2ccccc2)[C@H](C(=O)[O-])C1 ZINC001599124645 997816850 /nfs/dbraw/zinc/81/68/50/997816850.db2.gz FPPGYFLONFQMIS-KGLIPLIRSA-N 0 2 306.362 0.867 20 0 DCADLN CO[C@@H]1CC[N@@H+](CC(=O)NCc2ccccc2)[C@H](C(=O)[O-])C1 ZINC001599124645 997816859 /nfs/dbraw/zinc/81/68/59/997816859.db2.gz FPPGYFLONFQMIS-KGLIPLIRSA-N 0 2 306.362 0.867 20 0 DCADLN CC(C)(C)CC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405293819 998052169 /nfs/dbraw/zinc/05/21/69/998052169.db2.gz ONIONDDUOAVLMS-VXNVDRBHSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001405293819 998052179 /nfs/dbraw/zinc/05/21/79/998052179.db2.gz ONIONDDUOAVLMS-VXNVDRBHSA-N 0 2 316.295 0.916 20 0 DCADLN COc1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])ccc1F ZINC001599352988 998128682 /nfs/dbraw/zinc/12/86/82/998128682.db2.gz CWSCXEPLYBRNBU-NSHDSACASA-N 0 2 307.281 0.914 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1CCC1)C(=O)C(F)C(F)(F)F ZINC001405609994 998378642 /nfs/dbraw/zinc/37/86/42/998378642.db2.gz DUXQRDRKHKSUQS-BDAKNGLRSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1CCC1)C(=O)[C@H](F)C(F)(F)F ZINC001405609994 998378647 /nfs/dbraw/zinc/37/86/47/998378647.db2.gz DUXQRDRKHKSUQS-BDAKNGLRSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)CC1CC1)C(=O)C(F)C(F)(F)F ZINC001405606762 998384617 /nfs/dbraw/zinc/38/46/17/998384617.db2.gz ACEAJOVZJOOKIL-SCZZXKLOSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)CC1CC1)C(=O)[C@H](F)C(F)(F)F ZINC001405606762 998384628 /nfs/dbraw/zinc/38/46/28/998384628.db2.gz ACEAJOVZJOOKIL-SCZZXKLOSA-N 0 2 314.279 0.622 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1C ZINC001598974495 998577691 /nfs/dbraw/zinc/57/76/91/998577691.db2.gz NAGBKRRHGBKWSE-ZDUSSCGKSA-N 0 2 320.345 0.557 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1C ZINC001598974495 998577699 /nfs/dbraw/zinc/57/76/99/998577699.db2.gz NAGBKRRHGBKWSE-ZDUSSCGKSA-N 0 2 320.345 0.557 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)[C@H]2CCCC[C@@H]2C(=O)[O-])CC[N@H+]1C ZINC001594176011 998676312 /nfs/dbraw/zinc/67/63/12/998676312.db2.gz DAFPSYMIGUHDKF-VOAKCMCISA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)[C@H]2CCCC[C@@H]2C(=O)[O-])CC[N@@H+]1C ZINC001594176011 998676317 /nfs/dbraw/zinc/67/63/17/998676317.db2.gz DAFPSYMIGUHDKF-VOAKCMCISA-N 0 2 318.439 0.984 20 0 DCADLN COC(=O)c1coc([C@@H](C)[NH+]2CCC([C@@H](O)C(=O)[O-])CC2)n1 ZINC001599013900 998922622 /nfs/dbraw/zinc/92/26/22/998922622.db2.gz GIVIEHYSAJFQON-LDYMZIIASA-N 0 2 312.322 0.680 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)CCSCC(=O)[O-] ZINC001594249482 998953998 /nfs/dbraw/zinc/95/39/98/998953998.db2.gz IFVDJNSTRPNKAP-VXGBXAGGSA-N 0 2 316.423 0.516 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1CCC1 ZINC001511465700 1016291723 /nfs/dbraw/zinc/29/17/23/1016291723.db2.gz HIYFSYQMNHSRCQ-BDAKNGLRSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C1CCC1 ZINC001511465700 1016291734 /nfs/dbraw/zinc/29/17/34/1016291734.db2.gz HIYFSYQMNHSRCQ-BDAKNGLRSA-N 0 2 314.279 0.622 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cc[nH+]cc1C(=O)[O-])C(C)C ZINC001599056819 999235797 /nfs/dbraw/zinc/23/57/97/999235797.db2.gz DXFAOFRQDPQYFF-LLVKDONJSA-N 0 2 302.352 0.614 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)C[C@H]1n1cc[nH+]c1 ZINC001594407044 999525202 /nfs/dbraw/zinc/52/52/02/999525202.db2.gz LINFEFXUYLCPMF-LPWJVIDDSA-N 0 2 307.350 0.925 20 0 DCADLN C[C@@H]1CN(C(=O)C[N@H+](C)Cc2ccc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC001594465112 999682316 /nfs/dbraw/zinc/68/23/16/999682316.db2.gz LGJWTAFBUMYSTF-TXEJJXNPSA-N 0 2 321.377 0.847 20 0 DCADLN C[C@@H]1CN(C(=O)C[N@@H+](C)Cc2ccc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC001594465112 999682319 /nfs/dbraw/zinc/68/23/19/999682319.db2.gz LGJWTAFBUMYSTF-TXEJJXNPSA-N 0 2 321.377 0.847 20 0 DCADLN CC(C)[NH+](C)CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C ZINC001418612581 1000390284 /nfs/dbraw/zinc/39/02/84/1000390284.db2.gz XJYARJBTPUWSRC-ZIAGYGMSSA-N 0 2 312.458 0.336 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](n3ccnn3)CC2)S1 ZINC001418621645 1000400486 /nfs/dbraw/zinc/40/04/86/1000400486.db2.gz KGJSBAOXMYUHQX-NXEZZACHSA-N 0 2 322.394 0.388 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](n3ccnn3)CC2)S1 ZINC001418621647 1000400519 /nfs/dbraw/zinc/40/05/19/1000400519.db2.gz KGJSBAOXMYUHQX-VHSXEESVSA-N 0 2 322.394 0.388 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cccc3c2oc(=O)n3C)C(=O)N1C ZINC001470308110 1016411067 /nfs/dbraw/zinc/41/10/67/1016411067.db2.gz KQTJOWOADXZMDX-SSDOTTSWSA-N 0 2 318.289 0.059 20 0 DCADLN Cc1noc2ncc(C(=O)NN3C(=O)[C@H](C)N(C)C3=O)cc12 ZINC001470307803 1016411269 /nfs/dbraw/zinc/41/12/69/1016411269.db2.gz IDUTVDDLFAYHMC-ZETCQYMHSA-N 0 2 303.278 0.459 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1nncn1C ZINC001418792945 1000524823 /nfs/dbraw/zinc/52/48/23/1000524823.db2.gz XDXIENOJBWDMGB-WEDXCCLWSA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CCN1Cc1nncn1C ZINC001418792945 1000524830 /nfs/dbraw/zinc/52/48/30/1000524830.db2.gz XDXIENOJBWDMGB-WEDXCCLWSA-N 0 2 323.294 0.795 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cccnc1 ZINC001401764147 1000701706 /nfs/dbraw/zinc/70/17/06/1000701706.db2.gz KUOUZZQRNIBFFW-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cccnc1 ZINC001401764147 1000701712 /nfs/dbraw/zinc/70/17/12/1000701712.db2.gz KUOUZZQRNIBFFW-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN C[C@@H](CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001419071201 1000742204 /nfs/dbraw/zinc/74/22/04/1000742204.db2.gz YYJPPBBOAVEKCJ-RYUDHWBXSA-N 0 2 318.381 0.654 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2cnn(C)n2)C1 ZINC001419106059 1000777417 /nfs/dbraw/zinc/77/74/17/1000777417.db2.gz HYWRWRPTLQLSDT-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(Cc2cnn(C)n2)C1 ZINC001419106059 1000777421 /nfs/dbraw/zinc/77/74/21/1000777421.db2.gz HYWRWRPTLQLSDT-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN C[C@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCO1 ZINC001402032502 1000960776 /nfs/dbraw/zinc/96/07/76/1000960776.db2.gz FPNYFWABTIOIEG-ZYHUDNBSSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001402094760 1001011610 /nfs/dbraw/zinc/01/16/10/1001011610.db2.gz RWVIEYRRHIKWEQ-DTWKUNHWSA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCC1(F)F ZINC001402230053 1001124421 /nfs/dbraw/zinc/12/44/21/1001124421.db2.gz BMUXCRXWSPVAHI-VIFPVBQESA-N 0 2 317.340 0.740 20 0 DCADLN CC[C@@H](F)C[N@@H+]1CC[C@@](O)(CNC(=O)CCc2cnn[nH]2)C1 ZINC001402291208 1001183975 /nfs/dbraw/zinc/18/39/75/1001183975.db2.gz JLQKYUAIQHEXRB-BXUZGUMPSA-N 0 2 313.377 0.038 20 0 DCADLN CC[C@@H](F)C[N@H+]1CC[C@@](O)(CNC(=O)CCc2cnn[nH]2)C1 ZINC001402291208 1001183981 /nfs/dbraw/zinc/18/39/81/1001183981.db2.gz JLQKYUAIQHEXRB-BXUZGUMPSA-N 0 2 313.377 0.038 20 0 DCADLN O=C(NC[C@H]1COCCN1CCCO)C(F)C(F)(F)F ZINC001402519197 1001378911 /nfs/dbraw/zinc/37/89/11/1001378911.db2.gz SVXOTMZEJIKCQK-IUCAKERBSA-N 0 2 302.268 0.086 20 0 DCADLN O=C(NC[C@H]1COCCN1CCCO)[C@H](F)C(F)(F)F ZINC001402519197 1001378915 /nfs/dbraw/zinc/37/89/15/1001378915.db2.gz SVXOTMZEJIKCQK-IUCAKERBSA-N 0 2 302.268 0.086 20 0 DCADLN CCO[C@@H](C)C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001402729377 1001483124 /nfs/dbraw/zinc/48/31/24/1001483124.db2.gz KFIZIXBPMOYQDN-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(C[C@H]1CCCO1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402736929 1001485958 /nfs/dbraw/zinc/48/59/58/1001485958.db2.gz QTCDFUWKCBGBJT-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@H]1CCCO1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402736929 1001485961 /nfs/dbraw/zinc/48/59/61/1001485961.db2.gz QTCDFUWKCBGBJT-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@@H+](C)C[C@H](O)CC(F)(F)F)c1[O-] ZINC001403549119 1002120338 /nfs/dbraw/zinc/12/03/38/1002120338.db2.gz XQYZWCDLVTXVAI-MRVPVSSYSA-N 0 2 324.303 0.399 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@H+](C)C[C@H](O)CC(F)(F)F)c1[O-] ZINC001403549119 1002120344 /nfs/dbraw/zinc/12/03/44/1002120344.db2.gz XQYZWCDLVTXVAI-MRVPVSSYSA-N 0 2 324.303 0.399 20 0 DCADLN C[N@H+](CCNC(=O)C[C@H]1CC(C)(C)CO1)Cc1n[nH]c(=O)[n-]1 ZINC001420265568 1002134887 /nfs/dbraw/zinc/13/48/87/1002134887.db2.gz OXRKJYAXZFHFKE-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[N@@H+](CCNC(=O)C[C@H]1CC(C)(C)CO1)Cc1n[nH]c(=O)[n-]1 ZINC001420265568 1002134893 /nfs/dbraw/zinc/13/48/93/1002134893.db2.gz OXRKJYAXZFHFKE-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001420333231 1002237298 /nfs/dbraw/zinc/23/72/98/1002237298.db2.gz KMTZTWGTDKEXMK-NSHDSACASA-N 0 2 306.370 0.511 20 0 DCADLN C[C@@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001420333231 1002237306 /nfs/dbraw/zinc/23/73/06/1002237306.db2.gz KMTZTWGTDKEXMK-NSHDSACASA-N 0 2 306.370 0.511 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1C[C@H]1c1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425035614 1002274826 /nfs/dbraw/zinc/27/48/26/1002274826.db2.gz XJWJQFLLEFRENQ-GMTAPVOTSA-N 0 2 319.365 0.844 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1C[C@H]1c1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425035614 1002274831 /nfs/dbraw/zinc/27/48/31/1002274831.db2.gz XJWJQFLLEFRENQ-GMTAPVOTSA-N 0 2 319.365 0.844 20 0 DCADLN COC(=O)c1ncoc1CCC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001420442396 1002423838 /nfs/dbraw/zinc/42/38/38/1002423838.db2.gz USPGKYZXUOCZTP-QMMMGPOBSA-N 0 2 322.321 0.710 20 0 DCADLN CCO[C@@H](CC)C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463972 1002454906 /nfs/dbraw/zinc/45/49/06/1002454906.db2.gz HWEAYRJCARMBGC-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CCO[C@@H](CC)C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463972 1002454909 /nfs/dbraw/zinc/45/49/09/1002454909.db2.gz HWEAYRJCARMBGC-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)c1nocc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420580975 1002647433 /nfs/dbraw/zinc/64/74/33/1002647433.db2.gz IKTDZUCROUQDJM-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1nocc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420580975 1002647439 /nfs/dbraw/zinc/64/74/39/1002647439.db2.gz IKTDZUCROUQDJM-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)co1 ZINC001420645041 1002737306 /nfs/dbraw/zinc/73/73/06/1002737306.db2.gz CULANMNSHWUUIA-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)co1 ZINC001420645041 1002737313 /nfs/dbraw/zinc/73/73/13/1002737313.db2.gz CULANMNSHWUUIA-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN CCn1cccc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001403960687 1002802690 /nfs/dbraw/zinc/80/26/90/1002802690.db2.gz OKPDBANZDYJHLC-UHFFFAOYSA-N 0 2 306.370 0.584 20 0 DCADLN CCn1cccc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001403960687 1002802709 /nfs/dbraw/zinc/80/27/09/1002802709.db2.gz OKPDBANZDYJHLC-UHFFFAOYSA-N 0 2 306.370 0.584 20 0 DCADLN Cc1nnc(C[NH2+][C@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001425565620 1002824860 /nfs/dbraw/zinc/82/48/60/1002824860.db2.gz LPMKOTKBAHMCES-SSDOTTSWSA-N 0 2 307.358 0.101 20 0 DCADLN O=C(CC[C@H]1CCOC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420815610 1002975962 /nfs/dbraw/zinc/97/59/62/1002975962.db2.gz LCPUXNUQVDNBIY-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN CCCNC(=O)C[NH+]1CCC[C@@H]1CNC(=O)C[N@H+]1CC[C@H](C)C1 ZINC001404113354 1003023525 /nfs/dbraw/zinc/02/35/25/1003023525.db2.gz WDSQQTYPJXVMEJ-LSDHHAIUSA-N 0 2 324.469 0.435 20 0 DCADLN O=C(NC1(CNC(=O)c2ccccc2O)CC1)c1[nH]ncc1F ZINC001417103928 1003114738 /nfs/dbraw/zinc/11/47/38/1003114738.db2.gz DVRLQQBTXWSMNM-UHFFFAOYSA-N 0 2 318.308 0.947 20 0 DCADLN CO[C@@H](C)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001406124064 1003394115 /nfs/dbraw/zinc/39/41/15/1003394115.db2.gz YVYXADAEVDFJNN-UONOGXRCSA-N 0 2 308.426 0.871 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccco2)C[C@H]1O)C(F)C(F)(F)F ZINC001512969985 1016775914 /nfs/dbraw/zinc/77/59/14/1016775914.db2.gz AMGAJSOERSMVIV-ZXFLCMHBSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccco2)C[C@H]1O)[C@@H](F)C(F)(F)F ZINC001512969985 1016775916 /nfs/dbraw/zinc/77/59/16/1016775916.db2.gz AMGAJSOERSMVIV-ZXFLCMHBSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccnn1[C@@H]1CCCOC1 ZINC001426379787 1003738998 /nfs/dbraw/zinc/73/89/98/1003738998.db2.gz ZKUKGJXTOLLKOP-MRVPVSSYSA-N 0 2 307.314 0.380 20 0 DCADLN C[C@@H](CNC(=O)Cc1ncccc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505987619 1016830113 /nfs/dbraw/zinc/83/01/13/1016830113.db2.gz BKHNOQUWYOMROI-VIFPVBQESA-N 0 2 322.344 0.224 20 0 DCADLN C[C@@H](CNC(=O)Cc1ncccc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505987619 1016830123 /nfs/dbraw/zinc/83/01/23/1016830123.db2.gz BKHNOQUWYOMROI-VIFPVBQESA-N 0 2 322.344 0.224 20 0 DCADLN CCOCC(=O)N(C)C[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001427449772 1004393996 /nfs/dbraw/zinc/39/39/96/1004393996.db2.gz NXLUSILBLUJMJT-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N(C)C[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001427449772 1004394004 /nfs/dbraw/zinc/39/40/04/1004394004.db2.gz NXLUSILBLUJMJT-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CC1CC[NH+](CC(=O)N[C@]2(C)CC[N@H+](CC(=O)N(C)C)C2)CC1 ZINC001407193215 1004459747 /nfs/dbraw/zinc/45/97/47/1004459747.db2.gz KYQUTFPFGZVMFW-QGZVFWFLSA-N 0 2 324.469 0.387 20 0 DCADLN C[C@H](CNC(=O)CC[NH+]1CCOCC1)[N@H+](C)Cc1ccccn1 ZINC001506021878 1016894969 /nfs/dbraw/zinc/89/49/69/1016894969.db2.gz QPAYUVVQRDHRPA-OAHLLOKOSA-N 0 2 320.437 0.740 20 0 DCADLN Cn1cc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)nn1 ZINC001506038700 1016933426 /nfs/dbraw/zinc/93/34/26/1016933426.db2.gz NWPZQHKQHGAUNW-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1cc(C(=O)NCC2(NC(=O)[C@H](F)C(F)(F)F)CC2)nn1 ZINC001506038700 1016933432 /nfs/dbraw/zinc/93/34/32/1016933432.db2.gz NWPZQHKQHGAUNW-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001408123018 1005059635 /nfs/dbraw/zinc/05/96/35/1005059635.db2.gz ROSVUJJVHSVKTG-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001408123018 1005059637 /nfs/dbraw/zinc/05/96/37/1005059637.db2.gz ROSVUJJVHSVKTG-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001414538618 1005355849 /nfs/dbraw/zinc/35/58/49/1005355849.db2.gz OWHCQPPFDDLAJR-ZIAGYGMSSA-N 0 2 319.409 0.085 20 0 DCADLN COCC1(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001414689329 1005384709 /nfs/dbraw/zinc/38/47/09/1005384709.db2.gz JNWNJRWIJXIBGF-SNVBAGLBSA-N 0 2 309.370 0.826 20 0 DCADLN C[C@@H]1C[C@H](C)CN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001414730789 1005391807 /nfs/dbraw/zinc/39/18/07/1005391807.db2.gz JNRZEWFOZBEUAZ-DTWKUNHWSA-N 0 2 315.399 0.289 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001415166704 1005474204 /nfs/dbraw/zinc/47/42/04/1005474204.db2.gz SWDSBJBUBQWGBC-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001415166704 1005474205 /nfs/dbraw/zinc/47/42/05/1005474205.db2.gz SWDSBJBUBQWGBC-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN Cc1cnc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)cn1 ZINC001415439786 1005526995 /nfs/dbraw/zinc/52/69/95/1005526995.db2.gz NGZYVIDSMXCTAM-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cnc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001415439786 1005526998 /nfs/dbraw/zinc/52/69/98/1005526998.db2.gz NGZYVIDSMXCTAM-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001417033169 1005773520 /nfs/dbraw/zinc/77/35/20/1005773520.db2.gz AQGGXYDBTXHVFX-SVRRBLITSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001417033169 1005773521 /nfs/dbraw/zinc/77/35/21/1005773521.db2.gz AQGGXYDBTXHVFX-SVRRBLITSA-N 0 2 324.234 0.609 20 0 DCADLN O=C(Cn1cccn1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001417147072 1005793167 /nfs/dbraw/zinc/79/31/67/1005793167.db2.gz ODHGRAWRUJKBHK-SECBINFHSA-N 0 2 322.262 0.549 20 0 DCADLN O=C(Cn1cccn1)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001417147072 1005793169 /nfs/dbraw/zinc/79/31/69/1005793169.db2.gz ODHGRAWRUJKBHK-SECBINFHSA-N 0 2 322.262 0.549 20 0 DCADLN Cc1nn(C)c2c1N(C(=O)CC1SC(=N)NC1=O)CCO2 ZINC001417673266 1005864959 /nfs/dbraw/zinc/86/49/59/1005864959.db2.gz IOQUIZDFNGMUJG-SSDOTTSWSA-N 0 2 309.351 0.010 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@]1(C)CCCOC1 ZINC001436332975 1006125147 /nfs/dbraw/zinc/12/51/47/1006125147.db2.gz ZLPGDHZIHGINRZ-IAQYHMDHSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)Cc2nccnc2C)c1[O-] ZINC001438864285 1006172657 /nfs/dbraw/zinc/17/26/57/1006172657.db2.gz FJYBMSWBBXQQOG-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)Cc2nccnc2C)c1[O-] ZINC001438864285 1006172672 /nfs/dbraw/zinc/17/26/72/1006172672.db2.gz FJYBMSWBBXQQOG-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccccn1 ZINC001439351602 1006741227 /nfs/dbraw/zinc/74/12/27/1006741227.db2.gz GQCJFOOGDNFLIJ-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccccn1 ZINC001439351602 1006741244 /nfs/dbraw/zinc/74/12/44/1006741244.db2.gz GQCJFOOGDNFLIJ-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN CCN(C(=O)c1cc(S(N)(=O)=O)ccc1O)c1cnn(C)c1 ZINC001452528327 1006898362 /nfs/dbraw/zinc/89/83/62/1006898362.db2.gz QZXDYBQQOSBBFK-UHFFFAOYSA-N 0 2 324.362 0.440 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001452828391 1007097336 /nfs/dbraw/zinc/09/73/36/1007097336.db2.gz QDKMVXSLMRFGOQ-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001452828391 1007097350 /nfs/dbraw/zinc/09/73/50/1007097350.db2.gz QDKMVXSLMRFGOQ-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN Cc1cc(C)c(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)[nH]1 ZINC001437786230 1007405540 /nfs/dbraw/zinc/40/55/40/1007405540.db2.gz PSQDYPRDOMXSGD-UHFFFAOYSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C)c(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)[nH]1 ZINC001437786230 1007405546 /nfs/dbraw/zinc/40/55/46/1007405546.db2.gz PSQDYPRDOMXSGD-UHFFFAOYSA-N 0 2 318.381 0.850 20 0 DCADLN CCCC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001509334101 1017255517 /nfs/dbraw/zinc/25/55/17/1017255517.db2.gz QTQSBKDUTNYTPQ-NKWVEPMBSA-N 0 2 315.267 0.163 20 0 DCADLN CN(C(=O)COC(C)(C)C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438241561 1007735526 /nfs/dbraw/zinc/73/55/26/1007735526.db2.gz USPMDWMRMWHERY-SNVBAGLBSA-N 0 2 311.386 0.358 20 0 DCADLN CN(C(=O)COC(C)(C)C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438241561 1007735534 /nfs/dbraw/zinc/73/55/34/1007735534.db2.gz USPMDWMRMWHERY-SNVBAGLBSA-N 0 2 311.386 0.358 20 0 DCADLN CCCC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001509334101 1017255512 /nfs/dbraw/zinc/25/55/12/1017255512.db2.gz QTQSBKDUTNYTPQ-NKWVEPMBSA-N 0 2 315.267 0.163 20 0 DCADLN CCO[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001440485929 1007821049 /nfs/dbraw/zinc/82/10/49/1007821049.db2.gz OFMCMFOQJDYNJF-SUZMYJTESA-N 0 2 323.397 0.404 20 0 DCADLN CCO[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001440485929 1007821063 /nfs/dbraw/zinc/82/10/63/1007821063.db2.gz OFMCMFOQJDYNJF-SUZMYJTESA-N 0 2 323.397 0.404 20 0 DCADLN Cc1cnc([C@@H](C)[NH2+][C@@H](CO)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001441684816 1008458657 /nfs/dbraw/zinc/45/86/57/1008458657.db2.gz NNRLLQNQLSDJQN-NXEZZACHSA-N 0 2 323.353 0.162 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)CNC(=O)C[N@@H+]2CC[C@@H](C)C2)c1C ZINC001433375908 1008729983 /nfs/dbraw/zinc/72/99/83/1008729983.db2.gz CIDNRILUDJFRQI-GHMZBOCLSA-N 0 2 321.425 0.603 20 0 DCADLN CCn1cc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)cn1 ZINC001433604193 1008990326 /nfs/dbraw/zinc/99/03/26/1008990326.db2.gz GQWBERJOCDAACA-UHFFFAOYSA-N 0 2 310.335 0.508 20 0 DCADLN O=C(CCCC1CC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001421782987 1009082556 /nfs/dbraw/zinc/08/25/56/1009082556.db2.gz CDGRYTVXFHHMBH-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)Cn1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001442405801 1009279918 /nfs/dbraw/zinc/27/99/18/1009279918.db2.gz CPGNUQSTTZPYBC-UHFFFAOYSA-N 0 2 321.385 0.225 20 0 DCADLN CC(C)Cn1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001442405801 1009279927 /nfs/dbraw/zinc/27/99/27/1009279927.db2.gz CPGNUQSTTZPYBC-UHFFFAOYSA-N 0 2 321.385 0.225 20 0 DCADLN O=C(c1ncc(Br)cc1O)N1CCOCCN1 ZINC001434032109 1009474378 /nfs/dbraw/zinc/47/43/78/1009474378.db2.gz SZHFSNXNJWJQNG-UHFFFAOYSA-N 0 2 302.128 0.527 20 0 DCADLN CC[C@H](C)[C@H](OC)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422181760 1009614760 /nfs/dbraw/zinc/61/47/60/1009614760.db2.gz FQQTUWDSZGPRIB-JQWIXIFHSA-N 0 2 313.402 0.462 20 0 DCADLN CC[C@H](C)[C@H](OC)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422181760 1009614767 /nfs/dbraw/zinc/61/47/67/1009614767.db2.gz FQQTUWDSZGPRIB-JQWIXIFHSA-N 0 2 313.402 0.462 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001442783986 1009731522 /nfs/dbraw/zinc/73/15/22/1009731522.db2.gz FZHQDIZKPXEMOJ-RYUDHWBXSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001442783986 1009731527 /nfs/dbraw/zinc/73/15/27/1009731527.db2.gz FZHQDIZKPXEMOJ-RYUDHWBXSA-N 0 2 318.381 0.654 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@@H](c2ncon2)C1 ZINC001434359201 1009824526 /nfs/dbraw/zinc/82/45/26/1009824526.db2.gz RZAATOCPTOIVEZ-SSDOTTSWSA-N 0 2 320.271 0.843 20 0 DCADLN C[C@H](NC(=O)CCc1nc(N(C)C)no1)c1nn(C)cc1O ZINC001434406479 1009910845 /nfs/dbraw/zinc/91/08/45/1009910845.db2.gz HUFWEBOYGMCZOQ-QMMMGPOBSA-N 0 2 308.342 0.385 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cn(-c3ccccc3)nn2)S1 ZINC001434421501 1009933625 /nfs/dbraw/zinc/93/36/25/1009933625.db2.gz DATPKEOGQOVEKZ-VIFPVBQESA-N 0 2 316.346 0.762 20 0 DCADLN CCN(CC[NH2+][C@H](C)c1nncn1C)C(=O)c1n[nH]c(C)c1[O-] ZINC001422422860 1010056216 /nfs/dbraw/zinc/05/62/16/1010056216.db2.gz JXBRXBBAKSIMCP-SNVBAGLBSA-N 0 2 321.385 0.365 20 0 DCADLN CCOC(=O)c1nnc(C[NH2+]Cc2c3c(nn2C)CCC3)[n-]1 ZINC001434536182 1010067293 /nfs/dbraw/zinc/06/72/93/1010067293.db2.gz OOECJMJCUXFDJO-UHFFFAOYSA-N 0 2 304.354 0.493 20 0 DCADLN O=C(NC1CN(C(=O)c2ccsn2)C1)C(F)C(F)(F)F ZINC001456283113 1010168171 /nfs/dbraw/zinc/16/81/71/1010168171.db2.gz BMCHLWRGSMOIQV-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)c2ccsn2)C1)[C@@H](F)C(F)(F)F ZINC001456283113 1010168175 /nfs/dbraw/zinc/16/81/75/1010168175.db2.gz BMCHLWRGSMOIQV-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN CN(CC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1)C(=O)C(C)(C)C ZINC001443297128 1010301452 /nfs/dbraw/zinc/30/14/52/1010301452.db2.gz MVYNSOJAMPAKKC-UHFFFAOYSA-N 0 2 324.429 0.132 20 0 DCADLN CC(C)n1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001422582651 1010350459 /nfs/dbraw/zinc/35/04/59/1010350459.db2.gz YOKUXNMZIOSKEU-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001422582651 1010350468 /nfs/dbraw/zinc/35/04/68/1010350468.db2.gz YOKUXNMZIOSKEU-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN C[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001443614832 1010539474 /nfs/dbraw/zinc/53/94/74/1010539474.db2.gz MHVFUZJPPAUVLM-SECBINFHSA-N 0 2 305.338 0.455 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@H+](C)CCc2cnn(C)c2)c1[O-] ZINC001422795276 1010616157 /nfs/dbraw/zinc/61/61/57/1010616157.db2.gz PYDXZVBVSDYNLV-SNVBAGLBSA-N 0 2 320.397 0.450 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@@H+](C)CCc2cnn(C)c2)c1[O-] ZINC001422795276 1010616164 /nfs/dbraw/zinc/61/61/64/1010616164.db2.gz PYDXZVBVSDYNLV-SNVBAGLBSA-N 0 2 320.397 0.450 20 0 DCADLN CC(C)n1cnc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422851336 1010671538 /nfs/dbraw/zinc/67/15/38/1010671538.db2.gz VWCHAKMFTQOTDE-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1cnc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422851336 1010671542 /nfs/dbraw/zinc/67/15/42/1010671542.db2.gz VWCHAKMFTQOTDE-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN COC[C@@H](C)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443828984 1010687521 /nfs/dbraw/zinc/68/75/21/1010687521.db2.gz BPVHONRPGIRZLN-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN COC[C@@H](C)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443828984 1010687526 /nfs/dbraw/zinc/68/75/26/1010687526.db2.gz BPVHONRPGIRZLN-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H](CC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001443847042 1010700671 /nfs/dbraw/zinc/70/06/71/1010700671.db2.gz QELNTBXUHHYVPY-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@H](CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001443847042 1010700676 /nfs/dbraw/zinc/70/06/76/1010700676.db2.gz QELNTBXUHHYVPY-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001444203922 1010904532 /nfs/dbraw/zinc/90/45/32/1010904532.db2.gz ATKAOPOMCWYAFT-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccncc1 ZINC001444203922 1010904538 /nfs/dbraw/zinc/90/45/38/1010904538.db2.gz ATKAOPOMCWYAFT-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN CC(C)Cc1nc(CNC2(CNC(=O)c3cnon3)CC2)no1 ZINC001423282336 1011045700 /nfs/dbraw/zinc/04/57/00/1011045700.db2.gz KJFKGYUHGMHCQM-UHFFFAOYSA-N 0 2 320.353 0.703 20 0 DCADLN CC[C@@H](O)CCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001444757616 1011161433 /nfs/dbraw/zinc/16/14/33/1011161433.db2.gz PARPUMYIVZWZQM-GFCCVEGCSA-N 0 2 320.349 0.547 20 0 DCADLN Cc1cccnc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001444964048 1011254387 /nfs/dbraw/zinc/25/43/87/1011254387.db2.gz DKCXLTMKHICHTL-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN Cc1cccnc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001444964048 1011254401 /nfs/dbraw/zinc/25/44/01/1011254401.db2.gz DKCXLTMKHICHTL-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN CCOCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001456505523 1011310678 /nfs/dbraw/zinc/31/06/78/1011310678.db2.gz GPIJQSHCWFWPNW-VIFPVBQESA-N 0 2 300.252 0.498 20 0 DCADLN CCOCC(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001456505523 1011310691 /nfs/dbraw/zinc/31/06/91/1011310691.db2.gz GPIJQSHCWFWPNW-VIFPVBQESA-N 0 2 300.252 0.498 20 0 DCADLN C[C@@H]([NH2+]C[C@@H](NC(=O)CCn1cc[nH+]c1)C(C)(C)C)C(N)=O ZINC001423609726 1011344249 /nfs/dbraw/zinc/34/42/49/1011344249.db2.gz RUJCNGPHGBOWGS-VXGBXAGGSA-N 0 2 309.414 0.268 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001534851357 1011700665 /nfs/dbraw/zinc/70/06/65/1011700665.db2.gz UPCHSSJDDGAPSH-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001534851357 1011700681 /nfs/dbraw/zinc/70/06/81/1011700681.db2.gz UPCHSSJDDGAPSH-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001432033912 1011890470 /nfs/dbraw/zinc/89/04/70/1011890470.db2.gz HIDPIUOUSDGAGO-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001432033912 1011890484 /nfs/dbraw/zinc/89/04/84/1011890484.db2.gz HIDPIUOUSDGAGO-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(CCC1CC1)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001424117539 1012027635 /nfs/dbraw/zinc/02/76/35/1012027635.db2.gz UFYLPVYZPWOEQQ-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccoc2)C[C@@H]1O)C(F)C(F)(F)F ZINC001445649070 1012060041 /nfs/dbraw/zinc/06/00/41/1012060041.db2.gz ZGCHTJCCDGDJQN-HRDYMLBCSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccoc2)C[C@@H]1O)[C@@H](F)C(F)(F)F ZINC001445649070 1012060059 /nfs/dbraw/zinc/06/00/59/1012060059.db2.gz ZGCHTJCCDGDJQN-HRDYMLBCSA-N 0 2 324.230 0.482 20 0 DCADLN CCc1ocnc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445683165 1012103556 /nfs/dbraw/zinc/10/35/56/1012103556.db2.gz DEMVXJRCZNEBQM-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1ocnc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445683165 1012103567 /nfs/dbraw/zinc/10/35/67/1012103567.db2.gz DEMVXJRCZNEBQM-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)n1cccc1 ZINC001445693797 1012122376 /nfs/dbraw/zinc/12/23/76/1012122376.db2.gz SKKHWUXLDZYPPL-GHMZBOCLSA-N 0 2 306.370 0.510 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)n1cccc1 ZINC001445693797 1012122383 /nfs/dbraw/zinc/12/23/83/1012122383.db2.gz SKKHWUXLDZYPPL-GHMZBOCLSA-N 0 2 306.370 0.510 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CCCCO2)C1)C(F)C(F)(F)F ZINC001432260963 1012219563 /nfs/dbraw/zinc/21/95/63/1012219563.db2.gz RWGXBKJGAPVCCG-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CCCCO2)C1)[C@H](F)C(F)(F)F ZINC001432260963 1012219581 /nfs/dbraw/zinc/21/95/81/1012219581.db2.gz RWGXBKJGAPVCCG-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN CC[C@@H](OC)C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432379886 1012402810 /nfs/dbraw/zinc/40/28/10/1012402810.db2.gz OBTUFSLUEQSXCQ-BDAKNGLRSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@@H](OC)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432379886 1012402797 /nfs/dbraw/zinc/40/27/97/1012402797.db2.gz OBTUFSLUEQSXCQ-BDAKNGLRSA-N 0 2 314.279 0.981 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cn(CCc2ccccc2)nn1 ZINC001545329737 1012561365 /nfs/dbraw/zinc/56/13/65/1012561365.db2.gz XSLNOLNKTRKNBS-UHFFFAOYSA-N 0 2 313.321 0.275 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@@H](C)c1nccc(N)n1 ZINC001424504054 1012587290 /nfs/dbraw/zinc/58/72/90/1012587290.db2.gz JNQCOKHMTLPEMZ-QMMMGPOBSA-N 0 2 321.428 0.462 20 0 DCADLN Cc1nc([C@H]2CCC[N@@H+](CCN3C(=O)N[C@H](C)C3=O)C2)n[nH]1 ZINC001459843597 1012830798 /nfs/dbraw/zinc/83/07/98/1012830798.db2.gz XCZQDMMEGUQMQC-KOLCDFICSA-N 0 2 306.370 0.233 20 0 DCADLN Cc1nc([C@H]2CCC[N@H+](CCN3C(=O)N[C@H](C)C3=O)C2)n[nH]1 ZINC001459843597 1012830815 /nfs/dbraw/zinc/83/08/15/1012830815.db2.gz XCZQDMMEGUQMQC-KOLCDFICSA-N 0 2 306.370 0.233 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N[C@@H]1CC12CCOCC2 ZINC001460213731 1012873763 /nfs/dbraw/zinc/87/37/63/1012873763.db2.gz XOHPKXNMYGUHSB-GFCCVEGCSA-N 0 2 316.361 0.559 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1)C(F)F ZINC001506779297 1017539973 /nfs/dbraw/zinc/53/99/73/1017539973.db2.gz WRQMNLFQFRRSDE-MLUIRONXSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)C1)C(F)F ZINC001506779297 1017539983 /nfs/dbraw/zinc/53/99/83/1017539983.db2.gz WRQMNLFQFRRSDE-MLUIRONXSA-N 0 2 322.205 0.232 20 0 DCADLN Cc1cc(C[NH2+]CCC[C@@H](C)NC(=O)[C@@H]2C[N@H+](C)CCO2)on1 ZINC001506916522 1017667784 /nfs/dbraw/zinc/66/77/84/1017667784.db2.gz HBUNSGLKJRQEDB-DOMZBBRYSA-N 0 2 324.425 0.688 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)(F)F)CC1 ZINC001494457952 1020226208 /nfs/dbraw/zinc/22/62/08/1020226208.db2.gz XCVMOKUCTAPIJS-UHFFFAOYSA-N 0 2 305.260 0.153 20 0 DCADLN O=C(NCC[C@H]1CCCCO1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000181376374 199286685 /nfs/dbraw/zinc/28/66/85/199286685.db2.gz OKLYQZHBVABSBP-SNVBAGLBSA-N 0 2 318.333 0.300 20 0 DCADLN CO[C@H](C)CCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000330810161 232084029 /nfs/dbraw/zinc/08/40/29/232084029.db2.gz OAXFHMNPTQPJDO-ZCFIWIBFSA-N 0 2 308.385 0.663 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N(C)Cc1ncon1 ZINC000279901027 215221060 /nfs/dbraw/zinc/22/10/60/215221060.db2.gz ZSPAXGLVPGPRNN-QMMMGPOBSA-N 0 2 308.260 0.731 20 0 DCADLN COc1cccc(CN(C)C(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000287227384 219392471 /nfs/dbraw/zinc/39/24/71/219392471.db2.gz RZEKWADQBCCHJL-UHFFFAOYSA-N 0 2 308.363 0.857 20 0 DCADLN COC(C)(C)C[C@@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000174145096 198297800 /nfs/dbraw/zinc/29/78/00/198297800.db2.gz WMNNPOBRTDPYSS-MRVPVSSYSA-N 0 2 320.349 0.545 20 0 DCADLN O=C(NCCOc1ncccc1C(F)(F)F)c1nc(=O)[nH][nH]1 ZINC000154035353 291210296 /nfs/dbraw/zinc/21/02/96/291210296.db2.gz XVXLSRKLFCQIGI-UHFFFAOYSA-N 0 2 317.227 0.321 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C/c2ccncn2)cn1 ZINC000159000401 291233315 /nfs/dbraw/zinc/23/33/15/291233315.db2.gz SKJPAYBUZUXNQR-ONEGZZNKSA-N 0 2 307.335 0.211 20 0 DCADLN CN1CC[N@H+](C)[C@H](CNc2cc(C(C)(C)C)nc(C(=O)[O-])n2)C1 ZINC000566291337 291291386 /nfs/dbraw/zinc/29/13/86/291291386.db2.gz PJXKGZPAIOVHBK-LLVKDONJSA-N 0 2 321.425 0.552 20 0 DCADLN CN1CC[N@@H+](C)[C@H](CNc2cc(C(C)(C)C)nc(C(=O)[O-])n2)C1 ZINC000566291337 291291388 /nfs/dbraw/zinc/29/13/88/291291388.db2.gz PJXKGZPAIOVHBK-LLVKDONJSA-N 0 2 321.425 0.552 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(N3CCSCC3)[nH+]cn2)CCO1 ZINC000566294804 291292010 /nfs/dbraw/zinc/29/20/10/291292010.db2.gz LFFYPAMHWLALDG-JTQLQIEISA-N 0 2 310.379 0.320 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(N3CCSCC3)nc[nH+]2)CCO1 ZINC000566294804 291292011 /nfs/dbraw/zinc/29/20/11/291292011.db2.gz LFFYPAMHWLALDG-JTQLQIEISA-N 0 2 310.379 0.320 20 0 DCADLN O=C(NC[C@]1(O)C[NH+]2CCC1CC2)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000566456256 291303934 /nfs/dbraw/zinc/30/39/34/291303934.db2.gz TTXFIUMNELEQPF-WBMJQRKESA-N 0 2 304.394 0.403 20 0 DCADLN CC(=O)N1CC[C@@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)C1 ZINC000566634508 291316515 /nfs/dbraw/zinc/31/65/15/291316515.db2.gz HJXMKLTVLNJPAY-LLVKDONJSA-N 0 2 315.333 0.984 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1cccc(C(C)=O)c1 ZINC000421816077 240105507 /nfs/dbraw/zinc/10/55/07/240105507.db2.gz WHAPTSJMSYPGKY-ZDUSSCGKSA-N 0 2 317.301 0.493 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1cc(C)cc(C)c1 ZINC000421812741 240106832 /nfs/dbraw/zinc/10/68/32/240106832.db2.gz MGVFHXIUHCTOEO-CYBMUJFWSA-N 0 2 303.318 0.907 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc3c([nH]c2=O)CCCC3)[C@H](C[NH3+])C1 ZINC000567732072 291373458 /nfs/dbraw/zinc/37/34/58/291373458.db2.gz OVEGHGDARNBFES-GFCCVEGCSA-N 0 2 304.394 0.381 20 0 DCADLN CCOC(=O)C1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC000567957853 291393949 /nfs/dbraw/zinc/39/39/49/291393949.db2.gz QYUBQVMAFOLJEW-SNVBAGLBSA-N 0 2 322.365 0.950 20 0 DCADLN CC(C)(O)CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000275139160 212144383 /nfs/dbraw/zinc/14/43/83/212144383.db2.gz NQHLVZKHNKARGP-UHFFFAOYSA-N 0 2 318.333 0.812 20 0 DCADLN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC000275602817 291613726 /nfs/dbraw/zinc/61/37/26/291613726.db2.gz PVHFMENHNAGLCW-QWRGUYRKSA-N 0 2 315.272 0.809 20 0 DCADLN COC(=O)[C@]1(NC(=O)c2cc(F)c(O)c(F)c2)CCOC1 ZINC000273900961 211158444 /nfs/dbraw/zinc/15/84/44/211158444.db2.gz XDZCDTKDTGAJEP-ZDUSSCGKSA-N 0 2 301.245 0.732 20 0 DCADLN NC(=O)NCCCCC(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000339708697 253131059 /nfs/dbraw/zinc/13/10/59/253131059.db2.gz XKSMWYQHTLCBGZ-UHFFFAOYSA-N 0 2 319.321 0.807 20 0 DCADLN Cc1nc2c(cnn2C)c(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000339970180 253172232 /nfs/dbraw/zinc/17/22/32/253172232.db2.gz ZZISXLQESVSYGU-VIFPVBQESA-N 0 2 314.353 0.879 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000340076455 253196674 /nfs/dbraw/zinc/19/66/74/253196674.db2.gz KDTXASIBSPBKKO-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(-c2nn[nH]n2)cc1 ZINC000340820176 253309727 /nfs/dbraw/zinc/30/97/27/253309727.db2.gz LCLKRPQKGBBPGF-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2C[C@@H](C)OC2=O)cc1S(C)(=O)=O ZINC000288648848 220321072 /nfs/dbraw/zinc/32/10/72/220321072.db2.gz QSSFPZSQTHBSKW-MWLCHTKSSA-N 0 2 311.359 0.832 20 0 DCADLN C[C@](NC(=O)C[C@H]1CCCC(=O)N1)(C(N)=O)c1ccccc1 ZINC000288648547 220321329 /nfs/dbraw/zinc/32/13/29/220321329.db2.gz UIIZXJSAUNMVSL-MLGOLLRUSA-N 0 2 303.362 0.562 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(C)nn(C)c3c2)c1O ZINC000348390283 254235282 /nfs/dbraw/zinc/23/52/82/254235282.db2.gz MJCNKHSFHRWDHG-LBPRGKRZSA-N 0 2 316.317 0.870 20 0 DCADLN CC(C)NC(=O)CN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348808662 254257719 /nfs/dbraw/zinc/25/77/19/254257719.db2.gz JWTSQVQZRLPIQZ-UHFFFAOYSA-N 0 2 318.333 0.627 20 0 DCADLN COC[C@@H](NS(=O)(=O)Cc1c(F)cccc1F)C(=O)OC ZINC000350619344 254319546 /nfs/dbraw/zinc/31/95/46/254319546.db2.gz QAXVZLNCUOTFIK-LLVKDONJSA-N 0 2 323.317 0.572 20 0 DCADLN CCOC(=O)c1oc(NS(=O)(=O)c2ccn(C)n2)nc1C ZINC000351505691 254341090 /nfs/dbraw/zinc/34/10/90/254341090.db2.gz BVWLSMOAMAUWRJ-UHFFFAOYSA-N 0 2 314.323 0.694 20 0 DCADLN COC[C@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(=O)OC ZINC000351785290 254368227 /nfs/dbraw/zinc/36/82/27/254368227.db2.gz GNPCKXDLHJJHMY-NSHDSACASA-N 0 2 319.317 0.496 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)Cc1c(F)cccc1F)[C@@H](C)O ZINC000275818283 130392155 /nfs/dbraw/zinc/39/21/55/130392155.db2.gz RTTGDYKVEOSABO-HQJQHLMTSA-N 0 2 323.317 0.307 20 0 DCADLN CSCCO[N-]C(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000285051359 131133845 /nfs/dbraw/zinc/13/38/45/131133845.db2.gz IMZFQUFAGVXAQS-UHFFFAOYSA-N 0 2 312.395 0.411 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1CC(=O)N(C)C1 ZINC000028932620 395696741 /nfs/dbraw/zinc/69/67/41/395696741.db2.gz YZXSGKXFLDUGSB-QMMMGPOBSA-N 0 2 320.374 0.421 20 0 DCADLN O=S(=O)(Nc1cnn(Cc2ccncc2)c1)c1cn[nH]c1 ZINC000195426457 395826304 /nfs/dbraw/zinc/82/63/04/395826304.db2.gz UEPWKMOPXSCIMC-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN Cc1nn(C)cc1C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000113413389 395829549 /nfs/dbraw/zinc/82/95/49/395829549.db2.gz VSVXZHBIIJXUEB-UHFFFAOYSA-N 0 2 305.338 0.628 20 0 DCADLN COC(=O)CN(C)C(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000272519850 395896869 /nfs/dbraw/zinc/89/68/69/395896869.db2.gz VRENDBPXHJWZAR-UHFFFAOYSA-N 0 2 319.317 0.832 20 0 DCADLN Cc1c(NC(=O)c2nc(=O)[nH][nH]2)cnn1-c1ccccc1F ZINC000120102691 395881239 /nfs/dbraw/zinc/88/12/39/395881239.db2.gz MXGDOHVDICCVGA-UHFFFAOYSA-N 0 2 302.269 0.984 20 0 DCADLN C[C@H](CN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F)c1nn[nH]n1 ZINC000277232366 396056812 /nfs/dbraw/zinc/05/68/12/396056812.db2.gz BRKDRFWDGZTLCL-ZCFIWIBFSA-N 0 2 322.291 0.219 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)CCC(=O)N3)c1O ZINC000277929945 396095453 /nfs/dbraw/zinc/09/54/53/396095453.db2.gz DFWBEEYEJLDOAC-LBPRGKRZSA-N 0 2 317.301 0.559 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000262096636 396099076 /nfs/dbraw/zinc/09/90/76/396099076.db2.gz IBZLREFOKSHEJQ-LBPRGKRZSA-N 0 2 303.318 0.521 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000262096636 396099079 /nfs/dbraw/zinc/09/90/79/396099079.db2.gz IBZLREFOKSHEJQ-LBPRGKRZSA-N 0 2 303.318 0.521 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)C[N@@H+]2CCC[C@@H]2C(=O)[O-])c1 ZINC000262177964 396107162 /nfs/dbraw/zinc/10/71/62/396107162.db2.gz WCIFYBOSMGKGQC-CYBMUJFWSA-N 0 2 319.361 0.924 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)C[N@H+]2CCC[C@@H]2C(=O)[O-])c1 ZINC000262177964 396107165 /nfs/dbraw/zinc/10/71/65/396107165.db2.gz WCIFYBOSMGKGQC-CYBMUJFWSA-N 0 2 319.361 0.924 20 0 DCADLN COc1ccccc1N1CC[NH+](CC(=O)NCC(=O)[O-])CC1 ZINC000262248641 396115306 /nfs/dbraw/zinc/11/53/06/396115306.db2.gz QBHPCQSFOOELAF-UHFFFAOYSA-N 0 2 307.350 0.018 20 0 DCADLN C[NH+]1CCN(c2ccc(NC(=O)CCc3nc[nH]n3)nc2)CC1 ZINC000176829652 396161541 /nfs/dbraw/zinc/16/15/41/396161541.db2.gz LSTPDCCKJQTIJH-UHFFFAOYSA-N 0 2 315.381 0.523 20 0 DCADLN O=C(CNC(=O)C1=NN(c2ccccc2)CC1=O)NCC1CC1 ZINC000183287540 396266662 /nfs/dbraw/zinc/26/66/62/396266662.db2.gz CUUIHJPAGDEIBW-UHFFFAOYSA-N 0 2 314.345 0.834 20 0 DCADLN CS(=O)(=O)C1(CNC(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000280677028 396214319 /nfs/dbraw/zinc/21/43/19/396214319.db2.gz DGKARYLWUZCBOJ-UHFFFAOYSA-N 0 2 305.302 0.977 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000186721829 396356028 /nfs/dbraw/zinc/35/60/28/396356028.db2.gz ZNQVDEPJXUQLCV-SNVBAGLBSA-N 0 2 310.256 0.690 20 0 DCADLN COCCN1CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1=O ZINC000186983264 396361779 /nfs/dbraw/zinc/36/17/79/396361779.db2.gz ZXRJEZAOWSVJOG-UHFFFAOYSA-N 0 2 314.288 0.601 20 0 DCADLN Cc1ccccc1OCCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000186991469 396361838 /nfs/dbraw/zinc/36/18/38/396361838.db2.gz DMWXOQKLTRVMQE-UHFFFAOYSA-N 0 2 308.363 0.694 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCCNc1ccccc1 ZINC000187070213 396362570 /nfs/dbraw/zinc/36/25/70/396362570.db2.gz QMYXYBSRSHBYBT-UHFFFAOYSA-N 0 2 307.379 0.809 20 0 DCADLN CCNC(=O)c1ccccc1NS(=O)(=O)N1CCOCC1 ZINC000268799133 396372779 /nfs/dbraw/zinc/37/27/79/396372779.db2.gz XRNZCKZFZNEXBT-UHFFFAOYSA-N 0 2 313.379 0.425 20 0 DCADLN CCc1ncc(NS(=O)(=O)c2c[nH]c(C(=O)OC)c2)cn1 ZINC000269504691 396419248 /nfs/dbraw/zinc/41/92/48/396419248.db2.gz YBWVWJNJPAABBS-UHFFFAOYSA-N 0 2 310.335 0.955 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)c1cnn(C)c1C ZINC000291801401 396512975 /nfs/dbraw/zinc/51/29/75/396512975.db2.gz ARYGKZWWBQQBDC-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN O=C(CC1OCCCO1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289070960 396454227 /nfs/dbraw/zinc/45/42/27/396454227.db2.gz OSDBRTZWIDHSOL-UHFFFAOYSA-N 0 2 304.306 0.857 20 0 DCADLN C[C@](O)(CC(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CC1 ZINC000270120258 396461654 /nfs/dbraw/zinc/46/16/54/396461654.db2.gz VHADGZLCBGULPR-JTQLQIEISA-N 0 2 320.396 0.399 20 0 DCADLN Cc1cccc2[nH+]c(CN3CCN(C(=O)C(=O)[O-])CC3)cn21 ZINC000581014620 396519334 /nfs/dbraw/zinc/51/93/34/396519334.db2.gz YSFNNWJZTJRQGT-UHFFFAOYSA-N 0 2 302.334 0.372 20 0 DCADLN Cc1nc(CS(=O)(=O)c2n[nH]c(Cc3cccs3)n2)n[nH]1 ZINC000292010236 396524655 /nfs/dbraw/zinc/52/46/55/396524655.db2.gz YPPGOVUGHLDPIN-UHFFFAOYSA-N 0 2 324.391 0.857 20 0 DCADLN C[C@@H]1CCN(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)C[C@H]1C(=O)[O-] ZINC000581487194 396559097 /nfs/dbraw/zinc/55/90/97/396559097.db2.gz YHBVWWIHOFXRNX-FRRDWIJNSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CCN(C(=O)NCC[N@H+]2CCOC[C@@H]2C)C[C@H]1C(=O)[O-] ZINC000581487194 396559098 /nfs/dbraw/zinc/55/90/98/396559098.db2.gz YHBVWWIHOFXRNX-FRRDWIJNSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1OCC[C@]1(O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000581528733 396562285 /nfs/dbraw/zinc/56/22/85/396562285.db2.gz RURUFTMCQVCGPN-VFZGTOFNSA-N 0 2 319.317 0.300 20 0 DCADLN CC1(C)C(=O)NCC[N@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000581731565 396579663 /nfs/dbraw/zinc/57/96/63/396579663.db2.gz GJUSLISFNAXZRA-GFCCVEGCSA-N 0 2 322.361 0.335 20 0 DCADLN CC1(C)C(=O)NCC[N@@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000581731565 396579666 /nfs/dbraw/zinc/57/96/66/396579666.db2.gz GJUSLISFNAXZRA-GFCCVEGCSA-N 0 2 322.361 0.335 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)NC[C@@H]2C[N@@H+](CC(C)C)CCO2)n[nH]1 ZINC000562201499 396652415 /nfs/dbraw/zinc/65/24/15/396652415.db2.gz VVKZWTRKJKMGQD-DGCLKSJQSA-N 0 2 324.429 0.830 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)Cc3cn(C)nn3)n[nH]2)cc1 ZINC000562592778 396671370 /nfs/dbraw/zinc/67/13/70/396671370.db2.gz ZEPGQPRFBNRUQC-UHFFFAOYSA-N 0 2 318.362 0.883 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCO[C@@H]2CCC[C@H]21 ZINC000299116917 396711370 /nfs/dbraw/zinc/71/13/70/396711370.db2.gz DDSSXTBKMNVPOY-GHMZBOCLSA-N 0 2 316.317 0.830 20 0 DCADLN CCOC(=O)c1nccc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000596225722 396738230 /nfs/dbraw/zinc/73/82/30/396738230.db2.gz GEYCVPCKVKIJDU-VIFPVBQESA-N 0 2 318.337 0.861 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CNCC(F)(F)F)s[nH]1 ZINC000634154368 396797773 /nfs/dbraw/zinc/79/77/73/396797773.db2.gz UEUPMAZJUXUGNV-UHFFFAOYSA-N 0 2 312.317 0.932 20 0 DCADLN COC[C@@](C)(O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000611687626 396909772 /nfs/dbraw/zinc/90/97/72/396909772.db2.gz ZPENVZNEMLMVJM-AWEZNQCLSA-N 0 2 307.306 0.157 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(F)cc(N2CCOCC2)c1 ZINC000611863186 396940020 /nfs/dbraw/zinc/94/00/20/396940020.db2.gz TYEFSKBVFOOJBW-UHFFFAOYSA-N 0 2 321.312 0.416 20 0 DCADLN CNC(=O)[C@H](C)CN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000611904879 396946487 /nfs/dbraw/zinc/94/64/87/396946487.db2.gz SBCGBUDOLMZPKW-SECBINFHSA-N 0 2 318.333 0.484 20 0 DCADLN O=C([O-])CNC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000630502139 397032190 /nfs/dbraw/zinc/03/21/90/397032190.db2.gz ZDHJOMUWRKITJD-UHFFFAOYSA-N 0 2 310.379 0.519 20 0 DCADLN NC(=O)C[C@@H]1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000377135658 397035501 /nfs/dbraw/zinc/03/55/01/397035501.db2.gz FTEAYDMSVKLQBR-STQMWFEESA-N 0 2 319.409 0.530 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000592197942 397124407 /nfs/dbraw/zinc/12/44/07/397124407.db2.gz QBAQSJVRSHNMMC-RKDXNWHRSA-N 0 2 323.374 0.753 20 0 DCADLN CN(C)c1cnc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000573124093 397137973 /nfs/dbraw/zinc/13/79/73/397137973.db2.gz SSGODHZBZPASDN-SECBINFHSA-N 0 2 317.353 0.386 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)NC[C@@H]1CNC(=O)C1 ZINC000573693610 397195473 /nfs/dbraw/zinc/19/54/73/397195473.db2.gz JOCZICXNHNHPHK-VIFPVBQESA-N 0 2 317.374 0.885 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H]1COc2ccccc2[C@H]1O ZINC000378132051 397197440 /nfs/dbraw/zinc/19/74/40/397197440.db2.gz AZOQDHLSHWHXIW-GZMMTYOYSA-N 0 2 322.346 0.213 20 0 DCADLN CC(C)(C)OC(=O)c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)nc1 ZINC000592770524 397245145 /nfs/dbraw/zinc/24/51/45/397245145.db2.gz YGKLHELSJMDASD-UHFFFAOYSA-N 0 2 319.321 0.791 20 0 DCADLN COC(=O)Cc1ccc(N2N=C(C)[C@@H](NC(C)=O)C2=O)cc1 ZINC000601952662 397272739 /nfs/dbraw/zinc/27/27/39/397272739.db2.gz BZKFFHYVFVYWNQ-CQSZACIVSA-N 0 2 303.318 0.629 20 0 DCADLN CO[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCO1 ZINC000613821010 397329231 /nfs/dbraw/zinc/32/92/31/397329231.db2.gz RHJUCJNRDMNLTB-NSHDSACASA-N 0 2 305.290 0.475 20 0 DCADLN COC(=O)c1cnn(CC(=O)N=c2nc(C(C)(C)C)[nH]s2)c1 ZINC000599303446 397397945 /nfs/dbraw/zinc/39/79/45/397397945.db2.gz PCCAPPXBUAMPJL-UHFFFAOYSA-N 0 2 323.378 0.879 20 0 DCADLN O=C(c1cnc2n1CCC2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518445 397463103 /nfs/dbraw/zinc/46/31/03/397463103.db2.gz HPYJUZDMAYHELH-VIFPVBQESA-N 0 2 302.338 0.673 20 0 DCADLN CO[C@@H]1COC[C@@H]1NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000579805790 397593338 /nfs/dbraw/zinc/59/33/38/397593338.db2.gz HBHMQBLRCWQBRF-WCQYABFASA-N 0 2 321.308 0.861 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(-n3cncn3)c(F)c2)cn1 ZINC000067659689 158041164 /nfs/dbraw/zinc/04/11/64/158041164.db2.gz SJHGHRDHEIKBBI-UHFFFAOYSA-N 0 2 322.325 0.941 20 0 DCADLN CS(=O)(=O)[N-]c1ccc(F)cc1C(=O)NCc1[nH]cc[nH+]1 ZINC000114338924 158151315 /nfs/dbraw/zinc/15/13/15/158151315.db2.gz PKOQDQFAJBATBO-UHFFFAOYSA-N 0 2 312.326 0.850 20 0 DCADLN Cc1cnn(CCNC(=O)c2c[nH]c3c(cnn3C)c2=O)c1 ZINC000119265431 158185035 /nfs/dbraw/zinc/18/50/35/158185035.db2.gz BBRHVCZCNOAUNU-UHFFFAOYSA-N 0 2 300.322 0.609 20 0 DCADLN CCOc1ccc(C(=O)NCC(=O)NOC[C@H]2CCOC2)cc1 ZINC000276361575 158925173 /nfs/dbraw/zinc/92/51/73/158925173.db2.gz YUDFJMOHVOKMHS-LBPRGKRZSA-N 0 2 322.361 0.899 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)N3CCCC3)CC2)[nH]1 ZINC000328803843 159030799 /nfs/dbraw/zinc/03/07/99/159030799.db2.gz CNZDVIQNQNXFKM-UHFFFAOYSA-N 0 2 301.372 0.030 20 0 DCADLN O=C(CCOC[C@@H]1CCCO1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329094291 159061286 /nfs/dbraw/zinc/06/12/86/159061286.db2.gz XPQPXKQLCNUGDF-NEPJUHHUSA-N 0 2 324.381 0.802 20 0 DCADLN O=C(Cn1ccccc1=O)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000329163680 159069485 /nfs/dbraw/zinc/06/94/85/159069485.db2.gz GOEAGJCJVCBVPM-SNVBAGLBSA-N 0 2 303.322 0.426 20 0 DCADLN CN1C[C@@H](C(=O)NOC[C@@H]2CCOC2)c2ccccc2C1=O ZINC000368475560 159397085 /nfs/dbraw/zinc/39/70/85/159397085.db2.gz UJGJIYPLSOXLPV-BXUZGUMPSA-N 0 2 304.346 0.940 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)C[C@H]2CCC(=O)[C@H]2C)cnn1C ZINC000408485550 160118548 /nfs/dbraw/zinc/11/85/48/160118548.db2.gz QUFYGMBLBIASNM-WCBMZHEXSA-N 0 2 313.379 0.539 20 0 DCADLN O=C(N[C@@H]1CCCN(c2ccccc2F)C1=O)c1nc(=O)[nH][nH]1 ZINC000130966263 286997498 /nfs/dbraw/zinc/99/74/98/286997498.db2.gz HUZGEBPPUIODFR-SECBINFHSA-N 0 2 319.296 0.163 20 0 DCADLN COC(=O)[C@@H]1CCN(S(=O)(=O)NCC(F)(F)F)C[C@H]1C ZINC000249654614 287117383 /nfs/dbraw/zinc/11/73/83/287117383.db2.gz ZDBVVXLSBSUWNP-HTQZYQBOSA-N 0 2 318.317 0.514 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](Cc2cnn(-c3ccccc3)c2)CC1 ZINC000274204335 287134658 /nfs/dbraw/zinc/13/46/58/287134658.db2.gz UZOHKVNCJMZMFM-UHFFFAOYSA-N 0 2 314.345 0.601 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCc1nccc(N)n1 ZINC000274202371 415335753 /nfs/dbraw/zinc/33/57/53/415335753.db2.gz NMNUDQUOWVYXSE-VIFPVBQESA-N 0 2 319.287 0.378 20 0 DCADLN C[C@@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)C1=CC[NH+](C)CC1 ZINC000332831349 415487478 /nfs/dbraw/zinc/48/74/78/415487478.db2.gz DRIMCZXOBJXKMS-HIFRSBDPSA-N 0 2 309.458 0.182 20 0 DCADLN CN(C(=O)N=c1nc(-c2ccccc2)[nH]s1)[C@H]1COC[C@@H]1O ZINC000353356671 415516506 /nfs/dbraw/zinc/51/65/06/415516506.db2.gz SXQXIAAOHQLYNZ-QWRGUYRKSA-N 0 2 320.374 0.850 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCNC(=O)[C@@H]2C)c1 ZINC000424635204 287311505 /nfs/dbraw/zinc/31/15/05/287311505.db2.gz BMGXJUVBCGLDOS-CWKPULSASA-N 0 2 310.375 0.150 20 0 DCADLN CO[C@@H](C[NH3+])C(=O)N(C)Cc1[nH+]ccn1Cc1ccccc1 ZINC000353433061 415542302 /nfs/dbraw/zinc/54/23/02/415542302.db2.gz SZZWXPCXKCWPSM-AWEZNQCLSA-N 0 2 302.378 0.864 20 0 DCADLN CO[C@@H](C)[C@@H](C)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000343458480 415606838 /nfs/dbraw/zinc/60/68/38/415606838.db2.gz XFJUIZKZEVWJTC-SFYZADRCSA-N 0 2 314.411 0.736 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)n(C)n1 ZINC000333305499 415608403 /nfs/dbraw/zinc/60/84/03/415608403.db2.gz IFDQIOYDNWEFPT-JTQLQIEISA-N 0 2 311.367 0.687 20 0 DCADLN CSc1n[nH]c(NC(=O)c2ccc(S(C)(=O)=O)o2)n1 ZINC000353743118 415643944 /nfs/dbraw/zinc/64/39/44/415643944.db2.gz GYSZXILAWQBOJG-UHFFFAOYSA-N 0 2 302.337 0.775 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)N=c2nc(C(C)(C)C)[nH]s2)O1 ZINC000354000634 415716835 /nfs/dbraw/zinc/71/68/35/415716835.db2.gz CYSVOWQDRUBRBU-SFYZADRCSA-N 0 2 313.379 0.917 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N(C)Cc1nnnn1C)c2=O ZINC000343767328 415715903 /nfs/dbraw/zinc/71/59/03/415715903.db2.gz HNLVZXDWGUPYBT-UHFFFAOYSA-N 0 2 313.321 0.440 20 0 DCADLN O=C(NCCCn1nc2n(c1=O)CCCC2)[C@@H](F)C(F)(F)F ZINC000289927487 415739040 /nfs/dbraw/zinc/73/90/40/415739040.db2.gz STOUYTVWHUOKKA-SECBINFHSA-N 0 2 324.278 0.788 20 0 DCADLN O=C(NCCCn1nc2n(c1=O)CCCC2)C(F)C(F)(F)F ZINC000289927487 415739051 /nfs/dbraw/zinc/73/90/51/415739051.db2.gz STOUYTVWHUOKKA-SECBINFHSA-N 0 2 324.278 0.788 20 0 DCADLN CCCCNC(=O)[C@H]1CCC[N@@H+]([C@H](C)C(=O)NC(=O)NC)C1 ZINC000334024777 415787914 /nfs/dbraw/zinc/78/79/14/415787914.db2.gz SQUTUJKWPPIEMO-NEPJUHHUSA-N 0 2 312.414 0.459 20 0 DCADLN C[C@@H](C[S@@](C)=O)NC(=O)N1CCN(CC(F)(F)F)CC1 ZINC000334035951 415788132 /nfs/dbraw/zinc/78/81/32/415788132.db2.gz BOOZJVTYUIXZSO-GWNMQOMSSA-N 0 2 315.361 0.643 20 0 DCADLN COC(=O)C1(CNC(=O)N[C@@H](C)[C@@H]2CCCO2)CCOCC1 ZINC000334036768 415788808 /nfs/dbraw/zinc/78/88/08/415788808.db2.gz IRQGPAPDCFKXOZ-RYUDHWBXSA-N 0 2 314.382 0.823 20 0 DCADLN Nc1nsc(N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC000290334715 415794655 /nfs/dbraw/zinc/79/46/55/415794655.db2.gz HYSGYNDZUAQZPI-YFKPBYRVSA-N 0 2 313.280 0.669 20 0 DCADLN Nc1nsc(N2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC000290334715 415794663 /nfs/dbraw/zinc/79/46/63/415794663.db2.gz HYSGYNDZUAQZPI-YFKPBYRVSA-N 0 2 313.280 0.669 20 0 DCADLN COCc1nc2n(n1)C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CC2 ZINC000290515980 415816098 /nfs/dbraw/zinc/81/60/98/415816098.db2.gz NIKTWFWIPIPAGT-IMTBSYHQSA-N 0 2 310.251 0.756 20 0 DCADLN COCc1nc2n(n1)C[C@@H](NC(=O)C(F)C(F)(F)F)CC2 ZINC000290515980 415816103 /nfs/dbraw/zinc/81/61/03/415816103.db2.gz NIKTWFWIPIPAGT-IMTBSYHQSA-N 0 2 310.251 0.756 20 0 DCADLN C[C@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccc2c(c1)CCC(=O)N2 ZINC000129663325 415911195 /nfs/dbraw/zinc/91/11/95/415911195.db2.gz ALXAFEZITUMFIB-ZETCQYMHSA-N 0 2 301.306 0.474 20 0 DCADLN CC(C)CN(CC(N)=O)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000344474027 415925205 /nfs/dbraw/zinc/92/52/05/415925205.db2.gz MXRPAOZFVCSKAP-UHFFFAOYSA-N 0 2 319.321 0.020 20 0 DCADLN CC(C)(CO)ONC(=O)CNC(=O)c1cccc(Cl)c1 ZINC000297255324 415959107 /nfs/dbraw/zinc/95/91/07/415959107.db2.gz QSQJSTNEOMQANX-UHFFFAOYSA-N 0 2 300.742 0.889 20 0 DCADLN COC[C@H]1C[C@H](OC)CN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344626649 415963152 /nfs/dbraw/zinc/96/31/52/415963152.db2.gz JIVILKHWVPUMPH-ZJUUUORDSA-N 0 2 320.349 0.550 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc2c1oc(=O)n2C ZINC000338129436 416048280 /nfs/dbraw/zinc/04/82/80/416048280.db2.gz VUSLTHKWOMUPIX-UHFFFAOYSA-N 0 2 308.319 0.964 20 0 DCADLN Cc1cc(C(=O)Nc2ccnn2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000174441824 416077978 /nfs/dbraw/zinc/07/79/78/416077978.db2.gz IOYPXCFYFVKDAT-UHFFFAOYSA-N 0 2 300.278 0.318 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc3c(c2)CCO3)c1C(N)=O ZINC000344942913 416012184 /nfs/dbraw/zinc/01/21/84/416012184.db2.gz WMQIQFKAKDCSHT-UHFFFAOYSA-N 0 2 322.346 0.255 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)ccc1F ZINC000344944014 416012304 /nfs/dbraw/zinc/01/23/04/416012304.db2.gz XUJSQQSYCATCCI-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN CCc1cc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)n[nH]1 ZINC000298910297 416037895 /nfs/dbraw/zinc/03/78/95/416037895.db2.gz CIPJJQALMJIIHJ-UHFFFAOYSA-N 0 2 316.368 0.447 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2nn3c(nc(C)cc3=O)[nH]2)cc1 ZINC000176416242 416126256 /nfs/dbraw/zinc/12/62/56/416126256.db2.gz IQUGKZNXNNZLDJ-UHFFFAOYSA-N 0 2 319.346 0.835 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)c2ccc(OC)cc2)cn1 ZINC000345672595 416131778 /nfs/dbraw/zinc/13/17/78/416131778.db2.gz DYKDKLDRORVBOU-UHFFFAOYSA-N 0 2 323.330 1.073 20 0 DCADLN C[C@@H]1C[NH+](C(C)(C)CNC(=O)CCc2nc[nH]n2)C[C@@H](C)O1 ZINC000176790974 416135470 /nfs/dbraw/zinc/13/54/70/416135470.db2.gz PHJTYOQOLMFNQJ-VXGBXAGGSA-N 0 2 309.414 0.741 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCCC[C@@H]1C(N)=O)c2=O ZINC000179536867 416188290 /nfs/dbraw/zinc/18/82/90/416188290.db2.gz IEYVXEDZZITCON-GZMMTYOYSA-N 0 2 317.349 0.448 20 0 DCADLN CS(=O)(=O)NCC[N@@H+]1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000179513016 416189735 /nfs/dbraw/zinc/18/97/35/416189735.db2.gz OZZSXZOOTIBLCI-LLVKDONJSA-N 0 2 313.427 0.411 20 0 DCADLN CS(=O)(=O)NCC[N@H+]1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000179513016 416189738 /nfs/dbraw/zinc/18/97/38/416189738.db2.gz OZZSXZOOTIBLCI-LLVKDONJSA-N 0 2 313.427 0.411 20 0 DCADLN CN(C[C@@H]1CCC[C@@H]1O)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000182305389 416217586 /nfs/dbraw/zinc/21/75/86/416217586.db2.gz WHLYZBNYPFUTNI-CABZTGNLSA-N 0 2 304.350 0.907 20 0 DCADLN CC[C@H](CSC)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000182573887 416225766 /nfs/dbraw/zinc/22/57/66/416225766.db2.gz JQEVZJGKCOKFPX-MRVPVSSYSA-N 0 2 308.363 0.483 20 0 DCADLN CCOC(=O)CCN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358375233 416230918 /nfs/dbraw/zinc/23/09/18/416230918.db2.gz USUMBQQJAJZMRF-UHFFFAOYSA-N 0 2 306.322 0.699 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)CC[S@]1=O ZINC000358374701 416231216 /nfs/dbraw/zinc/23/12/16/416231216.db2.gz UBSNISQAYHDCRD-HXNGOWOSSA-N 0 2 308.363 0.267 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NCc1nnc(N)o1)c2=O ZINC000352125216 416291881 /nfs/dbraw/zinc/29/18/81/416291881.db2.gz FXFYZZGYDIDTHI-UHFFFAOYSA-N 0 2 300.278 0.539 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cc(C)n(C)n2)cn1C ZINC000331255388 416295563 /nfs/dbraw/zinc/29/55/63/416295563.db2.gz AEBMQCINBNIDSB-UHFFFAOYSA-N 0 2 311.367 0.227 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N(CCO)Cc1cccnc1 ZINC000192021879 416296654 /nfs/dbraw/zinc/29/66/54/416296654.db2.gz LRBDUAWGEFSHGY-UHFFFAOYSA-N 0 2 313.301 0.273 20 0 DCADLN O=C(CCNC(=O)C1CCCCC1)NCCCc1n[nH]c(=O)[nH]1 ZINC000359528545 416349622 /nfs/dbraw/zinc/34/96/22/416349622.db2.gz VIWBIQKMLLCMFR-UHFFFAOYSA-N 0 2 323.397 0.646 20 0 DCADLN NC(=O)c1cccc(CNS(=O)(=O)NCC(F)(F)F)c1 ZINC000195081479 416325060 /nfs/dbraw/zinc/32/50/60/416325060.db2.gz QYZAACMUUOYBMZ-UHFFFAOYSA-N 0 2 311.285 0.272 20 0 DCADLN O=C([C@@H]1COCC[NH2+]1)N1CCC[N@H+](Cc2ccccc2)CC1 ZINC000237958819 416329056 /nfs/dbraw/zinc/32/90/56/416329056.db2.gz PQYRAHDBAVRSRW-INIZCTEOSA-N 0 2 303.406 0.709 20 0 DCADLN Cc1c(C(=O)NCc2n[nH]c(=O)[nH]2)nnn1-c1cccc(C)c1 ZINC000359812790 416360101 /nfs/dbraw/zinc/36/01/01/416360101.db2.gz HFMMPSJRAGTHMC-UHFFFAOYSA-N 0 2 313.321 0.638 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](C(=O)[O-])C2)c(C)[nH+]1 ZINC000422679812 416448399 /nfs/dbraw/zinc/44/83/99/416448399.db2.gz WRHRNHSCRRAKNF-NSHDSACASA-N 0 2 305.334 0.960 20 0 DCADLN CCOC(=O)[C@@H]1[NH2+]CC[C@H]1NC[C@H]1[NH2+]CCc2ccccc21 ZINC000423433645 416511809 /nfs/dbraw/zinc/51/18/09/416511809.db2.gz RRSQZKMBQJBDQW-BZUAXINKSA-N 0 2 303.406 0.757 20 0 DCADLN CC(C)c1nsc(NC2CCN(c3nnnn3C)CC2)n1 ZINC000541539363 416609162 /nfs/dbraw/zinc/60/91/62/416609162.db2.gz MIYGXXWMOZSPGJ-UHFFFAOYSA-N 0 2 308.415 0.688 20 0 DCADLN O=C(NCCCn1cc(CO)nn1)c1cc(F)c(O)c(F)c1 ZINC000436931106 416573606 /nfs/dbraw/zinc/57/36/06/416573606.db2.gz ZITJXQMUWIWZIA-UHFFFAOYSA-N 0 2 312.276 0.574 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2ccccc2F)n1 ZINC000437304448 416582185 /nfs/dbraw/zinc/58/21/85/416582185.db2.gz CZYKUYZDFKPLKM-UHFFFAOYSA-N 0 2 314.298 0.391 20 0 DCADLN CCOC(=O)C1(NCc2n[nH]c(=O)[nH]2)Cc2ccccc2C1 ZINC000540290394 416588973 /nfs/dbraw/zinc/58/89/73/416588973.db2.gz DQUDZIJEWOUCLT-UHFFFAOYSA-N 0 2 302.334 0.701 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H](C(N)=O)C(C)C)c1 ZINC000424668073 416666868 /nfs/dbraw/zinc/66/68/68/416666868.db2.gz WFUXKTOCKYLIRL-LRTDBIEQSA-N 0 2 312.391 0.429 20 0 DCADLN COCc1cccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)c1 ZINC000442604788 416700632 /nfs/dbraw/zinc/70/06/32/416700632.db2.gz LHPUSQCPBBRJJZ-UHFFFAOYSA-N 0 2 324.362 0.466 20 0 DCADLN C[C@H](NS(=O)(=O)NCC(F)(F)F)C(=O)N1CCCCC1 ZINC000442919451 416720095 /nfs/dbraw/zinc/72/00/95/416720095.db2.gz JHFHSYFQIZVJBN-QMMMGPOBSA-N 0 2 317.333 0.374 20 0 DCADLN COC(=O)c1ccccc1NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000517746792 416822348 /nfs/dbraw/zinc/82/23/48/416822348.db2.gz AZJTUSORIHICOL-GFCCVEGCSA-N 0 2 306.318 0.961 20 0 DCADLN COC(=O)c1ccccc1NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000517746792 416822351 /nfs/dbraw/zinc/82/23/51/416822351.db2.gz AZJTUSORIHICOL-GFCCVEGCSA-N 0 2 306.318 0.961 20 0 DCADLN Cc1cccc2[nH]c(CCC(=O)NCc3n[nH]c(=O)[nH]3)nc21 ZINC000428012971 416864208 /nfs/dbraw/zinc/86/42/08/416864208.db2.gz WJFKYKBXUBTZRO-UHFFFAOYSA-N 0 2 300.322 0.944 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cncnc2-n2cccn2)cnn1C ZINC000427800352 416845638 /nfs/dbraw/zinc/84/56/38/416845638.db2.gz QEXUKVBBUNSZJU-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN COc1ccc(NC(C)=O)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000444630522 416852185 /nfs/dbraw/zinc/85/21/85/416852185.db2.gz HDENVJXUKRCKOV-UHFFFAOYSA-N 0 2 320.309 0.799 20 0 DCADLN C[C@@H]1[C@H](CO)CCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000427829273 416852746 /nfs/dbraw/zinc/85/27/46/416852746.db2.gz RLGQXUNIZJQYAJ-SFYZADRCSA-N 0 2 304.306 0.279 20 0 DCADLN CC(C)C[C@H](CCO)CNC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000519359803 416902229 /nfs/dbraw/zinc/90/22/29/416902229.db2.gz NIQBPBPZLDQJBD-KBPBESRZSA-N 0 2 300.447 0.315 20 0 DCADLN COC(=O)c1ccc(NC(=O)C[N@@H+]2CCC[C@H]2C(=O)[O-])cc1 ZINC000565046801 416912595 /nfs/dbraw/zinc/91/25/95/416912595.db2.gz NBAFYLUGIUODIV-LBPRGKRZSA-N 0 2 306.318 0.961 20 0 DCADLN COC(=O)c1ccc(NC(=O)C[N@H+]2CCC[C@H]2C(=O)[O-])cc1 ZINC000565046801 416912600 /nfs/dbraw/zinc/91/26/00/416912600.db2.gz NBAFYLUGIUODIV-LBPRGKRZSA-N 0 2 306.318 0.961 20 0 DCADLN NC(=O)[C@H]1CCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000615871959 416924970 /nfs/dbraw/zinc/92/49/70/416924970.db2.gz TYVUBXVCEYGCHT-SNVBAGLBSA-N 0 2 302.290 0.120 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@@H]2C[C@]2(O)C1 ZINC000641606058 416941951 /nfs/dbraw/zinc/94/19/51/416941951.db2.gz RDKRZWOAZVFPEB-VFZGTOFNSA-N 0 2 303.293 0.924 20 0 DCADLN C[C@@]1(CNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCC(=O)N1 ZINC000616183969 416983802 /nfs/dbraw/zinc/98/38/02/416983802.db2.gz SJUGCYFQGPJHGE-HNNXBMFYSA-N 0 2 316.317 0.428 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(c2cc(NC[C@H](C)O)[nH+]cn2)C1 ZINC000521050673 416988196 /nfs/dbraw/zinc/98/81/96/416988196.db2.gz YNKOUZKMOAUXLV-HZMBPMFUSA-N 0 2 310.354 0.197 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(c2cc(NC[C@H](C)O)nc[nH+]2)C1 ZINC000521050673 416988203 /nfs/dbraw/zinc/98/82/03/416988203.db2.gz YNKOUZKMOAUXLV-HZMBPMFUSA-N 0 2 310.354 0.197 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCO[C@@H](CF)C1 ZINC000616254295 417000345 /nfs/dbraw/zinc/00/03/45/417000345.db2.gz CUGOFSOKPIGRFU-NSHDSACASA-N 0 2 307.281 0.840 20 0 DCADLN O=S(=O)(Nc1nc2ccccn2n1)c1cn2c(n1)CCCC2 ZINC000376941988 417044231 /nfs/dbraw/zinc/04/42/31/417044231.db2.gz WOZIHLOTUXFPPJ-UHFFFAOYSA-N 0 2 318.362 1.063 20 0 DCADLN C[C@@H](O)CNc1cc(NCCc2nc(C(=O)[O-])cs2)[nH+]cn1 ZINC000566682644 417050925 /nfs/dbraw/zinc/05/09/25/417050925.db2.gz ULDSTKPGUYCCCO-MRVPVSSYSA-N 0 2 323.378 1.079 20 0 DCADLN C[C@@H](O)CNc1cc(NCCc2nc(C(=O)[O-])cs2)nc[nH+]1 ZINC000566682644 417050932 /nfs/dbraw/zinc/05/09/32/417050932.db2.gz ULDSTKPGUYCCCO-MRVPVSSYSA-N 0 2 323.378 1.079 20 0 DCADLN COc1ccc(CNC(=O)C[N@H+](C)[C@H](C)C(=O)[O-])cc1OC ZINC000430664184 417055445 /nfs/dbraw/zinc/05/54/45/417055445.db2.gz VIXHNHSIFKDKGS-SNVBAGLBSA-N 0 2 310.350 0.725 20 0 DCADLN COc1ccc(CNC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])cc1OC ZINC000430664184 417055449 /nfs/dbraw/zinc/05/54/49/417055449.db2.gz VIXHNHSIFKDKGS-SNVBAGLBSA-N 0 2 310.350 0.725 20 0 DCADLN CC(C)(C)c1nc(=N[C@@H]2CCN(S(C)(=O)=O)C2)s[nH]1 ZINC000400290045 417110001 /nfs/dbraw/zinc/11/00/01/417110001.db2.gz VYYBTVHKIWUAIG-MRVPVSSYSA-N 0 2 304.441 0.703 20 0 DCADLN CN(C(=O)CSc1n[nH]c(=O)[nH]1)[C@@H](CO)Cc1ccccc1 ZINC000616932051 417131032 /nfs/dbraw/zinc/13/10/32/417131032.db2.gz MALOJKXNDAJKPT-LLVKDONJSA-N 0 2 322.390 0.664 20 0 DCADLN O=C([O-])[C@H]1COCC[N@@H+]1CCC(=O)N1CCc2ccccc21 ZINC000643054292 417150097 /nfs/dbraw/zinc/15/00/97/417150097.db2.gz CPEFRNWMCOQZCU-CQSZACIVSA-N 0 2 304.346 0.751 20 0 DCADLN O=C([O-])[C@H]1COCC[N@H+]1CCC(=O)N1CCc2ccccc21 ZINC000643054292 417150102 /nfs/dbraw/zinc/15/01/02/417150102.db2.gz CPEFRNWMCOQZCU-CQSZACIVSA-N 0 2 304.346 0.751 20 0 DCADLN COc1ccc(-c2nc(S(=O)(=O)CC(=O)N(C)C)n[nH]2)cc1 ZINC000568835358 417181327 /nfs/dbraw/zinc/18/13/27/417181327.db2.gz FDWFIJKUFORAJI-UHFFFAOYSA-N 0 2 324.362 0.342 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)CCC2CCCC2)n1 ZINC000450968399 417286367 /nfs/dbraw/zinc/28/63/67/417286367.db2.gz KAOWTWSLRJEYAZ-UHFFFAOYSA-N 0 2 316.383 0.773 20 0 DCADLN CCOC(=O)Cc1cccc(S(=O)(=O)Nc2ncn(C)n2)c1 ZINC000451033006 417294267 /nfs/dbraw/zinc/29/42/67/417294267.db2.gz WIAOGPVGJRHEFP-UHFFFAOYSA-N 0 2 324.362 0.722 20 0 DCADLN CC(C)(C)c1cc(NCCNc2cnccn2)nc(C(=O)[O-])[nH+]1 ZINC000570283275 417334164 /nfs/dbraw/zinc/33/41/64/417334164.db2.gz PLTROUNUOGRYIS-UHFFFAOYSA-N 0 2 316.365 1.208 20 0 DCADLN CC/C=C\CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000451781003 417398917 /nfs/dbraw/zinc/39/89/17/417398917.db2.gz WVLMILZKWRWDEO-ARJAWSKDSA-N 0 2 310.335 0.681 20 0 DCADLN CC(C)[C@@H]1C[NH2+]CCN1C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000529309471 417435068 /nfs/dbraw/zinc/43/50/68/417435068.db2.gz XBEKQCJTOTXJSY-ILXRZTDVSA-N 0 2 312.458 0.735 20 0 DCADLN CC1(C)CN(S(=O)(=O)NCC(F)(F)F)[C@@H]2COC[C@@H]21 ZINC000452093415 417447250 /nfs/dbraw/zinc/44/72/50/417447250.db2.gz HAZMEBPQWNLYTD-JGVFFNPUSA-N 0 2 302.318 0.740 20 0 DCADLN C[N@@H+]1CC[C@]2(CCN(c3nc(N4CCOCC4)cc[nH+]3)C2)C1 ZINC000644151680 417485241 /nfs/dbraw/zinc/48/52/41/417485241.db2.gz UVZOOGGQJFXFAW-INIZCTEOSA-N 0 2 303.410 0.845 20 0 DCADLN CN(C)c1[nH+]cc(CN2CCN([C@H]3CCC[N@H+](C)C3)CC2)n1C ZINC000644901533 417677589 /nfs/dbraw/zinc/67/75/89/417677589.db2.gz GQOUVYKWGOIKJQ-HNNXBMFYSA-N 0 2 320.485 0.698 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(OC2COC2)cc1 ZINC000629294965 417773921 /nfs/dbraw/zinc/77/39/21/417773921.db2.gz JBSYSZGSDQEPSA-UHFFFAOYSA-N 0 2 310.335 0.394 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H]2CCCCC[C@H]2O)[nH]1 ZINC000651805311 417788446 /nfs/dbraw/zinc/78/84/46/417788446.db2.gz NSKCAFMTRVISIG-GHMZBOCLSA-N 0 2 309.370 0.029 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC[C@@]3(CCOC3)C2)[nH]1 ZINC000651841225 417794879 /nfs/dbraw/zinc/79/48/79/417794879.db2.gz KBISRGCJWYHHIT-OAHLLOKOSA-N 0 2 321.381 0.249 20 0 DCADLN O=C(CNC(=O)c1ccco1)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000646186047 417801946 /nfs/dbraw/zinc/80/19/46/417801946.db2.gz MKDHSQASPCFOPF-SECBINFHSA-N 0 2 319.321 0.587 20 0 DCADLN COCc1nnc(CNS(=O)(=O)Cc2ccc(C)cc2)[nH]1 ZINC000657103243 417813023 /nfs/dbraw/zinc/81/30/23/417813023.db2.gz GDQLOBANYNASJZ-UHFFFAOYSA-N 0 2 310.379 0.879 20 0 DCADLN C[C@@H](COCC1CC1)NC(=O)C(=O)N=c1ccc(C2CC2)n[nH]1 ZINC000652154399 417853947 /nfs/dbraw/zinc/85/39/47/417853947.db2.gz DQFOTMUIDCBFFR-JTQLQIEISA-N 0 2 318.377 0.646 20 0 DCADLN CCc1cccc(CNC(=O)C(=O)N=c2nc(C)n(C)[nH]2)c1 ZINC000652190161 417861257 /nfs/dbraw/zinc/86/12/57/417861257.db2.gz DXSRMSJZSKCCEI-UHFFFAOYSA-N 0 2 301.350 0.363 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000663026527 417979354 /nfs/dbraw/zinc/97/93/54/417979354.db2.gz IILPJKFLGHHCFZ-CQSZACIVSA-N 0 2 315.329 0.857 20 0 DCADLN O=C(c1n[nH]c(=O)[nH]c1=O)N1CC(F)(c2ccccc2F)C1 ZINC000646885797 417941711 /nfs/dbraw/zinc/94/17/11/417941711.db2.gz HTKJYSLIQJWNOA-UHFFFAOYSA-N 0 2 308.244 0.743 20 0 DCADLN CN(Cc1c[nH]c[nH+]1)C(=O)C(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000662912644 417949807 /nfs/dbraw/zinc/94/98/07/417949807.db2.gz HQFBLOFVOXOOCL-SNVBAGLBSA-N 0 2 308.338 0.081 20 0 DCADLN CN(Cc1c[nH+]c[nH]1)C(=O)C(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000662912644 417949810 /nfs/dbraw/zinc/94/98/10/417949810.db2.gz HQFBLOFVOXOOCL-SNVBAGLBSA-N 0 2 308.338 0.081 20 0 DCADLN Nc1nc(NCC2(C(=O)[O-])CCOCC2)[nH+]c2ccccc12 ZINC000647458038 418012358 /nfs/dbraw/zinc/01/23/58/418012358.db2.gz NZKWODITIUJBLN-UHFFFAOYSA-N 0 2 302.334 0.758 20 0 DCADLN COc1nc(C)cc(C)c1CNC(=O)N=c1nc(C)n(C)[nH]1 ZINC000653244229 418046023 /nfs/dbraw/zinc/04/60/23/418046023.db2.gz RNINMUUBSVKKSY-UHFFFAOYSA-N 0 2 304.354 0.888 20 0 DCADLN Cn1cc[nH+]c1CN1CCN(C(=O)[C@@]2(C(=O)[O-])CC2(C)C)CC1 ZINC000663040769 417980598 /nfs/dbraw/zinc/98/05/98/417980598.db2.gz IMVWNLCHFBCYRL-MRXNPFEDSA-N 0 2 320.393 0.565 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H](CS(C)(=O)=O)C2)c1O ZINC000647073293 417981942 /nfs/dbraw/zinc/98/19/42/417981942.db2.gz GTGSCVBXRHAMDI-SECBINFHSA-N 0 2 301.368 0.321 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000663125933 417993925 /nfs/dbraw/zinc/99/39/25/417993925.db2.gz QKXDMHQALRLRIK-CFVMTHIKSA-N 0 2 318.373 0.819 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000663125933 417993928 /nfs/dbraw/zinc/99/39/28/417993928.db2.gz QKXDMHQALRLRIK-CFVMTHIKSA-N 0 2 318.373 0.819 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C)[C@H](C)CO1 ZINC000663127701 417994119 /nfs/dbraw/zinc/99/41/19/417994119.db2.gz ZTAGOCNEEWKFTB-UTUOFQBUSA-N 0 2 300.399 0.959 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C)[C@H](C)CO1 ZINC000663127701 417994120 /nfs/dbraw/zinc/99/41/20/417994120.db2.gz ZTAGOCNEEWKFTB-UTUOFQBUSA-N 0 2 300.399 0.959 20 0 DCADLN O=C([O-])[C@]1(NC(=O)c2ccc(Cn3cc[nH+]c3)cc2)CCOC1 ZINC000663130279 417994530 /nfs/dbraw/zinc/99/45/30/417994530.db2.gz HNEVQEIHTWKXJL-INIZCTEOSA-N 0 2 315.329 0.905 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN(C(=O)[C@@]2(C(=O)[O-])CC=CCC2)CC1 ZINC000653294812 418055611 /nfs/dbraw/zinc/05/56/11/418055611.db2.gz ZQLUXHSZHDDFLR-MRXNPFEDSA-N 0 2 323.393 0.206 20 0 DCADLN CCC(C)(C)c1n[n-]c(=NCC[NH+]2CCN(C(C)=O)CC2)o1 ZINC000647856082 418064690 /nfs/dbraw/zinc/06/46/90/418064690.db2.gz MMSNYESCDKSKHD-UHFFFAOYSA-N 0 2 309.414 0.755 20 0 DCADLN NC(=O)c1ccc(NS(=O)(=O)c2cnn3c2OCCC3)cc1 ZINC000647920289 418076290 /nfs/dbraw/zinc/07/62/90/418076290.db2.gz JGXJTAUTCCSVDZ-UHFFFAOYSA-N 0 2 322.346 0.565 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)NCC2(C(=O)[O-])CCC2)CCO1 ZINC000659395248 418090935 /nfs/dbraw/zinc/09/09/35/418090935.db2.gz IBIWTAQUQWJNGZ-UHFFFAOYSA-N 0 2 313.398 0.651 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)NCC2(C(=O)[O-])CCC2)CCO1 ZINC000659395248 418090939 /nfs/dbraw/zinc/09/09/39/418090939.db2.gz IBIWTAQUQWJNGZ-UHFFFAOYSA-N 0 2 313.398 0.651 20 0 DCADLN CCn1cc[nH+]c1[C@@H](C)NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000659406976 418092495 /nfs/dbraw/zinc/09/24/95/418092495.db2.gz XMOVEWJEAPPERX-UEKVPHQBSA-N 0 2 322.365 0.707 20 0 DCADLN CC(C)(C)n1nnc(CN2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000653677452 418101148 /nfs/dbraw/zinc/10/11/48/418101148.db2.gz SYJAZPQQRYVTLX-SECBINFHSA-N 0 2 306.374 0.631 20 0 DCADLN Cc1cc(C(=O)N2CN(C)C(=O)[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000648646408 418145546 /nfs/dbraw/zinc/14/55/46/418145546.db2.gz FERBLHZIDLEXBO-ZETCQYMHSA-N 0 2 317.305 0.005 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000659786341 418182196 /nfs/dbraw/zinc/18/21/96/418182196.db2.gz WBFAOIDMLYHBND-HIFRSBDPSA-N 0 2 319.405 0.640 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000659786341 418182198 /nfs/dbraw/zinc/18/21/98/418182198.db2.gz WBFAOIDMLYHBND-HIFRSBDPSA-N 0 2 319.405 0.640 20 0 DCADLN O=C(NCc1n[nH]c([C@H]2CCCO2)n1)c1cccc2n[nH]nc21 ZINC000655240748 418242734 /nfs/dbraw/zinc/24/27/34/418242734.db2.gz HNTUOOZJNXSPJF-SNVBAGLBSA-N 0 2 313.321 0.858 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(c2cc(-n3cc[nH+]c3)ncn2)C1 ZINC000649407520 418251192 /nfs/dbraw/zinc/25/11/92/418251192.db2.gz VDAMKHDAPDKPFI-OAHLLOKOSA-N 0 2 317.349 0.980 20 0 DCADLN O=C([O-])[C@H](CNC(=O)N[C@@H]1CCn2cc[nH+]c2C1)C1CCC1 ZINC000665310174 418194614 /nfs/dbraw/zinc/19/46/14/418194614.db2.gz KIMIWLWQRKRWHJ-VXGBXAGGSA-N 0 2 306.366 0.998 20 0 DCADLN CCC[N@@H+]1CC[C@H](NC(=O)NCC[NH+]2CCOCC2(C)C)C1 ZINC000660717514 418285770 /nfs/dbraw/zinc/28/57/70/418285770.db2.gz ZBPSXOQVKWWNSK-AWEZNQCLSA-N 0 2 312.458 0.881 20 0 DCADLN CC(C)[C@H](CNC(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC000655867634 418294159 /nfs/dbraw/zinc/29/41/59/418294159.db2.gz KXIDTGHFTWGKKC-UPJWGTAASA-N 0 2 315.414 0.752 20 0 DCADLN Cc1cccc(NS(=O)(=O)N2CCCOCC2)c1C(N)=O ZINC000650165268 418296101 /nfs/dbraw/zinc/29/61/01/418296101.db2.gz VGBRJLHCYGZCJE-UHFFFAOYSA-N 0 2 313.379 0.473 20 0 DCADLN C[C@H](NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1)C1=CC[N@H+](C)CC1 ZINC000661009309 418310125 /nfs/dbraw/zinc/31/01/25/418310125.db2.gz HGJPUHKSILATHR-HOCLYGCPSA-N 0 2 322.453 0.753 20 0 DCADLN Cc1ccn2cc(CNC(=O)C(=O)NC[C@H](C)C(=O)[O-])[nH+]c2c1 ZINC000655982111 418310832 /nfs/dbraw/zinc/31/08/32/418310832.db2.gz TUKJWHPPMLWZHB-JTQLQIEISA-N 0 2 318.333 0.096 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2cccc3c2OCCCO3)n1 ZINC000650467984 418312819 /nfs/dbraw/zinc/31/28/19/418312819.db2.gz BRDWOOPRVJSOSX-UHFFFAOYSA-N 0 2 310.335 0.777 20 0 DCADLN C[C@H](CNC(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000656001883 418315404 /nfs/dbraw/zinc/31/54/04/418315404.db2.gz OQEVKBUBPALRFG-UTUOFQBUSA-N 0 2 322.365 0.130 20 0 DCADLN C[C@H](CNC(=O)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1)C(=O)[O-] ZINC000656005700 418316376 /nfs/dbraw/zinc/31/63/76/418316376.db2.gz KBVVQQBXGJFLMC-ZJUUUORDSA-N 0 2 308.338 0.300 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H](C)c2c(C)noc2C)[nH]n1 ZINC000650816854 418326104 /nfs/dbraw/zinc/32/61/04/418326104.db2.gz JYNKNRNAYCGALX-SSDOTTSWSA-N 0 2 303.322 0.605 20 0 DCADLN CC(C)[C@H](CNC(=O)c1ccc(-n2cc[nH+]c2)nn1)C(=O)[O-] ZINC000655565148 418276952 /nfs/dbraw/zinc/27/69/52/418276952.db2.gz JQQUPTNTDWOPBS-JTQLQIEISA-N 0 2 303.322 0.749 20 0 DCADLN C[C@@H](CNS(=O)(=O)CCCC(=O)[O-])[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000656181256 418334265 /nfs/dbraw/zinc/33/42/65/418334265.db2.gz VMPKDQXCGDOLPL-SDDRHHMPSA-N 0 2 322.427 0.268 20 0 DCADLN C[C@@H](CNS(=O)(=O)CCCC(=O)[O-])[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000656181256 418334267 /nfs/dbraw/zinc/33/42/67/418334267.db2.gz VMPKDQXCGDOLPL-SDDRHHMPSA-N 0 2 322.427 0.268 20 0 DCADLN CN(C(=O)NC[C@H]1CC[N@@H+]1C(C)(C)C)[C@@H]1CC[NH+]2CCO[C@H]1C2 ZINC000661410620 418337257 /nfs/dbraw/zinc/33/72/57/418337257.db2.gz SSXYRNKPADCJQO-KFWWJZLASA-N 0 2 324.469 0.974 20 0 DCADLN O=C(C[C@@]1(C(=O)[O-])CCOC1)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000656480303 418357677 /nfs/dbraw/zinc/35/76/77/418357677.db2.gz MFESGSFSRYRDQL-WBMJQRKESA-N 0 2 319.361 0.658 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)CCN(C)C3=O)cn1 ZINC000656634892 418367631 /nfs/dbraw/zinc/36/76/31/418367631.db2.gz KIUDZVLDGMGTPF-UHFFFAOYSA-N 0 2 320.374 0.849 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3c2CCN(C)C3=O)cn1 ZINC000656636226 418368327 /nfs/dbraw/zinc/36/83/27/418368327.db2.gz YUIFOABZQOWOLE-UHFFFAOYSA-N 0 2 320.374 0.849 20 0 DCADLN CO[C@H](CS(=O)(=O)NCC(F)(F)C(F)F)[C@H]1CCOC1 ZINC000656733671 418375191 /nfs/dbraw/zinc/37/51/91/418375191.db2.gz MGUNGECKQAVWPE-JGVFFNPUSA-N 0 2 323.308 0.858 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@H](Cc3ccccc3)C2=O)[nH]1 ZINC000656833757 418378537 /nfs/dbraw/zinc/37/85/37/418378537.db2.gz ULZSPOKHKBSNMQ-NSHDSACASA-N 0 2 315.333 0.614 20 0 DCADLN CO[C@@H](CS(=O)(=O)NCc1cn[nH]c1C)[C@@H]1CCOC1 ZINC000656884820 418382411 /nfs/dbraw/zinc/38/24/11/418382411.db2.gz FIXSYCMHAJPFFO-PWSUYJOCSA-N 0 2 303.384 0.189 20 0 DCADLN COc1cccc2c1CC[N@@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC000662211759 418388600 /nfs/dbraw/zinc/38/86/00/418388600.db2.gz QRILUJKASUIUDY-CYBMUJFWSA-N 0 2 304.346 0.739 20 0 DCADLN COc1cccc2c1CC[N@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC000662211759 418388601 /nfs/dbraw/zinc/38/86/01/418388601.db2.gz QRILUJKASUIUDY-CYBMUJFWSA-N 0 2 304.346 0.739 20 0 DCADLN C[C@@]1(c2ccccc2)C[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CCO1 ZINC000662206130 418388861 /nfs/dbraw/zinc/38/88/61/418388861.db2.gz ONBQECVLJLFHQT-YOEHRIQHSA-N 0 2 318.373 0.920 20 0 DCADLN C[C@@]1(c2ccccc2)C[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CCO1 ZINC000662206130 418388863 /nfs/dbraw/zinc/38/88/63/418388863.db2.gz ONBQECVLJLFHQT-YOEHRIQHSA-N 0 2 318.373 0.920 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)c3ccccc3O)C2)[nH]1 ZINC000656992343 418399022 /nfs/dbraw/zinc/39/90/22/418399022.db2.gz BYVCLUFXKPRBRD-SECBINFHSA-N 0 2 324.362 0.784 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC[C@H]2CCCCO2)[nH]1 ZINC000651658214 418401575 /nfs/dbraw/zinc/40/15/75/418401575.db2.gz KIEIGVZFDVMWNQ-LLVKDONJSA-N 0 2 309.370 0.295 20 0 DCADLN C[S@@](=O)c1cccc(NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000354202176 261189561 /nfs/dbraw/zinc/18/95/61/261189561.db2.gz ADZOPFUCWSZUNC-HXUWFJFHSA-N 0 2 312.376 0.979 20 0 DCADLN CCO[C@@H]1COCC[C@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000354339958 261205799 /nfs/dbraw/zinc/20/57/99/261205799.db2.gz HYONXBDJRWBUOA-VXGBXAGGSA-N 0 2 320.349 0.598 20 0 DCADLN CCc1cc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)ccc1F ZINC000354410319 261216057 /nfs/dbraw/zinc/21/60/57/261216057.db2.gz IMMHPPVEVXYPEL-UHFFFAOYSA-N 0 2 307.285 0.467 20 0 DCADLN Cc1c(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cccc1N(C)C ZINC000355984535 261381000 /nfs/dbraw/zinc/38/10/00/261381000.db2.gz XCEZXNSWPYBINW-UHFFFAOYSA-N 0 2 318.337 0.140 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccncc1Br ZINC000356806014 261471641 /nfs/dbraw/zinc/47/16/41/261471641.db2.gz GQZLRCCHXIWQHH-UHFFFAOYSA-N 0 2 313.115 0.411 20 0 DCADLN CN([C@H]1CC1(C)C)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000362982628 262092463 /nfs/dbraw/zinc/09/24/63/262092463.db2.gz NWPSYPCNRACDQS-VIFPVBQESA-N 0 2 324.362 0.855 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC[C@@H](CO)C1)c2=O ZINC000412938883 262197524 /nfs/dbraw/zinc/19/75/24/262197524.db2.gz PUYYDRLIPDZJLU-NXEZZACHSA-N 0 2 304.350 0.955 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H]1CCN(CC(F)F)C1 ZINC000416665668 262238250 /nfs/dbraw/zinc/23/82/50/262238250.db2.gz CXYMTQKXEJKNEM-LURJTMIESA-N 0 2 311.276 0.312 20 0 DCADLN CC(C)[C@@H](CNC(=O)N(C)CC[NH+]1CCOCC1)C(=O)[O-] ZINC001649871900 1173274842 /nfs/dbraw/zinc/27/48/42/1173274842.db2.gz MJGLDGUSORCOCM-GFCCVEGCSA-N 0 2 301.387 0.317 20 0 DCADLN COC[C@@](C)(CCO)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358321218 271049925 /nfs/dbraw/zinc/04/99/25/271049925.db2.gz AMJFQAWQEOXBDW-CQSZACIVSA-N 0 2 308.338 0.191 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)NC[C@H]2C[NH+](C3CC3)CCO2)CCC[N@@H+]1C ZINC000489979444 272068051 /nfs/dbraw/zinc/06/80/51/272068051.db2.gz HMBPJDBUTUJLOM-SNPRPXQTSA-N 0 2 310.442 0.632 20 0 DCADLN C[C@@](O)(CNC(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC000492655082 272139873 /nfs/dbraw/zinc/13/98/73/272139873.db2.gz YFIGACZPUVFCJB-HQQUCIOCSA-N 0 2 315.329 0.837 20 0 DCADLN Cc1ncsc1/C=C/C(=O)NS(=O)(=O)c1ccnn1C ZINC000492737360 272146376 /nfs/dbraw/zinc/14/63/76/272146376.db2.gz DGGOPEQNCJKUJC-ONEGZZNKSA-N 0 2 312.376 0.703 20 0 DCADLN O=C(CCN1CCOC1=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000496101823 272292803 /nfs/dbraw/zinc/29/28/03/272292803.db2.gz IPNXMRGDYQNYEO-SECBINFHSA-N 0 2 309.326 0.059 20 0 DCADLN CC(=O)NCC[N@H+](CC(=O)NCCC(C)(C)C)[C@H](C)C(=O)[O-] ZINC000263351666 278109861 /nfs/dbraw/zinc/10/98/61/278109861.db2.gz PYHKFQZZANLGCG-LLVKDONJSA-N 0 2 315.414 0.450 20 0 DCADLN CC(=O)NCC[N@@H+](CC(=O)NCCC(C)(C)C)[C@H](C)C(=O)[O-] ZINC000263351666 278109862 /nfs/dbraw/zinc/10/98/62/278109862.db2.gz PYHKFQZZANLGCG-LLVKDONJSA-N 0 2 315.414 0.450 20 0 DCADLN O=C(CN1CCCC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000552281230 288270939 /nfs/dbraw/zinc/27/09/39/288270939.db2.gz MQEXBOZSCFCZON-UHFFFAOYSA-N 0 2 301.306 0.738 20 0 DCADLN CC1(C)C[C@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000155736273 281355714 /nfs/dbraw/zinc/35/57/14/281355714.db2.gz IUWWDEHTYXFVQE-SECBINFHSA-N 0 2 318.333 0.299 20 0 DCADLN C[C@]1(C(N)=O)CCN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000564696954 288759779 /nfs/dbraw/zinc/75/97/79/288759779.db2.gz LOTUPBJJOSYILF-HNNXBMFYSA-N 0 2 316.317 0.367 20 0 DCADLN CN(C)S(=O)(=O)[C@@H]1CCCN(c2cccc(C(=O)[O-])[nH+]2)C1 ZINC000263408510 289265019 /nfs/dbraw/zinc/26/50/19/289265019.db2.gz LKJJZELOISVJSE-SNVBAGLBSA-N 0 2 313.379 0.640 20 0 DCADLN C[C@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@@]2(CCOC2)O1 ZINC000369615149 300284841 /nfs/dbraw/zinc/28/48/41/300284841.db2.gz JRPRLWAONNPRII-DTWKUNHWSA-N 0 2 318.317 0.263 20 0 DCADLN Cc1cc(C(=O)NC2CCC(O)CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000338121978 301131247 /nfs/dbraw/zinc/13/12/47/301131247.db2.gz WIPHLRDPKIFPHP-UHFFFAOYSA-N 0 2 318.333 0.778 20 0 DCADLN CCC[C@]1(C(=O)OCC)CCC[N@@H+]1CC(=O)N(C)CC(=O)[O-] ZINC001573734492 1159230993 /nfs/dbraw/zinc/23/09/93/1159230993.db2.gz WPRILJIIPNXPPR-OAHLLOKOSA-N 0 2 314.382 0.727 20 0 DCADLN CCC[C@]1(C(=O)OCC)CCC[N@H+]1CC(=O)N(C)CC(=O)[O-] ZINC001573734492 1159230998 /nfs/dbraw/zinc/23/09/98/1159230998.db2.gz WPRILJIIPNXPPR-OAHLLOKOSA-N 0 2 314.382 0.727 20 0 DCADLN CC(C)(C)c1cc(NCC[NH+]2CCOCC2)nc(C(=O)[O-])n1 ZINC000582303767 336904329 /nfs/dbraw/zinc/90/43/29/336904329.db2.gz MPXZSEAPZZKEGH-UHFFFAOYSA-N 0 2 308.382 0.638 20 0 DCADLN COCC[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000582966616 337223440 /nfs/dbraw/zinc/22/34/40/337223440.db2.gz OZOGSQMBSKFGDE-ZIAGYGMSSA-N 0 2 306.410 0.673 20 0 DCADLN C[N@H+]1CCN(C(=O)NC[C@@H]2CCOc3ccccc32)[C@H](C[NH3+])C1 ZINC000583311479 337313666 /nfs/dbraw/zinc/31/36/66/337313666.db2.gz IIYMOFVYFUUYPO-UONOGXRCSA-N 0 2 318.421 0.837 20 0 DCADLN CC(=O)N1CCCC[C@@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000583324050 337316002 /nfs/dbraw/zinc/31/60/02/337316002.db2.gz LHMURPWTUMANOL-VXGBXAGGSA-N 0 2 321.381 0.617 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc3n[nH]cc3c(C)c2)c1O ZINC000348398500 341950960 /nfs/dbraw/zinc/95/09/60/341950960.db2.gz SIQRPPHWHPMTEW-LLVKDONJSA-N 0 2 302.290 0.859 20 0 DCADLN CCC(CC)N(CCOC)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000188216158 521554492 /nfs/dbraw/zinc/55/44/92/521554492.db2.gz KDEVPOGIKVPACE-UHFFFAOYSA-N 0 2 302.400 0.854 20 0 DCADLN CO[C@@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000188252052 523514527 /nfs/dbraw/zinc/51/45/27/523514527.db2.gz RRPGPDJIISPXCW-JTQLQIEISA-N 0 2 308.363 0.694 20 0 DCADLN C[C@H](O)C[C@H]1COCC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000157423667 525826903 /nfs/dbraw/zinc/82/69/03/525826903.db2.gz MVJLBMIZBCSACB-ZFWWWQNUSA-N 0 2 308.378 0.706 20 0 DCADLN C[C@H](O)C[C@H]1COCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000157423667 525826913 /nfs/dbraw/zinc/82/69/13/525826913.db2.gz MVJLBMIZBCSACB-ZFWWWQNUSA-N 0 2 308.378 0.706 20 0 DCADLN CCOC[C@@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000330988015 528919770 /nfs/dbraw/zinc/91/97/70/528919770.db2.gz GOGPVPUTYVFLIX-SECBINFHSA-N 0 2 300.384 0.878 20 0 DCADLN COc1cc(C)cc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC000427288379 536640463 /nfs/dbraw/zinc/64/04/63/536640463.db2.gz LFOUEFSUBVZCJH-UHFFFAOYSA-N 0 2 319.321 0.391 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)[C@H]2CCN(C)C2=O)c1 ZINC000424682154 536916543 /nfs/dbraw/zinc/91/65/43/536916543.db2.gz ORJGIZQKKKAZLE-XMHCIUCPSA-N 0 2 324.402 0.492 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N(C)Cc1ccccc1C ZINC000315070034 546026368 /nfs/dbraw/zinc/02/63/68/546026368.db2.gz GYHPOIHTKXOPIW-UHFFFAOYSA-N 0 2 308.378 0.986 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N(C)Cc1ccccc1C ZINC000315070034 546026373 /nfs/dbraw/zinc/02/63/73/546026373.db2.gz GYHPOIHTKXOPIW-UHFFFAOYSA-N 0 2 308.378 0.986 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CC(=O)N2CCC[C@H]3CCCC[C@@H]32)CCO1 ZINC000315412810 546026440 /nfs/dbraw/zinc/02/64/40/546026440.db2.gz UMHWVRLLCIOEEF-RDBSUJKOSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CC(=O)N2CCC[C@H]3CCCC[C@@H]32)CCO1 ZINC000315412810 546026442 /nfs/dbraw/zinc/02/64/42/546026442.db2.gz UMHWVRLLCIOEEF-RDBSUJKOSA-N 0 2 310.394 0.953 20 0 DCADLN Cc1cc(C(=O)[O-])ccc1S(=O)(=O)NCc1c[nH+]cn1C ZINC000357152111 546187115 /nfs/dbraw/zinc/18/71/15/546187115.db2.gz RFBIAMQYYBSRQW-UHFFFAOYSA-N 0 2 309.347 0.905 20 0 DCADLN COC(=O)CC[C@H](NC(=O)c1cccc2[nH]nnc21)C(=O)OC ZINC000526066679 546283989 /nfs/dbraw/zinc/28/39/89/546283989.db2.gz UBSIWZSXPFORTD-JTQLQIEISA-N 0 2 320.305 0.183 20 0 DCADLN CCCCN(CC(N)=O)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666357741 546457316 /nfs/dbraw/zinc/45/73/16/546457316.db2.gz OWUACKGNJMVFTM-UHFFFAOYSA-N 0 2 318.333 0.758 20 0 DCADLN NS(=O)(=O)[C@@H]1CCN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC000676205817 547742664 /nfs/dbraw/zinc/74/26/64/547742664.db2.gz KLYXHPWSWBSXSI-SSDOTTSWSA-N 0 2 306.290 0.173 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N(C)Cc1ccccc1OC ZINC000676230160 547747038 /nfs/dbraw/zinc/74/70/38/547747038.db2.gz ONUICZGCSXYYQM-UHFFFAOYSA-N 0 2 324.377 0.687 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N(C)Cc1ccccc1OC ZINC000676230160 547747040 /nfs/dbraw/zinc/74/70/40/547747040.db2.gz ONUICZGCSXYYQM-UHFFFAOYSA-N 0 2 324.377 0.687 20 0 DCADLN C[C@H](C(=O)N(C)Cc1ccccc1)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676230994 547747185 /nfs/dbraw/zinc/74/71/85/547747185.db2.gz AWDWBBRPDQXAHZ-TZMCWYRMSA-N 0 2 306.362 0.819 20 0 DCADLN C[C@H](C(=O)N(C)Cc1ccccc1)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676230994 547747191 /nfs/dbraw/zinc/74/71/91/547747191.db2.gz AWDWBBRPDQXAHZ-TZMCWYRMSA-N 0 2 306.362 0.819 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N1CCO[C@@H]2CCCC[C@H]21 ZINC000676230461 547747199 /nfs/dbraw/zinc/74/71/99/547747199.db2.gz JAPUIIVDMFPJAI-CHWSQXEVSA-N 0 2 314.382 0.189 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N1CCO[C@@H]2CCCC[C@H]21 ZINC000676230461 547747203 /nfs/dbraw/zinc/74/72/03/547747203.db2.gz JAPUIIVDMFPJAI-CHWSQXEVSA-N 0 2 314.382 0.189 20 0 DCADLN Cc1ccccc1CCNC(=O)[C@@H](C)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231231 547747273 /nfs/dbraw/zinc/74/72/73/547747273.db2.gz JQTKACNPWBDRLS-HIFRSBDPSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1ccccc1CCNC(=O)[C@@H](C)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231231 547747275 /nfs/dbraw/zinc/74/72/75/547747275.db2.gz JQTKACNPWBDRLS-HIFRSBDPSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1[nH]nc(C(=O)Nc2cnn(CC(=O)NC3CC3)c2)c1O ZINC000676631881 547797205 /nfs/dbraw/zinc/79/72/05/547797205.db2.gz IRGAOXMNOKCQAQ-UHFFFAOYSA-N 0 2 304.310 0.151 20 0 DCADLN NC(=O)c1cc(NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)n[nH]1 ZINC000677491701 547882115 /nfs/dbraw/zinc/88/21/15/547882115.db2.gz VBLDBKMWQNCWEJ-UHFFFAOYSA-N 0 2 314.261 0.104 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cc(F)ccc1Cl ZINC000678791891 548005915 /nfs/dbraw/zinc/00/59/15/548005915.db2.gz JKHVOHFXSMWFHO-UHFFFAOYSA-N 0 2 313.676 0.558 20 0 DCADLN CC(C)(CNC(=O)c1cccc2[nH]nnc21)NS(C)(=O)=O ZINC000679652284 548081084 /nfs/dbraw/zinc/08/10/84/548081084.db2.gz SJXSKAXCCCVYMC-UHFFFAOYSA-N 0 2 311.367 0.016 20 0 DCADLN Cc1[nH]ncc1CNC(=O)CNC(=O)Cc1ccc(O)cc1 ZINC000680928164 548184772 /nfs/dbraw/zinc/18/47/72/548184772.db2.gz YTXBLDOKUGLKAQ-UHFFFAOYSA-N 0 2 302.334 0.399 20 0 DCADLN O=C(NCc1nnnn1CC1CC1)c1c(O)cc(F)cc1F ZINC000681201952 548216344 /nfs/dbraw/zinc/21/63/44/548216344.db2.gz MDYYOVDUBYTLHX-UHFFFAOYSA-N 0 2 309.276 0.997 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccc(-n2cncn2)cn1 ZINC000682901928 548437140 /nfs/dbraw/zinc/43/71/40/548437140.db2.gz PIAFOMMLMFSBCJ-UHFFFAOYSA-N 0 2 319.350 0.680 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN3C(=O)N(CC(C)C)C[C@H]3C2)c1O ZINC000683877992 548529656 /nfs/dbraw/zinc/52/96/56/548529656.db2.gz ZLRONQQAMPSHGH-LLVKDONJSA-N 0 2 321.381 0.642 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1F ZINC000737629423 598909904 /nfs/dbraw/zinc/90/99/04/598909904.db2.gz USIFZHXEUGNIGR-UHFFFAOYSA-N 0 2 323.313 0.540 20 0 DCADLN CCc1nn(CC(=O)C2CC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705602 598641758 /nfs/dbraw/zinc/64/17/58/598641758.db2.gz LGEUHUNQABWNCA-UHFFFAOYSA-N 0 2 302.338 0.527 20 0 DCADLN CCc1nn(Cc2ccno2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736707759 598644024 /nfs/dbraw/zinc/64/40/24/598644024.db2.gz STGSRXSYKLBXEK-UHFFFAOYSA-N 0 2 301.310 0.585 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(OCC(=O)[O-])c2)C1 ZINC000737530320 599741257 /nfs/dbraw/zinc/74/12/57/599741257.db2.gz TWEOWXCARAERGI-ZDUSSCGKSA-N 0 2 308.334 0.210 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)c2cccc(OCC(=O)[O-])c2)C1 ZINC000737530320 599741258 /nfs/dbraw/zinc/74/12/58/599741258.db2.gz TWEOWXCARAERGI-ZDUSSCGKSA-N 0 2 308.334 0.210 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2ccc(OCC(=O)[O-])cc2)C1 ZINC000320853393 599833196 /nfs/dbraw/zinc/83/31/96/599833196.db2.gz XFZUIYQVRZHGTE-CYBMUJFWSA-N 0 2 323.349 0.602 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2ccc(OCC(=O)[O-])cc2)C1 ZINC000320853393 599833198 /nfs/dbraw/zinc/83/31/98/599833198.db2.gz XFZUIYQVRZHGTE-CYBMUJFWSA-N 0 2 323.349 0.602 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CCO[C@H](Cn3cncn3)C2)cc1 ZINC000740125928 599919967 /nfs/dbraw/zinc/91/99/67/599919967.db2.gz WNMQDVQERKFNNO-AWEZNQCLSA-N 0 2 302.334 0.877 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CCO[C@H](Cn3cncn3)C2)cc1 ZINC000740125928 599919969 /nfs/dbraw/zinc/91/99/69/599919969.db2.gz WNMQDVQERKFNNO-AWEZNQCLSA-N 0 2 302.334 0.877 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+]1CCO[C@@H](c2nccs2)C1 ZINC000737401121 600296669 /nfs/dbraw/zinc/29/66/69/600296669.db2.gz ITOJTICGKKROQJ-SNVBAGLBSA-N 0 2 313.379 0.450 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+]1CCO[C@@H](c2nccs2)C1 ZINC000737401121 600296673 /nfs/dbraw/zinc/29/66/73/600296673.db2.gz ITOJTICGKKROQJ-SNVBAGLBSA-N 0 2 313.379 0.450 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC000737552125 600642992 /nfs/dbraw/zinc/64/29/92/600642992.db2.gz HQNFQSZZEABNFK-NSHDSACASA-N 0 2 302.352 0.491 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC000737552125 600642993 /nfs/dbraw/zinc/64/29/93/600642993.db2.gz HQNFQSZZEABNFK-NSHDSACASA-N 0 2 302.352 0.491 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)N(C)CC(=O)[O-])C[C@@H](C(F)(F)F)O1 ZINC000828438510 600800365 /nfs/dbraw/zinc/80/03/65/600800365.db2.gz DSCFILIVLCZYNY-BDAKNGLRSA-N 0 2 312.288 0.571 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)N(C)CC(=O)[O-])C[C@@H](C(F)(F)F)O1 ZINC000828438510 600800367 /nfs/dbraw/zinc/80/03/67/600800367.db2.gz DSCFILIVLCZYNY-BDAKNGLRSA-N 0 2 312.288 0.571 20 0 DCADLN C/C(=C/C[N@@H+]1CCO[C@@H](CN(C)c2cccnn2)C1)C(=O)[O-] ZINC000825747668 601177704 /nfs/dbraw/zinc/17/77/04/601177704.db2.gz WPBJTWXXFCIPJI-XLVZXTRVSA-N 0 2 306.366 0.645 20 0 DCADLN C/C(=C/C[N@H+]1CCO[C@@H](CN(C)c2cccnn2)C1)C(=O)[O-] ZINC000825747668 601177705 /nfs/dbraw/zinc/17/77/05/601177705.db2.gz WPBJTWXXFCIPJI-XLVZXTRVSA-N 0 2 306.366 0.645 20 0 DCADLN O=C([O-])c1cn(CC(=O)N2CCC(n3cc[nH+]c3)CC2)nn1 ZINC000833339718 601280281 /nfs/dbraw/zinc/28/02/81/601280281.db2.gz JMGTVEFUSRUNSW-UHFFFAOYSA-N 0 2 304.310 0.037 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)c2ccnc(C(=O)[O-])c2)CCO1 ZINC000828074830 601282397 /nfs/dbraw/zinc/28/23/97/601282397.db2.gz STAZLDWOZYCRPM-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)c2ccnc(C(=O)[O-])c2)CCO1 ZINC000828074830 601282398 /nfs/dbraw/zinc/28/23/98/601282398.db2.gz STAZLDWOZYCRPM-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN COc1ccccc1CCNC(=O)C[N@@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000832613817 601436906 /nfs/dbraw/zinc/43/69/06/601436906.db2.gz QWDFQENQVZWXEP-MRXNPFEDSA-N 0 2 324.352 0.853 20 0 DCADLN COc1ccccc1CCNC(=O)C[N@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000832613817 601436907 /nfs/dbraw/zinc/43/69/07/601436907.db2.gz QWDFQENQVZWXEP-MRXNPFEDSA-N 0 2 324.352 0.853 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@H+](CC(=O)[O-])C1CC1 ZINC000828252815 601462065 /nfs/dbraw/zinc/46/20/65/601462065.db2.gz YFQMQEGBVVSYIP-PWSUYJOCSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@@H+](CC(=O)[O-])C1CC1 ZINC000828252815 601462067 /nfs/dbraw/zinc/46/20/67/601462067.db2.gz YFQMQEGBVVSYIP-PWSUYJOCSA-N 0 2 311.382 0.940 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NC(=O)NCc1cccs1)C1CC1 ZINC000833247217 601462988 /nfs/dbraw/zinc/46/29/88/601462988.db2.gz DWNGUHJHKPYELK-UHFFFAOYSA-N 0 2 311.363 0.623 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NC(=O)NCc1cccs1)C1CC1 ZINC000833247217 601462990 /nfs/dbraw/zinc/46/29/90/601462990.db2.gz DWNGUHJHKPYELK-UHFFFAOYSA-N 0 2 311.363 0.623 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)C[C@@H]1C(=O)[O-] ZINC000828363016 601667952 /nfs/dbraw/zinc/66/79/52/601667952.db2.gz TVZYXRJBOFLAON-RYUDHWBXSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C[C@@H]1C(=O)[O-] ZINC000828363016 601667954 /nfs/dbraw/zinc/66/79/54/601667954.db2.gz TVZYXRJBOFLAON-RYUDHWBXSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NCC(C)(C)C(=O)[O-])[NH+]1CCOCC1 ZINC000827658744 601673910 /nfs/dbraw/zinc/67/39/10/601673910.db2.gz IVIWMUGLXVAGLJ-WDEREUQCSA-N 0 2 301.387 0.506 20 0 DCADLN CN(C)C(=O)CN1CC[NH+](Cc2ccsc2C(=O)[O-])CC1 ZINC000830552002 601804516 /nfs/dbraw/zinc/80/45/16/601804516.db2.gz SGWPESYUHMWKNC-UHFFFAOYSA-N 0 2 311.407 0.652 20 0 DCADLN CCNC(=O)CN1CC[NH+](Cc2ccc(C(=O)[O-])s2)CC1 ZINC000829790066 601940751 /nfs/dbraw/zinc/94/07/51/601940751.db2.gz DGDDFGALIQYMQC-UHFFFAOYSA-N 0 2 311.407 0.700 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000736415181 602476070 /nfs/dbraw/zinc/47/60/70/602476070.db2.gz IKHHTWYFKMKSBC-VXGBXAGGSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000736415181 602476072 /nfs/dbraw/zinc/47/60/72/602476072.db2.gz IKHHTWYFKMKSBC-VXGBXAGGSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1CCCN1C(=O)[O-])[NH+]1CCN(C)CC1 ZINC000824922125 602927295 /nfs/dbraw/zinc/92/72/95/602927295.db2.gz LZRJLCJQGGUBPX-STQMWFEESA-N 0 2 312.414 0.271 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000736584646 603249490 /nfs/dbraw/zinc/24/94/90/603249490.db2.gz FKDFVEGELWQAJK-RYUDHWBXSA-N 0 2 314.386 0.149 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2Cc3ccccc3O2)[C@H](CNC(=O)[O-])C1 ZINC000828496178 603513665 /nfs/dbraw/zinc/51/36/65/603513665.db2.gz UZHYFISAGCMNGK-TZMCWYRMSA-N 0 2 319.361 0.400 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2Cc3ccccc3O2)[C@H](CNC(=O)[O-])C1 ZINC000828496178 603513667 /nfs/dbraw/zinc/51/36/67/603513667.db2.gz UZHYFISAGCMNGK-TZMCWYRMSA-N 0 2 319.361 0.400 20 0 DCADLN COc1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000829276838 603514656 /nfs/dbraw/zinc/51/46/56/603514656.db2.gz KKQMGEZWRHOIAF-LBPRGKRZSA-N 0 2 307.350 0.719 20 0 DCADLN COc1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000829276838 603514658 /nfs/dbraw/zinc/51/46/58/603514658.db2.gz KKQMGEZWRHOIAF-LBPRGKRZSA-N 0 2 307.350 0.719 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000831274515 603743366 /nfs/dbraw/zinc/74/33/66/603743366.db2.gz XDBVCWXCXYIFJU-AXFHLTTASA-N 0 2 308.338 0.229 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)CCc1ccoc1 ZINC000828313063 603891284 /nfs/dbraw/zinc/89/12/84/603891284.db2.gz UXTRWGJUKNHUBQ-UHFFFAOYSA-N 0 2 309.366 0.966 20 0 DCADLN C[C@]1(C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)CCN(C(=O)[O-])C1 ZINC000825651352 603968563 /nfs/dbraw/zinc/96/85/63/603968563.db2.gz XSOUMPJFHLFKJR-DOMZBBRYSA-N 0 2 311.382 0.310 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1CCC[N@H+](Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC000825262931 604258922 /nfs/dbraw/zinc/25/89/22/604258922.db2.gz DCZWAYXLKWLXOD-GHMZBOCLSA-N 0 2 319.365 0.891 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1CCC[N@@H+](Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC000825262931 604258923 /nfs/dbraw/zinc/25/89/23/604258923.db2.gz DCZWAYXLKWLXOD-GHMZBOCLSA-N 0 2 319.365 0.891 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1cncc(C(=O)[O-])c1 ZINC000827461079 604322729 /nfs/dbraw/zinc/32/27/29/604322729.db2.gz LWEAEDODPFJTLI-LLVKDONJSA-N 0 2 321.381 0.537 20 0 DCADLN COCCN(CC(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000828971388 604327174 /nfs/dbraw/zinc/32/71/74/604327174.db2.gz XNSGKUMQVKNJFY-CHWSQXEVSA-N 0 2 324.381 0.919 20 0 DCADLN CCCn1c(CO)nn(C[N@@H+]2C[C@@H](C)[C@H](C(=O)[O-])C2)c1=S ZINC000829580277 604398564 /nfs/dbraw/zinc/39/85/64/604398564.db2.gz UQBRKXQONVMLDB-NXEZZACHSA-N 0 2 314.411 0.926 20 0 DCADLN CCCn1c(CO)nn(C[N@H+]2C[C@@H](C)[C@H](C(=O)[O-])C2)c1=S ZINC000829580277 604398566 /nfs/dbraw/zinc/39/85/66/604398566.db2.gz UQBRKXQONVMLDB-NXEZZACHSA-N 0 2 314.411 0.926 20 0 DCADLN CC(C)n1ccc(CC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000824520390 604402589 /nfs/dbraw/zinc/40/25/89/604402589.db2.gz SGFCCCXMOQUZLL-ZDUSSCGKSA-N 0 2 323.397 0.417 20 0 DCADLN CC(C)n1ccc(CC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000824520390 604402591 /nfs/dbraw/zinc/40/25/91/604402591.db2.gz SGFCCCXMOQUZLL-ZDUSSCGKSA-N 0 2 323.397 0.417 20 0 DCADLN C[C@H](CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])c1ccncc1 ZINC000824591553 604405433 /nfs/dbraw/zinc/40/54/33/604405433.db2.gz PJEGVBQKVOGASU-TZMCWYRMSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])c1ccncc1 ZINC000824591553 604405436 /nfs/dbraw/zinc/40/54/36/604405436.db2.gz PJEGVBQKVOGASU-TZMCWYRMSA-N 0 2 320.393 0.985 20 0 DCADLN C[N@@H+]1CCN(C(=O)CC2CCSCC2)[C@H](CNC(=O)[O-])C1 ZINC000828496433 604410539 /nfs/dbraw/zinc/41/05/39/604410539.db2.gz VYKOFVUMOQIFKC-GFCCVEGCSA-N 0 2 315.439 0.930 20 0 DCADLN C[N@H+]1CCN(C(=O)CC2CCSCC2)[C@H](CNC(=O)[O-])C1 ZINC000828496433 604410540 /nfs/dbraw/zinc/41/05/40/604410540.db2.gz VYKOFVUMOQIFKC-GFCCVEGCSA-N 0 2 315.439 0.930 20 0 DCADLN O=C([O-])NC1(C(=O)NC2CCN(c3cccc[nH+]3)CC2)CC1 ZINC000832163120 604429075 /nfs/dbraw/zinc/42/90/75/604429075.db2.gz UQRKWRCDSMXKOP-UHFFFAOYSA-N 0 2 304.350 0.967 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@H+]2C[C@H](O)C[C@H](O)C2)c1 ZINC000832049044 604481180 /nfs/dbraw/zinc/48/11/80/604481180.db2.gz DQOXKQBTJYANGV-WDMOLILDSA-N 0 2 311.334 0.257 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@@H+]2C[C@H](O)C[C@H](O)C2)c1 ZINC000832049044 604481182 /nfs/dbraw/zinc/48/11/82/604481182.db2.gz DQOXKQBTJYANGV-WDMOLILDSA-N 0 2 311.334 0.257 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)NCCSCC(=O)[O-] ZINC000830777121 604686977 /nfs/dbraw/zinc/68/69/77/604686977.db2.gz PSPDDRZJAQKUTH-UHFFFAOYSA-N 0 2 300.384 0.732 20 0 DCADLN CCc1[nH]c(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)c(C)c1C(=O)[O-] ZINC000833666841 604888673 /nfs/dbraw/zinc/88/86/73/604888673.db2.gz AVRUNHNOYGRZAI-LLVKDONJSA-N 0 2 320.393 0.313 20 0 DCADLN CC(C)(C)CC(=O)NCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833422260 604896160 /nfs/dbraw/zinc/89/61/60/604896160.db2.gz DOVJFCWQAFDGKQ-JTQLQIEISA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)(C)CC(=O)NCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833422260 604896161 /nfs/dbraw/zinc/89/61/61/604896161.db2.gz DOVJFCWQAFDGKQ-JTQLQIEISA-N 0 2 310.354 0.074 20 0 DCADLN O=C([O-])NC[C@H]1CC[N@H+](CC(=O)Nc2nc(C3CC3)no2)C1 ZINC000832590442 605152618 /nfs/dbraw/zinc/15/26/18/605152618.db2.gz UEOXWWBZMWQTEX-MRVPVSSYSA-N 0 2 309.326 0.475 20 0 DCADLN O=C([O-])NC[C@H]1CC[N@@H+](CC(=O)Nc2nc(C3CC3)no2)C1 ZINC000832590442 605152620 /nfs/dbraw/zinc/15/26/20/605152620.db2.gz UEOXWWBZMWQTEX-MRVPVSSYSA-N 0 2 309.326 0.475 20 0 DCADLN CC(C)C(=O)NC1CC[NH+](CN2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC000833464120 605170706 /nfs/dbraw/zinc/17/07/06/605170706.db2.gz ZKLKJCWEOUPOAF-NSHDSACASA-N 0 2 311.382 0.114 20 0 DCADLN O=C([O-])c1cccc(CS(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1 ZINC000833783506 605216353 /nfs/dbraw/zinc/21/63/53/605216353.db2.gz MBEDKWXJONANOA-UHFFFAOYSA-N 0 2 321.358 0.968 20 0 DCADLN O=C(CO[C@@H]1CCCN(C(=O)[O-])C1)NCCCCn1cc[nH+]c1 ZINC000831512788 605272714 /nfs/dbraw/zinc/27/27/14/605272714.db2.gz ILHPAUJGXHUDBK-CYBMUJFWSA-N 0 2 324.381 0.939 20 0 DCADLN O=C([O-])N1CCC[C@@H](OCC(=O)N2CC(n3cc[nH+]c3)C2)C1 ZINC000831986210 605309974 /nfs/dbraw/zinc/30/99/74/605309974.db2.gz PJNANGRWDVFRFY-GFCCVEGCSA-N 0 2 308.338 0.425 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000825815328 605524632 /nfs/dbraw/zinc/52/46/32/605524632.db2.gz BVWUCHLUVQZBLD-GRYCIOLGSA-N 0 2 314.386 0.147 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000825815328 605524633 /nfs/dbraw/zinc/52/46/33/605524633.db2.gz BVWUCHLUVQZBLD-GRYCIOLGSA-N 0 2 314.386 0.147 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)CN(C)C(=O)[O-])C2)o1 ZINC000830623965 605530550 /nfs/dbraw/zinc/53/05/50/605530550.db2.gz DPRULPLMJYCGPM-LLVKDONJSA-N 0 2 310.354 0.626 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)CN(C)C(=O)[O-])C2)o1 ZINC000830623965 605530552 /nfs/dbraw/zinc/53/05/52/605530552.db2.gz DPRULPLMJYCGPM-LLVKDONJSA-N 0 2 310.354 0.626 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NC[C@@H](C)[NH+]1CCOCC1 ZINC000824291943 605625132 /nfs/dbraw/zinc/62/51/32/605625132.db2.gz JREOWHYPEXXPSP-NEPJUHHUSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)[C@@H](NC(=O)[O-])C(C)(C)C ZINC000826094327 605700432 /nfs/dbraw/zinc/70/04/32/605700432.db2.gz WOBJNLXZWYIEQE-WDEREUQCSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)[C@@H](NC(=O)[O-])C(C)(C)C ZINC000826094327 605700435 /nfs/dbraw/zinc/70/04/35/605700435.db2.gz WOBJNLXZWYIEQE-WDEREUQCSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@H](CNC(=O)CO[C@@H]1CCCN(C(=O)[O-])C1)Cn1cc[nH+]c1 ZINC000824922366 605734968 /nfs/dbraw/zinc/73/49/68/605734968.db2.gz OBPNNILJTLMBSZ-CHWSQXEVSA-N 0 2 324.381 0.794 20 0 DCADLN O=C([O-])NCC[NH+]1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000834239125 605760639 /nfs/dbraw/zinc/76/06/39/605760639.db2.gz DHNTWDULEZQJBP-UHFFFAOYSA-N 0 2 312.414 0.321 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N2CCC(n3cc[nH+]c3)CC2)C1 ZINC000834067689 605773665 /nfs/dbraw/zinc/77/36/65/605773665.db2.gz NMAQRDXBNFLOSM-UHFFFAOYSA-N 0 2 307.354 0.839 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)NCC2(C3CC3)CC2)CC1 ZINC000834042751 605924102 /nfs/dbraw/zinc/92/41/02/605924102.db2.gz YXNILBJGNUATQJ-UHFFFAOYSA-N 0 2 310.398 0.771 20 0 DCADLN COCCN(CC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)C(=O)[O-] ZINC000833884925 605974576 /nfs/dbraw/zinc/97/45/76/605974576.db2.gz XVVJBMHWKBDZIE-LBPRGKRZSA-N 0 2 322.365 0.403 20 0 DCADLN CCOC(=O)c1cnn(C[N@@H+]2CC[C@@H](NC(=O)[O-])[C@H](C)C2)c1 ZINC000833861644 606036037 /nfs/dbraw/zinc/03/60/37/606036037.db2.gz STPKFWCYNLDXFH-ZYHUDNBSSA-N 0 2 310.354 0.995 20 0 DCADLN CCOC(=O)c1cnn(C[N@H+]2CC[C@@H](NC(=O)[O-])[C@H](C)C2)c1 ZINC000833861644 606036042 /nfs/dbraw/zinc/03/60/42/606036042.db2.gz STPKFWCYNLDXFH-ZYHUDNBSSA-N 0 2 310.354 0.995 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)N[C@H](CNC(=O)[O-])C2CC2)C1 ZINC000833956545 606076561 /nfs/dbraw/zinc/07/65/61/606076561.db2.gz ZSLXHHKHZHNKGA-NWDGAFQWSA-N 0 2 321.381 0.965 20 0 DCADLN Cn1c(NC2C(C)(C)C2(C)C)c(-c2nn[nH]n2)c(=O)n(C)c1=O ZINC000822600707 606424831 /nfs/dbraw/zinc/42/48/31/606424831.db2.gz QCPGFOCCRZNIPL-UHFFFAOYSA-N 0 2 319.369 0.111 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCCOC(C)(C)C)c1=O ZINC000821565495 606793095 /nfs/dbraw/zinc/79/30/95/606793095.db2.gz VKNGAJKUJXDBEL-UHFFFAOYSA-N 0 2 322.369 0.415 20 0 DCADLN Cn1cc(-c2nn[nH]n2)cc1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000822637821 607011608 /nfs/dbraw/zinc/01/16/08/607011608.db2.gz TXSJHWFZFKSXMY-SNVBAGLBSA-N 0 2 312.337 0.736 20 0 DCADLN Cc1cc(C[C@@H](C)NC(=O)c2ccc(-c3nn[nH]n3)nc2)n[nH]1 ZINC000822200231 607167276 /nfs/dbraw/zinc/16/72/76/607167276.db2.gz ZIAMABYVAWNILT-MRVPVSSYSA-N 0 2 312.337 0.654 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CCCCC(F)(F)F)c1=O ZINC000826356530 608096364 /nfs/dbraw/zinc/09/63/64/608096364.db2.gz VEZQOTLOZYGNBH-UHFFFAOYSA-N 0 2 318.259 0.460 20 0 DCADLN CCc1nc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)no1 ZINC000825750096 609342707 /nfs/dbraw/zinc/34/27/07/609342707.db2.gz MFCHSIBJPIUUCC-UHFFFAOYSA-N 0 2 321.322 0.613 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOC1 ZINC001027786225 660730337 /nfs/dbraw/zinc/73/03/37/660730337.db2.gz ZAYMXRNIEBLWCX-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOC1 ZINC001027786225 660730340 /nfs/dbraw/zinc/73/03/40/660730340.db2.gz ZAYMXRNIEBLWCX-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN Cc1nnsc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980688334 660985589 /nfs/dbraw/zinc/98/55/89/660985589.db2.gz BGUZCNPJXKAWPV-UHFFFAOYSA-N 0 2 323.382 0.018 20 0 DCADLN O=C(CC1CCOCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980873181 661033680 /nfs/dbraw/zinc/03/36/80/661033680.db2.gz LRTOYUZIOSEULX-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN O=C([C@@H]1CCCOCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981066048 661072999 /nfs/dbraw/zinc/07/29/99/661072999.db2.gz UGPVTMHKUAWFIP-GFCCVEGCSA-N 0 2 323.397 0.361 20 0 DCADLN Cc1ncc(CC(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000981320249 661132060 /nfs/dbraw/zinc/13/20/60/661132060.db2.gz VRKLRAWXQPXGLE-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN C[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@H]1CCCO1 ZINC000981914086 661246501 /nfs/dbraw/zinc/24/65/01/661246501.db2.gz YWVXYJYTVHOAHU-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN COc1csc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038588829 665411161 /nfs/dbraw/zinc/41/11/61/665411161.db2.gz KGEOJMHNELEEQE-MRVPVSSYSA-N 0 2 323.378 0.585 20 0 DCADLN O=C(NCCn1cc[nH+]c1)N1CC[C@H]2[C@@H]1CCC[N@H+]2CCO ZINC000891558293 657482791 /nfs/dbraw/zinc/48/27/91/657482791.db2.gz FDLLZAOMVPRNPQ-KBPBESRZSA-N 0 2 307.398 0.124 20 0 DCADLN C[C@@H](N=c1nn[n-]n1Cc1ccccc1)[C@@H](C)[NH+]1CCOCC1 ZINC000892342360 657566596 /nfs/dbraw/zinc/56/65/96/657566596.db2.gz MIEQOGDWMCTGHK-ZIAGYGMSSA-N 0 2 316.409 0.664 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC000969271839 657646863 /nfs/dbraw/zinc/64/68/63/657646863.db2.gz CHTOJARLRAJRRH-QMMMGPOBSA-N 0 2 306.326 0.057 20 0 DCADLN CCOC1CC(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001038224261 657736419 /nfs/dbraw/zinc/73/64/19/657736419.db2.gz ZWOCZAXYQDGFQW-YVNMAJEFSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969594842 658012635 /nfs/dbraw/zinc/01/26/35/658012635.db2.gz NZIPCVYCPHBVJX-SECBINFHSA-N 0 2 304.354 0.397 20 0 DCADLN O=C(c1ccon1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010506658 658023667 /nfs/dbraw/zinc/02/36/67/658023667.db2.gz RPUHBAFMECVOTG-QMMMGPOBSA-N 0 2 309.219 0.859 20 0 DCADLN O=C(c1ccon1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010506658 658023674 /nfs/dbraw/zinc/02/36/74/658023674.db2.gz RPUHBAFMECVOTG-QMMMGPOBSA-N 0 2 309.219 0.859 20 0 DCADLN Cc1nccnc1C[N@H+]1CCC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001023656179 658104814 /nfs/dbraw/zinc/10/48/14/658104814.db2.gz SEFHTCVLLRHUFQ-LBPRGKRZSA-N 0 2 315.381 0.545 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006868257 658118851 /nfs/dbraw/zinc/11/88/51/658118851.db2.gz AQAJWTWDRACAQH-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN CC(C)CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ncn[nH]3)C2)C1 ZINC000972633190 658126928 /nfs/dbraw/zinc/12/69/28/658126928.db2.gz YOWZANREALSMMX-MRXNPFEDSA-N 0 2 321.425 0.697 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@H](O)[C@@H](F)C1 ZINC000890688133 658282354 /nfs/dbraw/zinc/28/23/54/658282354.db2.gz PZSCOYCXIYTMKY-BQBZGAKWSA-N 0 2 300.252 0.375 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccncc1 ZINC000972752114 658383203 /nfs/dbraw/zinc/38/32/03/658383203.db2.gz YPFYARAHMPWAKG-LLVKDONJSA-N 0 2 316.365 0.546 20 0 DCADLN Cn1cccc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000972812750 658443648 /nfs/dbraw/zinc/44/36/48/658443648.db2.gz YQOVLXQBDGHWQQ-NSHDSACASA-N 0 2 318.381 0.489 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccon1 ZINC000972837488 658472568 /nfs/dbraw/zinc/47/25/68/658472568.db2.gz UEHNECAJGUDBCB-SECBINFHSA-N 0 2 306.326 0.139 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=COCCC1 ZINC000972852089 658481854 /nfs/dbraw/zinc/48/18/54/658481854.db2.gz MLYGYAGXPCPUQN-NSHDSACASA-N 0 2 321.381 0.531 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=COCCC1 ZINC000972852089 658481860 /nfs/dbraw/zinc/48/18/60/658481860.db2.gz MLYGYAGXPCPUQN-NSHDSACASA-N 0 2 321.381 0.531 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cncn3C)C2)c1[O-] ZINC000971359033 658530532 /nfs/dbraw/zinc/53/05/32/658530532.db2.gz ADPPWNMGEKUHHQ-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([N@H+](C)Cc3cncn3C)C2)c1[O-] ZINC000971359033 658530538 /nfs/dbraw/zinc/53/05/38/658530538.db2.gz ADPPWNMGEKUHHQ-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN CC(C)(C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCC1 ZINC000949510094 658533312 /nfs/dbraw/zinc/53/33/12/658533312.db2.gz IGIHVXCZCXZSJN-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1nc(C(=O)NC[C@@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001024404305 658602613 /nfs/dbraw/zinc/60/26/13/658602613.db2.gz WDVBKZUYLFQPFK-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOC[C@H]2CC(F)F)S1 ZINC000804723806 658616805 /nfs/dbraw/zinc/61/68/05/658616805.db2.gz FRLMKQRMRCTZNS-RQJHMYQMSA-N 0 2 307.322 0.425 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CC[C@@H]3CO)=N2)cc1 ZINC000889140483 658790480 /nfs/dbraw/zinc/79/04/80/658790480.db2.gz OFFRIABQLXEURI-LLVKDONJSA-N 0 2 303.318 0.793 20 0 DCADLN CN(Cc1ncnn1C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575741 658823750 /nfs/dbraw/zinc/82/37/50/658823750.db2.gz IORCOPBUARMEHM-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1ncnn1C)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000971575741 658823762 /nfs/dbraw/zinc/82/37/62/658823762.db2.gz IORCOPBUARMEHM-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1cnn(C)n1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971577381 658824648 /nfs/dbraw/zinc/82/46/48/658824648.db2.gz YJDGKYMKRWNLCH-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1cnn(C)n1)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000971577381 658824656 /nfs/dbraw/zinc/82/46/56/658824656.db2.gz YJDGKYMKRWNLCH-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN CCN(C(=O)C1CCC(O)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949989681 658976650 /nfs/dbraw/zinc/97/66/50/658976650.db2.gz XHSHWESOJBFPSF-UHFFFAOYSA-N 0 2 323.397 0.094 20 0 DCADLN Cn1nncc1C[NH2+]C[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001025101197 659038067 /nfs/dbraw/zinc/03/80/67/659038067.db2.gz UFCHVZVJGRDZAG-CYBMUJFWSA-N 0 2 317.397 0.252 20 0 DCADLN Cn1ccc(C[NH+](C)[C@H]2CCCN(C(=O)[C@H]3CCC[N@@H+]3C)C2)n1 ZINC001027331785 659266188 /nfs/dbraw/zinc/26/61/88/659266188.db2.gz IVUSMKUJJPCRSM-JKSUJKDBSA-N 0 2 319.453 0.937 20 0 DCADLN Cn1ccc(C[NH+](C)[C@@H]2CCCN(C(=O)[C@H]3CCC[N@@H+]3C)C2)n1 ZINC001027331784 659266465 /nfs/dbraw/zinc/26/64/65/659266465.db2.gz IVUSMKUJJPCRSM-HZPDHXFCSA-N 0 2 319.453 0.937 20 0 DCADLN O=C(C[C@H]1C=CCC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006708675 659335834 /nfs/dbraw/zinc/33/58/34/659335834.db2.gz YNUYBVYHONMXBF-RYUDHWBXSA-N 0 2 305.382 0.947 20 0 DCADLN CC1(C)C[N@H+](CCO)C[C@@H]1NC(=O)Cn1c[nH+]c2c1CCCC2 ZINC000974822309 659682294 /nfs/dbraw/zinc/68/22/94/659682294.db2.gz FGQKEPQEIWMPRO-HNNXBMFYSA-N 0 2 320.437 0.581 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001031869697 665701560 /nfs/dbraw/zinc/70/15/60/665701560.db2.gz YCENNTHPNUQAPB-CLLJXQQHSA-N 0 2 319.409 0.885 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC000940136409 665707582 /nfs/dbraw/zinc/70/75/82/665707582.db2.gz ZSHPLTWGXWQNJQ-NXEZZACHSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC000940136409 665707584 /nfs/dbraw/zinc/70/75/84/665707584.db2.gz ZSHPLTWGXWQNJQ-NXEZZACHSA-N 0 2 324.274 0.951 20 0 DCADLN Cc1nocc1C[N@H+]1CC[C@H](CNC(=O)[C@@H]2C[NH+](C)CCO2)C1 ZINC001028460849 661533503 /nfs/dbraw/zinc/53/35/03/661533503.db2.gz DFRABVWIGODNPV-HIFRSBDPSA-N 0 2 322.409 0.252 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000982849716 661699413 /nfs/dbraw/zinc/69/94/13/661699413.db2.gz SDLMMGOTFWQZKW-YUMQZZPRSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000982849716 661699415 /nfs/dbraw/zinc/69/94/15/661699415.db2.gz SDLMMGOTFWQZKW-YUMQZZPRSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000982851447 661700172 /nfs/dbraw/zinc/70/01/72/661700172.db2.gz ZFXJKIJWOXAPAW-HTRCEHHLSA-N 0 2 324.234 0.548 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC000982851447 661700175 /nfs/dbraw/zinc/70/01/75/661700175.db2.gz ZFXJKIJWOXAPAW-HTRCEHHLSA-N 0 2 324.234 0.548 20 0 DCADLN O=C(C[NH2+][C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1)N1CCCC1 ZINC001000321513 665819003 /nfs/dbraw/zinc/81/90/03/665819003.db2.gz SXBROQULBZHDOQ-CYBMUJFWSA-N 0 2 319.409 0.155 20 0 DCADLN COC(=O)[C@@H]1[C@H](C[N@H+]2CC[C@H](c3n[nH]c(C)n3)C2)C1(F)F ZINC000895010816 662042862 /nfs/dbraw/zinc/04/28/62/662042862.db2.gz AVFKQQVQZNYXOB-GUBZILKMSA-N 0 2 300.309 0.957 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029227038 662057452 /nfs/dbraw/zinc/05/74/52/662057452.db2.gz SAWAXPPMWCJLOZ-WHOHXGKFSA-N 0 2 321.381 0.111 20 0 DCADLN O=C(C[C@H]1CCOC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029250906 662067877 /nfs/dbraw/zinc/06/78/77/662067877.db2.gz PEXNYGMMFYYHFO-UTUOFQBUSA-N 0 2 321.381 0.112 20 0 DCADLN O=C(N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C1(C2CC2)CC1 ZINC001029350714 662114284 /nfs/dbraw/zinc/11/42/84/662114284.db2.gz YKMYHIHWPGXLSK-TXEJJXNPSA-N 0 2 317.393 0.876 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2CC[C@H]3[C@@H]2CCC[N@@H+]3CCO)c1 ZINC000895292404 662162777 /nfs/dbraw/zinc/16/27/77/662162777.db2.gz UQKCWQMMOIDRJX-GJZGRUSLSA-N 0 2 321.425 0.203 20 0 DCADLN Cc1coc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)c1 ZINC001029434102 662179098 /nfs/dbraw/zinc/17/90/98/662179098.db2.gz LCTSZXDHCWIEFF-PHIMTYICSA-N 0 2 317.349 0.901 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1csc(N2CCCCC2)n1 ZINC000895486759 662188649 /nfs/dbraw/zinc/18/86/49/662188649.db2.gz IHARWGIRNCYVGB-UHFFFAOYSA-N 0 2 309.351 0.330 20 0 DCADLN Cc1conc1C[NH2+][C@@H]1CCN(C(=O)CCc2nc[nH]n2)[C@@H]1C ZINC000986129093 662559319 /nfs/dbraw/zinc/55/93/19/662559319.db2.gz XBTYTJYZGURGTH-VXGBXAGGSA-N 0 2 318.381 0.813 20 0 DCADLN CCCC[C@@H](Nc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000263553855 662715753 /nfs/dbraw/zinc/71/57/53/662715753.db2.gz MWFMNOHJZAZXOE-LLVKDONJSA-N 0 2 321.381 0.810 20 0 DCADLN CCCC[C@@H](Nc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000263553855 662715754 /nfs/dbraw/zinc/71/57/54/662715754.db2.gz MWFMNOHJZAZXOE-LLVKDONJSA-N 0 2 321.381 0.810 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000937915383 662843064 /nfs/dbraw/zinc/84/30/64/662843064.db2.gz WGQIXAVOYLVPSP-BQBZGAKWSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000937915383 662843065 /nfs/dbraw/zinc/84/30/65/662843065.db2.gz WGQIXAVOYLVPSP-BQBZGAKWSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(C[C@H]1CCCO1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990318843 663031222 /nfs/dbraw/zinc/03/12/22/663031222.db2.gz PHXLXBUZVYAOBL-SCZZXKLOSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(C[C@H]1CCCO1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990318843 663031223 /nfs/dbraw/zinc/03/12/23/663031223.db2.gz PHXLXBUZVYAOBL-SCZZXKLOSA-N 0 2 312.263 0.783 20 0 DCADLN CC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1n1ccnn1 ZINC000990775437 663139525 /nfs/dbraw/zinc/13/95/25/663139525.db2.gz AJWYANJUJNWGDS-HRDYMLBCSA-N 0 2 323.250 0.067 20 0 DCADLN CC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1n1ccnn1 ZINC000990775437 663139526 /nfs/dbraw/zinc/13/95/26/663139526.db2.gz AJWYANJUJNWGDS-HRDYMLBCSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001000865277 665945121 /nfs/dbraw/zinc/94/51/21/665945121.db2.gz ARIVSRXBGHNUDG-PWSUYJOCSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001000865277 665945123 /nfs/dbraw/zinc/94/51/23/665945123.db2.gz ARIVSRXBGHNUDG-PWSUYJOCSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000900941788 663296144 /nfs/dbraw/zinc/29/61/44/663296144.db2.gz VMXADHNHOKYFAC-SRVKXCTJSA-N 0 2 306.366 0.737 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000900941788 663296145 /nfs/dbraw/zinc/29/61/45/663296145.db2.gz VMXADHNHOKYFAC-SRVKXCTJSA-N 0 2 306.366 0.737 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000901572455 663353041 /nfs/dbraw/zinc/35/30/41/663353041.db2.gz OXEISTUJYANHFR-IUCAKERBSA-N 0 2 321.255 0.689 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@@H]3CC[C@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC000901621439 663356207 /nfs/dbraw/zinc/35/62/07/663356207.db2.gz IRQFCMSGDNLPNC-VXGBXAGGSA-N 0 2 304.350 0.544 20 0 DCADLN CCC(CC)[C@@H](C(=O)NCCOCC(=O)[O-])[NH+]1CCOCC1 ZINC000902294783 663403280 /nfs/dbraw/zinc/40/32/80/663403280.db2.gz ZMOCUOFIAJAXGD-AWEZNQCLSA-N 0 2 316.398 0.341 20 0 DCADLN CO[C@H](C)c1nsc(NS(=O)(=O)c2ccnn2C)n1 ZINC000903122665 663447456 /nfs/dbraw/zinc/44/74/56/663447456.db2.gz CJLYLCIAONUEEQ-ZCFIWIBFSA-N 0 2 303.369 0.780 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cc2ccccc2nn1 ZINC000903163560 663448264 /nfs/dbraw/zinc/44/82/64/663448264.db2.gz DRMRREVSXGXOOU-VIFPVBQESA-N 0 2 308.363 0.848 20 0 DCADLN CCc1nn(C)cc1S(=O)(=O)Nc1cc(C)nnc1N(C)C ZINC000903176586 663448421 /nfs/dbraw/zinc/44/84/21/663448421.db2.gz QPMAKUUMDWQWRB-UHFFFAOYSA-N 0 2 324.410 0.948 20 0 DCADLN CN(C)[S@](C)(=O)=NS(=O)(=O)NC(C)(C)C(C)(F)F ZINC000903643679 663471960 /nfs/dbraw/zinc/47/19/60/663471960.db2.gz YQHOKAOKYRCQSZ-QGZVFWFLSA-N 0 2 307.388 0.829 20 0 DCADLN Cn1cc(N2CC[N@H+](CCC3(C(=O)[O-])CCC3)CC2=O)cn1 ZINC000903695676 663474118 /nfs/dbraw/zinc/47/41/18/663474118.db2.gz GBZRANASOPQLKB-UHFFFAOYSA-N 0 2 306.366 0.714 20 0 DCADLN Cn1cc(N2CC[N@@H+](CCC3(C(=O)[O-])CCC3)CC2=O)cn1 ZINC000903695676 663474121 /nfs/dbraw/zinc/47/41/21/663474121.db2.gz GBZRANASOPQLKB-UHFFFAOYSA-N 0 2 306.366 0.714 20 0 DCADLN CCS(=O)(=O)CC[N@@H+]1CCOc2ccccc2[C@@H]1C(=O)[O-] ZINC000903927195 663495874 /nfs/dbraw/zinc/49/58/74/663495874.db2.gz ILAQCKRLSNTFEQ-CYBMUJFWSA-N 0 2 313.375 0.941 20 0 DCADLN CCS(=O)(=O)CC[N@H+]1CCOc2ccccc2[C@@H]1C(=O)[O-] ZINC000903927195 663495877 /nfs/dbraw/zinc/49/58/77/663495877.db2.gz ILAQCKRLSNTFEQ-CYBMUJFWSA-N 0 2 313.375 0.941 20 0 DCADLN CCc1cc(NS(=O)(=O)N=S2(=O)CCCC2)nn1C ZINC000905104943 663531138 /nfs/dbraw/zinc/53/11/38/663531138.db2.gz PJNWHQCRBKXYKN-UHFFFAOYSA-N 0 2 306.413 0.901 20 0 DCADLN C[C@H](CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001032806243 665991837 /nfs/dbraw/zinc/99/18/37/665991837.db2.gz PTDCKPWQVKUJHT-USWWRNFRSA-N 0 2 305.382 0.732 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000908846537 663771959 /nfs/dbraw/zinc/77/19/59/663771959.db2.gz RCXJFODEJHWFFG-LLVKDONJSA-N 0 2 312.345 0.874 20 0 DCADLN CC[C@@H](C(=O)N(CCOC)CC(=O)[O-])[N@@H+]1CCO[C@@H](CC)C1 ZINC000908848878 663772187 /nfs/dbraw/zinc/77/21/87/663772187.db2.gz IMOUDFLBTJSVJV-STQMWFEESA-N 0 2 316.398 0.435 20 0 DCADLN CC[C@@H](C(=O)N(CCOC)CC(=O)[O-])[N@H+]1CCO[C@@H](CC)C1 ZINC000908848878 663772188 /nfs/dbraw/zinc/77/21/88/663772188.db2.gz IMOUDFLBTJSVJV-STQMWFEESA-N 0 2 316.398 0.435 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N1CC2CC1(C(=O)[O-])C2 ZINC000908936060 663775228 /nfs/dbraw/zinc/77/52/28/663775228.db2.gz FMJMWSMQTRVXOC-UHFFFAOYSA-N 0 2 311.382 0.356 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N1CC2CC1(C(=O)[O-])C2 ZINC000908936060 663775229 /nfs/dbraw/zinc/77/52/29/663775229.db2.gz FMJMWSMQTRVXOC-UHFFFAOYSA-N 0 2 311.382 0.356 20 0 DCADLN Cc1cccn2cc(CCNC(=O)N[C@@H](CF)C(=O)[O-])[nH+]c12 ZINC000909012029 663777717 /nfs/dbraw/zinc/77/77/17/663777717.db2.gz ZAPKGGRCDNBPFD-NSHDSACASA-N 0 2 308.313 0.907 20 0 DCADLN O=C([O-])[C@H]1CSCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000910148020 663849817 /nfs/dbraw/zinc/84/98/17/663849817.db2.gz QCUOWEJPRYUTIX-GHMZBOCLSA-N 0 2 309.391 0.722 20 0 DCADLN O=C([O-])[C@]1(F)CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000910447645 663884488 /nfs/dbraw/zinc/88/44/88/663884488.db2.gz DXINAQOBGZYYAD-NHYWBVRUSA-N 0 2 324.356 0.688 20 0 DCADLN O=C([O-])[C@]1(F)CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000910447645 663884489 /nfs/dbraw/zinc/88/44/89/663884489.db2.gz DXINAQOBGZYYAD-NHYWBVRUSA-N 0 2 324.356 0.688 20 0 DCADLN O=C([O-])[C@H](CC1CCOCC1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000910449919 663884966 /nfs/dbraw/zinc/88/49/66/663884966.db2.gz BUAQXZNMHJYUNQ-OCCSQVGLSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@H]1c2ccccc2OCCN1C(=O)Cn1cc[nH+]c1 ZINC000911056786 663973400 /nfs/dbraw/zinc/97/34/00/663973400.db2.gz JCBOQWZAYKFWHL-CQSZACIVSA-N 0 2 301.302 0.930 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CCOCC1 ZINC000911430456 664050964 /nfs/dbraw/zinc/05/09/64/664050964.db2.gz PKVDUXKLGATREG-CYBMUJFWSA-N 0 2 320.345 0.943 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)Cn2ccc(C(=O)[O-])n2)C1 ZINC000911612975 664089288 /nfs/dbraw/zinc/08/92/88/664089288.db2.gz QQPXHWDKDUZQPV-SNVBAGLBSA-N 0 2 303.322 0.331 20 0 DCADLN O=C(NCc1n[nH]c(CO)n1)C(F)(F)c1cc(F)cc(F)c1 ZINC000912941027 664247364 /nfs/dbraw/zinc/24/73/64/664247364.db2.gz GASGLDGIYBWDKJ-UHFFFAOYSA-N 0 2 318.230 0.983 20 0 DCADLN C[C@H](Sc1nncn1C)C(=O)NNC(=O)c1ccccn1 ZINC000056056847 664390237 /nfs/dbraw/zinc/39/02/37/664390237.db2.gz RBPQWROKFSHLHC-QMMMGPOBSA-N 0 2 306.351 0.152 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NC[C@H]2CCC[N@@H+]2C)c(N(C)C)[nH+]1 ZINC000157038947 664410579 /nfs/dbraw/zinc/41/05/79/664410579.db2.gz QKONDNBAKZEBDX-GFCCVEGCSA-N 0 2 319.409 0.605 20 0 DCADLN CCC[N@@H+]1CCC[C@@H]1C(=O)NC1CN(Cc2c[nH+]cn2C)C1 ZINC001030400925 664530452 /nfs/dbraw/zinc/53/04/52/664530452.db2.gz IVHPPGUGXLPLCT-OAHLLOKOSA-N 0 2 305.426 0.595 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[n-]2)C1)c1cc2c[nH+]ccc2[nH]1 ZINC001030527807 664577990 /nfs/dbraw/zinc/57/79/90/664577990.db2.gz VGNJUSFIUNYAPZ-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2c[nH]ccc-2n1 ZINC001030527807 664577992 /nfs/dbraw/zinc/57/79/92/664577992.db2.gz VGNJUSFIUNYAPZ-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN CCOC1CC(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030828763 664679810 /nfs/dbraw/zinc/67/98/10/664679810.db2.gz QHNIYRFLHFSIRA-UHFFFAOYSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](C(N)=O)[N@@H+]1CC[C@]2(NC(=O)CN3CCCC3)CCC[C@@H]12 ZINC000992484016 664725649 /nfs/dbraw/zinc/72/56/49/664725649.db2.gz GYBSHGOTCFHSLU-XJKCOSOUSA-N 0 2 308.426 0.069 20 0 DCADLN O=C(NCCn1cc[nH+]c1)NC[C@H]1CC[N@@H+]1C1CCOCC1 ZINC000913900397 664731877 /nfs/dbraw/zinc/73/18/77/664731877.db2.gz UKWNNGLXLKGCAY-CQSZACIVSA-N 0 2 307.398 0.436 20 0 DCADLN O=S(=O)(NCC(F)(F)c1ccccc1)[C@@H]1COC[C@H]1O ZINC000914424541 664778962 /nfs/dbraw/zinc/77/89/62/664778962.db2.gz IPBIQHPYOUZQMR-GHMZBOCLSA-N 0 2 307.318 0.458 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]1c1ccc[nH]1 ZINC000730525790 664785205 /nfs/dbraw/zinc/78/52/05/664785205.db2.gz ZOUSNZVBWVHGFY-GFCCVEGCSA-N 0 2 312.333 0.763 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CC1(Cl)Cl ZINC000730525850 664785297 /nfs/dbraw/zinc/78/52/97/664785297.db2.gz JIAOHPRXIZRPJF-ZCFIWIBFSA-N 0 2 316.148 0.381 20 0 DCADLN COc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1F ZINC000730528453 664785557 /nfs/dbraw/zinc/78/55/57/664785557.db2.gz RQUQOXQPXYIDMU-UHFFFAOYSA-N 0 2 317.280 0.858 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992943986 664785762 /nfs/dbraw/zinc/78/57/62/664785762.db2.gz AMJYNGCBLUYQCT-MNOVXSKESA-N 0 2 316.365 0.688 20 0 DCADLN CC(C)c1nnc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000730537781 664790945 /nfs/dbraw/zinc/79/09/45/664790945.db2.gz UBNXVNOBZDPMCG-UHFFFAOYSA-N 0 2 319.350 0.685 20 0 DCADLN Cc1c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]c1C1CC1 ZINC000730544719 664791615 /nfs/dbraw/zinc/79/16/15/664791615.db2.gz XWAMOISVDMLIEL-UHFFFAOYSA-N 0 2 313.321 0.619 20 0 DCADLN Cc1oc(C2CC2)nc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031171550 664825963 /nfs/dbraw/zinc/82/59/63/664825963.db2.gz BKFHTXXMIWVTBD-UHFFFAOYSA-N 0 2 318.337 0.298 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000994059183 665033586 /nfs/dbraw/zinc/03/35/86/665033586.db2.gz SODCTSFECOWTQE-ZETCQYMHSA-N 0 2 324.234 0.265 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000994059183 665033589 /nfs/dbraw/zinc/03/35/89/665033589.db2.gz SODCTSFECOWTQE-ZETCQYMHSA-N 0 2 324.234 0.265 20 0 DCADLN CC[C@](COC)(NS(=O)(=O)CC1(F)CCC1)C(=O)OC ZINC000916202764 665063445 /nfs/dbraw/zinc/06/34/45/665063445.db2.gz CSNXLAWZWRVTOW-GFCCVEGCSA-N 0 2 311.375 0.766 20 0 DCADLN CC1=CC[C@](C)(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001031423375 665143925 /nfs/dbraw/zinc/14/39/25/665143925.db2.gz JSPPHBVAEOBTDW-HNNXBMFYSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1cc(C[NH+]2CC(CNC(=O)c3[nH]nc(C)c3[O-])C2)on1 ZINC001031617360 665233621 /nfs/dbraw/zinc/23/36/21/665233621.db2.gz DQVBWCJDHQPEIH-UHFFFAOYSA-N 0 2 305.338 0.582 20 0 DCADLN Cc1sccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031657867 665269287 /nfs/dbraw/zinc/26/92/87/665269287.db2.gz FGBHUGPHSWZEHI-UHFFFAOYSA-N 0 2 307.379 0.742 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCC2C[NH+](CCCO)C2)[nH]n1 ZINC001031686754 665288849 /nfs/dbraw/zinc/28/88/49/665288849.db2.gz SUYPQZPCORINBZ-OAHLLOKOSA-N 0 2 321.425 0.220 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnco1 ZINC000938343703 665345072 /nfs/dbraw/zinc/34/50/72/665345072.db2.gz IIBOWIQMCCHTTC-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnco1 ZINC000938343703 665345075 /nfs/dbraw/zinc/34/50/75/665345075.db2.gz IIBOWIQMCCHTTC-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN CC(C)[NH+]1CCO[C@@H](C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCCF)C1 ZINC001032587867 665380651 /nfs/dbraw/zinc/38/06/51/665380651.db2.gz WYFCHZJUJKCCTI-SOUVJXGZSA-N 0 2 313.417 0.740 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000942221403 666130068 /nfs/dbraw/zinc/13/00/68/666130068.db2.gz OKGYGFKBKNXVNZ-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000942221403 666130070 /nfs/dbraw/zinc/13/00/70/666130070.db2.gz OKGYGFKBKNXVNZ-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN Cc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)no1 ZINC001002285274 666149716 /nfs/dbraw/zinc/14/97/16/666149716.db2.gz NFVJYYKYNRDNKJ-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC(F)(F)C1 ZINC001002558212 666189283 /nfs/dbraw/zinc/18/92/83/666189283.db2.gz AZAQNKMLEBAFQD-UHFFFAOYSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1nc[nH]c1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001003132617 666276785 /nfs/dbraw/zinc/27/67/85/666276785.db2.gz HCZKUOAZKYMDBF-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN Cc1nc[nH]c1C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001003132617 666276790 /nfs/dbraw/zinc/27/67/90/666276790.db2.gz HCZKUOAZKYMDBF-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN C[C@@H]1CO[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001003333776 666295994 /nfs/dbraw/zinc/29/59/94/666295994.db2.gz SXLQGZDFLIPBCE-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN CCc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001032165347 666377783 /nfs/dbraw/zinc/37/77/83/666377783.db2.gz FZNDSRNVJIJTOC-UHFFFAOYSA-N 0 2 315.377 0.935 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cc1F ZINC001032165115 666379928 /nfs/dbraw/zinc/37/99/28/666379928.db2.gz VMVLWELWLPVSIL-UHFFFAOYSA-N 0 2 323.303 0.650 20 0 DCADLN Cc1ncc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001032946919 666403946 /nfs/dbraw/zinc/40/39/46/666403946.db2.gz CMFIYUQAYAFMJI-SECBINFHSA-N 0 2 322.394 0.622 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H]2CC[N@H+](Cc3cnn(C)c3)C2)c1[O-] ZINC001033010684 666425321 /nfs/dbraw/zinc/42/53/21/666425321.db2.gz UKPFDKUQGDOWGA-LBPRGKRZSA-N 0 2 318.381 0.504 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3cnn(C)c3)C2)c1[O-] ZINC001033010684 666425323 /nfs/dbraw/zinc/42/53/23/666425323.db2.gz UKPFDKUQGDOWGA-LBPRGKRZSA-N 0 2 318.381 0.504 20 0 DCADLN CN(C(=O)Cc1ccoc1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033031711 666443381 /nfs/dbraw/zinc/44/33/81/666443381.db2.gz ZFLLJJVTKJEXFF-NSHDSACASA-N 0 2 305.338 0.379 20 0 DCADLN CN(C(=O)Cc1ccoc1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033031711 666443384 /nfs/dbraw/zinc/44/33/84/666443384.db2.gz ZFLLJJVTKJEXFF-NSHDSACASA-N 0 2 305.338 0.379 20 0 DCADLN CCOC1CC(C(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001033052203 666466992 /nfs/dbraw/zinc/46/69/92/666466992.db2.gz UJQAUYPXVVKVDX-CXQJBGSLSA-N 0 2 323.397 0.358 20 0 DCADLN CCOC1CC(C(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001033052203 666466995 /nfs/dbraw/zinc/46/69/95/666466995.db2.gz UJQAUYPXVVKVDX-CXQJBGSLSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1ncc(C(=O)N2C[C@@H]([NH2+]Cc3n[nH]c(=O)[n-]3)C(C)(C)C2)[nH]1 ZINC000995548969 666486050 /nfs/dbraw/zinc/48/60/50/666486050.db2.gz VIWBPNZSYKYXGH-SNVBAGLBSA-N 0 2 319.369 0.182 20 0 DCADLN CN(C(=O)C(C)(C)C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033341500 666684756 /nfs/dbraw/zinc/68/47/56/666684756.db2.gz AKFRNOCQMWZZDP-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)C(C)(C)C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033341500 666684757 /nfs/dbraw/zinc/68/47/57/666684757.db2.gz AKFRNOCQMWZZDP-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN CCc1nocc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033399773 666707007 /nfs/dbraw/zinc/70/70/07/666707007.db2.gz KPJBGMRLJMBOAI-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN O=C(COC1CCCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032295666 666751474 /nfs/dbraw/zinc/75/14/74/666751474.db2.gz MBIZFQWCDDQGCT-QWRGUYRKSA-N 0 2 321.381 0.255 20 0 DCADLN COc1cc(C[N@@H+]2CC[C@@H](N(C)C(=O)C[NH+]3CCCC3)C2)on1 ZINC001033657019 666783161 /nfs/dbraw/zinc/78/31/61/666783161.db2.gz HQOSNWLBQISOPD-CYBMUJFWSA-N 0 2 322.409 0.812 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CC[C@@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794828 666831598 /nfs/dbraw/zinc/83/15/98/666831598.db2.gz HSESHPVZXTUIED-MNOVXSKESA-N 0 2 323.397 0.224 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+]1CC[C@@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794828 666831601 /nfs/dbraw/zinc/83/16/01/666831601.db2.gz HSESHPVZXTUIED-MNOVXSKESA-N 0 2 323.397 0.224 20 0 DCADLN CCN(C(=O)[C@@H]1CCCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033806641 666831920 /nfs/dbraw/zinc/83/19/20/666831920.db2.gz NMRXSFCRZSMLJG-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)[C@@H]1CCCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033806641 666831923 /nfs/dbraw/zinc/83/19/23/666831923.db2.gz NMRXSFCRZSMLJG-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033824881 666836022 /nfs/dbraw/zinc/83/60/22/666836022.db2.gz GAGQWLIRJPNGHG-BDAKNGLRSA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033824881 666836023 /nfs/dbraw/zinc/83/60/23/666836023.db2.gz GAGQWLIRJPNGHG-BDAKNGLRSA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033824881 666836024 /nfs/dbraw/zinc/83/60/24/666836024.db2.gz GAGQWLIRJPNGHG-BDAKNGLRSA-N 0 2 315.324 0.588 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034127003 666931634 /nfs/dbraw/zinc/93/16/34/666931634.db2.gz HNFCSHFKILOOSK-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ncc[nH]1 ZINC001034196724 666951656 /nfs/dbraw/zinc/95/16/56/666951656.db2.gz DIIYGDOVAUVAGJ-SECBINFHSA-N 0 2 305.342 0.018 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ncc[nH]1 ZINC001034196724 666951657 /nfs/dbraw/zinc/95/16/57/666951657.db2.gz DIIYGDOVAUVAGJ-SECBINFHSA-N 0 2 305.342 0.018 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cc[nH]c1 ZINC001034224339 666961485 /nfs/dbraw/zinc/96/14/85/666961485.db2.gz WOGXLNAVEGIIQZ-NSHDSACASA-N 0 2 304.354 0.623 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cc[nH]c1 ZINC001034224339 666961487 /nfs/dbraw/zinc/96/14/87/666961487.db2.gz WOGXLNAVEGIIQZ-NSHDSACASA-N 0 2 304.354 0.623 20 0 DCADLN C[C@H](O)C[N@H+]1CCCC[C@H](NC(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001034281290 666976131 /nfs/dbraw/zinc/97/61/31/666976131.db2.gz IGQYBLISWAJIOY-STQMWFEESA-N 0 2 324.425 0.701 20 0 DCADLN Cc1nnc([C@H](C)N2CCCC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001034293200 666980502 /nfs/dbraw/zinc/98/05/02/666980502.db2.gz MAVSDMHRRGWYIL-GXSJLCMTSA-N 0 2 318.385 0.577 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cnco1 ZINC001034336082 666991815 /nfs/dbraw/zinc/99/18/15/666991815.db2.gz HKIGEYACLYHXLT-VIFPVBQESA-N 0 2 306.326 0.283 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCc3c(O)cccc3C2)S1 ZINC000863307940 667126405 /nfs/dbraw/zinc/12/64/05/667126405.db2.gz NOURQPAGTUVLRZ-NSHDSACASA-N 0 2 305.359 0.833 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)CCOCC2CC2)nn1C ZINC000863449315 667146692 /nfs/dbraw/zinc/14/66/92/667146692.db2.gz CGXDKKVIDPJVSB-UHFFFAOYSA-N 0 2 317.367 0.375 20 0 DCADLN CON(C)C(=O)[C@H](C)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000863628399 667163526 /nfs/dbraw/zinc/16/35/26/667163526.db2.gz QATVMBNZQVDZBG-JTQLQIEISA-N 0 2 318.333 0.716 20 0 DCADLN CON(C)C(=O)[C@@H](C)NC(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000863945681 667196554 /nfs/dbraw/zinc/19/65/54/667196554.db2.gz NTDAMLFURHBZIW-SECBINFHSA-N 0 2 318.333 0.782 20 0 DCADLN CCOCCCn1nc(CC)c(CC)c(-c2nn[nH]n2)c1=O ZINC000736617686 667204223 /nfs/dbraw/zinc/20/42/23/667204223.db2.gz FHLJSMBQRMTOGD-UHFFFAOYSA-N 0 2 306.370 0.975 20 0 DCADLN NC(=O)C[N@H+]1CCC2(C1)CCN(C(=O)CCn1cc[nH+]c1)CC2 ZINC001035210278 667273145 /nfs/dbraw/zinc/27/31/45/667273145.db2.gz KKBJRXMPYKXCGF-UHFFFAOYSA-N 0 2 319.409 0.073 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC2(CC2)C1 ZINC001035497773 667365046 /nfs/dbraw/zinc/36/50/46/667365046.db2.gz CFWQIPGUHIOXMG-LLVKDONJSA-N 0 2 321.381 0.018 20 0 DCADLN C[C@@H](O)[C@H](NS(=O)(=O)N=S(C)(C)=O)c1ccccc1F ZINC000867458459 667405237 /nfs/dbraw/zinc/40/52/37/667405237.db2.gz NDADLEFUOKXVFP-KCJUWKMLSA-N 0 2 324.399 0.810 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NCC(O)(C1CC1)C1CC1 ZINC000867521637 667410057 /nfs/dbraw/zinc/41/00/57/667410057.db2.gz ZYBTWGKOHAGNKE-SFHVURJKSA-N 0 2 310.441 0.490 20 0 DCADLN CN(C(=O)c1nccs1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006177680 667575750 /nfs/dbraw/zinc/57/57/50/667575750.db2.gz QJXVHBYLLTXQHE-UHFFFAOYSA-N 0 2 322.394 0.703 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NCC(=O)OC)cc2[nH]c1=S ZINC000017440862 667643614 /nfs/dbraw/zinc/64/36/14/667643614.db2.gz HXJVADQRJFUKQD-UHFFFAOYSA-N 0 2 321.358 0.608 20 0 DCADLN O=C(c1cc(Cl)ccc1O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000738247918 667662662 /nfs/dbraw/zinc/66/26/62/667662662.db2.gz UFXDFJODVPEKAA-SNVBAGLBSA-N 0 2 309.713 0.772 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1C[C@@H]1Cc1ccccc1 ZINC000741625817 667773722 /nfs/dbraw/zinc/77/37/22/667773722.db2.gz AEXDOLKZVINBKR-JSGCOSHPSA-N 0 2 323.356 0.819 20 0 DCADLN CC(=O)Nc1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000742508830 667792421 /nfs/dbraw/zinc/79/24/21/667792421.db2.gz WXZVQFDOZPTDTJ-LLVKDONJSA-N 0 2 320.374 0.818 20 0 DCADLN CCOc1ncccc1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742524174 667793066 /nfs/dbraw/zinc/79/30/66/667793066.db2.gz VAVGXDKATIRMMD-SECBINFHSA-N 0 2 308.363 0.653 20 0 DCADLN COCCN(Cc1cccnc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742627395 667795541 /nfs/dbraw/zinc/79/55/41/667795541.db2.gz JRTJRHHPRSOOCD-NSHDSACASA-N 0 2 322.390 0.613 20 0 DCADLN Cc1nc(C)c(CCNC(=O)C[C@@H]2SC(=N)NC2=O)s1 ZINC000742648847 667796074 /nfs/dbraw/zinc/79/60/74/667796074.db2.gz DPNPYIZMIQSPQU-VIFPVBQESA-N 0 2 312.420 0.975 20 0 DCADLN Cc1noc(C)c1C[C@@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742696267 667797455 /nfs/dbraw/zinc/79/74/55/667797455.db2.gz ZOESCLCZCAYFSJ-LDWIPMOCSA-N 0 2 310.379 0.895 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCOC[C@H]2CCOC2)S1 ZINC000742699020 667797517 /nfs/dbraw/zinc/79/75/17/667797517.db2.gz XLZRHJFREWSIPR-NXEZZACHSA-N 0 2 315.395 0.102 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cnnn1-c1ccccc1Cl ZINC000743288786 667806010 /nfs/dbraw/zinc/80/60/10/667806010.db2.gz ZRTWZPINNGUFMG-UHFFFAOYSA-N 0 2 320.696 0.117 20 0 DCADLN C[C@H]1C[N@H+](Cc2cc(C(=O)[O-])cs2)CCN1S(C)(=O)=O ZINC000872158548 667870773 /nfs/dbraw/zinc/87/07/73/667870773.db2.gz FJLDGPHDJKZIAB-VIFPVBQESA-N 0 2 318.420 0.912 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cc(C(=O)[O-])cs2)CCN1S(C)(=O)=O ZINC000872158548 667870777 /nfs/dbraw/zinc/87/07/77/667870777.db2.gz FJLDGPHDJKZIAB-VIFPVBQESA-N 0 2 318.420 0.912 20 0 DCADLN COc1ccc(C)nc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000746651423 667885069 /nfs/dbraw/zinc/88/50/69/667885069.db2.gz FMRAAWQPXNLVRZ-UHFFFAOYSA-N 0 2 314.305 0.422 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@@H](O)Cc1ccccc1 ZINC000747551933 667906196 /nfs/dbraw/zinc/90/61/96/667906196.db2.gz CMYYHRNYGNXPSH-ZMLRMANQSA-N 0 2 321.402 0.651 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(c2cc(F)ccc2F)=NO1 ZINC000748056671 667917670 /nfs/dbraw/zinc/91/76/70/667917670.db2.gz CYWQBJTXVIVISO-JTQLQIEISA-N 0 2 323.259 0.598 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](Nc2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000873066877 667969088 /nfs/dbraw/zinc/96/90/88/667969088.db2.gz JPFHVKYEFWFCLR-SDDRHHMPSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](Nc2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000873066877 667969089 /nfs/dbraw/zinc/96/90/89/667969089.db2.gz JPFHVKYEFWFCLR-SDDRHHMPSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](Nc2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000873066878 667969149 /nfs/dbraw/zinc/96/91/49/667969149.db2.gz JPFHVKYEFWFCLR-UTUOFQBUSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](Nc2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000873066878 667969150 /nfs/dbraw/zinc/96/91/50/667969150.db2.gz JPFHVKYEFWFCLR-UTUOFQBUSA-N 0 2 306.366 0.960 20 0 DCADLN CN1CCN(c2cc(N[C@H]3C[C@H](C(=O)[O-])C3)[nH+]cn2)CC1=O ZINC000873076538 667969500 /nfs/dbraw/zinc/96/95/00/667969500.db2.gz PYLUXWRAHCVQJT-MGCOHNPYSA-N 0 2 305.338 0.030 20 0 DCADLN CN1CCN(c2cc(N[C@H]3C[C@H](C(=O)[O-])C3)nc[nH+]2)CC1=O ZINC000873076538 667969505 /nfs/dbraw/zinc/96/95/05/667969505.db2.gz PYLUXWRAHCVQJT-MGCOHNPYSA-N 0 2 305.338 0.030 20 0 DCADLN C[C@@H](NC(=O)OC(C)(C)C)[C@H](C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000756835352 668101998 /nfs/dbraw/zinc/10/19/98/668101998.db2.gz BHLKTKGWIUUJQF-JGVFFNPUSA-N 0 2 313.358 0.676 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2ncc(C)cn2)cn1 ZINC000758425465 668173893 /nfs/dbraw/zinc/17/38/93/668173893.db2.gz NAURESCVPBBSJO-UHFFFAOYSA-N 0 2 308.319 0.767 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCCN1CCNC1=O ZINC000759462758 668220926 /nfs/dbraw/zinc/22/09/26/668220926.db2.gz ODZNGUMKNARGTG-UHFFFAOYSA-N 0 2 311.260 0.012 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](CCO)c2ccccc2)S1 ZINC000762647514 668370204 /nfs/dbraw/zinc/37/02/04/668370204.db2.gz CAOGRJVPPDBLPR-NWDGAFQWSA-N 0 2 321.402 0.825 20 0 DCADLN CCC(CC)(CCO)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000762775323 668376970 /nfs/dbraw/zinc/37/69/70/668376970.db2.gz DFUREQCBQBIIIH-SECBINFHSA-N 0 2 301.412 0.848 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@@H]1CCCOC1 ZINC000766300768 668531707 /nfs/dbraw/zinc/53/17/07/668531707.db2.gz RRARDXLVIPNSRY-LLVKDONJSA-N 0 2 305.334 0.843 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](CO)c2ccccc2)S1 ZINC000769270670 668641207 /nfs/dbraw/zinc/64/12/07/668641207.db2.gz BJKXKZPWHJTLGB-QWRGUYRKSA-N 0 2 307.375 0.435 20 0 DCADLN COc1cc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)ccc1O ZINC000769354465 668644384 /nfs/dbraw/zinc/64/43/84/668644384.db2.gz MELVMFZCBAKMJS-NSHDSACASA-N 0 2 323.374 0.616 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCc3ccccc3[C@H]2CO)S1 ZINC000773501079 668804995 /nfs/dbraw/zinc/80/49/95/668804995.db2.gz MEUFJKMWDJKZSX-VXGBXAGGSA-N 0 2 319.386 0.661 20 0 DCADLN CCCN(C)S(=O)(=O)[N-]C(=O)C[N@H+](C)[C@@H]1CCSC1 ZINC000774174291 668825033 /nfs/dbraw/zinc/82/50/33/668825033.db2.gz SUSKYQAJKSKTQN-SNVBAGLBSA-N 0 2 309.457 0.127 20 0 DCADLN CCCN(C)S(=O)(=O)[N-]C(=O)C[N@@H+](C)[C@@H]1CCSC1 ZINC000774174291 668825034 /nfs/dbraw/zinc/82/50/34/668825034.db2.gz SUSKYQAJKSKTQN-SNVBAGLBSA-N 0 2 309.457 0.127 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)NC(=O)CS2 ZINC000774875563 668849272 /nfs/dbraw/zinc/84/92/72/668849272.db2.gz LHCAULIAJTZBRD-UHFFFAOYSA-N 0 2 306.303 0.912 20 0 DCADLN COc1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)cc1OCCO ZINC000774921165 668851370 /nfs/dbraw/zinc/85/13/70/668851370.db2.gz KCBCHVJFBSBZAK-UHFFFAOYSA-N 0 2 309.278 0.247 20 0 DCADLN CCCCC(=O)N1CSC[C@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774942102 668851896 /nfs/dbraw/zinc/85/18/96/668851896.db2.gz LLUGNUDMTCTAJH-QMMMGPOBSA-N 0 2 314.367 0.645 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(N2CCOCC2)c(F)c1 ZINC000774942065 668852014 /nfs/dbraw/zinc/85/20/14/668852014.db2.gz KDUXQOYQNDQHPZ-UHFFFAOYSA-N 0 2 322.296 0.843 20 0 DCADLN COc1ccc2c(c1)OC[C@@H](C(=O)OCc1n[nH]c(=O)[nH]1)C2 ZINC000774931808 668852134 /nfs/dbraw/zinc/85/21/34/668852134.db2.gz AQJXJJJRADBYSB-VIFPVBQESA-N 0 2 305.290 0.813 20 0 DCADLN O=C(CCN1C(=O)CCc2ccccc21)OCc1n[nH]c(=O)[nH]1 ZINC000774959347 668852876 /nfs/dbraw/zinc/85/28/76/668852876.db2.gz GQDLWCGHWJACCB-UHFFFAOYSA-N 0 2 316.317 0.923 20 0 DCADLN COC(=O)C[C@H](NC(=O)c1cccc(Cl)c1O)C(=O)OC ZINC000775652609 668866314 /nfs/dbraw/zinc/86/63/14/668866314.db2.gz NWECGCMVTHFZCM-VIFPVBQESA-N 0 2 315.709 0.880 20 0 DCADLN NS(=O)(=O)N1CCN(C(=O)c2cccc(Cl)c2O)CC1 ZINC000775698730 668866498 /nfs/dbraw/zinc/86/64/98/668866498.db2.gz XAEZICLUQZTDND-UHFFFAOYSA-N 0 2 319.770 0.007 20 0 DCADLN O=C(NCCN1CCCS1(=O)=O)c1cccc(Cl)c1O ZINC000775683566 668866637 /nfs/dbraw/zinc/86/66/37/668866637.db2.gz VLUSNFKJJJKVKO-UHFFFAOYSA-N 0 2 318.782 0.811 20 0 DCADLN C[C@@H](CCO)C1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCC1 ZINC000775889183 668868913 /nfs/dbraw/zinc/86/89/13/668868913.db2.gz GQMZRIHIVYPSLH-UWVGGRQHSA-N 0 2 313.423 0.848 20 0 DCADLN CN1CCc2ccc(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)cc21 ZINC000775986083 668870092 /nfs/dbraw/zinc/87/00/92/668870092.db2.gz CZGFGRAXNCIJPK-UHFFFAOYSA-N 0 2 324.344 0.702 20 0 DCADLN CCCNC(=O)CCCC(=O)NCCc1n[nH]c(=S)o1 ZINC000776535651 668877314 /nfs/dbraw/zinc/87/73/14/668877314.db2.gz IIQROXUIQVSUQF-UHFFFAOYSA-N 0 2 300.384 0.714 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](OCC3CC3)C2)S1 ZINC000779130860 668908063 /nfs/dbraw/zinc/90/80/63/668908063.db2.gz ZHAVXFZBZDPZAH-MNOVXSKESA-N 0 2 311.407 0.960 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCO[C@H](CF)C1 ZINC000839804371 668915287 /nfs/dbraw/zinc/91/52/87/668915287.db2.gz OIGWBCCUZJWGGZ-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN CC1(C)CN(C(=O)CN2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCO1 ZINC000930498791 669029581 /nfs/dbraw/zinc/02/95/81/669029581.db2.gz POYLQJHFBQUUII-LLVKDONJSA-N 0 2 323.397 0.327 20 0 DCADLN CN(C[C@H]1CC=CCC1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000784324147 669199852 /nfs/dbraw/zinc/19/98/52/669199852.db2.gz SKPLAEAJKHHPSZ-NSHDSACASA-N 0 2 301.350 0.886 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000788264128 669425097 /nfs/dbraw/zinc/42/50/97/669425097.db2.gz TXAIBVJZHDYGOH-DTWKUNHWSA-N 0 2 312.263 0.737 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000788264128 669425101 /nfs/dbraw/zinc/42/51/01/669425101.db2.gz TXAIBVJZHDYGOH-DTWKUNHWSA-N 0 2 312.263 0.737 20 0 DCADLN Cc1ccnc(-c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)n1 ZINC000788478802 669442197 /nfs/dbraw/zinc/44/21/97/669442197.db2.gz YCIAFZNGQLRSKB-UHFFFAOYSA-N 0 2 310.277 0.268 20 0 DCADLN O=C([N-]OC1CCOCC1)C(=O)NCCCNc1cccc[nH+]1 ZINC000788647915 669452967 /nfs/dbraw/zinc/45/29/67/669452967.db2.gz NPAZLLISADKANU-UHFFFAOYSA-N 0 2 322.365 0.227 20 0 DCADLN CC(C)C[C@]1(CO)CCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000789875405 669515402 /nfs/dbraw/zinc/51/54/02/669515402.db2.gz SNNQEGAEDQQGBM-YGRLFVJLSA-N 0 2 313.423 0.942 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCS(=O)CC1 ZINC000790070909 669536413 /nfs/dbraw/zinc/53/64/13/669536413.db2.gz LBPRDYSEUUCCPD-QMMMGPOBSA-N 0 2 314.329 0.280 20 0 DCADLN COc1cnc([C@@H]2CCCN2C[C@@H](O)C2(O)CCCC2)[nH]c1=O ZINC000934273434 669589985 /nfs/dbraw/zinc/58/99/85/669589985.db2.gz MXNBHNJTLQQJRS-WCQYABFASA-N 0 2 323.393 0.994 20 0 DCADLN Cc1cnn([C@H]2CCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)c1 ZINC000794184710 669805425 /nfs/dbraw/zinc/80/54/25/669805425.db2.gz HKJLXRIXIHWZBS-UWVGGRQHSA-N 0 2 307.379 0.521 20 0 DCADLN Cn1ncc2ccc(CNC(=O)C[C@@H]3SC(=N)NC3=O)cc21 ZINC000794355176 669826499 /nfs/dbraw/zinc/82/64/99/669826499.db2.gz RGCOYTGXSVJRBS-NSHDSACASA-N 0 2 317.374 0.746 20 0 DCADLN CCc1cc(C(=O)N2CCC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]n1 ZINC000950108422 669937749 /nfs/dbraw/zinc/93/77/49/669937749.db2.gz YSUWXRCBUDLIPC-JTQLQIEISA-N 0 2 317.353 0.125 20 0 DCADLN C[C@@H](CO)N(CC1CCC1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000797754204 669974845 /nfs/dbraw/zinc/97/48/45/669974845.db2.gz ZWIIXOHGAPSXMR-JTQLQIEISA-N 0 2 319.365 0.081 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1cnc(Cl)cn1 ZINC000798614089 670014638 /nfs/dbraw/zinc/01/46/38/670014638.db2.gz LTTZYNHAUUWWRX-UHFFFAOYSA-N 0 2 320.696 0.258 20 0 DCADLN CCN(C(=O)[C@H]1CCC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950595193 670069468 /nfs/dbraw/zinc/06/94/68/670069468.db2.gz JJKQMFMGAXZUHW-SECBINFHSA-N 0 2 315.324 0.588 20 0 DCADLN O=C([C@@H]1C[C@H]1c1ccco1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950634754 670080462 /nfs/dbraw/zinc/08/04/62/670080462.db2.gz CIIFZOWEMKNATP-GHMZBOCLSA-N 0 2 317.349 0.551 20 0 DCADLN CC(C)c1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)[nH]n1 ZINC000950638496 670080825 /nfs/dbraw/zinc/08/08/25/670080825.db2.gz SFJTWIAZPHZDAF-UHFFFAOYSA-N 0 2 319.369 0.315 20 0 DCADLN CCN(C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950677560 670091725 /nfs/dbraw/zinc/09/17/25/670091725.db2.gz LZFMPYCWFFLETO-RTXFEEFZSA-N 0 2 319.409 0.979 20 0 DCADLN COC[C@H]1C[N@H+](CC(=O)[N-]OCc2ccccc2)C[C@H](C)O1 ZINC000189373252 670116527 /nfs/dbraw/zinc/11/65/27/670116527.db2.gz KRBQDUKUVQFGOB-DZGCQCFKSA-N 0 2 308.378 0.970 20 0 DCADLN COC[C@H]1C[N@@H+](CC(=O)[N-]OCc2ccccc2)C[C@H](C)O1 ZINC000189373252 670116533 /nfs/dbraw/zinc/11/65/33/670116533.db2.gz KRBQDUKUVQFGOB-DZGCQCFKSA-N 0 2 308.378 0.970 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccn[nH]1 ZINC000948901257 670210461 /nfs/dbraw/zinc/21/04/61/670210461.db2.gz RSDXKDZCQJNDFO-HTRCEHHLSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ccn[nH]1 ZINC000948901257 670210464 /nfs/dbraw/zinc/21/04/64/670210464.db2.gz RSDXKDZCQJNDFO-HTRCEHHLSA-N 0 2 308.235 0.641 20 0 DCADLN O=C([C@@H]1CCC[C@@H]2C[C@@H]21)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948973629 670229547 /nfs/dbraw/zinc/22/95/47/670229547.db2.gz XGDSXTHOYNHMEH-UTUOFQBUSA-N 0 2 305.382 0.591 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cnn3ccccc23)S1 ZINC000804444931 670294786 /nfs/dbraw/zinc/29/47/86/670294786.db2.gz AAQLHAIFVQKYIL-SNVBAGLBSA-N 0 2 303.347 0.507 20 0 DCADLN CCN(C(=O)c1ccc(Cl)[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951704581 670343989 /nfs/dbraw/zinc/34/39/89/670343989.db2.gz MQICZPYGODNVQO-UHFFFAOYSA-N 0 2 324.772 0.838 20 0 DCADLN CCN(C(=O)c1cccc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949601429 670381635 /nfs/dbraw/zinc/38/16/35/670381635.db2.gz MNOBMZDBXNYBJV-UHFFFAOYSA-N 0 2 319.340 0.996 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1C[C@H](c2ccccc2)OC1=O ZINC000808588607 670416458 /nfs/dbraw/zinc/41/64/58/670416458.db2.gz ZLINPGFHAURGOO-NXEZZACHSA-N 0 2 303.274 0.858 20 0 DCADLN O=C([N-]OC1CCCCC1)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000809460773 670453010 /nfs/dbraw/zinc/45/30/10/670453010.db2.gz QYXWOSZBUBAGAN-NSHDSACASA-N 0 2 306.366 0.695 20 0 DCADLN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(F)C(F)(F)F ZINC000811195292 670515946 /nfs/dbraw/zinc/51/59/46/670515946.db2.gz GMZLVXFGUPSVCD-DJLDLDEBSA-N 0 2 303.252 0.824 20 0 DCADLN COCCO[C@@H]1COCC[C@@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC000811195292 670515952 /nfs/dbraw/zinc/51/59/52/670515952.db2.gz GMZLVXFGUPSVCD-DJLDLDEBSA-N 0 2 303.252 0.824 20 0 DCADLN CC(C)(C)c1coc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000847107420 670518415 /nfs/dbraw/zinc/51/84/15/670518415.db2.gz WOJKUTAUCWCSKU-UHFFFAOYSA-N 0 2 316.321 0.995 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N(CCn1cc[nH+]c1)C1CCSCC1 ZINC000854411957 670563820 /nfs/dbraw/zinc/56/38/20/670563820.db2.gz FJPOJOQHBNOURH-UHFFFAOYSA-N 0 2 321.406 0.483 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC2(CCC2)[C@@H]1C1CC1 ZINC000812267372 670570623 /nfs/dbraw/zinc/57/06/23/670570623.db2.gz KUOADCWYYRZVLV-ZDUSSCGKSA-N 0 2 313.361 0.862 20 0 DCADLN CN(C)S(=O)(=O)CCNC(=O)c1cccc(Cl)c1O ZINC000812392359 670578507 /nfs/dbraw/zinc/57/85/07/670578507.db2.gz ZGLLVENCVYZAPP-UHFFFAOYSA-N 0 2 306.771 0.667 20 0 DCADLN C=CC(=O)NCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000813445683 670627076 /nfs/dbraw/zinc/62/70/76/670627076.db2.gz FAYLHPTZRQJSCM-UHFFFAOYSA-N 0 2 300.318 0.610 20 0 DCADLN CCOC(=O)CCN(C(=O)C[C@H]1SC(=N)NC1=O)C(C)C ZINC000848350963 670672071 /nfs/dbraw/zinc/67/20/71/670672071.db2.gz XABYNRZJRYOYPT-SECBINFHSA-N 0 2 315.395 0.733 20 0 DCADLN COc1cccc(OC)c1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000855389012 670703151 /nfs/dbraw/zinc/70/31/51/670703151.db2.gz VRHHBJMBFUZIGS-NSHDSACASA-N 0 2 323.374 0.876 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)C(=O)NC[C@H](C)C(=O)[O-])c1C ZINC000848607826 670703993 /nfs/dbraw/zinc/70/39/93/670703993.db2.gz JWQSQHOUNHRQOQ-VIFPVBQESA-N 0 2 323.349 0.160 20 0 DCADLN C[C@H]1CCC[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)O1 ZINC000855794879 670756098 /nfs/dbraw/zinc/75/60/98/670756098.db2.gz XEODDSKVMSGQDL-UWVGGRQHSA-N 0 2 305.338 0.145 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2COc3cc(F)ccc3C2)S1 ZINC000855808956 670756871 /nfs/dbraw/zinc/75/68/71/670756871.db2.gz RWYWGCZCKDOREF-ONGXEEELSA-N 0 2 323.349 0.802 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1Cc2c[nH]nc2C1 ZINC000816705732 670848345 /nfs/dbraw/zinc/84/83/45/670848345.db2.gz RVQVDFDBUBETIF-VIFPVBQESA-N 0 2 304.272 0.955 20 0 DCADLN CCn1ncn(NC(=O)c2cncc(Br)c2)c1=O ZINC000816735085 670852454 /nfs/dbraw/zinc/85/24/54/670852454.db2.gz QWKJFDORDZBISE-UHFFFAOYSA-N 0 2 312.127 0.606 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000818155897 670983380 /nfs/dbraw/zinc/98/33/80/670983380.db2.gz LKOBHTFPXJYRQQ-DTWKUNHWSA-N 0 2 310.251 0.834 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000818155897 670983381 /nfs/dbraw/zinc/98/33/81/670983381.db2.gz LKOBHTFPXJYRQQ-DTWKUNHWSA-N 0 2 310.251 0.834 20 0 DCADLN CCOC(=O)CNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858624570 671023485 /nfs/dbraw/zinc/02/34/85/671023485.db2.gz VWLJCUKGNJCLNU-UHFFFAOYSA-N 0 2 322.239 0.751 20 0 DCADLN CN(C[C@@](C)(O)c1ccccc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000819706829 671134287 /nfs/dbraw/zinc/13/42/87/671134287.db2.gz LDHWWHDMXVTFLX-IAQYHMDHSA-N 0 2 321.402 0.909 20 0 DCADLN O=C([O-])[C@H]1[C@H](NC(=O)C(F)(F)F)CCC[N@@H+]1CCCF ZINC000852736120 671154625 /nfs/dbraw/zinc/15/46/25/671154625.db2.gz LFAIISGWAWKMAV-HTQZYQBOSA-N 0 2 300.252 0.942 20 0 DCADLN O=C([O-])[C@H]1[C@H](NC(=O)C(F)(F)F)CCC[N@H+]1CCCF ZINC000852736120 671154627 /nfs/dbraw/zinc/15/46/27/671154627.db2.gz LFAIISGWAWKMAV-HTQZYQBOSA-N 0 2 300.252 0.942 20 0 DCADLN CN1CN(C(=O)c2ccc(CNC(=O)C(F)(F)F)o2)CC1=O ZINC000860606165 671223425 /nfs/dbraw/zinc/22/34/25/671223425.db2.gz DLAYMNJQPCSEOO-UHFFFAOYSA-N 0 2 319.239 0.330 20 0 DCADLN CCO[N-]C(=O)CNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000824220454 671423912 /nfs/dbraw/zinc/42/39/12/671423912.db2.gz QMVIDKMMFYPQBM-MRVPVSSYSA-N 0 2 308.260 0.561 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H]2CSC[C@@H]2C1 ZINC000827163535 671517393 /nfs/dbraw/zinc/51/73/93/671517393.db2.gz VTYDIRWILXKIIO-UWVGGRQHSA-N 0 2 319.390 0.283 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)Cc1cccc(C(=O)[O-])c1 ZINC000833631572 671748879 /nfs/dbraw/zinc/74/88/79/671748879.db2.gz LBZHTTHALDKPIN-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)Cc1cccc(C(=O)[O-])c1 ZINC000833631572 671748882 /nfs/dbraw/zinc/74/88/82/671748882.db2.gz LBZHTTHALDKPIN-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)C(=O)NCCCC(=O)[O-])C1 ZINC000843259293 672075895 /nfs/dbraw/zinc/07/58/95/672075895.db2.gz JXWCXVLKNAFGGE-LLVKDONJSA-N 0 2 322.365 0.107 20 0 DCADLN O=C([C@H](O)c1cccnc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843878104 672126863 /nfs/dbraw/zinc/12/68/63/672126863.db2.gz LMGOSXNTULUHAD-GHMZBOCLSA-N 0 2 303.322 0.345 20 0 DCADLN CC1=C(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)C(=O)OC1(C)C ZINC000843875018 672127043 /nfs/dbraw/zinc/12/70/43/672127043.db2.gz IZQMAUGJKQMFBR-UHFFFAOYSA-N 0 2 320.349 0.868 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000845549314 672259894 /nfs/dbraw/zinc/25/98/94/672259894.db2.gz WVLQRMBORYDASU-UHFFFAOYSA-N 0 2 324.381 0.916 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)c3ncccn3)CC2)[nH+]c1 ZINC000738682665 685325112 /nfs/dbraw/zinc/32/51/12/685325112.db2.gz AGUDJLCSUFFZTL-UHFFFAOYSA-N 0 2 313.317 0.532 20 0 DCADLN COCCCONC(=O)[C@H](CS(C)(=O)=O)c1ccccc1 ZINC000854449376 685371560 /nfs/dbraw/zinc/37/15/60/685371560.db2.gz FYMWWCCSZDSFPB-CYBMUJFWSA-N 0 2 315.391 0.899 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cnon2)CCN1C(=O)C(F)C(F)(F)F ZINC001020320644 693768062 /nfs/dbraw/zinc/76/80/62/693768062.db2.gz QIMZIQMDLNJRJI-VMHSAVOQSA-N 0 2 324.234 0.689 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cnon2)CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001020320644 693768063 /nfs/dbraw/zinc/76/80/63/693768063.db2.gz QIMZIQMDLNJRJI-VMHSAVOQSA-N 0 2 324.234 0.689 20 0 DCADLN O=C(NNC(=O)c1ccccn1)c1ccc2c(c1)NC(=O)CO2 ZINC000060659237 685063267 /nfs/dbraw/zinc/06/32/67/685063267.db2.gz NYLIFBFLAUGPMN-UHFFFAOYSA-N 0 2 312.285 0.487 20 0 DCADLN CN(C(=O)c1cn[nH]c1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953794819 685843873 /nfs/dbraw/zinc/84/38/73/685843873.db2.gz WXFJCYDSGTTXKU-MRVPVSSYSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)c1cn[nH]c1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953794819 685843878 /nfs/dbraw/zinc/84/38/78/685843878.db2.gz WXFJCYDSGTTXKU-MRVPVSSYSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)C1=CCOCC1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954128961 685950822 /nfs/dbraw/zinc/95/08/22/685950822.db2.gz PJRIODJVVCIHSH-JTQLQIEISA-N 0 2 324.274 0.903 20 0 DCADLN CN(C(=O)C1=CCOCC1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954128961 685950823 /nfs/dbraw/zinc/95/08/23/685950823.db2.gz PJRIODJVVCIHSH-JTQLQIEISA-N 0 2 324.274 0.903 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)C(C2CC2)C2CC2)CCN1C(=O)C(N)=O ZINC000955800274 686507797 /nfs/dbraw/zinc/50/77/97/686507797.db2.gz AYZPFYCGEBFHTM-CABZTGNLSA-N 0 2 307.394 0.404 20 0 DCADLN CC(C)[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038259116 694029101 /nfs/dbraw/zinc/02/91/01/694029101.db2.gz WJKPBELXQWEQOG-MDZLAQPJSA-N 0 2 323.397 0.262 20 0 DCADLN CCCc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)no1 ZINC000956996221 686931278 /nfs/dbraw/zinc/93/12/78/686931278.db2.gz NIFAZPBXBLGHLV-UHFFFAOYSA-N 0 2 320.353 0.409 20 0 DCADLN C[C@@]1(NC(=O)c2cn[nH]n2)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000957186754 686990753 /nfs/dbraw/zinc/99/07/53/686990753.db2.gz UNMBYIDXZMHNHN-GMSGAONNSA-N 0 2 323.250 0.426 20 0 DCADLN Cc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c(C)n1C ZINC000957599393 687084781 /nfs/dbraw/zinc/08/47/81/687084781.db2.gz SAGZIQBYJDMGGJ-UHFFFAOYSA-N 0 2 318.381 0.424 20 0 DCADLN Cc1cnc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)c1 ZINC001038546741 694111874 /nfs/dbraw/zinc/11/18/74/694111874.db2.gz RMBQOJBCGNEBLL-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1C[C@H]1C1CCC1 ZINC001038799624 694194435 /nfs/dbraw/zinc/19/44/35/694194435.db2.gz XCOHDOLBQPGOGB-GRYCIOLGSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccccn1 ZINC000964632121 689274858 /nfs/dbraw/zinc/27/48/58/689274858.db2.gz IPZFFUVGFXUTFA-ZYHUDNBSSA-N 0 2 316.365 0.546 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=COCCC1 ZINC000965472492 689451417 /nfs/dbraw/zinc/45/14/17/689451417.db2.gz DJSVYXUJUZOTKR-PWSUYJOCSA-N 0 2 321.381 0.531 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=COCCC1 ZINC000965472492 689451422 /nfs/dbraw/zinc/45/14/22/689451422.db2.gz DJSVYXUJUZOTKR-PWSUYJOCSA-N 0 2 321.381 0.531 20 0 DCADLN Cc1cnc(C[N@H+]2CC[C@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC000967152758 689938422 /nfs/dbraw/zinc/93/84/22/689938422.db2.gz DTZCRIXLPIJVEY-JOYOIKCWSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1cnc(C[N@@H+]2CC[C@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC000967152758 689938424 /nfs/dbraw/zinc/93/84/24/689938424.db2.gz DTZCRIXLPIJVEY-JOYOIKCWSA-N 0 2 304.354 0.742 20 0 DCADLN CNC(=O)C[N@H+]1CCCCC[C@H]1CNC(=O)[C@H]1CCC[NH+]1C ZINC000968781708 690390481 /nfs/dbraw/zinc/39/04/81/690390481.db2.gz PMQOKGSADPLFGO-UONOGXRCSA-N 0 2 310.442 0.187 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007753061 690740062 /nfs/dbraw/zinc/74/00/62/690740062.db2.gz CKNRZMMRQOMSLZ-VIFPVBQESA-N 0 2 319.369 0.190 20 0 DCADLN CCc1nocc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008442189 690867484 /nfs/dbraw/zinc/86/74/84/690867484.db2.gz NFPBYABOYFZJTG-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN O=C(N[C@H]1CCC[N@H+](CCc2ccns2)C1)c1nnc[nH]1 ZINC001008917973 690952004 /nfs/dbraw/zinc/95/20/04/690952004.db2.gz FIVRXNQESQJNEU-JTQLQIEISA-N 0 2 306.395 0.698 20 0 DCADLN O=C(N[C@H]1CCC[N@@H+](CCc2ccns2)C1)c1nnc[nH]1 ZINC001008917973 690952008 /nfs/dbraw/zinc/95/20/08/690952008.db2.gz FIVRXNQESQJNEU-JTQLQIEISA-N 0 2 306.395 0.698 20 0 DCADLN O=C(N[C@H]1CCC[N@H+](CCc2ccns2)C1)c1ncn[nH]1 ZINC001008917973 690952010 /nfs/dbraw/zinc/95/20/10/690952010.db2.gz FIVRXNQESQJNEU-JTQLQIEISA-N 0 2 306.395 0.698 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001010563788 691313687 /nfs/dbraw/zinc/31/36/87/691313687.db2.gz BGMXLUOBIMAZSN-QWRGUYRKSA-N 0 2 304.354 0.264 20 0 DCADLN Cc1cccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1F ZINC001014530997 692038462 /nfs/dbraw/zinc/03/84/62/692038462.db2.gz KSOBHJKPRJYCTC-JTQLQIEISA-N 0 2 319.340 0.962 20 0 DCADLN O=C(CC1CC(F)(F)C1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014992092 692153117 /nfs/dbraw/zinc/15/31/17/692153117.db2.gz VUWGICUWHLHWFC-VIFPVBQESA-N 0 2 315.324 0.636 20 0 DCADLN CC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC001015848172 692469036 /nfs/dbraw/zinc/46/90/36/692469036.db2.gz XDKIOCCHZFPPEB-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN COc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015893026 692491559 /nfs/dbraw/zinc/49/15/59/692491559.db2.gz HNGBJNQSPKKBIQ-MRVPVSSYSA-N 0 2 307.310 0.116 20 0 DCADLN CCc1nc(C)c(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015947720 692517937 /nfs/dbraw/zinc/51/79/37/692517937.db2.gz NQGJBJLONDFTLF-VIFPVBQESA-N 0 2 320.353 0.373 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2CC[C@H]([NH2+]Cc3cncc(F)c3)C2)C1 ZINC001018663461 693186260 /nfs/dbraw/zinc/18/62/60/693186260.db2.gz DDQXJZHZPRIYDU-LSDHHAIUSA-N 0 2 322.384 0.242 20 0 DCADLN CCn1ncnc1C[NH2+][C@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001018721289 693206610 /nfs/dbraw/zinc/20/66/10/693206610.db2.gz HAOPYZBKNACWET-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN CC1(C)C[C@H]1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001073578797 694746056 /nfs/dbraw/zinc/74/60/56/694746056.db2.gz WJQYFXFSSNOVPX-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN CC(C)CC(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074147814 694827375 /nfs/dbraw/zinc/82/73/75/694827375.db2.gz KZXIPLXISUUYIV-NWDGAFQWSA-N 0 2 323.397 0.358 20 0 DCADLN CCC(CC)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075564510 694959170 /nfs/dbraw/zinc/95/91/70/694959170.db2.gz WZYZTNXFMGUIAG-NWDGAFQWSA-N 0 2 307.398 0.979 20 0 DCADLN CCC(CC)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075564510 694959171 /nfs/dbraw/zinc/95/91/71/694959171.db2.gz WZYZTNXFMGUIAG-NWDGAFQWSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001076857632 695077798 /nfs/dbraw/zinc/07/77/98/695077798.db2.gz UYUFEIABUNFHIP-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001076857632 695077801 /nfs/dbraw/zinc/07/78/01/695077801.db2.gz UYUFEIABUNFHIP-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001076857428 695077806 /nfs/dbraw/zinc/07/78/06/695077806.db2.gz QNOLLGKDAZCMRI-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001076857428 695077808 /nfs/dbraw/zinc/07/78/08/695077808.db2.gz QNOLLGKDAZCMRI-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN COc1cc(COCC(=O)NCCCc2n[nH]c(=O)[nH]2)ccn1 ZINC000863893738 1158925782 /nfs/dbraw/zinc/92/57/82/1158925782.db2.gz WHTCJHXIVQILHW-UHFFFAOYSA-N 0 2 321.337 0.180 20 0 DCADLN CC1(C)[C@@]2(C)CC[C@]1(C(=O)NCCCc1n[nH]c(=O)[nH]1)OC2=O ZINC000863893776 1158925946 /nfs/dbraw/zinc/92/59/46/1158925946.db2.gz XFXSMNUPJCKPID-LSDHHAIUSA-N 0 2 322.365 0.681 20 0 DCADLN C[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001397584938 1159222764 /nfs/dbraw/zinc/22/27/64/1159222764.db2.gz XPKNJZHTVBWFQK-QWRGUYRKSA-N 0 2 319.365 0.940 20 0 DCADLN CC(C)(C(=O)NC[C@@H]1COCC[N@@H+]1CC1CC1)c1c[nH]cn1 ZINC001447148302 1159589087 /nfs/dbraw/zinc/58/90/87/1159589087.db2.gz FYNFQXZVPBHULV-CYBMUJFWSA-N 0 2 306.410 0.914 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001447426967 1159638342 /nfs/dbraw/zinc/63/83/42/1159638342.db2.gz WBGNOJIICSDZHM-FSDSQADBSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001447426967 1159638345 /nfs/dbraw/zinc/63/83/45/1159638345.db2.gz WBGNOJIICSDZHM-FSDSQADBSA-N 0 2 323.250 0.093 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)n1nnnc1C(C)(C)C)c1nn(C)cc1O ZINC001456799362 1159762373 /nfs/dbraw/zinc/76/23/73/1159762373.db2.gz VYZWZXRGUOZRIE-BDAKNGLRSA-N 0 2 321.385 0.848 20 0 DCADLN CON(C)C(=O)CNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001561666555 1159868961 /nfs/dbraw/zinc/86/89/61/1159868961.db2.gz PBZNOOGMTCABSQ-UHFFFAOYSA-N 0 2 323.758 0.504 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C=Cc2ccco2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001566078607 1159962200 /nfs/dbraw/zinc/96/22/00/1159962200.db2.gz SKERUNURKGINHC-SFLCEZBHSA-N 0 2 317.349 0.896 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C=Cc2ccco2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001566078607 1159962214 /nfs/dbraw/zinc/96/22/14/1159962214.db2.gz SKERUNURKGINHC-SFLCEZBHSA-N 0 2 317.349 0.896 20 0 DCADLN O=C(CCOCC1CC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001566621966 1160098078 /nfs/dbraw/zinc/09/80/78/1160098078.db2.gz IUHQBRXQURTEFW-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@H](c1nc(-c2nc[nH]n2)no1)[N@@H+]1CCCN(C(=O)[O-])CC1 ZINC001573590845 1163513238 /nfs/dbraw/zinc/51/32/38/1163513238.db2.gz XUSZFBGWURINEK-MRVPVSSYSA-N 0 2 307.314 0.601 20 0 DCADLN C[C@H](c1nc(-c2nc[nH]n2)no1)[N@H+]1CCCN(C(=O)[O-])CC1 ZINC001573590845 1163513243 /nfs/dbraw/zinc/51/32/43/1163513243.db2.gz XUSZFBGWURINEK-MRVPVSSYSA-N 0 2 307.314 0.601 20 0 DCADLN C[N@H+](Cc1cnc2c(C(=O)[O-])cnn2c1)[C@@H]1CCCCNC1=O ZINC001573877415 1163575846 /nfs/dbraw/zinc/57/58/46/1163575846.db2.gz PQPQARWNCJZJHV-GFCCVEGCSA-N 0 2 317.349 0.528 20 0 DCADLN C[N@@H+](Cc1cnc2c(C(=O)[O-])cnn2c1)[C@@H]1CCCCNC1=O ZINC001573877415 1163575848 /nfs/dbraw/zinc/57/58/48/1163575848.db2.gz PQPQARWNCJZJHV-GFCCVEGCSA-N 0 2 317.349 0.528 20 0 DCADLN O=C([O-])N1C[C@H](CO)[C@H](NC(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC001574341662 1163699221 /nfs/dbraw/zinc/69/92/21/1163699221.db2.gz GCZJTFRAQTYGOO-MWLCHTKSSA-N 0 2 319.321 0.427 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001574924444 1163802785 /nfs/dbraw/zinc/80/27/85/1163802785.db2.gz OILDVQAWJSCYJN-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n(C)n1 ZINC001574924444 1163802791 /nfs/dbraw/zinc/80/27/91/1163802791.db2.gz OILDVQAWJSCYJN-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN C[C@@H]([NH2+]Cc1c[nH]nn1)C1CCN(C(=O)c2cnns2)CC1 ZINC001575703786 1164112932 /nfs/dbraw/zinc/11/29/32/1164112932.db2.gz UBFCJJCLRKBHNS-SECBINFHSA-N 0 2 321.410 0.687 20 0 DCADLN CC[C@@H](C)[C@@H](O)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001576609733 1164412777 /nfs/dbraw/zinc/41/27/77/1164412777.db2.gz DQJBTHXNJBNLLS-XCBNKYQSSA-N 0 2 320.353 0.413 20 0 DCADLN C[S@](=O)(=NS(=O)(=O)NCc1cn[nH]n1)c1ccccc1 ZINC001577108562 1164605279 /nfs/dbraw/zinc/60/52/79/1164605279.db2.gz PDIIVHJXDUWHPO-LJQANCHMSA-N 0 2 315.380 0.296 20 0 DCADLN O=C(Cc1ncccc1F)NCC1CN(Cc2cn[nH]n2)C1 ZINC001577966580 1164866177 /nfs/dbraw/zinc/86/61/77/1164866177.db2.gz RERVLANJNYWTCX-UHFFFAOYSA-N 0 2 304.329 0.130 20 0 DCADLN O=C(Cc1ncccc1F)NCC1CN(Cc2c[nH]nn2)C1 ZINC001577966580 1164866180 /nfs/dbraw/zinc/86/61/80/1164866180.db2.gz RERVLANJNYWTCX-UHFFFAOYSA-N 0 2 304.329 0.130 20 0 DCADLN O=S(=O)(N[C@H](Cc1cn[nH]n1)c1ccccc1)c1ncc[nH]1 ZINC001578047056 1164908346 /nfs/dbraw/zinc/90/83/46/1164908346.db2.gz DLCYUWORDPNPPM-GFCCVEGCSA-N 0 2 318.362 0.790 20 0 DCADLN O=S(=O)(N[C@H](Cc1c[nH]nn1)c1ccccc1)c1ncc[nH]1 ZINC001578047056 1164908350 /nfs/dbraw/zinc/90/83/50/1164908350.db2.gz DLCYUWORDPNPPM-GFCCVEGCSA-N 0 2 318.362 0.790 20 0 DCADLN COc1ccc(C)cc1Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001579991411 1165463905 /nfs/dbraw/zinc/46/39/05/1165463905.db2.gz YFTPJEOFMBTRSC-UHFFFAOYSA-N 0 2 314.305 0.494 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@H](CO)[C@H]1C ZINC001580597517 1165649269 /nfs/dbraw/zinc/64/92/69/1165649269.db2.gz ICJPZWNSUZVVDL-BDAKNGLRSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCCC[C@H]1CO ZINC001580596580 1165649689 /nfs/dbraw/zinc/64/96/89/1165649689.db2.gz BWKZMDYONLGUOC-VIFPVBQESA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC(C)(C)C(C)(C)O ZINC001580600925 1165651028 /nfs/dbraw/zinc/65/10/28/1165651028.db2.gz RGASZNLYVQCWDO-UHFFFAOYSA-N 0 2 320.353 0.555 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CCOC1(C)C ZINC001580600664 1165651691 /nfs/dbraw/zinc/65/16/91/1165651691.db2.gz GLVZWMZACGGYHT-VIFPVBQESA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](C)[C@@H]1CCOC1 ZINC001580601298 1165651898 /nfs/dbraw/zinc/65/18/98/1165651898.db2.gz UXSLJMPUOJOGFT-RKDXNWHRSA-N 0 2 318.337 0.431 20 0 DCADLN C[C@H](C(=O)NC[C@](C)(NC(=O)C(N)=O)C1CC1)C(F)(F)F ZINC001582658513 1166062856 /nfs/dbraw/zinc/06/28/56/1166062856.db2.gz FXTAIERMHISXNC-KBUNVGBDSA-N 0 2 309.288 0.071 20 0 DCADLN CN(C)C(=O)CC(=O)NC[C@](C)(NC(=O)[C@@H]1CC12CC2)C1CC1 ZINC001582663017 1166063330 /nfs/dbraw/zinc/06/33/30/1166063330.db2.gz HFWBZTYZUDCRDU-LRDDRELGSA-N 0 2 321.421 0.666 20 0 DCADLN COCCCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001583760070 1166281497 /nfs/dbraw/zinc/28/14/97/1166281497.db2.gz HGEFUGDGAKKVFO-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN COCCCC(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001583760070 1166281517 /nfs/dbraw/zinc/28/15/17/1166281517.db2.gz HGEFUGDGAKKVFO-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)(O)CONC(=O)C1(c2ccc(-c3nn[nH]n3)cc2)CC1 ZINC001589338613 1166650619 /nfs/dbraw/zinc/65/06/19/1166650619.db2.gz DSQHBDFAZHSLNA-UHFFFAOYSA-N 0 2 317.349 0.717 20 0 DCADLN CC(C)[C@@H](CNc1cncc(-c2nn[nH]n2)n1)N1CCOCC1 ZINC001589459507 1166659373 /nfs/dbraw/zinc/65/93/73/1166659373.db2.gz FNZPZCAGRIEYPE-GFCCVEGCSA-N 0 2 318.385 0.425 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)c(O)c1)c1nc[nH]n1 ZINC001589944368 1166726946 /nfs/dbraw/zinc/72/69/46/1166726946.db2.gz GYWDVVVLKJJMRB-ZCFIWIBFSA-N 0 2 300.282 0.181 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)c(O)c1)c1nnc[nH]1 ZINC001589944368 1166726948 /nfs/dbraw/zinc/72/69/48/1166726948.db2.gz GYWDVVVLKJJMRB-ZCFIWIBFSA-N 0 2 300.282 0.181 20 0 DCADLN CC1(C)C(C(=O)Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)C1(C)C ZINC001590073586 1166765528 /nfs/dbraw/zinc/76/55/28/1166765528.db2.gz PIVLCRSMLJAJMJ-UHFFFAOYSA-N 0 2 318.337 0.380 20 0 DCADLN C[C@@H]1CC[C@@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C[C@H]1C ZINC001590239291 1166822896 /nfs/dbraw/zinc/82/28/96/1166822896.db2.gz XYHVBRFLRXFPCG-IWSPIJDZSA-N 0 2 319.369 0.903 20 0 DCADLN CCC[NH+]1CCN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)CC1 ZINC001590634783 1166953689 /nfs/dbraw/zinc/95/36/89/1166953689.db2.gz NKWFUFMICMFFNH-UHFFFAOYSA-N 0 2 316.365 0.740 20 0 DCADLN CCNC(=S)N1CCc2[nH]c(=O)c(-c3nn[nH]n3)cc2C1 ZINC001590745701 1167003827 /nfs/dbraw/zinc/00/38/27/1167003827.db2.gz NLXLSNQIMGCCNH-UHFFFAOYSA-N 0 2 305.367 0.220 20 0 DCADLN CCOC[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)C(C)C ZINC001590799777 1167024101 /nfs/dbraw/zinc/02/41/01/1167024101.db2.gz CANKOTHWMCVRLY-MRVPVSSYSA-N 0 2 323.357 0.139 20 0 DCADLN CCc1nc(CNC(=O)c2ccsc2-c2nn[nH]n2)n[nH]1 ZINC001590913361 1167048134 /nfs/dbraw/zinc/04/81/34/1167048134.db2.gz OMLMPWQTYIZSLX-UHFFFAOYSA-N 0 2 304.339 0.539 20 0 DCADLN CN(C)C(=O)OCCn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001591004811 1167074314 /nfs/dbraw/zinc/07/43/14/1167074314.db2.gz SXDOTYYKXVAMHX-UHFFFAOYSA-N 0 2 318.337 0.215 20 0 DCADLN COc1ncc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)c(C)n1 ZINC001591663416 1167344466 /nfs/dbraw/zinc/34/44/66/1167344466.db2.gz SUEBQJSRADNPJR-UHFFFAOYSA-N 0 2 313.321 0.492 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2nnnn2C(C)(C)C)c1 ZINC001591836643 1167389787 /nfs/dbraw/zinc/38/97/87/1167389787.db2.gz FMWWZYFCMCGTTK-UHFFFAOYSA-N 0 2 315.341 0.127 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC001591835961 1167391089 /nfs/dbraw/zinc/39/10/89/1167391089.db2.gz PNNSKZDNQVQQBU-VROVMSAKSA-N 0 2 300.322 0.804 20 0 DCADLN Cc1cc(-c2nn[nH]n2)cc(NCCCc2n[nH]c(=O)[nH]2)n1 ZINC001591857526 1167398390 /nfs/dbraw/zinc/39/83/90/1167398390.db2.gz GWLAOAQCVXQMRW-UHFFFAOYSA-N 0 2 301.314 0.439 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2ccnn2C)ccc1-c1nn[nH]n1 ZINC001592067282 1167449213 /nfs/dbraw/zinc/44/92/13/1167449213.db2.gz BYFXSAPBMDNWHR-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1ccc(C(=O)Cn2cncc(-c3nn[nH]n3)c2=O)c(C)c1 ZINC001592115342 1167458971 /nfs/dbraw/zinc/45/89/71/1167458971.db2.gz CGYRHSWOIFQVTN-UHFFFAOYSA-N 0 2 310.317 0.923 20 0 DCADLN Cc1ccnc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)nc2)n1 ZINC001592275495 1167482204 /nfs/dbraw/zinc/48/22/04/1167482204.db2.gz OMFRECSSALPLMV-UHFFFAOYSA-N 0 2 318.322 0.161 20 0 DCADLN Cc1cnc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)nc1 ZINC001592295775 1167485697 /nfs/dbraw/zinc/48/56/97/1167485697.db2.gz NUHSLGQXODNHMJ-UHFFFAOYSA-N 0 2 309.333 0.664 20 0 DCADLN Cc1nc(-c2nn[nH]n2)c(-n2[nH]c([C@H]3CCOC3)cc2=O)o1 ZINC001592337386 1167492844 /nfs/dbraw/zinc/49/28/44/1167492844.db2.gz UWFYJUXMQFOTGL-ZETCQYMHSA-N 0 2 303.282 0.292 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC001592495012 1167537282 /nfs/dbraw/zinc/53/72/82/1167537282.db2.gz HFBSUDBHIWWXHY-LURJTMIESA-N 0 2 317.313 0.157 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC[N@H+](C)[C@H](C)[C@@H]1C ZINC001592498948 1167539376 /nfs/dbraw/zinc/53/93/76/1167539376.db2.gz FGNNFCVRRGHGEP-BDAKNGLRSA-N 0 2 304.354 0.933 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCN(C)[C@H](C)[C@@H]1C ZINC001592498948 1167539382 /nfs/dbraw/zinc/53/93/82/1167539382.db2.gz FGNNFCVRRGHGEP-BDAKNGLRSA-N 0 2 304.354 0.933 20 0 DCADLN Cn1c(=O)[nH]c(Nc2ccc3[nH]ccc3c2)c(-c2nn[nH]n2)c1=O ZINC001592545426 1167551293 /nfs/dbraw/zinc/55/12/93/1167551293.db2.gz WLRLZMQZYCPQLG-UHFFFAOYSA-N 0 2 324.304 0.891 20 0 DCADLN Nc1[nH+]c(N[C@@H]2CC[N@@H+]3CCC[C@@H]3C2)ccc1-c1nn[nH]n1 ZINC001592763051 1167638890 /nfs/dbraw/zinc/63/88/90/1167638890.db2.gz IRHCSVJCQGCMMC-NXEZZACHSA-N 0 2 300.370 0.883 20 0 DCADLN Nc1[nH+]c(N[C@@H]2CC[N@H+]3CCC[C@@H]3C2)ccc1-c1nn[nH]n1 ZINC001592763051 1167638893 /nfs/dbraw/zinc/63/88/93/1167638893.db2.gz IRHCSVJCQGCMMC-NXEZZACHSA-N 0 2 300.370 0.883 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CC1CCC(F)(F)CC1 ZINC001593489752 1167871025 /nfs/dbraw/zinc/87/10/25/1167871025.db2.gz YVUAODBXIYETAM-UHFFFAOYSA-N 0 2 312.280 0.955 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@H]1CCC2(CCC2)O1 ZINC001593489738 1167871550 /nfs/dbraw/zinc/87/15/50/1167871550.db2.gz YGSOTNQNFQACMP-MRVPVSSYSA-N 0 2 304.310 0.231 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CCOCC1CC1)CCC2 ZINC001593492494 1167875688 /nfs/dbraw/zinc/87/56/88/1167875688.db2.gz RMVLUQKABTZSFN-UHFFFAOYSA-N 0 2 301.350 0.944 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1nc(-c2ccco2)no1 ZINC001593494206 1167875865 /nfs/dbraw/zinc/87/58/65/1167875865.db2.gz KEASRPZCSRGRSV-UHFFFAOYSA-N 0 2 312.249 0.115 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1cc(CO)ccc1F ZINC001593495286 1167880858 /nfs/dbraw/zinc/88/08/58/1167880858.db2.gz SYQHAUOWQBVRQU-UHFFFAOYSA-N 0 2 301.281 0.708 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)NCC(C)(C)CC(=O)[O-])c[nH+]1 ZINC001600159957 1168129250 /nfs/dbraw/zinc/12/92/50/1168129250.db2.gz JYKYTJWFMYJHDA-UHFFFAOYSA-N 0 2 321.377 0.932 20 0 DCADLN Cc1cccnc1C=CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600592397 1168205729 /nfs/dbraw/zinc/20/57/29/1168205729.db2.gz VQBSSIVAQKJRAD-ZFDPJTLLSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1cccnc1C=CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600592397 1168205736 /nfs/dbraw/zinc/20/57/36/1168205736.db2.gz VQBSSIVAQKJRAD-ZFDPJTLLSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1ccnc(C[N@H+](C)CCCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001600600089 1168208054 /nfs/dbraw/zinc/20/80/54/1168208054.db2.gz SAXZZLGAOFCHEJ-VXGBXAGGSA-N 0 2 306.366 0.444 20 0 DCADLN Cc1ccnc(C[N@@H+](C)CCCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001600600089 1168208062 /nfs/dbraw/zinc/20/80/62/1168208062.db2.gz SAXZZLGAOFCHEJ-VXGBXAGGSA-N 0 2 306.366 0.444 20 0 DCADLN Cc1ccn2ncc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c2c1 ZINC001600597106 1168208173 /nfs/dbraw/zinc/20/81/73/1168208173.db2.gz YJXUEYNYZBJVML-LBPRGKRZSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1ccn2ncc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c2c1 ZINC001600597106 1168208181 /nfs/dbraw/zinc/20/81/81/1168208181.db2.gz YJXUEYNYZBJVML-LBPRGKRZSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1cn2c(n1)C[C@@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])CC2 ZINC001600615100 1168214066 /nfs/dbraw/zinc/21/40/66/1168214066.db2.gz OEZQPZZWJHVIHG-RYUDHWBXSA-N 0 2 317.349 0.220 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)NCCC(=O)NCCC(=O)[O-])ccn12 ZINC001600626488 1168217936 /nfs/dbraw/zinc/21/79/36/1168217936.db2.gz KZBXOXZIVQBZIT-UHFFFAOYSA-N 0 2 318.333 0.354 20 0 DCADLN Cc1ncc(CNC(=O)CC[N@@H+]2C[C@@H](F)C[C@H]2C(=O)[O-])s1 ZINC001600735795 1168268882 /nfs/dbraw/zinc/26/88/82/1168268882.db2.gz GGSWUVZNIPODFR-ONGXEEELSA-N 0 2 315.370 0.955 20 0 DCADLN Cc1ncc(CNC(=O)CC[N@H+]2C[C@@H](F)C[C@H]2C(=O)[O-])s1 ZINC001600735795 1168268898 /nfs/dbraw/zinc/26/88/98/1168268898.db2.gz GGSWUVZNIPODFR-ONGXEEELSA-N 0 2 315.370 0.955 20 0 DCADLN Cc1nn(C(C)C)cc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600766368 1168283628 /nfs/dbraw/zinc/28/36/28/1168283628.db2.gz LVCFXSVNASHFCF-LBPRGKRZSA-N 0 2 305.338 0.921 20 0 DCADLN Cc1nn(C(C)C)cc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600766368 1168283637 /nfs/dbraw/zinc/28/36/37/1168283637.db2.gz LVCFXSVNASHFCF-LBPRGKRZSA-N 0 2 305.338 0.921 20 0 DCADLN Cc1nn(C)c(Cl)c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600772134 1168287156 /nfs/dbraw/zinc/28/71/56/1168287156.db2.gz KNZXRMOSALFQAQ-MRVPVSSYSA-N 0 2 311.729 0.531 20 0 DCADLN Cc1nn(C)c(Cl)c1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600772134 1168287166 /nfs/dbraw/zinc/28/71/66/1168287166.db2.gz KNZXRMOSALFQAQ-MRVPVSSYSA-N 0 2 311.729 0.531 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[NH+]1CCC([C@H](O)C(=O)[O-])CC1 ZINC001600771636 1168287371 /nfs/dbraw/zinc/28/73/71/1168287371.db2.gz SBKBLGRKWUTALH-AWEZNQCLSA-N 0 2 324.381 0.133 20 0 DCADLN Cn1cc([C@H](CO)[NH2+]Cc2nc3cc(C(=O)[O-])ccc3[nH]2)cn1 ZINC001600939507 1168520432 /nfs/dbraw/zinc/52/04/32/1168520432.db2.gz PXEXGKMTUSRRDE-ZDUSSCGKSA-N 0 2 315.333 0.818 20 0 DCADLN Cn1cc(C2=CCC[N@H+](Cc3cn(CC(=O)[O-])nn3)C2)cn1 ZINC001600945243 1168525575 /nfs/dbraw/zinc/52/55/75/1168525575.db2.gz MPDFAEQTHKKYCF-UHFFFAOYSA-N 0 2 302.338 0.386 20 0 DCADLN Cn1cc(C2=CCC[N@@H+](Cc3cn(CC(=O)[O-])nn3)C2)cn1 ZINC001600945243 1168525585 /nfs/dbraw/zinc/52/55/85/1168525585.db2.gz MPDFAEQTHKKYCF-UHFFFAOYSA-N 0 2 302.338 0.386 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ccc(C(=O)[O-])cc1F ZINC001600993150 1168550628 /nfs/dbraw/zinc/55/06/28/1168550628.db2.gz JNIFBHUWFXIEDA-LLVKDONJSA-N 0 2 307.281 0.721 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC001601001145 1168556526 /nfs/dbraw/zinc/55/65/26/1168556526.db2.gz PDHTYBMZRWJBSI-SNVBAGLBSA-N 0 2 315.333 0.522 20 0 DCADLN Cn1ncc(C[N@H+](CCCNC(=O)[C@H]2C[C@H]2C(=O)[O-])C2CC2)n1 ZINC001601026148 1168569801 /nfs/dbraw/zinc/56/98/01/1168569801.db2.gz HSWSEOIQYAIRNS-QWHCGFSZSA-N 0 2 321.381 0.007 20 0 DCADLN Cn1ncc(C[N@@H+](CCCNC(=O)[C@H]2C[C@H]2C(=O)[O-])C2CC2)n1 ZINC001601026148 1168569809 /nfs/dbraw/zinc/56/98/09/1168569809.db2.gz HSWSEOIQYAIRNS-QWHCGFSZSA-N 0 2 321.381 0.007 20 0 DCADLN Cn1ncnc1C[NH2+][C@@H]1CCc2cc(C(=O)[O-])ccc2NC1=O ZINC001601038938 1168574614 /nfs/dbraw/zinc/57/46/14/1168574614.db2.gz UMAOPXAFZCCEMJ-GFCCVEGCSA-N 0 2 315.333 0.556 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CCCc1ccccn1 ZINC001601270795 1168685146 /nfs/dbraw/zinc/68/51/46/1168685146.db2.gz AHKIYQBFCRORSQ-ZDUSSCGKSA-N 0 2 302.334 0.940 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CCCc1ccccn1 ZINC001601270795 1168685152 /nfs/dbraw/zinc/68/51/52/1168685152.db2.gz AHKIYQBFCRORSQ-ZDUSSCGKSA-N 0 2 302.334 0.940 20 0 DCADLN O=C([O-])[C@H]1CC[N@H+](CC(=O)Nc2ccon2)[C@H]([C@H]2CCCO2)C1 ZINC001601305353 1168697173 /nfs/dbraw/zinc/69/71/73/1168697173.db2.gz KROJKMAPFPSRAV-SDDRHHMPSA-N 0 2 323.349 0.957 20 0 DCADLN O=C([O-])[C@H]1CC[N@@H+](CC(=O)Nc2ccon2)[C@H]([C@H]2CCCO2)C1 ZINC001601305353 1168697176 /nfs/dbraw/zinc/69/71/76/1168697176.db2.gz KROJKMAPFPSRAV-SDDRHHMPSA-N 0 2 323.349 0.957 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1c[nH]c2cnccc12 ZINC001601353481 1168717252 /nfs/dbraw/zinc/71/72/52/1168717252.db2.gz OUKSYZILCWEGDB-GFCCVEGCSA-N 0 2 313.317 0.641 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1c[nH]c2cnccc12 ZINC001601353481 1168717260 /nfs/dbraw/zinc/71/72/60/1168717260.db2.gz OUKSYZILCWEGDB-GFCCVEGCSA-N 0 2 313.317 0.641 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1cccnc1Cl ZINC001601369889 1168720916 /nfs/dbraw/zinc/72/09/16/1168720916.db2.gz BLSCPUAXCGDJQC-SNVBAGLBSA-N 0 2 308.725 0.744 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)NC[C@]1(C(=O)[O-])CCOC1 ZINC001601372648 1168722937 /nfs/dbraw/zinc/72/29/37/1168722937.db2.gz IHWYOFLESYURGF-OAHLLOKOSA-N 0 2 307.350 0.369 20 0 DCADLN O=C([O-])[C@@H](Cc1cncs1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001601425182 1168741380 /nfs/dbraw/zinc/74/13/80/1168741380.db2.gz VEANNIUACYRCEA-BXKDBHETSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ncoc1[C@@H]1CCCO1 ZINC001601568060 1168785102 /nfs/dbraw/zinc/78/51/02/1168785102.db2.gz RJZMPRQVVRTXSD-ZJUUUORDSA-N 0 2 320.305 0.675 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ncoc1[C@@H]1CCCO1 ZINC001601568060 1168785110 /nfs/dbraw/zinc/78/51/10/1168785110.db2.gz RJZMPRQVVRTXSD-ZJUUUORDSA-N 0 2 320.305 0.675 20 0 DCADLN O=C([O-])[C@]1(F)CCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001601624261 1168796424 /nfs/dbraw/zinc/79/64/24/1168796424.db2.gz KCFRDLORVGAYGQ-AWEZNQCLSA-N 0 2 320.280 0.298 20 0 DCADLN O=C([O-])[C@H]([NH2+][C@@H]1CCN(C2CCOCC2)C1=O)c1cccnc1 ZINC001601665888 1168809085 /nfs/dbraw/zinc/80/90/85/1168809085.db2.gz IIUYSPPSMSCEHN-ZIAGYGMSSA-N 0 2 319.361 0.577 20 0 DCADLN O=C([O-])C1(S(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)CCC1 ZINC001601724461 1168832636 /nfs/dbraw/zinc/83/26/36/1168832636.db2.gz JJHCQLYNSKKVLQ-UHFFFAOYSA-N 0 2 313.379 0.857 20 0 DCADLN O=C([O-])C12CCC(C(=O)NC[C@H]3C[NH+]4CCN3CC4)(CC1)C2 ZINC001601730347 1168838194 /nfs/dbraw/zinc/83/81/94/1168838194.db2.gz RRSBGACPDMFMHH-JQRITLKVSA-N 0 2 307.394 0.138 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2cncc(F)c2)C1 ZINC001601778264 1168882548 /nfs/dbraw/zinc/88/25/48/1168882548.db2.gz GJKPAAOWQFAJGJ-OLZOCXBDSA-N 0 2 307.325 0.489 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001601780279 1168886138 /nfs/dbraw/zinc/88/61/38/1168886138.db2.gz KQARCWGGGJMJBG-XWLWVQCSSA-N 0 2 320.349 0.405 20 0 DCADLN O=C([O-])[C@H]1c2ccccc2CCN1C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC001601867732 1168920136 /nfs/dbraw/zinc/92/01/36/1168920136.db2.gz IWDDGUGEGZAEPE-CHWSQXEVSA-N 0 2 301.302 0.654 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001601910689 1168930669 /nfs/dbraw/zinc/93/06/69/1168930669.db2.gz RLSFYOINOUECQX-KBPBESRZSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001601910689 1168930671 /nfs/dbraw/zinc/93/06/71/1168930671.db2.gz RLSFYOINOUECQX-KBPBESRZSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001602062036 1168981286 /nfs/dbraw/zinc/98/12/86/1168981286.db2.gz AUFRYBBUKVZCGL-UHFFFAOYSA-N 0 2 315.333 0.314 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1Cc1nc2c(s1)CCC2 ZINC001602066577 1168983282 /nfs/dbraw/zinc/98/32/82/1168983282.db2.gz DDNSYQHRSGLQTF-SNVBAGLBSA-N 0 2 309.391 0.797 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1Cc1nc2c(s1)CCC2 ZINC001602066577 1168983286 /nfs/dbraw/zinc/98/32/86/1168983286.db2.gz DDNSYQHRSGLQTF-SNVBAGLBSA-N 0 2 309.391 0.797 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1CNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC001602194847 1169023705 /nfs/dbraw/zinc/02/37/05/1169023705.db2.gz NNQQNCBHSILPBM-FRRDWIJNSA-N 0 2 311.382 0.213 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1 ZINC001602452034 1169080750 /nfs/dbraw/zinc/08/07/50/1169080750.db2.gz FCCZDURDLRCODF-UHFFFAOYSA-N 0 2 307.331 0.827 20 0 DCADLN O=C([O-])c1nccnc1N1CC[NH+](Cc2cncs2)CC1 ZINC001602581500 1169125552 /nfs/dbraw/zinc/12/55/52/1169125552.db2.gz VVLGVHWQDXVJIU-UHFFFAOYSA-N 0 2 305.363 0.954 20 0 DCADLN O=C([O-])c1nccnc1NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC001602580639 1169126797 /nfs/dbraw/zinc/12/67/97/1169126797.db2.gz GMQWXQCVACJSGX-AWEZNQCLSA-N 0 2 324.406 0.795 20 0 DCADLN C[C@@H](NCc1cccc(-c2nn[nH]n2)n1)[C@@H](O)C(F)(F)F ZINC001603668633 1169348711 /nfs/dbraw/zinc/34/87/11/1169348711.db2.gz YWJJNYSASCXNSL-HZGVNTEJSA-N 0 2 302.260 0.663 20 0 DCADLN C[C@@H]1CCCC[C@@H]1N(C)c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603891462 1169423113 /nfs/dbraw/zinc/42/31/13/1169423113.db2.gz RVLLJBPCMRAXSY-BDAKNGLRSA-N 0 2 319.369 0.269 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(C(=O)c2cnc(C3CC3)[nH]2)CC1 ZINC001604113658 1169495188 /nfs/dbraw/zinc/49/51/88/1169495188.db2.gz DYNJJISCVXMLGT-JTQLQIEISA-N 0 2 306.366 0.908 20 0 DCADLN CCC[C@@H](C)CCCn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC001604136323 1169503582 /nfs/dbraw/zinc/50/35/82/1169503582.db2.gz LINIDTOZASYPMT-SNVBAGLBSA-N 0 2 306.370 0.944 20 0 DCADLN C[C@@](CO)(NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC001604193062 1169520783 /nfs/dbraw/zinc/52/07/83/1169520783.db2.gz CGMBCSWRTCLKOI-HNNXBMFYSA-N 0 2 303.318 0.367 20 0 DCADLN C[C@@H](NC(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)[C@@H](C)[NH+]1CCOCC1 ZINC001604285289 1169547179 /nfs/dbraw/zinc/54/71/79/1169547179.db2.gz BELUHWKEFGYNPX-KVSVUVNWSA-N 0 2 311.382 0.068 20 0 DCADLN C[C@H]([NH2+]CC(=O)Nc1nc(CC(=O)[O-])cs1)c1ccn(C)n1 ZINC001604333622 1169555955 /nfs/dbraw/zinc/55/59/55/1169555955.db2.gz ZKKAPVRQTJLYHH-QMMMGPOBSA-N 0 2 323.378 0.793 20 0 DCADLN C[C@H]([NH2+]C[C@@H](O)COc1ccc(C(=O)[O-])cc1)c1ncn(C)n1 ZINC001604333083 1169556852 /nfs/dbraw/zinc/55/68/52/1169556852.db2.gz OUACOTYQERUTJV-CMPLNLGQSA-N 0 2 320.349 0.604 20 0 DCADLN C[C@@H](c1nccc(N)n1)[N@@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001604482509 1169600544 /nfs/dbraw/zinc/60/05/44/1169600544.db2.gz UICKKGFVQLEAHM-UWVGGRQHSA-N 0 2 317.353 0.747 20 0 DCADLN C[C@@H](c1nccc(N)n1)[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001604482509 1169600551 /nfs/dbraw/zinc/60/05/51/1169600551.db2.gz UICKKGFVQLEAHM-UWVGGRQHSA-N 0 2 317.353 0.747 20 0 DCADLN CCc1nc([C@H](C)Nc2cc(-c3nn[nH]n3)nc(C)n2)n[nH]1 ZINC001604552473 1169615405 /nfs/dbraw/zinc/61/54/05/1169615405.db2.gz OHSVAZGDNVCJNT-LURJTMIESA-N 0 2 300.330 0.819 20 0 DCADLN CCn1ncnc1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001604623715 1169633176 /nfs/dbraw/zinc/63/31/76/1169633176.db2.gz LMDGQBCCSGVTFP-UHFFFAOYSA-N 0 2 312.337 0.177 20 0 DCADLN C[C@H]1CCn2cc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])nc2C1 ZINC001604766566 1169673269 /nfs/dbraw/zinc/67/32/69/1169673269.db2.gz IAGJBZVBVAQEIJ-JQWIXIFHSA-N 0 2 317.349 0.545 20 0 DCADLN CC[C@@H]1C[N@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)C[C@H]1O ZINC001605171778 1169856730 /nfs/dbraw/zinc/85/67/30/1169856730.db2.gz ATMVEMYJMPBWMZ-PSASIEDQSA-N 0 2 313.379 0.411 20 0 DCADLN CC[C@@H]1C[N@@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)C[C@H]1O ZINC001605171778 1169856733 /nfs/dbraw/zinc/85/67/33/1169856733.db2.gz ATMVEMYJMPBWMZ-PSASIEDQSA-N 0 2 313.379 0.411 20 0 DCADLN CSCc1nc(CNc2nccnc2-c2nn[nH]n2)n[nH]1 ZINC001605380266 1169907904 /nfs/dbraw/zinc/90/79/04/1169907904.db2.gz IFLITDDKNAHEQL-UHFFFAOYSA-N 0 2 304.343 0.250 20 0 DCADLN CSCc1n[nH]c(CNc2nccnc2-c2nn[nH]n2)n1 ZINC001605380266 1169907911 /nfs/dbraw/zinc/90/79/11/1169907911.db2.gz IFLITDDKNAHEQL-UHFFFAOYSA-N 0 2 304.343 0.250 20 0 DCADLN CSCc1nnc(CNc2nccnc2-c2nn[nH]n2)[nH]1 ZINC001605380266 1169907914 /nfs/dbraw/zinc/90/79/14/1169907914.db2.gz IFLITDDKNAHEQL-UHFFFAOYSA-N 0 2 304.343 0.250 20 0 DCADLN CCN(C)C(=O)C[NH2+][C@@H](C(=O)[O-])c1cccc(C(=O)OC)c1 ZINC001605406756 1169913653 /nfs/dbraw/zinc/91/36/53/1169913653.db2.gz IXGKEOKPQBCLAK-CYBMUJFWSA-N 0 2 308.334 0.667 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC001605415813 1169915957 /nfs/dbraw/zinc/91/59/57/1169915957.db2.gz WHQGFZFXNJTIHL-UHFFFAOYSA-N 0 2 307.350 0.733 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC001605415813 1169915961 /nfs/dbraw/zinc/91/59/61/1169915961.db2.gz WHQGFZFXNJTIHL-UHFFFAOYSA-N 0 2 307.350 0.733 20 0 DCADLN CCN1C[C@@H](C[N@H+](C)[C@H](COC2CCC2)C(=O)[O-])OC1=O ZINC001605469165 1169931033 /nfs/dbraw/zinc/93/10/33/1169931033.db2.gz FFYABODTOKUNQC-VXGBXAGGSA-N 0 2 300.355 0.781 20 0 DCADLN CCN1C[C@@H](C[N@@H+](C)[C@H](COC2CCC2)C(=O)[O-])OC1=O ZINC001605469165 1169931038 /nfs/dbraw/zinc/93/10/38/1169931038.db2.gz FFYABODTOKUNQC-VXGBXAGGSA-N 0 2 300.355 0.781 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCCSC1 ZINC001605506577 1169942213 /nfs/dbraw/zinc/94/22/13/1169942213.db2.gz FWQGROYYFAKRIS-UHFFFAOYSA-N 0 2 306.351 0.812 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCNC(=O)CC2)c1 ZINC001605510978 1169944568 /nfs/dbraw/zinc/94/45/68/1169944568.db2.gz QYQWAIDBLBKLTA-JTQLQIEISA-N 0 2 302.338 0.253 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](CC)CC(=O)[O-] ZINC001605525061 1169947199 /nfs/dbraw/zinc/94/71/99/1169947199.db2.gz XRKCJQMKWMDNEK-NSHDSACASA-N 0 2 300.355 0.337 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](CC)CC(=O)[O-] ZINC001605525061 1169947201 /nfs/dbraw/zinc/94/72/01/1169947201.db2.gz XRKCJQMKWMDNEK-NSHDSACASA-N 0 2 300.355 0.337 20 0 DCADLN Cc1cc(-c2nn[nH]n2)cc(NS(=O)(=O)CC2(F)CC2)n1 ZINC001605535225 1169950733 /nfs/dbraw/zinc/95/07/33/1169950733.db2.gz QODHZGINWGVJGY-UHFFFAOYSA-N 0 2 312.330 0.814 20 0 DCADLN Cc1ccn(C[N@@H+]2CC[C@@]3(CCOC3)C2)c(=O)c1-c1nnn[n-]1 ZINC001605948961 1170031898 /nfs/dbraw/zinc/03/18/98/1170031898.db2.gz JUHSLUWZHBWDCF-OAHLLOKOSA-N 0 2 316.365 0.407 20 0 DCADLN Cc1ccn(C[N@H+]2CC[C@@]3(CCOC3)C2)c(=O)c1-c1nnn[n-]1 ZINC001605948961 1170031917 /nfs/dbraw/zinc/03/19/17/1170031917.db2.gz JUHSLUWZHBWDCF-OAHLLOKOSA-N 0 2 316.365 0.407 20 0 DCADLN Cc1ccn(CC(=O)c2ccns2)c(=O)c1-c1nn[nH]n1 ZINC001605949553 1170033136 /nfs/dbraw/zinc/03/31/36/1170033136.db2.gz RDAXOSHUHMNEPS-UHFFFAOYSA-N 0 2 302.319 0.676 20 0 DCADLN CN(C(=O)[C@H]1C[C@H]1C(=O)[O-])C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001605955778 1170035419 /nfs/dbraw/zinc/03/54/19/1170035419.db2.gz COGFBSGZQHQDBT-UONOGXRCSA-N 0 2 320.393 0.564 20 0 DCADLN Cc1csc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1-c1nn[nH]n1 ZINC001606002885 1170045697 /nfs/dbraw/zinc/04/56/97/1170045697.db2.gz AQZHTASKGJENGH-UHFFFAOYSA-N 0 2 321.326 0.382 20 0 DCADLN Cc1nn(CC(=O)[C@@H]2CCCOC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606115040 1170081382 /nfs/dbraw/zinc/08/13/82/1170081382.db2.gz JKUIWTVSWHIBBJ-SNVBAGLBSA-N 0 2 318.337 0.036 20 0 DCADLN CN(CCCNc1ncc(C(=O)[O-])cn1)C(=O)Cn1cc[nH+]c1 ZINC001606122833 1170084124 /nfs/dbraw/zinc/08/41/24/1170084124.db2.gz YTTFGMOWPMPORD-UHFFFAOYSA-N 0 2 318.337 0.332 20 0 DCADLN Cn1c(=O)[nH]c(NCC[C@@H]2CCCCO2)c(-c2nn[nH]n2)c1=O ZINC001606205398 1170112469 /nfs/dbraw/zinc/11/24/69/1170112469.db2.gz CEEUWZAEAKZVKW-QMMMGPOBSA-N 0 2 321.341 0.037 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCc2c[nH+]cn2C)[C@H](C(=O)[O-])C1 ZINC001606403073 1170187413 /nfs/dbraw/zinc/18/74/13/1170187413.db2.gz INVNGUPSXBIUDJ-JQWIXIFHSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2c(C)cc(C)[nH+]c2C)C[C@@H]1C(=O)[O-] ZINC001606424129 1170195502 /nfs/dbraw/zinc/19/55/02/1170195502.db2.gz ZUDCQRZOZJLLAV-NWDGAFQWSA-N 0 2 320.345 0.953 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2nccn2CC(F)F)C[C@@H]1C(=O)[O-] ZINC001606431459 1170198325 /nfs/dbraw/zinc/19/83/25/1170198325.db2.gz KSQIUKSNQXKQIA-IUCAKERBSA-N 0 2 317.292 0.454 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2nccn2CC(F)F)C[C@@H]1C(=O)[O-] ZINC001606431459 1170198329 /nfs/dbraw/zinc/19/83/29/1170198329.db2.gz KSQIUKSNQXKQIA-IUCAKERBSA-N 0 2 317.292 0.454 20 0 DCADLN COC(=O)CN(CC(=O)[O-])Cc1c[nH+]cn1Cc1ccccc1 ZINC001606467774 1170215306 /nfs/dbraw/zinc/21/53/06/1170215306.db2.gz DPNAWYJVHGVUOT-UHFFFAOYSA-N 0 2 317.345 0.991 20 0 DCADLN CO[C@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])c1ccc(F)cc1 ZINC001606648991 1170252452 /nfs/dbraw/zinc/25/24/52/1170252452.db2.gz OLOYTCJEWWHSAF-STQMWFEESA-N 0 2 321.308 0.979 20 0 DCADLN COCC(=O)NC1CC[NH+]([C@H](C)c2nc(C(=O)[O-])co2)CC1 ZINC001606773985 1170285024 /nfs/dbraw/zinc/28/50/24/1170285024.db2.gz AYJWWGIIKGBYQC-SECBINFHSA-N 0 2 311.338 0.661 20 0 DCADLN COC[C@@](C)([NH2+]CC(=O)N1CCC(c2ccccc2)=N1)C(=O)[O-] ZINC001606790847 1170290806 /nfs/dbraw/zinc/29/08/06/1170290806.db2.gz HIZXKJFSNYRORC-MRXNPFEDSA-N 0 2 319.361 0.702 20 0 DCADLN O=C(Nc1nc(-c2cccc(-c3nn[nH]n3)c2)n[nH]1)[C@H]1C[C@@H]1F ZINC001606950544 1170335938 /nfs/dbraw/zinc/33/59/38/1170335938.db2.gz HTUWOSMMHNJNQP-IUCAKERBSA-N 0 2 314.284 0.948 20 0 DCADLN CON(C)C(=O)C[N@@H+]1CCN(c2ccc(C(=O)[O-])cc2)C[C@@H]1C ZINC001606990341 1170348828 /nfs/dbraw/zinc/34/88/28/1170348828.db2.gz WCWDJWKUKGNXGK-LBPRGKRZSA-N 0 2 321.377 0.915 20 0 DCADLN CON(C)C(=O)C[N@H+]1CCN(c2ccc(C(=O)[O-])cc2)C[C@@H]1C ZINC001606990341 1170348836 /nfs/dbraw/zinc/34/88/36/1170348836.db2.gz WCWDJWKUKGNXGK-LBPRGKRZSA-N 0 2 321.377 0.915 20 0 DCADLN O=c1c(-c2nnn[n-]2)c2c(cn1C[NH+]1CCCC1)CCCC2 ZINC001607089236 1170387189 /nfs/dbraw/zinc/38/71/89/1170387189.db2.gz LMOFSMKSFRKVNT-UHFFFAOYSA-N 0 2 300.366 0.961 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1nnc(-c2ccccc2)o1 ZINC001607091131 1170389516 /nfs/dbraw/zinc/38/95/16/1170389516.db2.gz INEOIXHDRUJCDL-UHFFFAOYSA-N 0 2 322.288 0.522 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N[C@@](C)(CO)C(=O)[O-])cc1 ZINC001607253563 1170418797 /nfs/dbraw/zinc/41/87/97/1170418797.db2.gz KHEVAUCNBQZHAI-CJNGLKHVSA-N 0 2 322.361 0.221 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N[C@@](C)(CO)C(=O)[O-])cc1 ZINC001607253563 1170418801 /nfs/dbraw/zinc/41/88/01/1170418801.db2.gz KHEVAUCNBQZHAI-CJNGLKHVSA-N 0 2 322.361 0.221 20 0 DCADLN COc1cccc(C[C@H](NC(=O)Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001607319526 1170427354 /nfs/dbraw/zinc/42/73/54/1170427354.db2.gz UAVALWNYSNZGOV-ZDUSSCGKSA-N 0 2 303.318 0.773 20 0 DCADLN Cc1cc(C)n([C@H](C)C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001607629951 1170485990 /nfs/dbraw/zinc/48/59/90/1170485990.db2.gz UDANVQGPTWNLPB-PWSUYJOCSA-N 0 2 305.338 0.596 20 0 DCADLN Cc1cc(C)n([C@H](C)C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001607629951 1170485993 /nfs/dbraw/zinc/48/59/93/1170485993.db2.gz UDANVQGPTWNLPB-PWSUYJOCSA-N 0 2 305.338 0.596 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cnn(CC(=O)[O-])c2)cc(C)[nH+]1 ZINC001607697598 1170496641 /nfs/dbraw/zinc/49/66/41/1170496641.db2.gz PVVBTFLFBAMYTG-UHFFFAOYSA-N 0 2 310.335 0.202 20 0 DCADLN Cc1nc2ccnn2cc1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001607974326 1170536898 /nfs/dbraw/zinc/53/68/98/1170536898.db2.gz GDWGINPQXKHYFP-LLVKDONJSA-N 0 2 314.305 0.117 20 0 DCADLN Cn1cc(NC(=O)NC(C)(C)C[NH+]2CCOCC2)cc1C(=O)[O-] ZINC001608118118 1170579989 /nfs/dbraw/zinc/57/99/89/1170579989.db2.gz DXQMGNRXAVPWFN-UHFFFAOYSA-N 0 2 324.381 0.956 20 0 DCADLN Nc1ccc(Cn2cc(CN3CCCC[C@@H]3C(=O)[O-])nn2)c[nH+]1 ZINC001608272315 1170638222 /nfs/dbraw/zinc/63/82/22/1170638222.db2.gz DWKBWXXEEILNCM-CYBMUJFWSA-N 0 2 316.365 0.743 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC001608289945 1170642903 /nfs/dbraw/zinc/64/29/03/1170642903.db2.gz KBPYXDYFNCWEHT-NEPJUHHUSA-N 0 2 303.318 0.493 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cn1ccc(C(F)F)n1 ZINC001608378376 1170664118 /nfs/dbraw/zinc/66/41/18/1170664118.db2.gz IEOQWCQEDIKXHU-VIFPVBQESA-N 0 2 313.264 0.287 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001608610833 1170719266 /nfs/dbraw/zinc/71/92/66/1170719266.db2.gz LAFCGIVDEZYSJE-QWRGUYRKSA-N 0 2 322.390 0.293 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001608610833 1170719275 /nfs/dbraw/zinc/71/92/75/1170719275.db2.gz LAFCGIVDEZYSJE-QWRGUYRKSA-N 0 2 322.390 0.293 20 0 DCADLN O=C([O-])[C@@H]1CCN2C(=O)N([C@H]3CCn4cc[nH+]c4C3)C(=O)[C@@H]2C1 ZINC001608640366 1170728067 /nfs/dbraw/zinc/72/80/67/1170728067.db2.gz RWSVVNLSRGEROO-VWYCJHECSA-N 0 2 318.333 0.325 20 0 DCADLN O=C([O-])CN1CCN(C(=O)c2cnn(-c3cc[nH+]cc3)c2)CC1 ZINC001608749451 1170748476 /nfs/dbraw/zinc/74/84/76/1170748476.db2.gz IDIZKRJCLCJYSO-UHFFFAOYSA-N 0 2 315.333 0.110 20 0 DCADLN O=C([O-])c1cc(C[NH2+]Cc2nnc3c(=O)[nH]ccn23)cs1 ZINC001608843613 1170764853 /nfs/dbraw/zinc/76/48/53/1170764853.db2.gz UKAWHEXTLLJNFP-UHFFFAOYSA-N 0 2 305.319 0.467 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CCC[C@@H]3OCCNC(=O)[C@H]32)s1 ZINC001608882050 1170768038 /nfs/dbraw/zinc/76/80/38/1170768038.db2.gz DVDDMSMIFRSCHC-JQWIXIFHSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CCC[C@@H]3OCCNC(=O)[C@H]32)s1 ZINC001608882050 1170768042 /nfs/dbraw/zinc/76/80/42/1170768042.db2.gz DVDDMSMIFRSCHC-JQWIXIFHSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CC[C@H]3CS(=O)(=O)C[C@H]3C2)nc1 ZINC001608881530 1170768370 /nfs/dbraw/zinc/76/83/70/1170768370.db2.gz CIWBURWRQQPWIP-NWDGAFQWSA-N 0 2 310.375 0.646 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CC[C@H]3CS(=O)(=O)C[C@H]3C2)nc1 ZINC001608881530 1170768372 /nfs/dbraw/zinc/76/83/72/1170768372.db2.gz CIWBURWRQQPWIP-NWDGAFQWSA-N 0 2 310.375 0.646 20 0 DCADLN CC(C)CN(C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O)C1CC1 ZINC001609094953 1170808073 /nfs/dbraw/zinc/80/80/73/1170808073.db2.gz KIQYSYHFHNOMFA-UHFFFAOYSA-N 0 2 317.353 0.070 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccc(-c2nn[nH]n2)s1)c1nn[nH]n1 ZINC001609112032 1170814093 /nfs/dbraw/zinc/81/40/93/1170814093.db2.gz PWXJSNCHVPBEHB-LURJTMIESA-N 0 2 319.354 0.317 20 0 DCADLN CC[C@@H](C)NC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001609186787 1170855281 /nfs/dbraw/zinc/85/52/81/1170855281.db2.gz KSLOIPAFLUPDQE-SECBINFHSA-N 0 2 316.365 0.432 20 0 DCADLN CCCn1cc(CN2CCOCC2)cc(-c2nn[nH]n2)c1=O ZINC001609218553 1170874240 /nfs/dbraw/zinc/87/42/40/1170874240.db2.gz QRLOKNOEVLBFJI-UHFFFAOYSA-N 0 2 304.354 0.271 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CC3(CC3)C(=O)O2)c1 ZINC001609386556 1170959290 /nfs/dbraw/zinc/95/92/90/1170959290.db2.gz OZTQSMPRDYBIBB-SECBINFHSA-N 0 2 301.306 0.433 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCCS(=O)(=O)C2)c1 ZINC001609386236 1170959736 /nfs/dbraw/zinc/95/97/36/1170959736.db2.gz FEYHXIBOHCWMSC-JTQLQIEISA-N 0 2 323.378 0.162 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)N2CCCCC2)c1 ZINC001609386890 1170960037 /nfs/dbraw/zinc/96/00/37/1170960037.db2.gz ZJDCEQHYTMLLJR-UHFFFAOYSA-N 0 2 302.338 0.349 20 0 DCADLN Cc1cc(C[C@H](C)NC(=O)c2ccnc(-c3nn[nH]n3)c2)n[nH]1 ZINC001609399956 1170966569 /nfs/dbraw/zinc/96/65/69/1170966569.db2.gz WJUQNCKYWPPXMB-QMMMGPOBSA-N 0 2 312.337 0.654 20 0 DCADLN Cc1ccn(CC(=O)N2CCC[C@@H](C)C2)c(=O)c1-c1nn[nH]n1 ZINC001609437786 1170977085 /nfs/dbraw/zinc/97/70/85/1170977085.db2.gz ZOVHHLHHFFTGNQ-SNVBAGLBSA-N 0 2 316.365 0.595 20 0 DCADLN Cc1ccn(CC(=O)N2CCCC[C@H]2C)c(=O)c1-c1nn[nH]n1 ZINC001609437602 1170977651 /nfs/dbraw/zinc/97/76/51/1170977651.db2.gz NYXPQJMLZGRKOZ-LLVKDONJSA-N 0 2 316.365 0.738 20 0 DCADLN CC(C)(C(=O)[O-])[NH+]1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001609650209 1171059388 /nfs/dbraw/zinc/05/93/88/1171059388.db2.gz HHYTYJQWMWSLOW-ZETCQYMHSA-N 0 2 300.252 0.894 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)Cn1cc(C(=O)[O-])[nH+]c1C)C(=O)OC ZINC001609901350 1171119106 /nfs/dbraw/zinc/11/91/06/1171119106.db2.gz MKQWVSFSQAOTKQ-QPUJVOFHSA-N 0 2 311.338 0.594 20 0 DCADLN CNc1nc(N2C[C@H](O)C[C@H](C(=O)[O-])C2)[nH+]c2ccccc21 ZINC001610148948 1171176967 /nfs/dbraw/zinc/17/69/67/1171176967.db2.gz ZACXOWWGJPAJCO-VHSXEESVSA-N 0 2 302.334 0.943 20 0 DCADLN COC(=O)C1(NC(=O)CCc2c[nH]c[nH+]2)CCC(C(=O)[O-])CC1 ZINC001610155153 1171181307 /nfs/dbraw/zinc/18/13/07/1171181307.db2.gz UFUVFUGEQDDSIB-UHFFFAOYSA-N 0 2 323.349 0.645 20 0 DCADLN COC(=O)CC(C)(C)CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001610175349 1171187033 /nfs/dbraw/zinc/18/70/33/1171187033.db2.gz JEYGSBLBXSWVND-JTQLQIEISA-N 0 2 311.338 0.432 20 0 DCADLN COc1cc(OC)cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001610306778 1171218586 /nfs/dbraw/zinc/21/85/86/1171218586.db2.gz PLEPVRGEEYMJDK-ZDUSSCGKSA-N 0 2 319.317 0.784 20 0 DCADLN Cc1ccccc1[C@H](C(=O)[O-])[N@@H+]1CCn2nnc(CO)c2C1 ZINC001610529843 1171247485 /nfs/dbraw/zinc/24/74/85/1171247485.db2.gz SPDNFICCZNDKTH-CQSZACIVSA-N 0 2 302.334 0.720 20 0 DCADLN Cc1ccccc1[C@H](C(=O)[O-])[N@H+]1CCn2nnc(CO)c2C1 ZINC001610529843 1171247492 /nfs/dbraw/zinc/24/74/92/1171247492.db2.gz SPDNFICCZNDKTH-CQSZACIVSA-N 0 2 302.334 0.720 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1CCO[C@@H]([C@@H](C)O)C1 ZINC001610570660 1171256920 /nfs/dbraw/zinc/25/69/20/1171256920.db2.gz GXUAVTQRQGVDMJ-TZMCWYRMSA-N 0 2 311.382 0.556 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1CCO[C@@H]([C@@H](C)O)C1 ZINC001610570660 1171256929 /nfs/dbraw/zinc/25/69/29/1171256929.db2.gz GXUAVTQRQGVDMJ-TZMCWYRMSA-N 0 2 311.382 0.556 20 0 DCADLN NC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001610638423 1171276122 /nfs/dbraw/zinc/27/61/22/1171276122.db2.gz NNYPWYPNCLBGHC-LLVKDONJSA-N 0 2 306.322 0.066 20 0 DCADLN NC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001610638423 1171276125 /nfs/dbraw/zinc/27/61/25/1171276125.db2.gz NNYPWYPNCLBGHC-LLVKDONJSA-N 0 2 306.322 0.066 20 0 DCADLN CC(=O)N[C@H](CC1CCC1)C(=O)NCCc1n[nH]c(=S)o1 ZINC001617080986 1171385645 /nfs/dbraw/zinc/38/56/45/1171385645.db2.gz ZAKYDOQLIACWKW-SNVBAGLBSA-N 0 2 312.395 0.712 20 0 DCADLN O=C(Cn1c(=O)oc2ccccc21)NNC(=O)c1ccccn1 ZINC000017328697 1171401799 /nfs/dbraw/zinc/40/17/99/1171401799.db2.gz ILIYAIQARBPCGG-UHFFFAOYSA-N 0 2 312.285 0.451 20 0 DCADLN Cn1c(=O)[nH]c2ccc(C(=O)NCCc3n[nH]c(=S)o3)cc21 ZINC001633463164 1171643031 /nfs/dbraw/zinc/64/30/31/1171643031.db2.gz ILBYDTAJDGAVHV-UHFFFAOYSA-N 0 2 319.346 0.923 20 0 DCADLN CC[C@@H](C)CONC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001635635281 1171710318 /nfs/dbraw/zinc/71/03/18/1171710318.db2.gz GFJNHJOOBUISJP-MRVPVSSYSA-N 0 2 319.387 0.126 20 0 DCADLN O=C(/C=C\Cn1cncn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001639544381 1171843004 /nfs/dbraw/zinc/84/30/04/1171843004.db2.gz HNZGHQJYJCQTCL-GQPNGRKGSA-N 0 2 303.326 0.064 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCn2ccnc21 ZINC001642209028 1171939375 /nfs/dbraw/zinc/93/93/75/1171939375.db2.gz WFXNLBDVLVULPF-UHFFFAOYSA-N 0 2 312.289 0.123 20 0 DCADLN O=C(CCCCN1CCOCC1)NCc1nc(O)cc(=O)[nH]1 ZINC001642460144 1171946405 /nfs/dbraw/zinc/94/64/05/1171946405.db2.gz MJIOYERAWVTXKC-UHFFFAOYSA-N 0 2 310.354 0.007 20 0 DCADLN O=C(CCCCc1c[nH]nn1)N1CCC(c2nn[nH]n2)CC1 ZINC001644045299 1171993742 /nfs/dbraw/zinc/99/37/42/1171993742.db2.gz MUVBLRTXRRISCR-UHFFFAOYSA-N 0 2 304.358 0.437 20 0 DCADLN O=C(CCCCc1cn[nH]n1)N1CCC(c2nn[nH]n2)CC1 ZINC001644045299 1171993752 /nfs/dbraw/zinc/99/37/52/1171993752.db2.gz MUVBLRTXRRISCR-UHFFFAOYSA-N 0 2 304.358 0.437 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cccc(N2CCNC2=O)c1 ZINC001645653553 1172089793 /nfs/dbraw/zinc/08/97/93/1172089793.db2.gz FXEICZTVSJRKLI-UHFFFAOYSA-N 0 2 303.278 0.397 20 0 DCADLN COc1ccc(C(=O)N2CCC(O)(c3nn[nH]n3)CC2)c(O)c1 ZINC001645810851 1172152208 /nfs/dbraw/zinc/15/22/08/1172152208.db2.gz CPQUUMQTTHXLNB-UHFFFAOYSA-N 0 2 319.321 0.038 20 0 DCADLN O=C([O-])c1ccn(CCCC(=O)N2CCn3c[nH+]cc3C2)n1 ZINC001646261219 1172308906 /nfs/dbraw/zinc/30/89/06/1172308906.db2.gz INCCXSWNSXNKNR-UHFFFAOYSA-N 0 2 303.322 0.600 20 0 DCADLN CC[C@@H](CNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC001646725805 1172493259 /nfs/dbraw/zinc/49/32/59/1172493259.db2.gz TVSWQTQUZACDRB-STQMWFEESA-N 0 2 313.398 0.603 20 0 DCADLN O=C(C[C@H]1CCC(=O)NC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001647246907 1172762099 /nfs/dbraw/zinc/76/20/99/1172762099.db2.gz UVJMPIVCSJMNGC-ZJUUUORDSA-N 0 2 307.354 0.133 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@H+](CC(=O)N[C@@H]2C[NH+]3CCC2CC3)C1 ZINC001647604962 1172996749 /nfs/dbraw/zinc/99/67/49/1172996749.db2.gz PFRJINUCMQUIGZ-HUUCEWRRSA-N 0 2 323.437 0.472 20 0 DCADLN CC[C@@H](C(=O)N1CC[C@H](c2nn[nH]n2)C1)N1CCO[C@H](CC)C1 ZINC001649332858 1173109646 /nfs/dbraw/zinc/10/96/46/1173109646.db2.gz HRXCOMCCKXBMQG-XQQFMLRXSA-N 0 2 322.413 0.405 20 0 DCADLN COC(C)(C)c1nc(C)c(C(=O)NN2CC(=O)NC2=O)s1 ZINC001650694677 1173730836 /nfs/dbraw/zinc/73/08/36/1173730836.db2.gz COVDZJIJCMAESK-UHFFFAOYSA-N 0 2 312.351 0.530 20 0 DCADLN COc1cccn2ncc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c12 ZINC001650837241 1173733880 /nfs/dbraw/zinc/73/38/80/1173733880.db2.gz XXVHZOWWXCYNNS-UHFFFAOYSA-N 0 2 316.321 0.529 20 0 DCADLN CCN(CC[NH2+]Cc1cnn(CC)n1)C(=O)c1n[nH]c(C)c1[O-] ZINC001668405777 1174791811 /nfs/dbraw/zinc/79/18/11/1174791811.db2.gz OPPZQQDESLUVIG-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN N#Cc1ccccc1S(=O)(=O)Nc1ccc(C(N)=O)nc1 ZINC000092877094 1175615904 /nfs/dbraw/zinc/61/59/04/1175615904.db2.gz VOXWMDKQCDHWCV-UHFFFAOYSA-N 0 2 302.315 0.853 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)NOCc1ccccc1OC ZINC001680296058 1175802771 /nfs/dbraw/zinc/80/27/71/1175802771.db2.gz GWJUCNUKAHEPJC-UHFFFAOYSA-N 0 2 320.309 0.426 20 0 DCADLN O=C(C[C@H]1CCCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001686638182 1176180310 /nfs/dbraw/zinc/18/03/10/1176180310.db2.gz MQTUXSNYZFYWHD-SCZZXKLOSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(C[C@H]1CCCOC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001686638182 1176180313 /nfs/dbraw/zinc/18/03/13/1176180313.db2.gz MQTUXSNYZFYWHD-SCZZXKLOSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)C(F)C(F)(F)F ZINC001686688589 1176193206 /nfs/dbraw/zinc/19/32/06/1176193206.db2.gz CMSSZAZTICJYHG-HXFLIBJXSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[C@H](F)C(F)(F)F ZINC001686688589 1176193207 /nfs/dbraw/zinc/19/32/07/1176193207.db2.gz CMSSZAZTICJYHG-HXFLIBJXSA-N 0 2 312.263 0.687 20 0 DCADLN O=C([C@@H]1C[C@@H]2C=C[C@H]1CC2)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001688696062 1176592025 /nfs/dbraw/zinc/59/20/25/1176592025.db2.gz ZRPGIWPDUSEEPH-FRRDWIJNSA-N 0 2 317.393 0.757 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2sccc2Br)n1 ZINC000127690118 1177315035 /nfs/dbraw/zinc/31/50/35/1177315035.db2.gz IJASLJBCLTWUQD-UHFFFAOYSA-N 0 2 324.185 0.835 20 0 DCADLN COCC(=O)NCCOCCN(C)C(=O)C(F)C(F)(F)F ZINC001701530971 1179057275 /nfs/dbraw/zinc/05/72/75/1179057275.db2.gz ZGNPTHWRFZPZHT-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN COCC(=O)NCCOCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001701530971 1179057282 /nfs/dbraw/zinc/05/72/82/1179057282.db2.gz ZGNPTHWRFZPZHT-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN Cn1c(=O)cccc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001703218661 1179400647 /nfs/dbraw/zinc/40/06/47/1179400647.db2.gz NUJCQZJVPAOCJA-VIFPVBQESA-N 0 2 323.246 0.132 20 0 DCADLN Cn1c(=O)cccc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001703218661 1179400650 /nfs/dbraw/zinc/40/06/50/1179400650.db2.gz NUJCQZJVPAOCJA-VIFPVBQESA-N 0 2 323.246 0.132 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCNC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001703278630 1179439156 /nfs/dbraw/zinc/43/91/56/1179439156.db2.gz WSUQJPHEDZPKJU-VXGBXAGGSA-N 0 2 316.361 0.890 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001703591960 1179607108 /nfs/dbraw/zinc/60/71/08/1179607108.db2.gz AUBAJQMDCQQEKT-ZCFIWIBFSA-N 0 2 311.239 0.283 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001703591960 1179607111 /nfs/dbraw/zinc/60/71/11/1179607111.db2.gz AUBAJQMDCQQEKT-ZCFIWIBFSA-N 0 2 311.239 0.283 20 0 DCADLN COCCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001713991118 1181187824 /nfs/dbraw/zinc/18/78/24/1181187824.db2.gz GUNHFXSVZFHAJW-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN COCCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001713991118 1181187831 /nfs/dbraw/zinc/18/78/31/1181187831.db2.gz GUNHFXSVZFHAJW-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCOCCCC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716836060 1182538379 /nfs/dbraw/zinc/53/83/79/1182538379.db2.gz WLOISSOVOODWKJ-CQSZACIVSA-N 0 2 311.386 0.408 20 0 DCADLN CCOCCCC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716836060 1182538384 /nfs/dbraw/zinc/53/83/84/1182538384.db2.gz WLOISSOVOODWKJ-CQSZACIVSA-N 0 2 311.386 0.408 20 0 DCADLN CC(C)=CC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001718622169 1183292580 /nfs/dbraw/zinc/29/25/80/1183292580.db2.gz PKXRMKWBWDICFH-IONNQARKSA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001718622169 1183292585 /nfs/dbraw/zinc/29/25/85/1183292585.db2.gz PKXRMKWBWDICFH-IONNQARKSA-N 0 2 300.252 0.446 20 0 DCADLN C[N@H+](CCCNC(=O)c1cncc(Cl)c1)Cc1n[nH]c(=O)[n-]1 ZINC001731271293 1185232930 /nfs/dbraw/zinc/23/29/30/1185232930.db2.gz GIADWWGADWTYIS-UHFFFAOYSA-N 0 2 324.772 0.811 20 0 DCADLN C[N@@H+](CCCNC(=O)c1cncc(Cl)c1)Cc1n[nH]c(=O)[n-]1 ZINC001731271293 1185232935 /nfs/dbraw/zinc/23/29/35/1185232935.db2.gz GIADWWGADWTYIS-UHFFFAOYSA-N 0 2 324.772 0.811 20 0 DCADLN COCCCC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001732400510 1185799052 /nfs/dbraw/zinc/79/90/52/1185799052.db2.gz BXEWCXVMUGQESK-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)c1cnn(C)c1 ZINC001736785202 1187104945 /nfs/dbraw/zinc/10/49/45/1187104945.db2.gz JUUYOMAQTJYXJJ-VXNVDRBHSA-N 0 2 324.278 0.656 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1cnn(C)c1 ZINC001736785202 1187104950 /nfs/dbraw/zinc/10/49/50/1187104950.db2.gz JUUYOMAQTJYXJJ-VXNVDRBHSA-N 0 2 324.278 0.656 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@]12C[C@H]1COC2)C(F)C(F)(F)F ZINC001738525176 1187582543 /nfs/dbraw/zinc/58/25/43/1187582543.db2.gz JDWQJPLGALAGTE-VQCAAEIBSA-N 0 2 324.274 0.712 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@]12C[C@H]1COC2)[C@@H](F)C(F)(F)F ZINC001738525176 1187582547 /nfs/dbraw/zinc/58/25/47/1187582547.db2.gz JDWQJPLGALAGTE-VQCAAEIBSA-N 0 2 324.274 0.712 20 0 DCADLN CC[C@H](C)OCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001740525886 1187950631 /nfs/dbraw/zinc/95/06/31/1187950631.db2.gz LCMKGLLQSMNRIE-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](C)OCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001740525886 1187950639 /nfs/dbraw/zinc/95/06/39/1187950639.db2.gz LCMKGLLQSMNRIE-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN NC(=O)CCCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001742497296 1187994728 /nfs/dbraw/zinc/99/47/28/1187994728.db2.gz MEFFOHUHCQKCIE-SECBINFHSA-N 0 2 315.267 0.165 20 0 DCADLN NC(=O)CCCCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001742497296 1187994731 /nfs/dbraw/zinc/99/47/31/1187994731.db2.gz MEFFOHUHCQKCIE-SECBINFHSA-N 0 2 315.267 0.165 20 0 DCADLN CCCCNC(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001753377299 1188576674 /nfs/dbraw/zinc/57/66/74/1188576674.db2.gz UIVITFUOFMWAMP-SNVBAGLBSA-N 0 2 313.295 0.604 20 0 DCADLN CCCCNC(=O)CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001753377299 1188576679 /nfs/dbraw/zinc/57/66/79/1188576679.db2.gz UIVITFUOFMWAMP-SNVBAGLBSA-N 0 2 313.295 0.604 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001756510036 1189367014 /nfs/dbraw/zinc/36/70/14/1189367014.db2.gz CMBABHOSEDNVSB-DTWKUNHWSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CC=CC1)[C@@H](F)C(F)(F)F ZINC001756510036 1189367018 /nfs/dbraw/zinc/36/70/18/1189367018.db2.gz CMBABHOSEDNVSB-DTWKUNHWSA-N 0 2 312.263 0.446 20 0 DCADLN CC(C)c1noc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC001771611668 1190449987 /nfs/dbraw/zinc/44/99/87/1190449987.db2.gz NPSLWDUGQPHZCJ-ZETCQYMHSA-N 0 2 311.367 0.408 20 0 DCADLN CN(C(=O)c1ccc(F)c(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042929208 751986942 /nfs/dbraw/zinc/98/69/42/751986942.db2.gz RJNYCYQRYURQBJ-UHFFFAOYSA-N 0 2 323.303 0.745 20 0 DCADLN Cc1noc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001043023490 752041306 /nfs/dbraw/zinc/04/13/06/752041306.db2.gz ODWCQZSCGONRMM-UHFFFAOYSA-N 0 2 306.326 0.072 20 0 DCADLN COCC[N@@H+](CCC(=O)OC)Cc1nc2c(s1)C[NH2+]CC2 ZINC001579026208 1165190847 /nfs/dbraw/zinc/19/08/47/1165190847.db2.gz MRYLMVZUHAZSJY-UHFFFAOYSA-N 0 2 313.423 0.800 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)o1 ZINC001167404707 747161889 /nfs/dbraw/zinc/16/18/89/747161889.db2.gz DWNLGYLHWPGFIL-IVGLGHLBSA-N 0 2 321.289 0.393 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2=CCCC2)C1)C(F)C(F)(F)F ZINC001043378459 752203608 /nfs/dbraw/zinc/20/36/08/752203608.db2.gz XXUAWWACXXNTQV-SECBINFHSA-N 0 2 324.274 0.687 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2=CCCC2)C1)[C@@H](F)C(F)(F)F ZINC001043378459 752203614 /nfs/dbraw/zinc/20/36/14/752203614.db2.gz XXUAWWACXXNTQV-SECBINFHSA-N 0 2 324.274 0.687 20 0 DCADLN CN(C(=O)C[C@H]1CC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043547066 752284134 /nfs/dbraw/zinc/28/41/34/752284134.db2.gz TVYKXQDCIBTGCZ-NSHDSACASA-N 0 2 305.382 0.899 20 0 DCADLN COCC(=O)N1CC[C@@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001059027435 748591208 /nfs/dbraw/zinc/59/12/08/748591208.db2.gz CFQCAMZINPLYGJ-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CC[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001059027435 748591211 /nfs/dbraw/zinc/59/12/11/748591211.db2.gz CFQCAMZINPLYGJ-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnsn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087511151 748906798 /nfs/dbraw/zinc/90/67/98/748906798.db2.gz AUVOZKBITJOKQO-IONNQARKSA-N 0 2 323.382 0.145 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnsn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087511151 748906802 /nfs/dbraw/zinc/90/68/02/748906802.db2.gz AUVOZKBITJOKQO-IONNQARKSA-N 0 2 323.382 0.145 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2n[nH]cc2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087565291 748977518 /nfs/dbraw/zinc/97/75/18/748977518.db2.gz QEEXSXZFIHVLQO-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2n[nH]cc2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087565291 748977524 /nfs/dbraw/zinc/97/75/24/748977524.db2.gz QEEXSXZFIHVLQO-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN O=c1cc(I)nc(OC2COCOC2)[nH]1 ZINC001228946026 749573985 /nfs/dbraw/zinc/57/39/85/749573985.db2.gz GOHKSBUXLITXEA-UHFFFAOYSA-N 0 2 324.074 0.539 20 0 DCADLN C[C@@H](CNC(=O)C[N@@H+]1CC[C@H](C)C1)Nc1ncnc2[nH]cnc21 ZINC001098284419 750769513 /nfs/dbraw/zinc/76/95/13/750769513.db2.gz CYIYNZGPOADHGQ-QWRGUYRKSA-N 0 2 317.397 0.611 20 0 DCADLN C[C@@H](CNC(=O)C[N@H+]1CC[C@H](C)C1)Nc1ncnc2[nH]cnc21 ZINC001098284419 750769515 /nfs/dbraw/zinc/76/95/15/750769515.db2.gz CYIYNZGPOADHGQ-QWRGUYRKSA-N 0 2 317.397 0.611 20 0 DCADLN CC(=O)N1CCc2sc(CNCc3n[nH]c(=O)[nH]3)nc2C1 ZINC001089266212 750921391 /nfs/dbraw/zinc/92/13/91/750921391.db2.gz PEAZTVMAZDGCAZ-UHFFFAOYSA-N 0 2 308.367 0.161 20 0 DCADLN Cc1cc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001106141511 750978013 /nfs/dbraw/zinc/97/80/13/750978013.db2.gz CPMWZARTVLLQGB-APPZFPTMSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1cc(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001106141511 750978014 /nfs/dbraw/zinc/97/80/14/750978014.db2.gz CPMWZARTVLLQGB-APPZFPTMSA-N 0 2 310.251 0.574 20 0 DCADLN O=C(NC[C@H](CO)Nc1nccnc1F)C(F)C(F)(F)F ZINC001122761391 751155574 /nfs/dbraw/zinc/15/55/74/751155574.db2.gz RVKOQCXXDNMWRT-PHDIDXHHSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](CO)Nc1nccnc1F)[C@@H](F)C(F)(F)F ZINC001122761391 751155578 /nfs/dbraw/zinc/15/55/78/751155578.db2.gz RVKOQCXXDNMWRT-PHDIDXHHSA-N 0 2 314.214 0.405 20 0 DCADLN C[C@H]1CCC[C@@]1(C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043774904 752384747 /nfs/dbraw/zinc/38/47/47/752384747.db2.gz OCACLRIECUPMEG-ZUZCIYMTSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)C(C1CC1)C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044164157 752604233 /nfs/dbraw/zinc/60/42/33/752604233.db2.gz WVLQAUOVUQAMCG-UHFFFAOYSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C(=O)[C@@H]1CC2CCC1CC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044342978 752698360 /nfs/dbraw/zinc/69/83/60/752698360.db2.gz HSAXBGPFHCTMBC-GCZXYKMCSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC001044382671 752718751 /nfs/dbraw/zinc/71/87/51/752718751.db2.gz AIAYWZHJLIOZFV-SNVBAGLBSA-N 0 2 323.397 0.216 20 0 DCADLN Cn1nnc(CNC[C@@H]2CCCN2C(=O)C(F)C(F)(F)F)n1 ZINC001045047553 753020424 /nfs/dbraw/zinc/02/04/24/753020424.db2.gz GPCXOGSEEHSNEN-IONNQARKSA-N 0 2 324.282 0.191 20 0 DCADLN CC[N@H+]1CCCC[C@H]1C(=O)N[C@@H]1C[NH+](CC=C(C)C)C[C@H]1O ZINC001078229617 753124076 /nfs/dbraw/zinc/12/40/76/753124076.db2.gz QQRSJUWOKZIWPQ-OWCLPIDISA-N 0 2 309.454 0.988 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001046214319 753563297 /nfs/dbraw/zinc/56/32/97/753563297.db2.gz DRYGXFGZXSXWIW-AWEZNQCLSA-N 0 2 320.353 0.510 20 0 DCADLN C[C@]1(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046222122 753569311 /nfs/dbraw/zinc/56/93/11/753569311.db2.gz XZUSSBRJFOFALW-MAWWSGROSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@]1(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046222122 753569313 /nfs/dbraw/zinc/56/93/13/753569313.db2.gz XZUSSBRJFOFALW-MAWWSGROSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CCCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046231185 753573437 /nfs/dbraw/zinc/57/34/37/753573437.db2.gz AMYSYOULWAOCKP-QMTHXVAHSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CCCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046231185 753573440 /nfs/dbraw/zinc/57/34/40/753573440.db2.gz AMYSYOULWAOCKP-QMTHXVAHSA-N 0 2 309.370 0.160 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)cnn1 ZINC001046273771 753605813 /nfs/dbraw/zinc/60/58/13/753605813.db2.gz YNTJIQMSSVNAJY-CQSZACIVSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cccnn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071923447 762230616 /nfs/dbraw/zinc/23/06/16/762230616.db2.gz IRNYHIXMOXWVTQ-NXEZZACHSA-N 0 2 317.353 0.083 20 0 DCADLN COC1CC(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001046400381 753692767 /nfs/dbraw/zinc/69/27/67/753692767.db2.gz LFZVLCVPOCAIQN-FDZGAKKTSA-N 0 2 309.370 0.016 20 0 DCADLN COC1CC(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001046400381 753692769 /nfs/dbraw/zinc/69/27/69/753692769.db2.gz LFZVLCVPOCAIQN-FDZGAKKTSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1nnc([C@@H](C)N2CC[C@](C)(NC(=O)c3cnn[nH]3)C2)o1 ZINC001046443351 753722324 /nfs/dbraw/zinc/72/23/24/753722324.db2.gz YSGMZTUMGKQQPE-OQPBUACISA-N 0 2 305.342 0.452 20 0 DCADLN Cc1nnccc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046455867 753731897 /nfs/dbraw/zinc/73/18/97/753731897.db2.gz DUSXYJYBALORII-CQSZACIVSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@@]1(NC(=O)c2csc(=O)[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046562770 753794037 /nfs/dbraw/zinc/79/40/37/753794037.db2.gz QDDJPBZCUQDGIZ-GFCCVEGCSA-N 0 2 324.366 0.067 20 0 DCADLN C[C@@]1(NC(=O)c2csc(=O)[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046562770 753794046 /nfs/dbraw/zinc/79/40/46/753794046.db2.gz QDDJPBZCUQDGIZ-GFCCVEGCSA-N 0 2 324.366 0.067 20 0 DCADLN Cc1conc1C(=O)N[C@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071970184 762264933 /nfs/dbraw/zinc/26/49/33/762264933.db2.gz DGBTVPWGFHNFPT-UWVGGRQHSA-N 0 2 320.353 0.590 20 0 DCADLN CN(C(=O)C1CCCCC1)[C@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001047287066 754156897 /nfs/dbraw/zinc/15/68/97/754156897.db2.gz OAMRECXHDTUZGK-RYUDHWBXSA-N 0 2 323.397 0.094 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C1CC1)C(F)C(F)(F)F ZINC001061639165 754170390 /nfs/dbraw/zinc/17/03/90/754170390.db2.gz MJCWCKXTYRVTNM-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C1CC1)[C@H](F)C(F)(F)F ZINC001061639165 754170393 /nfs/dbraw/zinc/17/03/93/754170393.db2.gz MJCWCKXTYRVTNM-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1cccc(C[N@H+]2C[C@@H](NC(=O)c3ncn[nH]3)[C@@H](O)C2)c1 ZINC001083873666 754844179 /nfs/dbraw/zinc/84/41/79/754844179.db2.gz KTZLXZLJJMKSEB-OLZOCXBDSA-N 0 2 301.350 0.088 20 0 DCADLN Cc1cccc(C[N@@H+]2C[C@@H](NC(=O)c3ncn[nH]3)[C@@H](O)C2)c1 ZINC001083873666 754844181 /nfs/dbraw/zinc/84/41/81/754844181.db2.gz KTZLXZLJJMKSEB-OLZOCXBDSA-N 0 2 301.350 0.088 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001072126092 762371990 /nfs/dbraw/zinc/37/19/90/762371990.db2.gz INBAVMNGFMJLPB-SCZZXKLOSA-N 0 2 319.369 0.325 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CCOCC1 ZINC001096724208 755507117 /nfs/dbraw/zinc/50/71/17/755507117.db2.gz JDRJOTSVEHQTAH-UTUOFQBUSA-N 0 2 321.381 0.158 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)no1 ZINC001096770425 755525409 /nfs/dbraw/zinc/52/54/09/755525409.db2.gz LHYJLYKUNWHRTJ-KKZNHRDASA-N 0 2 318.337 0.342 20 0 DCADLN O=C(C[C@@H]1CCCO1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096832543 755531531 /nfs/dbraw/zinc/53/15/31/755531531.db2.gz JMQMEXHGQLFQLL-KXNHARMFSA-N 0 2 321.381 0.301 20 0 DCADLN O=C(C[C@@H]1CCCO1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096832543 755531532 /nfs/dbraw/zinc/53/15/32/755531532.db2.gz JMQMEXHGQLFQLL-KXNHARMFSA-N 0 2 321.381 0.301 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cocn1 ZINC001096880338 755539936 /nfs/dbraw/zinc/53/99/36/755539936.db2.gz JPWQLBLQBFPJHA-MRTMQBJTSA-N 0 2 304.310 0.034 20 0 DCADLN COC1CC(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)C1 ZINC001097287907 755641764 /nfs/dbraw/zinc/64/17/64/755641764.db2.gz YLUKSAVTPPMCRW-PHNIEDBHSA-N 0 2 321.381 0.157 20 0 DCADLN Cc1ncc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)s1 ZINC001079477477 755864303 /nfs/dbraw/zinc/86/43/03/755864303.db2.gz HCAGYQYFPAZHAM-VXNVDRBHSA-N 0 2 322.394 0.526 20 0 DCADLN Cc1cncc(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)c1 ZINC001079523274 755898188 /nfs/dbraw/zinc/89/81/88/755898188.db2.gz ARKVBZOIEBKDMZ-ZYHUDNBSSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1cncc(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)c1 ZINC001079523274 755898195 /nfs/dbraw/zinc/89/81/95/755898195.db2.gz ARKVBZOIEBKDMZ-ZYHUDNBSSA-N 0 2 316.365 0.464 20 0 DCADLN CC(C)(C)CC(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053159377 755934836 /nfs/dbraw/zinc/93/48/36/755934836.db2.gz RTWSHWMPJIUGSD-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)CCOC)C1 ZINC001079900922 756033032 /nfs/dbraw/zinc/03/30/32/756033032.db2.gz BNSKUVWABWKVTB-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)CCOC)C1 ZINC001079900922 756033036 /nfs/dbraw/zinc/03/30/36/756033036.db2.gz BNSKUVWABWKVTB-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN Cc1nnc([C@@H](C)N2C[C@@H](C)[C@H](NC(=O)c3cnn[nH]3)C2)o1 ZINC001080029658 756080384 /nfs/dbraw/zinc/08/03/84/756080384.db2.gz KHFUSHUTAUYRFL-SOCHQFKDSA-N 0 2 305.342 0.307 20 0 DCADLN CCc1nnc([C@H](C)N2C[C@@H](C)[C@H](NC(=O)c3cnn[nH]3)C2)o1 ZINC001080029752 756081473 /nfs/dbraw/zinc/08/14/73/756081473.db2.gz MEYSKLGJWFPRIB-WCABBAIRSA-N 0 2 319.369 0.561 20 0 DCADLN CCc1nnc([C@H](C)[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC001080029752 756081476 /nfs/dbraw/zinc/08/14/76/756081476.db2.gz MEYSKLGJWFPRIB-WCABBAIRSA-N 0 2 319.369 0.561 20 0 DCADLN CCc1nnc([C@H](C)[N@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC001080029752 756081481 /nfs/dbraw/zinc/08/14/81/756081481.db2.gz MEYSKLGJWFPRIB-WCABBAIRSA-N 0 2 319.369 0.561 20 0 DCADLN C[C@H](C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1)C1CC1 ZINC001053768169 756192661 /nfs/dbraw/zinc/19/26/61/756192661.db2.gz UZVGMSHTQVALSV-GXSJLCMTSA-N 0 2 321.381 0.016 20 0 DCADLN CO[C@@H](C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774553 756196350 /nfs/dbraw/zinc/19/63/50/756196350.db2.gz FILJYLUIVIALED-ONGXEEELSA-N 0 2 324.381 0.032 20 0 DCADLN COC[C@@H](C)[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774846 756197201 /nfs/dbraw/zinc/19/72/01/756197201.db2.gz KWBFAGWKBRBADI-MWLCHTKSSA-N 0 2 324.381 0.032 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)c(C)o1 ZINC001080664351 756287730 /nfs/dbraw/zinc/28/77/30/756287730.db2.gz SYMITRSYHLVSPW-GMSGAONNSA-N 0 2 320.353 0.365 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3cnon3)C2)c1[O-] ZINC001054575442 756547153 /nfs/dbraw/zinc/54/71/53/756547153.db2.gz SUWHVLBMYNATIN-GMSGAONNSA-N 0 2 306.326 0.057 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1(C)CCC1 ZINC001082032070 756910575 /nfs/dbraw/zinc/91/05/75/756910575.db2.gz ZKHCERRNJGPANL-NXEZZACHSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@H]1CC12CCC2 ZINC001082183539 756957213 /nfs/dbraw/zinc/95/72/13/756957213.db2.gz IVGBDKOONALMCY-HBNTYKKESA-N 0 2 321.381 0.016 20 0 DCADLN O=C(CC1CCC1)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001083036302 757440817 /nfs/dbraw/zinc/44/08/17/757440817.db2.gz ZNSKSVWUQPIIFI-NWDGAFQWSA-N 0 2 321.381 0.112 20 0 DCADLN O=C(c1cccnc1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084155276 757698447 /nfs/dbraw/zinc/69/84/47/757698447.db2.gz VMFSOOPFCMFHQO-VXGBXAGGSA-N 0 2 314.349 0.252 20 0 DCADLN O=C([C@@H]1CC1(F)F)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084329325 757789034 /nfs/dbraw/zinc/78/90/34/757789034.db2.gz UXPWQZLRKNYPCJ-HRDYMLBCSA-N 0 2 313.308 0.198 20 0 DCADLN CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001051206697 758511911 /nfs/dbraw/zinc/51/19/11/758511911.db2.gz MCDLQFSKODDSIK-SNVBAGLBSA-N 0 2 320.349 0.769 20 0 DCADLN CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001051206697 758511915 /nfs/dbraw/zinc/51/19/15/758511915.db2.gz MCDLQFSKODDSIK-SNVBAGLBSA-N 0 2 320.349 0.769 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cscn1 ZINC001085515590 758987611 /nfs/dbraw/zinc/98/76/11/758987611.db2.gz AVIBWIDYXKNWRI-MRVPVSSYSA-N 0 2 308.367 0.313 20 0 DCADLN CCc1n[nH]cc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085689347 759198244 /nfs/dbraw/zinc/19/82/44/759198244.db2.gz DGJXPFYDQZDYSF-SECBINFHSA-N 0 2 319.369 0.142 20 0 DCADLN CN(C[C@@H]1CC[N@@H+]1C/C=C/Cl)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699847 759210873 /nfs/dbraw/zinc/21/08/73/759210873.db2.gz XMOVZPXLTWZEKH-KHVHVRLGSA-N 0 2 313.745 0.182 20 0 DCADLN CN(C[C@@H]1CC[N@H+]1C/C=C/Cl)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699847 759210880 /nfs/dbraw/zinc/21/08/80/759210880.db2.gz XMOVZPXLTWZEKH-KHVHVRLGSA-N 0 2 313.745 0.182 20 0 DCADLN COc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001085892004 759448234 /nfs/dbraw/zinc/44/82/34/759448234.db2.gz NVFLQRMGUGRKSW-SECBINFHSA-N 0 2 321.337 0.458 20 0 DCADLN CC[C@H](F)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057833951 759576345 /nfs/dbraw/zinc/57/63/45/759576345.db2.gz XYJFLZDYBQDYBM-JGVFFNPUSA-N 0 2 320.258 0.572 20 0 DCADLN CC[C@H](F)C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057833951 759576349 /nfs/dbraw/zinc/57/63/49/759576349.db2.gz XYJFLZDYBQDYBM-JGVFFNPUSA-N 0 2 320.258 0.572 20 0 DCADLN CCCCC(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001112620885 761735590 /nfs/dbraw/zinc/73/55/90/761735590.db2.gz SPFPUPRBCOMCIZ-UHFFFAOYSA-N 0 2 317.393 0.857 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C2CCOCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071305718 761771401 /nfs/dbraw/zinc/77/14/01/761771401.db2.gz YILHZTGJAABSCU-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C2CCOCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071305718 761771404 /nfs/dbraw/zinc/77/14/04/761771404.db2.gz YILHZTGJAABSCU-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cncs2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071376521 761807077 /nfs/dbraw/zinc/80/70/77/761807077.db2.gz VLCJKMASGRRTRX-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C2=COCCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071386730 761815061 /nfs/dbraw/zinc/81/50/61/761815061.db2.gz VNFDANIGROCGPV-ZYHUDNBSSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C2=COCCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071386730 761815069 /nfs/dbraw/zinc/81/50/69/761815069.db2.gz VNFDANIGROCGPV-ZYHUDNBSSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)Cc2ccoc2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071451198 761868831 /nfs/dbraw/zinc/86/88/31/761868831.db2.gz QGHVTJTWJGQTFN-ZYHUDNBSSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)Cc2ccoc2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071451198 761868844 /nfs/dbraw/zinc/86/88/44/761868844.db2.gz QGHVTJTWJGQTFN-ZYHUDNBSSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C2(CF)CC2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001132301434 764364730 /nfs/dbraw/zinc/36/47/30/764364730.db2.gz ZVQRGPPKATZSLK-VHSXEESVSA-N 0 2 311.361 0.729 20 0 DCADLN CC(C)(C)[N@@H+]1CC[C@@](F)(C(=O)NCC[NH2+]Cc2ncccn2)C1 ZINC001133851216 765169927 /nfs/dbraw/zinc/16/99/27/765169927.db2.gz ZLJZEVZVSTZQTD-INIZCTEOSA-N 0 2 323.416 0.895 20 0 DCADLN C[C@@H]([NH2+]CCCNC(=O)Cc1nnc[nH]1)c1nc(C2CC2)no1 ZINC001170735037 765402850 /nfs/dbraw/zinc/40/28/50/765402850.db2.gz WBGAYWIGYXIDMB-SECBINFHSA-N 0 2 319.369 0.465 20 0 DCADLN C[C@@H]1C[NH+](C)C[C@H](C(=O)NCCC2=CC[N@H+](CCF)CC2)O1 ZINC001160479024 766765440 /nfs/dbraw/zinc/76/54/40/766765440.db2.gz JWWHZDYPINUENI-UKRRQHHQSA-N 0 2 313.417 0.813 20 0 DCADLN CC(C)=CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149116023 767369687 /nfs/dbraw/zinc/36/96/87/767369687.db2.gz FDXSYBRAOIMHLQ-NSHDSACASA-N 0 2 309.370 0.184 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCCCCC(=O)[O-])[C@@H](C)CO1 ZINC001121841973 767647561 /nfs/dbraw/zinc/64/75/61/767647561.db2.gz ZVGQARABGJLWGT-RYUDHWBXSA-N 0 2 301.387 0.650 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCCCCC(=O)[O-])[C@@H](C)CO1 ZINC001121841973 767647564 /nfs/dbraw/zinc/64/75/64/767647564.db2.gz ZVGQARABGJLWGT-RYUDHWBXSA-N 0 2 301.387 0.650 20 0 DCADLN O=C(NCc1ccc(-n2cc[nH+]c2)cc1)c1n[nH]c(=O)[n-]c1=O ZINC001142417484 768643730 /nfs/dbraw/zinc/64/37/30/768643730.db2.gz STRKQSGYUQXADF-UHFFFAOYSA-N 0 2 312.289 0.399 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149294490 768727052 /nfs/dbraw/zinc/72/70/52/768727052.db2.gz UTUFRGUNBINEEO-JXDHDYMSSA-N 0 2 323.397 0.574 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1CC1 ZINC001149472225 768761987 /nfs/dbraw/zinc/76/19/87/768761987.db2.gz DXQQLTYGSWCJQJ-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149694753 768822886 /nfs/dbraw/zinc/82/28/86/768822886.db2.gz UDEMQZNTMAUMIZ-RDQDRAATSA-N 0 2 323.397 0.574 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1cccnc1 ZINC001233715737 769433668 /nfs/dbraw/zinc/43/36/68/769433668.db2.gz MFBLZALIWCAPIL-GFCCVEGCSA-N 0 2 316.365 0.181 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@](C)(O)C1CC1 ZINC001233781451 769450088 /nfs/dbraw/zinc/45/00/88/769450088.db2.gz PYMHZXCWVQCSNX-NHYWBVRUSA-N 0 2 323.397 0.094 20 0 DCADLN CNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001235321975 769810292 /nfs/dbraw/zinc/81/02/92/769810292.db2.gz WBFGYNUYKASIFZ-OLZOCXBDSA-N 0 2 310.442 0.138 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1cncs1 ZINC001235653660 769925741 /nfs/dbraw/zinc/92/57/41/769925741.db2.gz SIOCEAUUPNUQSU-VIFPVBQESA-N 0 2 322.394 0.242 20 0 DCADLN CC(C)[C@@](C)(NC(=O)c1c[nH]c2cccnc2c1=O)C(N)=O ZINC001153859976 769966580 /nfs/dbraw/zinc/96/65/80/769966580.db2.gz DKGUFBCRVKRPST-OAHLLOKOSA-N 0 2 302.334 0.553 20 0 DCADLN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153861053 769967565 /nfs/dbraw/zinc/96/75/65/769967565.db2.gz WCTHHZCAJPSEGE-KYZUINATSA-N 0 2 321.358 0.229 20 0 DCADLN CCC(=O)N1CC[C@H](NC(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153861449 769969171 /nfs/dbraw/zinc/96/91/71/769969171.db2.gz CYGJDWVASRGCQE-JTQLQIEISA-N 0 2 314.345 0.664 20 0 DCADLN O=S(=O)([N-][C@@H]1C[NH2+]CC1(F)F)C(Cl)(Cl)Cl ZINC001238883903 770141712 /nfs/dbraw/zinc/14/17/12/770141712.db2.gz YLCOUYUKOFBQRH-GSVOUGTGSA-N 0 2 303.545 0.841 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1nc2ccc(Cl)nc2[nH]1 ZINC001155187328 770424420 /nfs/dbraw/zinc/42/44/20/770424420.db2.gz YKWNMJCLLOBUKX-SECBINFHSA-N 0 2 311.710 0.650 20 0 DCADLN O=C(Cn1nn[n-]c1=S)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001177739732 770541017 /nfs/dbraw/zinc/54/10/17/770541017.db2.gz RFKIHTIGVUNZCN-UHFFFAOYSA-N 0 2 315.362 0.845 20 0 DCADLN C[C@H](NC(=O)c1cn(-c2cccnc2)nn1)c1nn(C)cc1O ZINC001179926175 771035263 /nfs/dbraw/zinc/03/52/63/771035263.db2.gz CIWRJCRLZGXLSL-VIFPVBQESA-N 0 2 313.321 0.592 20 0 DCADLN O=C(CCC(=O)N1CCc2ccccc21)NCc1n[nH]c(=O)[nH]1 ZINC001180028360 771053018 /nfs/dbraw/zinc/05/30/18/771053018.db2.gz UYRGINYHWCXVCZ-UHFFFAOYSA-N 0 2 315.333 0.496 20 0 DCADLN Cc1nc2ccnn2cc1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001182832297 771562830 /nfs/dbraw/zinc/56/28/30/771562830.db2.gz HVYNHEDIUJDYOU-UHFFFAOYSA-N 0 2 301.310 0.224 20 0 DCADLN CC(C)[N@@H+](C)Cc1n[nH]c2c1CCN(C(=O)C1(CO)COC1)C2 ZINC001184042301 771737708 /nfs/dbraw/zinc/73/77/08/771737708.db2.gz GJUBOQUFBZNJPU-UHFFFAOYSA-N 0 2 322.409 0.144 20 0 DCADLN CCN(C)C(=O)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnc(C)[nH]3)[C@@H]2C1 ZINC001187272174 772158453 /nfs/dbraw/zinc/15/84/53/772158453.db2.gz GWEHCMMHNACDSX-GXTWGEPZSA-N 0 2 319.409 0.343 20 0 DCADLN Cc1[nH]ncc1C(=O)Nc1cnc(N2CC[NH+](C)CC2)nc1 ZINC001188050079 772259894 /nfs/dbraw/zinc/25/98/94/772259894.db2.gz LLFOGLDLPMNXKD-UHFFFAOYSA-N 0 2 301.354 0.512 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)Cc2ccc(F)c(F)c2)S1 ZINC001189328218 772454415 /nfs/dbraw/zinc/45/44/15/772454415.db2.gz SSTWUENLXIFBPQ-VIFPVBQESA-N 0 2 322.314 0.693 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc(-c2ccncc2)n[nH]1 ZINC001189328742 772454504 /nfs/dbraw/zinc/45/45/04/772454504.db2.gz IAYAWPYLBBALON-NSHDSACASA-N 0 2 303.303 0.511 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N1CC[C@@H]([NH+](C)Cc2cnnn2C)C1 ZINC001191018941 772708721 /nfs/dbraw/zinc/70/87/21/772708721.db2.gz HGSOSYMKCCBOCW-CYBMUJFWSA-N 0 2 322.457 0.578 20 0 DCADLN C[C@]1(CO)CCN(C(=O)c2ccc(O)c(F)c2F)C[C@H]1O ZINC001192831065 772965803 /nfs/dbraw/zinc/96/58/03/772965803.db2.gz AASPWDLUKYJHLK-QMTHXVAHSA-N 0 2 301.289 0.876 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1cc(F)c(O)cc1F ZINC001192875959 772967482 /nfs/dbraw/zinc/96/74/82/772967482.db2.gz GACJMTRXHXHIJQ-UHFFFAOYSA-N 0 2 323.215 0.952 20 0 DCADLN Cn1c(NS(=O)(=O)C[C@H]2CCCO2)nnc1C(F)(F)F ZINC001193200433 773023215 /nfs/dbraw/zinc/02/32/15/773023215.db2.gz ZXOZZINCCJXSHZ-ZCFIWIBFSA-N 0 2 314.289 0.755 20 0 DCADLN COC(=O)c1nn(C)cc1NS(=O)(=O)C[C@@H]1CCCO1 ZINC001193207352 773024593 /nfs/dbraw/zinc/02/45/93/773024593.db2.gz KOIGQZRZFOMVLZ-QMMMGPOBSA-N 0 2 303.340 0.127 20 0 DCADLN COc1cnc(Cl)c(NS(=O)(=O)c2nccn2C)n1 ZINC001193561800 773084563 /nfs/dbraw/zinc/08/45/63/773084563.db2.gz PDYCSNBNTMSKBO-UHFFFAOYSA-N 0 2 303.731 0.673 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnc3ccnn3c2)cn1 ZINC001195694866 773464130 /nfs/dbraw/zinc/46/41/30/773464130.db2.gz LDIGOSKSRWOKOF-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN COCCn1nccc1NS(=O)(=O)c1ccc(OC)nc1 ZINC001195695129 773464158 /nfs/dbraw/zinc/46/41/58/773464158.db2.gz NPJXPLLDNIBOET-UHFFFAOYSA-N 0 2 312.351 0.734 20 0 DCADLN Cc1cnn(CCC(=O)NNC(=O)c2n[nH]c3c2CCCC3)c1 ZINC000080324813 773539073 /nfs/dbraw/zinc/53/90/73/773539073.db2.gz PGJSZYOZDQPVEH-UHFFFAOYSA-N 0 2 316.365 0.645 20 0 DCADLN CCN(C)C(=O)C[NH+]1CCCN(C(=O)C[N@H+](C)C2CCC2)CC1 ZINC001196172298 773551438 /nfs/dbraw/zinc/55/14/38/773551438.db2.gz XUXAMJVUDHCEFS-UHFFFAOYSA-N 0 2 324.469 0.483 20 0 DCADLN COCC1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CCC1 ZINC001196564111 773611756 /nfs/dbraw/zinc/61/17/56/773611756.db2.gz AUPSAJANGWXHKK-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CC1(C)CC1 ZINC001213195971 773817142 /nfs/dbraw/zinc/81/71/42/773817142.db2.gz YUBVECYDHGTGPA-NXEZZACHSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1nccnc1OCC1CC1 ZINC001198026491 773845644 /nfs/dbraw/zinc/84/56/44/773845644.db2.gz XGQYGHBSFWEPTD-LLVKDONJSA-N 0 2 308.319 0.304 20 0 DCADLN CCCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001198276010 773889277 /nfs/dbraw/zinc/88/92/77/773889277.db2.gz KNRQWDYBZLAFIT-HUUCEWRRSA-N 0 2 308.426 0.704 20 0 DCADLN COc1nccc(C)c1-c1noc(-c2c[nH]c(=O)c(=O)[nH]2)n1 ZINC001213928248 773902908 /nfs/dbraw/zinc/90/29/08/773902908.db2.gz RAMNTALQHJVMCY-UHFFFAOYSA-N 0 2 301.262 0.492 20 0 DCADLN C[C@@H]([NH3+])c1ncc(C(=O)NCCCC[P@@](=O)([O-])O)s1 ZINC001220375195 774786282 /nfs/dbraw/zinc/78/62/82/774786282.db2.gz IOGVEKKWCUJXGI-SSDOTTSWSA-N 0 2 307.312 0.851 20 0 DCADLN C[C@@H]([NH3+])c1ncc(C(=O)NCCCC[P@](=O)([O-])O)s1 ZINC001220375195 774786286 /nfs/dbraw/zinc/78/62/86/774786286.db2.gz IOGVEKKWCUJXGI-SSDOTTSWSA-N 0 2 307.312 0.851 20 0 DCADLN CC(C)OCCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221301727 775059581 /nfs/dbraw/zinc/05/95/81/775059581.db2.gz WXLZCRFZIRECQZ-VXGBXAGGSA-N 0 2 323.397 0.358 20 0 DCADLN Cn1cc(C[NH+]2CCC(CNC(=O)Cc3c[nH]cn3)CC2)nn1 ZINC001224666375 775595335 /nfs/dbraw/zinc/59/53/35/775595335.db2.gz WRXOHBZAUDGNCI-UHFFFAOYSA-N 0 2 317.397 0.109 20 0 DCADLN O=C(COCC1CC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226245030 775787298 /nfs/dbraw/zinc/78/72/98/775787298.db2.gz ACHHTPIJJXRCSK-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN CC1(C)OC[C@H]2OC=C[C@@H](Oc3[nH]c(=O)nc4nc[nH]c43)[C@@H]2O1 ZINC001227074149 775923128 /nfs/dbraw/zinc/92/31/28/775923128.db2.gz UOJJTSZAHXXMHF-MRTMQBJTSA-N 0 2 320.305 0.870 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001227090574 775925783 /nfs/dbraw/zinc/92/57/83/775925783.db2.gz FAQHXZXFCHETLR-ARJAWSKDSA-N 0 2 316.365 0.632 20 0 DCADLN O=C(c1cnco1)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001042169037 777755125 /nfs/dbraw/zinc/75/51/25/777755125.db2.gz BVFHYTQHGZINBN-ZJUUUORDSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cnco1)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001042169037 777755134 /nfs/dbraw/zinc/75/51/34/777755134.db2.gz BVFHYTQHGZINBN-ZJUUUORDSA-N 0 2 318.337 0.235 20 0 DCADLN Cc1nc(CCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cs1 ZINC001600698444 1168257296 /nfs/dbraw/zinc/25/72/96/1168257296.db2.gz CFEZUJLWUBIQKE-NSHDSACASA-N 0 2 308.363 0.919 20 0 DCADLN CCO[C@H](CC)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001279511565 939237754 /nfs/dbraw/zinc/23/77/54/939237754.db2.gz PVKUZTMFWWMVOB-GFCCVEGCSA-N 0 2 323.397 0.574 20 0 DCADLN CCO[C@H](CC)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001279511565 939237756 /nfs/dbraw/zinc/23/77/56/939237756.db2.gz PVKUZTMFWWMVOB-GFCCVEGCSA-N 0 2 323.397 0.574 20 0 DCADLN O=C([O-])[C@]1(NC(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCOC1 ZINC000902061731 939283297 /nfs/dbraw/zinc/28/32/97/939283297.db2.gz DOHFWJIUDQPQQD-KWQFWETISA-N 0 2 321.255 0.737 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc(Cl)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001480938128 939442605 /nfs/dbraw/zinc/44/26/05/939442605.db2.gz BEGRXNOEDUASRK-UHFFFAOYSA-N 0 2 310.745 0.421 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc(Cl)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001480938128 939442606 /nfs/dbraw/zinc/44/26/06/939442606.db2.gz BEGRXNOEDUASRK-UHFFFAOYSA-N 0 2 310.745 0.421 20 0 DCADLN O=C(CCCn1cccc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481603967 939966009 /nfs/dbraw/zinc/96/60/09/939966009.db2.gz XIKFZMABVKYTGW-UHFFFAOYSA-N 0 2 304.354 0.093 20 0 DCADLN COCCOCC[NH+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCC[N@@H+]1C ZINC001318209556 945290553 /nfs/dbraw/zinc/29/05/53/945290553.db2.gz NTUYAPLBPWDKSF-SOUVJXGZSA-N 0 2 311.426 0.029 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)F)CCC1 ZINC001481691963 940042803 /nfs/dbraw/zinc/04/28/03/940042803.db2.gz ZSZPAEVECCRWEB-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN C/C=C/C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001268865617 940388376 /nfs/dbraw/zinc/38/83/76/940388376.db2.gz VBUBYIMCBLSAHS-NSCUHMNNSA-N 0 2 303.278 0.771 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001269194352 940644367 /nfs/dbraw/zinc/64/43/67/940644367.db2.gz HDSOQADNMUEMRX-XOULXFPDSA-N 0 2 303.322 0.507 20 0 DCADLN O=C(NC1CN(C(=O)C2=COCCO2)C1)C(F)C(F)(F)F ZINC001409060283 941300364 /nfs/dbraw/zinc/30/03/64/941300364.db2.gz HCURXYJYZKFAKU-QMMMGPOBSA-N 0 2 312.219 0.102 20 0 DCADLN O=C(NC1CN(C(=O)C2=COCCO2)C1)[C@H](F)C(F)(F)F ZINC001409060283 941300367 /nfs/dbraw/zinc/30/03/67/941300367.db2.gz HCURXYJYZKFAKU-QMMMGPOBSA-N 0 2 312.219 0.102 20 0 DCADLN Cc1nnc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)o1 ZINC001423093880 941300422 /nfs/dbraw/zinc/30/04/22/941300422.db2.gz MLJKGSKAPZVTMG-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nnc(CN2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)o1 ZINC001423093880 941300424 /nfs/dbraw/zinc/30/04/24/941300424.db2.gz MLJKGSKAPZVTMG-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@]1(C)CCCOC1 ZINC001482637979 941606480 /nfs/dbraw/zinc/60/64/80/941606480.db2.gz IJHIEVQCFUJDCR-AWEZNQCLSA-N 0 2 311.386 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@]1(C)CCCOC1 ZINC001482637979 941606481 /nfs/dbraw/zinc/60/64/81/941606481.db2.gz IJHIEVQCFUJDCR-AWEZNQCLSA-N 0 2 311.386 0.217 20 0 DCADLN CN1C(=O)COCC12CN(C(=O)c1c(F)ccc(F)c1O)C2 ZINC001272249446 941893926 /nfs/dbraw/zinc/89/39/26/941893926.db2.gz TVAMQODUHGLJOF-UHFFFAOYSA-N 0 2 312.272 0.354 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)OCC1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409548821 942045108 /nfs/dbraw/zinc/04/51/08/942045108.db2.gz XAULDYUBNDVZSQ-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)OCC1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409548821 942045115 /nfs/dbraw/zinc/04/51/15/942045115.db2.gz XAULDYUBNDVZSQ-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1COC(=O)N1 ZINC001412873430 942118965 /nfs/dbraw/zinc/11/89/65/942118965.db2.gz ASRCDPZWXDESMY-SECBINFHSA-N 0 2 303.278 0.533 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC1(F)F ZINC001483353513 942292464 /nfs/dbraw/zinc/29/24/64/942292464.db2.gz SIRHGBDWCRXJER-SFYZADRCSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC1(F)F ZINC001483353513 942292465 /nfs/dbraw/zinc/29/24/65/942292465.db2.gz SIRHGBDWCRXJER-SFYZADRCSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ncoc1C1CC1 ZINC001483404293 942338885 /nfs/dbraw/zinc/33/88/85/942338885.db2.gz RPMGBPBFARQXGD-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ncoc1C1CC1 ZINC001483404293 942338890 /nfs/dbraw/zinc/33/88/90/942338890.db2.gz RPMGBPBFARQXGD-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN COCC(=O)N(C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001414605120 942559186 /nfs/dbraw/zinc/55/91/86/942559186.db2.gz DFEHOYQUXITCJW-CBAPKCEASA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N(C)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001414605120 942559193 /nfs/dbraw/zinc/55/91/93/942559193.db2.gz DFEHOYQUXITCJW-CBAPKCEASA-N 0 2 300.252 0.593 20 0 DCADLN Cn1cc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)ccc1=O ZINC001413504387 943027443 /nfs/dbraw/zinc/02/74/43/943027443.db2.gz FERHRVRULMBDNI-SECBINFHSA-N 0 2 318.337 0.621 20 0 DCADLN Cc1[nH]nc(CNC(=O)c2ccc(NS(C)(=O)=O)nc2)c1C ZINC001413521049 943043809 /nfs/dbraw/zinc/04/38/09/943043809.db2.gz RTHVBENWAFTDEY-UHFFFAOYSA-N 0 2 323.378 0.723 20 0 DCADLN C[C@@H]1Cc2n[nH]cc2CN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC001413549074 943064910 /nfs/dbraw/zinc/06/49/10/943064910.db2.gz CRIQUEPFOGXLAX-GMSGAONNSA-N 0 2 307.379 0.487 20 0 DCADLN Cc1ncc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)c(C)n1 ZINC001413657275 943554104 /nfs/dbraw/zinc/55/41/04/943554104.db2.gz BJGOJSYQEOZEFB-UHFFFAOYSA-N 0 2 317.349 0.918 20 0 DCADLN COCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[N@@H+](C)C1CCC1)CO2 ZINC001484795842 943580740 /nfs/dbraw/zinc/58/07/40/943580740.db2.gz KBMASHWGJZGCAZ-CYBMUJFWSA-N 0 2 311.426 0.077 20 0 DCADLN C[C@@H](NC(=O)C1CCS(=O)(=O)CC1)c1nn(C)cc1O ZINC001413755503 943667370 /nfs/dbraw/zinc/66/73/70/943667370.db2.gz DIMPNSZAUDFCNV-MRVPVSSYSA-N 0 2 301.368 0.128 20 0 DCADLN Nc1cncc(S(=O)(=O)Nc2cnc(N3CCC3)nc2)c1 ZINC001210719887 944263503 /nfs/dbraw/zinc/26/35/03/944263503.db2.gz HZBLKCMFCUTHMJ-UHFFFAOYSA-N 0 2 306.351 0.465 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001272850156 944278456 /nfs/dbraw/zinc/27/84/56/944278456.db2.gz DTRZRCAHRWEQLI-CDCCAWJDSA-N 0 2 309.370 0.184 20 0 DCADLN CC(C)=CC[N@@H+](C)C[C@@H](O)CN(C)C(=O)Cc1c[nH+]cn1C ZINC001486356447 944816320 /nfs/dbraw/zinc/81/63/20/944816320.db2.gz PLVNKOJGTSKBBP-OAHLLOKOSA-N 0 2 308.426 0.680 20 0 DCADLN CCOC(=O)C1=C(O)CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001252317947 945888372 /nfs/dbraw/zinc/88/83/72/945888372.db2.gz DOOHCGHWDLPSGE-SSDOTTSWSA-N 0 2 317.285 0.680 20 0 DCADLN O=C(CCc1nc[nH]n1)N[C@H]1C[C@H](C[NH2+]Cc2nncs2)C1 ZINC001410180152 945991223 /nfs/dbraw/zinc/99/12/23/945991223.db2.gz LRPPAJCXPNNLKD-MGCOHNPYSA-N 0 2 321.410 0.273 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CC(Oc3cc[nH+]cc3)C2)cn1 ZINC001602508778 971216562 /nfs/dbraw/zinc/21/65/62/971216562.db2.gz NDSSINSOPKZOKG-UHFFFAOYSA-N 0 2 300.274 0.473 20 0 DCADLN CCn1cc(NS(=O)(=O)c2cnc3c(cnn3C)c2)cn1 ZINC001321444356 946334092 /nfs/dbraw/zinc/33/40/92/946334092.db2.gz PBJZVPGSPRLUMD-UHFFFAOYSA-N 0 2 306.351 0.986 20 0 DCADLN C[C@H](CS(=O)(=O)c1ccccc1)[NH2+]CC(=O)CCC(=O)[O-] ZINC001255804013 946550186 /nfs/dbraw/zinc/55/01/86/946550186.db2.gz HXTDHRXGCIZYGW-LLVKDONJSA-N 0 2 313.375 0.872 20 0 DCADLN O=C(Cc1cc[nH]n1)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001410567418 946568919 /nfs/dbraw/zinc/56/89/19/946568919.db2.gz SPIRKWFJFYSOLB-WPRPVWTQSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001410567418 946568925 /nfs/dbraw/zinc/56/89/25/946568925.db2.gz SPIRKWFJFYSOLB-WPRPVWTQSA-N 0 2 322.262 0.570 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc(F)cc2F)S1 ZINC001256089867 946585371 /nfs/dbraw/zinc/58/53/71/946585371.db2.gz LMWCIBRYBOYPBV-QMMMGPOBSA-N 0 2 308.287 0.552 20 0 DCADLN O=C(C[C@@H]1C=CCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001258316852 946821907 /nfs/dbraw/zinc/82/19/07/946821907.db2.gz ZZXZQYBVJLBVNH-GFCCVEGCSA-N 0 2 305.382 0.901 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ccncn1 ZINC001410739252 946867453 /nfs/dbraw/zinc/86/74/53/946867453.db2.gz VBRMWNYUMACSHF-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1ccncn1 ZINC001410739252 946867463 /nfs/dbraw/zinc/86/74/63/946867463.db2.gz VBRMWNYUMACSHF-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccccc2N2CC[C@H](O)C2)cn1 ZINC001259827466 946990739 /nfs/dbraw/zinc/99/07/39/946990739.db2.gz AHRDJYOKPYLHRZ-NSHDSACASA-N 0 2 322.390 0.792 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2cnn(C)c2)cc1F ZINC001259826928 946991405 /nfs/dbraw/zinc/99/14/05/946991405.db2.gz CZOOKDAIZNWQFS-UHFFFAOYSA-N 0 2 312.326 0.720 20 0 DCADLN O=S(=O)([N-]c1cc[nH+]c(CO)c1)c1cccc2nonc21 ZINC001260436066 947101724 /nfs/dbraw/zinc/10/17/24/947101724.db2.gz KUSXPOZWPKAGRZ-UHFFFAOYSA-N 0 2 306.303 0.911 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)[N-]c1cccc2cc[nH+]cc21 ZINC001260590891 947120362 /nfs/dbraw/zinc/12/03/62/947120362.db2.gz RFTQPSVWAYWYMY-UHFFFAOYSA-N 0 2 300.361 0.979 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1ccc2c(c1)CC(=O)NC2 ZINC001261030845 947167269 /nfs/dbraw/zinc/16/72/69/947167269.db2.gz MWKUQUQTLDIHNU-UHFFFAOYSA-N 0 2 320.374 1.000 20 0 DCADLN COc1ccc(NS(=O)(=O)c2c(C)n[nH]c2C)cc1C(N)=O ZINC001261029451 947167311 /nfs/dbraw/zinc/16/73/11/947167311.db2.gz USHSUJWPIGORIF-UHFFFAOYSA-N 0 2 324.362 0.935 20 0 DCADLN Cn1ncc2c1ncnc2NCCNC(=O)C(F)C(F)(F)F ZINC001094182426 947794831 /nfs/dbraw/zinc/79/48/31/947794831.db2.gz ATYRVUAFARXGOP-ZETCQYMHSA-N 0 2 320.250 0.792 20 0 DCADLN CN(CCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(=O)C(C)(C)C ZINC001273496128 947925124 /nfs/dbraw/zinc/92/51/24/947925124.db2.gz USLSTNWZRBHDAQ-UHFFFAOYSA-N 0 2 311.386 0.406 20 0 DCADLN CN(CCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(=O)C(C)(C)C ZINC001273496128 947925129 /nfs/dbraw/zinc/92/51/29/947925129.db2.gz USLSTNWZRBHDAQ-UHFFFAOYSA-N 0 2 311.386 0.406 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001487972621 948393911 /nfs/dbraw/zinc/39/39/11/948393911.db2.gz XAJNXWOMHNUIPR-NAYHLWOXSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001487972621 948393918 /nfs/dbraw/zinc/39/39/18/948393918.db2.gz XAJNXWOMHNUIPR-NAYHLWOXSA-N 0 2 300.252 0.446 20 0 DCADLN O=C(c1ccc(-c2nn[nH]n2)o1)N1CCC[C@@H](c2nc[nH]n2)C1 ZINC001570920330 948596975 /nfs/dbraw/zinc/59/69/75/948596975.db2.gz JTUNUAFYOZZCBC-MRVPVSSYSA-N 0 2 314.309 0.598 20 0 DCADLN OCc1nc(CNc2snc(Cl)c2-c2nn[nH]n2)n[nH]1 ZINC001570920635 948603282 /nfs/dbraw/zinc/60/32/82/948603282.db2.gz WNDKWBHRPCBEAZ-UHFFFAOYSA-N 0 2 313.734 0.199 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@]1([NH+](C)C)COc2ccccc2C1 ZINC001263846262 948779197 /nfs/dbraw/zinc/77/91/97/948779197.db2.gz MTJVXPZCIYSVIE-MRXNPFEDSA-N 0 2 321.377 0.106 20 0 DCADLN NS(=O)(=O)C[C@H]1CCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC001364378078 949151318 /nfs/dbraw/zinc/15/13/18/949151318.db2.gz XAAZMUFXSDREBI-ZETCQYMHSA-N 0 2 320.317 0.421 20 0 DCADLN CCN(C(=O)COC)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001488925941 949362150 /nfs/dbraw/zinc/36/21/50/949362150.db2.gz PFUTUHHUSGTHQM-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(C(=O)COC)[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001488925941 949362169 /nfs/dbraw/zinc/36/21/69/949362169.db2.gz PFUTUHHUSGTHQM-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2cncc(Cl)n2)[nH]1 ZINC001364588875 949595851 /nfs/dbraw/zinc/59/58/51/949595851.db2.gz UANYTGPHWNOEIJ-UHFFFAOYSA-N 0 2 302.747 0.405 20 0 DCADLN COCc1nnc(CNCc2ncc(C3OCCO3)s2)[nH]1 ZINC001364614901 949639737 /nfs/dbraw/zinc/63/97/37/949639737.db2.gz DWWFMOYWRHAMCY-UHFFFAOYSA-N 0 2 311.367 0.743 20 0 DCADLN CCC[N@H+]([C@@H](CC)C(=O)OCC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001589659949 949857113 /nfs/dbraw/zinc/85/71/13/949857113.db2.gz WBVSCEQTVGLTPH-RYUDHWBXSA-N 0 2 314.382 0.726 20 0 DCADLN CCC[N@@H+]([C@@H](CC)C(=O)OCC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001589659949 949857135 /nfs/dbraw/zinc/85/71/35/949857135.db2.gz WBVSCEQTVGLTPH-RYUDHWBXSA-N 0 2 314.382 0.726 20 0 DCADLN O=C1C[C@H](NS(=O)(=O)c2onc(C3CC3)c2Cl)CN1 ZINC001364815222 949993551 /nfs/dbraw/zinc/99/35/51/949993551.db2.gz PFPSIOVOFCWOLM-LURJTMIESA-N 0 2 305.743 0.372 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)Cc3ccno3)C2)[nH]1 ZINC001364851015 950073164 /nfs/dbraw/zinc/07/31/64/950073164.db2.gz GWNJZQZVGTXRKU-QMMMGPOBSA-N 0 2 313.339 0.208 20 0 DCADLN COc1ccc(O)c(S(=O)(=O)NCc2n[nH]c(C3CC3)n2)c1 ZINC001475334731 950108697 /nfs/dbraw/zinc/10/86/97/950108697.db2.gz AFRVOPAUHDHRFU-UHFFFAOYSA-N 0 2 324.362 0.875 20 0 DCADLN C[C@@H](NC(=O)COc1ccc(C(N)=O)cc1)c1nn(C)cc1O ZINC001365120026 950552480 /nfs/dbraw/zinc/55/24/80/950552480.db2.gz MCDSXTZJLCBVSR-SECBINFHSA-N 0 2 318.333 0.481 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC(C)C)C1 ZINC001490458988 950763458 /nfs/dbraw/zinc/76/34/58/950763458.db2.gz JSTCURKYIWKGED-CQSZACIVSA-N 0 2 321.425 0.297 20 0 DCADLN O=S(=O)(NCc1n[nH]c([C@@H]2CCOC2)n1)c1ccns1 ZINC001365454112 951147426 /nfs/dbraw/zinc/14/74/26/951147426.db2.gz LAGLRDCATIMFLX-SSDOTTSWSA-N 0 2 315.380 0.244 20 0 DCADLN Cc1ncsc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365624680 951339257 /nfs/dbraw/zinc/33/92/57/951339257.db2.gz ALIODNKSFGIZLZ-UHFFFAOYSA-N 0 2 308.367 0.233 20 0 DCADLN COC[C@@H](CO)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001365704743 951456026 /nfs/dbraw/zinc/45/60/26/951456026.db2.gz WKJXBLMPIFQDTL-SSDOTTSWSA-N 0 2 310.759 0.491 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC001595048562 951457508 /nfs/dbraw/zinc/45/75/08/951457508.db2.gz WXYSXEWQGUEERK-LLVKDONJSA-N 0 2 308.338 0.028 20 0 DCADLN CC(=O)c1cccc(OCC(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001412374831 952767693 /nfs/dbraw/zinc/76/76/93/952767693.db2.gz JRFRCIVYUWGIJS-UHFFFAOYSA-N 0 2 317.301 0.786 20 0 DCADLN Cc1ncc2n1C[C@H](C(=O)NCc1nc(O)cc(=O)[nH]1)CC2 ZINC001412378316 952770114 /nfs/dbraw/zinc/77/01/14/952770114.db2.gz WXMGEUDIPPOUTP-SECBINFHSA-N 0 2 303.322 0.272 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001412562979 952900511 /nfs/dbraw/zinc/90/05/11/952900511.db2.gz PLCUUULXZVIVLX-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001412562979 952900514 /nfs/dbraw/zinc/90/05/14/952900514.db2.gz PLCUUULXZVIVLX-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN CCc1nnc(NC(=O)c2nc[nH]c(=O)c2Br)[nH]1 ZINC001412730183 953028147 /nfs/dbraw/zinc/02/81/47/953028147.db2.gz RTYNFEGSDBPMBU-UHFFFAOYSA-N 0 2 313.115 0.878 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001589274526 953451525 /nfs/dbraw/zinc/45/15/25/953451525.db2.gz UAXHXALRWGVXPJ-WOPDTQHZSA-N 0 2 306.366 0.950 20 0 DCADLN COCC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCCC1 ZINC001365985676 953524382 /nfs/dbraw/zinc/52/43/82/953524382.db2.gz ZRYLUBVHPDJAMV-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CCc1nc(C[N@@H+]2C[C@H](C(=O)[O-])C3(CC(C(=O)OC)C3)C2)no1 ZINC001593648477 953691120 /nfs/dbraw/zinc/69/11/20/953691120.db2.gz IRPDPMZIOTUKQB-KGXJWFGYSA-N 0 2 323.349 0.718 20 0 DCADLN CCc1nc(C[N@H+]2C[C@H](C(=O)[O-])C3(CC(C(=O)OC)C3)C2)no1 ZINC001593648477 953691124 /nfs/dbraw/zinc/69/11/24/953691124.db2.gz IRPDPMZIOTUKQB-KGXJWFGYSA-N 0 2 323.349 0.718 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])CN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001589310010 953780589 /nfs/dbraw/zinc/78/05/89/953780589.db2.gz BESMGSGKNQIPDW-MNOVXSKESA-N 0 2 301.368 0.398 20 0 DCADLN COCCCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001282941910 953799303 /nfs/dbraw/zinc/79/93/03/953799303.db2.gz ZFJBLOFHDFDEEE-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN COCCCCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001282941910 953799307 /nfs/dbraw/zinc/79/93/07/953799307.db2.gz ZFJBLOFHDFDEEE-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN O=C([O-])[C@@H](c1ccccc1)N1CC[C@@H]([NH2+]Cc2cnc[nH]2)C1=O ZINC001594842896 954095325 /nfs/dbraw/zinc/09/53/25/954095325.db2.gz ACRMECKTCOANFH-ZIAGYGMSSA-N 0 2 314.345 0.926 20 0 DCADLN COC[C@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001283203414 954134809 /nfs/dbraw/zinc/13/48/09/954134809.db2.gz DTVYZXGSYMJGMX-JGVFFNPUSA-N 0 2 302.268 0.792 20 0 DCADLN COC[C@H](C)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001283203414 954134812 /nfs/dbraw/zinc/13/48/12/954134812.db2.gz DTVYZXGSYMJGMX-JGVFFNPUSA-N 0 2 302.268 0.792 20 0 DCADLN CN(CCC(=O)[O-])C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC001593738762 954348224 /nfs/dbraw/zinc/34/82/24/954348224.db2.gz RKMFFUZECNKBIF-UHFFFAOYSA-N 0 2 309.366 0.367 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC001593744145 954369572 /nfs/dbraw/zinc/36/95/72/954369572.db2.gz DBDBZDTZDUWWSZ-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC001593744145 954369582 /nfs/dbraw/zinc/36/95/82/954369582.db2.gz DBDBZDTZDUWWSZ-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN Cc1cnc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001366549660 954403301 /nfs/dbraw/zinc/40/33/01/954403301.db2.gz ZNSKGLICJCXEBJ-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN Cc1cnc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001366549660 954403311 /nfs/dbraw/zinc/40/33/11/954403311.db2.gz ZNSKGLICJCXEBJ-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN CC[C@@H](SC)C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001366615903 954522371 /nfs/dbraw/zinc/52/23/71/954522371.db2.gz XPURYSDERVIPJA-SECBINFHSA-N 0 2 301.416 0.590 20 0 DCADLN CC[C@@H](SC)C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001366615903 954522379 /nfs/dbraw/zinc/52/23/79/954522379.db2.gz XPURYSDERVIPJA-SECBINFHSA-N 0 2 301.416 0.590 20 0 DCADLN CCC[N@H+](CCNC(=O)[C@@H]1CCC[NH+]1C)Cc1nccn1C ZINC001366708625 954691742 /nfs/dbraw/zinc/69/17/42/954691742.db2.gz QFAQINHLWVRCRI-AWEZNQCLSA-N 0 2 307.442 0.843 20 0 DCADLN CC(C)N(C)C(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001366918910 955057499 /nfs/dbraw/zinc/05/74/99/955057499.db2.gz DUMDTJGFNQQNAX-SNVBAGLBSA-N 0 2 313.295 0.554 20 0 DCADLN CC(C)N(C)C(=O)CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001366918910 955057509 /nfs/dbraw/zinc/05/75/09/955057509.db2.gz DUMDTJGFNQQNAX-SNVBAGLBSA-N 0 2 313.295 0.554 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366941186 955092154 /nfs/dbraw/zinc/09/21/54/955092154.db2.gz OOGFPGKXCAJYDO-VIFPVBQESA-N 0 2 305.338 0.283 20 0 DCADLN O=C(COC1CCCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001367002864 955182840 /nfs/dbraw/zinc/18/28/40/955182840.db2.gz MGPOGTXWIOBTGH-JTQLQIEISA-N 0 2 309.370 0.160 20 0 DCADLN Cc1nonc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001367013805 955199476 /nfs/dbraw/zinc/19/94/76/955199476.db2.gz NXGQGXZCSXZMTE-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nonc1CN1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001367013805 955199479 /nfs/dbraw/zinc/19/94/79/955199479.db2.gz NXGQGXZCSXZMTE-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN COC(=O)C[NH+]1CCC2(CC1)NC(C1(C(=O)[O-])CCC1)=NO2 ZINC001593850344 955449301 /nfs/dbraw/zinc/44/93/01/955449301.db2.gz BQZWVXZCMIHHKG-UHFFFAOYSA-N 0 2 311.338 0.140 20 0 DCADLN Cn1cc([C@@H]2C[C@H](C(=O)[O-])CN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001594541526 955655078 /nfs/dbraw/zinc/65/50/78/955655078.db2.gz ZWRQFINQKXPIAQ-MNOVXSKESA-N 0 2 317.349 0.403 20 0 DCADLN COC(=O)c1csc(C[NH2+]C2(C(=O)[O-])CCOCC2)n1 ZINC001593894796 955817428 /nfs/dbraw/zinc/81/74/28/955817428.db2.gz GHDFLRCMPSJAQO-UHFFFAOYSA-N 0 2 300.336 0.653 20 0 DCADLN COCc1nnc(CNC(=O)c2cccc3[nH]c(=O)oc32)[nH]1 ZINC001300402589 956178000 /nfs/dbraw/zinc/17/80/00/956178000.db2.gz YKVDXWKGSMUNBO-UHFFFAOYSA-N 0 2 303.278 0.728 20 0 DCADLN C[C@@H]1CCN(C(=O)NCc2n[nH]c(=O)n2C2CC2)C[C@@H]1CO ZINC001413923437 956204201 /nfs/dbraw/zinc/20/42/01/956204201.db2.gz VYPLALOCSNLSPC-NXEZZACHSA-N 0 2 309.370 0.479 20 0 DCADLN CO[C@]1(C(F)(F)F)CC[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001593941846 956283479 /nfs/dbraw/zinc/28/34/79/956283479.db2.gz KDHUAWKEOHNUFQ-LDYMZIIASA-N 0 2 310.272 0.325 20 0 DCADLN CO[C@]1(C(F)(F)F)CC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001593941846 956283485 /nfs/dbraw/zinc/28/34/85/956283485.db2.gz KDHUAWKEOHNUFQ-LDYMZIIASA-N 0 2 310.272 0.325 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(c2cc(N3CCCC3)[nH+]cn2)CCO1 ZINC001593983706 956737202 /nfs/dbraw/zinc/73/72/02/956737202.db2.gz BJEWQGLBYSINFH-OAHLLOKOSA-N 0 2 322.365 0.383 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(c2cc(N3CCCC3)nc[nH+]2)CCO1 ZINC001593983706 956737207 /nfs/dbraw/zinc/73/72/07/956737207.db2.gz BJEWQGLBYSINFH-OAHLLOKOSA-N 0 2 322.365 0.383 20 0 DCADLN C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001289598842 956766400 /nfs/dbraw/zinc/76/64/00/956766400.db2.gz KHWAYDBTPSVWGY-ABSFWTIFSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC001289598842 956766408 /nfs/dbraw/zinc/76/64/08/956766408.db2.gz KHWAYDBTPSVWGY-ABSFWTIFSA-N 0 2 312.263 0.541 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001289644336 956770171 /nfs/dbraw/zinc/77/01/71/956770171.db2.gz DMPXHUZPCQSNEN-AIUFEKMASA-N 0 2 324.274 0.541 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001289644336 956770175 /nfs/dbraw/zinc/77/01/75/956770175.db2.gz DMPXHUZPCQSNEN-AIUFEKMASA-N 0 2 324.274 0.541 20 0 DCADLN CCOCCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001356767699 957005965 /nfs/dbraw/zinc/00/59/65/957005965.db2.gz PPBQCAXUSPGNGL-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN CCOCCC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001356767699 957005983 /nfs/dbraw/zinc/00/59/83/957005983.db2.gz PPBQCAXUSPGNGL-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN Cc1cnn(CC(=O)NCCNC(=O)C(F)C(F)(F)F)c1 ZINC001292548455 957014359 /nfs/dbraw/zinc/01/43/59/957014359.db2.gz AULIDMKTOYSEKV-VIFPVBQESA-N 0 2 310.251 0.324 20 0 DCADLN Cc1cnn(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001292548455 957014375 /nfs/dbraw/zinc/01/43/75/957014375.db2.gz AULIDMKTOYSEKV-VIFPVBQESA-N 0 2 310.251 0.324 20 0 DCADLN CCc1ncncc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292774721 957146510 /nfs/dbraw/zinc/14/65/10/957146510.db2.gz MJMVFXWKFWCUMJ-SECBINFHSA-N 0 2 322.262 0.785 20 0 DCADLN CCc1ncncc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001292774721 957146516 /nfs/dbraw/zinc/14/65/16/957146516.db2.gz MJMVFXWKFWCUMJ-SECBINFHSA-N 0 2 322.262 0.785 20 0 DCADLN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000022157604 957154617 /nfs/dbraw/zinc/15/46/17/957154617.db2.gz SDRQBJNEXMZSDC-SECBINFHSA-N 0 2 307.266 0.082 20 0 DCADLN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000022157604 957154622 /nfs/dbraw/zinc/15/46/22/957154622.db2.gz SDRQBJNEXMZSDC-SECBINFHSA-N 0 2 307.266 0.082 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1ccon1 ZINC001357624807 957338183 /nfs/dbraw/zinc/33/81/83/957338183.db2.gz AYZXROMHAXKSSM-FHKCNRDCSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1ccon1 ZINC001357624807 957338194 /nfs/dbraw/zinc/33/81/94/957338194.db2.gz AYZXROMHAXKSSM-FHKCNRDCSA-N 0 2 309.219 0.977 20 0 DCADLN C[C@@H]1CN(C(=O)c2nc[nH]n2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001414014290 957657856 /nfs/dbraw/zinc/65/78/56/957657856.db2.gz MPVPLJAQGMPJLF-QYNIQEEDSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@@H]1CN(C(=O)c2nc[nH]n2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001414014290 957657861 /nfs/dbraw/zinc/65/78/61/957657861.db2.gz MPVPLJAQGMPJLF-QYNIQEEDSA-N 0 2 323.250 0.282 20 0 DCADLN O=C([O-])Cn1cc(C(=O)Nc2ccccc2-n2cc[nH+]c2)nn1 ZINC001574742825 957689262 /nfs/dbraw/zinc/68/92/62/957689262.db2.gz CNEGPNQDIILYLM-UHFFFAOYSA-N 0 2 312.289 0.801 20 0 DCADLN CO[C@@H]([C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC001361447138 957976360 /nfs/dbraw/zinc/97/63/60/957976360.db2.gz RSIIIKQXOBXHGO-UFBFGSQYSA-N 0 2 305.338 0.001 20 0 DCADLN CSCC(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001446989108 1013167641 /nfs/dbraw/zinc/16/76/41/1013167641.db2.gz WGPNGHAFOIWATC-HTRCEHHLSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001446989108 1013167650 /nfs/dbraw/zinc/16/76/50/1013167650.db2.gz WGPNGHAFOIWATC-HTRCEHHLSA-N 0 2 302.293 0.967 20 0 DCADLN C[C@H](NC(=O)N1CC[C@](C)(C(=O)[O-])C1)[C@H]1CN(C)CC[N@@H+]1C ZINC001603226699 972342379 /nfs/dbraw/zinc/34/23/79/972342379.db2.gz AIEFGWVZKSDXCI-ZOWXZIJZSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@H](NC(=O)N1CC[C@](C)(C(=O)[O-])C1)[C@H]1CN(C)CC[N@H+]1C ZINC001603226699 972342382 /nfs/dbraw/zinc/34/23/82/972342382.db2.gz AIEFGWVZKSDXCI-ZOWXZIJZSA-N 0 2 312.414 0.127 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H](O)C(C)(C)O ZINC001361891665 958456489 /nfs/dbraw/zinc/45/64/89/958456489.db2.gz JZULNRKHVCLBLR-JTQLQIEISA-N 0 2 311.363 0.487 20 0 DCADLN C/C(=C\C(=O)N1C[C@H](C(=O)[O-])CC[C@H]1C)C[NH+]1CCOCC1 ZINC001588481250 958593914 /nfs/dbraw/zinc/59/39/14/958593914.db2.gz VRVCRMYAWFQUGV-DBLGQFABSA-N 0 2 310.394 0.977 20 0 DCADLN Cc1ccc(C2=NO[C@H](C(=O)NCc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001362073350 958693464 /nfs/dbraw/zinc/69/34/64/958693464.db2.gz HQZZWHTVVFUGHP-NSHDSACASA-N 0 2 301.306 0.628 20 0 DCADLN COc1ccccc1O[C@@H]1CCC[N@H+](CC(=O)NCC(=O)[O-])C1 ZINC001594145958 958760248 /nfs/dbraw/zinc/76/02/48/958760248.db2.gz HBUQPECLSPGLSG-GFCCVEGCSA-N 0 2 322.361 0.739 20 0 DCADLN COc1ccccc1O[C@@H]1CCC[N@@H+](CC(=O)NCC(=O)[O-])C1 ZINC001594145958 958760264 /nfs/dbraw/zinc/76/02/64/958760264.db2.gz HBUQPECLSPGLSG-GFCCVEGCSA-N 0 2 322.361 0.739 20 0 DCADLN CC(=O)NCC[N@H+](Cc1cccc(N(C)C)n1)[C@@H](C)C(=O)[O-] ZINC001588515222 958796612 /nfs/dbraw/zinc/79/66/12/958796612.db2.gz ZGOYBNPKPUXGIW-NSHDSACASA-N 0 2 308.382 0.559 20 0 DCADLN CC(=O)NCC[N@@H+](Cc1cccc(N(C)C)n1)[C@@H](C)C(=O)[O-] ZINC001588515222 958796635 /nfs/dbraw/zinc/79/66/35/958796635.db2.gz ZGOYBNPKPUXGIW-NSHDSACASA-N 0 2 308.382 0.559 20 0 DCADLN O=C(N[C@H](CCO)C1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362191985 958902469 /nfs/dbraw/zinc/90/24/69/958902469.db2.gz SWEGGMKTBRRBDP-GFCCVEGCSA-N 0 2 318.333 0.157 20 0 DCADLN O=C(CC[C@H]1CCCO1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367944123 958923984 /nfs/dbraw/zinc/92/39/84/958923984.db2.gz SJRGRABYEXHDFT-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(COc1ccsn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362385655 959256587 /nfs/dbraw/zinc/25/65/87/959256587.db2.gz OKRYVUSKTMNWAM-QMMMGPOBSA-N 0 2 309.351 0.752 20 0 DCADLN CO[C@H](C)CN(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362436524 959353423 /nfs/dbraw/zinc/35/34/23/959353423.db2.gz BQVIESWUQATXHH-SECBINFHSA-N 0 2 306.322 0.373 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNC(=O)[C@]1(C)CCOC1 ZINC001377205033 959687364 /nfs/dbraw/zinc/68/73/64/959687364.db2.gz SKXKVVGDFFGXNK-MEBBXXQBSA-N 0 2 308.382 0.308 20 0 DCADLN C[C@H](NC(=O)Cc1n[nH]c(C2CCOCC2)n1)c1nc[nH]n1 ZINC001362626059 959723938 /nfs/dbraw/zinc/72/39/38/959723938.db2.gz MPDMVEWTNIYINB-QMMMGPOBSA-N 0 2 305.342 0.237 20 0 DCADLN COCc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001492329556 959839760 /nfs/dbraw/zinc/83/97/60/959839760.db2.gz CJKWOAJSIKXABV-UHFFFAOYSA-N 0 2 309.326 0.112 20 0 DCADLN COCc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001492329556 959839768 /nfs/dbraw/zinc/83/97/68/959839768.db2.gz CJKWOAJSIKXABV-UHFFFAOYSA-N 0 2 309.326 0.112 20 0 DCADLN O=C([C@H]1CCCn2ncnc21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362725237 959897403 /nfs/dbraw/zinc/89/74/03/959897403.db2.gz QGWGXFIFMIYQJO-ZJUUUORDSA-N 0 2 317.353 0.385 20 0 DCADLN CC(C)(C)CC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(N)=O ZINC001362739487 959915703 /nfs/dbraw/zinc/91/57/03/959915703.db2.gz BZFWXQMLNGODRQ-YUMQZZPRSA-N 0 2 314.411 0.339 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N(CC2CC2)[C@@H](C(N)=O)C2CC2)S1 ZINC001362787876 959986603 /nfs/dbraw/zinc/98/66/03/959986603.db2.gz FEBHHUUCVXHRNR-MWLCHTKSSA-N 0 2 324.406 0.045 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CC(C(N)=O)C3)=N2)cc1 ZINC001362800531 960006010 /nfs/dbraw/zinc/00/60/10/960006010.db2.gz PKHZUCXTSYOSPS-UHFFFAOYSA-N 0 2 316.317 0.144 20 0 DCADLN Cn1cnnc1CN1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001377384300 960006769 /nfs/dbraw/zinc/00/67/69/960006769.db2.gz CTQNPCBRMGRUFB-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CCC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001377384300 960006776 /nfs/dbraw/zinc/00/67/76/960006776.db2.gz CTQNPCBRMGRUFB-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN CC1(C(=O)NC[C@H]2CCC3(CN(Cc4n[nH]c(=O)[nH]4)C3)O2)CC1 ZINC001368571800 960044291 /nfs/dbraw/zinc/04/42/91/960044291.db2.gz BQCSUXPQZZQFRY-SNVBAGLBSA-N 0 2 321.381 0.160 20 0 DCADLN CC1=C(C)C(=O)N(CCC(=O)N[C@H](C)c2nn(C)cc2O)C1=O ZINC001304550588 960090403 /nfs/dbraw/zinc/09/04/03/960090403.db2.gz CELTUARNVOXWAK-SNVBAGLBSA-N 0 2 320.349 0.398 20 0 DCADLN Cc1nn2cccnc2c1C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001304545483 960090882 /nfs/dbraw/zinc/09/08/82/960090882.db2.gz UUEKGENLRAPSQP-VIFPVBQESA-N 0 2 300.322 0.968 20 0 DCADLN COCCN(CCF)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362922997 960180195 /nfs/dbraw/zinc/18/01/95/960180195.db2.gz KMBAQVZHUOTMLN-UHFFFAOYSA-N 0 2 324.312 0.324 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1nccc(-c2cccnc2)n1 ZINC001309900855 960215864 /nfs/dbraw/zinc/21/58/64/960215864.db2.gz QPMJAVWATYNVLS-UHFFFAOYSA-N 0 2 317.334 0.468 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001368764842 960240732 /nfs/dbraw/zinc/24/07/32/960240732.db2.gz WDSVSJFQOYZBJZ-BBBLOLIVSA-N 0 2 313.427 0.588 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001368764842 960240740 /nfs/dbraw/zinc/24/07/40/960240740.db2.gz WDSVSJFQOYZBJZ-BBBLOLIVSA-N 0 2 313.427 0.588 20 0 DCADLN C[C@H]1CCCC[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362984894 960258481 /nfs/dbraw/zinc/25/84/81/960258481.db2.gz JJYXEQQKANHUQR-VHSXEESVSA-N 0 2 314.411 0.792 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCO1 ZINC001377549634 960263442 /nfs/dbraw/zinc/26/34/42/960263442.db2.gz XERUYPVNHHJTAO-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCO1 ZINC001377549634 960263451 /nfs/dbraw/zinc/26/34/51/960263451.db2.gz XERUYPVNHHJTAO-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nocc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377556757 960278350 /nfs/dbraw/zinc/27/83/50/960278350.db2.gz XZINGOISKKXSCK-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1nocc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377556757 960278359 /nfs/dbraw/zinc/27/83/59/960278359.db2.gz XZINGOISKKXSCK-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(N[C@H]1CCSC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363136526 960458263 /nfs/dbraw/zinc/45/82/63/960458263.db2.gz DVRWRPXXWWZMEO-VIFPVBQESA-N 0 2 306.347 0.502 20 0 DCADLN Cc1cc(C)nc(C2CN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)n1 ZINC001363146556 960475782 /nfs/dbraw/zinc/47/57/82/960475782.db2.gz MYAJNFCXZSGICB-JTQLQIEISA-N 0 2 319.390 0.576 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc(N2CCCC2)nc1 ZINC001363148717 960483267 /nfs/dbraw/zinc/48/32/67/960483267.db2.gz CLSHTSPNRODQEQ-UHFFFAOYSA-N 0 2 315.333 0.813 20 0 DCADLN O=C1N=NC([C@@H]2CCCN(Cc3nnc4n3CCOC4)C2)O1 ZINC001363234085 960664592 /nfs/dbraw/zinc/66/45/92/960664592.db2.gz NYOAKVSYIFCKMH-SECBINFHSA-N 0 2 306.326 0.277 20 0 DCADLN CC[C@H](CNC(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC001573800658 960820619 /nfs/dbraw/zinc/82/06/19/960820619.db2.gz UKVXGYUDEAMCEB-QJPTWQEYSA-N 0 2 301.387 0.506 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3nc[nH]c(=O)c32)S1 ZINC001363312975 960867743 /nfs/dbraw/zinc/86/77/43/960867743.db2.gz YMSLMECCXAEUOW-QMMMGPOBSA-N 0 2 317.330 0.830 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cnc2n1CCCCC2 ZINC001363330680 960915474 /nfs/dbraw/zinc/91/54/74/960915474.db2.gz SCSNUEPKWGUPPT-UHFFFAOYSA-N 0 2 303.322 0.741 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@@H]1CCCN1C(=O)c1[nH]nnc1C ZINC001363351864 960959953 /nfs/dbraw/zinc/95/99/53/960959953.db2.gz VFMVHRWNSJDJJF-QMMMGPOBSA-N 0 2 319.325 0.385 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001430202499 1013238870 /nfs/dbraw/zinc/23/88/70/1013238870.db2.gz PNKDDAGALPSXKL-BKPPORCPSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)[nH]n1 ZINC001430202499 1013238878 /nfs/dbraw/zinc/23/88/78/1013238878.db2.gz PNKDDAGALPSXKL-BKPPORCPSA-N 0 2 322.262 0.996 20 0 DCADLN CC(C)c1noc(CCC(=O)NCc2nc(O)cc(=O)[nH]2)n1 ZINC001363487898 961260468 /nfs/dbraw/zinc/26/04/68/961260468.db2.gz FMGULJRIKGXDFE-UHFFFAOYSA-N 0 2 307.310 0.643 20 0 DCADLN CC(C)(NC(=O)C[C@H]1SC(=N)NC1=O)[C@@H](O)C(F)(F)F ZINC001363515895 961327740 /nfs/dbraw/zinc/32/77/40/961327740.db2.gz CBOKYMDZAJOZAG-CLZZGJSISA-N 0 2 313.301 0.361 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)[C@]1(C(=O)[O-])C[C@H]1C)C2 ZINC001574423952 961356244 /nfs/dbraw/zinc/35/62/44/961356244.db2.gz KVPZAOWAEKXJMD-RDGWCUKFSA-N 0 2 321.377 0.268 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001571113088 961369222 /nfs/dbraw/zinc/36/92/22/961369222.db2.gz RMWVDMJMMNVYOD-HZSPNIEDSA-N 0 2 311.426 0.630 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC2(c3ncccn3)CCC2)S1 ZINC001363537075 961390925 /nfs/dbraw/zinc/39/09/25/961390925.db2.gz DIIAAPDPXGJQIJ-MRVPVSSYSA-N 0 2 305.363 0.528 20 0 DCADLN O=C(NC1CN(C(=O)c2cncs2)C1)C(F)C(F)(F)F ZINC001430215472 1013257664 /nfs/dbraw/zinc/25/76/64/1013257664.db2.gz FMNJZFXZGJPXBR-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)c2cncs2)C1)[C@H](F)C(F)(F)F ZINC001430215472 1013257669 /nfs/dbraw/zinc/25/76/69/1013257669.db2.gz FMNJZFXZGJPXBR-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN C[C@@H](NC(=O)[C@H]1C[C@@H](C)[C@H](C(=O)[O-])O1)[C@H](C)[NH+]1CCOCC1 ZINC001571124131 961482633 /nfs/dbraw/zinc/48/26/33/961482633.db2.gz ZMOJUPILXSDHRQ-UJPOAAIJSA-N 0 2 314.382 0.090 20 0 DCADLN C[C@@H](CNC(=O)C1(C(C)(F)F)CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378128305 961487042 /nfs/dbraw/zinc/48/70/42/961487042.db2.gz GWDISRLIBOIKAE-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](CNC(=O)C1(C(C)(F)F)CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378128305 961487051 /nfs/dbraw/zinc/48/70/51/961487051.db2.gz GWDISRLIBOIKAE-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CC(=O)Nc1cccnc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571131172 961548746 /nfs/dbraw/zinc/54/87/46/961548746.db2.gz VULNRZDSDWKVKS-LLVKDONJSA-N 0 2 317.305 0.189 20 0 DCADLN CC(=O)Nc1cccnc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571131172 961548757 /nfs/dbraw/zinc/54/87/57/961548757.db2.gz VULNRZDSDWKVKS-LLVKDONJSA-N 0 2 317.305 0.189 20 0 DCADLN CC1(C)C(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1(C)C ZINC001363630586 961580442 /nfs/dbraw/zinc/58/04/42/961580442.db2.gz ISUAUFOJEURWDZ-UHFFFAOYSA-N 0 2 314.411 0.648 20 0 DCADLN C[C@@H]1CCC[C@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001363631010 961587780 /nfs/dbraw/zinc/58/77/80/961587780.db2.gz KBUABUNKFYTOCG-ZJUUUORDSA-N 0 2 314.411 0.792 20 0 DCADLN Cc1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)cnn1 ZINC001363662680 961650835 /nfs/dbraw/zinc/65/08/35/961650835.db2.gz QHKHPPVBMQQOFD-UHFFFAOYSA-N 0 2 303.322 0.609 20 0 DCADLN C[C@H](NC(=O)[C@H](C)[C@H](C)S(C)(=O)=O)c1nn(C)cc1O ZINC001363664179 961652795 /nfs/dbraw/zinc/65/27/95/961652795.db2.gz JRGNDOMJNVRLCX-VGMNWLOBSA-N 0 2 303.384 0.372 20 0 DCADLN C[C@H]1CCC(=O)CN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363665062 961657142 /nfs/dbraw/zinc/65/71/42/961657142.db2.gz YMHAZICSETYGDW-VIFPVBQESA-N 0 2 316.317 0.460 20 0 DCADLN C[C@@H](NC(=O)c1cnc2n(c1=O)CCS2)c1nn(C)cc1O ZINC001363667050 961660945 /nfs/dbraw/zinc/66/09/45/961660945.db2.gz OOAXCOZKNYESJC-SSDOTTSWSA-N 0 2 321.362 0.279 20 0 DCADLN CCc1nc[nH]c1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378213961 961678782 /nfs/dbraw/zinc/67/87/82/961678782.db2.gz CJDVLXMIMZFHHO-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1nc[nH]c1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378213961 961678794 /nfs/dbraw/zinc/67/87/94/961678794.db2.gz CJDVLXMIMZFHHO-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N2CC(C(N)=O)C2)=N1 ZINC001363690714 961707169 /nfs/dbraw/zinc/70/71/69/961707169.db2.gz PGOWMZDKWKPLQN-UHFFFAOYSA-N 0 2 314.345 0.698 20 0 DCADLN CNc1ccccc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363706952 961735604 /nfs/dbraw/zinc/73/56/04/961735604.db2.gz QOWUEZISWLDBGF-UHFFFAOYSA-N 0 2 323.378 0.321 20 0 DCADLN C[C@H](NC(=O)c1coc2c1C(=O)NCCC2)c1nn(C)cc1O ZINC001363830038 961976246 /nfs/dbraw/zinc/97/62/46/961976246.db2.gz CAUMPSGATRUDQI-QMMMGPOBSA-N 0 2 318.333 0.886 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@@H](C)c2nn(C)cc2O)nc1 ZINC001363849038 962015093 /nfs/dbraw/zinc/01/50/93/962015093.db2.gz KBROTHOHABZDLG-QMMMGPOBSA-N 0 2 303.322 0.970 20 0 DCADLN C[C@@H](NC(=O)CS(=O)(=O)NC(C)(C)C)c1nn(C)cc1O ZINC001363851804 962024496 /nfs/dbraw/zinc/02/44/96/962024496.db2.gz NVXYULSCMZOFHC-MRVPVSSYSA-N 0 2 318.399 0.021 20 0 DCADLN Cc1cnc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001379074657 962038724 /nfs/dbraw/zinc/03/87/24/962038724.db2.gz UZOUCVNLILFLQH-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1cnc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001379074657 962038743 /nfs/dbraw/zinc/03/87/43/962038743.db2.gz UZOUCVNLILFLQH-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN COCc1nnc(CNC(=O)c2cc3c([nH]c2=O)CCOC3)[nH]1 ZINC001363878344 962067012 /nfs/dbraw/zinc/06/70/12/962067012.db2.gz WAJZTWACELUPJI-UHFFFAOYSA-N 0 2 319.321 0.054 20 0 DCADLN CCc1nnc(CNCc2nnc(CS(C)(=O)=O)[nH]2)s1 ZINC001363933072 962151028 /nfs/dbraw/zinc/15/10/28/962151028.db2.gz XMBWXMZXLOLNTB-UHFFFAOYSA-N 0 2 316.412 0.053 20 0 DCADLN CNC(=O)[C@H](C)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001364001288 962268364 /nfs/dbraw/zinc/26/83/64/962268364.db2.gz RQPGNNPJWODWRV-YFKPBYRVSA-N 0 2 307.759 0.618 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CC[C@@H]2CCCCO2)[nH]1 ZINC001364004086 962272381 /nfs/dbraw/zinc/27/23/81/962272381.db2.gz ZTLJRQIVRLJZDB-JTQLQIEISA-N 0 2 318.399 0.330 20 0 DCADLN CCCn1cc(CNS(=O)(=O)c2c(C)onc2N)nn1 ZINC001364020719 962305602 /nfs/dbraw/zinc/30/56/02/962305602.db2.gz IBSDHWDVUATQKU-UHFFFAOYSA-N 0 2 300.344 0.045 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC001574102208 962402231 /nfs/dbraw/zinc/40/22/31/962402231.db2.gz SIDNIALPYGRWCW-SBJJXXPASA-N 0 2 318.373 0.944 20 0 DCADLN CC1(C(=O)NC[C@@H]2CCN2Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001379973846 962430902 /nfs/dbraw/zinc/43/09/02/962430902.db2.gz SNGUUWNQIHCTKL-LBPRGKRZSA-N 0 2 315.377 0.513 20 0 DCADLN CC(C)(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CCC1 ZINC001364285044 962821582 /nfs/dbraw/zinc/82/15/82/962821582.db2.gz MDKWVFTYXMUPRR-UHFFFAOYSA-N 0 2 314.411 0.792 20 0 DCADLN C[C@@H]1OC(=O)N[C@H]1C(=O)Nc1nccc(Br)c1O ZINC001364310008 962873051 /nfs/dbraw/zinc/87/30/51/962873051.db2.gz ZROQNYVJCXHZAN-UJURSFKZSA-N 0 2 316.111 0.985 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N2CCC=C(F)C2)[nH]1 ZINC001364345150 962932096 /nfs/dbraw/zinc/93/20/96/962932096.db2.gz IPVCWGSQOJZXDM-UHFFFAOYSA-N 0 2 317.346 0.118 20 0 DCADLN C[C@@]1(NC(=O)Cc2ccc[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380378915 963129222 /nfs/dbraw/zinc/12/92/22/963129222.db2.gz QFVQQEXXVQBFDR-CQSZACIVSA-N 0 2 304.354 0.162 20 0 DCADLN C[C@@]1(NC(=O)Cc2ccc[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380378915 963129230 /nfs/dbraw/zinc/12/92/30/963129230.db2.gz QFVQQEXXVQBFDR-CQSZACIVSA-N 0 2 304.354 0.162 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccncn1 ZINC001380763698 963564503 /nfs/dbraw/zinc/56/45/03/963564503.db2.gz XGDBOBXVFKMXDJ-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ccncn1 ZINC001380763698 963564508 /nfs/dbraw/zinc/56/45/08/963564508.db2.gz XGDBOBXVFKMXDJ-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN NS(=O)(=O)c1ccc(NCCCn2cc[nH+]c2)c(C(=O)[O-])c1 ZINC000314453743 963755567 /nfs/dbraw/zinc/75/55/67/963755567.db2.gz JUXCUKFIYFLOLB-UHFFFAOYSA-N 0 2 324.362 0.731 20 0 DCADLN C[C@H](CNS(=O)(=O)c1cc(C(=O)[O-])no1)[NH+]1CCCC1 ZINC000316005436 963963542 /nfs/dbraw/zinc/96/35/42/963963542.db2.gz FGLNUCAWNTVVOK-MRVPVSSYSA-N 0 2 303.340 0.135 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1(C(F)F)CCC1 ZINC001375333676 964268423 /nfs/dbraw/zinc/26/84/23/964268423.db2.gz JPZKGPXJNMEXSV-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN CC(F)(F)C1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001375352679 964297562 /nfs/dbraw/zinc/29/75/62/964297562.db2.gz YVVNBLKLDLWOEH-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN CCN(CCNC(=O)c1ccnnc1)C(=O)C(F)C(F)(F)F ZINC001373961695 964821305 /nfs/dbraw/zinc/82/13/05/964821305.db2.gz YLONAUKJXNZEBN-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1ccnnc1)C(=O)[C@H](F)C(F)(F)F ZINC001373961695 964821314 /nfs/dbraw/zinc/82/13/14/964821314.db2.gz YLONAUKJXNZEBN-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN COCC1(C(=O)N[C@H]2CCC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001369423640 964951935 /nfs/dbraw/zinc/95/19/35/964951935.db2.gz GYOGZEMBDDYJJT-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN COCC1(C(=O)N[C@H]2CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001369423640 964951946 /nfs/dbraw/zinc/95/19/46/964951946.db2.gz GYOGZEMBDDYJJT-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)OCC(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001376011729 965082852 /nfs/dbraw/zinc/08/28/52/965082852.db2.gz LWELTGRGFONWQU-UHFFFAOYSA-N 0 2 311.386 0.358 20 0 DCADLN Cc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)n1C ZINC001374222875 965152883 /nfs/dbraw/zinc/15/28/83/965152883.db2.gz NGMJBCRNYCIRQR-UHFFFAOYSA-N 0 2 304.354 0.115 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376114866 965214860 /nfs/dbraw/zinc/21/48/60/965214860.db2.gz JATAJYUBUNOAJD-WDEREUQCSA-N 0 2 311.386 0.262 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc2c([nH]1)CCC2 ZINC001374551528 965520747 /nfs/dbraw/zinc/52/07/47/965520747.db2.gz PQJPUAAHUWQCAH-UHFFFAOYSA-N 0 2 318.381 0.531 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc2c([nH]1)CCC2 ZINC001374551528 965520753 /nfs/dbraw/zinc/52/07/53/965520753.db2.gz PQJPUAAHUWQCAH-UHFFFAOYSA-N 0 2 318.381 0.531 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)N(C)CCN(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001376373727 965585228 /nfs/dbraw/zinc/58/52/28/965585228.db2.gz WEVZLPDRTDTXCS-ZDUSSCGKSA-N 0 2 321.425 0.211 20 0 DCADLN O=C(NC1CN(C(=O)[C@@]2(F)CCOC2)C1)C(F)C(F)(F)F ZINC001374666243 965727004 /nfs/dbraw/zinc/72/70/04/965727004.db2.gz RLJRNZZWZUEWAW-GMSGAONNSA-N 0 2 316.226 0.342 20 0 DCADLN O=C(NC1CN(C(=O)[C@@]2(F)CCOC2)C1)[C@@H](F)C(F)(F)F ZINC001374666243 965727020 /nfs/dbraw/zinc/72/70/20/965727020.db2.gz RLJRNZZWZUEWAW-GMSGAONNSA-N 0 2 316.226 0.342 20 0 DCADLN Cc1nnc(C[NH2+][C@H](C)[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001447854233 1013600457 /nfs/dbraw/zinc/60/04/57/1013600457.db2.gz OTTZXJTZSGANHI-RNFRBKRXSA-N 0 2 308.342 0.412 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001381465004 966439540 /nfs/dbraw/zinc/43/95/40/966439540.db2.gz JEAUHKGFQUWXDW-XSPKLOCKSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001381465004 966439547 /nfs/dbraw/zinc/43/95/47/966439547.db2.gz JEAUHKGFQUWXDW-XSPKLOCKSA-N 0 2 314.279 0.933 20 0 DCADLN C[C@@H](CNC(=O)c1cc(Cl)c[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381493475 966459863 /nfs/dbraw/zinc/45/98/63/966459863.db2.gz MEDFEKGVDGCWEE-ZETCQYMHSA-N 0 2 312.761 0.742 20 0 DCADLN C[C@@H](CNC(=O)c1cc(Cl)c[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381493475 966459868 /nfs/dbraw/zinc/45/98/68/966459868.db2.gz MEDFEKGVDGCWEE-ZETCQYMHSA-N 0 2 312.761 0.742 20 0 DCADLN C[C@H](CNC(=O)c1ncccc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381604085 966572887 /nfs/dbraw/zinc/57/28/87/966572887.db2.gz SYPPDBXZGIMEPY-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](CNC(=O)c1ncccc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381604085 966572891 /nfs/dbraw/zinc/57/28/91/966572891.db2.gz SYPPDBXZGIMEPY-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN Cc1nccc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001447976966 1013679863 /nfs/dbraw/zinc/67/98/63/1013679863.db2.gz BNFFZUPYRXMVHU-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nccc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001447976966 1013679868 /nfs/dbraw/zinc/67/98/68/1013679868.db2.gz BNFFZUPYRXMVHU-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN CC1CC(CNC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001371807715 967354150 /nfs/dbraw/zinc/35/41/50/967354150.db2.gz KHFKCGRCKUOOJN-UHFFFAOYSA-N 0 2 315.399 0.195 20 0 DCADLN COCCN(CCNC(=O)[C@]12C[C@H]1CCC2)Cc1n[nH]c(=O)[nH]1 ZINC001382543709 967482544 /nfs/dbraw/zinc/48/25/44/967482544.db2.gz QGTAEYUUUCCZIB-IAQYHMDHSA-N 0 2 323.397 0.265 20 0 DCADLN CCn1cc(CN(C)CCNC(=O)C(F)C(F)(F)F)nn1 ZINC001371975915 967509163 /nfs/dbraw/zinc/50/91/63/967509163.db2.gz UPUDCVFJWXBNNH-VIFPVBQESA-N 0 2 311.283 0.746 20 0 DCADLN CCn1cc(CN(C)CCNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001371975915 967509174 /nfs/dbraw/zinc/50/91/74/967509174.db2.gz UPUDCVFJWXBNNH-VIFPVBQESA-N 0 2 311.283 0.746 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001372513406 968067806 /nfs/dbraw/zinc/06/78/06/968067806.db2.gz GDESWRZRGZQDBZ-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)[C@H](F)C(F)(F)F ZINC001372513406 968067818 /nfs/dbraw/zinc/06/78/18/968067818.db2.gz GDESWRZRGZQDBZ-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN COCC1(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001372837334 968444019 /nfs/dbraw/zinc/44/40/19/968444019.db2.gz LSMPPPNPEDZFDJ-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001372837334 968444025 /nfs/dbraw/zinc/44/40/25/968444025.db2.gz LSMPPPNPEDZFDJ-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN CN(CCNC(=O)c1cnsn1)C(=O)C(F)C(F)(F)F ZINC001373361194 968979256 /nfs/dbraw/zinc/97/92/56/968979256.db2.gz BGBFOTNFFKEKOY-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)c1cnsn1)C(=O)[C@@H](F)C(F)(F)F ZINC001373361194 968979266 /nfs/dbraw/zinc/97/92/66/968979266.db2.gz BGBFOTNFFKEKOY-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373387447 969022513 /nfs/dbraw/zinc/02/25/13/969022513.db2.gz DHOMMAQYBMFCCI-NSHDSACASA-N 0 2 309.370 0.350 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nnn(C)n2)C1 ZINC001448287247 1013859800 /nfs/dbraw/zinc/85/98/00/1013859800.db2.gz HBBDLFPYBUTNCQ-MUWHJKNJSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2nnn(C)n2)C1 ZINC001448287247 1013859809 /nfs/dbraw/zinc/85/98/09/1013859809.db2.gz HBBDLFPYBUTNCQ-MUWHJKNJSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001448323055 1013870118 /nfs/dbraw/zinc/87/01/18/1013870118.db2.gz UHPIBUWYLXEEMO-ZJUUUORDSA-N 0 2 319.365 0.844 20 0 DCADLN C[C@H](CN(C)C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC001609902119 970593773 /nfs/dbraw/zinc/59/37/73/970593773.db2.gz NBOQWNPIWQOTQH-WOPDTQHZSA-N 0 2 301.387 0.458 20 0 DCADLN COC(=O)C1CC2(C1)C[N@H+](Cc1noc(C)n1)C[C@H]2C(=O)[O-] ZINC001604289523 972884526 /nfs/dbraw/zinc/88/45/26/972884526.db2.gz IDGUDOSUMOPCDP-XIRUVYRFSA-N 0 2 309.322 0.464 20 0 DCADLN COC(=O)C1CC2(C1)C[N@@H+](Cc1noc(C)n1)C[C@H]2C(=O)[O-] ZINC001604289523 972884536 /nfs/dbraw/zinc/88/45/36/972884536.db2.gz IDGUDOSUMOPCDP-XIRUVYRFSA-N 0 2 309.322 0.464 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)CSCC(=O)[O-] ZINC001603375919 972930547 /nfs/dbraw/zinc/93/05/47/972930547.db2.gz XOQLREGEHJARHA-WDEREUQCSA-N 0 2 302.396 0.126 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)Nc1ccc(C(N)=O)cc1-n1cc[nH+]c1 ZINC001604435073 973233895 /nfs/dbraw/zinc/23/38/95/973233895.db2.gz QSLXHTYOCNTQIQ-LLVKDONJSA-N 0 2 318.289 0.009 20 0 DCADLN O=C([O-])CN1CCC[C@@H](C(=O)NCCCCn2cc[nH+]c2)C1=O ZINC001606249712 973548246 /nfs/dbraw/zinc/54/82/46/973548246.db2.gz IKWKTKLTWSIYJX-LBPRGKRZSA-N 0 2 322.365 0.103 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)C2(C(=O)[O-])CCOCC2)C1 ZINC001605512505 973698065 /nfs/dbraw/zinc/69/80/65/973698065.db2.gz VOZYDMYTDPRPPQ-LLVKDONJSA-N 0 2 307.350 0.617 20 0 DCADLN CC[C@@]1(C(=O)[O-])CCCN(C(=O)C(=O)NCCn2cc[nH+]c2)C1 ZINC001603594179 973818349 /nfs/dbraw/zinc/81/83/49/973818349.db2.gz QPMMFFXBZMNHBB-OAHLLOKOSA-N 0 2 322.365 0.103 20 0 DCADLN NC(=O)C[N@@H+]1CCCN(C(=O)/C=C/c2ccc(C(=O)[O-])o2)CC1 ZINC001605631749 973976566 /nfs/dbraw/zinc/97/65/66/973976566.db2.gz GDQPVDMZEZXWLV-HWKANZROSA-N 0 2 321.333 0.011 20 0 DCADLN NC(=O)C[N@H+]1CCCN(C(=O)/C=C/c2ccc(C(=O)[O-])o2)CC1 ZINC001605631749 973976570 /nfs/dbraw/zinc/97/65/70/973976570.db2.gz GDQPVDMZEZXWLV-HWKANZROSA-N 0 2 321.333 0.011 20 0 DCADLN CN(CCNC(=O)Cc1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001449153436 1014247016 /nfs/dbraw/zinc/24/70/16/1014247016.db2.gz WIHBCVYIOSNLQT-SECBINFHSA-N 0 2 310.251 0.427 20 0 DCADLN CC(C)OC(=O)[C@@H]([NH2+]CCc1cn(CC(=O)[O-])nn1)C(C)C ZINC001591685449 975480314 /nfs/dbraw/zinc/48/03/14/975480314.db2.gz DNCYGBBDKOADRB-ZDUSSCGKSA-N 0 2 312.370 0.471 20 0 DCADLN Cc1ncc(C(=O)N(C)C[C@H](O)C[N@H+](C)C/C=C/Cl)[nH]1 ZINC001449189204 1014283752 /nfs/dbraw/zinc/28/37/52/1014283752.db2.gz BYWXONFZLUYKNE-SGUJLRQBSA-N 0 2 300.790 0.835 20 0 DCADLN CC(C)c1nnc(CCC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])o1 ZINC001592050488 976791154 /nfs/dbraw/zinc/79/11/54/976791154.db2.gz VHDBYXDROYZXLV-JTQLQIEISA-N 0 2 321.337 0.592 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@@H+]1C[C@H](C)O[C@H](C(=O)[O-])C1)C1CC1 ZINC001592178779 977086205 /nfs/dbraw/zinc/08/62/05/977086205.db2.gz SYKFIQVLRSMIMH-WDMOLILDSA-N 0 2 310.394 0.950 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@H+]1C[C@H](C)O[C@H](C(=O)[O-])C1)C1CC1 ZINC001592178779 977086211 /nfs/dbraw/zinc/08/62/11/977086211.db2.gz SYKFIQVLRSMIMH-WDMOLILDSA-N 0 2 310.394 0.950 20 0 DCADLN C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC000710146650 977486455 /nfs/dbraw/zinc/48/64/55/977486455.db2.gz ZRXQCYWJBCSQDH-SECBINFHSA-N 0 2 302.327 0.506 20 0 DCADLN C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC000710146650 977486457 /nfs/dbraw/zinc/48/64/57/977486457.db2.gz ZRXQCYWJBCSQDH-SECBINFHSA-N 0 2 302.327 0.506 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)c1cccc(CC(=O)[O-])c1 ZINC001592616494 978580057 /nfs/dbraw/zinc/58/00/57/978580057.db2.gz URGVPSPMTFWDQR-CYBMUJFWSA-N 0 2 319.405 0.679 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)C12CCC(C(=O)[O-])(CC1)C2 ZINC001592623193 978592021 /nfs/dbraw/zinc/59/20/21/978592021.db2.gz DWJABTSNMZXBJF-JQRITLKVSA-N 0 2 310.394 0.858 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)CCCn1ccc(C(=O)[O-])n1 ZINC001592624465 978599192 /nfs/dbraw/zinc/59/91/92/978599192.db2.gz PDCIRQFUBOQJKN-GFCCVEGCSA-N 0 2 324.381 0.198 20 0 DCADLN C[C@H](CS(=O)(=O)N1CCC(c2c[nH+]cn2C)CC1)C(=O)[O-] ZINC001592799590 979452169 /nfs/dbraw/zinc/45/21/69/979452169.db2.gz VSJDJJLAFALSHA-SNVBAGLBSA-N 0 2 315.395 0.650 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N2C[C@@H]3COC[C@@]3(C(=O)[O-])C2)c[nH+]1 ZINC001550346569 1014674837 /nfs/dbraw/zinc/67/48/37/1014674837.db2.gz QQZGOGWSCGKUSB-ABAIWWIYSA-N 0 2 307.350 0.486 20 0 DCADLN Cc1c(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cnn1C ZINC001530483802 1014767096 /nfs/dbraw/zinc/76/70/96/1014767096.db2.gz FOMVOATZPDXIJB-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1c(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)cnn1C ZINC001530483802 1014767102 /nfs/dbraw/zinc/76/71/02/1014767102.db2.gz FOMVOATZPDXIJB-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)N(C)C(=O)C(F)C(F)(F)F ZINC001449909479 1014775386 /nfs/dbraw/zinc/77/53/86/1014775386.db2.gz XOKNQLAMRDHSHX-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001449909479 1014775399 /nfs/dbraw/zinc/77/53/99/1014775399.db2.gz XOKNQLAMRDHSHX-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN CCCCNc1cc(N2C[C@H](O)C[C@H](C(=O)[O-])C2)nc(N)[nH+]1 ZINC001595763196 982470015 /nfs/dbraw/zinc/47/00/15/982470015.db2.gz CFRJDDFJKFKNRI-VHSXEESVSA-N 0 2 309.370 0.543 20 0 DCADLN CCCCNc1cc(N2C[C@H](O)C[C@H](C(=O)[O-])C2)[nH+]c(N)n1 ZINC001595763196 982470020 /nfs/dbraw/zinc/47/00/20/982470020.db2.gz CFRJDDFJKFKNRI-VHSXEESVSA-N 0 2 309.370 0.543 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCC[N@@H+]1Cc1cccc(C(=O)[O-])n1 ZINC001574281270 983207936 /nfs/dbraw/zinc/20/79/36/983207936.db2.gz ZMNQLEFCPHBEOS-LLVKDONJSA-N 0 2 313.379 0.423 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCC[N@H+]1Cc1cccc(C(=O)[O-])n1 ZINC001574281270 983207943 /nfs/dbraw/zinc/20/79/43/983207943.db2.gz ZMNQLEFCPHBEOS-LLVKDONJSA-N 0 2 313.379 0.423 20 0 DCADLN O=C([O-])[C@@H](CO)NC(=O)c1c[nH+]ccc1Nc1ccccc1 ZINC001574308618 983213224 /nfs/dbraw/zinc/21/32/24/983213224.db2.gz MNSJUJYNBPFFQX-CYBMUJFWSA-N 0 2 301.302 0.422 20 0 DCADLN Cn1nccc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001530788991 1014938538 /nfs/dbraw/zinc/93/85/38/1014938538.db2.gz XAVRUNKLJOYARB-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cn1nccc1C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001530788991 1014938547 /nfs/dbraw/zinc/93/85/47/1014938547.db2.gz XAVRUNKLJOYARB-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)NCCCN1CCCCCC1=O ZINC001596222143 983613293 /nfs/dbraw/zinc/61/32/93/983613293.db2.gz HZNKPJAFFWRRLU-UHFFFAOYSA-N 0 2 313.398 0.302 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)NCCCN1CCCCCC1=O ZINC001596222143 983613295 /nfs/dbraw/zinc/61/32/95/983613295.db2.gz HZNKPJAFFWRRLU-UHFFFAOYSA-N 0 2 313.398 0.302 20 0 DCADLN CCN(CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])C(=O)CCc1c[nH]c[nH+]1 ZINC001596332111 983769462 /nfs/dbraw/zinc/76/94/62/983769462.db2.gz GRMZSGGRURQOCR-NWDGAFQWSA-N 0 2 322.365 0.028 20 0 DCADLN CCN(CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])C(=O)CCc1c[nH+]c[nH]1 ZINC001596332111 983769465 /nfs/dbraw/zinc/76/94/65/983769465.db2.gz GRMZSGGRURQOCR-NWDGAFQWSA-N 0 2 322.365 0.028 20 0 DCADLN CCO[C@@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001450278395 1015004719 /nfs/dbraw/zinc/00/47/19/1015004719.db2.gz FYPBVZXXNDZPBP-POYBYMJQSA-N 0 2 300.252 0.639 20 0 DCADLN CCO[C@@H](C)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450278395 1015004731 /nfs/dbraw/zinc/00/47/31/1015004731.db2.gz FYPBVZXXNDZPBP-POYBYMJQSA-N 0 2 300.252 0.639 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)C[C@H]1C ZINC001596436311 984118373 /nfs/dbraw/zinc/11/83/73/984118373.db2.gz MXQNOQADBGSPOK-UTUOFQBUSA-N 0 2 304.412 0.596 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)C[C@H]1C ZINC001596436311 984118375 /nfs/dbraw/zinc/11/83/75/984118375.db2.gz MXQNOQADBGSPOK-UTUOFQBUSA-N 0 2 304.412 0.596 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])cn2C)C[C@H]1C ZINC001596436622 984122033 /nfs/dbraw/zinc/12/20/33/984122033.db2.gz WZWGFKSORCOSHF-SNVBAGLBSA-N 0 2 315.395 0.438 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])cn2C)C[C@H]1C ZINC001596436622 984122038 /nfs/dbraw/zinc/12/20/38/984122038.db2.gz WZWGFKSORCOSHF-SNVBAGLBSA-N 0 2 315.395 0.438 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@H](C)c1ncc(C(=O)[O-])s1 ZINC001596485662 984221918 /nfs/dbraw/zinc/22/19/18/984221918.db2.gz SJDKGMDYYMGMCX-ZCFIWIBFSA-N 0 2 300.340 0.338 20 0 DCADLN CNC(=O)[C@]12CN(c3[nH+]cccc3C(=O)[O-])C[C@H]1COCC2 ZINC001551411414 1015046644 /nfs/dbraw/zinc/04/66/44/1015046644.db2.gz TVJBDRMKQBJBMR-ZUZCIYMTSA-N 0 2 305.334 0.369 20 0 DCADLN CCOC(=O)c1cc(C[N@@H+]2CCSC[C@H]2CC(=O)[O-])[nH]n1 ZINC001596707695 984917920 /nfs/dbraw/zinc/91/79/20/984917920.db2.gz WVYLCAHRWBZFMF-SNVBAGLBSA-N 0 2 313.379 0.979 20 0 DCADLN CCOC(=O)c1cc(C[N@H+]2CCSC[C@H]2CC(=O)[O-])[nH]n1 ZINC001596707695 984917927 /nfs/dbraw/zinc/91/79/27/984917927.db2.gz WVYLCAHRWBZFMF-SNVBAGLBSA-N 0 2 313.379 0.979 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001531242971 1015118783 /nfs/dbraw/zinc/11/87/83/1015118783.db2.gz ZHBVJWUJBHCSDT-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001531242971 1015118790 /nfs/dbraw/zinc/11/87/90/1015118790.db2.gz ZHBVJWUJBHCSDT-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001599954713 985668333 /nfs/dbraw/zinc/66/83/33/985668333.db2.gz SRHYCFCLNLIQMJ-NSHDSACASA-N 0 2 317.349 0.840 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2cnnc(C)c2)n[nH]1 ZINC001551668835 1015172066 /nfs/dbraw/zinc/17/20/66/1015172066.db2.gz HWZCFLWWQCVAIL-OLZOCXBDSA-N 0 2 316.365 0.856 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2ccnc(C)n2)n[nH]1 ZINC001551666675 1015171741 /nfs/dbraw/zinc/17/17/41/1015171741.db2.gz NNUSGRRWDTTYLY-AAEUAGOBSA-N 0 2 316.365 0.856 20 0 DCADLN COCC(=O)N1CC[C@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385225911 986169108 /nfs/dbraw/zinc/16/91/08/986169108.db2.gz HBQDVUZQWDFCDQ-CBAPKCEASA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N1CC[C@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385225911 986169118 /nfs/dbraw/zinc/16/91/18/986169118.db2.gz HBQDVUZQWDFCDQ-CBAPKCEASA-N 0 2 300.252 0.593 20 0 DCADLN C[C@H]1C[N@H+](Cc2cnc(C(=O)[O-])cn2)C[C@]2(CCCOC2)O1 ZINC001594548075 986235143 /nfs/dbraw/zinc/23/51/43/986235143.db2.gz QGEGMTAHHOPICG-NHYWBVRUSA-N 0 2 307.350 0.945 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cnc(C(=O)[O-])cn2)C[C@]2(CCCOC2)O1 ZINC001594548075 986235156 /nfs/dbraw/zinc/23/51/56/986235156.db2.gz QGEGMTAHHOPICG-NHYWBVRUSA-N 0 2 307.350 0.945 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2cnon2)C1 ZINC001385407525 986327133 /nfs/dbraw/zinc/32/71/33/986327133.db2.gz ZKHYSJUSVMYAQR-XPUUQOCRSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(C(=O)c2cnon2)C1 ZINC001385407525 986327142 /nfs/dbraw/zinc/32/71/42/986327142.db2.gz ZKHYSJUSVMYAQR-XPUUQOCRSA-N 0 2 324.234 0.643 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001385650583 986495977 /nfs/dbraw/zinc/49/59/77/986495977.db2.gz CIYAWRGNZMFNDN-PHDIDXHHSA-N 0 2 309.223 0.036 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385652412 986495899 /nfs/dbraw/zinc/49/58/99/986495899.db2.gz KFSCJMPDQAGMAK-HTRCEHHLSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385652412 986495903 /nfs/dbraw/zinc/49/59/03/986495903.db2.gz KFSCJMPDQAGMAK-HTRCEHHLSA-N 0 2 324.234 0.609 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2nc[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001385650583 986495982 /nfs/dbraw/zinc/49/59/82/986495982.db2.gz CIYAWRGNZMFNDN-PHDIDXHHSA-N 0 2 309.223 0.036 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001386701279 987321310 /nfs/dbraw/zinc/32/13/10/987321310.db2.gz FOJUKWMXCCITIP-IYSWYEEDSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001386701279 987321314 /nfs/dbraw/zinc/32/13/14/987321314.db2.gz FOJUKWMXCCITIP-IYSWYEEDSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)NC(=O)C(F)C(F)(F)F ZINC001387061696 987606659 /nfs/dbraw/zinc/60/66/59/987606659.db2.gz WIQHTDWCQVZBGF-RNFRBKRXSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001387061696 987606662 /nfs/dbraw/zinc/60/66/62/987606662.db2.gz WIQHTDWCQVZBGF-RNFRBKRXSA-N 0 2 308.235 0.612 20 0 DCADLN CC(C)[N@H+]1CCC[C@H]1C(=O)N[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001387193620 987696387 /nfs/dbraw/zinc/69/63/87/987696387.db2.gz VLNUTJMSVOMRTH-OCCSQVGLSA-N 0 2 321.425 0.446 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001387815462 988177951 /nfs/dbraw/zinc/17/79/51/988177951.db2.gz BGBSNOQNJFJWCS-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001387815462 988177956 /nfs/dbraw/zinc/17/79/56/988177956.db2.gz BGBSNOQNJFJWCS-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CCN(CCNC(=O)CCc1c[nH]c[nH+]1)C(=O)[C@@H]1CCC[N@H+]1C ZINC001388366537 988234224 /nfs/dbraw/zinc/23/42/24/988234224.db2.gz VDMYBHQDQYVGAK-AWEZNQCLSA-N 0 2 321.425 0.401 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001387892336 988258060 /nfs/dbraw/zinc/25/80/60/988258060.db2.gz NHLXSNLGJUAASV-UHFFFAOYSA-N 0 2 321.425 0.259 20 0 DCADLN CC[C@H](CNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001388029466 988406975 /nfs/dbraw/zinc/40/69/75/988406975.db2.gz AMVBWZLUZSGKFK-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN CC[C@H](CNC(=O)Cc1cc[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001388029466 988406979 /nfs/dbraw/zinc/40/69/79/988406979.db2.gz AMVBWZLUZSGKFK-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN Cc1cc(C)c(CNC(=O)N2C[C@@H]3COC[C@@]3(C(=O)[O-])C2)c[nH+]1 ZINC001552398485 1015417612 /nfs/dbraw/zinc/41/76/12/1015417612.db2.gz KEWLGHUVYZUQDK-CJNGLKHVSA-N 0 2 319.361 0.941 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001389046593 988758013 /nfs/dbraw/zinc/75/80/13/988758013.db2.gz SGGGSWXTJOCLTM-CBAPKCEASA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccccn1 ZINC001389046593 988758019 /nfs/dbraw/zinc/75/80/19/988758019.db2.gz SGGGSWXTJOCLTM-CBAPKCEASA-N 0 2 323.246 0.189 20 0 DCADLN O=C(COCC1CC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001389235356 989012179 /nfs/dbraw/zinc/01/21/79/989012179.db2.gz SLVXJYUSGATWFM-SNVBAGLBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(COCC1CC1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001389235356 989012186 /nfs/dbraw/zinc/01/21/86/989012186.db2.gz SLVXJYUSGATWFM-SNVBAGLBSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@H]1C[N@H+](Cc2nnnn2CC(F)(F)F)C[C@H]1CC(=O)[O-] ZINC001599867348 989288946 /nfs/dbraw/zinc/28/89/46/989288946.db2.gz RIPORABANZKXDY-HTQZYQBOSA-N 0 2 307.276 0.778 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2nnnn2CC(F)(F)F)C[C@H]1CC(=O)[O-] ZINC001599867348 989288952 /nfs/dbraw/zinc/28/89/52/989288952.db2.gz RIPORABANZKXDY-HTQZYQBOSA-N 0 2 307.276 0.778 20 0 DCADLN O=C(CC[C@H]1CCCCO1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389490528 989321213 /nfs/dbraw/zinc/32/12/13/989321213.db2.gz PMXBRPQCEBRBLN-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cc[nH]n1)C(F)C(F)(F)F ZINC001389676251 989482389 /nfs/dbraw/zinc/48/23/89/989482389.db2.gz SRYIWSBFQXZQPH-HTRCEHHLSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccn[nH]1)C(F)C(F)(F)F ZINC001389676251 989482393 /nfs/dbraw/zinc/48/23/93/989482393.db2.gz SRYIWSBFQXZQPH-HTRCEHHLSA-N 0 2 308.235 0.641 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)Cn2cccn2)C1 ZINC001390075320 989798017 /nfs/dbraw/zinc/79/80/17/989798017.db2.gz MLLXTGPHLWPEBL-JTQLQIEISA-N 0 2 322.262 0.453 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)Cn2cccn2)C1 ZINC001390075320 989798023 /nfs/dbraw/zinc/79/80/23/989798023.db2.gz MLLXTGPHLWPEBL-JTQLQIEISA-N 0 2 322.262 0.453 20 0 DCADLN C[C@@H]([NH2+]C[C@H]1CCCCN1C(=O)CCc1[nH+]ccn1C)C(N)=O ZINC001390148704 989868696 /nfs/dbraw/zinc/86/86/96/989868696.db2.gz UUHJKIWJJVPZBZ-CHWSQXEVSA-N 0 2 321.425 0.197 20 0 DCADLN CN(C(=O)C[NH2+]Cc1cn(CC(=O)[O-])nn1)C1CCCCC1 ZINC001598025396 990235637 /nfs/dbraw/zinc/23/56/37/990235637.db2.gz PLJKJRAKLBMKKT-UHFFFAOYSA-N 0 2 309.370 0.243 20 0 DCADLN CC[N@@H+]1CC[C@@H]1CNC(=O)N1CC[C@H]([NH+]2CCN(C)CC2)C1 ZINC001552901234 1015559935 /nfs/dbraw/zinc/55/99/35/1015559935.db2.gz RQXTXKNLYHMLFS-CABCVRRESA-N 0 2 309.458 0.112 20 0 DCADLN CN(C(=O)c1ccnc(C(=O)[O-])c1)C(C)(C)C[NH+]1CCOCC1 ZINC001598093425 990440505 /nfs/dbraw/zinc/44/05/05/990440505.db2.gz DRGSHMADJGRCTD-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001390958541 990492153 /nfs/dbraw/zinc/49/21/53/990492153.db2.gz ORGXGJNBNOUOFK-GMXVVIOVSA-N 0 2 323.397 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001390958541 990492156 /nfs/dbraw/zinc/49/21/56/990492156.db2.gz ORGXGJNBNOUOFK-GMXVVIOVSA-N 0 2 323.397 0.264 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCO[C@H]1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001390966006 990495304 /nfs/dbraw/zinc/49/53/04/990495304.db2.gz YVCPGVRPIPFOMJ-YPMHNXCESA-N 0 2 323.397 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCO[C@H]1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001390966006 990495307 /nfs/dbraw/zinc/49/53/07/990495307.db2.gz YVCPGVRPIPFOMJ-YPMHNXCESA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)CNC(=O)C(F)C(F)(F)F ZINC001391276946 990712600 /nfs/dbraw/zinc/71/26/00/990712600.db2.gz NHCUVQZHGSIFKY-APPZFPTMSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001391276946 990712608 /nfs/dbraw/zinc/71/26/08/990712608.db2.gz NHCUVQZHGSIFKY-APPZFPTMSA-N 0 2 322.262 0.859 20 0 DCADLN CC(C)(NC(=O)NCCn1cc[nH+]c1)c1nocc1C(=O)[O-] ZINC001590848555 990721812 /nfs/dbraw/zinc/72/18/12/990721812.db2.gz BIUXLMIWPIHBSU-UHFFFAOYSA-N 0 2 307.310 0.804 20 0 DCADLN CC[C@@H](CNC(=O)CCc1nnc[nH]1)[NH2+][C@@H](C)c1ncccn1 ZINC001391686608 991094753 /nfs/dbraw/zinc/09/47/53/991094753.db2.gz SNADRZLKXPUQRH-RYUDHWBXSA-N 0 2 317.397 0.773 20 0 DCADLN COc1ccccc1OCC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001599714259 991425479 /nfs/dbraw/zinc/42/54/79/991425479.db2.gz BCHLOMNRCPWIFN-LLVKDONJSA-N 0 2 319.317 0.540 20 0 DCADLN CN(CC(F)F)C1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001598430106 991790630 /nfs/dbraw/zinc/79/06/30/991790630.db2.gz GLHIZIYJFQHROF-UHFFFAOYSA-N 0 2 317.340 0.524 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)Nc1cc(C(=O)[O-])n(C)n1 ZINC001598485547 992200989 /nfs/dbraw/zinc/20/09/89/992200989.db2.gz PDHXISMLSYKOLC-UHFFFAOYSA-N 0 2 306.326 0.869 20 0 DCADLN C[C@@H](CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001392878654 992491582 /nfs/dbraw/zinc/49/15/82/992491582.db2.gz ZQWGSSWWVUYAIZ-QWRGUYRKSA-N 0 2 319.365 0.988 20 0 DCADLN Cc1cc(CCC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001393014019 992624417 /nfs/dbraw/zinc/62/44/17/992624417.db2.gz HSBKCNDPSRAUAZ-UHFFFAOYSA-N 0 2 320.353 0.082 20 0 DCADLN CCCC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCC1(F)F ZINC001393224647 992756239 /nfs/dbraw/zinc/75/62/39/992756239.db2.gz YYPFDGBJVZHCSV-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN O=C(NC[C@@H]1CCC2(CN(CCO)C2)O1)C(F)C(F)(F)F ZINC001393660505 993162834 /nfs/dbraw/zinc/16/28/34/993162834.db2.gz YRFIGOQMEMZIHE-IUCAKERBSA-N 0 2 314.279 0.229 20 0 DCADLN C[N@@H+]1C[C@@H](O)C[C@@]1(C)C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC001598568873 993173790 /nfs/dbraw/zinc/17/37/90/993173790.db2.gz GAZQKCURNLHXJX-AYVTZFPOSA-N 0 2 318.333 0.655 20 0 DCADLN C[N@H+]1C[C@@H](O)C[C@@]1(C)C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC001598568873 993173793 /nfs/dbraw/zinc/17/37/93/993173793.db2.gz GAZQKCURNLHXJX-AYVTZFPOSA-N 0 2 318.333 0.655 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)CSC[C@H]2CCCCO2)C[C@H]1C(=O)[O-] ZINC001598576565 993284993 /nfs/dbraw/zinc/28/49/93/993284993.db2.gz YNUTUYXKCYWVCY-UTUOFQBUSA-N 0 2 317.407 0.989 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)CSC[C@H]2CCCCO2)C[C@H]1C(=O)[O-] ZINC001598576565 993285001 /nfs/dbraw/zinc/28/50/01/993285001.db2.gz YNUTUYXKCYWVCY-UTUOFQBUSA-N 0 2 317.407 0.989 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)CSC[C@H]2CCCCO2)C[C@@H]1C(=O)[O-] ZINC001598576562 993285620 /nfs/dbraw/zinc/28/56/20/993285620.db2.gz YNUTUYXKCYWVCY-IJLUTSLNSA-N 0 2 317.407 0.989 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)CSC[C@H]2CCCCO2)C[C@@H]1C(=O)[O-] ZINC001598576562 993285628 /nfs/dbraw/zinc/28/56/28/993285628.db2.gz YNUTUYXKCYWVCY-IJLUTSLNSA-N 0 2 317.407 0.989 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C)Cc1nccc(C)n1 ZINC001399646494 993631247 /nfs/dbraw/zinc/63/12/47/993631247.db2.gz HYESYRHSKKDMCQ-UHFFFAOYSA-N 0 2 302.382 0.607 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1nccnc1N ZINC001399922782 993933376 /nfs/dbraw/zinc/93/33/76/993933376.db2.gz IRTBFMHGFSPENF-VDTYLAMSSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1nccnc1N ZINC001399922782 993933386 /nfs/dbraw/zinc/93/33/86/993933386.db2.gz IRTBFMHGFSPENF-VDTYLAMSSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@@H]1COCC[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400023968 994066149 /nfs/dbraw/zinc/06/61/49/994066149.db2.gz AUWDJYJTEQNONU-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1COCC[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400023968 994066159 /nfs/dbraw/zinc/06/61/59/994066159.db2.gz AUWDJYJTEQNONU-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)nn1C ZINC001400352546 994435284 /nfs/dbraw/zinc/43/52/84/994435284.db2.gz NELBCALZRJFUDD-JTQLQIEISA-N 0 2 308.279 0.929 20 0 DCADLN Cc1cc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)nn1C ZINC001400352546 994435287 /nfs/dbraw/zinc/43/52/87/994435287.db2.gz NELBCALZRJFUDD-JTQLQIEISA-N 0 2 308.279 0.929 20 0 DCADLN COCCC(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001400434983 994510557 /nfs/dbraw/zinc/51/05/57/994510557.db2.gz UIKFRXXKIRFEAR-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001400434983 994510568 /nfs/dbraw/zinc/51/05/68/994510568.db2.gz UIKFRXXKIRFEAR-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2CCCO2)CC1)C(F)C(F)(F)F ZINC001400512756 994603042 /nfs/dbraw/zinc/60/30/42/994603042.db2.gz GXJOFVYVXMPGPT-HTQZYQBOSA-N 0 2 312.263 0.831 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2CCCO2)CC1)[C@@H](F)C(F)(F)F ZINC001400512756 994603054 /nfs/dbraw/zinc/60/30/54/994603054.db2.gz GXJOFVYVXMPGPT-HTQZYQBOSA-N 0 2 312.263 0.831 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN1c1ccc(C)c(C(=O)[O-])[nH+]1 ZINC001598671269 994760960 /nfs/dbraw/zinc/76/09/60/994760960.db2.gz OMYDMAXWZALXTQ-JTQLQIEISA-N 0 2 313.379 0.606 20 0 DCADLN CC(C)N(C)C(=O)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCC[NH+]2C)C1 ZINC001400706224 994823465 /nfs/dbraw/zinc/82/34/65/994823465.db2.gz WGRAANJCBVWHJF-HUUCEWRRSA-N 0 2 324.469 0.480 20 0 DCADLN C[C@](O)(C1CC[NH+](CC(=O)NCC(=O)[O-])CC1)C(F)(F)F ZINC001593381129 995091095 /nfs/dbraw/zinc/09/10/95/995091095.db2.gz FTVLCXRFNXHVOH-NSHDSACASA-N 0 2 312.288 0.213 20 0 DCADLN C[C@@](O)(CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1ccccc1 ZINC001593381578 995092943 /nfs/dbraw/zinc/09/29/43/995092943.db2.gz LZVWJBHCPXOIPO-CZUORRHYSA-N 0 2 317.345 0.819 20 0 DCADLN C[C@@](O)(CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1ccccc1 ZINC001593381578 995092952 /nfs/dbraw/zinc/09/29/52/995092952.db2.gz LZVWJBHCPXOIPO-CZUORRHYSA-N 0 2 317.345 0.819 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1cccc(C(=O)[O-])c1 ZINC001598702879 995246384 /nfs/dbraw/zinc/24/63/84/995246384.db2.gz QJWMJJKVRUYLOB-GFCCVEGCSA-N 0 2 317.301 0.553 20 0 DCADLN CCCCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001396368736 995346464 /nfs/dbraw/zinc/34/64/64/995346464.db2.gz TZOYVIIXQJDBCO-MRTMQBJTSA-N 0 2 314.279 0.765 20 0 DCADLN CCCCC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001396368736 995346475 /nfs/dbraw/zinc/34/64/75/995346475.db2.gz TZOYVIIXQJDBCO-MRTMQBJTSA-N 0 2 314.279 0.765 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397175535 995842470 /nfs/dbraw/zinc/84/24/70/995842470.db2.gz ZLDMCINDWCLQMA-ZKWXMUAHSA-N 0 2 300.252 0.687 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397175535 995842473 /nfs/dbraw/zinc/84/24/73/995842473.db2.gz ZLDMCINDWCLQMA-ZKWXMUAHSA-N 0 2 300.252 0.687 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)cnn1 ZINC001397419275 995983661 /nfs/dbraw/zinc/98/36/61/995983661.db2.gz WMEVELMTOHRSIS-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)cnn1 ZINC001397419275 995983666 /nfs/dbraw/zinc/98/36/66/995983666.db2.gz WMEVELMTOHRSIS-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN Cn1cccc1CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001465454727 1016002323 /nfs/dbraw/zinc/00/23/23/1016002323.db2.gz YYBVWVDJASABKQ-UHFFFAOYSA-N 0 2 313.317 0.535 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCc2ccco2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001397764236 996162941 /nfs/dbraw/zinc/16/29/41/996162941.db2.gz GATXFRPQMXNWIK-PWSUYJOCSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCc2ccco2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001397764236 996162942 /nfs/dbraw/zinc/16/29/42/996162942.db2.gz GATXFRPQMXNWIK-PWSUYJOCSA-N 0 2 319.365 0.815 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)C(C)(C)n2c[nH+]c(C)c2)C[C@@H]1C(=O)[O-] ZINC001598804364 996170206 /nfs/dbraw/zinc/17/02/06/996170206.db2.gz URXQPATYHOQIMB-QWRGUYRKSA-N 0 2 323.349 0.259 20 0 DCADLN C[C@@H](c1ccc(S(C)(=O)=O)cc1)[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001593700168 996175084 /nfs/dbraw/zinc/17/50/84/996175084.db2.gz XMYQXMSYBOFWSN-HZMBPMFUSA-N 0 2 313.375 0.673 20 0 DCADLN C[C@@H](c1ccc(S(C)(=O)=O)cc1)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001593700168 996175086 /nfs/dbraw/zinc/17/50/86/996175086.db2.gz XMYQXMSYBOFWSN-HZMBPMFUSA-N 0 2 313.375 0.673 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[N@@H+]1CC[C@H](CNS(C)(=O)=O)C1 ZINC001593724978 996271931 /nfs/dbraw/zinc/27/19/31/996271931.db2.gz KNIHPRNWMDWPDJ-DTWKUNHWSA-N 0 2 317.367 0.305 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[N@H+]1CC[C@H](CNS(C)(=O)=O)C1 ZINC001593724978 996271937 /nfs/dbraw/zinc/27/19/37/996271937.db2.gz KNIHPRNWMDWPDJ-DTWKUNHWSA-N 0 2 317.367 0.305 20 0 DCADLN C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)n1ccc(C(F)(F)F)n1 ZINC001465724946 1016021318 /nfs/dbraw/zinc/02/13/18/1016021318.db2.gz GLCMIRZAJZUNBI-YFKPBYRVSA-N 0 2 304.232 0.603 20 0 DCADLN C[C@H](c1ncc(C(=O)[O-])s1)[N@@H+]1CCC[C@]2(C1)NC(=O)NC2=O ZINC001593731213 996301043 /nfs/dbraw/zinc/30/10/43/996301043.db2.gz DKGDFWOFBGZUPQ-FUXBKTLASA-N 0 2 324.362 0.576 20 0 DCADLN C[C@H](c1ncc(C(=O)[O-])s1)[N@H+]1CCC[C@]2(C1)NC(=O)NC2=O ZINC001593731213 996301049 /nfs/dbraw/zinc/30/10/49/996301049.db2.gz DKGDFWOFBGZUPQ-FUXBKTLASA-N 0 2 324.362 0.576 20 0 DCADLN Cc1ccnc(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001466134238 1016053531 /nfs/dbraw/zinc/05/35/31/1016053531.db2.gz WXHKLECCXXNFBY-UHFFFAOYSA-N 0 2 324.366 0.374 20 0 DCADLN Cn1ccc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001398757012 996674669 /nfs/dbraw/zinc/67/46/69/996674669.db2.gz TWNOVODRTWNOAX-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cn1ccc(C(=O)N2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001398757012 996674672 /nfs/dbraw/zinc/67/46/72/996674672.db2.gz TWNOVODRTWNOAX-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC001598894282 997050441 /nfs/dbraw/zinc/05/04/41/997050441.db2.gz HWBOIZVQUAGVLI-SECBINFHSA-N 0 2 321.289 0.523 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC001598894282 997050455 /nfs/dbraw/zinc/05/04/55/997050455.db2.gz HWBOIZVQUAGVLI-SECBINFHSA-N 0 2 321.289 0.523 20 0 DCADLN CCOCC(=O)NC[C@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001404380901 997055896 /nfs/dbraw/zinc/05/58/96/997055896.db2.gz PWOZCIDDCUVOJN-LBPRGKRZSA-N 0 2 319.365 0.494 20 0 DCADLN COCc1nc(C)c(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])s1 ZINC001599301020 997233709 /nfs/dbraw/zinc/23/37/09/997233709.db2.gz VFRMIXJLXRLOQS-SECBINFHSA-N 0 2 324.362 0.678 20 0 DCADLN COCC1(O)CC[NH+](CC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)CC1 ZINC001599201689 997318059 /nfs/dbraw/zinc/31/80/59/997318059.db2.gz MYQQYDWHNNTKOT-UHFFFAOYSA-N 0 2 324.377 0.986 20 0 DCADLN CCN(C(=O)C[N@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-])C1CC1 ZINC001399448932 997398776 /nfs/dbraw/zinc/39/87/76/997398776.db2.gz ABFYECCDEDAIQO-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN CCN(C(=O)C[N@@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-])C1CC1 ZINC001399448932 997398786 /nfs/dbraw/zinc/39/87/86/997398786.db2.gz ABFYECCDEDAIQO-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN CCN1CC[C@@H](N2CC(NC(=O)C(F)C(F)(F)F)C2)C1=O ZINC001404767045 997444451 /nfs/dbraw/zinc/44/44/51/997444451.db2.gz KEJGDZUKXDNXID-BDAKNGLRSA-N 0 2 311.279 0.308 20 0 DCADLN CCN1CC[C@@H](N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)C1=O ZINC001404767045 997444454 /nfs/dbraw/zinc/44/44/54/997444454.db2.gz KEJGDZUKXDNXID-BDAKNGLRSA-N 0 2 311.279 0.308 20 0 DCADLN CCCC1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001404979030 997640510 /nfs/dbraw/zinc/64/05/10/997640510.db2.gz GBNYUTTXWSYABK-QWRGUYRKSA-N 0 2 305.382 0.876 20 0 DCADLN CC(C)(O)[C@H](O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001467266801 1016149976 /nfs/dbraw/zinc/14/99/76/1016149976.db2.gz UEIPRLFJFYLTHC-CYBMUJFWSA-N 0 2 320.349 0.132 20 0 DCADLN O=C(CC1(O)CCOCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001467507834 1016175288 /nfs/dbraw/zinc/17/52/88/1016175288.db2.gz FTZNGYQLXHJNOH-SNVBAGLBSA-N 0 2 310.354 0.148 20 0 DCADLN COCC(=O)N1CC[NH+](Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC001599139154 998096968 /nfs/dbraw/zinc/09/69/68/998096968.db2.gz GMMPABSZAFQIDT-UHFFFAOYSA-N 0 2 322.361 0.441 20 0 DCADLN COc1cc(OC)cc([C@@H]([NH2+][C@@H]2C(=O)NCC2(C)C)C(=O)[O-])c1 ZINC001599453368 998145009 /nfs/dbraw/zinc/14/50/09/998145009.db2.gz PUPMLPJCXMZVPW-CHWSQXEVSA-N 0 2 322.361 0.944 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)NC[C@H](O)CC(=O)[O-])cc1 ZINC001599560914 998193281 /nfs/dbraw/zinc/19/32/81/998193281.db2.gz OOYIFIZQVKXDCE-TZMCWYRMSA-N 0 2 322.361 0.221 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@@H]2C(=O)NC[C@H](O)CC(=O)[O-])cc1 ZINC001599560914 998193283 /nfs/dbraw/zinc/19/32/83/998193283.db2.gz OOYIFIZQVKXDCE-TZMCWYRMSA-N 0 2 322.361 0.221 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1nncn1C ZINC001467800883 1016200740 /nfs/dbraw/zinc/20/07/40/1016200740.db2.gz YJINICWORNKSBE-IUCAKERBSA-N 0 2 320.357 0.289 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])o1 ZINC001598959637 998306321 /nfs/dbraw/zinc/30/63/21/998306321.db2.gz FRVUNZXQRLMIJE-QMMMGPOBSA-N 0 2 307.262 0.215 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])o1 ZINC001598959637 998306333 /nfs/dbraw/zinc/30/63/33/998306333.db2.gz FRVUNZXQRLMIJE-QMMMGPOBSA-N 0 2 307.262 0.215 20 0 DCADLN COC(=O)c1ccc2c(c1)CC[N@H+]([C@H](C)C(=O)NCC(=O)[O-])C2 ZINC001598991039 998737339 /nfs/dbraw/zinc/73/73/39/998737339.db2.gz CYVZTNJDKWWFIA-SNVBAGLBSA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)c1ccc2c(c1)CC[N@@H+]([C@H](C)C(=O)NCC(=O)[O-])C2 ZINC001598991039 998737343 /nfs/dbraw/zinc/73/73/43/998737343.db2.gz CYVZTNJDKWWFIA-SNVBAGLBSA-N 0 2 320.345 0.421 20 0 DCADLN CON(C)C(=O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC001469132575 1016313958 /nfs/dbraw/zinc/31/39/58/1016313958.db2.gz LCRVUOJCUBHYPD-UHFFFAOYSA-N 0 2 304.306 0.328 20 0 DCADLN C[N@H+](CCNC(=O)C1Cc2ccccc2C1)Cc1n[nH]c(=O)[n-]1 ZINC001418501756 1000289615 /nfs/dbraw/zinc/28/96/15/1000289615.db2.gz SWFIWIXDOMUNMR-UHFFFAOYSA-N 0 2 315.377 0.473 20 0 DCADLN C[N@@H+](CCNC(=O)C1Cc2ccccc2C1)Cc1n[nH]c(=O)[n-]1 ZINC001418501756 1000289624 /nfs/dbraw/zinc/28/96/24/1000289624.db2.gz SWFIWIXDOMUNMR-UHFFFAOYSA-N 0 2 315.377 0.473 20 0 DCADLN Cc1cc(C)c(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001418516533 1000303648 /nfs/dbraw/zinc/30/36/48/1000303648.db2.gz ORZSJUHSTBANGZ-UHFFFAOYSA-N 0 2 323.422 0.979 20 0 DCADLN Cc1cc(C)c(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001418516533 1000303652 /nfs/dbraw/zinc/30/36/52/1000303652.db2.gz ORZSJUHSTBANGZ-UHFFFAOYSA-N 0 2 323.422 0.979 20 0 DCADLN COCCC1(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001418517909 1000307204 /nfs/dbraw/zinc/30/72/04/1000307204.db2.gz RXYKFJQCMQYVLF-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN COCCC1(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001418517909 1000307206 /nfs/dbraw/zinc/30/72/06/1000307206.db2.gz RXYKFJQCMQYVLF-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](n3ccnn3)CC2)S1 ZINC001418621648 1000401246 /nfs/dbraw/zinc/40/12/46/1000401246.db2.gz KGJSBAOXMYUHQX-ZJUUUORDSA-N 0 2 322.394 0.388 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1nncn1C ZINC001418792943 1000525184 /nfs/dbraw/zinc/52/51/84/1000525184.db2.gz XDXIENOJBWDMGB-KHQFGBGNSA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CCN1Cc1nncn1C ZINC001418792943 1000525189 /nfs/dbraw/zinc/52/51/89/1000525189.db2.gz XDXIENOJBWDMGB-KHQFGBGNSA-N 0 2 323.294 0.795 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001401614223 1000551183 /nfs/dbraw/zinc/55/11/83/1000551183.db2.gz NGINEFNZSDCLPQ-SVRRBLITSA-N 0 2 308.342 0.584 20 0 DCADLN CCn1nncc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001418973498 1000657790 /nfs/dbraw/zinc/65/77/90/1000657790.db2.gz XAGCQGJOSJCZMI-SECBINFHSA-N 0 2 309.267 0.499 20 0 DCADLN CCn1nncc1CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001418973498 1000657795 /nfs/dbraw/zinc/65/77/95/1000657795.db2.gz XAGCQGJOSJCZMI-SECBINFHSA-N 0 2 309.267 0.499 20 0 DCADLN C[C@H](CN(C)Cc1cnn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001401749464 1000687140 /nfs/dbraw/zinc/68/71/40/1000687140.db2.gz KKYFZUKXBPCFBG-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CN(C)Cc1cnn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001401749464 1000687143 /nfs/dbraw/zinc/68/71/43/1000687143.db2.gz KKYFZUKXBPCFBG-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN O=C(CC[N@H+]1CC[C@H](F)C1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001470629711 1016467940 /nfs/dbraw/zinc/46/79/40/1016467940.db2.gz PSEBSJDILNAYJZ-JSGCOSHPSA-N 0 2 318.356 0.839 20 0 DCADLN O=C(CC[N@@H+]1CC[C@H](F)C1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001470629711 1016467950 /nfs/dbraw/zinc/46/79/50/1016467950.db2.gz PSEBSJDILNAYJZ-JSGCOSHPSA-N 0 2 318.356 0.839 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001419730393 1001258941 /nfs/dbraw/zinc/25/89/41/1001258941.db2.gz OWQNMDHYOJOIGS-IONNQARKSA-N 0 2 322.369 0.838 20 0 DCADLN CCC[C@@]1(C(=O)[O-])CCCN1S(=O)(=O)N1CC[NH+](C)CC1 ZINC001573733479 1163544672 /nfs/dbraw/zinc/54/46/72/1163544672.db2.gz IWZFZRYMNIEFSD-ZDUSSCGKSA-N 0 2 319.427 0.198 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1nnnn1C ZINC001419968461 1001731422 /nfs/dbraw/zinc/73/14/22/1001731422.db2.gz XJSJBBGBIYJUHT-MRVPVSSYSA-N 0 2 312.271 0.001 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)Cc1nnnn1C ZINC001419968461 1001731429 /nfs/dbraw/zinc/73/14/29/1001731429.db2.gz XJSJBBGBIYJUHT-MRVPVSSYSA-N 0 2 312.271 0.001 20 0 DCADLN CCOc1nc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001419993006 1001750372 /nfs/dbraw/zinc/75/03/72/1001750372.db2.gz YJUPPKOVKSLAOJ-UHFFFAOYSA-N 0 2 324.341 0.101 20 0 DCADLN CCOc1nc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001419993006 1001750379 /nfs/dbraw/zinc/75/03/79/1001750379.db2.gz YJUPPKOVKSLAOJ-UHFFFAOYSA-N 0 2 324.341 0.101 20 0 DCADLN CCO[C@H](C)C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001403366519 1001888220 /nfs/dbraw/zinc/88/82/20/1001888220.db2.gz WBHKSGRSTSZKHH-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN O=C(CCc1nn[nH]n1)N1CCN[C@@H](c2ccc(Cl)cc2)C1 ZINC001548454825 1016603437 /nfs/dbraw/zinc/60/34/37/1016603437.db2.gz AQAGVXTWXZTPSP-GFCCVEGCSA-N 0 2 320.784 0.959 20 0 DCADLN CCc1cccnc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001420398541 1002353688 /nfs/dbraw/zinc/35/36/88/1002353688.db2.gz AKLXKQMPAKRRAD-UHFFFAOYSA-N 0 2 318.381 0.720 20 0 DCADLN CCc1cccnc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001420398541 1002353700 /nfs/dbraw/zinc/35/37/00/1002353700.db2.gz AKLXKQMPAKRRAD-UHFFFAOYSA-N 0 2 318.381 0.720 20 0 DCADLN CN(CCNC(=O)[C@@H](F)c1ccccc1)Cc1n[nH]c(=O)[nH]1 ZINC001403698519 1002374271 /nfs/dbraw/zinc/37/42/71/1002374271.db2.gz CEWLTLYAOXIIER-LBPRGKRZSA-N 0 2 307.329 0.769 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H](F)c1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403698519 1002374284 /nfs/dbraw/zinc/37/42/84/1002374284.db2.gz CEWLTLYAOXIIER-LBPRGKRZSA-N 0 2 307.329 0.769 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H](F)c1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403698519 1002374294 /nfs/dbraw/zinc/37/42/94/1002374294.db2.gz CEWLTLYAOXIIER-LBPRGKRZSA-N 0 2 307.329 0.769 20 0 DCADLN COc1ccc(C)cc1C[N@H+](C)CCNC(=O)c1nc[nH]n1 ZINC001403727042 1002429343 /nfs/dbraw/zinc/42/93/43/1002429343.db2.gz UWLJCGXTUBPSKY-UHFFFAOYSA-N 0 2 303.366 0.984 20 0 DCADLN COc1ccc(C)cc1C[N@@H+](C)CCNC(=O)c1nc[nH]n1 ZINC001403727042 1002429350 /nfs/dbraw/zinc/42/93/50/1002429350.db2.gz UWLJCGXTUBPSKY-UHFFFAOYSA-N 0 2 303.366 0.984 20 0 DCADLN O=C(CCC(F)(F)F)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420474824 1002471197 /nfs/dbraw/zinc/47/11/97/1002471197.db2.gz MRAFFCUNIVEDRP-MRVPVSSYSA-N 0 2 321.303 0.933 20 0 DCADLN O=C(CCC(F)(F)F)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420474824 1002471202 /nfs/dbraw/zinc/47/12/02/1002471202.db2.gz MRAFFCUNIVEDRP-MRVPVSSYSA-N 0 2 321.303 0.933 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H](C)n1cccn1 ZINC001420649737 1002746910 /nfs/dbraw/zinc/74/69/10/1002746910.db2.gz SIBQZXVVVUWKQR-MNOVXSKESA-N 0 2 321.385 0.295 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H](C)n1cccn1 ZINC001420649737 1002746919 /nfs/dbraw/zinc/74/69/19/1002746919.db2.gz SIBQZXVVVUWKQR-MNOVXSKESA-N 0 2 321.385 0.295 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC12CCOCC2 ZINC001420698595 1002814459 /nfs/dbraw/zinc/81/44/59/1002814459.db2.gz YLTLGUGXTTZDJV-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC12CCOCC2 ZINC001420698595 1002814472 /nfs/dbraw/zinc/81/44/72/1002814472.db2.gz YLTLGUGXTTZDJV-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(Cc1ccc[nH]1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001404021345 1002912041 /nfs/dbraw/zinc/91/20/41/1002912041.db2.gz JICZOQQTGWYGMB-NSHDSACASA-N 0 2 304.354 0.162 20 0 DCADLN O=C(Cc1ccc[nH]1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001404021345 1002912052 /nfs/dbraw/zinc/91/20/52/1002912052.db2.gz JICZOQQTGWYGMB-NSHDSACASA-N 0 2 304.354 0.162 20 0 DCADLN COCC1(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCCC1 ZINC001421056961 1003275894 /nfs/dbraw/zinc/27/58/94/1003275894.db2.gz HZOHOHRGSADPSS-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN COCCN(CCNC(=O)C(F)F)C(=O)C(F)C(F)(F)F ZINC001426049298 1003374633 /nfs/dbraw/zinc/37/46/33/1003374633.db2.gz ITUIAZDKGLXATM-ZCFIWIBFSA-N 0 2 324.221 0.743 20 0 DCADLN COCCN(CCNC(=O)C(F)F)C(=O)[C@@H](F)C(F)(F)F ZINC001426049298 1003374646 /nfs/dbraw/zinc/37/46/46/1003374646.db2.gz ITUIAZDKGLXATM-ZCFIWIBFSA-N 0 2 324.221 0.743 20 0 DCADLN C[C@H](NC(=O)c1ccc(C(N)=O)c(Cl)n1)c1nn(C)cc1O ZINC001472237966 1016768922 /nfs/dbraw/zinc/76/89/22/1016768922.db2.gz XGLBVYKUTDTFRL-LURJTMIESA-N 0 2 323.740 0.764 20 0 DCADLN CCO[C@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001406611602 1003923210 /nfs/dbraw/zinc/92/32/10/1003923210.db2.gz NXSGLOLOAYWHOX-CABZTGNLSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001406611602 1003923212 /nfs/dbraw/zinc/92/32/12/1003923212.db2.gz NXSGLOLOAYWHOX-CABZTGNLSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC001426692571 1003970097 /nfs/dbraw/zinc/97/00/97/1003970097.db2.gz UVRMYJPOHJHAAN-MNOVXSKESA-N 0 2 319.369 0.685 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001428653982 1004091603 /nfs/dbraw/zinc/09/16/03/1004091603.db2.gz KMDKJRMGECWQRB-SVRRBLITSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)[nH]n1 ZINC001428653982 1004091617 /nfs/dbraw/zinc/09/16/17/1004091617.db2.gz KMDKJRMGECWQRB-SVRRBLITSA-N 0 2 310.251 0.853 20 0 DCADLN COCC1(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001428653915 1004092109 /nfs/dbraw/zinc/09/21/09/1004092109.db2.gz JBCSGQQCKNEZEA-YUMQZZPRSA-N 0 2 314.279 0.934 20 0 DCADLN COCC1(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001428653915 1004092115 /nfs/dbraw/zinc/09/21/15/1004092115.db2.gz JBCSGQQCKNEZEA-YUMQZZPRSA-N 0 2 314.279 0.934 20 0 DCADLN CCN(C)C(=O)[C@@H](C)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427427314 1004378579 /nfs/dbraw/zinc/37/85/79/1004378579.db2.gz VSDHEVCBAZNTJW-NXEZZACHSA-N 0 2 324.385 0.266 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cncnc1 ZINC001429597917 1004688276 /nfs/dbraw/zinc/68/82/76/1004688276.db2.gz QMPHVJVHPVWJMB-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cncnc1 ZINC001429597917 1004688295 /nfs/dbraw/zinc/68/82/95/1004688295.db2.gz QMPHVJVHPVWJMB-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CO[C@@H](C)CN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001407513615 1004702223 /nfs/dbraw/zinc/70/22/23/1004702223.db2.gz IULVWBBIZIQVLD-YUMQZZPRSA-N 0 2 302.268 0.085 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001407730683 1004824593 /nfs/dbraw/zinc/82/45/93/1004824593.db2.gz CGYUWTXEKKHOLD-DTWKUNHWSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001407730683 1004824598 /nfs/dbraw/zinc/82/45/98/1004824598.db2.gz CGYUWTXEKKHOLD-DTWKUNHWSA-N 0 2 322.262 0.651 20 0 DCADLN C[C@@H](CNC(=O)c1c[nH]cc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506029394 1016917256 /nfs/dbraw/zinc/91/72/56/1016917256.db2.gz GTHMIPVEQZIQJD-VIFPVBQESA-N 0 2 318.381 0.966 20 0 DCADLN C[C@@H](CNC(=O)c1c[nH]cc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506029394 1016917265 /nfs/dbraw/zinc/91/72/65/1016917265.db2.gz GTHMIPVEQZIQJD-VIFPVBQESA-N 0 2 318.381 0.966 20 0 DCADLN COCCC(=O)NC[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001408389514 1005187275 /nfs/dbraw/zinc/18/72/75/1005187275.db2.gz VLARPVBXVCGMNR-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN COCCC(=O)NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001408389514 1005187270 /nfs/dbraw/zinc/18/72/70/1005187270.db2.gz VLARPVBXVCGMNR-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CCC2)C[C@@H]1O)C(F)C(F)(F)F ZINC001414050144 1005237934 /nfs/dbraw/zinc/23/79/34/1005237934.db2.gz KWLWZIWVGFDSMN-HRDYMLBCSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CCC2)C[C@@H]1O)[C@@H](F)C(F)(F)F ZINC001414050144 1005237939 /nfs/dbraw/zinc/23/79/39/1005237939.db2.gz KWLWZIWVGFDSMN-HRDYMLBCSA-N 0 2 312.263 0.375 20 0 DCADLN CCn1cccc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506058269 1016957024 /nfs/dbraw/zinc/95/70/24/1016957024.db2.gz BHCAMYKJRRFSJN-SNVBAGLBSA-N 0 2 306.370 0.582 20 0 DCADLN CCn1cccc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506058269 1016957032 /nfs/dbraw/zinc/95/70/32/1016957032.db2.gz BHCAMYKJRRFSJN-SNVBAGLBSA-N 0 2 306.370 0.582 20 0 DCADLN CCC[C@@H](CC)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001414542109 1005356941 /nfs/dbraw/zinc/35/69/41/1005356941.db2.gz JHTNINVSVMPBSD-SECBINFHSA-N 0 2 317.415 0.727 20 0 DCADLN Cc1cnn(CCC(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001506064670 1016966283 /nfs/dbraw/zinc/96/62/83/1016966283.db2.gz APYBMYQDCGYDDU-NSHDSACASA-N 0 2 321.385 0.042 20 0 DCADLN Cc1cnn(CCC(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001506064670 1016966286 /nfs/dbraw/zinc/96/62/86/1016966286.db2.gz APYBMYQDCGYDDU-NSHDSACASA-N 0 2 321.385 0.042 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414964791 1005433476 /nfs/dbraw/zinc/43/34/76/1005433476.db2.gz FHVKFYUGHYZVQY-FNCVBFRFSA-N 0 2 314.279 0.667 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001414964791 1005433479 /nfs/dbraw/zinc/43/34/79/1005433479.db2.gz FHVKFYUGHYZVQY-FNCVBFRFSA-N 0 2 314.279 0.667 20 0 DCADLN O=C(Cc1ncc[nH]1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001417148201 1005793351 /nfs/dbraw/zinc/79/33/51/1005793351.db2.gz ZSXCLCTXGWTQHQ-VIFPVBQESA-N 0 2 322.262 0.618 20 0 DCADLN CC[C@@H](C(=O)NCC1(NC(=O)c2n[nH]c(C)c2[O-])CC1)[NH+](C)C ZINC001417205806 1005803797 /nfs/dbraw/zinc/80/37/97/1005803797.db2.gz ARBZOHFEMCUZDD-JTQLQIEISA-N 0 2 323.397 0.143 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001417577595 1005846153 /nfs/dbraw/zinc/84/61/53/1005846153.db2.gz PBQKNQOEFQELNH-JFGNBEQYSA-N 0 2 317.349 0.145 20 0 DCADLN CCC[C@@H](NC(=O)c1cnc(SC)[nH]c1=O)c1nn[nH]n1 ZINC001417662440 1005862928 /nfs/dbraw/zinc/86/29/28/1005862928.db2.gz AUSHIUZEVHAYBD-SSDOTTSWSA-N 0 2 309.355 0.688 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001417912502 1005923841 /nfs/dbraw/zinc/92/38/41/1005923841.db2.gz SFJQWUZFDDGYPO-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(CCC(F)F)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451455418 1006184456 /nfs/dbraw/zinc/18/44/56/1006184456.db2.gz HUBRKGKKPXXFOC-SVGQVSJJSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001451455418 1006184465 /nfs/dbraw/zinc/18/44/65/1006184465.db2.gz HUBRKGKKPXXFOC-SVGQVSJJSA-N 0 2 324.221 0.525 20 0 DCADLN CC1(C)C[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC001436610803 1006237570 /nfs/dbraw/zinc/23/75/70/1006237570.db2.gz SEGZHXIDNLJMBH-SNVBAGLBSA-N 0 2 319.365 0.393 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc3c(N)noc3c2)S1 ZINC001451856340 1006453730 /nfs/dbraw/zinc/45/37/30/1006453730.db2.gz NMXACNLJRWHEAR-SECBINFHSA-N 0 2 319.346 0.583 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441137531 1008235801 /nfs/dbraw/zinc/23/58/01/1008235801.db2.gz NCHXXSHAQNADMP-JTQLQIEISA-N 0 2 309.370 0.206 20 0 DCADLN O=C(N[C@H]1CCNC1=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001454045370 1008874419 /nfs/dbraw/zinc/87/44/19/1008874419.db2.gz YBZRNRWXOBZYBP-JTQLQIEISA-N 0 2 304.281 0.335 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001454328277 1009076032 /nfs/dbraw/zinc/07/60/32/1009076032.db2.gz KZTLQICDFDYVTH-HAFWLYHUSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001454328277 1009076044 /nfs/dbraw/zinc/07/60/44/1009076044.db2.gz KZTLQICDFDYVTH-HAFWLYHUSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1cc([C@H]2C[N@@H+](Cc3cn[nH]n3)CC23CCOCC3)cn1 ZINC001421815896 1009127013 /nfs/dbraw/zinc/12/70/13/1009127013.db2.gz NBIIKTNOERQKPE-CQSZACIVSA-N 0 2 302.382 0.934 20 0 DCADLN CS[C@@H](C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433831294 1009227181 /nfs/dbraw/zinc/22/71/81/1009227181.db2.gz DXFKWUAQQOYMEL-QMMMGPOBSA-N 0 2 308.363 0.748 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)NC(=O)c1nccs1 ZINC001454697997 1009272634 /nfs/dbraw/zinc/27/26/34/1009272634.db2.gz BSHHQLZQTKQKIO-QMMMGPOBSA-N 0 2 307.379 0.652 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC001454831206 1009344511 /nfs/dbraw/zinc/34/45/11/1009344511.db2.gz JZUVCSNAIXLJEC-IUODEOHRSA-N 0 2 322.409 0.242 20 0 DCADLN CC(=O)N(C)CCOCCN(C)C(=O)C(F)C(F)(F)F ZINC001520337648 1017267221 /nfs/dbraw/zinc/26/72/21/1017267221.db2.gz UDJLQYIJVDYKTD-SECBINFHSA-N 0 2 302.268 0.840 20 0 DCADLN CC(=O)N(C)CCOCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001520337648 1017267227 /nfs/dbraw/zinc/26/72/27/1017267227.db2.gz UDJLQYIJVDYKTD-SECBINFHSA-N 0 2 302.268 0.840 20 0 DCADLN CC(=O)N(C)CCOCCN(C)C(=O)C(F)C(F)(F)F ZINC001520337649 1017267398 /nfs/dbraw/zinc/26/73/98/1017267398.db2.gz UDJLQYIJVDYKTD-VIFPVBQESA-N 0 2 302.268 0.840 20 0 DCADLN CC(=O)N(C)CCOCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001520337649 1017267401 /nfs/dbraw/zinc/26/74/01/1017267401.db2.gz UDJLQYIJVDYKTD-VIFPVBQESA-N 0 2 302.268 0.840 20 0 DCADLN CCOCC(=O)NCC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001454967119 1009417876 /nfs/dbraw/zinc/41/78/76/1009417876.db2.gz TUWZUKCKEXFUCC-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)NCC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001454967119 1009417884 /nfs/dbraw/zinc/41/78/84/1009417884.db2.gz TUWZUKCKEXFUCC-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCc1ncsc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001442674313 1009605289 /nfs/dbraw/zinc/60/52/89/1009605289.db2.gz DYMHLYYUPNQLHB-UHFFFAOYSA-N 0 2 324.410 0.781 20 0 DCADLN CCc1ncsc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001442674313 1009605300 /nfs/dbraw/zinc/60/53/00/1009605300.db2.gz DYMHLYYUPNQLHB-UHFFFAOYSA-N 0 2 324.410 0.781 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001442829817 1009787357 /nfs/dbraw/zinc/78/73/57/1009787357.db2.gz WRUGWKAZYLIOQR-SFYZADRCSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001442829817 1009787372 /nfs/dbraw/zinc/78/73/72/1009787372.db2.gz WRUGWKAZYLIOQR-SFYZADRCSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001442829817 1009787381 /nfs/dbraw/zinc/78/73/81/1009787381.db2.gz WRUGWKAZYLIOQR-SFYZADRCSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)[NH2+]Cc1ncnn1C(C)(C)C ZINC001422370572 1009965812 /nfs/dbraw/zinc/96/58/12/1009965812.db2.gz CVMJHZOVAQKSNG-NXEZZACHSA-N 0 2 320.401 0.448 20 0 DCADLN CCN(CC[NH2+][C@@H](C)c1nncn1C)C(=O)c1n[nH]c(C)c1[O-] ZINC001422422856 1010058829 /nfs/dbraw/zinc/05/88/29/1010058829.db2.gz JXBRXBBAKSIMCP-JTQLQIEISA-N 0 2 321.385 0.365 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422529468 1010251035 /nfs/dbraw/zinc/25/10/35/1010251035.db2.gz SFHWQAAPNKDELI-FOGDFJRCSA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422529468 1010251046 /nfs/dbraw/zinc/25/10/46/1010251046.db2.gz SFHWQAAPNKDELI-FOGDFJRCSA-N 0 2 311.386 0.262 20 0 DCADLN COC[C@H](C)[NH+]1CC(CCO)(NC(=O)C[N@H+](C)C2CCC2)C1 ZINC001422629382 1010424536 /nfs/dbraw/zinc/42/45/36/1010424536.db2.gz WVLLSBSKGXZQTP-ZDUSSCGKSA-N 0 2 313.442 0.059 20 0 DCADLN CC1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC(F)(F)C1 ZINC001423174745 1010943659 /nfs/dbraw/zinc/94/36/59/1010943659.db2.gz OEZSXNPUWJFFCO-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H](CO)NCc1cscn1)C(F)C(F)(F)F ZINC001423210870 1010982867 /nfs/dbraw/zinc/98/28/67/1010982867.db2.gz KWSYUXIYSHIEHL-SVRRBLITSA-N 0 2 315.292 0.610 20 0 DCADLN Cc1nnc(C[NH2+]C[C@@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])s1 ZINC001444574068 1011068637 /nfs/dbraw/zinc/06/86/37/1011068637.db2.gz ASSBCKFWXRFIDV-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001431493779 1011316928 /nfs/dbraw/zinc/31/69/28/1011316928.db2.gz SXEAWRUVNZXYQC-XPUUQOCRSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001431493779 1011316943 /nfs/dbraw/zinc/31/69/43/1011316943.db2.gz SXEAWRUVNZXYQC-XPUUQOCRSA-N 0 2 311.235 0.122 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1occ2c1CCC2 ZINC001445014271 1011323158 /nfs/dbraw/zinc/32/31/58/1011323158.db2.gz OLMOTEMBMVURGY-UHFFFAOYSA-N 0 2 319.365 0.796 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1occ2c1CCC2 ZINC001445014271 1011323167 /nfs/dbraw/zinc/32/31/67/1011323167.db2.gz OLMOTEMBMVURGY-UHFFFAOYSA-N 0 2 319.365 0.796 20 0 DCADLN CSc1ncc(C(=O)N2CC[C@@H](c3[nH]ncc3N)C2)c(=O)[nH]1 ZINC001457146126 1011597445 /nfs/dbraw/zinc/59/74/45/1011597445.db2.gz KSAHKPBMIOUAAI-SSDOTTSWSA-N 0 2 320.378 0.839 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C[NH2+]Cc2nc(C(C)C)no2)c1[O-] ZINC001445351634 1011682592 /nfs/dbraw/zinc/68/25/92/1011682592.db2.gz GXKVLUUQOCWLOQ-MRVPVSSYSA-N 0 2 322.369 0.838 20 0 DCADLN CCn1nc(C)c(CC(=O)NCCCc2n[nH]c(=O)[nH]2)c1C ZINC001457670665 1011798739 /nfs/dbraw/zinc/79/87/39/1011798739.db2.gz LHNKKKCYIMNENC-UHFFFAOYSA-N 0 2 306.370 0.635 20 0 DCADLN C[C@H](Oc1cccc(CO)c1)C(=O)NCCc1n[nH]c(=S)o1 ZINC001556283944 1012193834 /nfs/dbraw/zinc/19/38/34/1012193834.db2.gz LKFUWECNSKBMDJ-VIFPVBQESA-N 0 2 323.374 0.977 20 0 DCADLN CC(C)C(=O)NC[C@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001537302594 1012375263 /nfs/dbraw/zinc/37/52/63/1012375263.db2.gz ZGYSCYFQXKXAEB-GZMMTYOYSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)NC[C@]1(O)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001537302594 1012375281 /nfs/dbraw/zinc/37/52/81/1012375281.db2.gz ZGYSCYFQXKXAEB-GZMMTYOYSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)NC[C@@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001537302596 1012375609 /nfs/dbraw/zinc/37/56/09/1012375609.db2.gz ZGYSCYFQXKXAEB-KCJUWKMLSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)NC[C@@]1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001537302596 1012375622 /nfs/dbraw/zinc/37/56/22/1012375622.db2.gz ZGYSCYFQXKXAEB-KCJUWKMLSA-N 0 2 314.279 0.622 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001424524228 1012613286 /nfs/dbraw/zinc/61/32/86/1012613286.db2.gz XZPCBMCXANABKU-SVGQVSJJSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001424524228 1012613305 /nfs/dbraw/zinc/61/33/05/1012613305.db2.gz XZPCBMCXANABKU-SVGQVSJJSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccn1 ZINC001446395247 1012789292 /nfs/dbraw/zinc/78/92/92/1012789292.db2.gz DFSWTTSTRISAJD-SNVBAGLBSA-N 0 2 319.369 0.001 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N[C@H]1CC12CCOCC2 ZINC001460213806 1012872293 /nfs/dbraw/zinc/87/22/93/1012872293.db2.gz XOHPKXNMYGUHSB-LBPRGKRZSA-N 0 2 316.361 0.559 20 0 DCADLN Cn1ccc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1=O ZINC001476627047 1017208793 /nfs/dbraw/zinc/20/87/93/1017208793.db2.gz OVYFLVDMYAJWLF-SECBINFHSA-N 0 2 318.337 0.621 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CC(F)(F)F ZINC001506727743 1017483314 /nfs/dbraw/zinc/48/33/14/1017483314.db2.gz ICUPULHWMQXDIV-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC(F)(F)F ZINC001506727743 1017483323 /nfs/dbraw/zinc/48/33/23/1017483323.db2.gz ICUPULHWMQXDIV-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC(F)(F)F ZINC001506727743 1017483334 /nfs/dbraw/zinc/48/33/34/1017483334.db2.gz ICUPULHWMQXDIV-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccsc1 ZINC001494594374 1017824175 /nfs/dbraw/zinc/82/41/75/1017824175.db2.gz WMJBUZYEFJXMLO-VIFPVBQESA-N 0 2 321.406 0.924 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001494830013 1018083693 /nfs/dbraw/zinc/08/36/93/1018083693.db2.gz SXLHVPMLHFSFLJ-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001494830013 1018083708 /nfs/dbraw/zinc/08/37/08/1018083708.db2.gz SXLHVPMLHFSFLJ-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN O=C(Cc1ccco1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480331059 1018437329 /nfs/dbraw/zinc/43/73/29/1018437329.db2.gz KGYMONSSGRWEGK-LLVKDONJSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(Cc1ccco1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480331059 1018437335 /nfs/dbraw/zinc/43/73/35/1018437335.db2.gz KGYMONSSGRWEGK-LLVKDONJSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(CCC1CCCC1)NCC1(O)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001500780414 1018653856 /nfs/dbraw/zinc/65/38/56/1018653856.db2.gz VMFPVCPRACAUQP-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001501755204 1019446834 /nfs/dbraw/zinc/44/68/34/1019446834.db2.gz RZEMFBUUAHBRFU-NEPJUHHUSA-N 0 2 321.381 0.184 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C(F)(F)C1(O)CCC1 ZINC001608800447 1170757003 /nfs/dbraw/zinc/75/70/03/1170757003.db2.gz WEQDXMRCOQXMCW-MRVPVSSYSA-N 0 2 303.265 0.072 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C(F)(F)C1(O)CCC1 ZINC001608800447 1170757005 /nfs/dbraw/zinc/75/70/05/1170757005.db2.gz WEQDXMRCOQXMCW-MRVPVSSYSA-N 0 2 303.265 0.072 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCOC[C@@H]1CCCO1 ZINC001610166486 1171183524 /nfs/dbraw/zinc/18/35/24/1171183524.db2.gz ROPHGEYHMUYZSF-AVGNSLFASA-N 0 2 315.366 0.520 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCOC[C@@H]1CCCO1 ZINC001610166486 1171183530 /nfs/dbraw/zinc/18/35/30/1171183530.db2.gz ROPHGEYHMUYZSF-AVGNSLFASA-N 0 2 315.366 0.520 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)CC(=O)N3C)c1O ZINC000286537012 219041876 /nfs/dbraw/zinc/04/18/76/219041876.db2.gz SZRLTVOFUWFPAG-GFCCVEGCSA-N 0 2 317.301 0.193 20 0 DCADLN CCC(CC)(CNC(=O)N[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000336855246 282101769 /nfs/dbraw/zinc/10/17/69/282101769.db2.gz VLFKQNTVSPKARX-LBPRGKRZSA-N 0 2 315.414 0.897 20 0 DCADLN C[C@@H]1COCC[C@H]1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000286815517 219178493 /nfs/dbraw/zinc/17/84/93/219178493.db2.gz LLJUNSFIKAQNGJ-BDAKNGLRSA-N 0 2 318.333 0.838 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCC[N@H+]2CC=CCC2)cs1 ZINC000279943180 215258124 /nfs/dbraw/zinc/25/81/24/215258124.db2.gz HFWWEYWUJYBFSL-UHFFFAOYSA-N 0 2 316.404 0.987 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)cs1 ZINC000279943180 215258127 /nfs/dbraw/zinc/25/81/27/215258127.db2.gz HFWWEYWUJYBFSL-UHFFFAOYSA-N 0 2 316.404 0.987 20 0 DCADLN COC(=O)C1(NS(=O)(=O)NCC(F)(F)F)CCCC1 ZINC000192012490 290911995 /nfs/dbraw/zinc/91/19/95/290911995.db2.gz YXDDNPXYUCPNDL-UHFFFAOYSA-N 0 2 304.290 0.459 20 0 DCADLN CCSCC[C@@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000173272864 198205004 /nfs/dbraw/zinc/20/50/04/198205004.db2.gz OENZJYWKFKZFPS-MRVPVSSYSA-N 0 2 322.390 0.873 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H]1CO ZINC000331903715 234256465 /nfs/dbraw/zinc/25/64/65/234256465.db2.gz AFGSJFISEZMMAG-APPZFPTMSA-N 0 2 304.306 0.136 20 0 DCADLN NC(=O)N1CCc2cc(NS(=O)(=O)c3cn[nH]c3)ccc21 ZINC000156396159 291224397 /nfs/dbraw/zinc/22/43/97/291224397.db2.gz RSSQTPIRDXDKKH-UHFFFAOYSA-N 0 2 307.335 0.652 20 0 DCADLN O=C([O-])[C@H]1CC[C@@H](C(=O)N2CCN(c3cccc[nH+]3)CC2)O1 ZINC000318535131 291255524 /nfs/dbraw/zinc/25/55/24/291255524.db2.gz JQYPMBULTQTRKJ-NWDGAFQWSA-N 0 2 305.334 0.362 20 0 DCADLN Cn1nc(C2CC2)nc1CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000566162726 291275673 /nfs/dbraw/zinc/27/56/73/291275673.db2.gz FONYKDIWBFPQNR-JTQLQIEISA-N 0 2 303.370 0.896 20 0 DCADLN C[C@H](CC(=O)c1ccco1)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275171228 212169184 /nfs/dbraw/zinc/16/91/84/212169184.db2.gz WLHDRSWWLIEGEO-SSDOTTSWSA-N 0 2 310.335 0.561 20 0 DCADLN CCOC(=O)[C@@H](C)CNC(=O)C(=O)Nc1cccnc1Cl ZINC000271680405 209121683 /nfs/dbraw/zinc/12/16/83/209121683.db2.gz RQOZHHAAQHFIPI-QMMMGPOBSA-N 0 2 313.741 0.989 20 0 DCADLN C[C@H](C(=O)OC(C)(C)C)N(C)C(=O)[C@H](C)N1CCOCC1 ZINC000331042868 252648812 /nfs/dbraw/zinc/64/88/12/252648812.db2.gz FQEWFYYJIMIIEH-NWDGAFQWSA-N 0 2 300.399 0.896 20 0 DCADLN COC[C@H](C)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000338992401 253019261 /nfs/dbraw/zinc/01/92/61/253019261.db2.gz MFIYDYFDEVMLRS-IUCAKERBSA-N 0 2 304.372 0.054 20 0 DCADLN CS(=O)(=O)CCC(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000339390325 253075863 /nfs/dbraw/zinc/07/58/63/253075863.db2.gz AQHXOIQSFWKCFS-UHFFFAOYSA-N 0 2 311.319 0.403 20 0 DCADLN Cn1cc(C(=O)C(=O)N=c2nc(-c3cccnc3)[nH]s2)cn1 ZINC000339597844 253111236 /nfs/dbraw/zinc/11/12/36/253111236.db2.gz GVMKFHHSDHPUHC-UHFFFAOYSA-N 0 2 314.330 0.577 20 0 DCADLN C[C@H]1CC(=O)N[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000339691832 253128254 /nfs/dbraw/zinc/12/82/54/253128254.db2.gz RQDGIHHFKFWGAN-KCJUWKMLSA-N 0 2 316.317 0.883 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)C(=O)NCC1CC1 ZINC000339910071 253162586 /nfs/dbraw/zinc/16/25/86/253162586.db2.gz HPYBONOLYLJNDW-UHFFFAOYSA-N 0 2 320.374 0.882 20 0 DCADLN COCc1cccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC000340093129 253198818 /nfs/dbraw/zinc/19/88/18/253198818.db2.gz TXXLZKDXRKXAHQ-UHFFFAOYSA-N 0 2 324.362 0.316 20 0 DCADLN C[C@@H](CO)CCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000340411970 253250795 /nfs/dbraw/zinc/25/07/95/253250795.db2.gz JKEWKIJMXIUJMF-MRVPVSSYSA-N 0 2 306.322 0.574 20 0 DCADLN CN(C(=O)C1=NN(c2ccc(F)cc2)CC1=O)[C@@H]1CCNC1=O ZINC000340719832 253295159 /nfs/dbraw/zinc/29/51/59/253295159.db2.gz UEBODRAEEOTPSM-LLVKDONJSA-N 0 2 318.308 0.678 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cccnc1-n1cncn1 ZINC000340820855 253309375 /nfs/dbraw/zinc/30/93/75/253309375.db2.gz LGUXGBOYJSHJSC-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1cccc2c1CNC2=O ZINC000340978495 253331178 /nfs/dbraw/zinc/33/11/78/253331178.db2.gz NZUHKQOWGAZGEM-UHFFFAOYSA-N 0 2 306.347 0.773 20 0 DCADLN C[C@](NC(=O)C[C@@H]1CCCC(=O)N1)(C(N)=O)c1ccccc1 ZINC000288648534 220322115 /nfs/dbraw/zinc/32/21/15/220322115.db2.gz UIIZXJSAUNMVSL-BLLLJJGKSA-N 0 2 303.362 0.562 20 0 DCADLN CN(C(=O)c1cn(CC(=O)C(C)(C)C)nn1)[C@@H]1CCC[C@H]1O ZINC000288649097 220322240 /nfs/dbraw/zinc/32/22/40/220322240.db2.gz ZPIRHCNUPFJJLX-VXGBXAGGSA-N 0 2 308.382 0.879 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCC(=O)NC(C)C)c1 ZINC000341745514 253413854 /nfs/dbraw/zinc/41/38/54/253413854.db2.gz USAFACDPQUJKLP-NRFANRHFSA-N 0 2 312.391 0.444 20 0 DCADLN CC(C)Cn1ncc(C(=O)Nn2cn[nH]c2=O)c1C(F)F ZINC000272936334 210322678 /nfs/dbraw/zinc/32/26/78/210322678.db2.gz WEZLVCRWBCCBPK-UHFFFAOYSA-N 0 2 300.269 0.745 20 0 DCADLN COCCc1nsc(N[C@H](CC(N)=O)C2CCOCC2)n1 ZINC000346213068 254023516 /nfs/dbraw/zinc/02/35/16/254023516.db2.gz YJFZBMFAYIMVPK-SNVBAGLBSA-N 0 2 314.411 0.231 20 0 DCADLN CC[NH+]1CCN(CCC(=O)NCCNc2cccc(C)[nH+]2)CC1 ZINC000346250352 254027271 /nfs/dbraw/zinc/02/72/71/254027271.db2.gz XBUXJGOSKWNUFH-UHFFFAOYSA-N 0 2 319.453 0.946 20 0 DCADLN Cn1cc(CNC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)cn1 ZINC000347633878 254174166 /nfs/dbraw/zinc/17/41/66/254174166.db2.gz ICNIRYXLXMRNLG-UHFFFAOYSA-N 0 2 322.394 0.246 20 0 DCADLN CO[C@H](C)CCNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000347913817 254201602 /nfs/dbraw/zinc/20/16/02/254201602.db2.gz GWVOJBGKFKOENY-MRVPVSSYSA-N 0 2 314.411 0.737 20 0 DCADLN NS(=O)(=O)c1ccc(NS(=O)(=O)c2ccoc2)cc1 ZINC000349950054 254305978 /nfs/dbraw/zinc/30/59/78/254305978.db2.gz VXYSAKDSHNQILJ-UHFFFAOYSA-N 0 2 302.333 0.728 20 0 DCADLN COC(=O)[C@@H](NCc1n[nH]c(=O)[nH]1)c1ccc(OC)c(O)c1 ZINC000351954000 254384147 /nfs/dbraw/zinc/38/41/47/254384147.db2.gz OAOVJAVLURTOLW-NSHDSACASA-N 0 2 308.294 0.228 20 0 DCADLN COC(=O)C[C@H](C)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289047507 220652877 /nfs/dbraw/zinc/65/28/77/220652877.db2.gz MPXBJBSBTNGQEA-QMMMGPOBSA-N 0 2 304.306 0.903 20 0 DCADLN Cc1nc(C(C)(C)NS(=O)(=O)c2c(N)noc2C)no1 ZINC000289608419 221099554 /nfs/dbraw/zinc/09/95/54/221099554.db2.gz XATXSUKRLFUNCM-UHFFFAOYSA-N 0 2 301.328 0.470 20 0 DCADLN CCOC(=O)[C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275702885 130366492 /nfs/dbraw/zinc/36/64/92/130366492.db2.gz SMQBYSSXHFWYDG-SECBINFHSA-N 0 2 305.338 0.739 20 0 DCADLN CO[C@H](C)CS(=O)(=O)Nc1ccccc1S(C)(=O)=O ZINC000284038369 131042623 /nfs/dbraw/zinc/04/26/23/131042623.db2.gz KOORKVNCVHZEFV-SECBINFHSA-N 0 2 307.393 0.867 20 0 DCADLN CN(C)C(=O)COc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000048232445 395811505 /nfs/dbraw/zinc/81/15/05/395811505.db2.gz RGQSFTGIQWNTEM-UHFFFAOYSA-N 0 2 324.362 0.678 20 0 DCADLN O=S(=O)(C[C@@H](O)CO)c1n[nH]c(-c2ccc(Cl)cc2)n1 ZINC000195115071 395817096 /nfs/dbraw/zinc/81/70/96/395817096.db2.gz DUCYHOACNHEGFX-VIFPVBQESA-N 0 2 317.754 0.252 20 0 DCADLN COc1ccc(OCC[NH+]2CCN(C(=O)C(=O)[O-])CC2)cc1 ZINC000049919501 395834406 /nfs/dbraw/zinc/83/44/06/395834406.db2.gz IUMBLAXQJKTDQW-UHFFFAOYSA-N 0 2 308.334 0.303 20 0 DCADLN Cc1cc(C(=O)N[C@H](CO)CC(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000271075624 395835543 /nfs/dbraw/zinc/83/55/43/395835543.db2.gz PFTWAPUYYBQXMT-VIFPVBQESA-N 0 2 320.349 0.881 20 0 DCADLN O=c1nc2[nH]cc(-c3nc(-c4ccccn4)no3)cc-2c(=O)[nH]1 ZINC000109499491 395797818 /nfs/dbraw/zinc/79/78/18/395797818.db2.gz MPKANOSJYZLZCH-UHFFFAOYSA-N 0 2 308.257 0.723 20 0 DCADLN CNC(=O)CN(C)C(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000272456465 395892909 /nfs/dbraw/zinc/89/29/09/395892909.db2.gz OAAAKXDWRRVNCM-UHFFFAOYSA-N 0 2 318.333 0.405 20 0 DCADLN CNC(=O)CCCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000272550431 395898867 /nfs/dbraw/zinc/89/88/67/395898867.db2.gz YXYOVICZONJLBK-UHFFFAOYSA-N 0 2 320.324 0.973 20 0 DCADLN CC(C)C[C@H](CNC(=O)CSCC(=O)[O-])[NH+]1CCOCC1 ZINC000128048727 395917293 /nfs/dbraw/zinc/91/72/93/395917293.db2.gz FCHSBHRVMZGGNQ-GFCCVEGCSA-N 0 2 318.439 0.667 20 0 DCADLN CC(=O)NCCc1ccc(S(=O)(=O)Nc2cnn(C)c2)cc1 ZINC000055588771 395920144 /nfs/dbraw/zinc/92/01/44/395920144.db2.gz CFYXLCMECRZYJR-UHFFFAOYSA-N 0 2 322.390 0.900 20 0 DCADLN Cc1[nH]ncc1CCCNC(=O)CNC(=O)c1cccc(O)c1 ZINC000274551223 395957989 /nfs/dbraw/zinc/95/79/89/395957989.db2.gz AOHKUPNKWGAXPS-UHFFFAOYSA-N 0 2 316.361 0.903 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1ncccc1F ZINC000147003519 395965539 /nfs/dbraw/zinc/96/55/39/395965539.db2.gz XEMKKUNKBVZBMN-UHFFFAOYSA-N 0 2 314.298 0.921 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1C[C@@H]2CCC[C@H]2C1 ZINC000153544210 396024622 /nfs/dbraw/zinc/02/46/22/396024622.db2.gz VJLVSIHNJZVLOG-IUCAKERBSA-N 0 2 300.318 0.484 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CC[C@@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000276829559 396031048 /nfs/dbraw/zinc/03/10/48/396031048.db2.gz FJUZYDZQEZVQSN-RKDXNWHRSA-N 0 2 318.333 0.635 20 0 DCADLN CN1CC[N@@H+](C)C[C@@H]1CNC(=O)[C@@H]1Cc2ccccc2C[NH2+]1 ZINC000261993918 396088704 /nfs/dbraw/zinc/08/87/04/396088704.db2.gz UDPXMGIFKOPDOZ-HOTGVXAUSA-N 0 2 302.422 0.063 20 0 DCADLN O=C(N[C@]1(CCO)CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000278066433 396104348 /nfs/dbraw/zinc/10/43/48/396104348.db2.gz KTQXNYZFUNHAMQ-MRXNPFEDSA-N 0 2 317.345 0.849 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])[C@H]1CCCN(c2ccccc2)C1=O ZINC000262292802 396119211 /nfs/dbraw/zinc/11/92/11/396119211.db2.gz YYMMIEOKTDSHEJ-ZDUSSCGKSA-N 0 2 319.361 0.315 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])[C@H]1CCCN(c2ccccc2)C1=O ZINC000262292802 396119212 /nfs/dbraw/zinc/11/92/12/396119212.db2.gz YYMMIEOKTDSHEJ-ZDUSSCGKSA-N 0 2 319.361 0.315 20 0 DCADLN O=C(C[NH+]1CCN(c2ccccn2)CC1)[N-]O[C@H]1CCCCO1 ZINC000076322110 396201404 /nfs/dbraw/zinc/20/14/04/396201404.db2.gz UUIFKJVKNMMSMV-INIZCTEOSA-N 0 2 320.393 0.778 20 0 DCADLN COCC[N@H+]1CC[C@H](NC(=O)Cc2cn3ccccc3[nH+]2)C1 ZINC000264508122 396285970 /nfs/dbraw/zinc/28/59/70/396285970.db2.gz LEVOFJOQOYGRFP-ZDUSSCGKSA-N 0 2 302.378 0.714 20 0 DCADLN COC(=O)CCCc1nnc(NC(=O)c2nonc2C)s1 ZINC000265747802 396340789 /nfs/dbraw/zinc/34/07/89/396340789.db2.gz XVYNJKZXVCEAGR-UHFFFAOYSA-N 0 2 311.323 0.978 20 0 DCADLN CC(=O)NCCCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266766924 396353010 /nfs/dbraw/zinc/35/30/10/396353010.db2.gz OZOYUWHDSXAUDD-UHFFFAOYSA-N 0 2 319.321 0.000 20 0 DCADLN CN1C[C@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CC1=O ZINC000289175981 396456220 /nfs/dbraw/zinc/45/62/20/396456220.db2.gz ZZAUODLXDLNSQL-SECBINFHSA-N 0 2 301.306 0.182 20 0 DCADLN CCc1nc([C@@H](C)NS(=O)(=O)c2c(N)noc2C)n[nH]1 ZINC000290694505 396470408 /nfs/dbraw/zinc/47/04/08/396470408.db2.gz ICOCZKXHCOCIRH-RXMQYKEDSA-N 0 2 300.344 0.285 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cc(C)nc2ncnn21 ZINC000290960948 396476313 /nfs/dbraw/zinc/47/63/13/396476313.db2.gz OKPJRMDGKWBTON-UHFFFAOYSA-N 0 2 307.339 0.275 20 0 DCADLN COc1cccc(OC)c1S(=O)(=O)Nc1nc(C)n(C)n1 ZINC000291954454 396521300 /nfs/dbraw/zinc/52/13/00/396521300.db2.gz FDLHMZIZRXLRKH-UHFFFAOYSA-N 0 2 312.351 0.942 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@@H](C(=O)[O-])C(C)C)CC2)cc[nH+]1 ZINC000581824489 396585003 /nfs/dbraw/zinc/58/50/03/396585003.db2.gz MPOFGYMOLSTONV-ZDUSSCGKSA-N 0 2 306.366 0.790 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@H+](C)[C@@H](C)C(=O)[O-] ZINC000581432366 396554489 /nfs/dbraw/zinc/55/44/89/396554489.db2.gz UEFKIXPZGQNDBO-WDEREUQCSA-N 0 2 300.355 0.336 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-] ZINC000581432366 396554493 /nfs/dbraw/zinc/55/44/93/396554493.db2.gz UEFKIXPZGQNDBO-WDEREUQCSA-N 0 2 300.355 0.336 20 0 DCADLN COCCOCCS(=O)(=O)Nc1cc(C(=O)OC)cs1 ZINC000533625223 396608659 /nfs/dbraw/zinc/60/86/59/396608659.db2.gz JHLOEZNHUGTIEU-UHFFFAOYSA-N 0 2 323.392 0.939 20 0 DCADLN CC1(C)CCC[C@@]1(O)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000294512037 396678658 /nfs/dbraw/zinc/67/86/58/396678658.db2.gz TVPSURUITJJHDC-GFCCVEGCSA-N 0 2 300.384 0.248 20 0 DCADLN CCc1nc(CNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)n[nH]1 ZINC000295253890 396688438 /nfs/dbraw/zinc/68/84/38/396688438.db2.gz FILLHMZDIYAKQM-VIFPVBQESA-N 0 2 321.303 0.686 20 0 DCADLN CCC1(NS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000295394214 396696152 /nfs/dbraw/zinc/69/61/52/396696152.db2.gz UYKGREPCLXJWFS-UHFFFAOYSA-N 0 2 310.335 0.657 20 0 DCADLN Cn1cc(OCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000563191215 396712551 /nfs/dbraw/zinc/71/25/51/396712551.db2.gz FHGDYCDWUISWGG-VIFPVBQESA-N 0 2 306.326 0.029 20 0 DCADLN CCNC(=O)CCC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000600092451 396733810 /nfs/dbraw/zinc/73/38/10/396733810.db2.gz AXRURZBDJCCUPF-UHFFFAOYSA-N 0 2 304.306 0.885 20 0 DCADLN CC[C@]1(O)CCCN(C(=O)Cn2nc3n(c2=O)CCCC3)C1 ZINC000634197098 396811984 /nfs/dbraw/zinc/81/19/84/396811984.db2.gz BTIOTJVSCWZOLW-HNNXBMFYSA-N 0 2 308.382 0.145 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C2(CO)CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000617903832 396871092 /nfs/dbraw/zinc/87/10/92/396871092.db2.gz YTINEXIZBDIHGA-MRVPVSSYSA-N 0 2 318.333 0.635 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2CCC3(CCC3)C2)n1 ZINC000376451266 396932064 /nfs/dbraw/zinc/93/20/64/396932064.db2.gz VSFJBWWEOGMSSP-UHFFFAOYSA-N 0 2 306.414 0.320 20 0 DCADLN C[C@H](C(=O)NC1CCCCC1)[N@H+]1CCN2C(=O)[C@@H]([NH3+])C[C@H]2C1 ZINC000572257863 397039911 /nfs/dbraw/zinc/03/99/11/397039911.db2.gz CBZCZGOLOOHWHN-XBFCOCLRSA-N 0 2 308.426 0.068 20 0 DCADLN O=C(NCCCn1cncn1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000600950202 396970841 /nfs/dbraw/zinc/97/08/41/396970841.db2.gz YLZGJSYKYKCZAR-UHFFFAOYSA-N 0 2 314.305 0.442 20 0 DCADLN CCN(C)S(=O)(=O)CCCS(=O)(=O)Nc1ccon1 ZINC000349530563 397073659 /nfs/dbraw/zinc/07/36/59/397073659.db2.gz DKTPWUAJLAAGNZ-UHFFFAOYSA-N 0 2 311.385 0.088 20 0 DCADLN Cc1conc1NS(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000349577558 397075082 /nfs/dbraw/zinc/07/50/82/397075082.db2.gz UHEHUURIVNSSBC-UHFFFAOYSA-N 0 2 311.385 0.006 20 0 DCADLN C[N@@H+]1CCOC[C@@H]1CNC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000626767425 397235207 /nfs/dbraw/zinc/23/52/07/397235207.db2.gz LBPINOAAXSHNHE-ZDUSSCGKSA-N 0 2 307.350 0.515 20 0 DCADLN C[N@H+]1CCOC[C@@H]1CNC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000626767425 397235212 /nfs/dbraw/zinc/23/52/12/397235212.db2.gz LBPINOAAXSHNHE-ZDUSSCGKSA-N 0 2 307.350 0.515 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@]1(C)CO ZINC000620453304 397274006 /nfs/dbraw/zinc/27/40/06/397274006.db2.gz ZRBSFRZXVHPUNJ-VXJOIVPMSA-N 0 2 318.333 0.527 20 0 DCADLN CCN(C)C(=O)[C@@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613899501 397345645 /nfs/dbraw/zinc/34/56/45/397345645.db2.gz XFWLUJVKOIQVRJ-SECBINFHSA-N 0 2 318.333 0.627 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+]1[C@@H](C)CC[C@H]1C(=O)[O-])C(=O)OC ZINC000593290511 397348101 /nfs/dbraw/zinc/34/81/01/397348101.db2.gz KXMSNPRGCVRPDJ-MPPDQPJWSA-N 0 2 314.382 0.628 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+]1[C@@H](C)CC[C@H]1C(=O)[O-])C(=O)OC ZINC000593290511 397348105 /nfs/dbraw/zinc/34/81/05/397348105.db2.gz KXMSNPRGCVRPDJ-MPPDQPJWSA-N 0 2 314.382 0.628 20 0 DCADLN CN1CC[C@@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1=O ZINC000613828684 397331127 /nfs/dbraw/zinc/33/11/27/397331127.db2.gz QAFPRFPZJGHENM-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN COCCCn1c(=O)[nH]nc1SCC1N=NC(=O)S1 ZINC000578630520 397462375 /nfs/dbraw/zinc/46/23/75/397462375.db2.gz IOYUXVDHJIQRSP-UHFFFAOYSA-N 0 2 303.369 0.870 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@H](O)C[NH2+]C[C@H](O)Cc2ccccc2)C[C@H](C)O1 ZINC000578770745 397482721 /nfs/dbraw/zinc/48/27/21/397482721.db2.gz ZWJMZFXLXKVAAR-CYGHRXIMSA-N 0 2 322.449 0.650 20 0 DCADLN O=C(Cc1ncccc1F)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000579358812 397558641 /nfs/dbraw/zinc/55/86/41/397558641.db2.gz ZFPVOBXVKRFDFM-SECBINFHSA-N 0 2 305.313 0.993 20 0 DCADLN CC(=O)NCc1ccc(S(=O)(=O)Nc2nnc(C)o2)s1 ZINC000579593029 397582906 /nfs/dbraw/zinc/58/29/06/397582906.db2.gz PSYQBCREDCZGQF-UHFFFAOYSA-N 0 2 316.364 0.876 20 0 DCADLN C[C@H]1C[N@H+](Cc2csc(C(=O)[O-])c2)CCN1S(C)(=O)=O ZINC000579719477 397590591 /nfs/dbraw/zinc/59/05/91/397590591.db2.gz DSHBITKALNXHMI-VIFPVBQESA-N 0 2 318.420 0.912 20 0 DCADLN C[C@H]1C[N@@H+](Cc2csc(C(=O)[O-])c2)CCN1S(C)(=O)=O ZINC000579719477 397590594 /nfs/dbraw/zinc/59/05/94/397590594.db2.gz DSHBITKALNXHMI-VIFPVBQESA-N 0 2 318.420 0.912 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC[S@@]1=O ZINC000610091582 397802982 /nfs/dbraw/zinc/80/29/82/397802982.db2.gz COVNFHBHRZRUMH-CLTRCRFRSA-N 0 2 321.358 0.623 20 0 DCADLN COCC[C@H](NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)C(=O)[O-] ZINC001647326823 1172813745 /nfs/dbraw/zinc/81/37/45/1172813745.db2.gz KCVYGVSREHKVTB-QWHCGFSZSA-N 0 2 314.382 0.236 20 0 DCADLN COCC[C@H](NC(=O)[C@H]1COCC[N@H+]1C1CCCC1)C(=O)[O-] ZINC001647326823 1172813755 /nfs/dbraw/zinc/81/37/55/1172813755.db2.gz KCVYGVSREHKVTB-QWHCGFSZSA-N 0 2 314.382 0.236 20 0 DCADLN CS(=O)(=O)Cc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000049487789 158010424 /nfs/dbraw/zinc/01/04/24/158010424.db2.gz XBBYGDMQJROFDB-UHFFFAOYSA-N 0 2 315.376 0.755 20 0 DCADLN NC(=O)c1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)s1 ZINC000329089606 159060417 /nfs/dbraw/zinc/06/04/17/159060417.db2.gz WEAXQTQEZBJSOA-SSDOTTSWSA-N 0 2 321.362 0.691 20 0 DCADLN Cc1ccc(O[C@H](C)C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000080973565 286927628 /nfs/dbraw/zinc/92/76/28/286927628.db2.gz KVMKATLMJQYDEQ-LLVKDONJSA-N 0 2 304.350 0.923 20 0 DCADLN C[C@@H](NC(=O)c1nc(=O)[nH][nH]1)c1noc(-c2ccccc2)n1 ZINC000134353604 287016245 /nfs/dbraw/zinc/01/62/45/287016245.db2.gz CMXZQBHFMOYZBD-SSDOTTSWSA-N 0 2 300.278 0.639 20 0 DCADLN Cc1ccc(C)c(N2CCN(C(=O)c3nc(=O)[nH][nH]3)CC2)c1 ZINC000151283992 287032688 /nfs/dbraw/zinc/03/26/88/287032688.db2.gz QOMXXNCKOFZDPQ-UHFFFAOYSA-N 0 2 301.350 0.677 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)OC ZINC000358311104 287206534 /nfs/dbraw/zinc/20/65/34/287206534.db2.gz KIWFFZLUEKHWCO-KWQFWETISA-N 0 2 320.349 0.991 20 0 DCADLN COCCOC1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000358398231 287208740 /nfs/dbraw/zinc/20/87/40/287208740.db2.gz LUXMNCPDURKWRT-UHFFFAOYSA-N 0 2 306.322 0.161 20 0 DCADLN COc1cccc(C(F)(F)CNS(=O)(=O)[C@@H]2CCOC2)n1 ZINC000352632591 415258225 /nfs/dbraw/zinc/25/82/25/415258225.db2.gz FJMLTKSPPSFWAA-SECBINFHSA-N 0 2 322.333 0.890 20 0 DCADLN O=S(=O)(NCCc1nc[nH]n1)c1ccc(Br)o1 ZINC000352861820 415351566 /nfs/dbraw/zinc/35/15/66/415351566.db2.gz KDDPGVNOWFFZAR-UHFFFAOYSA-N 0 2 321.156 0.681 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC(C)(C)O1 ZINC000065611980 415354538 /nfs/dbraw/zinc/35/45/38/415354538.db2.gz JRIFLFQGRNTMKG-MRVPVSSYSA-N 0 2 318.333 0.663 20 0 DCADLN CC[C@@H]1C[C@H](C[N@@H+]2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])CCO1 ZINC000333606532 287304533 /nfs/dbraw/zinc/30/45/33/287304533.db2.gz RGNLLRJHRWWNIV-MXWKQRLJSA-N 0 2 310.354 0.458 20 0 DCADLN CC[C@@H]1C[C@H](C[N@H+]2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])CCO1 ZINC000333606532 287304535 /nfs/dbraw/zinc/30/45/35/287304535.db2.gz RGNLLRJHRWWNIV-MXWKQRLJSA-N 0 2 310.354 0.458 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCc1nc2ccccc2[nH]1 ZINC000353155835 415453416 /nfs/dbraw/zinc/45/34/16/415453416.db2.gz WPKDWKNLAOTPGC-UHFFFAOYSA-N 0 2 304.335 0.795 20 0 DCADLN Cc1nnnn1-c1cccc(NC(=O)C(N)C(F)(F)F)c1 ZINC000353172649 415460401 /nfs/dbraw/zinc/46/04/01/415460401.db2.gz LTYPVNKBOIKDKX-VIFPVBQESA-N 0 2 300.244 0.799 20 0 DCADLN Cc1nnnn1-c1cccc(NC(=O)[C@H](N)C(F)(F)F)c1 ZINC000353172649 415460414 /nfs/dbraw/zinc/46/04/14/415460414.db2.gz LTYPVNKBOIKDKX-VIFPVBQESA-N 0 2 300.244 0.799 20 0 DCADLN NC(C(=O)Nc1ccc(CS(N)(=O)=O)cc1)C(F)(F)F ZINC000353174803 415461469 /nfs/dbraw/zinc/46/14/69/415461469.db2.gz FOOGHVNRDMXFBR-QMMMGPOBSA-N 0 2 311.285 0.303 20 0 DCADLN N[C@@H](C(=O)Nc1ccc(CS(N)(=O)=O)cc1)C(F)(F)F ZINC000353174803 415461478 /nfs/dbraw/zinc/46/14/78/415461478.db2.gz FOOGHVNRDMXFBR-QMMMGPOBSA-N 0 2 311.285 0.303 20 0 DCADLN C[C@@]1(C(N)=O)CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000280128938 415518972 /nfs/dbraw/zinc/51/89/72/415518972.db2.gz RJBXEOYFMOKDQK-MRXNPFEDSA-N 0 2 314.345 0.915 20 0 DCADLN CN(C)c1ncc(NS(=O)(=O)c2cnc3n2CCC3)cn1 ZINC000333020525 415531161 /nfs/dbraw/zinc/53/11/61/415531161.db2.gz DQOKBPLVCQZVEC-UHFFFAOYSA-N 0 2 308.367 0.486 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2CCC[C@H]3C(=O)NC[C@H]32)s[nH]1 ZINC000333082249 415549793 /nfs/dbraw/zinc/54/97/93/415549793.db2.gz QTXOGXOALBSBCH-RKDXNWHRSA-N 0 2 323.422 1.000 20 0 DCADLN CC[NH+]1CCN([C@@H](C)CNC(=O)[C@H]2CC[NH2+]CC2(F)F)CC1 ZINC000343451981 415603095 /nfs/dbraw/zinc/60/30/95/415603095.db2.gz GPEKQVGJHMUXLQ-QWHCGFSZSA-N 0 2 318.412 0.373 20 0 DCADLN CO[C@H](C)[C@@H](C)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000343458479 415607502 /nfs/dbraw/zinc/60/75/02/415607502.db2.gz XFJUIZKZEVWJTC-HTQZYQBOSA-N 0 2 314.411 0.736 20 0 DCADLN CCn1c2ccccc2n(CC(=O)Nc2nc(C)n[nH]2)c1=O ZINC000103255185 415614766 /nfs/dbraw/zinc/61/47/66/415614766.db2.gz DJFNXASGHJPCJC-UHFFFAOYSA-N 0 2 300.322 0.888 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCO[C@@H](c2ccccc2Cl)C1 ZINC000103525687 415619575 /nfs/dbraw/zinc/61/95/75/415619575.db2.gz MHPRXDTZRVWZCQ-SNVBAGLBSA-N 0 2 308.725 0.965 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2C=C[C@H](CO)C2)c1 ZINC000424735235 287313218 /nfs/dbraw/zinc/31/32/18/287313218.db2.gz KSDZIHRGJYMGOA-DCVWQXJKSA-N 0 2 309.387 0.856 20 0 DCADLN O=C(N[C@@H](C[C@H]1CCOC1)c1ccccc1)c1nc(=O)[nH][nH]1 ZINC000119857429 415752269 /nfs/dbraw/zinc/75/22/69/415752269.db2.gz NTPIAYNBUSOLJV-PWSUYJOCSA-N 0 2 302.334 0.996 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)N[C@@H](C)[C@@H]2CCCOC2)co1 ZINC000334032099 415787792 /nfs/dbraw/zinc/78/77/92/415787792.db2.gz DXOVSZBKWBBBHC-VHSXEESVSA-N 0 2 316.379 0.733 20 0 DCADLN CCN(CCCNC(=O)N1CC[C@@H]([C@@H](C)O)C1)S(C)(=O)=O ZINC000334033901 415789681 /nfs/dbraw/zinc/78/96/81/415789681.db2.gz FAPRDCXPMRKYIJ-VXGBXAGGSA-N 0 2 321.443 0.070 20 0 DCADLN CC(=O)N1CCN(C(=O)[C@@H](C)[N@@H+]2CCO[C@H](C3CCC3)C2)CC1 ZINC000334028557 415789770 /nfs/dbraw/zinc/78/97/70/415789770.db2.gz JGYYMNSCARALMA-CJNGLKHVSA-N 0 2 323.437 0.567 20 0 DCADLN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCO[C@H](C3CCC3)C2)CC1 ZINC000334028557 415789779 /nfs/dbraw/zinc/78/97/79/415789779.db2.gz JGYYMNSCARALMA-CJNGLKHVSA-N 0 2 323.437 0.567 20 0 DCADLN CCCN1C[C@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC000334041032 415790387 /nfs/dbraw/zinc/79/03/87/415790387.db2.gz OKBIPCRTQPSIAW-GHMZBOCLSA-N 0 2 321.381 0.475 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N(Cc1ccccc1)C[C@H]1CCCO1 ZINC000129383692 415906260 /nfs/dbraw/zinc/90/62/60/415906260.db2.gz QCJCMYATLAFUHF-GFCCVEGCSA-N 0 2 302.334 0.919 20 0 DCADLN O=C(NC[C@H]1CC[C@H](O)C1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000356634609 415966475 /nfs/dbraw/zinc/96/64/75/415966475.db2.gz MYNCRHZHPWTFSR-CBAPKCEASA-N 0 2 304.306 0.327 20 0 DCADLN CNC(=O)COc1ccc(NS(=O)(=O)c2c[nH]c(C)n2)cc1 ZINC000356773096 415984809 /nfs/dbraw/zinc/98/48/09/415984809.db2.gz GUHZUCXQCXXJCI-UHFFFAOYSA-N 0 2 324.362 0.644 20 0 DCADLN O=C(C1CC1)[C@@H]1CN(S(=O)(=O)NCC(F)(F)F)CCO1 ZINC000344818746 415992806 /nfs/dbraw/zinc/99/28/06/415992806.db2.gz DTJSRZWUNKXQNN-QMMMGPOBSA-N 0 2 316.301 0.063 20 0 DCADLN C[C@H](NC(=O)CCc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000337693055 415997259 /nfs/dbraw/zinc/99/72/59/415997259.db2.gz WGAJHQVLLZIXLU-JTQLQIEISA-N 0 2 317.349 0.264 20 0 DCADLN CS(=O)(=O)CCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000357860245 416143201 /nfs/dbraw/zinc/14/32/01/416143201.db2.gz HQUWEYLOKRWPHF-UHFFFAOYSA-N 0 2 310.335 0.551 20 0 DCADLN Cc1cc(C(=O)NCC2(CO)CCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000345801772 416151483 /nfs/dbraw/zinc/15/14/83/416151483.db2.gz PVBSLVQBLIZLNT-UHFFFAOYSA-N 0 2 318.333 0.637 20 0 DCADLN O=C1NCCN1Cc1ccccc1NS(=O)(=O)c1cn[nH]c1 ZINC000180344559 416195050 /nfs/dbraw/zinc/19/50/50/416195050.db2.gz ZYLZJXBAECLMPS-UHFFFAOYSA-N 0 2 321.362 0.736 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2ccc(=O)[nH]c2)s1 ZINC000340932015 416198328 /nfs/dbraw/zinc/19/83/28/416198328.db2.gz PFUAJPNIXFAPEJ-UHFFFAOYSA-N 0 2 315.336 0.263 20 0 DCADLN C[C@H](CO)N(C[C@H](C)O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000331293829 416303251 /nfs/dbraw/zinc/30/32/51/416303251.db2.gz RBTYNIRFGIOAFW-BDAKNGLRSA-N 0 2 316.427 0.853 20 0 DCADLN CSc1cccc(C)c1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000352253538 416311136 /nfs/dbraw/zinc/31/11/36/416311136.db2.gz LVAGAWQTFCPWCT-UHFFFAOYSA-N 0 2 321.362 0.796 20 0 DCADLN O=S(=O)(Nc1nc2ccccn2n1)c1ccc2c(c1)COC2 ZINC000358820630 416279902 /nfs/dbraw/zinc/27/99/02/416279902.db2.gz XRVYTOPWCPSZLP-UHFFFAOYSA-N 0 2 316.342 1.560 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1csc(-c2cnccn2)n1 ZINC000358951109 416297215 /nfs/dbraw/zinc/29/72/15/416297215.db2.gz LLXIVWIPQXGCFG-UHFFFAOYSA-N 0 2 303.307 0.354 20 0 DCADLN O=C(CNS(=O)(=O)NCC(F)(F)F)Nc1cccnc1 ZINC000195204457 416325338 /nfs/dbraw/zinc/32/53/38/416325338.db2.gz DJSZNSBOGUVCAC-UHFFFAOYSA-N 0 2 312.273 0.006 20 0 DCADLN CCOCC[NH+]1CCN(S(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000195241030 416325726 /nfs/dbraw/zinc/32/57/26/416325726.db2.gz AEFJLPFDRYVUHN-UHFFFAOYSA-N 0 2 319.349 0.037 20 0 DCADLN CCOC(=O)C[C@@H](C)N(C)S(=O)(=O)NCC(F)(F)F ZINC000195450418 416326067 /nfs/dbraw/zinc/32/60/67/416326067.db2.gz MSQBVHAWZGLLFV-SSDOTTSWSA-N 0 2 306.306 0.657 20 0 DCADLN Cc1ccc(S(C)(=O)=O)cc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000359820239 416360942 /nfs/dbraw/zinc/36/09/42/416360942.db2.gz SVIZLETVHXBLMJ-UHFFFAOYSA-N 0 2 310.335 0.152 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N(CCO)CC(F)F ZINC000360393532 416387987 /nfs/dbraw/zinc/38/79/87/416387987.db2.gz DGWINOPGNVXNOL-UHFFFAOYSA-N 0 2 313.260 0.730 20 0 DCADLN Cn1nncc1CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000360667974 416402509 /nfs/dbraw/zinc/40/25/09/416402509.db2.gz BDUYIUBAZGAEIP-UHFFFAOYSA-N 0 2 300.278 0.088 20 0 DCADLN CSCC[C@@H](CO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000361694038 416477196 /nfs/dbraw/zinc/47/71/96/416477196.db2.gz WFHOMHOJGGOKBY-NSHDSACASA-N 0 2 323.374 0.874 20 0 DCADLN O=C([O-])c1cn(CCNc2nc(C3CC3)[nH+]c3c2CCC3)nn1 ZINC000583086940 416458643 /nfs/dbraw/zinc/45/86/43/416458643.db2.gz BDSFWCUVWBDUQV-UHFFFAOYSA-N 0 2 314.349 1.245 20 0 DCADLN Cc1cc(=O)n2[nH]c(NS(=O)(=O)c3cccs3)nc2n1 ZINC000361950676 416532311 /nfs/dbraw/zinc/53/23/11/416532311.db2.gz DMJMKFXRICNBLP-UHFFFAOYSA-N 0 2 311.348 0.588 20 0 DCADLN O=C(CN1CCCCC1=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000535986385 416533821 /nfs/dbraw/zinc/53/38/21/416533821.db2.gz MXINYAIJNWZPSK-UHFFFAOYSA-N 0 2 317.374 0.973 20 0 DCADLN CNC(=O)[C@]1(C)CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000435981402 416544572 /nfs/dbraw/zinc/54/45/72/416544572.db2.gz LPWQAUOPZNHTOK-OAHLLOKOSA-N 0 2 317.349 0.272 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)c2cccc(F)c2)cn1 ZINC000361847563 416509088 /nfs/dbraw/zinc/50/90/88/416509088.db2.gz DHNUMYKVJRPISB-UHFFFAOYSA-N 0 2 311.294 1.203 20 0 DCADLN CC[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(=O)OC ZINC000439137378 416607545 /nfs/dbraw/zinc/60/75/45/416607545.db2.gz CJSRRCUGDXJBMQ-JTQLQIEISA-N 0 2 305.290 0.711 20 0 DCADLN C[C@H]1C[C@@H](CO)CCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000436779324 416570419 /nfs/dbraw/zinc/57/04/19/416570419.db2.gz JNQAZGPKXMVCEE-UWVGGRQHSA-N 0 2 304.350 0.907 20 0 DCADLN CN1C(=O)CC[C@H](NC(=O)c2cc(F)c(F)c(O)c2F)C1=O ZINC000425067364 416682760 /nfs/dbraw/zinc/68/27/60/416682760.db2.gz AZTUDGBTMDCGOJ-ZETCQYMHSA-N 0 2 316.235 0.687 20 0 DCADLN COCCOC[C@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000440086214 416626158 /nfs/dbraw/zinc/62/61/58/416626158.db2.gz DXMZQCCZULPDEX-JTQLQIEISA-N 0 2 321.333 0.811 20 0 DCADLN O=S(=O)(CCOCC1CC1)Nc1nncn1-c1cccnc1 ZINC000424211635 416627507 /nfs/dbraw/zinc/62/75/07/416627507.db2.gz LYIFNHLLCRNILH-UHFFFAOYSA-N 0 2 323.378 0.831 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(CC(N)=O)C(C)C)c1 ZINC000424541681 416662213 /nfs/dbraw/zinc/66/22/13/416662213.db2.gz KKZHWRXZYJCHPK-NRFANRHFSA-N 0 2 312.391 0.525 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@]2(O)CCOC2)c1 ZINC000424758423 416667171 /nfs/dbraw/zinc/66/71/71/416667171.db2.gz BJCZJIZUCUKLSH-SZNDQCEHSA-N 0 2 313.375 0.070 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCN(C)C(=O)[C@H]2C)c1 ZINC000424803215 416669289 /nfs/dbraw/zinc/66/92/89/416669289.db2.gz YLRVRILBDWBQBC-XFNZEKPQSA-N 0 2 324.402 0.492 20 0 DCADLN [NH3+][C@H]1C[C@@H]2C[N@H+](Cc3cnc(-c4ccccc4)nc3)CCN2C1=O ZINC000515312975 416696610 /nfs/dbraw/zinc/69/66/10/416696610.db2.gz WUHIMUGOXSSWOE-CVEARBPZSA-N 0 2 323.400 0.887 20 0 DCADLN O=C(c1cc(O)cc(=O)[nH]1)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000614764379 416703600 /nfs/dbraw/zinc/70/36/00/416703600.db2.gz UMUDVRRGRFJZTI-SECBINFHSA-N 0 2 305.294 0.684 20 0 DCADLN CC(C)c1nnc([C@H](C)NS(=O)(=O)NCC(F)(F)F)[nH]1 ZINC000443049889 416728083 /nfs/dbraw/zinc/72/80/83/416728083.db2.gz NRXOCUYDZAZRJD-LURJTMIESA-N 0 2 315.321 0.975 20 0 DCADLN CC[C@@H]1CN(CCNS(=O)(=O)NCC(F)(F)F)CCO1 ZINC000443190465 416734608 /nfs/dbraw/zinc/73/46/08/416734608.db2.gz FCQHLSAUOJXVFA-SECBINFHSA-N 0 2 319.349 0.084 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C)CCN1S(=O)(=O)NCC(F)(F)F ZINC000443209410 416737216 /nfs/dbraw/zinc/73/72/16/416737216.db2.gz FUDZYMDIIRBXAZ-SFYZADRCSA-N 0 2 318.317 0.657 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)C[C@H]1COc2ccccc2O1 ZINC000641296964 416769612 /nfs/dbraw/zinc/76/96/12/416769612.db2.gz FKJANWFWDICUTJ-GFCCVEGCSA-N 0 2 323.374 0.978 20 0 DCADLN O=C(c1cc(F)cc2n[nH]nc21)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000558630888 416780046 /nfs/dbraw/zinc/78/00/46/416780046.db2.gz ZVJAXPNSQAQUPE-SSDOTTSWSA-N 0 2 316.300 0.630 20 0 DCADLN O=C(c1cc(F)cc2nn[nH]c21)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000558630888 416780053 /nfs/dbraw/zinc/78/00/53/416780053.db2.gz ZVJAXPNSQAQUPE-SSDOTTSWSA-N 0 2 316.300 0.630 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(CNC(N)=O)cc2)cnn1C ZINC000427212849 416808809 /nfs/dbraw/zinc/80/88/09/416808809.db2.gz NQWYOWPZXSVMEN-UHFFFAOYSA-N 0 2 323.378 0.698 20 0 DCADLN O=C(CC(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1)NCC1CC1 ZINC000427469503 416821115 /nfs/dbraw/zinc/82/11/15/416821115.db2.gz BQGFITSJIBHYHL-UHFFFAOYSA-N 0 2 316.317 0.885 20 0 DCADLN COCCO[C@@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000444480879 416845099 /nfs/dbraw/zinc/84/50/99/416845099.db2.gz PKTCGMAOBNXFLD-SNVBAGLBSA-N 0 2 320.349 0.551 20 0 DCADLN COC[C@@H](C)n1ccc(=NC(=O)N=c2cc(C)c(C)n[nH]2)[nH]1 ZINC000446155647 416913605 /nfs/dbraw/zinc/91/36/05/416913605.db2.gz GPAKKZQOGHUGIW-SNVBAGLBSA-N 0 2 304.354 0.985 20 0 DCADLN COc1cc(OC)nc(NS(=O)(=O)c2ccc(C)nc2)n1 ZINC000430063812 417017167 /nfs/dbraw/zinc/01/71/67/417017167.db2.gz XNQFRNXQXUAFPG-UHFFFAOYSA-N 0 2 310.335 0.998 20 0 DCADLN O=C(NC[C@H](O)C1CCC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616118407 416968524 /nfs/dbraw/zinc/96/85/24/416968524.db2.gz HXLWYVVZIJCGBH-LBPRGKRZSA-N 0 2 303.318 0.921 20 0 DCADLN COc1ccc(C[NH+](C)C)cc1NC(=O)CN1CC[NH2+]CC1=O ZINC000565953852 417036122 /nfs/dbraw/zinc/03/61/22/417036122.db2.gz LGGYKDPIYBQRGT-UHFFFAOYSA-N 0 2 320.393 0.127 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)OCC(=O)N2C ZINC000524947885 417123265 /nfs/dbraw/zinc/12/32/65/417123265.db2.gz LRFLCMRCPFYSNX-UHFFFAOYSA-N 0 2 322.346 0.576 20 0 DCADLN C[C@]1(O)CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000408055005 417138247 /nfs/dbraw/zinc/13/82/47/417138247.db2.gz VXWKOPIAZKUYHY-AWEZNQCLSA-N 0 2 304.306 0.423 20 0 DCADLN CC[C@@H]1[C@H](C)CCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000187400538 287362091 /nfs/dbraw/zinc/36/20/91/287362091.db2.gz RUHHNUAQPOFNKI-LDYMZIIASA-N 0 2 302.334 0.872 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N[C@H]2CNC(=O)C2)=N1 ZINC000450448599 417226874 /nfs/dbraw/zinc/22/68/74/417226874.db2.gz BNWWPCSIXOJWOQ-LLVKDONJSA-N 0 2 314.345 0.759 20 0 DCADLN Cc1ccccc1-c1nsc(NCCN2C(=O)CNC2=O)n1 ZINC000527891012 417283423 /nfs/dbraw/zinc/28/34/23/417283423.db2.gz DLULWWACIGQERG-UHFFFAOYSA-N 0 2 317.374 0.899 20 0 DCADLN COc1cccc([C@@H](OC)C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000621894538 417336696 /nfs/dbraw/zinc/33/66/96/417336696.db2.gz SQWPHKFMDGXBKK-CYBMUJFWSA-N 0 2 320.349 0.955 20 0 DCADLN CCOC(=O)[C@@H]1CCC[C@@H]1NS(=O)(=O)NCC(F)(F)F ZINC000451945943 417420574 /nfs/dbraw/zinc/42/05/74/417420574.db2.gz KCOLHXLZBIPTQQ-SFYZADRCSA-N 0 2 318.317 0.705 20 0 DCADLN Cc1c(NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)nnn1C ZINC000622713428 417495780 /nfs/dbraw/zinc/49/57/80/417495780.db2.gz PVGUJIIXHUPFFU-UHFFFAOYSA-N 0 2 300.278 0.719 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ncn(CC(F)(F)F)n1 ZINC000576545883 417619728 /nfs/dbraw/zinc/61/97/28/417619728.db2.gz GXHJDHBHVHZQNC-UHFFFAOYSA-N 0 2 324.288 0.683 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)NCc1cccc(C(=O)[O-])c1 ZINC000635526056 417689458 /nfs/dbraw/zinc/68/94/58/417689458.db2.gz YZMRZWKHFBADIY-UHFFFAOYSA-N 0 2 321.377 0.858 20 0 DCADLN CO[C@@H]1CN(C(=O)C2=NN(c3ccc(F)cc3)CC2=O)C[C@H]1O ZINC000456369105 417643721 /nfs/dbraw/zinc/64/37/21/417643721.db2.gz KIMJIYCCTSQPDN-DGCLKSJQSA-N 0 2 321.308 0.549 20 0 DCADLN COc1cc(CCO)ccc1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000644879091 417671576 /nfs/dbraw/zinc/67/15/76/417671576.db2.gz VAFSNOYTWRXQNW-UHFFFAOYSA-N 0 2 324.362 0.785 20 0 DCADLN CN(C)c1[nH+]cc(CN2CCN([C@@H]3CCC[N@H+](C)C3)CC2)n1C ZINC000644901534 417675768 /nfs/dbraw/zinc/67/57/68/417675768.db2.gz GQOUVYKWGOIKJQ-OAHLLOKOSA-N 0 2 320.485 0.698 20 0 DCADLN Cn1ncc2c1nc(NCC1(C(=O)[O-])CCCCC1)[nH+]c2N ZINC000577398984 417678463 /nfs/dbraw/zinc/67/84/63/417678463.db2.gz HDCPUKRRDVGKHZ-UHFFFAOYSA-N 0 2 304.354 1.393 20 0 DCADLN O=C([O-])c1cnc(NCc2ccc(N3CCOCC3)[nH+]c2)nc1 ZINC000582005673 417732629 /nfs/dbraw/zinc/73/26/29/417732629.db2.gz FSJOFBJSHOKQAX-UHFFFAOYSA-N 0 2 315.333 1.019 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCC(F)(F)C2)[nH]1 ZINC000651963862 417824245 /nfs/dbraw/zinc/82/42/45/417824245.db2.gz VMBGZWUCMYYIHS-UHFFFAOYSA-N 0 2 301.297 0.477 20 0 DCADLN CCn1ccc(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000651997725 417830129 /nfs/dbraw/zinc/83/01/29/417830129.db2.gz ZQIRXVZMJLXTIW-SNVBAGLBSA-N 0 2 304.354 0.675 20 0 DCADLN Cc1ccc(Cn2nccc2NS(=O)(=O)c2cnnn2C)o1 ZINC000629348482 417785052 /nfs/dbraw/zinc/78/50/52/417785052.db2.gz YSIHMMOIKRAXEM-UHFFFAOYSA-N 0 2 322.350 0.762 20 0 DCADLN O=C(CCn1ccccc1=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000646131166 417788551 /nfs/dbraw/zinc/78/85/51/417788551.db2.gz XXUOPPWHZWYHEG-NSHDSACASA-N 0 2 317.349 0.468 20 0 DCADLN COC[C@@]1(C)CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651831634 417789668 /nfs/dbraw/zinc/78/96/68/417789668.db2.gz ATEBJGNSBJKSGB-AWEZNQCLSA-N 0 2 309.370 0.104 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H](C2CC2)C2CCC2)[nH]1 ZINC000651847033 417796859 /nfs/dbraw/zinc/79/68/59/417796859.db2.gz PQOIZJNNKMBBPE-LBPRGKRZSA-N 0 2 305.382 0.914 20 0 DCADLN O=C(c1cc(F)c(F)c(O)c1F)N1CCN2C(=O)NC[C@H]2C1 ZINC000272593271 304485585 /nfs/dbraw/zinc/48/55/85/304485585.db2.gz IXBHUQPFRYZQSZ-LURJTMIESA-N 0 2 315.251 0.659 20 0 DCADLN CC1(C)[C@@H](NC(=O)c2cc(F)c(O)c(F)c2)CS1(=O)=O ZINC000640460726 417850240 /nfs/dbraw/zinc/85/02/40/417850240.db2.gz RAMQBXXLYMIGNZ-VIFPVBQESA-N 0 2 305.302 0.976 20 0 DCADLN COCC(COC)NC(=O)N=c1ncn(Cc2ccccc2)[nH]1 ZINC000652407455 417901881 /nfs/dbraw/zinc/90/18/81/417901881.db2.gz AATKUNCNVCTIOK-UHFFFAOYSA-N 0 2 319.365 0.531 20 0 DCADLN CO[C@@H]1C[C@@H](CC(=O)[O-])N(C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000652072247 417843282 /nfs/dbraw/zinc/84/32/82/417843282.db2.gz REDPKWNJVWZIHS-QWHCGFSZSA-N 0 2 321.377 0.853 20 0 DCADLN CN(Cc1nc(=O)o[n-]1)C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000640455349 417846757 /nfs/dbraw/zinc/84/67/57/417846757.db2.gz GKSXWRFNUMDPSV-SSDOTTSWSA-N 0 2 319.243 0.712 20 0 DCADLN CC(C)c1nc(N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)nc(N(C)C)[nH+]1 ZINC000662885927 417938819 /nfs/dbraw/zinc/93/88/19/417938819.db2.gz OSRXFKUJRBNCLY-MEBBXXQBSA-N 0 2 321.381 0.598 20 0 DCADLN CC(C)c1nc(N(C)C)nc(N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)[nH+]1 ZINC000662885927 417938821 /nfs/dbraw/zinc/93/88/21/417938821.db2.gz OSRXFKUJRBNCLY-MEBBXXQBSA-N 0 2 321.381 0.598 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[C@H]1CNc1[nH+]cccc1C(=O)[O-] ZINC000647452075 418012499 /nfs/dbraw/zinc/01/24/99/418012499.db2.gz QMTGWJDYIJAASG-ONGXEEELSA-N 0 2 313.379 0.910 20 0 DCADLN O=C(NCCN1CCCC1=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000653039581 418016873 /nfs/dbraw/zinc/01/68/73/418016873.db2.gz IMULAEUIWGYMCJ-UHFFFAOYSA-N 0 2 316.317 0.382 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)C(=O)NCCCCn2cc[nH+]c2)C1 ZINC000647580943 418026493 /nfs/dbraw/zinc/02/64/93/418026493.db2.gz FBUMGQMYAKNGEX-NEPJUHHUSA-N 0 2 322.365 0.149 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000663045809 417982017 /nfs/dbraw/zinc/98/20/17/417982017.db2.gz ZUYJXPWVZKROOQ-OAHLLOKOSA-N 0 2 323.349 0.655 20 0 DCADLN O=C([O-])CC1(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)CCOCC1 ZINC000663124011 417992499 /nfs/dbraw/zinc/99/24/99/417992499.db2.gz VKMFEGSWVQWECB-LLVKDONJSA-N 0 2 307.350 0.586 20 0 DCADLN O=C([O-])C1(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)CC=CC1 ZINC000663135639 417995703 /nfs/dbraw/zinc/99/57/03/417995703.db2.gz AOXSHUAGVBUTBQ-UHFFFAOYSA-N 0 2 322.405 0.978 20 0 DCADLN Cc1cc(C)c(CNC(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c[nH+]1 ZINC000659405658 418092583 /nfs/dbraw/zinc/09/25/83/418092583.db2.gz KEWLGHUVYZUQDK-CZUORRHYSA-N 0 2 319.361 0.941 20 0 DCADLN O=C(NCCCNc1cccc[nH+]1)N1CC[N@H+]2C[C@H](O)C[C@H]2C1 ZINC000654380310 418137049 /nfs/dbraw/zinc/13/70/49/418137049.db2.gz CSYDFWOUUYDRQG-UONOGXRCSA-N 0 2 319.409 0.344 20 0 DCADLN NC(=O)[C@H]1CC[C@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000654698932 418178956 /nfs/dbraw/zinc/17/89/56/418178956.db2.gz OYXOBDVRSMIYEL-VHSXEESVSA-N 0 2 302.290 0.480 20 0 DCADLN CCn1cc[nH+]c1[C@H](C)NC(=O)N1CC[N@@H+]2C[C@H](O)C[C@H]2C1 ZINC000654582999 418164153 /nfs/dbraw/zinc/16/41/53/418164153.db2.gz CSEDVLIRXVEYEP-RWMBFGLXSA-N 0 2 307.398 0.424 20 0 DCADLN CO[C@@H]1C[C@@H](CC(=O)[O-])N(c2cc(NC[C@H](C)O)[nH+]cn2)C1 ZINC000649406819 418251493 /nfs/dbraw/zinc/25/14/93/418251493.db2.gz REPQZKKGSWYGFS-GARJFASQSA-N 0 2 310.354 0.338 20 0 DCADLN CO[C@@H]1C[C@@H](CC(=O)[O-])N(c2cc(NC[C@H](C)O)nc[nH+]2)C1 ZINC000649406819 418251496 /nfs/dbraw/zinc/25/14/96/418251496.db2.gz REPQZKKGSWYGFS-GARJFASQSA-N 0 2 310.354 0.338 20 0 DCADLN O=C([O-])C1(CNC(=O)c2ccc(-n3cc[nH+]c3)nn2)CCC1 ZINC000659785821 418183008 /nfs/dbraw/zinc/18/30/08/418183008.db2.gz VUQFAPMENMQXKI-UHFFFAOYSA-N 0 2 301.306 0.647 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000665305385 418193699 /nfs/dbraw/zinc/19/36/99/418193699.db2.gz VVBMQHMKQAHRAQ-AAEUAGOBSA-N 0 2 313.398 0.507 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000665305385 418193702 /nfs/dbraw/zinc/19/37/02/418193702.db2.gz VVBMQHMKQAHRAQ-AAEUAGOBSA-N 0 2 313.398 0.507 20 0 DCADLN COCC[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000649211622 418208953 /nfs/dbraw/zinc/20/89/53/418208953.db2.gz XUWZFYFBCYXFSM-UONOGXRCSA-N 0 2 306.410 0.673 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NCC3=CCCC3)cc-2c(=O)[nH]1 ZINC000660038549 418221557 /nfs/dbraw/zinc/22/15/57/418221557.db2.gz XJWXJWBVHKNTIU-UHFFFAOYSA-N 0 2 322.346 0.825 20 0 DCADLN CCc1ncnc(CC)c1C(=O)N=c1ccc(C(=O)NC)n[nH]1 ZINC000650819070 418326550 /nfs/dbraw/zinc/32/65/50/418326550.db2.gz HLBAWOOEMDJISC-UHFFFAOYSA-N 0 2 314.349 0.425 20 0 DCADLN CC(C)(C)OCC(=O)NC(C)(C)C(=O)N=c1ccc(=O)[nH][nH]1 ZINC000649934397 418284055 /nfs/dbraw/zinc/28/40/55/418284055.db2.gz KZTXBRBWLJXAOU-UHFFFAOYSA-N 0 2 310.354 0.253 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NCC[C@]2(O)CCOC2)s[nH]1 ZINC000650026373 418288872 /nfs/dbraw/zinc/28/88/72/418288872.db2.gz DIIJFQVRYGCWJF-ZDUSSCGKSA-N 0 2 314.411 0.921 20 0 DCADLN CN(Cc1n[nH]c(=O)o1)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000660847767 418295432 /nfs/dbraw/zinc/29/54/32/418295432.db2.gz TVSDNAAULPOKST-UHFFFAOYSA-N 0 2 323.334 0.229 20 0 DCADLN CCCC[N@H+](C)CCNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000660848787 418295943 /nfs/dbraw/zinc/29/59/43/418295943.db2.gz ARBOHIPIEKJSKP-OAHLLOKOSA-N 0 2 312.458 0.834 20 0 DCADLN Cc1[nH+]c2cc(NC(=O)C(=O)NC[C@H](C)C(=O)[O-])ccc2n1C ZINC000655942169 418305798 /nfs/dbraw/zinc/30/57/98/418305798.db2.gz KDJKBDDCYBYVFO-QMMMGPOBSA-N 0 2 318.333 0.657 20 0 DCADLN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000650515637 418313044 /nfs/dbraw/zinc/31/30/44/418313044.db2.gz CLJOCYVAEGYVRL-NWDGAFQWSA-N 0 2 313.398 0.299 20 0 DCADLN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000650515637 418313047 /nfs/dbraw/zinc/31/30/47/418313047.db2.gz CLJOCYVAEGYVRL-NWDGAFQWSA-N 0 2 313.398 0.299 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)N1CC(=O)Nc2ccccc21)CC1CC1 ZINC000650512746 418313532 /nfs/dbraw/zinc/31/35/32/418313532.db2.gz UBGAJYOBQOWWIZ-UHFFFAOYSA-N 0 2 317.345 0.768 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)N1CC(=O)Nc2ccccc21)CC1CC1 ZINC000650512746 418313535 /nfs/dbraw/zinc/31/35/35/418313535.db2.gz UBGAJYOBQOWWIZ-UHFFFAOYSA-N 0 2 317.345 0.768 20 0 DCADLN CN1C(=O)NC2(CCN(c3cc[nH+]c(C(=O)[O-])c3)CC2)C1=O ZINC000650768455 418323490 /nfs/dbraw/zinc/32/34/90/418323490.db2.gz YQNRHTIRNNKSTK-UHFFFAOYSA-N 0 2 304.306 0.300 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000650777403 418324563 /nfs/dbraw/zinc/32/45/63/418324563.db2.gz DUPHLUBTYIYALL-NWDGAFQWSA-N 0 2 308.382 0.985 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000650777403 418324565 /nfs/dbraw/zinc/32/45/65/418324565.db2.gz DUPHLUBTYIYALL-NWDGAFQWSA-N 0 2 308.382 0.985 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H](C)OCc2ccccc2)[nH]n1 ZINC000650816162 418326248 /nfs/dbraw/zinc/32/62/48/418326248.db2.gz MHAKPTNRFOINJC-NSHDSACASA-N 0 2 314.345 0.802 20 0 DCADLN O=C([O-])CN(C(=O)[C@H]1CCc2[nH+]ccn2C1)C1CCOCC1 ZINC000655541017 418274083 /nfs/dbraw/zinc/27/40/83/418274083.db2.gz SPWYSJLYYXUGFB-NSHDSACASA-N 0 2 307.350 0.538 20 0 DCADLN COCC[N@@H+]1CCC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000651273289 418360029 /nfs/dbraw/zinc/36/00/29/418360029.db2.gz IHERWSFRHRIDRA-AWEZNQCLSA-N 0 2 320.437 0.989 20 0 DCADLN O=C(Nc1cccc(-c2nnc[nH]2)c1)[C@H]1CCS(=O)(=O)N1 ZINC000651339019 418368392 /nfs/dbraw/zinc/36/83/92/418368392.db2.gz YSNKYNRBSSWTAM-SNVBAGLBSA-N 0 2 307.335 0.102 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)ccc1F ZINC000651499650 418384416 /nfs/dbraw/zinc/38/44/16/418384416.db2.gz CPYVIGMCRLPXBP-UHFFFAOYSA-N 0 2 319.340 0.983 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCc2ccccc2F)[nH]1 ZINC000651496132 418384939 /nfs/dbraw/zinc/38/49/39/418384939.db2.gz IWNYGYPUTSFMEF-UHFFFAOYSA-N 0 2 319.340 0.717 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)c3ccncc3)C2)[nH]1 ZINC000656993603 418399338 /nfs/dbraw/zinc/39/93/38/418399338.db2.gz KROCZZOKNSPKBH-SECBINFHSA-N 0 2 309.351 0.474 20 0 DCADLN Cc1ccsc1CCNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651651907 418401075 /nfs/dbraw/zinc/40/10/75/418401075.db2.gz OQLRVWKRWLINID-UHFFFAOYSA-N 0 2 321.406 0.948 20 0 DCADLN CC(C)(C)OC(=O)N(CC(=O)NOCCO)C[C@@H]1CCCO1 ZINC000492513668 287577827 /nfs/dbraw/zinc/57/78/27/287577827.db2.gz UNNFIUQYPVMSHI-NSHDSACASA-N 0 2 318.370 0.443 20 0 DCADLN CCOC(=O)[C@@H](C)N(Cc1cc(=O)n2[nH]ccc2n1)C1CC1 ZINC000190828929 261135421 /nfs/dbraw/zinc/13/54/21/261135421.db2.gz AGONGYWDZKNRPL-SNVBAGLBSA-N 0 2 304.350 0.939 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@H](C)CC(N)=O)=N2)cc1 ZINC000354722675 261263446 /nfs/dbraw/zinc/26/34/46/261263446.db2.gz DXHXARYNNOCLRL-SECBINFHSA-N 0 2 318.333 0.580 20 0 DCADLN O=c1nc2[nH]cc(-c3nc(-c4cnccn4)no3)cc-2c(=O)[nH]1 ZINC000355824539 261369309 /nfs/dbraw/zinc/36/93/09/261369309.db2.gz OVGLLEOAIPKCLC-UHFFFAOYSA-N 0 2 309.245 0.943 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2nsnc2c1 ZINC000355865570 261371448 /nfs/dbraw/zinc/37/14/48/261371448.db2.gz POPWDNBZUYZCSR-UHFFFAOYSA-N 0 2 304.335 0.878 20 0 DCADLN Cc1c(NS(=O)(=O)c2ccc3c(c2)C(=O)NC3=O)cnn1C ZINC000362428578 262034871 /nfs/dbraw/zinc/03/48/71/262034871.db2.gz BCKYGTDIBYIRND-UHFFFAOYSA-N 0 2 320.330 0.413 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NC[C@H]([NH3+])c1ccccc1 ZINC000417534916 262254551 /nfs/dbraw/zinc/25/45/51/262254551.db2.gz ZYRUIMBUJFBSRX-HIFRSBDPSA-N 0 2 306.410 0.706 20 0 DCADLN CCCN1C[C@@H](CNS(=O)(=O)NCC(F)(F)F)CC1=O ZINC000420710858 262381716 /nfs/dbraw/zinc/38/17/16/262381716.db2.gz WRJXYHMGXOAHAS-MRVPVSSYSA-N 0 2 317.333 0.231 20 0 DCADLN CCOCc1nnc(NS(=O)(=O)c2cn(C)nc2C)o1 ZINC001649806642 1173235705 /nfs/dbraw/zinc/23/57/05/1173235705.db2.gz LPJQNCJQZNCTOF-UHFFFAOYSA-N 0 2 301.328 0.449 20 0 DCADLN CN1N=C(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CCC1=O ZINC000363631701 271198488 /nfs/dbraw/zinc/19/84/88/271198488.db2.gz QEQGVPYUYSLSDN-UHFFFAOYSA-N 0 2 315.289 0.577 20 0 DCADLN C[C@@H]1[C@H](NC(=O)NC[C@H]2C[NH+](C3CC3)CCO2)CCC[N@@H+]1C ZINC000489979446 272068108 /nfs/dbraw/zinc/06/81/08/272068108.db2.gz HMBPJDBUTUJLOM-VHDGCEQUSA-N 0 2 310.442 0.632 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C\c2cccnc2)cn1 ZINC000492247205 272118517 /nfs/dbraw/zinc/11/85/17/272118517.db2.gz IFYDFEBCVXPFPP-WAYWQWQTSA-N 0 2 306.347 0.816 20 0 DCADLN COc1cncc(/C=C\C(=O)NS(=O)(=O)c2ccnn2C)c1 ZINC000492594087 272136884 /nfs/dbraw/zinc/13/68/84/272136884.db2.gz RLOXGOKFONJRLS-ARJAWSKDSA-N 0 2 322.346 0.342 20 0 DCADLN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000492819083 272151770 /nfs/dbraw/zinc/15/17/70/272151770.db2.gz NDXYTVMLLOPXLZ-MRVPVSSYSA-N 0 2 324.337 0.811 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)/C=C\c2ccc[nH]2)cn1 ZINC000492862949 272155081 /nfs/dbraw/zinc/15/50/81/272155081.db2.gz LSAITJQKWDTYCR-XQRVVYSFSA-N 0 2 307.331 0.937 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)c1c(C)cnn1C ZINC000493317516 272180578 /nfs/dbraw/zinc/18/05/78/272180578.db2.gz GOWAVBGSFUDISJ-WAYWQWQTSA-N 0 2 323.378 0.463 20 0 DCADLN CC(C)(C)OC(=O)N1C[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC000495922400 272282653 /nfs/dbraw/zinc/28/26/53/272282653.db2.gz UTCZBOCPBOYHKC-VHSXEESVSA-N 0 2 309.370 0.952 20 0 DCADLN CC(C)(C)OC(=O)N1C[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC000495922400 272282655 /nfs/dbraw/zinc/28/26/55/272282655.db2.gz UTCZBOCPBOYHKC-VHSXEESVSA-N 0 2 309.370 0.952 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)C[C@@H]1[NH+]1CCOCC1 ZINC000519717525 272509290 /nfs/dbraw/zinc/50/92/90/272509290.db2.gz UQZBGPUMDXKXAE-MROQNXINSA-N 0 2 310.394 0.522 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1CCC(=O)NC1 ZINC000516193011 287662904 /nfs/dbraw/zinc/66/29/04/287662904.db2.gz NHZBQXHQQIYCDS-JTQLQIEISA-N 0 2 315.333 0.950 20 0 DCADLN CC(C)CS(=O)(=O)N[C@@H](CCC(=O)[O-])C[NH+]1CCOCC1 ZINC000547575911 288059761 /nfs/dbraw/zinc/05/97/61/288059761.db2.gz PFQXZJXFLJTSJV-LBPRGKRZSA-N 0 2 322.427 0.127 20 0 DCADLN CC1(C)[C@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@@H]1O ZINC000547996208 288100003 /nfs/dbraw/zinc/10/00/03/288100003.db2.gz WXJNJCQHXHRMHA-MNOVXSKESA-N 0 2 303.318 0.919 20 0 DCADLN CC1(C)CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@H]1O ZINC000333232811 281220989 /nfs/dbraw/zinc/22/09/89/281220989.db2.gz UYTVBFOSOVKKGH-LLVKDONJSA-N 0 2 303.318 0.873 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)NCc1cn[nH]c1C ZINC000553799950 288307940 /nfs/dbraw/zinc/30/79/40/288307940.db2.gz UHHRCQMTNVPAAA-VXGBXAGGSA-N 0 2 315.395 0.869 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(C3CC3)nn2)cc1C(N)=O ZINC000562488897 288579759 /nfs/dbraw/zinc/57/97/59/288579759.db2.gz CBHHKOAENOTSCR-UHFFFAOYSA-N 0 2 321.362 0.592 20 0 DCADLN O=C(Nc1ccc2c(c1)OCCO2)c1c(=O)[nH][nH]c1C1CC1 ZINC000563528455 288682894 /nfs/dbraw/zinc/68/28/94/288682894.db2.gz UMTLXVGLDLKWBW-GFCCVEGCSA-N 0 2 301.302 0.908 20 0 DCADLN CC(C)C[C@H](C(N)=O)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000564658781 288757307 /nfs/dbraw/zinc/75/73/07/288757307.db2.gz LYMPPFAVQWJKTC-VHSXEESVSA-N 0 2 309.370 0.364 20 0 DCADLN C[C@@H]1COCC[C@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000278661614 297145112 /nfs/dbraw/zinc/14/51/12/297145112.db2.gz SZMJMYWKITVVRT-GMSGAONNSA-N 0 2 304.306 0.591 20 0 DCADLN Cc1[nH]c(=O)ccc1C(=O)N1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000345648844 302613335 /nfs/dbraw/zinc/61/33/35/302613335.db2.gz HLERBWJJYQURBG-SECBINFHSA-N 0 2 313.379 0.202 20 0 DCADLN NC(=O)CCOc1ccccc1NS(=O)(=O)c1cn[nH]c1 ZINC000040828572 303247349 /nfs/dbraw/zinc/24/73/49/303247349.db2.gz SXLOPTVFNBHZJQ-UHFFFAOYSA-N 0 2 310.335 0.465 20 0 DCADLN CCS(=O)(=O)CC(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000170747328 332875151 /nfs/dbraw/zinc/87/51/51/332875151.db2.gz HTOSGGSQNYBCPA-UHFFFAOYSA-N 0 2 311.319 0.403 20 0 DCADLN Cn1cc(C[NH+]2CCN(C(=O)[C@@H]3[C@H](C(=O)[O-])C3(C)C)CC2)cn1 ZINC000583812240 337336492 /nfs/dbraw/zinc/33/64/92/337336492.db2.gz YXHGZQSVFOUYCN-QWHCGFSZSA-N 0 2 320.393 0.421 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1CC[C@@H]1C(N)=O ZINC000584479109 337348249 /nfs/dbraw/zinc/34/82/49/337348249.db2.gz LZAUKIHPQYOFMV-VHSXEESVSA-N 0 2 315.333 0.936 20 0 DCADLN CCOC(=O)[C@H](C)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267836160 521189485 /nfs/dbraw/zinc/18/94/85/521189485.db2.gz LYMZFDYBWQLVAO-SSDOTTSWSA-N 0 2 320.305 0.365 20 0 DCADLN CN(CC(=O)NCC1CC1)Cc1nc(=O)c2sccc2[nH]1 ZINC000131615694 522473779 /nfs/dbraw/zinc/47/37/79/522473779.db2.gz NKCBUGGBWNTZME-UHFFFAOYSA-N 0 2 306.391 0.943 20 0 DCADLN CC[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(=O)OC ZINC000267836106 522549506 /nfs/dbraw/zinc/54/95/06/522549506.db2.gz LWXKTELAXHVSQG-QMMMGPOBSA-N 0 2 306.278 0.117 20 0 DCADLN C[C@@H]1C[C@@H]1CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267659161 525314234 /nfs/dbraw/zinc/31/42/34/525314234.db2.gz MHTLWRIUEQJADW-RNFRBKRXSA-N 0 2 310.335 0.370 20 0 DCADLN CC(=O)N(CCc1ccccc1)CC(=O)NOC(C)(C)CO ZINC000297372348 526491102 /nfs/dbraw/zinc/49/11/02/526491102.db2.gz ZUFJMJHPWCYOGI-UHFFFAOYSA-N 0 2 308.378 0.896 20 0 DCADLN C[C@@H]1CN(C(=O)Cc2cn3ccccc3[nH+]2)C[C@H](C(=O)[O-])O1 ZINC000237594694 545956514 /nfs/dbraw/zinc/95/65/14/545956514.db2.gz MQMLMGMGUISQME-ZYHUDNBSSA-N 0 2 303.318 0.577 20 0 DCADLN C[C@@H]1CN(c2cccc(C(=O)[O-])[nH+]2)C[C@H]1C(=O)N1CCOCC1 ZINC000263437698 545986530 /nfs/dbraw/zinc/98/65/30/545986530.db2.gz VWVWTCXYLDHAQS-VXGBXAGGSA-N 0 2 319.361 0.711 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2ncn(C)n2)cc1C ZINC000451026498 546259725 /nfs/dbraw/zinc/25/97/25/546259725.db2.gz FQDLDKGDGAMUGM-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN CCN1CC[C@@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1=O ZINC000670289421 547069483 /nfs/dbraw/zinc/06/94/83/547069483.db2.gz ZHDUDDKQQIWAPQ-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN CC(C)(C)NS(=O)(=O)CC(=O)Nc1ccc2nn[nH]c2c1 ZINC000675478479 547684350 /nfs/dbraw/zinc/68/43/50/547684350.db2.gz YMTHKAXRBZDYLR-UHFFFAOYSA-N 0 2 311.367 0.614 20 0 DCADLN CNC(=O)Cc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000675566559 547693454 /nfs/dbraw/zinc/69/34/54/547693454.db2.gz JHOISMOXIVNQHZ-UHFFFAOYSA-N 0 2 304.310 0.121 20 0 DCADLN Cc1c(NC(=O)NCc2n[nH]c(=O)[nH]2)cccc1-n1cnnn1 ZINC000675567166 547693575 /nfs/dbraw/zinc/69/35/75/547693575.db2.gz XVTFSNTVKQFNHZ-UHFFFAOYSA-N 0 2 315.297 0.116 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCNC(=O)[C@H]2c2ccccc2)c1O ZINC000676697320 547805055 /nfs/dbraw/zinc/80/50/55/547805055.db2.gz UVUJWASNVTZQIP-GFCCVEGCSA-N 0 2 300.318 0.737 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc(F)c(F)c2)[nH]n1 ZINC000677645091 547894093 /nfs/dbraw/zinc/89/40/93/547894093.db2.gz XWXKYOBINYLBHG-UHFFFAOYSA-N 0 2 302.262 0.588 20 0 DCADLN Cc1[nH]ncc1CCCNC(=O)c1ccc(S(N)(=O)=O)nc1 ZINC000677876863 547912549 /nfs/dbraw/zinc/91/25/49/547912549.db2.gz OCBQDQJTNGUJSE-UHFFFAOYSA-N 0 2 323.378 0.123 20 0 DCADLN Cc1[nH+]c2ccccc2n1CCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000677948250 547926451 /nfs/dbraw/zinc/92/64/51/547926451.db2.gz PJNDZGKQFQIJTP-UHFFFAOYSA-N 0 2 314.305 0.371 20 0 DCADLN CN1CC[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1=O ZINC000681255091 548225112 /nfs/dbraw/zinc/22/51/12/548225112.db2.gz VYSXRMDFGCOCJB-SNVBAGLBSA-N 0 2 302.290 0.447 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000681551367 548261555 /nfs/dbraw/zinc/26/15/55/548261555.db2.gz JLIWYCVVKPHHTL-MRVPVSSYSA-N 0 2 308.367 0.732 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(-c2nc[nH]n2)cc1 ZINC000682832682 548430120 /nfs/dbraw/zinc/43/01/20/548430120.db2.gz DMLFJYZSIHBRJM-UHFFFAOYSA-N 0 2 305.323 0.401 20 0 DCADLN C[C@H](Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C1CCCC1 ZINC000736136157 598870223 /nfs/dbraw/zinc/87/02/23/598870223.db2.gz DIUQVHZLXSOCQS-QMMMGPOBSA-N 0 2 319.369 0.255 20 0 DCADLN C[C@@H]1CCCCCN1c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000736266090 598915323 /nfs/dbraw/zinc/91/53/23/598915323.db2.gz FHTMIDPOCNWHCI-SECBINFHSA-N 0 2 319.369 0.033 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)cc1S(=O)(=O)Nc1ccnn1C ZINC000737301430 598968994 /nfs/dbraw/zinc/96/89/94/598968994.db2.gz MVVDNRGFXIBITD-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN O=C([O-])[C@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000262082883 596900706 /nfs/dbraw/zinc/90/07/06/596900706.db2.gz FOOSJVVDIFYTMI-NEPJUHHUSA-N 0 2 306.366 0.596 20 0 DCADLN O=C([O-])[C@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000262082883 596900708 /nfs/dbraw/zinc/90/07/08/596900708.db2.gz FOOSJVVDIFYTMI-NEPJUHHUSA-N 0 2 306.366 0.596 20 0 DCADLN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000262622084 597129988 /nfs/dbraw/zinc/12/99/88/597129988.db2.gz FHHQVUVTIYBFPH-SDDRHHMPSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000262622084 597129990 /nfs/dbraw/zinc/12/99/90/597129990.db2.gz FHHQVUVTIYBFPH-SDDRHHMPSA-N 0 2 311.382 0.940 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2ccccc2CC(=O)[O-])C1 ZINC000317765245 597322395 /nfs/dbraw/zinc/32/23/95/597322395.db2.gz UYVCPGYHYQYIGJ-GFCCVEGCSA-N 0 2 307.350 0.766 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2ccccc2CC(=O)[O-])C1 ZINC000317765245 597322398 /nfs/dbraw/zinc/32/23/98/597322398.db2.gz UYVCPGYHYQYIGJ-GFCCVEGCSA-N 0 2 307.350 0.766 20 0 DCADLN NC(=O)C[N@@H+]1CCCN(C(=O)c2cccc(C(=O)[O-])c2)CC1 ZINC000821349769 598143290 /nfs/dbraw/zinc/14/32/90/598143290.db2.gz CWGRHVAXHYWCGU-UHFFFAOYSA-N 0 2 305.334 0.018 20 0 DCADLN NC(=O)C[N@H+]1CCCN(C(=O)c2cccc(C(=O)[O-])c2)CC1 ZINC000821349769 598143291 /nfs/dbraw/zinc/14/32/91/598143291.db2.gz CWGRHVAXHYWCGU-UHFFFAOYSA-N 0 2 305.334 0.018 20 0 DCADLN Cc1ccn(Cc2ccc(C(N)=O)cc2)c(=O)c1-c1nn[nH]n1 ZINC000822373915 607349897 /nfs/dbraw/zinc/34/98/97/607349897.db2.gz FZKIXKWIIYMORV-UHFFFAOYSA-N 0 2 310.317 0.484 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000378692824 599775759 /nfs/dbraw/zinc/77/57/59/599775759.db2.gz CIORZEYRYRCCTO-NSHDSACASA-N 0 2 304.262 0.744 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000378692824 599775761 /nfs/dbraw/zinc/77/57/61/599775761.db2.gz CIORZEYRYRCCTO-NSHDSACASA-N 0 2 304.262 0.744 20 0 DCADLN CN(Cc1cnn(C)c1)C(=O)C[NH2+][C@@H](C(=O)[O-])c1ccccc1 ZINC000737496777 599781503 /nfs/dbraw/zinc/78/15/03/599781503.db2.gz IXGPNUWUJULQSE-OAHLLOKOSA-N 0 2 316.361 0.794 20 0 DCADLN COCC[N@H+](CCO)CC(=O)N(C)c1ccccc1C(=O)[O-] ZINC000737799037 599813662 /nfs/dbraw/zinc/81/36/62/599813662.db2.gz IBXPFTSELMEJDB-UHFFFAOYSA-N 0 2 310.350 0.288 20 0 DCADLN COCC[N@@H+](CCO)CC(=O)N(C)c1ccccc1C(=O)[O-] ZINC000737799037 599813664 /nfs/dbraw/zinc/81/36/64/599813664.db2.gz IBXPFTSELMEJDB-UHFFFAOYSA-N 0 2 310.350 0.288 20 0 DCADLN COc1ccc(OC)c([C@@H](O)C[N@@H+]2CCO[C@H](C(=O)[O-])C2)c1 ZINC000738047336 599967970 /nfs/dbraw/zinc/96/79/70/599967970.db2.gz DFQZKFCOGLJKHR-JSGCOSHPSA-N 0 2 311.334 0.523 20 0 DCADLN COc1ccc(OC)c([C@@H](O)C[N@H+]2CCO[C@H](C(=O)[O-])C2)c1 ZINC000738047336 599967972 /nfs/dbraw/zinc/96/79/72/599967972.db2.gz DFQZKFCOGLJKHR-JSGCOSHPSA-N 0 2 311.334 0.523 20 0 DCADLN O=C([O-])[C@H]1CC12CC[NH+](Cc1cc(=O)n3[nH]ccc3n1)CC2 ZINC000739532764 600299712 /nfs/dbraw/zinc/29/97/12/600299712.db2.gz PZEPQOGLVWEHHK-LLVKDONJSA-N 0 2 302.334 0.709 20 0 DCADLN Cc1ccccc1C[C@@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000738766508 600311960 /nfs/dbraw/zinc/31/19/60/600311960.db2.gz DUFCSRLAXUTYGC-YUELXQCFSA-N 0 2 320.389 0.562 20 0 DCADLN Cc1ccccc1C[C@@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000738766508 600311963 /nfs/dbraw/zinc/31/19/63/600311963.db2.gz DUFCSRLAXUTYGC-YUELXQCFSA-N 0 2 320.389 0.562 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000736207852 600435049 /nfs/dbraw/zinc/43/50/49/600435049.db2.gz KAVDZBXXIGCIOF-RKDXNWHRSA-N 0 2 312.288 0.619 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000736207852 600435050 /nfs/dbraw/zinc/43/50/50/600435050.db2.gz KAVDZBXXIGCIOF-RKDXNWHRSA-N 0 2 312.288 0.619 20 0 DCADLN C[C@@H](CNC(=O)NCc1ccc(C(=O)[O-])o1)[NH+]1CCOCC1 ZINC000736597868 600527885 /nfs/dbraw/zinc/52/78/85/600527885.db2.gz BXWQAUNQKGBVSL-JTQLQIEISA-N 0 2 311.338 0.498 20 0 DCADLN CC(C)N1C(=O)CN(C[N@@H+]2CCSC[C@H]2CC(=O)[O-])C1=O ZINC000736474903 600703591 /nfs/dbraw/zinc/70/35/91/600703591.db2.gz QKCKOEFVKTXUCV-SNVBAGLBSA-N 0 2 315.395 0.509 20 0 DCADLN CC(C)N1C(=O)CN(C[N@H+]2CCSC[C@H]2CC(=O)[O-])C1=O ZINC000736474903 600703592 /nfs/dbraw/zinc/70/35/92/600703592.db2.gz QKCKOEFVKTXUCV-SNVBAGLBSA-N 0 2 315.395 0.509 20 0 DCADLN Cn1cc(C[NH+]2CCN(c3ccc(C(=O)[O-])nn3)CC2)cn1 ZINC000833046024 600814374 /nfs/dbraw/zinc/81/43/74/600814374.db2.gz JOWBDQISEHTLDN-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN O=C([O-])c1ccsc1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC000833143839 600866268 /nfs/dbraw/zinc/86/62/68/600866268.db2.gz BFTPKXZZHPXYMP-UHFFFAOYSA-N 0 2 308.319 0.398 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])nc[nH+]1 ZINC000831042516 600931893 /nfs/dbraw/zinc/93/18/93/600931893.db2.gz WBDGSNBRFWVOAL-GMTAPVOTSA-N 0 2 319.365 0.324 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])[nH+]cn1 ZINC000831042516 600931895 /nfs/dbraw/zinc/93/18/95/600931895.db2.gz WBDGSNBRFWVOAL-GMTAPVOTSA-N 0 2 319.365 0.324 20 0 DCADLN CCOC(=O)C1CCN(C(=O)C[N@H+](C)[C@H](C)C(=O)[O-])CC1 ZINC000737134830 600977284 /nfs/dbraw/zinc/97/72/84/600977284.db2.gz DXQLZSKCWKRDAG-SNVBAGLBSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)C1CCN(C(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])CC1 ZINC000737134830 600977287 /nfs/dbraw/zinc/97/72/87/600977287.db2.gz DXQLZSKCWKRDAG-SNVBAGLBSA-N 0 2 300.355 0.193 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@@H+]3CC[C@@H](CO)C3)nc(=O)c12 ZINC000832853311 601013928 /nfs/dbraw/zinc/01/39/28/601013928.db2.gz VEMLGQNZTNWMJV-MRVPVSSYSA-N 0 2 323.374 0.805 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@H+]3CC[C@@H](CO)C3)nc(=O)c12 ZINC000832853311 601013930 /nfs/dbraw/zinc/01/39/30/601013930.db2.gz VEMLGQNZTNWMJV-MRVPVSSYSA-N 0 2 323.374 0.805 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000315828859 601084633 /nfs/dbraw/zinc/08/46/33/601084633.db2.gz DDIJOFOLQBSEIZ-AWEZNQCLSA-N 0 2 304.346 0.932 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(C)c(C(=O)[O-])c2)CC1 ZINC000829770424 601159138 /nfs/dbraw/zinc/15/91/38/601159138.db2.gz JJGWMCUPPBTWMB-UHFFFAOYSA-N 0 2 319.361 0.796 20 0 DCADLN CN(CCC(=O)[O-])S(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000830735652 601259472 /nfs/dbraw/zinc/25/94/72/601259472.db2.gz VSOXVICABFVSGF-LLVKDONJSA-N 0 2 316.383 0.171 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)ccn1 ZINC000317060447 601290969 /nfs/dbraw/zinc/29/09/69/601290969.db2.gz REBDVVOHJURKSU-GFCCVEGCSA-N 0 2 305.334 0.327 20 0 DCADLN COc1ccc(NC(=O)NC(=O)C[N@H+](CC(=O)[O-])C2CC2)cc1 ZINC000832398462 601580241 /nfs/dbraw/zinc/58/02/41/601580241.db2.gz MBWZYJNRQGQOFX-UHFFFAOYSA-N 0 2 321.333 0.892 20 0 DCADLN COc1ccc(NC(=O)NC(=O)C[N@@H+](CC(=O)[O-])C2CC2)cc1 ZINC000832398462 601580243 /nfs/dbraw/zinc/58/02/43/601580243.db2.gz MBWZYJNRQGQOFX-UHFFFAOYSA-N 0 2 321.333 0.892 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCOCC2(C)C)C[C@@H]1C(=O)[O-] ZINC000828362707 601663598 /nfs/dbraw/zinc/66/35/98/601663598.db2.gz RCMPLZLXHAUHDZ-NEPJUHHUSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCOCC2(C)C)C[C@@H]1C(=O)[O-] ZINC000828362707 601663599 /nfs/dbraw/zinc/66/35/99/601663599.db2.gz RCMPLZLXHAUHDZ-NEPJUHHUSA-N 0 2 313.398 0.459 20 0 DCADLN O=C([O-])c1sccc1C[NH+]1CCN(CC(=O)NC2CC2)CC1 ZINC000833108150 601806308 /nfs/dbraw/zinc/80/63/08/601806308.db2.gz LREFSSOBZSYMCJ-UHFFFAOYSA-N 0 2 323.418 0.843 20 0 DCADLN COCC[N@H+](CC(=O)N(C)C)Cc1ccc(C(=O)[O-])s1 ZINC000831715867 601956330 /nfs/dbraw/zinc/95/63/30/601956330.db2.gz XBLQJSQAXCBBON-UHFFFAOYSA-N 0 2 300.380 0.983 20 0 DCADLN COCC[N@@H+](CC(=O)N(C)C)Cc1ccc(C(=O)[O-])s1 ZINC000831715867 601956334 /nfs/dbraw/zinc/95/63/34/601956334.db2.gz XBLQJSQAXCBBON-UHFFFAOYSA-N 0 2 300.380 0.983 20 0 DCADLN CCN(c1cc[nH+]cc1)S(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC000233484996 602325742 /nfs/dbraw/zinc/32/57/42/602325742.db2.gz QIMPNLJKLKZFLS-UHFFFAOYSA-N 0 2 310.335 0.578 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2ccc(C(=O)[O-])c(F)c2)C1 ZINC000318850781 602379716 /nfs/dbraw/zinc/37/97/16/602379716.db2.gz CQQYFRRZNWQJDP-SNVBAGLBSA-N 0 2 311.313 0.976 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2ccc(C(=O)[O-])c(F)c2)C1 ZINC000318850781 602379718 /nfs/dbraw/zinc/37/97/18/602379718.db2.gz CQQYFRRZNWQJDP-SNVBAGLBSA-N 0 2 311.313 0.976 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)N1C[C@@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000736359958 603074880 /nfs/dbraw/zinc/07/48/80/603074880.db2.gz DCGQCGJLSPKNRJ-UPJWGTAASA-N 0 2 313.398 0.458 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2n[nH]c3c2CCCC3)[C@@H](CNC(=O)[O-])C1 ZINC000828506111 603502816 /nfs/dbraw/zinc/50/28/16/603502816.db2.gz DMKVWNHSVBOMDD-JTQLQIEISA-N 0 2 321.381 0.312 20 0 DCADLN C[N@H+]1CCN(C(=O)c2n[nH]c3c2CCCC3)[C@@H](CNC(=O)[O-])C1 ZINC000828506111 603502820 /nfs/dbraw/zinc/50/28/20/603502820.db2.gz DMKVWNHSVBOMDD-JTQLQIEISA-N 0 2 321.381 0.312 20 0 DCADLN CN(C)c1cc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])ccn1 ZINC000828513729 603517410 /nfs/dbraw/zinc/51/74/10/603517410.db2.gz PBICETGKVRDSPN-LBPRGKRZSA-N 0 2 321.381 0.171 20 0 DCADLN CN(C)c1cc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])ccn1 ZINC000828513729 603517413 /nfs/dbraw/zinc/51/74/13/603517413.db2.gz PBICETGKVRDSPN-LBPRGKRZSA-N 0 2 321.381 0.171 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)[C@@H]1CC[C@H](NC(=O)[O-])C1 ZINC000825734505 603564124 /nfs/dbraw/zinc/56/41/24/603564124.db2.gz NXOABDXSWBINRE-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)[C@@H]1CC[C@H](NC(=O)[O-])C1 ZINC000825734505 603564127 /nfs/dbraw/zinc/56/41/27/603564127.db2.gz NXOABDXSWBINRE-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])NC[C@H]1CC[N@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC000832590453 603570869 /nfs/dbraw/zinc/57/08/69/603570869.db2.gz UEXLRUFURIGCLY-GFCCVEGCSA-N 0 2 319.361 0.575 20 0 DCADLN O=C([O-])NC[C@H]1CC[N@@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC000832590453 603570873 /nfs/dbraw/zinc/57/08/73/603570873.db2.gz UEXLRUFURIGCLY-GFCCVEGCSA-N 0 2 319.361 0.575 20 0 DCADLN CC(C)C[C@@H](CNC(=O)[O-])NC(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000824197305 603632796 /nfs/dbraw/zinc/63/27/96/603632796.db2.gz VKBDGJXPWBUCGR-RYUDHWBXSA-N 0 2 316.402 0.299 20 0 DCADLN CC(C)C[C@@H](CNC(=O)[O-])NC(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000824197305 603632801 /nfs/dbraw/zinc/63/28/01/603632801.db2.gz VKBDGJXPWBUCGR-RYUDHWBXSA-N 0 2 316.402 0.299 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CC[C@H](N(C)C(=O)[O-])C2)CCO1 ZINC000826058416 603641154 /nfs/dbraw/zinc/64/11/54/603641154.db2.gz NVKCVIOHVVJDIF-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CC[C@H](N(C)C(=O)[O-])C2)CCO1 ZINC000826058416 603641156 /nfs/dbraw/zinc/64/11/56/603641156.db2.gz NVKCVIOHVVJDIF-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN CC(C)(C(=O)N1CCc2n[nH]cc2C1)[NH+]1CCN(C(=O)[O-])CC1 ZINC000823736853 603646880 /nfs/dbraw/zinc/64/68/80/603646880.db2.gz BKIZYPWJRRNEMU-UHFFFAOYSA-N 0 2 321.381 0.369 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000831689413 603694348 /nfs/dbraw/zinc/69/43/48/603694348.db2.gz QRBBYLXEEYIHFM-UONOGXRCSA-N 0 2 319.361 0.232 20 0 DCADLN O=C([O-])NCCCNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000832613861 604109695 /nfs/dbraw/zinc/10/96/95/604109695.db2.gz RITPPZZEVMNLMT-LBPRGKRZSA-N 0 2 314.386 0.150 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@H]1CNC(=O)[O-] ZINC000826093828 604112514 /nfs/dbraw/zinc/11/25/14/604112514.db2.gz MOPXWCGHHNGLMQ-RYUDHWBXSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@H]1CNC(=O)[O-] ZINC000826093828 604112518 /nfs/dbraw/zinc/11/25/18/604112518.db2.gz MOPXWCGHHNGLMQ-RYUDHWBXSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H]1CCN(C(=O)COC2CN(C(=O)[O-])C2)C[C@@H]1n1cc[nH+]c1 ZINC000825939477 604325140 /nfs/dbraw/zinc/32/51/40/604325140.db2.gz UUGXMZJLUYWHOX-AAEUAGOBSA-N 0 2 322.365 0.671 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000825939794 604326515 /nfs/dbraw/zinc/32/65/15/604326515.db2.gz XQCZUTIIDRKSPK-VOAKCMCISA-N 0 2 322.365 0.406 20 0 DCADLN CCOc1cc(C)nc(N2CC[NH+](CCNC(=O)[O-])CC2)n1 ZINC000827414066 604365342 /nfs/dbraw/zinc/36/53/42/604365342.db2.gz UQBVSNUGEORFKD-UHFFFAOYSA-N 0 2 309.370 0.573 20 0 DCADLN CCCCSCC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826848183 604398796 /nfs/dbraw/zinc/39/87/96/604398796.db2.gz UIVGQCNKBRBMSY-LLVKDONJSA-N 0 2 303.428 0.930 20 0 DCADLN CCCCSCC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826848183 604398800 /nfs/dbraw/zinc/39/88/00/604398800.db2.gz UIVGQCNKBRBMSY-LLVKDONJSA-N 0 2 303.428 0.930 20 0 DCADLN CCO[C@@H](CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])C(C)C ZINC000827372755 604400780 /nfs/dbraw/zinc/40/07/80/604400780.db2.gz SQEHASSYOUVVMO-OLZOCXBDSA-N 0 2 315.414 0.848 20 0 DCADLN CCO[C@@H](CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])C(C)C ZINC000827372755 604400782 /nfs/dbraw/zinc/40/07/82/604400782.db2.gz SQEHASSYOUVVMO-OLZOCXBDSA-N 0 2 315.414 0.848 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccncc2Cl)[C@@H](CNC(=O)[O-])C1 ZINC000828513759 604405066 /nfs/dbraw/zinc/40/50/66/604405066.db2.gz POQFDIXNWLWDNC-VIFPVBQESA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccncc2Cl)[C@@H](CNC(=O)[O-])C1 ZINC000828513759 604405068 /nfs/dbraw/zinc/40/50/68/604405068.db2.gz POQFDIXNWLWDNC-VIFPVBQESA-N 0 2 312.757 0.759 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2CC2(Cl)Cl)[C@H](CNC(=O)[O-])C1 ZINC000828494559 604406382 /nfs/dbraw/zinc/40/63/82/604406382.db2.gz KWITVYFWKWAJRF-SFYZADRCSA-N 0 2 310.181 0.590 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2CC2(Cl)Cl)[C@H](CNC(=O)[O-])C1 ZINC000828494559 604406383 /nfs/dbraw/zinc/40/63/83/604406383.db2.gz KWITVYFWKWAJRF-SFYZADRCSA-N 0 2 310.181 0.590 20 0 DCADLN Cc1ccccc1OCC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830585892 604407142 /nfs/dbraw/zinc/40/71/42/604407142.db2.gz HAYIHMYSHVBJQD-CYBMUJFWSA-N 0 2 321.377 0.784 20 0 DCADLN Cc1ccccc1OCC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830585892 604407143 /nfs/dbraw/zinc/40/71/43/604407143.db2.gz HAYIHMYSHVBJQD-CYBMUJFWSA-N 0 2 321.377 0.784 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3c(c2)CCO3)[C@@H](CNC(=O)[O-])C1 ZINC000828513191 604409012 /nfs/dbraw/zinc/40/90/12/604409012.db2.gz GTSWGLUXWWBTLP-ZDUSSCGKSA-N 0 2 319.361 0.645 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3c(c2)CCO3)[C@@H](CNC(=O)[O-])C1 ZINC000828513191 604409013 /nfs/dbraw/zinc/40/90/13/604409013.db2.gz GTSWGLUXWWBTLP-ZDUSSCGKSA-N 0 2 319.361 0.645 20 0 DCADLN COC(=O)[C@H](c1cccc(C(=O)[O-])c1)[NH+]1CCC(C(N)=O)CC1 ZINC000833700435 604471565 /nfs/dbraw/zinc/47/15/65/604471565.db2.gz DKMPLLZCRQESBS-ZDUSSCGKSA-N 0 2 320.345 0.796 20 0 DCADLN C[N@H+](CC(F)F)C1CCN(C(=O)C2(NC(=O)[O-])CC2)CC1 ZINC000828161402 604508521 /nfs/dbraw/zinc/50/85/21/604508521.db2.gz FWISOISMVJGRPQ-UHFFFAOYSA-N 0 2 305.325 0.975 20 0 DCADLN C[N@@H+](CC(F)F)C1CCN(C(=O)C2(NC(=O)[O-])CC2)CC1 ZINC000828161402 604508524 /nfs/dbraw/zinc/50/85/24/604508524.db2.gz FWISOISMVJGRPQ-UHFFFAOYSA-N 0 2 305.325 0.975 20 0 DCADLN CN(C)c1cccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000828513012 604632662 /nfs/dbraw/zinc/63/26/62/604632662.db2.gz FBRHNTJATQTJGN-AWEZNQCLSA-N 0 2 320.393 0.776 20 0 DCADLN CN(C)c1cccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000828513012 604632664 /nfs/dbraw/zinc/63/26/64/604632664.db2.gz FBRHNTJATQTJGN-AWEZNQCLSA-N 0 2 320.393 0.776 20 0 DCADLN C[C@H](C(N)=O)[N@H+](C)[C@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000833408556 604972333 /nfs/dbraw/zinc/97/23/33/604972333.db2.gz UKIIEGMBNSYIFO-NXEZZACHSA-N 0 2 307.350 0.195 20 0 DCADLN C[C@H](C(N)=O)[N@@H+](C)[C@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000833408556 604972335 /nfs/dbraw/zinc/97/23/35/604972335.db2.gz UKIIEGMBNSYIFO-NXEZZACHSA-N 0 2 307.350 0.195 20 0 DCADLN COc1ccccc1NC(=O)C[NH+]1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833736741 604976868 /nfs/dbraw/zinc/97/68/68/604976868.db2.gz WOLCSAKJIOGARI-OAHLLOKOSA-N 0 2 322.361 0.791 20 0 DCADLN C[C@@H](NC(=O)N1CC[C@H](NC(=O)[O-])C1)[C@@H](C)[NH+]1CCOCC1 ZINC000825149051 605102208 /nfs/dbraw/zinc/10/22/08/605102208.db2.gz CULYEBURTIYKHH-UTUOFQBUSA-N 0 2 314.386 0.147 20 0 DCADLN Cc1nc(C)n([C@H]2CCC[N@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC000833755176 605177089 /nfs/dbraw/zinc/17/70/89/605177089.db2.gz COWBVHDATXZBQR-OLZOCXBDSA-N 0 2 321.381 0.422 20 0 DCADLN Cc1nc(C)n([C@H]2CCC[N@@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC000833755176 605177092 /nfs/dbraw/zinc/17/70/92/605177092.db2.gz COWBVHDATXZBQR-OLZOCXBDSA-N 0 2 321.381 0.422 20 0 DCADLN C[C@H](NC(=O)c1ccc(CNC(=O)[O-])o1)[C@@H]1C[N@H+](C)CCO1 ZINC000825457745 605201115 /nfs/dbraw/zinc/20/11/15/605201115.db2.gz AUJDXLKVATZCOU-CABZTGNLSA-N 0 2 311.338 0.496 20 0 DCADLN C[C@H](NC(=O)c1ccc(CNC(=O)[O-])o1)[C@@H]1C[N@@H+](C)CCO1 ZINC000825457745 605201116 /nfs/dbraw/zinc/20/11/16/605201116.db2.gz AUJDXLKVATZCOU-CABZTGNLSA-N 0 2 311.338 0.496 20 0 DCADLN CCc1nn(C)cc1CN(CC[NH+]1CCOCC1)CC(=O)[O-] ZINC000833677756 605242975 /nfs/dbraw/zinc/24/29/75/605242975.db2.gz BPOAZPPFSQTFGK-UHFFFAOYSA-N 0 2 310.398 0.201 20 0 DCADLN Cc1c[nH]cc(C(=O)NCCC[NH+]2CCN(C(=O)[O-])CC2)c1=O ZINC000833912171 605370910 /nfs/dbraw/zinc/37/09/10/605370910.db2.gz KSMBEPQIJYUOCX-UHFFFAOYSA-N 0 2 322.365 0.099 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)CN(C[C@@H]1CCCO1)C(=O)[O-] ZINC000828311814 605420850 /nfs/dbraw/zinc/42/08/50/605420850.db2.gz AFPSGDWRPHPVMI-ZDUSSCGKSA-N 0 2 324.381 0.891 20 0 DCADLN CC(C)(C)[C@H](NC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000823843744 605578298 /nfs/dbraw/zinc/57/82/98/605578298.db2.gz PDEWIMIUJPNCNU-LLVKDONJSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)(CNC(=O)[O-])NC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000823986584 605607471 /nfs/dbraw/zinc/60/74/71/605607471.db2.gz SYIXRUXDPXLRSN-UHFFFAOYSA-N 0 2 316.402 0.443 20 0 DCADLN CC(C)(CNC(=O)[O-])NC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000823986584 605607474 /nfs/dbraw/zinc/60/74/74/605607474.db2.gz SYIXRUXDPXLRSN-UHFFFAOYSA-N 0 2 316.402 0.443 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NC(C)(C)CNC(=O)[O-] ZINC000826093867 605610730 /nfs/dbraw/zinc/61/07/30/605610730.db2.gz NFKNLKGXHAJBAB-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NC(C)(C)CNC(=O)[O-] ZINC000826093867 605610734 /nfs/dbraw/zinc/61/07/34/605610734.db2.gz NFKNLKGXHAJBAB-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN O=C([O-])N1CCC[C@H]1C[N@@H+]1CCO[C@@H](CNc2cccnn2)C1 ZINC000834111430 605621069 /nfs/dbraw/zinc/62/10/69/605621069.db2.gz KDNGAYZMXNASKE-STQMWFEESA-N 0 2 321.381 0.732 20 0 DCADLN O=C([O-])N1CCC[C@H]1C[N@H+]1CCO[C@@H](CNc2cccnn2)C1 ZINC000834111430 605621072 /nfs/dbraw/zinc/62/10/72/605621072.db2.gz KDNGAYZMXNASKE-STQMWFEESA-N 0 2 321.381 0.732 20 0 DCADLN CCCn1cc(NC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000826964374 605747453 /nfs/dbraw/zinc/74/74/53/605747453.db2.gz HXIGTASAIPDQAR-LBPRGKRZSA-N 0 2 324.385 0.709 20 0 DCADLN CCCn1cc(NC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000826964374 605747459 /nfs/dbraw/zinc/74/74/59/605747459.db2.gz HXIGTASAIPDQAR-LBPRGKRZSA-N 0 2 324.385 0.709 20 0 DCADLN O=C([O-])N1CC[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000834014267 605752366 /nfs/dbraw/zinc/75/23/66/605752366.db2.gz BMTOGWGPSPYYTM-JTQLQIEISA-N 0 2 301.306 0.749 20 0 DCADLN O=C([O-])N1CC[C@@H]([N@H+](Cc2cc(=O)n3[nH]ccc3n2)C2CC2)C1 ZINC000834084353 605783395 /nfs/dbraw/zinc/78/33/95/605783395.db2.gz SHFWDOCVLZDTJT-GFCCVEGCSA-N 0 2 317.349 0.739 20 0 DCADLN O=C([O-])N1CC[C@@H]([N@@H+](Cc2cc(=O)n3[nH]ccc3n2)C2CC2)C1 ZINC000834084353 605783397 /nfs/dbraw/zinc/78/33/97/605783397.db2.gz SHFWDOCVLZDTJT-GFCCVEGCSA-N 0 2 317.349 0.739 20 0 DCADLN O=C([O-])NC[C@@H]1CCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000834218166 605787328 /nfs/dbraw/zinc/78/73/28/605787328.db2.gz GYNBNBDDAPKYBD-NWDGAFQWSA-N 0 2 306.366 0.952 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)NC[C@@H](NC(=O)[O-])C2CC2)C1 ZINC000833953856 605854839 /nfs/dbraw/zinc/85/48/39/605854839.db2.gz CUZKLPZPMAAKKZ-NWDGAFQWSA-N 0 2 321.381 0.965 20 0 DCADLN Cc1cc(N2CC[C@H]([N@@H+]3CC[C@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073701 605922271 /nfs/dbraw/zinc/92/22/71/605922271.db2.gz VQHZMGSYTOOAOH-QWRGUYRKSA-N 0 2 307.354 0.176 20 0 DCADLN Cc1cc(N2CC[C@H]([N@H+]3CC[C@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073701 605922273 /nfs/dbraw/zinc/92/22/73/605922273.db2.gz VQHZMGSYTOOAOH-QWRGUYRKSA-N 0 2 307.354 0.176 20 0 DCADLN Cn1cc([C@@H]2CCC[N@@H+]2CN2C[C@H](NC(=O)[O-])CC2=O)cn1 ZINC000833947623 605994555 /nfs/dbraw/zinc/99/45/55/605994555.db2.gz OIIYFFAZJUOAOI-NEPJUHHUSA-N 0 2 307.354 0.383 20 0 DCADLN Cn1cc([C@@H]2CCC[N@H+]2CN2C[C@H](NC(=O)[O-])CC2=O)cn1 ZINC000833947623 605994557 /nfs/dbraw/zinc/99/45/57/605994557.db2.gz OIIYFFAZJUOAOI-NEPJUHHUSA-N 0 2 307.354 0.383 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](CC2CCS(=O)(=O)CC2)CCN1C(=O)[O-] ZINC000833825517 606035642 /nfs/dbraw/zinc/03/56/42/606035642.db2.gz BTKSNRPUEBSPEK-GHMZBOCLSA-N 0 2 304.412 0.884 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](CC2CCS(=O)(=O)CC2)CCN1C(=O)[O-] ZINC000833825517 606035647 /nfs/dbraw/zinc/03/56/47/606035647.db2.gz BTKSNRPUEBSPEK-GHMZBOCLSA-N 0 2 304.412 0.884 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)[C@@H]2CN(C(=O)[O-])CCO2)C1 ZINC000833955847 606082924 /nfs/dbraw/zinc/08/29/24/606082924.db2.gz USNLLTIQMBZAHV-MNOVXSKESA-N 0 2 308.338 0.115 20 0 DCADLN CC[C@@H]1CN(C(=O)NCCn2cc[nH+]c2)CC[C@@H]1NC(=O)[O-] ZINC000833849775 606098867 /nfs/dbraw/zinc/09/88/67/606098867.db2.gz TVSPOMVXDXPPLW-NEPJUHHUSA-N 0 2 309.370 0.961 20 0 DCADLN Cc1c2c(nc(SCc3nn[nH]n3)c1-c1nn[nH]n1)CCC2 ZINC000095210132 606360142 /nfs/dbraw/zinc/36/01/42/606360142.db2.gz ADLRZVCBTBDCLV-UHFFFAOYSA-N 0 2 315.366 0.864 20 0 DCADLN CN(C(=O)c1ncc[nH]1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646998 665406213 /nfs/dbraw/zinc/40/62/13/665406213.db2.gz PCHHAZYTDPDJBI-SFYZADRCSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1ncc[nH]1)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938646998 665406214 /nfs/dbraw/zinc/40/62/14/665406214.db2.gz PCHHAZYTDPDJBI-SFYZADRCSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC000977996948 660468911 /nfs/dbraw/zinc/46/89/11/660468911.db2.gz VSKUNLDBQFFLEU-SVRRBLITSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC000977996948 660468912 /nfs/dbraw/zinc/46/89/12/660468912.db2.gz VSKUNLDBQFFLEU-SVRRBLITSA-N 0 2 323.250 0.378 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001027740895 660680644 /nfs/dbraw/zinc/68/06/44/660680644.db2.gz RXIMHJHASONCHG-JTQLQIEISA-N 0 2 305.338 0.806 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001027740896 660680694 /nfs/dbraw/zinc/68/06/94/660680694.db2.gz RXIMHJHASONCHG-SNVBAGLBSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1ocnc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027779916 660718339 /nfs/dbraw/zinc/71/83/39/660718339.db2.gz FGPHTIMYABAYEM-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cc[nH]c(=O)c1 ZINC001027822835 660792354 /nfs/dbraw/zinc/79/23/54/660792354.db2.gz IPOBJCJUPHIZAC-JTQLQIEISA-N 0 2 318.337 0.005 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cc[nH]c(=O)c1 ZINC001027822835 660792355 /nfs/dbraw/zinc/79/23/55/660792355.db2.gz IPOBJCJUPHIZAC-JTQLQIEISA-N 0 2 318.337 0.005 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC1(F)F ZINC001027869993 660861738 /nfs/dbraw/zinc/86/17/38/660861738.db2.gz QMJVSVIRXUTFJE-SFYZADRCSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC1(F)F ZINC001027869993 660861740 /nfs/dbraw/zinc/86/17/40/660861740.db2.gz QMJVSVIRXUTFJE-SFYZADRCSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC1(F)F ZINC001027869993 660861741 /nfs/dbraw/zinc/86/17/41/660861741.db2.gz QMJVSVIRXUTFJE-SFYZADRCSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@@H]1C[C@@H](C[NH2+]Cc2cnon2)CN1C(=O)CCc1cnn[nH]1 ZINC000980708278 660988615 /nfs/dbraw/zinc/98/86/15/660988615.db2.gz ZKRIYQVUSGPKHD-MNOVXSKESA-N 0 2 319.369 0.147 20 0 DCADLN C/C(=C\C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC000980772486 661008227 /nfs/dbraw/zinc/00/82/27/661008227.db2.gz MJPYIOODGIXWTA-PKNBQFBNSA-N 0 2 305.382 0.901 20 0 DCADLN Cc1cncc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000980890119 661039857 /nfs/dbraw/zinc/03/98/57/661039857.db2.gz IZDUTOMXRCQAKY-UHFFFAOYSA-N 0 2 316.365 0.562 20 0 DCADLN O=C(c1cncc(F)c1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980958650 661052962 /nfs/dbraw/zinc/05/29/62/661052962.db2.gz VZAADKGMALUFFZ-UHFFFAOYSA-N 0 2 320.328 0.393 20 0 DCADLN Cc1cc[nH]c1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981083819 661079329 /nfs/dbraw/zinc/07/93/29/661079329.db2.gz SYCSZVBQMFGRMC-UHFFFAOYSA-N 0 2 304.354 0.495 20 0 DCADLN O=C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981497253 661163215 /nfs/dbraw/zinc/16/32/15/661163215.db2.gz BTCJJCRUKWLDNT-JHJVBQTASA-N 0 2 319.409 0.981 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)N2CCC[NH+](CC(=O)N3CCC3)CC2)C1 ZINC000981955620 661254056 /nfs/dbraw/zinc/25/40/56/661254056.db2.gz SKGAYVXQUTWTNS-HNNXBMFYSA-N 0 2 322.453 0.095 20 0 DCADLN C[C@@H]1CCC[N@H+]1CC(=O)N1CCC[NH+]([C@H]2CCN(C)C2=O)CC1 ZINC000981953464 661254259 /nfs/dbraw/zinc/25/42/59/661254259.db2.gz OBGYMBLVZWVMOY-CABCVRRESA-N 0 2 322.453 0.236 20 0 DCADLN C[C@H]1CCC[N@H+]1CC(=O)N1CCC[NH+](Cc2cncn2C)CC1 ZINC000981953307 661254623 /nfs/dbraw/zinc/25/46/23/661254623.db2.gz MEVMXSLIRGDHSI-HNNXBMFYSA-N 0 2 319.453 0.939 20 0 DCADLN O=C(NNC(=O)c1ccccn1)c1ccc2c(c1)OCC(=O)N2 ZINC000022588602 657522719 /nfs/dbraw/zinc/52/27/19/657522719.db2.gz QGVNBCIHSXDAOZ-UHFFFAOYSA-N 0 2 312.285 0.487 20 0 DCADLN Cc1cnc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)Cc3nnc[nH]3)C2)o1 ZINC000970445841 657524956 /nfs/dbraw/zinc/52/49/56/657524956.db2.gz NJTWKMYZCCFDBG-GHMZBOCLSA-N 0 2 304.354 0.595 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccn1 ZINC001006786328 657533927 /nfs/dbraw/zinc/53/39/27/657533927.db2.gz CXFJFMLSYXTMGW-GHMZBOCLSA-N 0 2 319.369 0.049 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC001031788630 665500974 /nfs/dbraw/zinc/50/09/74/665500974.db2.gz QBOLDDPUSIIOMO-IJLUTSLNSA-N 0 2 305.382 0.495 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC000969286352 657660047 /nfs/dbraw/zinc/66/00/47/657660047.db2.gz YIGQKPZAMKWUBP-SECBINFHSA-N 0 2 319.365 0.970 20 0 DCADLN CCc1cc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC000970759544 657885684 /nfs/dbraw/zinc/88/56/84/657885684.db2.gz HZWNHHFSKJZRBP-MRVPVSSYSA-N 0 2 320.353 0.311 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)oc1C ZINC000969504256 657935230 /nfs/dbraw/zinc/93/52/30/657935230.db2.gz YJOQSTVCPDXJRP-SECBINFHSA-N 0 2 319.365 0.970 20 0 DCADLN CCOC1CC(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC000969600753 658021347 /nfs/dbraw/zinc/02/13/47/658021347.db2.gz GKKQAJWBSUVVRM-BMQDGWLCSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969631759 658041585 /nfs/dbraw/zinc/04/15/85/658041585.db2.gz JKQHHPIHWYMSEE-SVRRBLITSA-N 0 2 301.297 0.102 20 0 DCADLN C[C@@H](NC(=O)c1ccns1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969772578 658135942 /nfs/dbraw/zinc/13/59/42/658135942.db2.gz JIHWYLDVSATUNQ-SSDOTTSWSA-N 0 2 308.367 0.217 20 0 DCADLN CCc1noc([C@H](C)[NH+]2CC([C@H](C)NC(=O)c3cnn[n-]3)C2)n1 ZINC000970035005 658385875 /nfs/dbraw/zinc/38/58/75/658385875.db2.gz LFCZMQUDFHFJJJ-IUCAKERBSA-N 0 2 319.369 0.561 20 0 DCADLN O=C(Cn1cccn1)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000939432604 665577955 /nfs/dbraw/zinc/57/79/55/665577955.db2.gz NFRULXQPGYOOCV-SCZZXKLOSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000939432604 665577957 /nfs/dbraw/zinc/57/79/57/665577957.db2.gz NFRULXQPGYOOCV-SCZZXKLOSA-N 0 2 322.262 0.501 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N2CC[C@]3(C2)C[NH+](CC2CC2)CCO3)C1 ZINC000972314362 658554242 /nfs/dbraw/zinc/55/42/42/658554242.db2.gz CQGBPWGOPXDRPZ-NVXWUHKLSA-N 0 2 323.437 0.030 20 0 DCADLN O=C(Cc1nnc[nH]1)N[C@H]1C[C@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001020903501 658565045 /nfs/dbraw/zinc/56/50/45/658565045.db2.gz AGGHNYUCSDTGPR-XYPYZODXSA-N 0 2 316.365 0.650 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCO1 ZINC001024314649 658566347 /nfs/dbraw/zinc/56/63/47/658566347.db2.gz KVGSHYYEEHOTDS-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc[nH]1 ZINC001024326691 658572098 /nfs/dbraw/zinc/57/20/98/658572098.db2.gz DODVKECGLWZLEK-JTQLQIEISA-N 0 2 304.354 0.623 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc[nH]1 ZINC001024326691 658572103 /nfs/dbraw/zinc/57/21/03/658572103.db2.gz DODVKECGLWZLEK-JTQLQIEISA-N 0 2 304.354 0.623 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCOC1 ZINC001024350475 658583547 /nfs/dbraw/zinc/58/35/47/658583547.db2.gz OOIBVIGDLIMZDO-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCOC1 ZINC001024350475 658583555 /nfs/dbraw/zinc/58/35/55/658583555.db2.gz OOIBVIGDLIMZDO-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cncnc1 ZINC001024405797 658604427 /nfs/dbraw/zinc/60/44/27/658604427.db2.gz CBQYACKNZFVJBW-NSHDSACASA-N 0 2 317.353 0.085 20 0 DCADLN Cn1cncc1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024536711 658697055 /nfs/dbraw/zinc/69/70/55/658697055.db2.gz SJGWGZOOHYAMMQ-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN Cc1nnc([C@H](C)N(C)[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)o1 ZINC000972427466 658753595 /nfs/dbraw/zinc/75/35/95/658753595.db2.gz XQAWNEBLYQVKSH-GWCFXTLKSA-N 0 2 318.381 0.938 20 0 DCADLN COC(=O)[C@](C)(Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC000890945337 658872423 /nfs/dbraw/zinc/87/24/23/658872423.db2.gz QSESQFKKOYNYKD-XVKPBYJWSA-N 0 2 311.235 0.831 20 0 DCADLN COC(=O)[C@](C)(Cn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC000890945337 658872426 /nfs/dbraw/zinc/87/24/26/658872426.db2.gz QSESQFKKOYNYKD-XVKPBYJWSA-N 0 2 311.235 0.831 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)c1 ZINC000973035281 658963228 /nfs/dbraw/zinc/96/32/28/658963228.db2.gz ZGOXJWAABAKLCF-LLVKDONJSA-N 0 2 318.381 0.489 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)c1 ZINC000973035281 658963231 /nfs/dbraw/zinc/96/32/31/658963231.db2.gz ZGOXJWAABAKLCF-LLVKDONJSA-N 0 2 318.381 0.489 20 0 DCADLN C[C@@H](O)C[N@H+]1CCC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001007381370 659070548 /nfs/dbraw/zinc/07/05/48/659070548.db2.gz DDPIXSCIIYHQSM-ZIAGYGMSSA-N 0 2 320.437 0.723 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1ccon1 ZINC000976225404 659242042 /nfs/dbraw/zinc/24/20/42/659242042.db2.gz WIPWDBFNWQOQDU-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)c1ccon1 ZINC000976225404 659242046 /nfs/dbraw/zinc/24/20/46/659242046.db2.gz WIPWDBFNWQOQDU-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN Cn1ccc(C[NH+](C)[C@@H]2CCCN(C(=O)[C@@H]3CCC[N@@H+]3C)C2)n1 ZINC001027331782 659266075 /nfs/dbraw/zinc/26/60/75/659266075.db2.gz IVUSMKUJJPCRSM-CVEARBPZSA-N 0 2 319.453 0.937 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCN(C(=O)C(=O)C(C)(C)C)C1 ZINC001027338705 659283316 /nfs/dbraw/zinc/28/33/16/659283316.db2.gz WJGQJJGZNLETEX-SNVBAGLBSA-N 0 2 323.397 0.548 20 0 DCADLN O=C(C[C@@H]1C=CCC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006708676 659335888 /nfs/dbraw/zinc/33/58/88/659335888.db2.gz YNUYBVYHONMXBF-VXGBXAGGSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@H]1CCC[N@@H+]1CC(=O)N[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000973527117 659344278 /nfs/dbraw/zinc/34/42/78/659344278.db2.gz SOKPZJIJZRWKIN-JHJVBQTASA-N 0 2 319.409 0.200 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccccc1O ZINC001038073791 665667324 /nfs/dbraw/zinc/66/73/24/665667324.db2.gz KZPFAQQCPCCDFV-VIFPVBQESA-N 0 2 303.322 0.220 20 0 DCADLN C[C@@H](NC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1)C(=O)[O-] ZINC000311721274 659361011 /nfs/dbraw/zinc/36/10/11/659361011.db2.gz YQCMXLYFSOFJMG-OCCSQVGLSA-N 0 2 321.377 0.660 20 0 DCADLN C[C@@H](NC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1)C(=O)[O-] ZINC000311721274 659361017 /nfs/dbraw/zinc/36/10/17/659361017.db2.gz YQCMXLYFSOFJMG-OCCSQVGLSA-N 0 2 321.377 0.660 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccncc1Cl ZINC001038734936 659438617 /nfs/dbraw/zinc/43/86/17/659438617.db2.gz CTAPOPVGLARTNB-MRVPVSSYSA-N 0 2 322.756 0.563 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@H]1CC1(F)F ZINC000974555481 659570408 /nfs/dbraw/zinc/57/04/08/659570408.db2.gz JSWBHEBVURYTGO-HTQZYQBOSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@H](c1ncccn1)[N@@H+]1C[C@H](NC(=O)c2cnn[n-]2)C(C)(C)C1 ZINC000974782589 659665209 /nfs/dbraw/zinc/66/52/09/659665209.db2.gz GEHFHBBYERPLMD-JQWIXIFHSA-N 0 2 315.381 0.796 20 0 DCADLN C[C@@H](c1ncccn1)[N@H+]1C[C@H](NC(=O)c2cnn[n-]2)C(C)(C)C1 ZINC000974782589 659665212 /nfs/dbraw/zinc/66/52/12/659665212.db2.gz GEHFHBBYERPLMD-JQWIXIFHSA-N 0 2 315.381 0.796 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1n[nH]cc1F ZINC000975006637 659766952 /nfs/dbraw/zinc/76/69/52/659766952.db2.gz CMMQRIZTQDFJSH-QMMMGPOBSA-N 0 2 323.332 0.013 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001006591683 659823425 /nfs/dbraw/zinc/82/34/25/659823425.db2.gz SROIDHVIIUDWEF-NSHDSACASA-N 0 2 302.338 0.300 20 0 DCADLN CCC(CC)(CNC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000752266594 668014821 /nfs/dbraw/zinc/01/48/21/668014821.db2.gz ZIFZXCLVFAGPRM-MRVPVSSYSA-N 0 2 315.395 0.638 20 0 DCADLN CC[C@H](C(N)=O)[N@H+]1CCCN(C(=O)C[NH+]2CCC(C)CC2)CC1 ZINC000982705565 661631294 /nfs/dbraw/zinc/63/12/94/661631294.db2.gz DFIWPJVHADPPMJ-OAHLLOKOSA-N 0 2 324.469 0.517 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CCO1 ZINC000982998374 661735140 /nfs/dbraw/zinc/73/51/40/661735140.db2.gz DPCVJNGAJHEIPZ-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN NC(=O)CN(C(=O)c1cc(F)c(O)c(F)c1)C1CCOCC1 ZINC000921727413 665796341 /nfs/dbraw/zinc/79/63/41/665796341.db2.gz CVVJFMDMSWQUHD-UHFFFAOYSA-N 0 2 314.288 0.777 20 0 DCADLN CC[NH+]1CCCC[C@@H]1C(=O)NC[C@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001029003249 661930041 /nfs/dbraw/zinc/93/00/41/661930041.db2.gz JRKOSGATMFSWJL-UKRRQHHQSA-N 0 2 321.425 0.882 20 0 DCADLN CC[C@H](OC)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029236596 662062598 /nfs/dbraw/zinc/06/25/98/662062598.db2.gz XBMHSDSWALUTDG-AXFHLTTASA-N 0 2 309.370 0.111 20 0 DCADLN Cc1nc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)c[nH]1 ZINC001029243301 662065672 /nfs/dbraw/zinc/06/56/72/662065672.db2.gz YDLHVXCLKQEGCZ-AOOOYVTPSA-N 0 2 317.353 0.031 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(CC(F)F)C1CCC1 ZINC000895145417 662118945 /nfs/dbraw/zinc/11/89/45/662118945.db2.gz VNQCQXBCKNCUPZ-UHFFFAOYSA-N 0 2 311.292 0.717 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC000909563076 662367590 /nfs/dbraw/zinc/36/75/90/662367590.db2.gz RZWDBWCIEPIOMS-XSUJLISDSA-N 0 2 319.361 0.473 20 0 DCADLN Cc1conc1C[NH2+][C@H]1CCN(C(=O)CCc2nc[nH]n2)[C@H]1C ZINC000986129088 662559350 /nfs/dbraw/zinc/55/93/50/662559350.db2.gz XBTYTJYZGURGTH-RYUDHWBXSA-N 0 2 318.381 0.813 20 0 DCADLN O=C(N[C@H]1CCCCCN(C(=O)c2ccn[nH]2)C1)c1cn[nH]n1 ZINC000986912073 662626675 /nfs/dbraw/zinc/62/66/75/662626675.db2.gz NAKKOBQIBXGGED-JTQLQIEISA-N 0 2 317.353 0.343 20 0 DCADLN O=C(N[C@H]1CCCCCN(C(=O)c2cc[nH]n2)C1)c1cnn[nH]1 ZINC000986912073 662626676 /nfs/dbraw/zinc/62/66/76/662626676.db2.gz NAKKOBQIBXGGED-JTQLQIEISA-N 0 2 317.353 0.343 20 0 DCADLN C[C@H]1[C@@H]([NH2+]Cc2cnn(C)c2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000987068192 662641226 /nfs/dbraw/zinc/64/12/26/662641226.db2.gz OACAJSKHHQEIDG-FZMZJTMJSA-N 0 2 302.382 0.465 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3nc[nH]n3)C[C@@H]2C)ncn1 ZINC000947829364 662709826 /nfs/dbraw/zinc/70/98/26/662709826.db2.gz UMTCLLOOCSQTCQ-NWDGAFQWSA-N 0 2 315.381 0.686 20 0 DCADLN Cn1cc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000937663641 662780165 /nfs/dbraw/zinc/78/01/65/662780165.db2.gz AZOKDFPLPCICNN-DTWKUNHWSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N[C@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC000937663641 662780167 /nfs/dbraw/zinc/78/01/67/662780167.db2.gz AZOKDFPLPCICNN-DTWKUNHWSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937765750 662820169 /nfs/dbraw/zinc/82/01/69/662820169.db2.gz IQEWCEVIWPEGAD-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000937765750 662820170 /nfs/dbraw/zinc/82/01/70/662820170.db2.gz IQEWCEVIWPEGAD-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937893180 662836959 /nfs/dbraw/zinc/83/69/59/662836959.db2.gz DRNKWYIALCGRIB-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000937893180 662836961 /nfs/dbraw/zinc/83/69/61/662836961.db2.gz DRNKWYIALCGRIB-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1nc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001031921331 665911572 /nfs/dbraw/zinc/91/15/72/665911572.db2.gz COXIPLNTUDDTBJ-UHFFFAOYSA-N 0 2 308.367 0.137 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)on1 ZINC000990218871 663018133 /nfs/dbraw/zinc/01/81/33/663018133.db2.gz OBGQHIZXCUIVKV-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)on1 ZINC000990218871 663018134 /nfs/dbraw/zinc/01/81/34/663018134.db2.gz OBGQHIZXCUIVKV-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990318847 663031286 /nfs/dbraw/zinc/03/12/86/663031286.db2.gz PHXLXBUZVYAOBL-WPRPVWTQSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990318847 663031287 /nfs/dbraw/zinc/03/12/87/663031287.db2.gz PHXLXBUZVYAOBL-WPRPVWTQSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1cc2ncc(C(=O)NN3C(=O)[C@H](C)N(C)C3=O)c(C)n2n1 ZINC000899028216 663118485 /nfs/dbraw/zinc/11/84/85/663118485.db2.gz BPUVJTWCDMBYHV-VIFPVBQESA-N 0 2 316.321 0.273 20 0 DCADLN Cc1nc(C(C)C)ncc1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC000899040180 663119001 /nfs/dbraw/zinc/11/90/01/663119001.db2.gz LLBULCALWVRFCF-SECBINFHSA-N 0 2 305.338 0.836 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2coc3c2C(=O)CCC3)C(=O)N1C ZINC000899038957 663120132 /nfs/dbraw/zinc/12/01/32/663120132.db2.gz SKDGLWRCUUPQDP-ZETCQYMHSA-N 0 2 305.290 0.726 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938197236 663208355 /nfs/dbraw/zinc/20/83/55/663208355.db2.gz ZAEREHOZHVNGSS-XPUUQOCRSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938197236 663208356 /nfs/dbraw/zinc/20/83/56/663208356.db2.gz ZAEREHOZHVNGSS-XPUUQOCRSA-N 0 2 324.234 0.609 20 0 DCADLN CCc1ccccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031934886 665948476 /nfs/dbraw/zinc/94/84/76/665948476.db2.gz DBENBNBMBARXSH-UHFFFAOYSA-N 0 2 315.377 0.935 20 0 DCADLN CONC(=O)CN[C@@H](Cc1ccc(Cl)cc1)C(=O)OC ZINC000901606546 663355345 /nfs/dbraw/zinc/35/53/45/663355345.db2.gz SBPPQDCVJVHFBS-NSHDSACASA-N 0 2 300.742 0.691 20 0 DCADLN CCn1nccc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991003558 663413982 /nfs/dbraw/zinc/41/39/82/663413982.db2.gz BEQIFKFQDSMQSS-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CCn1nccc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000991003558 663413983 /nfs/dbraw/zinc/41/39/83/663413983.db2.gz BEQIFKFQDSMQSS-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN COCCOCCCNC(=O)c1nnc(-c2cscn2)o1 ZINC000902613792 663422149 /nfs/dbraw/zinc/42/21/49/663422149.db2.gz SVYFDAKJCXQEPS-UHFFFAOYSA-N 0 2 312.351 0.976 20 0 DCADLN CN(C)CC(N)=NOCC(=O)NCCOc1cccc(F)c1 ZINC000902612474 663422204 /nfs/dbraw/zinc/42/22/04/663422204.db2.gz JZTPINFGAHZKNR-UHFFFAOYSA-N 0 2 312.345 0.381 20 0 DCADLN C[C@@H]1CN(CN2C[C@H](C3CC3)CC2=O)C[C@H]1CS(N)(=O)=O ZINC000902614077 663422275 /nfs/dbraw/zinc/42/22/75/663422275.db2.gz DNKMLZBXDRSANY-RTXFEEFZSA-N 0 2 315.439 0.059 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccnn1[C@@H]1CCOC1 ZINC000903667195 663473371 /nfs/dbraw/zinc/47/33/71/663473371.db2.gz ZZCTZBYQQCESMX-SNVBAGLBSA-N 0 2 311.367 0.862 20 0 DCADLN C[C@H]1CCCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031941392 665971459 /nfs/dbraw/zinc/97/14/59/665971459.db2.gz ODLWWJVETXTVKX-JQWIXIFHSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C1(C(=O)[O-])CCOCC1 ZINC000907919551 663724066 /nfs/dbraw/zinc/72/40/66/663724066.db2.gz UJPTUNRCPGDTQN-NWDGAFQWSA-N 0 2 321.377 0.832 20 0 DCADLN O=C(NC1CC(=O)C1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000908463031 663756166 /nfs/dbraw/zinc/75/61/66/663756166.db2.gz PUBMAFBRKFHNRU-UHFFFAOYSA-N 0 2 304.224 0.919 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)NCCCn1cc[nH+]c1 ZINC000908737451 663767558 /nfs/dbraw/zinc/76/75/58/663767558.db2.gz KRMCPBFJRZGMCA-LBPRGKRZSA-N 0 2 312.370 0.793 20 0 DCADLN CCCN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000908935831 663775314 /nfs/dbraw/zinc/77/53/14/663775314.db2.gz AXQCEGRHUAYQPY-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN CCCN(CC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000908935831 663775315 /nfs/dbraw/zinc/77/53/15/663775315.db2.gz AXQCEGRHUAYQPY-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H](C)C[C@@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC000909781299 663804458 /nfs/dbraw/zinc/80/44/58/663804458.db2.gz OCEYOYQBMMBFEV-NQAKMWCRSA-N 0 2 310.394 0.977 20 0 DCADLN CC[C@H](C(=O)N1CC([C@@H](F)C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000910123095 663847906 /nfs/dbraw/zinc/84/79/06/663847906.db2.gz FKHOITNPGYLPJJ-JHJVBQTASA-N 0 2 316.373 0.757 20 0 DCADLN CC[C@H](C(=O)N1CC([C@@H](F)C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000910123095 663847909 /nfs/dbraw/zinc/84/79/09/663847909.db2.gz FKHOITNPGYLPJJ-JHJVBQTASA-N 0 2 316.373 0.757 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@H]2CCc3[nH+]ccn3C2)[C@@](C)(C(=O)[O-])C1 ZINC000910267872 663862661 /nfs/dbraw/zinc/86/26/61/663862661.db2.gz YLGYDGSHHLEOQG-ZIBATOQPSA-N 0 2 307.350 0.536 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CC2(C1)CS(=O)(=O)C2 ZINC000910699762 663926217 /nfs/dbraw/zinc/92/62/17/663926217.db2.gz FAMXCZQHTUNVLU-UHFFFAOYSA-N 0 2 301.751 0.916 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cc(C(=O)[O-])ccn1 ZINC000910990746 663966444 /nfs/dbraw/zinc/96/64/44/663966444.db2.gz ZYUAZCFOTLABRG-LBPRGKRZSA-N 0 2 307.350 0.620 20 0 DCADLN CC(C)(CNC(=O)c1csc(C(=O)[O-])n1)[NH+]1CCOCC1 ZINC000911095747 663981574 /nfs/dbraw/zinc/98/15/74/663981574.db2.gz IAIYXTQTLCFQHD-UHFFFAOYSA-N 0 2 313.379 0.682 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171776 664001199 /nfs/dbraw/zinc/00/11/99/664001199.db2.gz LETLIFSBPGDLDF-CYBMUJFWSA-N 0 2 307.350 0.445 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC000911199262 664003297 /nfs/dbraw/zinc/00/32/97/664003297.db2.gz TXVLKLNLBNAYHR-VUDBWIFFSA-N 0 2 308.334 0.828 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000911334634 664030020 /nfs/dbraw/zinc/03/00/20/664030020.db2.gz GSHNNKAKEFLZMP-JTQLQIEISA-N 0 2 303.322 0.792 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)CCOCC1 ZINC000911318745 664032243 /nfs/dbraw/zinc/03/22/43/664032243.db2.gz JCIONENYSSOKAY-UHFFFAOYSA-N 0 2 307.350 0.997 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)O1 ZINC000911430800 664050913 /nfs/dbraw/zinc/05/09/13/664050913.db2.gz UTYAGJGRODDJNY-UPJWGTAASA-N 0 2 306.318 0.694 20 0 DCADLN O=C([O-])COc1ccc(C(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1 ZINC000911753494 664105887 /nfs/dbraw/zinc/10/58/87/664105887.db2.gz AAUPRMHIHOXISU-LLVKDONJSA-N 0 2 301.302 0.701 20 0 DCADLN COCc1nnc(CNC(=O)c2cnc3cccc(C)n32)[nH]1 ZINC000912399576 664170330 /nfs/dbraw/zinc/17/03/30/664170330.db2.gz KWLJCRHKONCRGX-UHFFFAOYSA-N 0 2 300.322 0.837 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)Nc1nc(C)c(C)o1 ZINC000912558007 664188050 /nfs/dbraw/zinc/18/80/50/664188050.db2.gz JUWMISCYEKHIAA-UHFFFAOYSA-N 0 2 300.296 0.602 20 0 DCADLN CCOc1nccc(C)c1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC000912818492 664232244 /nfs/dbraw/zinc/23/22/44/664232244.db2.gz FFFWJOSYCNUJAC-SECBINFHSA-N 0 2 306.322 0.716 20 0 DCADLN O=C(Nc1nccc(Br)c1O)C1CS(=O)(=O)C1 ZINC000913024889 664256001 /nfs/dbraw/zinc/25/60/01/664256001.db2.gz DZSFXKCONPZMSY-UHFFFAOYSA-N 0 2 321.152 0.533 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1sccc1Br ZINC000044946304 664376130 /nfs/dbraw/zinc/37/61/30/664376130.db2.gz DOHMIZRYYZDKNW-UHFFFAOYSA-N 0 2 304.125 0.707 20 0 DCADLN CC[C@@H](C)n1nccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030384016 664525176 /nfs/dbraw/zinc/52/51/76/664525176.db2.gz SXGIUHQRSYBYFL-SECBINFHSA-N 0 2 319.369 0.292 20 0 DCADLN Cn1ccc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991727109 664533116 /nfs/dbraw/zinc/53/31/16/664533116.db2.gz DPBMYZDBJWTTOT-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1ccc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000991727109 664533118 /nfs/dbraw/zinc/53/31/18/664533118.db2.gz DPBMYZDBJWTTOT-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1F ZINC001030638011 664615356 /nfs/dbraw/zinc/61/53/56/664615356.db2.gz LJYSMAPIHVJNOR-UHFFFAOYSA-N 0 2 305.313 0.572 20 0 DCADLN Cc1cc(F)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(F)c1 ZINC001030651846 664619725 /nfs/dbraw/zinc/61/97/25/664619725.db2.gz OQAJFPQPEBMQHR-UHFFFAOYSA-N 0 2 323.303 0.711 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2ncccc2o1 ZINC001030692537 664636854 /nfs/dbraw/zinc/63/68/54/664636854.db2.gz WBJPGPXEACNAQC-UHFFFAOYSA-N 0 2 314.305 0.266 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cn[nH]c1 ZINC000948930145 664637724 /nfs/dbraw/zinc/63/77/24/664637724.db2.gz PMHWBVNNSONDNW-YUMQZZPRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cn[nH]c1 ZINC000948930145 664637725 /nfs/dbraw/zinc/63/77/25/664637725.db2.gz PMHWBVNNSONDNW-YUMQZZPRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2ncccc21 ZINC001030760865 664657526 /nfs/dbraw/zinc/65/75/26/664657526.db2.gz DRPSGJQFRHOLAG-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN O=C(NC1C[NH+](C[C@@H]2CCCOC2)C1)[C@H]1CCCc2n[nH]nc21 ZINC001030860047 664689038 /nfs/dbraw/zinc/68/90/38/664689038.db2.gz TXTRHWYVZGQYNG-AAEUAGOBSA-N 0 2 319.409 0.452 20 0 DCADLN CN(Cc1cccs1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730514218 664783898 /nfs/dbraw/zinc/78/38/98/664783898.db2.gz DXHOHIDFJWNJMV-UHFFFAOYSA-N 0 2 303.347 0.791 20 0 DCADLN C[C@@H](Cc1ccco1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730525265 664785198 /nfs/dbraw/zinc/78/51/98/664785198.db2.gz MAOJLRDNJLLEDY-VIFPVBQESA-N 0 2 301.306 0.412 20 0 DCADLN CCc1csc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000730547323 664792065 /nfs/dbraw/zinc/79/20/65/664792065.db2.gz QIVUXLYQICLUBV-UHFFFAOYSA-N 0 2 304.335 0.729 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)on1 ZINC000993055241 664797653 /nfs/dbraw/zinc/79/76/53/664797653.db2.gz ZVTBUMBHIZKUBG-NXEZZACHSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1C1CCCC1 ZINC001031169386 664823307 /nfs/dbraw/zinc/82/33/07/664823307.db2.gz BXYBDBDLJWBHGT-NWDGAFQWSA-N 0 2 305.382 0.637 20 0 DCADLN CC(C)N=c1ccccn1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000731842960 664885797 /nfs/dbraw/zinc/88/57/97/664885797.db2.gz SNZTZOSLTCHBRY-UHFFFAOYSA-N 0 2 312.333 0.522 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2c([nH]1)CCCC2 ZINC001031213249 664890061 /nfs/dbraw/zinc/89/00/61/664890061.db2.gz WIJAHADGIJMWPL-UHFFFAOYSA-N 0 2 316.365 0.331 20 0 DCADLN C[C@@H]1[C@H](NC(=O)Cc2ccoc2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993251129 664917292 /nfs/dbraw/zinc/91/72/92/664917292.db2.gz RSGKKGNUYQWZFO-ZYHUDNBSSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1[C@H](NC(=O)Cc2ccoc2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993251129 664917293 /nfs/dbraw/zinc/91/72/93/664917293.db2.gz RSGKKGNUYQWZFO-ZYHUDNBSSA-N 0 2 319.365 0.815 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)CC3(F)CCC3)C2)[nH]1 ZINC000915692331 664936878 /nfs/dbraw/zinc/93/68/78/664936878.db2.gz MUSKPOGNEDKEFL-VIFPVBQESA-N 0 2 318.374 0.912 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccns2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993388422 664953401 /nfs/dbraw/zinc/95/34/01/664953401.db2.gz CEYOLFDNYTYJHE-RKDXNWHRSA-N 0 2 322.394 0.750 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)N=c1cc[nH]c(C)c1 ZINC000732911154 664974320 /nfs/dbraw/zinc/97/43/20/664974320.db2.gz YWWYDCYKMGCMAS-UHFFFAOYSA-N 0 2 310.335 0.431 20 0 DCADLN CCS(=O)(=O)CC(=O)C1(NC(=O)C(F)(F)F)CCCC1 ZINC000917952846 665151591 /nfs/dbraw/zinc/15/15/91/665151591.db2.gz XHDRMPOSLGDQIC-UHFFFAOYSA-N 0 2 315.313 0.982 20 0 DCADLN Cc1ccccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031523645 665183927 /nfs/dbraw/zinc/18/39/27/665183927.db2.gz DTAOQHYDDMJTCL-UHFFFAOYSA-N 0 2 301.350 0.681 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccoc1Cl ZINC001031640127 665255015 /nfs/dbraw/zinc/25/50/15/665255015.db2.gz XDLSEUGMOOVXOG-UHFFFAOYSA-N 0 2 311.729 0.619 20 0 DCADLN Cc1coc(C)c1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031647244 665260536 /nfs/dbraw/zinc/26/05/36/665260536.db2.gz PZCDJRCHEXXJFM-UHFFFAOYSA-N 0 2 305.338 0.582 20 0 DCADLN CC(C)[C@@H]1OCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031723720 665330936 /nfs/dbraw/zinc/33/09/36/665330936.db2.gz UBPZNUGIHJIXEC-AAEUAGOBSA-N 0 2 323.397 0.119 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC000938512868 665376296 /nfs/dbraw/zinc/37/62/96/665376296.db2.gz QJUVCPRRNFRWRM-JGVFFNPUSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ncccn1 ZINC000938512868 665376298 /nfs/dbraw/zinc/37/62/98/665376298.db2.gz QJUVCPRRNFRWRM-JGVFFNPUSA-N 0 2 320.246 0.708 20 0 DCADLN Cn1ncc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000941562090 666023001 /nfs/dbraw/zinc/02/30/01/666023001.db2.gz DLSXBWPLLNSHDK-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000941562090 666023002 /nfs/dbraw/zinc/02/30/02/666023002.db2.gz DLSXBWPLLNSHDK-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031990138 666101485 /nfs/dbraw/zinc/10/14/85/666101485.db2.gz QSNZCFXZUACJLU-VXGBXAGGSA-N 0 2 307.398 0.741 20 0 DCADLN O=C(CC1CC1)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043375580 666114620 /nfs/dbraw/zinc/11/46/20/666114620.db2.gz MLXSAIDAEZXFRB-SECBINFHSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(CC1CC1)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043375580 666114621 /nfs/dbraw/zinc/11/46/21/666114621.db2.gz MLXSAIDAEZXFRB-SECBINFHSA-N 0 2 312.263 0.376 20 0 DCADLN C[C@@H]1CO[C@@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001003333770 666295978 /nfs/dbraw/zinc/29/59/78/666295978.db2.gz SXLQGZDFLIPBCE-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003353201 666297365 /nfs/dbraw/zinc/29/73/65/666297365.db2.gz YCOGZTNQPCBGIH-NEPJUHHUSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(CC1=CCOCC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003565203 666329098 /nfs/dbraw/zinc/32/90/98/666329098.db2.gz XHBZQALPGHTTKR-UHFFFAOYSA-N 0 2 321.381 0.328 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003651855 666339728 /nfs/dbraw/zinc/33/97/28/666339728.db2.gz NVHGIULEJOWJTG-SKDRFNHKSA-N 0 2 309.370 0.016 20 0 DCADLN CN(C(=O)c1cccnc1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032864629 666362079 /nfs/dbraw/zinc/36/20/79/666362079.db2.gz NWOKIMXEXNPERW-LLVKDONJSA-N 0 2 302.338 0.252 20 0 DCADLN CCn1cc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001032957533 666405256 /nfs/dbraw/zinc/40/52/56/666405256.db2.gz HDZBRXWBOJRIQZ-LLVKDONJSA-N 0 2 319.369 0.073 20 0 DCADLN Cc1cncc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001032978016 666416949 /nfs/dbraw/zinc/41/69/49/666416949.db2.gz XAIQVONMKBCRDH-GFCCVEGCSA-N 0 2 316.365 0.560 20 0 DCADLN CCc1nc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001033017884 666428922 /nfs/dbraw/zinc/42/89/22/666428922.db2.gz AXQGIDDTOKWHLK-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@H]1NC(=O)C(C)(F)F ZINC000945538054 666624422 /nfs/dbraw/zinc/62/44/22/666624422.db2.gz DKQRULRVDZUXQI-MWLCHTKSSA-N 0 2 314.336 0.961 20 0 DCADLN CN(C(=O)C[C@@H]1C[C@H]1C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033276329 666658697 /nfs/dbraw/zinc/65/86/97/666658697.db2.gz CJESCUBTRAXIRQ-AVGNSLFASA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)C[C@@H]1C[C@H]1C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033276329 666658700 /nfs/dbraw/zinc/65/87/00/666658700.db2.gz CJESCUBTRAXIRQ-AVGNSLFASA-N 0 2 319.409 0.979 20 0 DCADLN CCN(C(=O)c1cnns1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033759941 666816378 /nfs/dbraw/zinc/81/63/78/666816378.db2.gz CYBWHSRUOUEPEC-QMMMGPOBSA-N 0 2 323.382 0.098 20 0 DCADLN CCN(C(=O)c1coc(C)n1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033804105 666834882 /nfs/dbraw/zinc/83/48/82/666834882.db2.gz KDDQHYBKHAVTQR-JTQLQIEISA-N 0 2 320.353 0.543 20 0 DCADLN Cn1cc(C(=O)N[C@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001034095881 666919681 /nfs/dbraw/zinc/91/96/81/666919681.db2.gz ZCRHUJHSSCOSSB-NSHDSACASA-N 0 2 319.369 0.028 20 0 DCADLN Cn1cc(C(=O)N[C@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001034095881 666919683 /nfs/dbraw/zinc/91/96/83/666919683.db2.gz ZCRHUJHSSCOSSB-NSHDSACASA-N 0 2 319.369 0.028 20 0 DCADLN O=C(C[C@@H]1CCCO1)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034117410 666926941 /nfs/dbraw/zinc/92/69/41/666926941.db2.gz MGBVRRIZZGJUIQ-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@@H]1CCCO1)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034117410 666926943 /nfs/dbraw/zinc/92/69/43/666926943.db2.gz MGBVRRIZZGJUIQ-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034150290 666938020 /nfs/dbraw/zinc/93/80/20/666938020.db2.gz MGAWKFBGPOMBHH-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034150290 666938023 /nfs/dbraw/zinc/93/80/23/666938023.db2.gz MGAWKFBGPOMBHH-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN O=C(Cc1ccoc1)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034183841 666943878 /nfs/dbraw/zinc/94/38/78/666943878.db2.gz ZNJQFLTUGCIKQZ-LBPRGKRZSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(Cc1ccoc1)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034183841 666943881 /nfs/dbraw/zinc/94/38/81/666943881.db2.gz ZNJQFLTUGCIKQZ-LBPRGKRZSA-N 0 2 319.365 0.817 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC[C@@H]3COCO3)=N2)cc1 ZINC000861970531 667003331 /nfs/dbraw/zinc/00/33/31/667003331.db2.gz BLNDKRIVAGGRLR-GFCCVEGCSA-N 0 2 319.317 0.689 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CC12CC2 ZINC001034416912 667019799 /nfs/dbraw/zinc/01/97/99/667019799.db2.gz LVBPCDVMOPDDJX-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CC12CC2 ZINC001034416912 667019803 /nfs/dbraw/zinc/01/98/03/667019803.db2.gz LVBPCDVMOPDDJX-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN CCOC(=O)C(C)(C)ONC(=O)[C@H]1CNC(=O)c2ccccc21 ZINC000862153483 667022786 /nfs/dbraw/zinc/02/27/86/667022786.db2.gz WTUMHZJVKFBCMS-LBPRGKRZSA-N 0 2 320.345 0.903 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2CCCN2CC(F)F)S1 ZINC000862692952 667070420 /nfs/dbraw/zinc/07/04/20/667070420.db2.gz BIXXIQDXFBXGJE-JGVFFNPUSA-N 0 2 320.365 0.389 20 0 DCADLN C[C@H]1CO[C@@H](C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001005737894 667157672 /nfs/dbraw/zinc/15/76/72/667157672.db2.gz YPLMWSIJLRFORU-ZYHUDNBSSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CCCC12CC2 ZINC000863777418 667181014 /nfs/dbraw/zinc/18/10/14/667181014.db2.gz GSMFHFZUAQLBEK-JTQLQIEISA-N 0 2 301.350 0.768 20 0 DCADLN CC(=O)NC[C@H]1CCCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000863815312 667185189 /nfs/dbraw/zinc/18/51/89/667185189.db2.gz GHQNZIGNMPMRMF-ZJUUUORDSA-N 0 2 312.395 0.060 20 0 DCADLN CC[C@H](C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCn1cccn1)[NH+](C)C ZINC001032473719 667296925 /nfs/dbraw/zinc/29/69/25/667296925.db2.gz AKOIAQLVUFXSSK-SOUVJXGZSA-N 0 2 305.426 0.508 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000888332690 667298941 /nfs/dbraw/zinc/29/89/41/667298941.db2.gz GGQPKEIPDOODGI-MNOVXSKESA-N 0 2 304.358 0.426 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC[C@H](Cc2ccccc2)O1 ZINC000866321986 667371430 /nfs/dbraw/zinc/37/14/30/667371430.db2.gz VZZFMUFLWLVVGZ-NEPJUHHUSA-N 0 2 302.334 0.917 20 0 DCADLN O=C(c1ccc[nH]1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035650535 667394371 /nfs/dbraw/zinc/39/43/71/667394371.db2.gz TWZUSIKDFFGMGH-UHFFFAOYSA-N 0 2 316.365 0.577 20 0 DCADLN O=C(C1CC=CC1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035673729 667397642 /nfs/dbraw/zinc/39/76/42/667397642.db2.gz LWQFMPWRQRVBQC-UHFFFAOYSA-N 0 2 317.393 0.901 20 0 DCADLN [NH3+][C@@H](C(=O)NCCc1nc[nH]n1)c1ccc(Br)cc1 ZINC000884157180 667486326 /nfs/dbraw/zinc/48/63/26/667486326.db2.gz VCOFGCUVVRYGQL-LLVKDONJSA-N 0 2 324.182 0.926 20 0 DCADLN O=C(/C=C\CN1CCOCC1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000867919983 667518017 /nfs/dbraw/zinc/51/80/17/667518017.db2.gz GMCQLTSRDLPTBC-UPHRSURJSA-N 0 2 321.381 0.105 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC1(F)F ZINC001014889998 667580445 /nfs/dbraw/zinc/58/04/45/667580445.db2.gz LTTKJEJBCJYQFN-JGVFFNPUSA-N 0 2 301.297 0.246 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)[C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000737641696 667630602 /nfs/dbraw/zinc/63/06/02/667630602.db2.gz RPFOHURINXBEBG-NSHDSACASA-N 0 2 313.398 0.155 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)[C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000737641696 667630603 /nfs/dbraw/zinc/63/06/03/667630603.db2.gz RPFOHURINXBEBG-NSHDSACASA-N 0 2 313.398 0.155 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@@H]1CCCC(C)(C)O1 ZINC000885189144 667650593 /nfs/dbraw/zinc/65/05/93/667650593.db2.gz LEMMOGNNDJIEAV-UWVGGRQHSA-N 0 2 311.375 0.765 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](C2CC2)[C@@H]2CCCOC2)S1 ZINC000885458701 667676938 /nfs/dbraw/zinc/67/69/38/667676938.db2.gz MHJQGVPKMSQPAS-JFGNBEQYSA-N 0 2 311.407 0.864 20 0 DCADLN Cn1nccc1[C@@H](O)CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000886248555 667746134 /nfs/dbraw/zinc/74/61/34/667746134.db2.gz RJZOLUPJOJJNQO-QMMMGPOBSA-N 0 2 322.287 0.027 20 0 DCADLN Cc1c([N-]S(=O)(=O)c2cccc3c2CC[N@H+](C)C3)nnn1C ZINC000886409916 667763729 /nfs/dbraw/zinc/76/37/29/667763729.db2.gz UARLSSZPCUFNNZ-UHFFFAOYSA-N 0 2 321.406 0.912 20 0 DCADLN Cc1c([N-]S(=O)(=O)c2cccc3c2CC[N@@H+](C)C3)nnn1C ZINC000886409916 667763738 /nfs/dbraw/zinc/76/37/38/667763738.db2.gz UARLSSZPCUFNNZ-UHFFFAOYSA-N 0 2 321.406 0.912 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCO[C@@H](C(F)(F)F)C2)S1 ZINC000742693451 667797540 /nfs/dbraw/zinc/79/75/40/667797540.db2.gz BBUVDBYRCIZMKL-NTSWFWBYSA-N 0 2 311.285 0.333 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCCC3(CCOCC3)C2)S1 ZINC000742708873 667798203 /nfs/dbraw/zinc/79/82/03/667798203.db2.gz YWCVJWYSEOUZKB-SNVBAGLBSA-N 0 2 311.407 0.962 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](O)[C@H]3CCCC[C@H]32)S1 ZINC000871197039 667800740 /nfs/dbraw/zinc/80/07/40/667800740.db2.gz FHPLQAMDXNXWHC-VLEAKVRGSA-N 0 2 311.407 0.695 20 0 DCADLN COC(=O)C1=NO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000871385277 667812942 /nfs/dbraw/zinc/81/29/42/667812942.db2.gz YUFDVSBCGVZWTM-OIBJUYFYSA-N 0 2 312.219 0.807 20 0 DCADLN COC(=O)C1=NO[C@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC000871385277 667812943 /nfs/dbraw/zinc/81/29/43/667812943.db2.gz YUFDVSBCGVZWTM-OIBJUYFYSA-N 0 2 312.219 0.807 20 0 DCADLN Cn1cc[nH+]c1C[C@H](NC(=O)c1cc(=O)[nH][n-]1)c1ccccc1 ZINC000743757788 667815396 /nfs/dbraw/zinc/81/53/96/667815396.db2.gz YDOBHYHHESJQLT-LBPRGKRZSA-N 0 2 311.345 0.696 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(OCC3CC3)CC2)S1 ZINC000743784556 667816511 /nfs/dbraw/zinc/81/65/11/667816511.db2.gz LSDUWODSOGIRJO-NSHDSACASA-N 0 2 311.407 0.960 20 0 DCADLN O=C([O-])c1cc(F)c(F)c(S(=O)(=O)NCC[NH+]2CCC2)c1 ZINC000871923979 667857225 /nfs/dbraw/zinc/85/72/25/667857225.db2.gz YBNFKHVDBMEDRM-UHFFFAOYSA-N 0 2 320.317 0.647 20 0 DCADLN CCOc1cccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000746539876 667881555 /nfs/dbraw/zinc/88/15/55/667881555.db2.gz HFRKSACWXVOOKX-UHFFFAOYSA-N 0 2 314.305 0.504 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)Nc1c(C)ccn(C)c1=O ZINC000872358454 667891853 /nfs/dbraw/zinc/89/18/53/667891853.db2.gz DWODCTIAAOTIMD-UHFFFAOYSA-N 0 2 321.424 0.858 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1CS(N)(=O)=O ZINC000887864082 667922908 /nfs/dbraw/zinc/92/29/08/667922908.db2.gz QQZDAWCAPBHKPE-VQVTYTSYSA-N 0 2 306.281 0.270 20 0 DCADLN C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1CS(N)(=O)=O ZINC000887864082 667922909 /nfs/dbraw/zinc/92/29/09/667922909.db2.gz QQZDAWCAPBHKPE-VQVTYTSYSA-N 0 2 306.281 0.270 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@]2(O)CCc3ccccc32)S1 ZINC000752566347 668019364 /nfs/dbraw/zinc/01/93/64/668019364.db2.gz VQIHBTDLWXPJPR-NHYWBVRUSA-N 0 2 319.386 0.493 20 0 DCADLN CC(C)[C@H](O)C(C)(C)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000754138518 668042027 /nfs/dbraw/zinc/04/20/27/668042027.db2.gz XRTNJPMBKGMNAI-WPRPVWTQSA-N 0 2 301.412 0.702 20 0 DCADLN CN(C)c1cccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC000755227113 668058292 /nfs/dbraw/zinc/05/82/92/668058292.db2.gz OTGDHJPTSQBBQL-VIFPVBQESA-N 0 2 307.379 0.320 20 0 DCADLN C[NH+](C)Cc1ccsc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000756104205 668079291 /nfs/dbraw/zinc/07/92/91/668079291.db2.gz YIVRQHKVMDQMOJ-JTQLQIEISA-N 0 2 322.394 0.537 20 0 DCADLN COc1cc2c(cc1OC)[C@H](C)[N@H+](CC(=O)NCC(=O)[O-])CC2 ZINC000873649903 668097645 /nfs/dbraw/zinc/09/76/45/668097645.db2.gz XVESMFFLDZFQJG-JTQLQIEISA-N 0 2 322.361 0.824 20 0 DCADLN COc1cc2c(cc1OC)[C@H](C)[N@@H+](CC(=O)NCC(=O)[O-])CC2 ZINC000873649903 668097648 /nfs/dbraw/zinc/09/76/48/668097648.db2.gz XVESMFFLDZFQJG-JTQLQIEISA-N 0 2 322.361 0.824 20 0 DCADLN O=C(COC(=O)CCc1nc[nH]n1)NC(=O)c1ccccc1 ZINC000756761624 668099703 /nfs/dbraw/zinc/09/97/03/668099703.db2.gz UQJVWDPQMONVKX-UHFFFAOYSA-N 0 2 302.290 0.237 20 0 DCADLN C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)[C@H](C)NC(=O)OC(C)(C)C ZINC000756835353 668102081 /nfs/dbraw/zinc/10/20/81/668102081.db2.gz BHLKTKGWIUUJQF-SFYZADRCSA-N 0 2 313.358 0.676 20 0 DCADLN CN(CC(=O)NCc1n[nH]c(=O)[nH]1)C(=O)OCc1ccccc1 ZINC000756835410 668102289 /nfs/dbraw/zinc/10/22/89/668102289.db2.gz CHHQSZRHBUODFD-UHFFFAOYSA-N 0 2 319.321 0.395 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1scnc1Br ZINC000758311222 668166326 /nfs/dbraw/zinc/16/63/26/668166326.db2.gz WVKWQMSEKDBFPF-UHFFFAOYSA-N 0 2 304.129 0.659 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCc1cc(=O)c(O)co1 ZINC000759120746 668207677 /nfs/dbraw/zinc/20/76/77/668207677.db2.gz LVPLMXNSERWSFP-UHFFFAOYSA-N 0 2 323.223 0.846 20 0 DCADLN CC(C)CO[C@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000759310603 668214661 /nfs/dbraw/zinc/21/46/61/668214661.db2.gz NXTZHQSUKLYLEL-NSHDSACASA-N 0 2 319.365 0.345 20 0 DCADLN O=C(C[NH+]1CCN(c2ccccn2)CC1)[N-]OCC(F)F ZINC000760142545 668258972 /nfs/dbraw/zinc/25/89/72/668258972.db2.gz UWKRJMGHBQWKDH-UHFFFAOYSA-N 0 2 300.309 0.517 20 0 DCADLN CC[C@@H](CC(F)(F)F)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000760776564 668287106 /nfs/dbraw/zinc/28/71/06/668287106.db2.gz WRJPKUGMRSQYGC-ZETCQYMHSA-N 0 2 317.271 0.918 20 0 DCADLN Cc1csc(-c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)n1 ZINC000762081181 668345500 /nfs/dbraw/zinc/34/55/00/668345500.db2.gz ONXKLWRRTVEZIC-UHFFFAOYSA-N 0 2 315.318 0.934 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](O)c2ccc(F)cc2)S1 ZINC000762305990 668355472 /nfs/dbraw/zinc/35/54/72/668355472.db2.gz CTHWAQLBNURZRD-NXEZZACHSA-N 0 2 311.338 0.532 20 0 DCADLN C[C@@H]([NH2+][C@H]1CCCN(CC[NH+]2CCOCC2)C1)c1cn(C)cn1 ZINC000926863227 668437047 /nfs/dbraw/zinc/43/70/47/668437047.db2.gz BAQGFJWRALONGY-CVEARBPZSA-N 0 2 321.469 0.867 20 0 DCADLN CC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@H](O)C(F)(F)F ZINC000765941094 668514481 /nfs/dbraw/zinc/51/44/81/668514481.db2.gz INWASASPUCUIIJ-VPLCAKHXSA-N 0 2 313.301 0.361 20 0 DCADLN C[C@@](O)(CNC(=O)c1cccc(Cn2cc[nH+]c2)c1)C(=O)[O-] ZINC000769758853 668660927 /nfs/dbraw/zinc/66/09/27/668660927.db2.gz XFHSURVEEFEGDC-OAHLLOKOSA-N 0 2 303.318 0.497 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cn(CCOC)nc2C)C1=O ZINC000769856707 668666949 /nfs/dbraw/zinc/66/69/49/668666949.db2.gz WUKIJTDTMUOOAC-CQSZACIVSA-N 0 2 323.353 0.203 20 0 DCADLN CS[C@H]1CCCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000772253023 668766848 /nfs/dbraw/zinc/76/68/48/668766848.db2.gz DSBJHDDVDQDPJG-JTQLQIEISA-N 0 2 321.406 0.815 20 0 DCADLN C[C@@H]1CN(c2ccnc(C(=O)NN3CCCC3=O)c2)C[C@@H](C)O1 ZINC000772539282 668777652 /nfs/dbraw/zinc/77/76/52/668777652.db2.gz YKDKGVXSDWZYGJ-VXGBXAGGSA-N 0 2 318.377 0.962 20 0 DCADLN C[C@@H]1CN(c2cc[nH+]c(C(=O)[N-]N3CCCC3=O)c2)C[C@@H](C)O1 ZINC000772539282 668777653 /nfs/dbraw/zinc/77/76/53/668777653.db2.gz YKDKGVXSDWZYGJ-VXGBXAGGSA-N 0 2 318.377 0.962 20 0 DCADLN O=C(Cc1ccc2c(c1)OCO2)NCCc1n[nH]c(=S)o1 ZINC000773098326 668792783 /nfs/dbraw/zinc/79/27/83/668792783.db2.gz UXJVSPLUTUZRPY-UHFFFAOYSA-N 0 2 307.331 0.988 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCc3ccccc3[C@@H]2CO)S1 ZINC000773501077 668805053 /nfs/dbraw/zinc/80/50/53/668805053.db2.gz MEUFJKMWDJKZSX-NWDGAFQWSA-N 0 2 319.386 0.661 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(CN2CCCC2=O)cc1 ZINC000774897443 668850493 /nfs/dbraw/zinc/85/04/93/668850493.db2.gz SPYYPGWZOAOYPT-UHFFFAOYSA-N 0 2 316.317 0.990 20 0 DCADLN CC1CCC(N2C[C@H](C(=O)OCc3n[nH]c(=O)[nH]3)CC2=O)CC1 ZINC000774948298 668852495 /nfs/dbraw/zinc/85/24/95/668852495.db2.gz MCPDVEAQJLXJLU-HSOILSAZSA-N 0 2 322.365 0.981 20 0 DCADLN NC(=O)c1ccccc1OCCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774967797 668852832 /nfs/dbraw/zinc/85/28/32/668852832.db2.gz PPBAARSBIWYUOG-UHFFFAOYSA-N 0 2 306.278 0.122 20 0 DCADLN Nc1ccccc1-n1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)n1 ZINC000774963143 668853054 /nfs/dbraw/zinc/85/30/54/668853054.db2.gz XPSGKYOWPYOBNW-UHFFFAOYSA-N 0 2 300.278 0.635 20 0 DCADLN C[C@H](OC(=O)C(C)(C)NC(=O)C(F)(F)F)c1nncn1C ZINC000805538404 668859227 /nfs/dbraw/zinc/85/92/27/668859227.db2.gz NQGUIRPUNXCUGK-LURJTMIESA-N 0 2 308.260 0.876 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2C2CCOCC2)S1 ZINC000777493015 668887774 /nfs/dbraw/zinc/88/77/74/668887774.db2.gz CELHNQSPCWKSJQ-MNOVXSKESA-N 0 2 311.407 0.960 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780510573 668991233 /nfs/dbraw/zinc/99/12/33/668991233.db2.gz JVPNKZATFTXFFS-RYUDHWBXSA-N 0 2 315.414 0.896 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780510573 668991234 /nfs/dbraw/zinc/99/12/34/668991234.db2.gz JVPNKZATFTXFFS-RYUDHWBXSA-N 0 2 315.414 0.896 20 0 DCADLN CCC[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543487 668992993 /nfs/dbraw/zinc/99/29/93/668992993.db2.gz OQXOTRBJEJXFPH-NSHDSACASA-N 0 2 301.387 0.650 20 0 DCADLN CCC[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543487 668992994 /nfs/dbraw/zinc/99/29/94/668992994.db2.gz OQXOTRBJEJXFPH-NSHDSACASA-N 0 2 301.387 0.650 20 0 DCADLN CN(C)c1ncc(CN(C)C(=O)C[C@H]2SC(=N)NC2=O)cn1 ZINC000781026623 669027149 /nfs/dbraw/zinc/02/71/49/669027149.db2.gz LNEYKLWXMMEULP-SECBINFHSA-N 0 2 322.394 0.057 20 0 DCADLN O=C(NN1CCCNC1=O)c1ccc(CN2CCOCC2)o1 ZINC000879410531 669029837 /nfs/dbraw/zinc/02/98/37/669029837.db2.gz WMVQGSMNYBWFOG-UHFFFAOYSA-N 0 2 308.338 0.172 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NN2Cc3ccccc3C2=O)S1 ZINC000782073781 669078390 /nfs/dbraw/zinc/07/83/90/669078390.db2.gz APKZHPPZPFWGPW-VIFPVBQESA-N 0 2 304.331 0.230 20 0 DCADLN C[C@@H]1C(=O)N[C@@H](C)[C@@H](C)[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000930835234 669090066 /nfs/dbraw/zinc/09/00/66/669090066.db2.gz AHPWXLJTRDMDIO-YNEHKIRRSA-N 0 2 305.378 0.832 20 0 DCADLN C[C@@H]1C(=O)N[C@@H](C)[C@@H](C)[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000930835234 669090069 /nfs/dbraw/zinc/09/00/69/669090069.db2.gz AHPWXLJTRDMDIO-YNEHKIRRSA-N 0 2 305.378 0.832 20 0 DCADLN O=C(NN1CCCNC1=O)c1csc(-c2cnccn2)n1 ZINC000783605076 669163512 /nfs/dbraw/zinc/16/35/12/669163512.db2.gz BIWNXOFYYRWQHU-UHFFFAOYSA-N 0 2 304.335 0.660 20 0 DCADLN O=C(NCC[N@H+]1CCc2c(Cl)cccc2C1)c1cc(=O)[nH][n-]1 ZINC000785425303 669255268 /nfs/dbraw/zinc/25/52/68/669255268.db2.gz MHHRJHSFWAMEDD-UHFFFAOYSA-N 0 2 320.780 0.690 20 0 DCADLN O=C(NCC[N@@H+]1CCc2c(Cl)cccc2C1)c1cc(=O)[nH][n-]1 ZINC000785425303 669255272 /nfs/dbraw/zinc/25/52/72/669255272.db2.gz MHHRJHSFWAMEDD-UHFFFAOYSA-N 0 2 320.780 0.690 20 0 DCADLN COc1ccnc(Cn2cc(CNC(=O)C(F)(F)F)nn2)c1 ZINC000881286853 669317309 /nfs/dbraw/zinc/31/73/09/669317309.db2.gz FGANBEUEKUUZRZ-UHFFFAOYSA-N 0 2 315.255 0.909 20 0 DCADLN CCOCC[C@@H](O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881420984 669340390 /nfs/dbraw/zinc/34/03/90/669340390.db2.gz FPNAITJAUHEZON-SECBINFHSA-N 0 2 310.276 0.244 20 0 DCADLN CC[N@H+]1CC[C@@H]1CNC(=O)C(=O)NCCNc1cccc(C)[nH+]1 ZINC000932817961 669424053 /nfs/dbraw/zinc/42/40/53/669424053.db2.gz MRKWJAUBLCRXAX-CYBMUJFWSA-N 0 2 319.409 0.129 20 0 DCADLN CCC[C@@H](CCCS(C)(=O)=O)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000789126544 669473877 /nfs/dbraw/zinc/47/38/77/669473877.db2.gz WZZKYOOSIUVLJL-VIFPVBQESA-N 0 2 319.383 0.795 20 0 DCADLN CO[C@H](C)CS(=O)(=O)Nc1cccc2c1N(C)C(=O)CO2 ZINC000882801747 669591437 /nfs/dbraw/zinc/59/14/37/669591437.db2.gz AYBVGXAWPIJULP-SECBINFHSA-N 0 2 314.363 0.818 20 0 DCADLN CCc1cc(O)ccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000791152589 669595191 /nfs/dbraw/zinc/59/51/91/669595191.db2.gz SAZPZDOCDBBDAK-UHFFFAOYSA-N 0 2 313.317 0.978 20 0 DCADLN CC(=O)N[C@@](C)(C(=O)NCCc1n[nH]c(=S)o1)C(C)C ZINC000935449357 669724139 /nfs/dbraw/zinc/72/41/39/669724139.db2.gz VUSYLFQXBPRHQR-GFCCVEGCSA-N 0 2 300.384 0.568 20 0 DCADLN O=C(c1cccc(F)c1O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000935456819 669724924 /nfs/dbraw/zinc/72/49/24/669724924.db2.gz MTMOCUIMQRSGFT-SECBINFHSA-N 0 2 309.326 0.975 20 0 DCADLN CCOc1ccccc1C(F)(F)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000132909762 669755459 /nfs/dbraw/zinc/75/54/59/669755459.db2.gz LHBMCUNJMNBGAK-UHFFFAOYSA-N 0 2 312.276 0.905 20 0 DCADLN O=C(OCC1(CO)COC1)c1nn(-c2ccccc2F)cc1O ZINC000801379811 670127216 /nfs/dbraw/zinc/12/72/16/670127216.db2.gz NTLLOOFGBLHDDE-UHFFFAOYSA-N 0 2 322.292 0.883 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCc1ccc[n+]([O-])c1 ZINC000802179194 670156078 /nfs/dbraw/zinc/15/60/78/670156078.db2.gz NIVKBQOHBTZAGC-UHFFFAOYSA-N 0 2 306.240 0.820 20 0 DCADLN Cn1cc(CC[NH+]2CCN(C(=O)C[N@H+](C)C3CCC3)CC2)cn1 ZINC000948771150 670177779 /nfs/dbraw/zinc/17/77/79/670177779.db2.gz NGHKGAHXIIUQJZ-UHFFFAOYSA-N 0 2 319.453 0.591 20 0 DCADLN Cn1ncc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000951097352 670194891 /nfs/dbraw/zinc/19/48/91/670194891.db2.gz KDWOJYHUUBPRJF-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)NC[C@@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)n1 ZINC000951097352 670194894 /nfs/dbraw/zinc/19/48/94/670194894.db2.gz KDWOJYHUUBPRJF-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cn[nH]c1 ZINC000948930142 670218038 /nfs/dbraw/zinc/21/80/38/670218038.db2.gz PMHWBVNNSONDNW-JGVFFNPUSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cn[nH]c1 ZINC000948930142 670218042 /nfs/dbraw/zinc/21/80/42/670218042.db2.gz PMHWBVNNSONDNW-JGVFFNPUSA-N 0 2 308.235 0.641 20 0 DCADLN Cc1n[nH]cc1C1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC000803639362 670255932 /nfs/dbraw/zinc/25/59/32/670255932.db2.gz CYHIFBLYWIHNGO-NSHDSACASA-N 0 2 321.406 0.981 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C1=CCOCC1 ZINC000949544758 670367027 /nfs/dbraw/zinc/36/70/27/670367027.db2.gz AOXCJBGRIYWWFX-UWVGGRQHSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C1=CCOCC1 ZINC000949544758 670367030 /nfs/dbraw/zinc/36/70/30/670367030.db2.gz AOXCJBGRIYWWFX-UWVGGRQHSA-N 0 2 324.274 0.951 20 0 DCADLN Cc1ccc(F)cc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949543224 670367347 /nfs/dbraw/zinc/36/73/47/670367347.db2.gz KKDLJOGVWDDHTR-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN O=C([C@H](O)c1ccccc1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000807974088 670383495 /nfs/dbraw/zinc/38/34/95/670383495.db2.gz SJAVIGNJLNHINL-GFCCVEGCSA-N 0 2 302.334 0.950 20 0 DCADLN CCN(C(=O)C1CC(F)(F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949864573 670451256 /nfs/dbraw/zinc/45/12/56/670451256.db2.gz ZWVHTSYHJDTPER-UHFFFAOYSA-N 0 2 315.324 0.588 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCc1cccc(F)c1 ZINC000811107651 670507173 /nfs/dbraw/zinc/50/71/73/670507173.db2.gz GINPNIDYBRAFJX-UHFFFAOYSA-N 0 2 316.292 0.996 20 0 DCADLN Cn1cc(-c2cc3c(nn2)CCN(Cc2n[nH]c(=O)[nH]2)C3)cn1 ZINC000854128405 670526286 /nfs/dbraw/zinc/52/62/86/670526286.db2.gz GQKAWWARHITSIQ-UHFFFAOYSA-N 0 2 312.337 0.259 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@H]1CCCCO1 ZINC000847250522 670527491 /nfs/dbraw/zinc/52/74/91/670527491.db2.gz NBJTZGQKOKXMSY-VXGBXAGGSA-N 0 2 319.365 0.535 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC000854714626 670615713 /nfs/dbraw/zinc/61/57/13/670615713.db2.gz FPNSRDABVMUGPN-BQBZGAKWSA-N 0 2 318.267 0.889 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC000854714626 670615716 /nfs/dbraw/zinc/61/57/16/670615716.db2.gz FPNSRDABVMUGPN-BQBZGAKWSA-N 0 2 318.267 0.889 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cccc3oc(=O)[nH]c32)C1=O ZINC000848009138 670620756 /nfs/dbraw/zinc/62/07/56/670620756.db2.gz YGCZFUQVPKSCBW-CQSZACIVSA-N 0 2 318.289 0.899 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c2ncnn21 ZINC000855327970 670697926 /nfs/dbraw/zinc/69/79/26/670697926.db2.gz NXBQIGQBOVBGGV-RQJHMYQMSA-N 0 2 319.287 0.857 20 0 DCADLN Cc1ccncc1CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855391602 670703376 /nfs/dbraw/zinc/70/33/76/670703376.db2.gz BQPBPPRZSYPROY-UHFFFAOYSA-N 0 2 312.333 0.134 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000815046529 670712860 /nfs/dbraw/zinc/71/28/60/670712860.db2.gz XCPMACUMRAYLJQ-QMMMGPOBSA-N 0 2 312.355 0.110 20 0 DCADLN COCc1nnc(CNC(=O)c2cc(Br)n[nH]2)[nH]1 ZINC000855518905 670728187 /nfs/dbraw/zinc/72/81/87/670728187.db2.gz UTQDAHMPIODUSX-UHFFFAOYSA-N 0 2 315.131 0.367 20 0 DCADLN O=C(Cc1ccc(F)cn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000816472269 670814086 /nfs/dbraw/zinc/81/40/86/670814086.db2.gz YYZIQEAFKXCLEE-UHFFFAOYSA-N 0 2 305.313 0.993 20 0 DCADLN C[C@H](NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1)C(N)=O ZINC000858212432 670980699 /nfs/dbraw/zinc/98/06/99/670980699.db2.gz UNHNSSNONKURLN-YFKPBYRVSA-N 0 2 307.228 0.062 20 0 DCADLN C[C@H](O)CCNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000859459135 671116034 /nfs/dbraw/zinc/11/60/34/671116034.db2.gz CSIMQHRMTJFDLK-ZETCQYMHSA-N 0 2 308.256 0.959 20 0 DCADLN CC(C)Oc1cccc([C@@H](O)CNC(=O)c2nc(=O)[nH][nH]2)c1 ZINC000116985017 671148732 /nfs/dbraw/zinc/14/87/32/671148732.db2.gz BWAKZJCOGKVEHI-NSHDSACASA-N 0 2 306.322 0.349 20 0 DCADLN CC(C)OCCO[N-]C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000820014053 671154717 /nfs/dbraw/zinc/15/47/17/671154717.db2.gz LVLZNOVCUSYLQY-KGLIPLIRSA-N 0 2 316.398 0.339 20 0 DCADLN C[C@@H](CCO)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860118769 671179964 /nfs/dbraw/zinc/17/99/64/671179964.db2.gz OKHUVNAMXQOTIK-ZETCQYMHSA-N 0 2 308.256 0.959 20 0 DCADLN CC(C)c1[nH][nH]c(=O)c1C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000853000970 671201597 /nfs/dbraw/zinc/20/15/97/671201597.db2.gz JHCQZFMHMCKEBO-SNVBAGLBSA-N 0 2 324.362 0.031 20 0 DCADLN CCc1noc(NS(=O)(=O)c2cnn(CC(F)F)c2)n1 ZINC000820800943 671229288 /nfs/dbraw/zinc/22/92/88/671229288.db2.gz NVSLAANJTDLTFZ-UHFFFAOYSA-N 0 2 307.282 0.894 20 0 DCADLN O=C(Nc1nc(-c2ccccn2)n[nH]1)c1cc(-c2nn[nH]n2)c[nH]1 ZINC000823383681 671391422 /nfs/dbraw/zinc/39/14/22/671391422.db2.gz XNLYIRCZFWLJDF-UHFFFAOYSA-N 0 2 322.292 0.627 20 0 DCADLN CN1CCc2cc(NC(=O)NCc3n[nH]c(=O)[nH]3)ccc2C1=O ZINC000825043143 671448045 /nfs/dbraw/zinc/44/80/45/671448045.db2.gz AYWBPVBOSCAWQB-UHFFFAOYSA-N 0 2 316.321 0.460 20 0 DCADLN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)CCc2c[nH]nn2)C1 ZINC000830796152 671640972 /nfs/dbraw/zinc/64/09/72/671640972.db2.gz XDHPYLOFSMMAQH-LLVKDONJSA-N 0 2 319.287 0.407 20 0 DCADLN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)CCc2cn[nH]n2)C1 ZINC000830796152 671640973 /nfs/dbraw/zinc/64/09/73/671640973.db2.gz XDHPYLOFSMMAQH-LLVKDONJSA-N 0 2 319.287 0.407 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@@H+](C)[C@@H](C)CO)nc(=O)c12 ZINC000833741702 671749582 /nfs/dbraw/zinc/74/95/82/671749582.db2.gz VDZSOEDPIXNTLY-LURJTMIESA-N 0 2 311.363 0.804 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@H+](C)[C@@H](C)CO)nc(=O)c12 ZINC000833741702 671749584 /nfs/dbraw/zinc/74/95/84/671749584.db2.gz VDZSOEDPIXNTLY-LURJTMIESA-N 0 2 311.363 0.804 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000844219461 672164759 /nfs/dbraw/zinc/16/47/59/672164759.db2.gz TYUKCAHRYNZCNG-WBMJQRKESA-N 0 2 320.393 0.986 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000844219461 672164760 /nfs/dbraw/zinc/16/47/60/672164760.db2.gz TYUKCAHRYNZCNG-WBMJQRKESA-N 0 2 320.393 0.986 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C2(CCC2)CO1 ZINC000844922093 672210224 /nfs/dbraw/zinc/21/02/24/672210224.db2.gz LJNOHQPYRFDTDY-SNVBAGLBSA-N 0 2 317.349 0.241 20 0 DCADLN CCO[N-]C(=O)CNC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000845544717 672259122 /nfs/dbraw/zinc/25/91/22/672259122.db2.gz FBTPTXWMYZWRSD-UHFFFAOYSA-N 0 2 317.349 0.739 20 0 DCADLN C[C@@H](CC(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1)n1cc[nH+]c1 ZINC000846116476 672294661 /nfs/dbraw/zinc/29/46/61/672294661.db2.gz BPQXBSRDNOOKGJ-SLEUVZQESA-N 0 2 307.350 0.784 20 0 DCADLN Cn1cc([C@@H]2N(C(=O)C[C@@H]3SC(=N)NC3=O)CC2(C)C)cn1 ZINC000846160720 672298306 /nfs/dbraw/zinc/29/83/06/672298306.db2.gz XQSALVAGENRVBW-ONGXEEELSA-N 0 2 321.406 0.886 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cn[nH]n2)CCN1C(=O)C(F)C(F)(F)F ZINC001020322334 693768519 /nfs/dbraw/zinc/76/85/19/693768519.db2.gz KMNBZEIMFUKSLV-VMHSAVOQSA-N 0 2 323.250 0.424 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)c1ccco1 ZINC000961237762 688578881 /nfs/dbraw/zinc/57/88/81/688578881.db2.gz OYLQYLMMBWXQBH-FJXKBIBVSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O)c1ccco1 ZINC000961237762 688578885 /nfs/dbraw/zinc/57/88/85/688578885.db2.gz OYLQYLMMBWXQBH-FJXKBIBVSA-N 0 2 324.230 0.482 20 0 DCADLN Cc1cocc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000961473895 688627378 /nfs/dbraw/zinc/62/73/78/688627378.db2.gz JNUTWYBFFKUBEP-GDGBQDQQSA-N 0 2 303.322 0.272 20 0 DCADLN Cc1cocc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000961473895 688627379 /nfs/dbraw/zinc/62/73/79/688627379.db2.gz JNUTWYBFFKUBEP-GDGBQDQQSA-N 0 2 303.322 0.272 20 0 DCADLN CCC(=O)N[C@@H]1COC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000965581818 689487638 /nfs/dbraw/zinc/48/76/38/689487638.db2.gz XIYGTNZISIGIDF-IONNQARKSA-N 0 2 312.263 0.783 20 0 DCADLN CCC(=O)N[C@@H]1COC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC000965581818 689487640 /nfs/dbraw/zinc/48/76/40/689487640.db2.gz XIYGTNZISIGIDF-IONNQARKSA-N 0 2 312.263 0.783 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)Cc1ccon1 ZINC000965652177 689518561 /nfs/dbraw/zinc/51/85/61/689518561.db2.gz HFEZEZYUWMIAKN-ONGXEEELSA-N 0 2 320.353 0.068 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cnon1 ZINC000969084971 690466427 /nfs/dbraw/zinc/46/64/27/690466427.db2.gz IBBBIKDJMWXSMF-VIFPVBQESA-N 0 2 321.341 0.068 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cnon1 ZINC000969084971 690466430 /nfs/dbraw/zinc/46/64/30/690466430.db2.gz IBBBIKDJMWXSMF-VIFPVBQESA-N 0 2 321.341 0.068 20 0 DCADLN CC[C@]1(C)C[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000983412414 690521839 /nfs/dbraw/zinc/52/18/39/690521839.db2.gz REWAETMJIQXDCW-IAQYHMDHSA-N 0 2 307.398 0.981 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCOC1 ZINC001006905397 690602813 /nfs/dbraw/zinc/60/28/13/690602813.db2.gz LCSNVVGQQFTEDS-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1F ZINC001006996741 690617791 /nfs/dbraw/zinc/61/77/91/690617791.db2.gz UYNWOKGJFDNCCK-VIFPVBQESA-N 0 2 320.328 0.439 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCOCC1 ZINC001007246447 690648442 /nfs/dbraw/zinc/64/84/42/690648442.db2.gz SJIUQVAZYOTIPU-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN CCOC1CC(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001007251839 690649602 /nfs/dbraw/zinc/64/96/02/690649602.db2.gz VIOQDKFYIUTOCS-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(Cc1ccccc1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007673503 690726148 /nfs/dbraw/zinc/72/61/48/690726148.db2.gz JZXSIAWTJVFCAY-CYBMUJFWSA-N 0 2 315.377 0.834 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001009961279 691137204 /nfs/dbraw/zinc/13/72/04/691137204.db2.gz SFYOEDKLNKSWGG-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)o1 ZINC001010210682 691188487 /nfs/dbraw/zinc/18/84/87/691188487.db2.gz CLRFGCQHYCTNPC-ZETCQYMHSA-N 0 2 311.729 0.761 20 0 DCADLN O=C(CC1CCOCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010400704 691259953 /nfs/dbraw/zinc/25/99/53/691259953.db2.gz SIXQCHLLIWEWDR-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)c1ocnc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015955862 692520484 /nfs/dbraw/zinc/52/04/84/692520484.db2.gz QMCWIROOQSJBBG-VIFPVBQESA-N 0 2 320.353 0.626 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(F)cc(F)c1 ZINC001016189503 692594954 /nfs/dbraw/zinc/59/49/54/692594954.db2.gz ZJRZWNADXITPML-NSHDSACASA-N 0 2 323.303 0.793 20 0 DCADLN CCc1cc(C(=O)N(C)C2CC(NC(=O)c3cnn[nH]3)C2)[nH]n1 ZINC001016270716 692628178 /nfs/dbraw/zinc/62/81/78/692628178.db2.gz NIEIMEJTFQAZTC-UHFFFAOYSA-N 0 2 317.353 0.123 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CCN(C(=O)CCc3nc[nH]n3)C2)on1 ZINC001018687035 693193618 /nfs/dbraw/zinc/19/36/18/693193618.db2.gz QBAJAYRQZUZORK-NSHDSACASA-N 0 2 304.354 0.424 20 0 DCADLN COCC(=O)N1CC2(C1)CCN(C(=O)C(F)C(F)(F)F)C2 ZINC001018690692 693195763 /nfs/dbraw/zinc/19/57/63/693195763.db2.gz IIORRLFTENODSL-SECBINFHSA-N 0 2 312.263 0.594 20 0 DCADLN COCC(=O)N1CC2(C1)CCN(C(=O)[C@@H](F)C(F)(F)F)C2 ZINC001018690692 693195767 /nfs/dbraw/zinc/19/57/67/693195767.db2.gz IIORRLFTENODSL-SECBINFHSA-N 0 2 312.263 0.594 20 0 DCADLN CC1(C)C[C@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001073578796 694746009 /nfs/dbraw/zinc/74/60/09/694746009.db2.gz WJQYFXFSSNOVPX-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]3OCC[N@H+](CC4CC4)[C@@H]3C2)c1[O-] ZINC001074219791 694843417 /nfs/dbraw/zinc/84/34/17/694843417.db2.gz WJDGOQVVZWYQCJ-CHWSQXEVSA-N 0 2 320.393 0.749 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]3OCC[N@@H+](CC4CC4)[C@@H]3C2)c1[O-] ZINC001074219791 694843419 /nfs/dbraw/zinc/84/34/19/694843419.db2.gz WJDGOQVVZWYQCJ-CHWSQXEVSA-N 0 2 320.393 0.749 20 0 DCADLN COCCC(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001075239149 694943142 /nfs/dbraw/zinc/94/31/42/694943142.db2.gz CQCUYSXHZQCUOP-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN COCCC(=O)NCC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001075239149 694943143 /nfs/dbraw/zinc/94/31/43/694943143.db2.gz CQCUYSXHZQCUOP-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN Cc1[nH]ccc1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075666411 694967072 /nfs/dbraw/zinc/96/70/72/694967072.db2.gz FHSSNLSRVMSRSB-CMPLNLGQSA-N 0 2 316.365 0.493 20 0 DCADLN Cc1[nH]ccc1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075666411 694967073 /nfs/dbraw/zinc/96/70/73/694967073.db2.gz FHSSNLSRVMSRSB-CMPLNLGQSA-N 0 2 316.365 0.493 20 0 DCADLN C[C@@H](CCNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001075864988 694982118 /nfs/dbraw/zinc/98/21/18/694982118.db2.gz YYNJLKLQPTYFLJ-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1ccnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001075864988 694982119 /nfs/dbraw/zinc/98/21/19/694982119.db2.gz YYNJLKLQPTYFLJ-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC(C)N(CCCNC(=O)C(N)=O)C(=O)C(F)C(F)(F)F ZINC001745623580 1158057207 /nfs/dbraw/zinc/05/72/07/1158057207.db2.gz TYTGKRRYVGQUJM-SSDOTTSWSA-N 0 2 315.267 0.115 20 0 DCADLN CC(C)N(CCCNC(=O)C(N)=O)C(=O)[C@@H](F)C(F)(F)F ZINC001745623580 1158057213 /nfs/dbraw/zinc/05/72/13/1158057213.db2.gz TYTGKRRYVGQUJM-SSDOTTSWSA-N 0 2 315.267 0.115 20 0 DCADLN CNC(=O)Cn1cc(-n2c(O)c(CC(F)(F)F)[nH]c2=O)cn1 ZINC000348717384 1158903311 /nfs/dbraw/zinc/90/33/11/1158903311.db2.gz KWRSCAZETNSYLZ-ZETCQYMHSA-N 0 2 319.243 0.006 20 0 DCADLN CCN(C)C(=O)CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001446033329 1159328731 /nfs/dbraw/zinc/32/87/31/1159328731.db2.gz WRILNZCTHUQJAH-SCZZXKLOSA-N 0 2 313.295 0.556 20 0 DCADLN CCN(C)C(=O)CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001446033329 1159328724 /nfs/dbraw/zinc/32/87/24/1159328724.db2.gz WRILNZCTHUQJAH-SCZZXKLOSA-N 0 2 313.295 0.556 20 0 DCADLN CC(=O)CCCC(=O)NC1(C)CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001446460065 1159423821 /nfs/dbraw/zinc/42/38/21/1159423821.db2.gz KSRKFLZNBDUDEN-UHFFFAOYSA-N 0 2 323.397 0.740 20 0 DCADLN O=C(NCCOCCCNC(=O)C1CC1)C(F)C(F)(F)F ZINC001572202742 1163063388 /nfs/dbraw/zinc/06/33/88/1163063388.db2.gz JNTKOVVSPRIWDR-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCOCCCNC(=O)C1CC1)[C@H](F)C(F)(F)F ZINC001572202742 1163063392 /nfs/dbraw/zinc/06/33/92/1163063392.db2.gz JNTKOVVSPRIWDR-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN CC(C)=CC(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001569344255 1161017707 /nfs/dbraw/zinc/01/77/07/1161017707.db2.gz DAQMOSZSKYEJHG-CYBMUJFWSA-N 0 2 315.377 0.679 20 0 DCADLN C[C@H](NC(=O)N1CC([C@H](F)C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC001573560899 1163500249 /nfs/dbraw/zinc/50/02/49/1163500249.db2.gz ABOBLWAYVXNKRU-NHCYSSNCSA-N 0 2 317.361 0.160 20 0 DCADLN C[C@@H](NC(=O)c1cc(C[NH+](C)C)on1)c1nc(C(=O)[O-])n[nH]1 ZINC001573569428 1163504972 /nfs/dbraw/zinc/50/49/72/1163504972.db2.gz XLEZWKYRYGKYNA-ZCFIWIBFSA-N 0 2 308.298 0.044 20 0 DCADLN CC[N@@H+](CC(=O)OC)C[C@H](O)CC1(O)CCC(C(=O)[O-])CC1 ZINC001573769479 1163548121 /nfs/dbraw/zinc/54/81/21/1163548121.db2.gz WSEVEWSZOVXYFN-MQYJIDSJSA-N 0 2 317.382 0.238 20 0 DCADLN CC[N@H+](CC(=O)OC)C[C@H](O)CC1(O)CCC(C(=O)[O-])CC1 ZINC001573769479 1163548126 /nfs/dbraw/zinc/54/81/26/1163548126.db2.gz WSEVEWSZOVXYFN-MQYJIDSJSA-N 0 2 317.382 0.238 20 0 DCADLN CCn1cc(C(=O)[O-])c(NC(=O)C[NH+]2CCC(CO)CC2)n1 ZINC001573844769 1163565331 /nfs/dbraw/zinc/56/53/31/1163565331.db2.gz NYNDBBJUWZBXAG-UHFFFAOYSA-N 0 2 310.354 0.244 20 0 DCADLN O=C(C[N@H+]1CCC[C@H](C(=O)[O-])C1)NCc1n[nH]c(C2CC2)n1 ZINC001574299254 1163687659 /nfs/dbraw/zinc/68/76/59/1163687659.db2.gz ATTCXIFLDGAKLI-JTQLQIEISA-N 0 2 307.354 0.095 20 0 DCADLN O=C(C[N@@H+]1CCC[C@H](C(=O)[O-])C1)NCc1n[nH]c(C2CC2)n1 ZINC001574299254 1163687662 /nfs/dbraw/zinc/68/76/62/1163687662.db2.gz ATTCXIFLDGAKLI-JTQLQIEISA-N 0 2 307.354 0.095 20 0 DCADLN CCO[C@@H](CC(=O)N[C@H]1C[C@@H]([NH2+]Cc2c[nH]nn2)C1)C(C)C ZINC001576569843 1164394748 /nfs/dbraw/zinc/39/47/48/1164394748.db2.gz QLCXMSFNVXSCIY-SCRDCRAPSA-N 0 2 309.414 0.993 20 0 DCADLN CN(CCCNC(=O)c1cncn1C)C(=O)C(F)C(F)(F)F ZINC001577163340 1164619416 /nfs/dbraw/zinc/61/94/16/1164619416.db2.gz VLSSIYIJCYJHBY-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)c1cncn1C)C(=O)[C@H](F)C(F)(F)F ZINC001577163340 1164619419 /nfs/dbraw/zinc/61/94/19/1164619419.db2.gz VLSSIYIJCYJHBY-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN Cc1c[nH]c(C(=O)N2CCC(C[N@H+](C)Cc3cnn[nH]3)CC2)n1 ZINC001577246374 1164648559 /nfs/dbraw/zinc/64/85/59/1164648559.db2.gz XNRUKJYLTJMHNE-UHFFFAOYSA-N 0 2 317.397 0.820 20 0 DCADLN Cc1c[nH]c(C(=O)N2CCC(C[N@@H+](C)Cc3c[nH]nn3)CC2)n1 ZINC001577246374 1164648567 /nfs/dbraw/zinc/64/85/67/1164648567.db2.gz XNRUKJYLTJMHNE-UHFFFAOYSA-N 0 2 317.397 0.820 20 0 DCADLN O=C(NCC[C@H]1CC[N@H+](Cc2c[nH]nn2)C1)c1ccccn1 ZINC001578006545 1164887973 /nfs/dbraw/zinc/88/79/73/1164887973.db2.gz VGMGLGCNCRUUSD-LBPRGKRZSA-N 0 2 300.366 0.842 20 0 DCADLN COC1CCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)CC1 ZINC001579618674 1165360714 /nfs/dbraw/zinc/36/07/14/1165360714.db2.gz MFVLFOOCEGGMTI-UHFFFAOYSA-N 0 2 318.337 0.527 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCO[C@H](C)[C@H]1C ZINC001580600101 1165651684 /nfs/dbraw/zinc/65/16/84/1165651684.db2.gz LWHSDTIQLJQBQL-RKDXNWHRSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1nn(Cc2c(F)cncc2F)c(=O)c(-c2nn[nH]n2)c1C ZINC001581604189 1165849940 /nfs/dbraw/zinc/84/99/40/1165849940.db2.gz XISQPDZEZYIXER-UHFFFAOYSA-N 0 2 319.275 0.762 20 0 DCADLN Cn1c(=O)[nH]c(Oc2cccc(Cl)c2)c(-c2nn[nH]n2)c1=O ZINC001581748744 1165864640 /nfs/dbraw/zinc/86/46/40/1165864640.db2.gz SBQRETAZLVNSRV-UHFFFAOYSA-N 0 2 320.696 0.699 20 0 DCADLN Cc1cccc(CC(=O)N[C@@](C)(CNC(=O)C(N)=O)C2CC2)c1 ZINC001582656440 1166063100 /nfs/dbraw/zinc/06/31/00/1166063100.db2.gz QMWVWSOCWGREPN-KRWDZBQOSA-N 0 2 317.389 0.424 20 0 DCADLN CC(C)CC(=O)NC[C@](C)(NC(=O)C(=O)NCC1CC1)C1CC1 ZINC001582656858 1166063138 /nfs/dbraw/zinc/06/31/38/1166063138.db2.gz VKZLGXZARFCZMQ-KRWDZBQOSA-N 0 2 323.437 0.960 20 0 DCADLN CCCc1[nH]ccc1C(=O)NC[C@@](C)(NC(=O)C(N)=O)C1CC1 ZINC001582658756 1166063190 /nfs/dbraw/zinc/06/31/90/1166063190.db2.gz INEWXVPRZXZPJM-MRXNPFEDSA-N 0 2 320.393 0.467 20 0 DCADLN CC[C@@H](Cn1c(=O)c(-c2nn[nH]n2)c(C)n(C2CC2)c1=O)OC ZINC001590452319 1166910224 /nfs/dbraw/zinc/91/02/24/1166910224.db2.gz QKICDRQVAJZUNY-JTQLQIEISA-N 0 2 320.353 0.258 20 0 DCADLN CC[C@H](Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2=O)OC ZINC001590452525 1166910475 /nfs/dbraw/zinc/91/04/75/1166910475.db2.gz WVPRLZVPIVCEPF-SECBINFHSA-N 0 2 317.349 0.972 20 0 DCADLN CCC[C@@](C)(CO)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001590542567 1166938889 /nfs/dbraw/zinc/93/88/89/1166938889.db2.gz ABXLACNBSXPJRF-AWEZNQCLSA-N 0 2 320.353 0.557 20 0 DCADLN CCc1nc(C)c(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)o1 ZINC001590911960 1167049399 /nfs/dbraw/zinc/04/93/99/1167049399.db2.gz VOTLTJAZFRQQHG-UHFFFAOYSA-N 0 2 303.282 0.036 20 0 DCADLN CCc1nn(C[C@H]2CC(C)=NO2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929217 1167055234 /nfs/dbraw/zinc/05/52/34/1167055234.db2.gz APEZFDHKZMHFAD-SECBINFHSA-N 0 2 317.353 0.713 20 0 DCADLN CCc1noc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)n1 ZINC001590934901 1167057393 /nfs/dbraw/zinc/05/73/93/1167057393.db2.gz NYARZWDWKDHULU-UHFFFAOYSA-N 0 2 321.322 0.613 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC(C2CC2)C1 ZINC001591835422 1167390849 /nfs/dbraw/zinc/39/08/49/1167390849.db2.gz XJNDCLWTJJXRIR-UHFFFAOYSA-N 0 2 300.322 0.758 20 0 DCADLN Cc1ccc(C)c(Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)c1 ZINC001592141170 1167463626 /nfs/dbraw/zinc/46/36/26/1167463626.db2.gz ZTJBTHBHOZCUHV-UHFFFAOYSA-N 0 2 312.333 0.392 20 0 DCADLN Cc1ccn(Cc2nnsc2Cl)c(=O)c1-c1nn[nH]n1 ZINC001592265923 1167480374 /nfs/dbraw/zinc/48/03/74/1167480374.db2.gz PTKZCTVOLCHUMX-UHFFFAOYSA-N 0 2 309.742 0.890 20 0 DCADLN Cc1ccn(CN2CCCO[C@@H](C)C2)c(=O)c1-c1nn[nH]n1 ZINC001592266668 1167481082 /nfs/dbraw/zinc/48/10/82/1167481082.db2.gz FMLBYNQPKNROMC-NSHDSACASA-N 0 2 304.354 0.405 20 0 DCADLN Cc1conc1CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001592311632 1167486120 /nfs/dbraw/zinc/48/61/20/1167486120.db2.gz DGGNJASOSHYMFZ-UHFFFAOYSA-N 0 2 315.293 0.502 20 0 DCADLN Cc1nccc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)n1 ZINC001592416465 1167516639 /nfs/dbraw/zinc/51/66/39/1167516639.db2.gz AYJPKCFYGLZRBJ-UHFFFAOYSA-N 0 2 309.333 0.664 20 0 DCADLN Cn1c(=O)[nH]c(NCC[C@H]2CCSC2)c(-c2nn[nH]n2)c1=O ZINC001592545501 1167550306 /nfs/dbraw/zinc/55/03/06/1167550306.db2.gz BIRVHMLMCGYJIW-ZETCQYMHSA-N 0 2 323.382 0.221 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(NS(=O)(=O)CCCCF)n1 ZINC001592559856 1167561768 /nfs/dbraw/zinc/56/17/68/1167561768.db2.gz GYGDBBJGZQKISN-UHFFFAOYSA-N 0 2 303.323 0.092 20 0 DCADLN Nc1[nH+]c(N[C@@H]2CC[N@@H+]3CCC[C@H]3C2)ccc1-c1nn[nH]n1 ZINC001592763059 1167637342 /nfs/dbraw/zinc/63/73/42/1167637342.db2.gz IRHCSVJCQGCMMC-ZJUUUORDSA-N 0 2 300.370 0.883 20 0 DCADLN Nc1[nH+]c(N[C@@H]2CC[N@H+]3CCC[C@H]3C2)ccc1-c1nn[nH]n1 ZINC001592763059 1167637345 /nfs/dbraw/zinc/63/73/45/1167637345.db2.gz IRHCSVJCQGCMMC-ZJUUUORDSA-N 0 2 300.370 0.883 20 0 DCADLN O=C(NCc1cncc(-c2nn[nH]n2)c1)C(F)C(F)(F)F ZINC001593151113 1167725403 /nfs/dbraw/zinc/72/54/03/1167725403.db2.gz YQSMTEZVDDUHCQ-SSDOTTSWSA-N 0 2 304.207 0.778 20 0 DCADLN O=C(NCc1cncc(-c2nn[nH]n2)c1)[C@@H](F)C(F)(F)F ZINC001593151113 1167725410 /nfs/dbraw/zinc/72/54/10/1167725410.db2.gz YQSMTEZVDDUHCQ-SSDOTTSWSA-N 0 2 304.207 0.778 20 0 DCADLN O=C(NCc1cncc(-c2nn[nH]n2)c1)c1ccc2[nH]nnc2c1 ZINC001593148545 1167726141 /nfs/dbraw/zinc/72/61/41/1167726141.db2.gz MSJBLSVYFUFLIZ-UHFFFAOYSA-N 0 2 321.304 0.463 20 0 DCADLN O=c1c(-c2nn[nH]n2)cnc2ncn(CC[C@@H]3C[C@H]3C3CC3)n21 ZINC001593494668 1167877030 /nfs/dbraw/zinc/87/70/30/1167877030.db2.gz OWCJJNUOCPMOGN-ZJUUUORDSA-N 0 2 312.337 0.507 20 0 DCADLN Cc1cc(NC(=O)C(=O)NCc2c[nH+]cn2C)c(C(=O)[O-])s1 ZINC001600249904 1168150373 /nfs/dbraw/zinc/15/03/73/1168150373.db2.gz FINFXVUSIBTCHR-UHFFFAOYSA-N 0 2 322.346 0.743 20 0 DCADLN Cc1ccc(N2CCN(c3nncn3C)CC2)[nH+]c1C(=O)[O-] ZINC001600436349 1168183498 /nfs/dbraw/zinc/18/34/98/1168183498.db2.gz AKOBZRSBMFQIFD-UHFFFAOYSA-N 0 2 302.338 0.543 20 0 DCADLN Cc1cccc(C(=O)C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001600511104 1168193911 /nfs/dbraw/zinc/19/39/11/1168193911.db2.gz RQCFPJOPHSNTBJ-LBPRGKRZSA-N 0 2 301.302 0.644 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N[C@H](CC2OCCO2)C(=O)[O-])c[nH+]1 ZINC001600611305 1168211916 /nfs/dbraw/zinc/21/19/16/1168211916.db2.gz GLZPDLJFVALCGN-SNVBAGLBSA-N 0 2 311.338 0.259 20 0 DCADLN Cc1nc(CCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cs1 ZINC001600698444 1168257288 /nfs/dbraw/zinc/25/72/88/1168257288.db2.gz CFEZUJLWUBIQKE-NSHDSACASA-N 0 2 308.363 0.919 20 0 DCADLN Cc1[nH+]cc(CN2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)n1C ZINC001600735722 1168267619 /nfs/dbraw/zinc/26/76/19/1168267619.db2.gz CUKLLLRMWBTXMY-NSHDSACASA-N 0 2 304.354 0.855 20 0 DCADLN Cc1nonc1C[N@@H+]1CCC2(CN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001600818247 1168473869 /nfs/dbraw/zinc/47/38/69/1168473869.db2.gz CDNAVAUWPYAKGN-QWRGUYRKSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1nonc1C[N@H+]1CCC2(CN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001600818247 1168473898 /nfs/dbraw/zinc/47/38/98/1168473898.db2.gz CDNAVAUWPYAKGN-QWRGUYRKSA-N 0 2 320.349 0.133 20 0 DCADLN Cn1nc2ccccc2c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601019913 1168566547 /nfs/dbraw/zinc/56/65/47/1168566547.db2.gz RPODHIHRPXQHDP-GFCCVEGCSA-N 0 2 313.317 0.722 20 0 DCADLN Cn1nc2ccccc2c1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601019913 1168566562 /nfs/dbraw/zinc/56/65/62/1168566562.db2.gz RPODHIHRPXQHDP-GFCCVEGCSA-N 0 2 313.317 0.722 20 0 DCADLN Cn1ncc(C2CC2)c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601025968 1168569946 /nfs/dbraw/zinc/56/99/46/1168569946.db2.gz ZBEZZHGRYOISJP-LLVKDONJSA-N 0 2 303.322 0.446 20 0 DCADLN Cn1ncc(C2CC2)c1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601025968 1168569957 /nfs/dbraw/zinc/56/99/57/1168569957.db2.gz ZBEZZHGRYOISJP-LLVKDONJSA-N 0 2 303.322 0.446 20 0 DCADLN Cn1ncc(C[NH+]2CCC(C)(NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC001601026020 1168570293 /nfs/dbraw/zinc/57/02/93/1168570293.db2.gz BAYPEWXVIQCHFK-NEPJUHHUSA-N 0 2 321.381 0.007 20 0 DCADLN O=C([O-])[C@H]1CCCCC[N@@H+]1CC(=O)NCC(=O)N1CCCC1 ZINC001601313301 1168702320 /nfs/dbraw/zinc/70/23/20/1168702320.db2.gz OLINBFITQWUBEC-GFCCVEGCSA-N 0 2 311.382 0.054 20 0 DCADLN O=C([O-])[C@H]1CCCCC[N@H+]1CC(=O)NCC(=O)N1CCCC1 ZINC001601313301 1168702327 /nfs/dbraw/zinc/70/23/27/1168702327.db2.gz OLINBFITQWUBEC-GFCCVEGCSA-N 0 2 311.382 0.054 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc(Cl)cn1 ZINC001601359913 1168718891 /nfs/dbraw/zinc/71/88/91/1168718891.db2.gz BCNOWBHWJSWULT-LLVKDONJSA-N 0 2 308.725 0.744 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc(Cl)cn1 ZINC001601359914 1168718910 /nfs/dbraw/zinc/71/89/10/1168718910.db2.gz BCNOWBHWJSWULT-NSHDSACASA-N 0 2 308.725 0.744 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ocnc1C(F)F ZINC001601425873 1168742810 /nfs/dbraw/zinc/74/28/10/1168742810.db2.gz MEQCZHFFBWQKSD-ZCFIWIBFSA-N 0 2 300.221 0.692 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cnc2nccnc2c1 ZINC001601425869 1168743204 /nfs/dbraw/zinc/74/32/04/1168743204.db2.gz MBHDVMZWBLSVNK-NSHDSACASA-N 0 2 312.289 0.105 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cn(C2CCC2)nn1 ZINC001601564695 1168782783 /nfs/dbraw/zinc/78/27/83/1168782783.db2.gz FXRIVJBMRLJINB-JTQLQIEISA-N 0 2 304.310 0.152 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cn(C2CCC2)nn1 ZINC001601564695 1168782790 /nfs/dbraw/zinc/78/27/90/1168782790.db2.gz FXRIVJBMRLJINB-JTQLQIEISA-N 0 2 304.310 0.152 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc2nccnc2c1 ZINC001601565432 1168783772 /nfs/dbraw/zinc/78/37/72/1168783772.db2.gz ZJDSVIYTNDBGRQ-NSHDSACASA-N 0 2 312.289 0.174 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc2nccnc2c1 ZINC001601565432 1168783784 /nfs/dbraw/zinc/78/37/84/1168783784.db2.gz ZJDSVIYTNDBGRQ-NSHDSACASA-N 0 2 312.289 0.174 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1nncc2ccccc21 ZINC001601567679 1168784999 /nfs/dbraw/zinc/78/49/99/1168784999.db2.gz JOMGZBMZIHZZHN-GFCCVEGCSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1nncc2ccccc21 ZINC001601567679 1168785002 /nfs/dbraw/zinc/78/50/02/1168785002.db2.gz JOMGZBMZIHZZHN-GFCCVEGCSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001601624262 1168796684 /nfs/dbraw/zinc/79/66/84/1168796684.db2.gz KCFRDLORVGAYGQ-CQSZACIVSA-N 0 2 320.280 0.298 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCc2ccccc2O1 ZINC001601663602 1168810048 /nfs/dbraw/zinc/81/00/48/1168810048.db2.gz VQAAIELRUSUXET-JSGCOSHPSA-N 0 2 315.329 0.846 20 0 DCADLN O=C([O-])C1(C(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)CC2(CCC2)C1 ZINC001601704447 1168819826 /nfs/dbraw/zinc/81/98/26/1168819826.db2.gz PHSMRMYUTJDJLE-NSHDSACASA-N 0 2 307.350 0.464 20 0 DCADLN O=C([O-])C1(C(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)CC2(CCC2)C1 ZINC001601704447 1168819841 /nfs/dbraw/zinc/81/98/41/1168819841.db2.gz PHSMRMYUTJDJLE-NSHDSACASA-N 0 2 307.350 0.464 20 0 DCADLN O=C([O-])C1(O)C[NH+](C[C@H](O)COc2cccc3[nH]ccc32)C1 ZINC001601722535 1168830778 /nfs/dbraw/zinc/83/07/78/1168830778.db2.gz FMULPJPZDFXVPD-JTQLQIEISA-N 0 2 306.318 0.039 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@@H+]2CC3(CCC3)[C@H]2[C@H]2CCCO2)C1 ZINC001601759059 1168857580 /nfs/dbraw/zinc/85/75/80/1168857580.db2.gz JFMBWLBJQBUIIK-YRGRVCCFSA-N 0 2 308.378 0.911 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@H+]2CC3(CCC3)[C@H]2[C@H]2CCCO2)C1 ZINC001601759059 1168857591 /nfs/dbraw/zinc/85/75/91/1168857591.db2.gz JFMBWLBJQBUIIK-YRGRVCCFSA-N 0 2 308.378 0.911 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001601768308 1168866636 /nfs/dbraw/zinc/86/66/36/1168866636.db2.gz ZWXFKPDIEHMOOX-PWSUYJOCSA-N 0 2 316.317 0.175 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001601780907 1168888399 /nfs/dbraw/zinc/88/83/99/1168888399.db2.gz NKVCGYHWQWEABM-QWRGUYRKSA-N 0 2 322.365 0.654 20 0 DCADLN O=C([O-])[C@H]1CC[C@H]2C(=O)N(CCCCn3cc[nH+]c3)C(=O)N2C1 ZINC001601797115 1168899541 /nfs/dbraw/zinc/89/95/41/1168899541.db2.gz DPZQFEZDADIGDQ-RYUDHWBXSA-N 0 2 320.349 0.791 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)Cn2cc[nH+]c2)c2ccc(F)cc2O1 ZINC001601844198 1168912930 /nfs/dbraw/zinc/91/29/30/1168912930.db2.gz OEVSATRKVICGJB-LBPRGKRZSA-N 0 2 305.265 0.901 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)N3CCn4c[nH+]cc4C3)[C@@H]2C1 ZINC001601862698 1168920519 /nfs/dbraw/zinc/92/05/19/1168920519.db2.gz OCPMTPOFBIUTDN-WFFHOREQSA-N 0 2 304.350 0.908 20 0 DCADLN O=C([O-])C[C@H]1CCC[N@@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001601955155 1168946571 /nfs/dbraw/zinc/94/65/71/1168946571.db2.gz NVCMRXMHAJKHBJ-SNVBAGLBSA-N 0 2 323.374 0.948 20 0 DCADLN O=C([O-])C[C@H]1CCC[N@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001601955155 1168946575 /nfs/dbraw/zinc/94/65/75/1168946575.db2.gz NVCMRXMHAJKHBJ-SNVBAGLBSA-N 0 2 323.374 0.948 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1Cc1cc(CO)ccc1F ZINC001602068514 1168985048 /nfs/dbraw/zinc/98/50/48/1168985048.db2.gz PTNCUHGQWZDIJA-ZDUSSCGKSA-N 0 2 310.325 0.483 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cc(CO)ccc1F ZINC001602068514 1168985053 /nfs/dbraw/zinc/98/50/53/1168985053.db2.gz PTNCUHGQWZDIJA-ZDUSSCGKSA-N 0 2 310.325 0.483 20 0 DCADLN O=C([O-])[C@H]1CC[C@H](C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC001602213315 1169032321 /nfs/dbraw/zinc/03/23/21/1169032321.db2.gz OXIVKWMPPJTRQV-KLRUACNBSA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])[C@H]1CC[C@H](C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC001602213315 1169032332 /nfs/dbraw/zinc/03/23/32/1169032332.db2.gz OXIVKWMPPJTRQV-KLRUACNBSA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])c1ccc(OC[C@@H](O)C[N@@H+]2CCc3nc[nH]c3C2)cc1 ZINC001602389014 1169068249 /nfs/dbraw/zinc/06/82/49/1169068249.db2.gz YJXJHISVHSHDQU-LBPRGKRZSA-N 0 2 317.345 0.906 20 0 DCADLN O=C([O-])c1ccc(OC[C@@H](O)C[N@H+]2CCc3nc[nH]c3C2)cc1 ZINC001602389014 1169068260 /nfs/dbraw/zinc/06/82/60/1169068260.db2.gz YJXJHISVHSHDQU-LBPRGKRZSA-N 0 2 317.345 0.906 20 0 DCADLN O=C([O-])c1ccoc1S(=O)(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001602488101 1169088785 /nfs/dbraw/zinc/08/87/85/1169088785.db2.gz CEBUCWWTPCFCDD-MRVPVSSYSA-N 0 2 311.319 0.468 20 0 DCADLN O=C([O-])c1cn([C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)nn1 ZINC001602492925 1169090203 /nfs/dbraw/zinc/09/02/03/1169090203.db2.gz IEJGPVZIADQKLM-JTQLQIEISA-N 0 2 304.310 0.106 20 0 DCADLN CC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001603039479 1169236075 /nfs/dbraw/zinc/23/60/75/1169236075.db2.gz ATZSXDVSLBXLQS-ZDUSSCGKSA-N 0 2 305.334 0.534 20 0 DCADLN CC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001603039479 1169236082 /nfs/dbraw/zinc/23/60/82/1169236082.db2.gz ATZSXDVSLBXLQS-ZDUSSCGKSA-N 0 2 305.334 0.534 20 0 DCADLN CC(=O)N[C@H](CC1CCC1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001603058328 1169243977 /nfs/dbraw/zinc/24/39/77/1169243977.db2.gz NUECYPHENOQHSS-OLZOCXBDSA-N 0 2 322.365 0.217 20 0 DCADLN CC(=O)N[C@H](CC1CCC1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001603058328 1169243983 /nfs/dbraw/zinc/24/39/83/1169243983.db2.gz NUECYPHENOQHSS-OLZOCXBDSA-N 0 2 322.365 0.217 20 0 DCADLN CC(C)(C)CCNC(=O)C[N@@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001603333284 1169295164 /nfs/dbraw/zinc/29/51/64/1169295164.db2.gz JYMVEYTXBQVBQY-HNNXBMFYSA-N 0 2 311.382 0.844 20 0 DCADLN CC(C)(C)CCNC(=O)C[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001603333284 1169295172 /nfs/dbraw/zinc/29/51/72/1169295172.db2.gz JYMVEYTXBQVBQY-HNNXBMFYSA-N 0 2 311.382 0.844 20 0 DCADLN CC(C)(CNS(=O)(=O)c1cc(C(=O)[O-])ccn1)n1cc[nH+]c1 ZINC001603645920 1169340940 /nfs/dbraw/zinc/34/09/40/1169340940.db2.gz QGVUNXXTMIQQOP-UHFFFAOYSA-N 0 2 324.362 0.690 20 0 DCADLN C[C@@H](NCc1cccc(-c2nn[nH]n2)n1)[C@H](O)C(F)(F)F ZINC001603668635 1169347734 /nfs/dbraw/zinc/34/77/34/1169347734.db2.gz YWJJNYSASCXNSL-MUWHJKNJSA-N 0 2 302.260 0.663 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)CC[N@H+]1C ZINC001603834426 1169408256 /nfs/dbraw/zinc/40/82/56/1169408256.db2.gz KEDZWDGMOALXDK-ZJUUUORDSA-N 0 2 316.365 0.737 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)CC[N@@H+]1C ZINC001603834426 1169408257 /nfs/dbraw/zinc/40/82/57/1169408257.db2.gz KEDZWDGMOALXDK-ZJUUUORDSA-N 0 2 316.365 0.737 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001603917053 1169434954 /nfs/dbraw/zinc/43/49/54/1169434954.db2.gz UPBNWEIONPVSQU-NEPJUHHUSA-N 0 2 309.366 0.782 20 0 DCADLN C[C@@H]1OCC[C@@H]1Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001603954189 1169451511 /nfs/dbraw/zinc/45/15/11/1169451511.db2.gz DMHZCRQWXHJUNO-GXSJLCMTSA-N 0 2 301.350 0.942 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc(C(=O)[O-])cn1 ZINC001604166613 1169511024 /nfs/dbraw/zinc/51/10/24/1169511024.db2.gz DULBZYUCWSESSM-NSHDSACASA-N 0 2 307.350 0.573 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)c1ccc(C(=O)[O-])n1C ZINC001604167811 1169511042 /nfs/dbraw/zinc/51/10/42/1169511042.db2.gz UNRMVISRFDAZOS-LLVKDONJSA-N 0 2 308.382 0.089 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)c1ccc(C(=O)[O-])n1C ZINC001604167812 1169511438 /nfs/dbraw/zinc/51/14/38/1169511438.db2.gz UNRMVISRFDAZOS-NSHDSACASA-N 0 2 308.382 0.089 20 0 DCADLN CCCCCC[C@H](O)Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC001604177062 1169515825 /nfs/dbraw/zinc/51/58/25/1169515825.db2.gz DXBFCDMYYOQPNJ-JTQLQIEISA-N 0 2 322.369 0.058 20 0 DCADLN C[C@@]([NH2+]CC(=O)N1CCNC1=O)(C(=O)[O-])c1ccc(F)cc1 ZINC001604330737 1169555015 /nfs/dbraw/zinc/55/50/15/1169555015.db2.gz VWLQCYXYRUBYTG-AWEZNQCLSA-N 0 2 309.297 0.267 20 0 DCADLN C[C@@](O)(C[N@@H+]1CCc2c(nnn2-c2ccccc2)C1)C(=O)[O-] ZINC001604374190 1169575204 /nfs/dbraw/zinc/57/52/04/1169575204.db2.gz VXLBZAJLGGUXKP-OAHLLOKOSA-N 0 2 302.334 0.461 20 0 DCADLN C[C@@](O)(C[N@H+]1CCc2c(nnn2-c2ccccc2)C1)C(=O)[O-] ZINC001604374190 1169575208 /nfs/dbraw/zinc/57/52/08/1169575208.db2.gz VXLBZAJLGGUXKP-OAHLLOKOSA-N 0 2 302.334 0.461 20 0 DCADLN C[C@@H]1CCc2nc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cn2C1 ZINC001604768098 1169673682 /nfs/dbraw/zinc/67/36/82/1169673682.db2.gz XSAOPKYTDBCMOV-KOLCDFICSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@@H]1CCc2nc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cn2C1 ZINC001604768098 1169673683 /nfs/dbraw/zinc/67/36/83/1169673683.db2.gz XSAOPKYTDBCMOV-KOLCDFICSA-N 0 2 317.349 0.614 20 0 DCADLN CN(c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)C1CCCCC1 ZINC001604828422 1169700208 /nfs/dbraw/zinc/70/02/08/1169700208.db2.gz NLVHRRLJOMUETA-UHFFFAOYSA-N 0 2 305.342 0.023 20 0 DCADLN CN1CC[C@H]1CNC(=O)c1cc(F)c(-c2nn[nH]n2)c(F)c1 ZINC001604856509 1169713995 /nfs/dbraw/zinc/71/39/95/1169713995.db2.gz ZEZCGSUGNKBEDC-QMMMGPOBSA-N 0 2 308.292 0.579 20 0 DCADLN COC[C@H](C)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605051845 1169796572 /nfs/dbraw/zinc/79/65/72/1169796572.db2.gz RNPMOPPGKWOWDX-SSDOTTSWSA-N 0 2 306.326 0.288 20 0 DCADLN CCC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)CC(=O)N(C)C ZINC001605307012 1169891035 /nfs/dbraw/zinc/89/10/35/1169891035.db2.gz XNLNJUVAHITMJH-ZDUSSCGKSA-N 0 2 301.387 0.012 20 0 DCADLN CCC[N@@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)CC(=O)N(C)C ZINC001605307012 1169891041 /nfs/dbraw/zinc/89/10/41/1169891041.db2.gz XNLNJUVAHITMJH-ZDUSSCGKSA-N 0 2 301.387 0.012 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCCOC[C@H]1C ZINC001605506565 1169941467 /nfs/dbraw/zinc/94/14/67/1169941467.db2.gz FAUAQSLHWLDIMP-SECBINFHSA-N 0 2 318.337 0.527 20 0 DCADLN Cc1ccn(CN(C)CC2=CCSC2)c(=O)c1-c1nn[nH]n1 ZINC001605948995 1170032193 /nfs/dbraw/zinc/03/21/93/1170032193.db2.gz KVELZYCJMIPFGZ-UHFFFAOYSA-N 0 2 318.406 0.899 20 0 DCADLN CN(C)c1noc(C[N@@H+]2CC[C@](C(=O)[O-])(C(F)(F)F)C2)n1 ZINC001606070136 1170069620 /nfs/dbraw/zinc/06/96/20/1170069620.db2.gz IHSKWUXRUMBEDD-JTQLQIEISA-N 0 2 308.260 0.975 20 0 DCADLN CN(C)c1noc(C[N@H+]2CC[C@](C(=O)[O-])(C(F)(F)F)C2)n1 ZINC001606070136 1170069626 /nfs/dbraw/zinc/06/96/26/1170069626.db2.gz IHSKWUXRUMBEDD-JTQLQIEISA-N 0 2 308.260 0.975 20 0 DCADLN C[N@@H+]1CCN(C(=O)C23CCC(C(=O)[O-])(CC2)CC3)C[C@@H]1CO ZINC001606228451 1170127028 /nfs/dbraw/zinc/12/70/28/1170127028.db2.gz IWTQIYPQWIILPH-UWTIGNOOSA-N 0 2 310.394 0.547 20 0 DCADLN C[N@H+]1CCN(C(=O)C23CCC(C(=O)[O-])(CC2)CC3)C[C@@H]1CO ZINC001606228451 1170127031 /nfs/dbraw/zinc/12/70/31/1170127031.db2.gz IWTQIYPQWIILPH-UWTIGNOOSA-N 0 2 310.394 0.547 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])[N@H+](Cc2cccc(N(C)C)n2)C1 ZINC001606393964 1170182172 /nfs/dbraw/zinc/18/21/72/1170182172.db2.gz KOBOKUXTZMCXGD-YPMHNXCESA-N 0 2 321.377 0.986 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])[N@@H+](Cc2cccc(N(C)C)n2)C1 ZINC001606393964 1170182177 /nfs/dbraw/zinc/18/21/77/1170182177.db2.gz KOBOKUXTZMCXGD-YPMHNXCESA-N 0 2 321.377 0.986 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2c(C)cc(C)[nH+]c2C)C[C@H]1C(=O)[O-] ZINC001606424122 1170194875 /nfs/dbraw/zinc/19/48/75/1170194875.db2.gz ZUDCQRZOZJLLAV-NEPJUHHUSA-N 0 2 320.345 0.953 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001606470893 1170216049 /nfs/dbraw/zinc/21/60/49/1170216049.db2.gz MGOAGWMELIUHOX-LBPRGKRZSA-N 0 2 321.333 0.033 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001606470893 1170216052 /nfs/dbraw/zinc/21/60/52/1170216052.db2.gz MGOAGWMELIUHOX-LBPRGKRZSA-N 0 2 321.333 0.033 20 0 DCADLN O=C(CCc1cn[nH]n1)Nc1nc2ccc(-c3nn[nH]n3)cc2[nH]1 ZINC001606529576 1170228111 /nfs/dbraw/zinc/22/81/11/1170228111.db2.gz XTFFAUWGYCTLNQ-UHFFFAOYSA-N 0 2 324.308 0.432 20 0 DCADLN O=C(CCc1c[nH]nn1)Nc1nc2ccc(-c3nn[nH]n3)cc2[nH]1 ZINC001606529576 1170228117 /nfs/dbraw/zinc/22/81/17/1170228117.db2.gz XTFFAUWGYCTLNQ-UHFFFAOYSA-N 0 2 324.308 0.432 20 0 DCADLN O=C(Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O)[C@@H]1Cc2ccccc21 ZINC001606615283 1170243587 /nfs/dbraw/zinc/24/35/87/1170243587.db2.gz NABBEHHLFCGNTQ-SNVBAGLBSA-N 0 2 324.300 0.038 20 0 DCADLN COC(=O)c1ccoc1C[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001606621941 1170244715 /nfs/dbraw/zinc/24/47/15/1170244715.db2.gz MHWOPBIGHLSONN-VIFPVBQESA-N 0 2 320.305 0.803 20 0 DCADLN COC(=O)c1ccoc1C[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001606621941 1170244718 /nfs/dbraw/zinc/24/47/18/1170244718.db2.gz MHWOPBIGHLSONN-VIFPVBQESA-N 0 2 320.305 0.803 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)C1CCC(F)(F)CC1 ZINC001606620182 1170245754 /nfs/dbraw/zinc/24/57/54/1170245754.db2.gz BIXBBEFVSBGZEI-UHFFFAOYSA-N 0 2 324.291 0.818 20 0 DCADLN CO[C@H]1CC(=O)N(C[N@@H+]2CCc3cc(C(=O)[O-])ccc3C2)C1 ZINC001606723285 1170272946 /nfs/dbraw/zinc/27/29/46/1170272946.db2.gz DNQJMULZNOLHHR-AWEZNQCLSA-N 0 2 304.346 0.948 20 0 DCADLN CO[C@H]1CC(=O)N(C[N@H+]2CCc3cc(C(=O)[O-])ccc3C2)C1 ZINC001606723285 1170272947 /nfs/dbraw/zinc/27/29/47/1170272947.db2.gz DNQJMULZNOLHHR-AWEZNQCLSA-N 0 2 304.346 0.948 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@@H]1CCCC2(CCC2)O1 ZINC001607088632 1170385724 /nfs/dbraw/zinc/38/57/24/1170385724.db2.gz PZADIJZZTBATNC-VIFPVBQESA-N 0 2 318.337 0.621 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@H]1CCC2(CCC2)CO1 ZINC001607088833 1170386599 /nfs/dbraw/zinc/38/65/99/1170386599.db2.gz RTDRZFRWCHOYNU-SECBINFHSA-N 0 2 318.337 0.478 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1C[C@@H]1CCC2(CCOCC2)O1 ZINC001607091965 1170388239 /nfs/dbraw/zinc/38/82/39/1170388239.db2.gz OBVOJXUPMZZSOC-NSHDSACASA-N 0 2 317.349 0.757 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@H+](Cc3ccc(-c4nn[nH]n4)o3)C2)n[nH]1 ZINC001607090824 1170389032 /nfs/dbraw/zinc/38/90/32/1170389032.db2.gz XKTJOOGVCUSREN-MRVPVSSYSA-N 0 2 316.325 0.663 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@@H+](Cc3ccc(-c4nn[nH]n4)o3)C2)n[nH]1 ZINC001607090824 1170389039 /nfs/dbraw/zinc/38/90/39/1170389039.db2.gz XKTJOOGVCUSREN-MRVPVSSYSA-N 0 2 316.325 0.663 20 0 DCADLN COc1cc(C(=O)[O-])ccc1S(=O)(=O)NCC[NH+]1CCC1 ZINC001607143238 1170410511 /nfs/dbraw/zinc/41/05/11/1170410511.db2.gz SBTSQUIGLZEIKC-UHFFFAOYSA-N 0 2 314.363 0.377 20 0 DCADLN COc1nccc(C[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)c1F ZINC001607360144 1170435594 /nfs/dbraw/zinc/43/55/94/1170435594.db2.gz ABJHJCXSWXGWMS-SNVBAGLBSA-N 0 2 321.312 0.966 20 0 DCADLN COc1nccc(C[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)c1F ZINC001607360144 1170435597 /nfs/dbraw/zinc/43/55/97/1170435597.db2.gz ABJHJCXSWXGWMS-SNVBAGLBSA-N 0 2 321.312 0.966 20 0 DCADLN COc1nsc(C[N@@H+](CC(N)=O)CC(C)C)c1C(=O)[O-] ZINC001607363566 1170437111 /nfs/dbraw/zinc/43/71/11/1170437111.db2.gz RFRNZUFJGJISAI-UHFFFAOYSA-N 0 2 301.368 0.793 20 0 DCADLN COc1nsc(C[N@H+](CC(N)=O)CC(C)C)c1C(=O)[O-] ZINC001607363566 1170437113 /nfs/dbraw/zinc/43/71/13/1170437113.db2.gz RFRNZUFJGJISAI-UHFFFAOYSA-N 0 2 301.368 0.793 20 0 DCADLN Cc1[nH]c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C)c1C=O ZINC001607492281 1170467046 /nfs/dbraw/zinc/46/70/46/1170467046.db2.gz NPEULHMWWPVKPS-NSHDSACASA-N 0 2 304.306 0.593 20 0 DCADLN Cc1[nH]c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C)c1C=O ZINC001607492281 1170467050 /nfs/dbraw/zinc/46/70/50/1170467050.db2.gz NPEULHMWWPVKPS-NSHDSACASA-N 0 2 304.306 0.593 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@H+](C)CCC(N)=O)nc(=O)c12 ZINC001607522691 1170473090 /nfs/dbraw/zinc/47/30/90/1170473090.db2.gz KDWYRSYTNBALFY-UHFFFAOYSA-N 0 2 324.362 0.298 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@@H+](C)CCC(N)=O)nc(=O)c12 ZINC001607522691 1170473093 /nfs/dbraw/zinc/47/30/93/1170473093.db2.gz KDWYRSYTNBALFY-UHFFFAOYSA-N 0 2 324.362 0.298 20 0 DCADLN Cc1ccc(F)c(OCC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001607791153 1170505648 /nfs/dbraw/zinc/50/56/48/1170505648.db2.gz LAMPWBRIPQPVKB-GFCCVEGCSA-N 0 2 321.308 0.979 20 0 DCADLN Cc1ccn2cc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])nc2c1 ZINC001607892933 1170517910 /nfs/dbraw/zinc/51/79/10/1170517910.db2.gz COOXICRCQZWVET-LLVKDONJSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1ccn2cc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])nc2c1 ZINC001607892933 1170517912 /nfs/dbraw/zinc/51/79/12/1170517912.db2.gz COOXICRCQZWVET-LLVKDONJSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N[C@@H](Cn3cncn3)C(=O)[O-])ccn12 ZINC001607909585 1170523001 /nfs/dbraw/zinc/52/30/01/1170523001.db2.gz VTUJWQFLDNQQKF-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN Cc1oc(C(=O)[O-])cc1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001608034600 1170553976 /nfs/dbraw/zinc/55/39/76/1170553976.db2.gz PPUXCFTUEFLRTM-NSHDSACASA-N 0 2 304.306 0.796 20 0 DCADLN Cn1ccc([C@H](NC(=O)[C@H]2CCc3[nH+]ccn3C2)C(=O)[O-])n1 ZINC001608125896 1170582616 /nfs/dbraw/zinc/58/26/16/1170582616.db2.gz YSLSSVBOHGKEKM-CABZTGNLSA-N 0 2 303.322 0.121 20 0 DCADLN Cn1ccn2c(C[NH+]3CCC(CCC(=O)[O-])CC3)nnc2c1=O ZINC001608129774 1170584311 /nfs/dbraw/zinc/58/43/11/1170584311.db2.gz XKGLZLNJKCTRCW-UHFFFAOYSA-N 0 2 319.365 0.505 20 0 DCADLN Cn1nc(C(F)(F)F)cc1C[N@@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001608145842 1170594202 /nfs/dbraw/zinc/59/42/02/1170594202.db2.gz VPBDKDYFLKHMQM-VXNVDRBHSA-N 0 2 307.272 0.706 20 0 DCADLN Cn1nc(C(F)(F)F)cc1C[N@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001608145842 1170594203 /nfs/dbraw/zinc/59/42/03/1170594203.db2.gz VPBDKDYFLKHMQM-VXNVDRBHSA-N 0 2 307.272 0.706 20 0 DCADLN NC(=O)[C@H]([NH2+][C@@H](Cc1cncs1)C(=O)[O-])c1ccccc1 ZINC001608227777 1170620581 /nfs/dbraw/zinc/62/05/81/1170620581.db2.gz DGNLUESOXLMMPN-NWDGAFQWSA-N 0 2 305.359 0.955 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)NC[C@H](C(=O)[O-])c1ccccc1 ZINC001608281533 1170641349 /nfs/dbraw/zinc/64/13/49/1170641349.db2.gz JNVCALRAVPRLFP-QZDDGCDVSA-N 0 2 318.373 0.859 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)c1ccc(F)cc1 ZINC001608403650 1170670302 /nfs/dbraw/zinc/67/03/02/1170670302.db2.gz SMTWSHDUZCFHLY-LLVKDONJSA-N 0 2 305.265 0.475 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1nc2cnccc2s1 ZINC001608485834 1170688682 /nfs/dbraw/zinc/68/86/82/1170688682.db2.gz CHKMKJDSNUKBRA-QMMMGPOBSA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1nc2cnccc2s1 ZINC001608485834 1170688687 /nfs/dbraw/zinc/68/86/87/1170688687.db2.gz CHKMKJDSNUKBRA-QMMMGPOBSA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2nsnc21 ZINC001608486018 1170688913 /nfs/dbraw/zinc/68/89/13/1170688913.db2.gz NMDOQUZVXUHVCM-JTQLQIEISA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2nsnc21 ZINC001608486018 1170688931 /nfs/dbraw/zinc/68/89/31/1170688931.db2.gz NMDOQUZVXUHVCM-JTQLQIEISA-N 0 2 317.330 0.840 20 0 DCADLN O=C(N[C@H]1C[C@H](C(=O)[O-])C1)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001608493261 1170691037 /nfs/dbraw/zinc/69/10/37/1170691037.db2.gz WKHBDJOSQTZDNB-XYPYZODXSA-N 0 2 320.349 0.095 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001608555818 1170702086 /nfs/dbraw/zinc/70/20/86/1170702086.db2.gz SGFKOJKVUSPUIL-ZDUSSCGKSA-N 0 2 303.318 0.861 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCC[N@@H+]1CC(=O)N1CCc2ccccc2C1 ZINC001608594472 1170710292 /nfs/dbraw/zinc/71/02/92/1170710292.db2.gz GZEGEXGGGJODII-ZBFHGGJFSA-N 0 2 318.373 0.481 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCC[N@H+]1CC(=O)N1CCc2ccccc2C1 ZINC001608594472 1170710295 /nfs/dbraw/zinc/71/02/95/1170710295.db2.gz GZEGEXGGGJODII-ZBFHGGJFSA-N 0 2 318.373 0.481 20 0 DCADLN O=C([O-])c1ccncc1C(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001608954521 1170774740 /nfs/dbraw/zinc/77/47/40/1170774740.db2.gz UBDIEMZGMDFBEG-PHIMTYICSA-N 0 2 306.318 0.800 20 0 DCADLN O=C([O-])c1ccncc1C(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001608954521 1170774742 /nfs/dbraw/zinc/77/47/42/1170774742.db2.gz UBDIEMZGMDFBEG-PHIMTYICSA-N 0 2 306.318 0.800 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC(Cc3c[nH]c[nH+]3)CC2)cn1 ZINC001608965804 1170778334 /nfs/dbraw/zinc/77/83/34/1170778334.db2.gz VJWKRMFDTCUQCT-UHFFFAOYSA-N 0 2 315.333 0.993 20 0 DCADLN CC(C)(O)CONC(=O)C1(c2cccc(-c3nn[nH]n3)c2)CC1 ZINC001609085287 1170804756 /nfs/dbraw/zinc/80/47/56/1170804756.db2.gz KFRGUAHJQMCJCU-UHFFFAOYSA-N 0 2 317.349 0.717 20 0 DCADLN CCCC[C@H](C)N(C)c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001609207568 1170872560 /nfs/dbraw/zinc/87/25/60/1170872560.db2.gz LURMLDCEEGMAHJ-VIFPVBQESA-N 0 2 321.385 0.279 20 0 DCADLN CCN(C)C(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001609220667 1170875036 /nfs/dbraw/zinc/87/50/36/1170875036.db2.gz JEHSNIIXDYJLQK-UHFFFAOYSA-N 0 2 316.365 0.386 20 0 DCADLN COC(=O)C[C@H]1CCCN1Cn1cccc(-c2nn[nH]n2)c1=O ZINC001609297922 1170921548 /nfs/dbraw/zinc/92/15/48/1170921548.db2.gz DCWXZIZEFNEYII-SNVBAGLBSA-N 0 2 318.337 0.013 20 0 DCADLN CO[C@@H]1CC[N@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C[C@H]1C ZINC001609314890 1170929942 /nfs/dbraw/zinc/92/99/42/1170929942.db2.gz WKYGHKOXFRHJJP-VXGBXAGGSA-N 0 2 318.381 0.651 20 0 DCADLN CO[C@@H]1CC[N@@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C[C@H]1C ZINC001609314890 1170929947 /nfs/dbraw/zinc/92/99/47/1170929947.db2.gz WKYGHKOXFRHJJP-VXGBXAGGSA-N 0 2 318.381 0.651 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2cccc(F)c2F)c1=O ZINC001609477473 1170991757 /nfs/dbraw/zinc/99/17/57/1170991757.db2.gz ZNXHJHIOKVXMFF-UHFFFAOYSA-N 0 2 320.259 0.054 20 0 DCADLN Cn1nccc1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001609483361 1170995176 /nfs/dbraw/zinc/99/51/76/1170995176.db2.gz YIRUDGOYHLATCK-UHFFFAOYSA-N 0 2 311.349 0.689 20 0 DCADLN O=C(C=Cc1ccccc1-c1nn[nH]n1)N1CCc2[nH]nnc2C1 ZINC001609518098 1171006299 /nfs/dbraw/zinc/00/62/99/1171006299.db2.gz AYPOXJSSENPXSO-WAYWQWQTSA-N 0 2 322.332 0.583 20 0 DCADLN C[C@H](C(=O)N1CCC(n2cc(C(=O)[O-])nn2)CC1)n1cc[nH+]c1 ZINC001609633346 1171055451 /nfs/dbraw/zinc/05/54/51/1171055451.db2.gz YNHQHYAZXVVWHV-SNVBAGLBSA-N 0 2 318.337 0.598 20 0 DCADLN CC(C)(C(=O)N1CCC2(C[C@@H]2C(=O)[O-])CC1)[NH+]1CCOCC1 ZINC001609647286 1171059664 /nfs/dbraw/zinc/05/96/64/1171059664.db2.gz AVPRSURAHKGRLP-GFCCVEGCSA-N 0 2 310.394 0.811 20 0 DCADLN CC(C)(C)n1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cn1 ZINC001609672530 1171063223 /nfs/dbraw/zinc/06/32/23/1171063223.db2.gz WZVGCKVGULTKON-NSHDSACASA-N 0 2 305.338 0.718 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)N1CCSC[C@H](C(=O)[O-])C1 ZINC001609752149 1171078539 /nfs/dbraw/zinc/07/85/39/1171078539.db2.gz UVQNMFVSSURGCX-GHMZBOCLSA-N 0 2 312.395 0.731 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC001609833415 1171099551 /nfs/dbraw/zinc/09/95/51/1171099551.db2.gz NJEDCNQSYNSFTN-VXGBXAGGSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC001609833415 1171099561 /nfs/dbraw/zinc/09/95/61/1171099561.db2.gz NJEDCNQSYNSFTN-VXGBXAGGSA-N 0 2 313.398 0.602 20 0 DCADLN COC(=O)C(C)(C)N1CC[NH+](Cc2ccc(C(=O)[O-])cn2)CC1 ZINC001610149478 1171177152 /nfs/dbraw/zinc/17/71/52/1171177152.db2.gz IGAUXEJKKGZWIB-UHFFFAOYSA-N 0 2 321.377 0.849 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCCn1cc[nH+]c1 ZINC001610175278 1171186894 /nfs/dbraw/zinc/18/68/94/1171186894.db2.gz PKKRLZLBMXCNPR-VXGBXAGGSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCCn1cc[nH+]c1 ZINC001610175276 1171186972 /nfs/dbraw/zinc/18/69/72/1171186972.db2.gz PKKRLZLBMXCNPR-NWDGAFQWSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@H]1C[C@@H](C)O ZINC001610197836 1171195482 /nfs/dbraw/zinc/19/54/82/1171195482.db2.gz UIXWCVKZXLIKAC-ZJUUUORDSA-N 0 2 311.338 0.561 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@H]1C[C@@H](C)O ZINC001610197836 1171195486 /nfs/dbraw/zinc/19/54/86/1171195486.db2.gz UIXWCVKZXLIKAC-ZJUUUORDSA-N 0 2 311.338 0.561 20 0 DCADLN COCCOCC[N@H+](C)CN1Cc2ccc(C(=O)[O-])cc2C1=O ZINC001610261379 1171212555 /nfs/dbraw/zinc/21/25/55/1171212555.db2.gz ZGKLSZVNEQLOOQ-UHFFFAOYSA-N 0 2 322.361 0.893 20 0 DCADLN COCCOCC[N@@H+](C)CN1Cc2ccc(C(=O)[O-])cc2C1=O ZINC001610261379 1171212560 /nfs/dbraw/zinc/21/25/60/1171212560.db2.gz ZGKLSZVNEQLOOQ-UHFFFAOYSA-N 0 2 322.361 0.893 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@@H+]2C[C@H](O)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001610397002 1171230247 /nfs/dbraw/zinc/23/02/47/1171230247.db2.gz MIDDRPSOPHUTKV-OUAUKWLOSA-N 0 2 310.350 0.328 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@H+]2C[C@H](O)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001610397002 1171230250 /nfs/dbraw/zinc/23/02/50/1171230250.db2.gz MIDDRPSOPHUTKV-OUAUKWLOSA-N 0 2 310.350 0.328 20 0 DCADLN Cc1[nH]c(C(=O)C[NH2+]C2(C(=O)N(C)C)CC2)c(C)c1C(=O)[O-] ZINC001610402652 1171231125 /nfs/dbraw/zinc/23/11/25/1171231125.db2.gz RVBDZMWLNIMMKM-UHFFFAOYSA-N 0 2 307.350 0.723 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[NH2+]Cc1cc(C(N)=O)co1 ZINC001610570984 1171256615 /nfs/dbraw/zinc/25/66/15/1171256615.db2.gz OIANDQYAYQNLMC-UHFFFAOYSA-N 0 2 320.349 0.956 20 0 DCADLN Cn1cc(N2CC[NH+](Cc3cccc(C(=O)[O-])n3)CC2)cn1 ZINC001610605587 1171264891 /nfs/dbraw/zinc/26/48/91/1171264891.db2.gz XQPHZOSIEQGZBE-UHFFFAOYSA-N 0 2 301.350 0.836 20 0 DCADLN O=C([O-])C1(O)CC[NH+](CC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC001610662949 1171283856 /nfs/dbraw/zinc/28/38/56/1171283856.db2.gz WRAPRSOFVIEKFF-UHFFFAOYSA-N 0 2 314.288 0.815 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCC[N@@H+]1CC(=O)NCCC1=CCCCC1 ZINC001610663735 1171284787 /nfs/dbraw/zinc/28/47/87/1171284787.db2.gz KMYGNKZXHZHTRH-DZGCQCFKSA-N 0 2 310.394 0.903 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCC[N@H+]1CC(=O)NCCC1=CCCCC1 ZINC001610663735 1171284794 /nfs/dbraw/zinc/28/47/94/1171284794.db2.gz KMYGNKZXHZHTRH-DZGCQCFKSA-N 0 2 310.394 0.903 20 0 DCADLN O=C([O-])c1cccc(CC(=O)NCC2([NH+]3CCOCC3)CC2)c1 ZINC001610674893 1171287982 /nfs/dbraw/zinc/28/79/82/1171287982.db2.gz NUXGFZZFHHLGEP-UHFFFAOYSA-N 0 2 318.373 0.908 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cc1[nH]nc2c1CCCC2 ZINC001610677655 1171288749 /nfs/dbraw/zinc/28/87/49/1171288749.db2.gz PGYPTZXRYZJMPV-ZDUSSCGKSA-N 0 2 317.349 0.297 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC001610677655 1171288751 /nfs/dbraw/zinc/28/87/51/1171288751.db2.gz PGYPTZXRYZJMPV-ZDUSSCGKSA-N 0 2 317.349 0.297 20 0 DCADLN O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001610702624 1171295566 /nfs/dbraw/zinc/29/55/66/1171295566.db2.gz PMZZHMNXDAMQMF-MXWKQRLJSA-N 0 2 320.349 0.095 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001610734253 1171301623 /nfs/dbraw/zinc/30/16/23/1171301623.db2.gz ZBIDVKYBVRDAJG-OLZOCXBDSA-N 0 2 316.317 0.317 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(-n2cc[nH]c2=O)cc1 ZINC001630800636 1171582398 /nfs/dbraw/zinc/58/23/98/1171582398.db2.gz PUMINLWMJMPJSA-UHFFFAOYSA-N 0 2 300.278 0.332 20 0 DCADLN O=C(c1c2nc[nH]c2ccc1F)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001635090935 1171693111 /nfs/dbraw/zinc/69/31/11/1171693111.db2.gz QIKHTFBZIGHHRN-SECBINFHSA-N 0 2 317.284 0.429 20 0 DCADLN O=C(c1c2[nH]cnc2ccc1F)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001635090935 1171693115 /nfs/dbraw/zinc/69/31/15/1171693115.db2.gz QIKHTFBZIGHHRN-SECBINFHSA-N 0 2 317.284 0.429 20 0 DCADLN CC(C)(C)[C@@H](NC(N)=O)C(=O)NCCc1n[nH]c(=S)o1 ZINC001639104415 1171822266 /nfs/dbraw/zinc/82/22/66/1171822266.db2.gz OVQWTCUWUZBXPK-ZETCQYMHSA-N 0 2 301.372 0.100 20 0 DCADLN O=C(C[C@@H]1NC(=O)NC1=O)NCc1ccc(-c2c[nH]cn2)cc1 ZINC001640020818 1171862208 /nfs/dbraw/zinc/86/22/08/1171862208.db2.gz YMXQJIGWAXBBJL-NSHDSACASA-N 0 2 313.317 0.291 20 0 DCADLN CN1CC[C@@](C)(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)C1=O ZINC001640379141 1171878332 /nfs/dbraw/zinc/87/83/32/1171878332.db2.gz DJFAEURMFSJTBX-HNNXBMFYSA-N 0 2 315.333 0.984 20 0 DCADLN CNC(=O)Cc1ccccc1NS(=O)(=O)c1cnn(C)c1 ZINC000055586893 1171882699 /nfs/dbraw/zinc/88/26/99/1171882699.db2.gz SOMGJSPRQCWBON-UHFFFAOYSA-N 0 2 308.363 0.509 20 0 DCADLN CC(=O)NC1CCC(NC(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC001645796784 1172146184 /nfs/dbraw/zinc/14/61/84/1172146184.db2.gz YFLKWDWFSFEVSU-UDNWOFFPSA-N 0 2 312.395 0.106 20 0 DCADLN Cn1cc(S(=O)(=O)N[C@H](CCO)C(F)(F)F)nc1Cl ZINC001646181009 1172279980 /nfs/dbraw/zinc/27/99/80/1172279980.db2.gz SBYMNGXPIPKOQO-RXMQYKEDSA-N 0 2 321.708 0.665 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001646310103 1172332535 /nfs/dbraw/zinc/33/25/35/1172332535.db2.gz LTQILHBEASNYMQ-QMMMGPOBSA-N 0 2 305.338 0.536 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1noc(C(F)F)n1 ZINC001647233837 1172754204 /nfs/dbraw/zinc/75/42/04/1172754204.db2.gz ZCSSVCQWDWTIOB-IMJSIDKUSA-N 0 2 319.293 0.741 20 0 DCADLN CON1CCC(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001647334073 1172820757 /nfs/dbraw/zinc/82/07/57/1172820757.db2.gz SSFLXADIJIPYCH-LLVKDONJSA-N 0 2 309.370 0.490 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(C)CC3(C)COC3)n2C)c1=O ZINC001647689038 1173034663 /nfs/dbraw/zinc/03/46/63/1173034663.db2.gz JHZIMAUWTUEXHG-UHFFFAOYSA-N 0 2 306.370 0.877 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1ccc(C(C)(C)C)nn1 ZINC001649778739 1173218603 /nfs/dbraw/zinc/21/86/03/1173218603.db2.gz GHIVKOUUJQZGJY-SECBINFHSA-N 0 2 314.411 0.993 20 0 DCADLN COCn1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001649900055 1173292290 /nfs/dbraw/zinc/29/22/90/1173292290.db2.gz YDOFZKGJZSPGOE-SECBINFHSA-N 0 2 306.326 0.331 20 0 DCADLN CO[C@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)[C@@H]1CCOC1 ZINC001649909960 1173299706 /nfs/dbraw/zinc/29/97/06/1173299706.db2.gz RPTYCEKVYKXNPN-MXWKQRLJSA-N 0 2 310.354 0.268 20 0 DCADLN CO[C@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)[C@H]1CCOC1 ZINC001649909961 1173300200 /nfs/dbraw/zinc/30/02/00/1173300200.db2.gz RPTYCEKVYKXNPN-VWYCJHECSA-N 0 2 310.354 0.268 20 0 DCADLN Nc1nc(NCCCc2n[nH]c(=O)[nH]2)nc(C(F)(F)F)n1 ZINC001655179216 1173816694 /nfs/dbraw/zinc/81/66/94/1173816694.db2.gz KFBASHKVQJQKQY-UHFFFAOYSA-N 0 2 304.236 0.341 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C=Cc2ccc[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001692354829 1177494537 /nfs/dbraw/zinc/49/45/37/1177494537.db2.gz DZWGYAOJHBQLTP-PRANIUCASA-N 0 2 316.365 0.631 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C=Cc2ccc[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001692354829 1177494541 /nfs/dbraw/zinc/49/45/41/1177494541.db2.gz DZWGYAOJHBQLTP-PRANIUCASA-N 0 2 316.365 0.631 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccco1 ZINC001699436074 1178137706 /nfs/dbraw/zinc/13/77/06/1178137706.db2.gz HJZKAXSZHIJEMB-WWQCOOJYSA-N 0 2 305.338 0.753 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccco1 ZINC001699436074 1178137708 /nfs/dbraw/zinc/13/77/08/1178137708.db2.gz HJZKAXSZHIJEMB-WWQCOOJYSA-N 0 2 305.338 0.753 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CCOCCO)C1 ZINC001699714127 1178260607 /nfs/dbraw/zinc/26/06/07/1178260607.db2.gz OQUQPFHTDSKZKU-SECBINFHSA-N 0 2 302.268 0.038 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)ccn1 ZINC001720130347 1178681815 /nfs/dbraw/zinc/68/18/15/1178681815.db2.gz QMZFXVLFRSBKBD-VIFPVBQESA-N 0 2 323.246 0.837 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)ccn1 ZINC001720130347 1178681816 /nfs/dbraw/zinc/68/18/16/1178681816.db2.gz QMZFXVLFRSBKBD-VIFPVBQESA-N 0 2 323.246 0.837 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)c1cncnc1 ZINC001703219416 1179401095 /nfs/dbraw/zinc/40/10/95/1179401095.db2.gz WIUHRLWQJRIGHH-VXNVDRBHSA-N 0 2 322.262 0.713 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1cncnc1 ZINC001703219416 1179401100 /nfs/dbraw/zinc/40/11/00/1179401100.db2.gz WIUHRLWQJRIGHH-VXNVDRBHSA-N 0 2 322.262 0.713 20 0 DCADLN CC(C)C[C@@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001704797383 1180140916 /nfs/dbraw/zinc/14/09/16/1180140916.db2.gz QURXHGFJYKFMLL-NKWVEPMBSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)C[C@@H](CNC(=O)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001704797383 1180140917 /nfs/dbraw/zinc/14/09/17/1180140917.db2.gz QURXHGFJYKFMLL-NKWVEPMBSA-N 0 2 315.267 0.019 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC=CCNC(=O)C(F)C(F)(F)F ZINC001705051495 1180240742 /nfs/dbraw/zinc/24/07/42/1180240742.db2.gz CQEJKGQMCUCDSS-UFUPEUMYSA-N 0 2 323.250 0.416 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC=CCNC(=O)[C@@H](F)C(F)(F)F ZINC001705051495 1180240746 /nfs/dbraw/zinc/24/07/46/1180240746.db2.gz CQEJKGQMCUCDSS-UFUPEUMYSA-N 0 2 323.250 0.416 20 0 DCADLN C[N@H+](CCNC(=O)c1csc2cncn21)Cc1n[nH]c(=O)[n-]1 ZINC001713468011 1180850063 /nfs/dbraw/zinc/85/00/63/1180850063.db2.gz IDDDGUHYQZKKBC-UHFFFAOYSA-N 0 2 321.366 0.081 20 0 DCADLN C[N@@H+](CCNC(=O)c1csc2cncn21)Cc1n[nH]c(=O)[n-]1 ZINC001713468011 1180850068 /nfs/dbraw/zinc/85/00/68/1180850068.db2.gz IDDDGUHYQZKKBC-UHFFFAOYSA-N 0 2 321.366 0.081 20 0 DCADLN C[N@H+](CCNC(=O)c1cnn2ccccc12)Cc1n[nH]c(=O)[n-]1 ZINC001714569491 1181421028 /nfs/dbraw/zinc/42/10/28/1181421028.db2.gz TWCGQOLJTNXNAS-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnn2ccccc12)Cc1n[nH]c(=O)[n-]1 ZINC001714569491 1181421040 /nfs/dbraw/zinc/42/10/40/1181421040.db2.gz TWCGQOLJTNXNAS-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN Cc1oncc1C[NH2+]CCC[C@@H](C)NC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001717664601 1182943246 /nfs/dbraw/zinc/94/32/46/1182943246.db2.gz YTSVDQWVOOOKKK-DOMZBBRYSA-N 0 2 324.425 0.688 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1(CCF)CC1 ZINC001718024469 1183114728 /nfs/dbraw/zinc/11/47/28/1183114728.db2.gz XMJBOFVVGFOLOD-UHFFFAOYSA-N 0 2 323.372 0.898 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1(CCF)CC1 ZINC001718024469 1183114732 /nfs/dbraw/zinc/11/47/32/1183114732.db2.gz XMJBOFVVGFOLOD-UHFFFAOYSA-N 0 2 323.372 0.898 20 0 DCADLN Cc1cc(C(=O)[O-])ccc1C[NH+]1CCN(S(C)(=O)=O)CC1 ZINC000166283042 1183364661 /nfs/dbraw/zinc/36/46/61/1183364661.db2.gz VOUIQZYTSAHDCZ-UHFFFAOYSA-N 0 2 312.391 0.770 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1ccnnc1 ZINC001720291872 1183551195 /nfs/dbraw/zinc/55/11/95/1183551195.db2.gz QVUZNQRRJHSNBT-MRVPVSSYSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1ccnnc1 ZINC001720291872 1183551199 /nfs/dbraw/zinc/55/11/99/1183551199.db2.gz QVUZNQRRJHSNBT-MRVPVSSYSA-N 0 2 308.235 0.613 20 0 DCADLN CC[N@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@H]1CCN(C)C1=O ZINC001731302988 1185250657 /nfs/dbraw/zinc/25/06/57/1185250657.db2.gz CNNYVHWKVPRKBL-NSHDSACASA-N 0 2 323.397 0.096 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@H]1CCN(C)C1=O ZINC001731302988 1185250662 /nfs/dbraw/zinc/25/06/62/1185250662.db2.gz CNNYVHWKVPRKBL-NSHDSACASA-N 0 2 323.397 0.096 20 0 DCADLN CC(C)[C@@H](CCNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001735132778 1186806009 /nfs/dbraw/zinc/80/60/09/1186806009.db2.gz AWUOMIOXQCIHMN-RQJHMYQMSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@@H](CCNC(=O)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001735132778 1186806012 /nfs/dbraw/zinc/80/60/12/1186806012.db2.gz AWUOMIOXQCIHMN-RQJHMYQMSA-N 0 2 315.267 0.019 20 0 DCADLN Cn1cc(CC(=O)NCCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001736896626 1187165435 /nfs/dbraw/zinc/16/54/35/1187165435.db2.gz OMMVGGKSPIIANY-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN Cn1cc(CC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001736896626 1187165437 /nfs/dbraw/zinc/16/54/37/1187165437.db2.gz OMMVGGKSPIIANY-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001736929150 1187185096 /nfs/dbraw/zinc/18/50/96/1187185096.db2.gz NWAFYSLNKIFAQM-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736929150 1187185100 /nfs/dbraw/zinc/18/51/00/1187185100.db2.gz NWAFYSLNKIFAQM-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cnsn1 ZINC001742759042 1188044709 /nfs/dbraw/zinc/04/47/09/1188044709.db2.gz JDGJPOGHNGVDOF-LURJTMIESA-N 0 2 314.264 0.675 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1cnsn1 ZINC001742759042 1188044714 /nfs/dbraw/zinc/04/47/14/1188044714.db2.gz JDGJPOGHNGVDOF-LURJTMIESA-N 0 2 314.264 0.675 20 0 DCADLN CC(C)=C(C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001753650804 1188631791 /nfs/dbraw/zinc/63/17/91/1188631791.db2.gz ACZQBXKRKQYJEK-GFCCVEGCSA-N 0 2 323.397 0.574 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ncccc1F ZINC001758028002 1189687296 /nfs/dbraw/zinc/68/72/96/1189687296.db2.gz RKQCPUNEYUBGSH-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1ncccc1F ZINC001758028002 1189687301 /nfs/dbraw/zinc/68/73/01/1189687301.db2.gz RKQCPUNEYUBGSH-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN Cc1n[nH]cc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758191158 1189774947 /nfs/dbraw/zinc/77/49/47/1189774947.db2.gz FTBAWOFSDYHVSO-QMMMGPOBSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1n[nH]cc1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001758191158 1189774953 /nfs/dbraw/zinc/77/49/53/1189774953.db2.gz FTBAWOFSDYHVSO-QMMMGPOBSA-N 0 2 310.251 0.855 20 0 DCADLN COc1cccc(F)c1C[NH2+]C[C@@H](O)CN1CC[NH+](C)CC1 ZINC001772038550 1190416735 /nfs/dbraw/zinc/41/67/35/1190416735.db2.gz AVVOMMCKWIVDCL-CYBMUJFWSA-N 0 2 311.401 0.532 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001771709582 1190474347 /nfs/dbraw/zinc/47/43/47/1190474347.db2.gz NRHXYZHAKIFSDA-RNFRBKRXSA-N 0 2 306.281 0.414 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001771709582 1190474350 /nfs/dbraw/zinc/47/43/50/1190474350.db2.gz NRHXYZHAKIFSDA-RNFRBKRXSA-N 0 2 306.281 0.414 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001771920394 1190556782 /nfs/dbraw/zinc/55/67/82/1190556782.db2.gz FIPAMXWEPLVQRX-KGYLQXTDSA-N 0 2 307.350 0.584 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001042467451 751670859 /nfs/dbraw/zinc/67/08/59/751670859.db2.gz AVDVVOCJBAYTJT-UHFFFAOYSA-N 0 2 305.338 0.677 20 0 DCADLN CN(C(=O)C[C@@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042604107 751773670 /nfs/dbraw/zinc/77/36/70/751773670.db2.gz BLYPEPFOLAPIIR-NSHDSACASA-N 0 2 309.370 0.112 20 0 DCADLN Cc1[nH]c(C[N@H+](C)CC(=O)N2CCOCC2)c(C)c1C(=O)[O-] ZINC001140494156 747317044 /nfs/dbraw/zinc/31/70/44/747317044.db2.gz YEFZKJFVJMRRKD-UHFFFAOYSA-N 0 2 309.366 0.620 20 0 DCADLN Cc1[nH]c(C[N@@H+](C)CC(=O)N2CCOCC2)c(C)c1C(=O)[O-] ZINC001140494156 747317051 /nfs/dbraw/zinc/31/70/51/747317051.db2.gz YEFZKJFVJMRRKD-UHFFFAOYSA-N 0 2 309.366 0.620 20 0 DCADLN CC1(C(=O)N2CC(O)(CNC(=O)C(F)C(F)(F)F)C2)CC1 ZINC001043377252 752202760 /nfs/dbraw/zinc/20/27/60/752202760.db2.gz SQDGPARTGFGJPT-ZETCQYMHSA-N 0 2 312.263 0.376 20 0 DCADLN CC1(C(=O)N2CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C2)CC1 ZINC001043377252 752202770 /nfs/dbraw/zinc/20/27/70/752202770.db2.gz SQDGPARTGFGJPT-ZETCQYMHSA-N 0 2 312.263 0.376 20 0 DCADLN CC(C)OCCO[C@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001222669157 748172534 /nfs/dbraw/zinc/17/25/34/748172534.db2.gz BTQPYYDJTIIKMT-GFCCVEGCSA-N 0 2 311.400 0.017 20 0 DCADLN O=S(=O)(NCC(F)(F)CO)c1ccc(Cl)nc1F ZINC000692847169 748295679 /nfs/dbraw/zinc/29/56/79/748295679.db2.gz ITXFXWHEHHOTRE-UHFFFAOYSA-N 0 2 304.677 0.780 20 0 DCADLN Cc1cc(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)[nH+]c2cc[nH]c21 ZINC001167862446 748446835 /nfs/dbraw/zinc/44/68/35/748446835.db2.gz UVUFYTIRPQUFOB-CPCISQLKSA-N 0 2 310.335 0.685 20 0 DCADLN C[C@H]1CC[C@H](CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043562558 752288536 /nfs/dbraw/zinc/28/85/36/752288536.db2.gz IFWZQSDOJKAYNN-QWRGUYRKSA-N 0 2 307.398 0.979 20 0 DCADLN [NH2+]=C(Nc1cc2nccc-2n[nH]1)SCCS(=O)(=O)[O-] ZINC001168090738 749386589 /nfs/dbraw/zinc/38/65/89/749386589.db2.gz LRRPHQYYFYMAMY-UHFFFAOYSA-N 0 2 301.353 0.926 20 0 DCADLN [NH2+]=C(Nc1ccnc2ccnn21)SCCS(=O)(=O)[O-] ZINC001157322314 761958658 /nfs/dbraw/zinc/95/86/58/761958658.db2.gz ZTPXSZZPEAZROV-UHFFFAOYSA-N 0 2 301.353 0.697 20 0 DCADLN CC/C=C(/C)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001211967905 749698487 /nfs/dbraw/zinc/69/84/87/749698487.db2.gz USFRVOPKSLBXHK-XFDQCWFDSA-N 0 2 309.370 0.182 20 0 DCADLN Cc1nnc(CN2C[C@H](NC(=O)c3cnn[nH]3)CC[C@H]2C)[nH]1 ZINC001071631379 762006736 /nfs/dbraw/zinc/00/67/36/762006736.db2.gz MDNFVEWDYFMVLZ-PSASIEDQSA-N 0 2 304.358 0.014 20 0 DCADLN Cc1ccc(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001106142777 750980330 /nfs/dbraw/zinc/98/03/30/750980330.db2.gz YFTLFQJPHXAHBB-VXNVDRBHSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001106142777 750980327 /nfs/dbraw/zinc/98/03/27/750980327.db2.gz YFTLFQJPHXAHBB-VXNVDRBHSA-N 0 2 310.251 0.574 20 0 DCADLN O=C(Cc1c[nH+]c[nH]1)N1CC[C@@H](CCNC(=O)c2cn[nH]c2)C1 ZINC001060463629 750998744 /nfs/dbraw/zinc/99/87/44/750998744.db2.gz ZSTJSSIBYCPZDF-LLVKDONJSA-N 0 2 316.365 0.344 20 0 DCADLN CC[C@H]1CCC[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043781769 752387659 /nfs/dbraw/zinc/38/76/59/752387659.db2.gz UNLJNZRMILXUTC-CMPLNLGQSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001044200844 752624575 /nfs/dbraw/zinc/62/45/75/752624575.db2.gz OGAZUEIWZDDMJX-SNVBAGLBSA-N 0 2 316.365 0.352 20 0 DCADLN COCC(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001098844858 752734772 /nfs/dbraw/zinc/73/47/72/752734772.db2.gz LRRIDIUEEJCJLS-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COCC(=O)NC[C@H]1CCC(C)(C)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001098844858 752734779 /nfs/dbraw/zinc/73/47/79/752734779.db2.gz LRRIDIUEEJCJLS-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H]2C[NH2+]Cc2ncccn2)c1[O-] ZINC001044996756 752983959 /nfs/dbraw/zinc/98/39/59/752983959.db2.gz CYHTUGREUGYOPA-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CN(Cc2cscn2)C[C@H]1O ZINC001078083408 753026902 /nfs/dbraw/zinc/02/69/02/753026902.db2.gz TXPCVOQAWPIVLY-CHWSQXEVSA-N 0 2 321.406 0.079 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2C[C@@H](NC(=O)c3cnn[nH]3)C23CCC3)no1 ZINC001078664960 753333086 /nfs/dbraw/zinc/33/30/86/753333086.db2.gz CQTFHTRORHUBOR-CHWSQXEVSA-N 0 2 316.365 0.932 20 0 DCADLN Cc1ncc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001046187793 753538187 /nfs/dbraw/zinc/53/81/87/753538187.db2.gz WRXMSDHGGYEJLM-ZDUSSCGKSA-N 0 2 322.394 0.670 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046222121 753568867 /nfs/dbraw/zinc/56/88/67/753568867.db2.gz XZUSSBRJFOFALW-GOMXZESMSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046222121 753568875 /nfs/dbraw/zinc/56/88/75/753568875.db2.gz XZUSSBRJFOFALW-GOMXZESMSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@]1(NC(=O)c2ccc(F)cn2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046258300 753593943 /nfs/dbraw/zinc/59/39/43/753593943.db2.gz SICKIAATGKOKJI-AWEZNQCLSA-N 0 2 320.328 0.439 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046295390 753624757 /nfs/dbraw/zinc/62/47/57/753624757.db2.gz NVLFYQCWJKBITD-HNNXBMFYSA-N 0 2 318.381 0.795 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046295390 753624759 /nfs/dbraw/zinc/62/47/59/753624759.db2.gz NVLFYQCWJKBITD-HNNXBMFYSA-N 0 2 318.381 0.795 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2nccs2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071927182 762233787 /nfs/dbraw/zinc/23/37/87/762233787.db2.gz KBMSLHGEVSSSIO-RKDXNWHRSA-N 0 2 322.394 0.750 20 0 DCADLN O=C(CC1CCCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001090029988 753741273 /nfs/dbraw/zinc/74/12/73/753741273.db2.gz HUANDPHMOUKZRG-NWDGAFQWSA-N 0 2 323.397 0.142 20 0 DCADLN CC(C)(C)c1noc(C[NH2+]CCCNC(=O)c2nnc[nH]2)n1 ZINC001168732057 753937369 /nfs/dbraw/zinc/93/73/69/753937369.db2.gz VZPGCPUGKKZAJN-UHFFFAOYSA-N 0 2 307.358 0.395 20 0 DCADLN CC(C)(C)c1noc(C[NH2+]CCCNC(=O)c2ncn[nH]2)n1 ZINC001168732057 753937374 /nfs/dbraw/zinc/93/73/74/753937374.db2.gz VZPGCPUGKKZAJN-UHFFFAOYSA-N 0 2 307.358 0.395 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C(F)F)C(F)C(F)(F)F ZINC001061639408 754168804 /nfs/dbraw/zinc/16/88/04/754168804.db2.gz QOLZAAXGPCLDBM-WDSKDSINSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C(F)F)[C@H](F)C(F)(F)F ZINC001061639408 754168806 /nfs/dbraw/zinc/16/88/06/754168806.db2.gz QOLZAAXGPCLDBM-WDSKDSINSA-N 0 2 322.205 0.496 20 0 DCADLN Cc1ccoc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096808646 755525985 /nfs/dbraw/zinc/52/59/85/755525985.db2.gz YKUIGNKQOUJUOJ-MXWKQRLJSA-N 0 2 317.349 0.947 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CCc1ccns1)c1cn[nH]n1 ZINC001097409673 755657481 /nfs/dbraw/zinc/65/74/81/755657481.db2.gz QFUBTLUVMLJNKB-XWIASGKRSA-N 0 2 318.406 0.839 20 0 DCADLN Cn1nnc(CNC2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001100349329 755669704 /nfs/dbraw/zinc/66/97/04/755669704.db2.gz ZBFPLWFVXWRHAG-IEIXJENWSA-N 0 2 324.282 0.095 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cccs1 ZINC001079376675 755799617 /nfs/dbraw/zinc/79/96/17/755799617.db2.gz GQQGGOXVCWFQTN-RKDXNWHRSA-N 0 2 307.379 0.822 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n1C ZINC001079424656 755820281 /nfs/dbraw/zinc/82/02/81/755820281.db2.gz HCCPJPXJQKXJDD-MWLCHTKSSA-N 0 2 318.381 0.408 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n1C ZINC001079424656 755820284 /nfs/dbraw/zinc/82/02/84/755820284.db2.gz HCCPJPXJQKXJDD-MWLCHTKSSA-N 0 2 318.381 0.408 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1CCCCO1 ZINC001079561424 755900431 /nfs/dbraw/zinc/90/04/31/755900431.db2.gz GIRLYJCIIPPLPB-IJLUTSLNSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1CCCCO1 ZINC001079561424 755900436 /nfs/dbraw/zinc/90/04/36/755900436.db2.gz GIRLYJCIIPPLPB-IJLUTSLNSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)co1 ZINC001079617124 755936657 /nfs/dbraw/zinc/93/66/57/755936657.db2.gz MIGMSSCMSVOZON-VXNVDRBHSA-N 0 2 306.326 0.057 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cncs1 ZINC001079907063 756036675 /nfs/dbraw/zinc/03/66/75/756036675.db2.gz OHUFFQAFARFHRY-PSASIEDQSA-N 0 2 322.394 0.146 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cncs1 ZINC001079907063 756036681 /nfs/dbraw/zinc/03/66/81/756036681.db2.gz OHUFFQAFARFHRY-PSASIEDQSA-N 0 2 322.394 0.146 20 0 DCADLN CCc1noc([C@H](C)[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001080024257 756078293 /nfs/dbraw/zinc/07/82/93/756078293.db2.gz QMAOBHJBIKUOGS-WCABBAIRSA-N 0 2 319.369 0.561 20 0 DCADLN CCc1noc([C@H](C)[N@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001080024257 756078300 /nfs/dbraw/zinc/07/83/00/756078300.db2.gz QMAOBHJBIKUOGS-WCABBAIRSA-N 0 2 319.369 0.561 20 0 DCADLN O=C(CC1CCC1)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053765374 756191046 /nfs/dbraw/zinc/19/10/46/756191046.db2.gz MUEMJVHAHDCOTN-NSHDSACASA-N 0 2 321.381 0.160 20 0 DCADLN CC1CC(C(=O)N[C@@H]2COC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)C1 ZINC001053771711 756194105 /nfs/dbraw/zinc/19/41/05/756194105.db2.gz UDIKXHNZACFVTK-ILDUYXDCSA-N 0 2 321.381 0.016 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cscn1 ZINC001080564938 756247796 /nfs/dbraw/zinc/24/77/96/756247796.db2.gz KWTULDBJVUBMBY-PSASIEDQSA-N 0 2 322.394 0.146 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cscn1 ZINC001080564938 756247799 /nfs/dbraw/zinc/24/77/99/756247799.db2.gz KWTULDBJVUBMBY-PSASIEDQSA-N 0 2 322.394 0.146 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@H]([NH2+]Cc3cnon3)[C@@H](C)C2)c1[O-] ZINC001054575454 756546600 /nfs/dbraw/zinc/54/66/00/756546600.db2.gz SUWHVLBMYNATIN-XVKPBYJWSA-N 0 2 306.326 0.057 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CC1CCCC1 ZINC001081306464 756568534 /nfs/dbraw/zinc/56/85/34/756568534.db2.gz NTSJJPFFIWACIT-VXGBXAGGSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC001081540439 756706038 /nfs/dbraw/zinc/70/60/38/756706038.db2.gz BOJXMCOWVQQENS-HWKXXFMVSA-N 0 2 314.773 0.605 20 0 DCADLN CO[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC001081540439 756706040 /nfs/dbraw/zinc/70/60/40/756706040.db2.gz BOJXMCOWVQQENS-HWKXXFMVSA-N 0 2 314.773 0.605 20 0 DCADLN Cc1ccc(C(=O)N2CC3(C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C3)o1 ZINC001072440951 762488612 /nfs/dbraw/zinc/48/86/12/762488612.db2.gz XALLSMADCZDUII-UHFFFAOYSA-N 0 2 317.349 0.760 20 0 DCADLN Cc1ccc(C(=O)N2CC3(C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C3)o1 ZINC001072440951 762488616 /nfs/dbraw/zinc/48/86/16/762488616.db2.gz XALLSMADCZDUII-UHFFFAOYSA-N 0 2 317.349 0.760 20 0 DCADLN O=C(N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1(F)CCCC1 ZINC001084470367 757853356 /nfs/dbraw/zinc/85/33/56/757853356.db2.gz HIWMRNVZTJFSFR-GHMZBOCLSA-N 0 2 323.372 0.825 20 0 DCADLN CCC1(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)CC1 ZINC001084637404 757943661 /nfs/dbraw/zinc/94/36/61/757943661.db2.gz RRAVHNAARYOFIG-GHMZBOCLSA-N 0 2 305.382 0.733 20 0 DCADLN CC[S@](=O)c1ccccc1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC000823419202 758246898 /nfs/dbraw/zinc/24/68/98/758246898.db2.gz RNDBKCJZVRBURM-CLTRCRFRSA-N 0 2 323.374 0.741 20 0 DCADLN CC(C)C(=O)NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001065237145 758301507 /nfs/dbraw/zinc/30/15/07/758301507.db2.gz UWHIACUKKYZINM-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)NC[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001065237145 758301516 /nfs/dbraw/zinc/30/15/16/758301516.db2.gz UWHIACUKKYZINM-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CC1(C)CC[C@H]1C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001051067217 758410915 /nfs/dbraw/zinc/41/09/15/758410915.db2.gz DYZIXMUKOJLPLB-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1ncsc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085498265 758954126 /nfs/dbraw/zinc/95/41/26/758954126.db2.gz XVEHCTPPPHSYEX-VIFPVBQESA-N 0 2 322.394 0.622 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)ns1 ZINC001085567604 759077535 /nfs/dbraw/zinc/07/75/35/759077535.db2.gz MVLJDQZBRDIFGC-SECBINFHSA-N 0 2 322.394 0.622 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085651356 759156354 /nfs/dbraw/zinc/15/63/54/759156354.db2.gz QZWJQOQFHIVTHQ-WZRBSPASSA-N 0 2 323.397 0.358 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CCC1(F)F ZINC001085663657 759173264 /nfs/dbraw/zinc/17/32/64/759173264.db2.gz PPENFDLBTZQONH-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccsn1 ZINC001085665308 759177333 /nfs/dbraw/zinc/17/73/33/759177333.db2.gz DSNGMUPVSXGJHK-MRVPVSSYSA-N 0 2 308.367 0.313 20 0 DCADLN CC(C)=CC(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829677 759573872 /nfs/dbraw/zinc/57/38/72/759573872.db2.gz UTFCWJSCUUOFTI-JTQLQIEISA-N 0 2 314.279 0.790 20 0 DCADLN CC(C)=CC(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057829677 759573874 /nfs/dbraw/zinc/57/38/74/759573874.db2.gz UTFCWJSCUUOFTI-JTQLQIEISA-N 0 2 314.279 0.790 20 0 DCADLN Cc1nccc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122762383 767871883 /nfs/dbraw/zinc/87/18/83/767871883.db2.gz YNMICHDPFZICCJ-CBAPKCEASA-N 0 2 310.251 0.574 20 0 DCADLN O=C(N[C@@H]1COC2(CN(C(=O)C3CC3)C2)C1)C(F)C(F)(F)F ZINC001068894885 760591391 /nfs/dbraw/zinc/59/13/91/760591391.db2.gz GDHZPFVZMZDBGP-DTWKUNHWSA-N 0 2 324.274 0.783 20 0 DCADLN O=C(N[C@@H]1COC2(CN(C(=O)C3CC3)C2)C1)[C@@H](F)C(F)(F)F ZINC001068894885 760591395 /nfs/dbraw/zinc/59/13/95/760591395.db2.gz GDHZPFVZMZDBGP-DTWKUNHWSA-N 0 2 324.274 0.783 20 0 DCADLN Cc1[nH+]ccn1CCCNC(=O)N[C@@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC001123486761 767985832 /nfs/dbraw/zinc/98/58/32/767985832.db2.gz IBWCPVRQTJWBIN-ZFWWWQNUSA-N 0 2 322.457 0.515 20 0 DCADLN CCC(=O)N1C[C@@H](C)O[C@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071116871 761630967 /nfs/dbraw/zinc/63/09/67/761630967.db2.gz QRGAZTFNYINGQC-YGRLFVJLSA-N 0 2 309.370 0.112 20 0 DCADLN CCC(=O)N1C[C@@H](C)O[C@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071116871 761630971 /nfs/dbraw/zinc/63/09/71/761630971.db2.gz QRGAZTFNYINGQC-YGRLFVJLSA-N 0 2 309.370 0.112 20 0 DCADLN C[C@@H]1CN(C(=O)C2CC2)C[C@@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071119006 761633281 /nfs/dbraw/zinc/63/32/81/761633281.db2.gz IHZJNZRZUMVJAW-BMIGLBTASA-N 0 2 321.381 0.112 20 0 DCADLN C[C@@H]1CN(C(=O)C2CC2)C[C@@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071119006 761633284 /nfs/dbraw/zinc/63/32/84/761633284.db2.gz IHZJNZRZUMVJAW-BMIGLBTASA-N 0 2 321.381 0.112 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071310674 761772331 /nfs/dbraw/zinc/77/23/31/761772331.db2.gz RXDGCNMCGZUGKQ-MNOVXSKESA-N 0 2 316.365 0.688 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cocn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071388471 761814839 /nfs/dbraw/zinc/81/48/39/761814839.db2.gz GVLAJAMOHICHRE-IUCAKERBSA-N 0 2 306.326 0.281 20 0 DCADLN CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131899441 764114856 /nfs/dbraw/zinc/11/48/56/764114856.db2.gz MFXSGGNFRNQRKK-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131899441 764114859 /nfs/dbraw/zinc/11/48/59/764114859.db2.gz MFXSGGNFRNQRKK-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)CC2(O)CCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132017142 764198603 /nfs/dbraw/zinc/19/86/03/764198603.db2.gz MHKKPGWADXAXAR-MNOVXSKESA-N 0 2 323.397 0.285 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)CC2(O)CCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132017142 764198606 /nfs/dbraw/zinc/19/86/06/764198606.db2.gz MHKKPGWADXAXAR-MNOVXSKESA-N 0 2 323.397 0.285 20 0 DCADLN CC[C@@H](CNC(=O)c1nnc[n-]1)Nc1[nH+]cnc2nc[nH]c21 ZINC001103170659 764968031 /nfs/dbraw/zinc/96/80/31/764968031.db2.gz NIDFIAJPLTYIHL-ZETCQYMHSA-N 0 2 301.314 0.092 20 0 DCADLN O=C(Cn1cc[nH+]c1)N[C@@H]1CC[N@H+](Cc2ccccc2)C[C@H]1O ZINC001090847297 766663863 /nfs/dbraw/zinc/66/38/63/766663863.db2.gz QRGMIWKVJCJKFV-HZPDHXFCSA-N 0 2 314.389 0.635 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCN1C(=O)N[C@@H](C[NH+]2CCCCC2)C1=O ZINC001119693659 766777869 /nfs/dbraw/zinc/77/78/69/766777869.db2.gz LTYBKAJZKVLVKN-KGLIPLIRSA-N 0 2 324.425 0.113 20 0 DCADLN Cc1nnc(C[NH2+]C2CC(CNC(=O)c3ncccc3O)C2)o1 ZINC001090994017 766815619 /nfs/dbraw/zinc/81/56/19/766815619.db2.gz JBBDHRVCTMVGKL-UHFFFAOYSA-N 0 2 317.349 0.777 20 0 DCADLN COCc1nnc(CNC(=O)c2c(C)oc3nc[nH]c(=O)c32)[nH]1 ZINC001146248385 767407646 /nfs/dbraw/zinc/40/76/46/767407646.db2.gz ZKVSRDSPLHGCMM-UHFFFAOYSA-N 0 2 318.293 0.431 20 0 DCADLN CC1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCCO2)CCC1 ZINC001150132608 769018799 /nfs/dbraw/zinc/01/87/99/769018799.db2.gz SOBKGUPADZPSPR-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CSC[C@H](C)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231232822 769201823 /nfs/dbraw/zinc/20/18/23/769201823.db2.gz GMXBINXOIHBUIM-VHSXEESVSA-N 0 2 313.427 0.542 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1nnc2cc[nH]cc1-2 ZINC001152106828 769483744 /nfs/dbraw/zinc/48/37/44/769483744.db2.gz NRPHVOMKVHDHIT-UHFFFAOYSA-N 0 2 312.249 0.239 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1[nH]nc2ccncc21 ZINC001152106828 769483750 /nfs/dbraw/zinc/48/37/50/769483750.db2.gz NRPHVOMKVHDHIT-UHFFFAOYSA-N 0 2 312.249 0.239 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cnnn1C)C(=O)C(F)C(F)(F)F ZINC001234425768 769652993 /nfs/dbraw/zinc/65/29/93/769652993.db2.gz QBUBTWLZRNWRER-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cnnn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001234425768 769652999 /nfs/dbraw/zinc/65/29/99/769652999.db2.gz QBUBTWLZRNWRER-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN C[C@@]1(O)CCN(C(=O)c2c[nH]c3cccnc3c2=O)C[C@@H]1F ZINC001153855639 769966099 /nfs/dbraw/zinc/96/60/99/769966099.db2.gz BHUUHPOMSSTJNV-XHDPSFHLSA-N 0 2 305.309 0.858 20 0 DCADLN O=C(N[C@@H](CO)C(F)(F)F)c1c[nH]c2cccnc2c1=O ZINC001153862545 769971023 /nfs/dbraw/zinc/97/10/23/769971023.db2.gz YLLNDKSDNNVZQD-QMMMGPOBSA-N 0 2 301.224 0.576 20 0 DCADLN CC[N@H+](Cc1cnnn1C)[C@H](C)CNC(=O)[C@H](C(C)C)[NH+](C)C ZINC001153929970 770006397 /nfs/dbraw/zinc/00/63/97/770006397.db2.gz CVZZITJJIQEYAF-HIFRSBDPSA-N 0 2 324.473 0.728 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])NC(=O)c1cccc2[nH+]ccn21 ZINC001154526150 770210075 /nfs/dbraw/zinc/21/00/75/770210075.db2.gz KFLPARBDBXBLAO-VIFPVBQESA-N 0 2 305.290 0.471 20 0 DCADLN COC(=O)[C@@H]1CCC[C@@H](C(=O)NCCc2n[nH]c(=S)o2)C1 ZINC001154901303 770331860 /nfs/dbraw/zinc/33/18/60/770331860.db2.gz XPEVDVKLOWWZPV-RKDXNWHRSA-N 0 2 313.379 0.996 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])NC(=O)CN(C)c1cccc[nH+]1 ZINC001177413959 770445906 /nfs/dbraw/zinc/44/59/06/770445906.db2.gz MIRWGVBORUTKJH-JTQLQIEISA-N 0 2 309.322 0.040 20 0 DCADLN COC[C@@H](NC(=O)c1cc(C)cc(C=O)c1O)c1nn[nH]n1 ZINC001177828673 770549792 /nfs/dbraw/zinc/54/97/92/770549792.db2.gz PHRLKQBSSGNWST-SNVBAGLBSA-N 0 2 305.294 0.144 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc(=O)c2ccc(F)cc2o1 ZINC001156829784 770937954 /nfs/dbraw/zinc/93/79/54/770937954.db2.gz CDFDVJAKXYYUSK-GFCCVEGCSA-N 0 2 322.273 0.971 20 0 DCADLN COc1cccc2c1OC[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)C2 ZINC001180401665 771112862 /nfs/dbraw/zinc/11/28/62/771112862.db2.gz TTWHEWNWLRNTAI-VIFPVBQESA-N 0 2 304.306 0.386 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H]1CCC(=O)N1c1ccccc1 ZINC001181949538 771375436 /nfs/dbraw/zinc/37/54/36/771375436.db2.gz KBZXTFZTHFIOHR-ZANVPECISA-N 0 2 319.342 0.607 20 0 DCADLN CCOC(=O)[C@@H]1c2[nH]cnc2CCN1C(=O)[C@H](O)C(F)(F)F ZINC001183199163 771623385 /nfs/dbraw/zinc/62/33/85/771623385.db2.gz DJJPUYZNMSEDRZ-IUCAKERBSA-N 0 2 321.255 0.322 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H]1CCN1Cc1ccccc1 ZINC001183751698 771701773 /nfs/dbraw/zinc/70/17/73/771701773.db2.gz FHYGUUGBWAAILT-ZWNOBZJWSA-N 0 2 305.359 0.686 20 0 DCADLN CCO[C@H]1COCC[C@H]1CC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001160879648 772131423 /nfs/dbraw/zinc/13/14/23/772131423.db2.gz QGYPGQGPSCCGID-QWRGUYRKSA-N 0 2 312.370 0.391 20 0 DCADLN CCOCCOc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001187178032 772142348 /nfs/dbraw/zinc/14/23/48/772142348.db2.gz SVPFWMVGUZIFCF-UHFFFAOYSA-N 0 2 306.322 0.856 20 0 DCADLN Cc1oncc1S(=O)(=O)Nc1ccc2c(c1)C(=O)NCCO2 ZINC001187321304 772164376 /nfs/dbraw/zinc/16/43/76/772164376.db2.gz LLXKFZXNZLRRFJ-UHFFFAOYSA-N 0 2 323.330 0.906 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)c1cnoc1C)c1ccncc1 ZINC001187409443 772181607 /nfs/dbraw/zinc/18/16/07/772181607.db2.gz ASKXKVUFEVKUHP-NSHDSACASA-N 0 2 311.319 0.571 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2ncnc3ccsc32)[nH]1 ZINC001187739883 772220147 /nfs/dbraw/zinc/22/01/47/772220147.db2.gz MXRBPHBYSYFIIT-UHFFFAOYSA-N 0 2 324.391 0.966 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2cc(F)cc(F)c2F)c(=O)[nH]1 ZINC001190419510 772627089 /nfs/dbraw/zinc/62/70/89/772627089.db2.gz GVROIACXLBHNRH-MRVPVSSYSA-N 0 2 319.264 0.780 20 0 DCADLN COc1ccc(OC)c(C(=O)NCc2n[nH]c(=O)[nH]2)c1OC ZINC001191615985 772792710 /nfs/dbraw/zinc/79/27/10/772792710.db2.gz UKBONRRVFKLGFJ-UHFFFAOYSA-N 0 2 308.294 0.466 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2c(F)ccc(F)c2O)CCN1C ZINC001192703061 772948719 /nfs/dbraw/zinc/94/87/19/772948719.db2.gz NKTWTINBGXTOHL-SNVBAGLBSA-N 0 2 314.288 0.600 20 0 DCADLN C[C@]1(CO)CN(C(=O)c2ccc(F)c(F)c2O)CC[C@H]1O ZINC001192796610 772961026 /nfs/dbraw/zinc/96/10/26/772961026.db2.gz DFWFQYLJBDTNPF-QMTHXVAHSA-N 0 2 301.289 0.876 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccon2)C[C@H]1O)C(F)C(F)(F)F ZINC001193124082 773010559 /nfs/dbraw/zinc/01/05/59/773010559.db2.gz YBMLVEPUYPGMPQ-HLTSFMKQSA-N 0 2 311.235 0.236 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccon2)C[C@H]1O)[C@H](F)C(F)(F)F ZINC001193124082 773010562 /nfs/dbraw/zinc/01/05/62/773010562.db2.gz YBMLVEPUYPGMPQ-HLTSFMKQSA-N 0 2 311.235 0.236 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CCc2onc(Cn3cc[nH+]c3)c2C1 ZINC001193465756 773066181 /nfs/dbraw/zinc/06/61/81/773066181.db2.gz JSAKZSIWJRRLRR-UHFFFAOYSA-N 0 2 314.305 0.547 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206472775 773079600 /nfs/dbraw/zinc/07/96/00/773079600.db2.gz NMSSCIKEJQXXTP-JFGNBEQYSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206472775 773079605 /nfs/dbraw/zinc/07/96/05/773079605.db2.gz NMSSCIKEJQXXTP-JFGNBEQYSA-N 0 2 323.397 0.262 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206626770 773095807 /nfs/dbraw/zinc/09/58/07/773095807.db2.gz XWEGHCZZNGDTQY-OPRDCNLKSA-N 0 2 313.427 0.446 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206626770 773095811 /nfs/dbraw/zinc/09/58/11/773095811.db2.gz XWEGHCZZNGDTQY-OPRDCNLKSA-N 0 2 313.427 0.446 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1(C(F)F)CC1 ZINC001206972584 773153489 /nfs/dbraw/zinc/15/34/89/773153489.db2.gz QLZRGDSRFNQJDB-HTQZYQBOSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(C(F)F)CC1 ZINC001206972584 773153492 /nfs/dbraw/zinc/15/34/92/773153492.db2.gz QLZRGDSRFNQJDB-HTQZYQBOSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(C(F)F)CC1 ZINC001206972584 773153493 /nfs/dbraw/zinc/15/34/93/773153493.db2.gz QLZRGDSRFNQJDB-HTQZYQBOSA-N 0 2 315.324 0.492 20 0 DCADLN COC(=O)c1cc(C(=O)n2c3cc(C)nn3c(=O)cc2C)[nH]n1 ZINC001194285887 773176778 /nfs/dbraw/zinc/17/67/78/773176778.db2.gz DJJOWWCIAHLCFT-UHFFFAOYSA-N 0 2 315.289 0.311 20 0 DCADLN COC(=O)c1cc(C(=O)n2c3cc(C)nn3c(=O)cc2C)n[nH]1 ZINC001194285887 773176782 /nfs/dbraw/zinc/17/67/82/773176782.db2.gz DJJOWWCIAHLCFT-UHFFFAOYSA-N 0 2 315.289 0.311 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1cc(C)nn1CCO ZINC001194675992 773231326 /nfs/dbraw/zinc/23/13/26/773231326.db2.gz BGOBUQLJZNKOMK-UHFFFAOYSA-N 0 2 312.351 0.388 20 0 DCADLN CC(C)N(C)C(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC[NH+]2C)CC1 ZINC001195276010 773362080 /nfs/dbraw/zinc/36/20/80/773362080.db2.gz XTXKLDSPAFIEAB-OAHLLOKOSA-N 0 2 324.469 0.482 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2c3ncnc-3ncn2C)cn1 ZINC001195685261 773457088 /nfs/dbraw/zinc/45/70/88/773457088.db2.gz YPCUUXSNPSGJCS-UHFFFAOYSA-N 0 2 320.334 0.519 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3nncs3)C[C@H]21)C(F)C(F)(F)F ZINC001114308888 773457807 /nfs/dbraw/zinc/45/78/07/773457807.db2.gz NJHZUGYBSSTESU-CRYJXSNHSA-N 0 2 324.303 0.985 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3nncs3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001114308888 773457810 /nfs/dbraw/zinc/45/78/10/773457810.db2.gz NJHZUGYBSSTESU-CRYJXSNHSA-N 0 2 324.303 0.985 20 0 DCADLN Cn1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(F)C(F)(F)F)nn1 ZINC001114311667 773680230 /nfs/dbraw/zinc/68/02/30/773680230.db2.gz RCEAVYXDGCFAPN-XFWSIPNHSA-N 0 2 321.278 0.262 20 0 DCADLN Cc1nnc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(F)C(F)(F)F)[nH]1 ZINC001114311822 773689208 /nfs/dbraw/zinc/68/92/08/773689208.db2.gz UWKCAPHOGGNUJO-GOZTYBTRSA-N 0 2 321.278 0.560 20 0 DCADLN CCC(C)(C)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001213358804 773838395 /nfs/dbraw/zinc/83/83/95/773838395.db2.gz TUFCPNCJLJUGDA-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H]1CCc2c(C(=O)Nc3[nH]c(=O)nc4[nH]c(=O)[nH]c43)n[nH]c21 ZINC001198461584 773927486 /nfs/dbraw/zinc/92/74/86/773927486.db2.gz DRIMPHYMGUVMEG-SCSAIBSYSA-N 0 2 315.293 0.741 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccnc1OC(F)(F)F ZINC001199375712 774100150 /nfs/dbraw/zinc/10/01/50/774100150.db2.gz SHUIIWICZKZNCZ-UHFFFAOYSA-N 0 2 303.200 0.734 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1Cc2cc(F)ccc2NC1=O ZINC001137239980 775016531 /nfs/dbraw/zinc/01/65/31/775016531.db2.gz NWIMFISRIPXEFY-MRVPVSSYSA-N 0 2 305.269 0.077 20 0 DCADLN CC/C=C(/C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221372719 775080072 /nfs/dbraw/zinc/08/00/72/775080072.db2.gz NRCPQNCFOAEDRI-QJJZASRKSA-N 0 2 305.382 0.899 20 0 DCADLN COCC(C)(C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221692794 775173328 /nfs/dbraw/zinc/17/33/28/775173328.db2.gz MDZJQAGTNUXTAA-GHMZBOCLSA-N 0 2 323.397 0.216 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)c1nccnc1F ZINC001095606361 775256890 /nfs/dbraw/zinc/25/68/90/775256890.db2.gz FJLJMSDTRHVIGU-UHFFFAOYSA-N 0 2 306.345 0.832 20 0 DCADLN CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001110993004 775382622 /nfs/dbraw/zinc/38/26/22/775382622.db2.gz HRMKRGXQXTULBR-MXWKQRLJSA-N 0 2 305.382 0.922 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCC1CCOCC1 ZINC001222640112 775404040 /nfs/dbraw/zinc/40/40/40/775404040.db2.gz UQEUIFXVSAZPHZ-ZDUSSCGKSA-N 0 2 323.411 0.018 20 0 DCADLN CC[C@@H](C(=O)NCC1CC[NH+](Cc2nncn2C)CC1)[NH+](C)C ZINC001224583580 775585299 /nfs/dbraw/zinc/58/52/99/775585299.db2.gz ULLPFPHCMRJCSD-AWEZNQCLSA-N 0 2 322.457 0.484 20 0 DCADLN CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c[nH+]cn1C)C2 ZINC001111276346 775701293 /nfs/dbraw/zinc/70/12/93/775701293.db2.gz QUORCIQZBHFOEA-YUELXQCFSA-N 0 2 306.410 0.721 20 0 DCADLN CC(=O)O[C@H]1CO[C@@H]2[C@H](Oc3nc(C)[nH]c(=O)c3F)CO[C@@H]21 ZINC001226424153 775815863 /nfs/dbraw/zinc/81/58/63/775815863.db2.gz FSRGXOYBJVAVQO-SCVMZPAESA-N 0 2 314.269 0.106 20 0 DCADLN O=c1nc2c(=O)[nH]c(=O)[nH]c2c(O[C@@H]2CCn3ccnc32)[nH]1 ZINC001226888704 775890767 /nfs/dbraw/zinc/89/07/67/775890767.db2.gz VFVLYLPQDPLTKK-RXMQYKEDSA-N 0 2 302.250 0.257 20 0 DCADLN CC1(C)OC[C@H](COc2[nH]c(=O)nnc2Br)O1 ZINC001227064452 775921066 /nfs/dbraw/zinc/92/10/66/775921066.db2.gz QAFUNICDKHBGMT-YFKPBYRVSA-N 0 2 306.116 0.870 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)Oc1ccc(O)cc1 ZINC001227445366 775975048 /nfs/dbraw/zinc/97/50/48/775975048.db2.gz MCJKIXVSTZQYIC-ZDUSSCGKSA-N 0 2 317.363 0.360 20 0 DCADLN C[C@@H](CC(F)F)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001228350055 776072991 /nfs/dbraw/zinc/07/29/91/776072991.db2.gz NFOQQKFGIWIRIL-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN O=c1cc(I)nc(OC[C@H]2CCO2)[nH]1 ZINC001228947305 776140154 /nfs/dbraw/zinc/14/01/54/776140154.db2.gz WHANMTBIKZORQE-RXMQYKEDSA-N 0 2 308.075 0.955 20 0 DCADLN CC(C)(F)C(=O)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041886799 777567438 /nfs/dbraw/zinc/56/74/38/777567438.db2.gz BZBDUSKAQASABM-UWVGGRQHSA-N 0 2 311.361 0.681 20 0 DCADLN CC(C)(F)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041886799 777567444 /nfs/dbraw/zinc/56/74/44/777567444.db2.gz BZBDUSKAQASABM-UWVGGRQHSA-N 0 2 311.361 0.681 20 0 DCADLN CN(CCn1cc[nH+]c1)S(=O)(=O)[C@H]1CCCC[C@@H]1C(=O)[O-] ZINC001465113801 804135703 /nfs/dbraw/zinc/13/57/03/804135703.db2.gz CNIAJZMCCNZFFG-RYUDHWBXSA-N 0 2 315.395 0.788 20 0 DCADLN COC(=O)[C@H](O)C1CC[NH+](Cc2nc(C(=O)[O-])cs2)CC1 ZINC001598712991 1168029469 /nfs/dbraw/zinc/02/94/69/1168029469.db2.gz OLSPJXYEACOASH-LLVKDONJSA-N 0 2 314.363 0.587 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)[nH]1 ZINC001602334936 1169061086 /nfs/dbraw/zinc/06/10/86/1169061086.db2.gz NARJMMUTICDFOI-VIFPVBQESA-N 0 2 310.272 0.821 20 0 DCADLN O=C([O-])c1ccc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)[nH]1 ZINC001602334936 1169061095 /nfs/dbraw/zinc/06/10/95/1169061095.db2.gz NARJMMUTICDFOI-VIFPVBQESA-N 0 2 310.272 0.821 20 0 DCADLN CCCn1ncnc1C[NH2+]CCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001266674984 939203070 /nfs/dbraw/zinc/20/30/70/939203070.db2.gz GUSVYFKYRZQDGO-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN Cc1cn(C)nc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001408590293 939396108 /nfs/dbraw/zinc/39/61/08/939396108.db2.gz PQJIXLXWSMRJFW-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cn(C)nc1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001408590293 939396112 /nfs/dbraw/zinc/39/61/12/939396112.db2.gz PQJIXLXWSMRJFW-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN COCCC[C@H](C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267695833 939629507 /nfs/dbraw/zinc/62/95/07/939629507.db2.gz JSSASPSHADVXMC-JTQLQIEISA-N 0 2 311.386 0.121 20 0 DCADLN CCN(CCNC(=O)c1cccnn1)C(=O)C(F)C(F)(F)F ZINC001408786153 939647416 /nfs/dbraw/zinc/64/74/16/939647416.db2.gz YVWGCFAKBWFXMQ-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1cccnn1)C(=O)[C@H](F)C(F)(F)F ZINC001408786153 939647419 /nfs/dbraw/zinc/64/74/19/939647419.db2.gz YVWGCFAKBWFXMQ-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N[C@@H]1CC[NH+](CC(=O)NC2CCCC2)C1 ZINC001481709623 940067128 /nfs/dbraw/zinc/06/71/28/940067128.db2.gz ACCYABAHMUDAII-OAHLLOKOSA-N 0 2 324.469 0.576 20 0 DCADLN COCC(=O)N(CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001408919924 940111793 /nfs/dbraw/zinc/11/17/93/940111793.db2.gz WXJRWLHAPRVANM-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N(CCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001408919924 940111794 /nfs/dbraw/zinc/11/17/94/940111794.db2.gz WXJRWLHAPRVANM-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN Cc1n[nH]cc1C(=O)NCCN(C(=O)Cc1c[nH+]c[nH]1)C1CC1 ZINC001408931355 940274626 /nfs/dbraw/zinc/27/46/26/940274626.db2.gz COKFFGYZRBHSLU-UHFFFAOYSA-N 0 2 316.365 0.405 20 0 DCADLN CC[N@H+]1CCO[C@H](C(=O)NC/C=C\C[NH2+]Cc2cnoc2C)C1 ZINC001268626533 940305536 /nfs/dbraw/zinc/30/55/36/940305536.db2.gz BGRCMDGMQZVGEU-XVWMLYKFSA-N 0 2 322.409 0.466 20 0 DCADLN CCC(=O)C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001268887354 940395505 /nfs/dbraw/zinc/39/55/05/940395505.db2.gz RPYQXGAWDWIGHC-UHFFFAOYSA-N 0 2 319.277 0.174 20 0 DCADLN O=C(CCOCC1CC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001269129060 940626181 /nfs/dbraw/zinc/62/61/81/940626181.db2.gz AEINYVYMSRQHGR-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1cnoc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409061676 941301932 /nfs/dbraw/zinc/30/19/32/941301932.db2.gz RGSOWAITYFKEQT-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cnoc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409061676 941301938 /nfs/dbraw/zinc/30/19/38/941301938.db2.gz RGSOWAITYFKEQT-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN COCCOCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001354458865 941303110 /nfs/dbraw/zinc/30/31/10/941303110.db2.gz JZYSEDAFTGXCFO-VXNVDRBHSA-N 0 2 318.267 0.171 20 0 DCADLN COCCOCC(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001354458865 941303116 /nfs/dbraw/zinc/30/31/16/941303116.db2.gz JZYSEDAFTGXCFO-VXNVDRBHSA-N 0 2 318.267 0.171 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+][C@@H](C)c2csnn2)c1[O-] ZINC001482997716 941766528 /nfs/dbraw/zinc/76/65/28/941766528.db2.gz RPDUZUMLRUIOET-VEMNSZJBSA-N 0 2 322.394 0.912 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[N@H+](C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001409616391 942095717 /nfs/dbraw/zinc/09/57/17/942095717.db2.gz DVCXUFXOJCHBTR-MNOVXSKESA-N 0 2 324.429 0.548 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[N@@H+](C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001409616391 942095720 /nfs/dbraw/zinc/09/57/20/942095720.db2.gz DVCXUFXOJCHBTR-MNOVXSKESA-N 0 2 324.429 0.548 20 0 DCADLN C[C@H]1[C@@H](CO)CCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412894306 942128386 /nfs/dbraw/zinc/12/83/86/942128386.db2.gz SWOKZSCDOPCOFV-GXSJLCMTSA-N 0 2 318.333 0.109 20 0 DCADLN CCn1ncc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001415837116 942206360 /nfs/dbraw/zinc/20/63/60/942206360.db2.gz UAJVGFWEYQTANU-JTQLQIEISA-N 0 2 323.294 0.843 20 0 DCADLN CCn1ncc(CN2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001415837116 942206367 /nfs/dbraw/zinc/20/63/67/942206367.db2.gz UAJVGFWEYQTANU-JTQLQIEISA-N 0 2 323.294 0.843 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483325930 942267975 /nfs/dbraw/zinc/26/79/75/942267975.db2.gz ODCOLVBVXVFPSD-RKDXNWHRSA-N 0 2 301.416 0.588 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483325930 942267980 /nfs/dbraw/zinc/26/79/80/942267980.db2.gz ODCOLVBVXVFPSD-RKDXNWHRSA-N 0 2 301.416 0.588 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC1(F)F ZINC001483353515 942292432 /nfs/dbraw/zinc/29/24/32/942292432.db2.gz SIRHGBDWCRXJER-YUMQZZPRSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC1(F)F ZINC001483353515 942292434 /nfs/dbraw/zinc/29/24/34/942292434.db2.gz SIRHGBDWCRXJER-YUMQZZPRSA-N 0 2 303.313 0.492 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001483362092 942298633 /nfs/dbraw/zinc/29/86/33/942298633.db2.gz FWLQABRFHVXBFZ-SNVBAGLBSA-N 0 2 318.381 0.772 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001483362092 942298636 /nfs/dbraw/zinc/29/86/36/942298636.db2.gz FWLQABRFHVXBFZ-SNVBAGLBSA-N 0 2 318.381 0.772 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1occ2c1CCC2 ZINC001483367524 942302930 /nfs/dbraw/zinc/30/29/30/942302930.db2.gz JIOXUHLUHQHDRO-SECBINFHSA-N 0 2 319.365 0.842 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1occ2c1CCC2 ZINC001483367524 942302934 /nfs/dbraw/zinc/30/29/34/942302934.db2.gz JIOXUHLUHQHDRO-SECBINFHSA-N 0 2 319.365 0.842 20 0 DCADLN CC(C)n1cc(C(C)(C)NC(=O)C[C@H]2SC(=N)NC2=O)nn1 ZINC001413132062 942523008 /nfs/dbraw/zinc/52/30/08/942523008.db2.gz MXFFSUHXJDRACO-MRVPVSSYSA-N 0 2 324.410 0.767 20 0 DCADLN O=S(=O)(NCCn1ncnn1)c1onc(C2CC2)c1Cl ZINC001413307821 942619231 /nfs/dbraw/zinc/61/92/31/942619231.db2.gz NXBMKMGKWSFCFR-UHFFFAOYSA-N 0 2 318.746 0.170 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnnn2C)C1 ZINC001409898147 942888637 /nfs/dbraw/zinc/88/86/37/942888637.db2.gz FYNUPBCIJNAFJN-XCBNKYQSSA-N 0 2 323.294 0.652 20 0 DCADLN Cc1noc([C@@H]2CCC[N@H+](CCS(=O)(=O)CC(=O)[O-])C2)n1 ZINC001611266177 970960846 /nfs/dbraw/zinc/96/08/46/970960846.db2.gz JTLLPDQDYUMDJS-SNVBAGLBSA-N 0 2 317.367 0.057 20 0 DCADLN Cc1noc([C@@H]2CCC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C2)n1 ZINC001611266177 970960853 /nfs/dbraw/zinc/96/08/53/970960853.db2.gz JTLLPDQDYUMDJS-SNVBAGLBSA-N 0 2 317.367 0.057 20 0 DCADLN Cc1ncc(C[N@@H+]2CC[C@@](C)(NC(=O)CCc3nc[nH]n3)C2)o1 ZINC001484039595 943108890 /nfs/dbraw/zinc/10/88/90/943108890.db2.gz VMYJNPSTRIKRJO-OAHLLOKOSA-N 0 2 318.381 0.815 20 0 DCADLN O=C(CCCC1CC1)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001484599012 943467728 /nfs/dbraw/zinc/46/77/28/943467728.db2.gz DMVYURYAEXUSPM-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@@H](c3cnccn3)C2)S1 ZINC001413666957 943559097 /nfs/dbraw/zinc/55/90/97/943559097.db2.gz NOYXAAZVZIAVSA-SCZZXKLOSA-N 0 2 305.363 0.349 20 0 DCADLN O=C([O-])C1=NO[C@H](C(=O)NCCCCNc2cccc[nH+]2)C1 ZINC001611361399 971006802 /nfs/dbraw/zinc/00/68/02/971006802.db2.gz CRQICXQVSFRZEJ-NSHDSACASA-N 0 2 306.322 0.619 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2[C@H]2CCOC2)S1 ZINC001413704708 943595843 /nfs/dbraw/zinc/59/58/43/943595843.db2.gz VJQHQLRMLBJUDQ-JGVFFNPUSA-N 0 2 309.351 0.339 20 0 DCADLN O=C(NC1CC(CCO)C1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001413760748 943669912 /nfs/dbraw/zinc/66/99/12/943669912.db2.gz BFFULOUZJDCHTD-UHFFFAOYSA-N 0 2 318.333 0.157 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1OCCO[C@@H]1C(F)(F)F)c1nn(C)cc1O ZINC001413759546 943669964 /nfs/dbraw/zinc/66/99/64/943669964.db2.gz JOSFEBIPKLSQHX-DRTBCBBWSA-N 0 2 323.271 0.649 20 0 DCADLN COc1cnc([C@H]2CCCN2C(=O)C2=NC(=O)N(C)C2)[nH]c1=O ZINC001413764801 943671962 /nfs/dbraw/zinc/67/19/62/943671962.db2.gz JTBGXUSKCPZXER-SECBINFHSA-N 0 2 319.321 0.607 20 0 DCADLN C[C@H](NC(=O)CNC(=O)NCc1ccco1)c1nn(C)cc1O ZINC001413769648 943676451 /nfs/dbraw/zinc/67/64/51/943676451.db2.gz XXPHHXZTZCBXOV-VIFPVBQESA-N 0 2 321.337 0.395 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001491104007 943753109 /nfs/dbraw/zinc/75/31/09/943753109.db2.gz XQZAAMIYXQAWHE-SNVBAGLBSA-N 0 2 323.397 0.454 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001491104007 943753111 /nfs/dbraw/zinc/75/31/11/943753111.db2.gz XQZAAMIYXQAWHE-SNVBAGLBSA-N 0 2 323.397 0.454 20 0 DCADLN CCc1ocnc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491199834 943824016 /nfs/dbraw/zinc/82/40/16/943824016.db2.gz NMTMYYLXFBIOAN-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1ocnc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491199834 943824018 /nfs/dbraw/zinc/82/40/18/943824018.db2.gz NMTMYYLXFBIOAN-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1C=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485488993 943958020 /nfs/dbraw/zinc/95/80/20/943958020.db2.gz OXHACUUSLAOATJ-GHMZBOCLSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@H](C[S@](C)=O)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001413779476 944086972 /nfs/dbraw/zinc/08/69/72/944086972.db2.gz OOHVYXZAZMWITP-FQJNGZCASA-N 0 2 315.399 0.166 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@]3(C2)CN(CC[NH+](C)C)C(=O)CO3)no1 ZINC001272773529 944219161 /nfs/dbraw/zinc/21/91/61/944219161.db2.gz VMCAFYRKEJKCBG-INIZCTEOSA-N 0 2 322.409 0.348 20 0 DCADLN NC(=O)[C@H]1CCC2(CN(C(=O)c3ccc(O)c(F)c3F)C2)O1 ZINC001276858142 944331961 /nfs/dbraw/zinc/33/19/61/944331961.db2.gz BYTADBOTJJMEJK-SECBINFHSA-N 0 2 312.272 0.529 20 0 DCADLN COCCOC[C@@H]1CCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC001318808837 945447728 /nfs/dbraw/zinc/44/77/28/945447728.db2.gz JOVLBSGUFDVVIL-NXEZZACHSA-N 0 2 315.395 0.054 20 0 DCADLN Nc1ccc(S(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)cc1 ZINC001320449316 945881451 /nfs/dbraw/zinc/88/14/51/945881451.db2.gz CCNOVPUHDOMTSB-UHFFFAOYSA-N 0 2 313.379 0.050 20 0 DCADLN O=C([O-])c1ccccc1CC(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001602465536 971203607 /nfs/dbraw/zinc/20/36/07/971203607.db2.gz UQSPAOAFSIYKHZ-AWEZNQCLSA-N 0 2 314.345 0.824 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001410276007 946127208 /nfs/dbraw/zinc/12/72/08/946127208.db2.gz RWWUTQYFRHCMRT-RNFRBKRXSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001410276007 946127220 /nfs/dbraw/zinc/12/72/20/946127220.db2.gz RWWUTQYFRHCMRT-RNFRBKRXSA-N 0 2 308.235 0.612 20 0 DCADLN CC[C@@H](C(=O)N[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)[NH+](C)C ZINC001410470938 946455093 /nfs/dbraw/zinc/45/50/93/946455093.db2.gz XTNJPXFHOPDDAB-DYEKYZERSA-N 0 2 323.441 0.549 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cc(=O)n(-c2ccccc2)[nH]1 ZINC001259019092 946895262 /nfs/dbraw/zinc/89/52/62/946895262.db2.gz SUMRAOQDDMPKGN-UHFFFAOYSA-N 0 2 311.319 0.493 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cc(C(=O)OC)c(F)cc1F ZINC001259024679 946896678 /nfs/dbraw/zinc/89/66/78/946896678.db2.gz DRAIQJGSFYZIQM-UHFFFAOYSA-N 0 2 323.273 0.666 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc(C(=O)CCCO)cc1 ZINC001259026749 946899764 /nfs/dbraw/zinc/89/97/64/946899764.db2.gz BEOAOZUTEWWONA-UHFFFAOYSA-N 0 2 315.347 0.557 20 0 DCADLN COC(=O)C1(NS(=O)(=O)Cc2ccc(Cl)cc2)COC1 ZINC001259244216 946929612 /nfs/dbraw/zinc/92/96/12/946929612.db2.gz OSAZNPZJBJQSPN-UHFFFAOYSA-N 0 2 319.766 0.701 20 0 DCADLN O=c1[nH]c2ccc(S(=O)(=O)Nc3c(O)nc[nH]c3=O)cc2o1 ZINC001259378278 946951873 /nfs/dbraw/zinc/95/18/73/946951873.db2.gz SDXSLUGZJHNICD-UHFFFAOYSA-N 0 2 324.274 0.535 20 0 DCADLN O=S(=O)(Nc1cnc(N2CCOCC2)nc1)c1cccnc1 ZINC001259602385 946968673 /nfs/dbraw/zinc/96/86/73/946968673.db2.gz XHJUSEKRLZLRNV-UHFFFAOYSA-N 0 2 321.362 0.509 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2cnn(C)c2)n(C)n1 ZINC001259820650 946989865 /nfs/dbraw/zinc/98/98/65/946989865.db2.gz IJZTVZKGZALCNJ-UHFFFAOYSA-N 0 2 313.339 0.131 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)CCNC3=O)cn1 ZINC001259826714 946991012 /nfs/dbraw/zinc/99/10/12/946991012.db2.gz KHOQQPOQZIIQLM-UHFFFAOYSA-N 0 2 306.347 0.507 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cnc3c(c2)ncn3C)cn1 ZINC001259832065 946994649 /nfs/dbraw/zinc/99/46/49/946994649.db2.gz CNKYWDUPUYXISO-UHFFFAOYSA-N 0 2 306.351 0.986 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc3c2CC(=O)NC3)cn1 ZINC001259839809 946998411 /nfs/dbraw/zinc/99/84/11/946998411.db2.gz RNHKFHAHXXCNMP-UHFFFAOYSA-N 0 2 320.374 0.876 20 0 DCADLN COC(=O)c1cncc(NS(=O)(=O)C2CCOCC2)n1 ZINC001259907770 947015203 /nfs/dbraw/zinc/01/52/03/947015203.db2.gz DEZNWHYEFYNICA-UHFFFAOYSA-N 0 2 301.324 0.184 20 0 DCADLN CCOC(=O)[C@H](Cc1ccccn1)NS(=O)(=O)C(F)F ZINC001259961294 947040792 /nfs/dbraw/zinc/04/07/92/947040792.db2.gz DPICNLPSMCYJBI-VIFPVBQESA-N 0 2 308.306 0.698 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1ccnn1C1COC1 ZINC001260105502 947067444 /nfs/dbraw/zinc/06/74/44/947067444.db2.gz CQBICRDSQNCEAW-UHFFFAOYSA-N 0 2 311.367 0.606 20 0 DCADLN C[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001260212151 947084304 /nfs/dbraw/zinc/08/43/04/947084304.db2.gz OCBHICPPCIYINV-UWVGGRQHSA-N 0 2 314.338 0.601 20 0 DCADLN C[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001260212151 947084313 /nfs/dbraw/zinc/08/43/13/947084313.db2.gz OCBHICPPCIYINV-UWVGGRQHSA-N 0 2 314.338 0.601 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cc[nH]n2)C1 ZINC001410888621 947088849 /nfs/dbraw/zinc/08/88/49/947088849.db2.gz BZLCKTHZIXTLGX-MRVPVSSYSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001410888621 947088864 /nfs/dbraw/zinc/08/88/64/947088864.db2.gz BZLCKTHZIXTLGX-MRVPVSSYSA-N 0 2 308.235 0.593 20 0 DCADLN CC(=O)Nc1cccc(S(=O)(=O)Nc2cnn(CCO)c2)c1 ZINC001260804423 947149935 /nfs/dbraw/zinc/14/99/35/947149935.db2.gz SLDNLDDKMJGRGF-UHFFFAOYSA-N 0 2 324.362 0.635 20 0 DCADLN COCCn1nc(C)cc1NS(=O)(=O)c1c(C)n[nH]c1C ZINC001261024724 947167914 /nfs/dbraw/zinc/16/79/14/947167914.db2.gz LTMNOFHDBRYTFK-UHFFFAOYSA-N 0 2 313.383 0.979 20 0 DCADLN COCn1cc(NS(=O)(=O)c2cnc(Cl)nc2)cn1 ZINC001185003540 947927346 /nfs/dbraw/zinc/92/73/46/947927346.db2.gz DHLWUMHVRLCPCI-UHFFFAOYSA-N 0 2 303.731 0.731 20 0 DCADLN Cc1cc(CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)on1 ZINC001570919709 948591366 /nfs/dbraw/zinc/59/13/66/948591366.db2.gz ZSVLBRYIZUNOKU-UHFFFAOYSA-N 0 2 315.293 0.502 20 0 DCADLN Cn1c(=O)[nH]c(Sc2ccc(O)cc2)c(-c2nn[nH]n2)c1=O ZINC001570921626 948624837 /nfs/dbraw/zinc/62/48/37/948624837.db2.gz ILWQIFGOWQJHTI-UHFFFAOYSA-N 0 2 318.318 0.111 20 0 DCADLN COc1ncccc1Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001570922595 948645161 /nfs/dbraw/zinc/64/51/61/948645161.db2.gz KLFQPRITOFHDNY-UHFFFAOYSA-N 0 2 324.344 0.969 20 0 DCADLN C/C(=C/C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001264132774 948904111 /nfs/dbraw/zinc/90/41/11/948904111.db2.gz BPRPHFVJBPRTHM-UDNGQWLYSA-N 0 2 305.382 0.946 20 0 DCADLN C/C(=C/C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001264132774 948904112 /nfs/dbraw/zinc/90/41/12/948904112.db2.gz BPRPHFVJBPRTHM-UDNGQWLYSA-N 0 2 305.382 0.946 20 0 DCADLN CCCC(=O)NC1CC[NH+](CN2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001589628015 949519086 /nfs/dbraw/zinc/51/90/86/949519086.db2.gz AXKPOIGAXORVJW-NSHDSACASA-N 0 2 311.382 0.258 20 0 DCADLN CCc1cc(C[NH2+]Cc2cnc(N3CC[NH+](C)CC3)nc2)[nH]n1 ZINC001364574333 949575169 /nfs/dbraw/zinc/57/51/69/949575169.db2.gz DBECPGLNUQCABE-UHFFFAOYSA-N 0 2 315.425 0.804 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1C[N@H+](CN1C(=O)C(=O)c3ccccc31)C2 ZINC001595279849 949966114 /nfs/dbraw/zinc/96/61/14/949966114.db2.gz FKKQNTCAPYBRML-QLJPJBMISA-N 0 2 316.313 0.206 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1C[N@@H+](CN1C(=O)C(=O)c3ccccc31)C2 ZINC001595279849 949966127 /nfs/dbraw/zinc/96/61/27/949966127.db2.gz FKKQNTCAPYBRML-QLJPJBMISA-N 0 2 316.313 0.206 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CC(=O)c2ccccc21 ZINC001446917647 1013097358 /nfs/dbraw/zinc/09/73/58/1013097358.db2.gz QHRUHKWOHIQOND-GFCCVEGCSA-N 0 2 323.312 0.515 20 0 DCADLN CC(C)(C)NC(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001573850922 950281690 /nfs/dbraw/zinc/28/16/90/950281690.db2.gz PMVZQFOXLDWQKB-UHFFFAOYSA-N 0 2 316.365 0.432 20 0 DCADLN Cn1ccnc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365104732 950523539 /nfs/dbraw/zinc/52/35/39/950523539.db2.gz MWRSWLGZZOAMNF-SECBINFHSA-N 0 2 305.342 0.333 20 0 DCADLN CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCCC(=O)[O-])CC1 ZINC001589883464 950700556 /nfs/dbraw/zinc/70/05/56/950700556.db2.gz CKZSCXQLYXRIGS-UHFFFAOYSA-N 0 2 313.398 0.302 20 0 DCADLN CCNC(=O)C[N@H+]1CCCN(C(=O)CCCCC(=O)[O-])CC1 ZINC001589883464 950700572 /nfs/dbraw/zinc/70/05/72/950700572.db2.gz CKZSCXQLYXRIGS-UHFFFAOYSA-N 0 2 313.398 0.302 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2cc(C(=O)[O-])n[nH]2)C1 ZINC001590207727 950878750 /nfs/dbraw/zinc/87/87/50/950878750.db2.gz BNFNRWPDQGQSBV-SECBINFHSA-N 0 2 324.337 0.322 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2cc(C(=O)[O-])n[nH]2)C1 ZINC001590207727 950878761 /nfs/dbraw/zinc/87/87/61/950878761.db2.gz BNFNRWPDQGQSBV-SECBINFHSA-N 0 2 324.337 0.322 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NCC2CCCC2)[nH]1 ZINC001365311870 950937436 /nfs/dbraw/zinc/93/74/36/950937436.db2.gz IKRIGZYKYJTUSQ-UHFFFAOYSA-N 0 2 315.399 0.339 20 0 DCADLN O=C([O-])C[C@@H]1CCCN(S(=O)(=O)CCn2cc[nH+]c2)C1 ZINC001594993431 951060322 /nfs/dbraw/zinc/06/03/22/951060322.db2.gz KWJMTUZUBIVDOL-NSHDSACASA-N 0 2 301.368 0.400 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N2C3CCC2CC3)[nH]1 ZINC001365439697 951130494 /nfs/dbraw/zinc/13/04/94/951130494.db2.gz HOUIZVFJGKTNGS-UHFFFAOYSA-N 0 2 313.383 0.186 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001365570496 951276701 /nfs/dbraw/zinc/27/67/01/951276701.db2.gz GNZXBTATEHKOSS-OUAUKWLOSA-N 0 2 309.370 0.967 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001365570493 951277752 /nfs/dbraw/zinc/27/77/52/951277752.db2.gz GNZXBTATEHKOSS-MXWKQRLJSA-N 0 2 309.370 0.967 20 0 DCADLN O=C([O-])CC[C@H]1CCC[N@H+](Cc2nnc3c(=O)[nH]ccn23)C1 ZINC001595016677 951290164 /nfs/dbraw/zinc/29/01/64/951290164.db2.gz BLSIEKIHMHSJTD-SNVBAGLBSA-N 0 2 305.338 0.494 20 0 DCADLN O=C([O-])CC[C@H]1CCC[N@@H+](Cc2nnc3c(=O)[nH]ccn23)C1 ZINC001595016677 951290176 /nfs/dbraw/zinc/29/01/76/951290176.db2.gz BLSIEKIHMHSJTD-SNVBAGLBSA-N 0 2 305.338 0.494 20 0 DCADLN C[C@@H]1CCC[C@@H]1CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365693875 951442121 /nfs/dbraw/zinc/44/21/21/951442121.db2.gz VMBKVNWIRSTWLF-VXGBXAGGSA-N 0 2 307.398 0.981 20 0 DCADLN C[C@H]1CCC[C@@H]1CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365693873 951443121 /nfs/dbraw/zinc/44/31/21/951443121.db2.gz VMBKVNWIRSTWLF-NWDGAFQWSA-N 0 2 307.398 0.981 20 0 DCADLN Cn1nnc(CNS(=O)(=O)c2onc(C3CC3)c2Cl)n1 ZINC001365704816 951457085 /nfs/dbraw/zinc/45/70/85/951457085.db2.gz YMTNVEQEJJQATH-UHFFFAOYSA-N 0 2 318.746 0.207 20 0 DCADLN COc1nc(C)cc(N(C)CCNC(=O)Cc2[nH]c[nH+]c2C)n1 ZINC001365772581 951522359 /nfs/dbraw/zinc/52/23/59/951522359.db2.gz MMEZBQJPKUATKQ-UHFFFAOYSA-N 0 2 318.381 0.620 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)C(=O)C(C)(C)C)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001281657150 951582693 /nfs/dbraw/zinc/58/26/93/951582693.db2.gz BSLGJVRZBWQKKI-ZJUUUORDSA-N 0 2 323.397 0.595 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccccc2)C1 ZINC001595098439 951838859 /nfs/dbraw/zinc/83/88/59/951838859.db2.gz OWKHIRLHXDZLKP-CYBMUJFWSA-N 0 2 319.361 0.082 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccccc2)C1 ZINC001595098439 951838865 /nfs/dbraw/zinc/83/88/65/951838865.db2.gz OWKHIRLHXDZLKP-CYBMUJFWSA-N 0 2 319.361 0.082 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH+]1CCC(O)(C2CCC2)CC1 ZINC001595124073 951994703 /nfs/dbraw/zinc/99/47/03/951994703.db2.gz XDGXLFOHHJUDOA-UHFFFAOYSA-N 0 2 305.396 0.113 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001333659100 952125343 /nfs/dbraw/zinc/12/53/43/952125343.db2.gz VVOKFAISIIXEHB-NHYWBVRUSA-N 0 2 309.366 0.691 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)[N@H+](C)CCOCC(F)F)c1[O-] ZINC001275811709 952473066 /nfs/dbraw/zinc/47/30/66/952473066.db2.gz RHCUIPFBWKFIGO-QMMMGPOBSA-N 0 2 320.340 0.756 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)[N@@H+](C)CCOCC(F)F)c1[O-] ZINC001275811709 952473070 /nfs/dbraw/zinc/47/30/70/952473070.db2.gz RHCUIPFBWKFIGO-QMMMGPOBSA-N 0 2 320.340 0.756 20 0 DCADLN O=C(c1cnc(C2CC2)[nH]c1=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC001411938711 952553495 /nfs/dbraw/zinc/55/34/95/952553495.db2.gz ONUWFQZIKNOXGK-SECBINFHSA-N 0 2 315.337 0.593 20 0 DCADLN COCC(=O)N1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C(C)(C)C1 ZINC001412054199 952623256 /nfs/dbraw/zinc/62/32/56/952623256.db2.gz RIJIDLIUMRVPQE-CBAPKCEASA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N1C[C@H](NC(=O)C(F)C(F)(F)F)C(C)(C)C1 ZINC001412054199 952623255 /nfs/dbraw/zinc/62/32/55/952623255.db2.gz RIJIDLIUMRVPQE-CBAPKCEASA-N 0 2 314.279 0.886 20 0 DCADLN CC1(C)CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC[S@]1=O ZINC001412128738 952656446 /nfs/dbraw/zinc/65/64/46/952656446.db2.gz UOSHUAMLJIHJIL-ZPWHCFADSA-N 0 2 317.436 0.302 20 0 DCADLN O=C(NC[C@@H]1CNC(=O)C1)C1=NN(c2ccccc2)CC1=O ZINC001412251299 952718420 /nfs/dbraw/zinc/71/84/20/952718420.db2.gz UZPWQADXQWEJMX-JTQLQIEISA-N 0 2 300.318 0.444 20 0 DCADLN CC(C)N(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)C(N)=O ZINC001338788576 953051819 /nfs/dbraw/zinc/05/18/19/953051819.db2.gz YWMSQURHKKBBQM-SSDOTTSWSA-N 0 2 315.267 0.068 20 0 DCADLN CC(C)N(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)C(N)=O ZINC001338788576 953051823 /nfs/dbraw/zinc/05/18/23/953051823.db2.gz YWMSQURHKKBBQM-SSDOTTSWSA-N 0 2 315.267 0.068 20 0 DCADLN CC(C)c1nnc(CCC(=O)NCCCc2n[nH]c(=O)[nH]2)o1 ZINC001339910711 953129537 /nfs/dbraw/zinc/12/95/37/953129537.db2.gz SYMZWLGKLJCLNR-UHFFFAOYSA-N 0 2 308.342 0.698 20 0 DCADLN CC(C)(COC(=O)[C@@H]1CCCN(CC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001602948810 971923692 /nfs/dbraw/zinc/92/36/92/971923692.db2.gz OSEOJQVPTHGHBF-LLVKDONJSA-N 0 2 323.349 0.485 20 0 DCADLN CC[C@@H](NC(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001593605665 953431444 /nfs/dbraw/zinc/43/14/44/953431444.db2.gz HWEUSQQZVGREHV-GFCCVEGCSA-N 0 2 309.366 0.413 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)C1 ZINC001589274548 953451488 /nfs/dbraw/zinc/45/14/88/953451488.db2.gz UJTFHEDLSMASHM-FRRDWIJNSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)C1 ZINC001589274548 953451494 /nfs/dbraw/zinc/45/14/94/953451494.db2.gz UJTFHEDLSMASHM-FRRDWIJNSA-N 0 2 313.398 0.459 20 0 DCADLN CCn1[nH]c(C)nc1=NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365978389 953510512 /nfs/dbraw/zinc/51/05/12/953510512.db2.gz MDHAYTGRTGLSQK-SECBINFHSA-N 0 2 320.357 0.264 20 0 DCADLN C[C@H](CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001365985853 953523638 /nfs/dbraw/zinc/52/36/38/953523638.db2.gz QFODCICLKXSOOY-SFYZADRCSA-N 0 2 321.303 0.789 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001430097940 1013116204 /nfs/dbraw/zinc/11/62/04/1013116204.db2.gz QZVXHVWNDDHJJX-BQBZGAKWSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001430097940 1013116211 /nfs/dbraw/zinc/11/62/11/1013116211.db2.gz QZVXHVWNDDHJJX-BQBZGAKWSA-N 0 2 323.250 0.046 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCCCCC(=O)[O-] ZINC001589290226 953592896 /nfs/dbraw/zinc/59/28/96/953592896.db2.gz PQZWOONHQJIATB-OLZOCXBDSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC001589290724 953598203 /nfs/dbraw/zinc/59/82/03/953598203.db2.gz YRYPLUAORWFLHB-YPMHNXCESA-N 0 2 319.361 0.715 20 0 DCADLN C[C@H]1CC[C@@H](C(=O)[O-])CN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001589310015 953781055 /nfs/dbraw/zinc/78/10/55/953781055.db2.gz BESMGSGKNQIPDW-WDEREUQCSA-N 0 2 301.368 0.398 20 0 DCADLN COCCCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001282941909 953798240 /nfs/dbraw/zinc/79/82/40/953798240.db2.gz ZFJBLOFHDFDEEE-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN COCCCCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001282941909 953798253 /nfs/dbraw/zinc/79/82/53/953798253.db2.gz ZFJBLOFHDFDEEE-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN COCc1nn(C)cc1C(=O)Nn1cnc2ccccc2c1=O ZINC001348499186 954330031 /nfs/dbraw/zinc/33/00/31/954330031.db2.gz XKWUOOULXRFXKD-UHFFFAOYSA-N 0 2 313.317 0.660 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001589399007 954396084 /nfs/dbraw/zinc/39/60/84/954396084.db2.gz BLOJRIHNKGBYLC-MROQNXINSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001589399007 954396096 /nfs/dbraw/zinc/39/60/96/954396096.db2.gz BLOJRIHNKGBYLC-MROQNXINSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)Nc2cccnc2C(=O)[O-])CCO1 ZINC001589400322 954418627 /nfs/dbraw/zinc/41/86/27/954418627.db2.gz OGMXIQJVIWKPAZ-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccnc2C(=O)[O-])CCO1 ZINC001589400322 954418634 /nfs/dbraw/zinc/41/86/34/954418634.db2.gz OGMXIQJVIWKPAZ-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cncn1C ZINC001283562973 954451722 /nfs/dbraw/zinc/45/17/22/954451722.db2.gz MUFLWZLCCMTISR-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cncn1C ZINC001283562973 954451730 /nfs/dbraw/zinc/45/17/30/954451730.db2.gz MUFLWZLCCMTISR-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)NCC[NH+](C)[C@@H]1CCN(C)C1=O ZINC001366597808 954488242 /nfs/dbraw/zinc/48/82/42/954488242.db2.gz UZQADGDAXXMERC-UONOGXRCSA-N 0 2 310.442 0.140 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1ccccc1C(=O)[O-] ZINC001589409244 954502376 /nfs/dbraw/zinc/50/23/76/954502376.db2.gz WNTWMEBFJHUPLF-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NCc1ccccc1C(=O)[O-] ZINC001589409244 954502384 /nfs/dbraw/zinc/50/23/84/954502384.db2.gz WNTWMEBFJHUPLF-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCC[C@@H](F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366612091 954518152 /nfs/dbraw/zinc/51/81/52/954518152.db2.gz PXEGFVLXRWEOBB-GHMZBOCLSA-N 0 2 313.377 0.977 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCC[C@@H](F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366612091 954518157 /nfs/dbraw/zinc/51/81/57/954518157.db2.gz PXEGFVLXRWEOBB-GHMZBOCLSA-N 0 2 313.377 0.977 20 0 DCADLN CC[C@H](SC)C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001366615905 954519324 /nfs/dbraw/zinc/51/93/24/954519324.db2.gz XPURYSDERVIPJA-VIFPVBQESA-N 0 2 301.416 0.590 20 0 DCADLN CC[C@H](SC)C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001366615905 954519331 /nfs/dbraw/zinc/51/93/31/954519331.db2.gz XPURYSDERVIPJA-VIFPVBQESA-N 0 2 301.416 0.590 20 0 DCADLN Cn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(C)(C(=O)[O-])CC1 ZINC001593775113 954596628 /nfs/dbraw/zinc/59/66/28/954596628.db2.gz YNPNKMLFLCNNPZ-QWRGUYRKSA-N 0 2 323.397 0.244 20 0 DCADLN Cn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(C)(C(=O)[O-])CC1 ZINC001593775113 954596642 /nfs/dbraw/zinc/59/66/42/954596642.db2.gz YNPNKMLFLCNNPZ-QWRGUYRKSA-N 0 2 323.397 0.244 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC ZINC001589477310 954962087 /nfs/dbraw/zinc/96/20/87/954962087.db2.gz AWTNDYDDASXYBV-JTQLQIEISA-N 0 2 310.354 0.074 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC ZINC001589477310 954962102 /nfs/dbraw/zinc/96/21/02/954962102.db2.gz AWTNDYDDASXYBV-JTQLQIEISA-N 0 2 310.354 0.074 20 0 DCADLN Cn1nncc1C1(O)CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC001548786838 1013131447 /nfs/dbraw/zinc/13/14/47/1013131447.db2.gz DHUNGRJOSUIIPW-UHFFFAOYSA-N 0 2 310.260 0.143 20 0 DCADLN O=C(CN1CC(NC(=O)C(F)C(F)(F)F)C1)N1CCCC1 ZINC001366922810 955063747 /nfs/dbraw/zinc/06/37/47/955063747.db2.gz RIMMMARKRXAJCE-SNVBAGLBSA-N 0 2 311.279 0.310 20 0 DCADLN O=C(CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)N1CCCC1 ZINC001366922810 955063758 /nfs/dbraw/zinc/06/37/58/955063758.db2.gz RIMMMARKRXAJCE-SNVBAGLBSA-N 0 2 311.279 0.310 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC001593863181 955563061 /nfs/dbraw/zinc/56/30/61/955563061.db2.gz DGYMJZRQQHVXBO-VHSXEESVSA-N 0 2 311.338 0.357 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC001593863181 955563072 /nfs/dbraw/zinc/56/30/72/955563072.db2.gz DGYMJZRQQHVXBO-VHSXEESVSA-N 0 2 311.338 0.357 20 0 DCADLN Cn1cc([C@@H]2C[C@@H](C(=O)[O-])CN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001594537169 955586055 /nfs/dbraw/zinc/58/60/55/955586055.db2.gz AOTOBHHJFOXBOT-VXGBXAGGSA-N 0 2 317.349 0.334 20 0 DCADLN Cn1cc([C@H]2C[C@@H](C(=O)[O-])CN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001594537162 955586146 /nfs/dbraw/zinc/58/61/46/955586146.db2.gz AOTOBHHJFOXBOT-NWDGAFQWSA-N 0 2 317.349 0.334 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N(CCC(=O)[O-])C1CCOCC1 ZINC001594557305 955771455 /nfs/dbraw/zinc/77/14/55/955771455.db2.gz DQPAOATXBZLHQY-UHFFFAOYSA-N 0 2 309.366 0.835 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC001594557742 955779394 /nfs/dbraw/zinc/77/93/94/955779394.db2.gz WFFJBDQUTLMTIP-TZGMSPROSA-N 0 2 305.290 0.574 20 0 DCADLN COCCCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001286017562 956125903 /nfs/dbraw/zinc/12/59/03/956125903.db2.gz OXJIWRDMQAISCR-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN COCCCC(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001286017562 956125915 /nfs/dbraw/zinc/12/59/15/956125915.db2.gz OXJIWRDMQAISCR-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001430129565 1013145757 /nfs/dbraw/zinc/14/57/57/1013145757.db2.gz WDOUPWUHFJCXLC-NRPADANISA-N 0 2 322.262 0.547 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430129565 1013145768 /nfs/dbraw/zinc/14/57/68/1013145768.db2.gz WDOUPWUHFJCXLC-NRPADANISA-N 0 2 322.262 0.547 20 0 DCADLN CC/C(C)=C\C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001287793983 956472709 /nfs/dbraw/zinc/47/27/09/956472709.db2.gz ZTYJHPJHJHZTPY-MCJDEOHKSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C\C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001287793983 956472720 /nfs/dbraw/zinc/47/27/20/956472720.db2.gz ZTYJHPJHJHZTPY-MCJDEOHKSA-N 0 2 314.279 0.836 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CCO1 ZINC001593969964 956599355 /nfs/dbraw/zinc/59/93/55/956599355.db2.gz IZENHDBLHOLELZ-CQSZACIVSA-N 0 2 311.338 0.016 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001292522761 957001343 /nfs/dbraw/zinc/00/13/43/957001343.db2.gz YYYSZEHXOGPWCQ-MRVPVSSYSA-N 0 2 310.251 0.719 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)[nH]n1 ZINC001292522761 957001356 /nfs/dbraw/zinc/00/13/56/957001356.db2.gz YYYSZEHXOGPWCQ-MRVPVSSYSA-N 0 2 310.251 0.719 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cncnc1 ZINC001357753247 957374024 /nfs/dbraw/zinc/37/40/24/957374024.db2.gz SDESJVJXASOUAC-GPYPMJJRSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1cncnc1 ZINC001357753247 957374034 /nfs/dbraw/zinc/37/40/34/957374034.db2.gz SDESJVJXASOUAC-GPYPMJJRSA-N 0 2 320.246 0.779 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NCCCCCC(=O)[O-] ZINC000049502856 957477717 /nfs/dbraw/zinc/47/77/17/957477717.db2.gz PYASMIKBAOPFRU-GFCCVEGCSA-N 0 2 301.387 0.651 20 0 DCADLN Cc1cc(C[NH+]2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)n[nH]1 ZINC001594303097 957930812 /nfs/dbraw/zinc/93/08/12/957930812.db2.gz JWRGSCJBHUNJKI-NSHDSACASA-N 0 2 306.366 0.616 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCC(F)(F)C1 ZINC001367517970 957952197 /nfs/dbraw/zinc/95/21/97/957952197.db2.gz KZBQZANVUWJVSA-VIFPVBQESA-N 0 2 317.340 0.836 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCC(F)(F)C1 ZINC001367517970 957952206 /nfs/dbraw/zinc/95/22/06/957952206.db2.gz KZBQZANVUWJVSA-VIFPVBQESA-N 0 2 317.340 0.836 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@]1(C(=O)[O-])CC=CCC1 ZINC001349674533 958096572 /nfs/dbraw/zinc/09/65/72/958096572.db2.gz PGKPRVHBAKBFAL-CJNGLKHVSA-N 0 2 309.410 0.550 20 0 DCADLN CCCOCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001297174410 958169287 /nfs/dbraw/zinc/16/92/87/958169287.db2.gz YYNPNDPVGOLVRS-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN CCCOCC(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001297174410 958169295 /nfs/dbraw/zinc/16/92/95/958169295.db2.gz YYNPNDPVGOLVRS-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN COC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCC1 ZINC001361707040 958238547 /nfs/dbraw/zinc/23/85/47/958238547.db2.gz AFLGNHGPKKRFTI-UHFFFAOYSA-N 0 2 305.338 0.147 20 0 DCADLN C[C@@H]1C[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H](C)O1 ZINC001361874742 958435093 /nfs/dbraw/zinc/43/50/93/958435093.db2.gz YTUNEOVCXQDDQP-UTLUCORTSA-N 0 2 305.338 0.001 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H](C(=O)[O-])[C@@H](C)C1)C[NH+]1CCOCC1 ZINC001588481648 958597050 /nfs/dbraw/zinc/59/70/50/958597050.db2.gz YSQGKHLMKODLAV-BHBDMTODSA-N 0 2 310.394 0.834 20 0 DCADLN C[C@H](CN(C)Cc1cnnn1C)NC(=O)C(F)C(F)(F)F ZINC001367803449 958616312 /nfs/dbraw/zinc/61/63/12/958616312.db2.gz HHLQJHWTMBUIIP-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN CC(C)CC(=O)N1CCCC[C@@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362059914 958671783 /nfs/dbraw/zinc/67/17/83/958671783.db2.gz AJXLBIQAYKMFFF-SNVBAGLBSA-N 0 2 309.370 0.554 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCCn1cccn1 ZINC001367855521 958721432 /nfs/dbraw/zinc/72/14/32/958721432.db2.gz PMXDIWOSIUUXPU-LLVKDONJSA-N 0 2 321.385 0.124 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCCn1cccn1 ZINC001367855521 958721446 /nfs/dbraw/zinc/72/14/46/958721446.db2.gz PMXDIWOSIUUXPU-LLVKDONJSA-N 0 2 321.385 0.124 20 0 DCADLN O=C(N[C@@H](CCO)C1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362191986 958903699 /nfs/dbraw/zinc/90/36/99/958903699.db2.gz SWEGGMKTBRRBDP-LBPRGKRZSA-N 0 2 318.333 0.157 20 0 DCADLN CC(C)CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001367965853 958971544 /nfs/dbraw/zinc/97/15/44/958971544.db2.gz ZRGRVJZHLPWMCJ-RYUDHWBXSA-N 0 2 322.413 0.160 20 0 DCADLN CC(C)CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001367965853 958971551 /nfs/dbraw/zinc/97/15/51/958971551.db2.gz ZRGRVJZHLPWMCJ-RYUDHWBXSA-N 0 2 322.413 0.160 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H](F)c1ccccc1 ZINC001367968732 958978873 /nfs/dbraw/zinc/97/88/73/958978873.db2.gz HQZSLGIDCCUXHD-WCQYABFASA-N 0 2 319.340 0.912 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@@H]1CC[N@@H+]1Cc1ccsc1 ZINC001367976710 958992424 /nfs/dbraw/zinc/99/24/24/958992424.db2.gz XREMSBVVFBTCLZ-JTQLQIEISA-N 0 2 323.378 0.142 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@@H]1CC[N@H+]1Cc1ccsc1 ZINC001367976710 958992427 /nfs/dbraw/zinc/99/24/27/958992427.db2.gz XREMSBVVFBTCLZ-JTQLQIEISA-N 0 2 323.378 0.142 20 0 DCADLN COC(=O)Cc1nc(NC(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)n[nH]1 ZINC001362259767 959020947 /nfs/dbraw/zinc/02/09/47/959020947.db2.gz WLKZNQMLAAPNER-CBAPKCEASA-N 0 2 318.337 0.548 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CCS(C)(=O)=O)C1 ZINC001368078253 959194838 /nfs/dbraw/zinc/19/48/38/959194838.db2.gz XZHJKCDIDWHIAT-MRVPVSSYSA-N 0 2 320.308 0.074 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(CCS(C)(=O)=O)C1 ZINC001368078253 959194844 /nfs/dbraw/zinc/19/48/44/959194844.db2.gz XZHJKCDIDWHIAT-MRVPVSSYSA-N 0 2 320.308 0.074 20 0 DCADLN C[C@H](CC(=O)Nc1nc2n(c1C(=O)[O-])CCOC2)n1cc[nH+]c1 ZINC001573577269 959335300 /nfs/dbraw/zinc/33/53/00/959335300.db2.gz STYLEDXUJGALBO-SECBINFHSA-N 0 2 319.321 0.898 20 0 DCADLN CC[C@@H](C)[C@H](O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362429792 959340126 /nfs/dbraw/zinc/34/01/26/959340126.db2.gz AVDYFXHBLQBWJT-BXKDBHETSA-N 0 2 320.349 0.403 20 0 DCADLN CC(C)[C@@](C)(CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362438057 959356417 /nfs/dbraw/zinc/35/64/17/959356417.db2.gz GVJOTWGAHQAGQU-OAHLLOKOSA-N 0 2 320.349 0.403 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC000392248582 972432838 /nfs/dbraw/zinc/43/28/38/972432838.db2.gz TVNKLCRTLSGEDN-UHFFFAOYSA-N 0 2 300.336 0.436 20 0 DCADLN C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001594246448 959574816 /nfs/dbraw/zinc/57/48/16/959574816.db2.gz CHJXMEPRVPCIKR-UWVGGRQHSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001594246448 959574830 /nfs/dbraw/zinc/57/48/30/959574830.db2.gz CHJXMEPRVPCIKR-UWVGGRQHSA-N 0 2 315.370 0.175 20 0 DCADLN CC[C@H](F)CN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001368296480 959633697 /nfs/dbraw/zinc/63/36/97/959633697.db2.gz ZYEMSOBGYDQKIJ-YUMQZZPRSA-N 0 2 304.259 0.798 20 0 DCADLN CC[C@H](F)CN1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001368296480 959633706 /nfs/dbraw/zinc/63/37/06/959633706.db2.gz ZYEMSOBGYDQKIJ-YUMQZZPRSA-N 0 2 304.259 0.798 20 0 DCADLN CC[C@@](C)(CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)OC ZINC001362606124 959686600 /nfs/dbraw/zinc/68/66/00/959686600.db2.gz YSGUJRGUIYRSHC-HNNXBMFYSA-N 0 2 320.349 0.811 20 0 DCADLN COCc1nc(CNC(=O)c2cnc(SC)[nH]c2=O)n[nH]1 ZINC001362649265 959767458 /nfs/dbraw/zinc/76/74/58/959767458.db2.gz ONWQKNABZDCITF-UHFFFAOYSA-N 0 2 310.339 0.099 20 0 DCADLN C[C@H]1COCCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362652621 959774119 /nfs/dbraw/zinc/77/41/19/959774119.db2.gz WPCZYISCRHVZSI-JTQLQIEISA-N 0 2 318.333 0.517 20 0 DCADLN CC1(C)CNC(=O)c2c(C(=O)NN3CCOC3=O)coc2C1 ZINC001362667448 959798969 /nfs/dbraw/zinc/79/89/69/959798969.db2.gz URKQGHDABKGZCV-UHFFFAOYSA-N 0 2 307.306 0.689 20 0 DCADLN CS[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362783466 959980687 /nfs/dbraw/zinc/98/06/87/959980687.db2.gz YNSYVJLZLWNQMH-NSHDSACASA-N 0 2 320.374 0.844 20 0 DCADLN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2n[nH]cc2F)C1 ZINC001362802804 960011079 /nfs/dbraw/zinc/01/10/79/960011079.db2.gz IEZZCLNQABMINU-SNVBAGLBSA-N 0 2 308.235 0.832 20 0 DCADLN COC[C@H](C)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377411209 960052125 /nfs/dbraw/zinc/05/21/25/960052125.db2.gz NFJVFLGTABFXIH-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@H](C)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377411209 960052134 /nfs/dbraw/zinc/05/21/34/960052134.db2.gz NFJVFLGTABFXIH-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CCCCOCC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001316781161 960373638 /nfs/dbraw/zinc/37/36/38/960373638.db2.gz YESSGECXCAFMLR-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CCCCOCC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001316781161 960373647 /nfs/dbraw/zinc/37/36/47/960373647.db2.gz YESSGECXCAFMLR-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001377649856 960392072 /nfs/dbraw/zinc/39/20/72/960392072.db2.gz BDQGDAWRFXLHDR-STQMWFEESA-N 0 2 323.441 0.549 20 0 DCADLN CC(C)OC1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001363171637 960529412 /nfs/dbraw/zinc/52/94/12/960529412.db2.gz ZNSZNZZZKAHQHW-UHFFFAOYSA-N 0 2 318.333 0.516 20 0 DCADLN CC1(C)CCN(Cc2cc(=O)n3[nH]ccc3n2)CC[S@]1=O ZINC001363244695 960687781 /nfs/dbraw/zinc/68/77/81/960687781.db2.gz PCCUDWHFKIGIDO-OAQYLSRUSA-N 0 2 308.407 0.756 20 0 DCADLN O=C(c1cnc(C2CC2)[nH]c1=O)N1CCC(c2nn[nH]n2)CC1 ZINC001363283069 960782320 /nfs/dbraw/zinc/78/23/20/960782320.db2.gz PJMICRUHLADWBS-UHFFFAOYSA-N 0 2 315.337 0.593 20 0 DCADLN CN(Cc1noc(C2CC2)n1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC001363312724 960866510 /nfs/dbraw/zinc/86/65/10/960866510.db2.gz RFSFLRPFHVSBGH-ZETCQYMHSA-N 0 2 309.351 0.462 20 0 DCADLN O=C([O-])c1cc2n(n1)CCC[C@@H]2NC(=O)CCc1[nH]cc[nH+]1 ZINC001571079319 960977161 /nfs/dbraw/zinc/97/71/61/960977161.db2.gz DJYUIXDNXSVHHG-VIFPVBQESA-N 0 2 303.322 0.888 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1CCCCO1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019297 961195434 /nfs/dbraw/zinc/19/54/34/961195434.db2.gz XFIPEHNUCYCYFN-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1CCCCO1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019297 961195446 /nfs/dbraw/zinc/19/54/46/961195446.db2.gz XFIPEHNUCYCYFN-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN O=C([O-])NC1CC[NH+](Cc2nc3c(c(=O)[nH]2)COCC3)CC1 ZINC001571103605 961264064 /nfs/dbraw/zinc/26/40/64/961264064.db2.gz ZUTKVCBIJKBCIC-UHFFFAOYSA-N 0 2 308.338 0.487 20 0 DCADLN CCOC(=O)c1n[nH]c(C[NH2+][C@@H]2CC[C@@H](CC(=O)[O-])[C@H]2C)n1 ZINC001571106365 961278390 /nfs/dbraw/zinc/27/83/90/961278390.db2.gz NNGUDWPJJVXADK-KXUCPTDWSA-N 0 2 310.354 0.960 20 0 DCADLN COC(=O)CC(C)(C)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC001363590585 961507945 /nfs/dbraw/zinc/50/79/45/961507945.db2.gz JPSSMSRFIGVBFA-ZETCQYMHSA-N 0 2 301.368 0.248 20 0 DCADLN C[C@H](NC(=O)c1ccc(/C=C/C(=O)[O-])o1)[C@H]1C[N@H+](C)CCO1 ZINC001332206460 961533676 /nfs/dbraw/zinc/53/36/76/961533676.db2.gz BSCWVUGGLPREEU-JNQZXYONSA-N 0 2 308.334 0.826 20 0 DCADLN C[C@H](NC(=O)c1ccc(/C=C/C(=O)[O-])o1)[C@H]1C[N@@H+](C)CCO1 ZINC001332206460 961533680 /nfs/dbraw/zinc/53/36/80/961533680.db2.gz BSCWVUGGLPREEU-JNQZXYONSA-N 0 2 308.334 0.826 20 0 DCADLN Cc1ncoc1C[N@H+]1CC[C@@H](N(C)C(=O)CCc2cn[nH]n2)C1 ZINC001378174585 961594088 /nfs/dbraw/zinc/59/40/88/961594088.db2.gz XOZIGBCFJQVKEB-CYBMUJFWSA-N 0 2 318.381 0.767 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)CCSCC(=O)[O-] ZINC001332665392 961629861 /nfs/dbraw/zinc/62/98/61/961629861.db2.gz IZJDPZYOSWYALO-NSHDSACASA-N 0 2 304.412 0.373 20 0 DCADLN Cc1[nH]c([C@@H]2CCCN2C(=O)Cn2cc(C(=O)[O-])nn2)[nH+]c1C ZINC001571140844 961661602 /nfs/dbraw/zinc/66/16/02/961661602.db2.gz SRYFUFLEJFTZHC-NSHDSACASA-N 0 2 318.337 0.680 20 0 DCADLN Cc1ccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)nc1C ZINC001363671753 961666279 /nfs/dbraw/zinc/66/62/79/961666279.db2.gz LXQXDDFNROGGKD-UHFFFAOYSA-N 0 2 323.378 0.291 20 0 DCADLN NS(=O)(=O)C[C@H]1CCC[N@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001571145338 961729322 /nfs/dbraw/zinc/72/93/22/961729322.db2.gz SVFWFWYXRLWXEN-QMMMGPOBSA-N 0 2 319.408 0.342 20 0 DCADLN NS(=O)(=O)C[C@H]1CCC[N@@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001571145338 961729331 /nfs/dbraw/zinc/72/93/31/961729331.db2.gz SVFWFWYXRLWXEN-QMMMGPOBSA-N 0 2 319.408 0.342 20 0 DCADLN COCCCNC(=O)C[N@H+](C)Cc1ccc(/C=C\C(=O)[O-])o1 ZINC001334026829 961752149 /nfs/dbraw/zinc/75/21/49/961752149.db2.gz RRGOTZDRUUSGAG-SREVYHEPSA-N 0 2 310.350 0.962 20 0 DCADLN COCCCNC(=O)C[N@@H+](C)Cc1ccc(/C=C\C(=O)[O-])o1 ZINC001334026829 961752155 /nfs/dbraw/zinc/75/21/55/961752155.db2.gz RRGOTZDRUUSGAG-SREVYHEPSA-N 0 2 310.350 0.962 20 0 DCADLN C/C(=C/C(=O)N1CC[C@@](F)(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001334185697 961770762 /nfs/dbraw/zinc/77/07/62/961770762.db2.gz DZVBNRBXPYYDDV-MSKHEQNASA-N 0 2 300.330 0.290 20 0 DCADLN COC(=O)c1cc(C)c(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])o1 ZINC001571149840 961782853 /nfs/dbraw/zinc/78/28/53/961782853.db2.gz NADDMNCCYWBWRF-SECBINFHSA-N 0 2 321.289 0.454 20 0 DCADLN Cc1nnc(C[NH+]2CCC(N(C)C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)o1 ZINC001571155859 961862037 /nfs/dbraw/zinc/86/20/37/961862037.db2.gz GJEKGAHNJITNKH-RYUDHWBXSA-N 0 2 322.365 0.522 20 0 DCADLN CCc1nc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001379759063 961959445 /nfs/dbraw/zinc/95/94/45/961959445.db2.gz OEJJINDKKJVTOD-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1nc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001379759063 961959450 /nfs/dbraw/zinc/95/94/50/961959450.db2.gz OEJJINDKKJVTOD-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN COc1ccc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)nc1 ZINC001363896597 962099805 /nfs/dbraw/zinc/09/98/05/962099805.db2.gz WURMGVOKZDIPHE-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN O=C1C[C@H](CNS(=O)(=O)c2onc(C3CC3)c2Cl)CN1 ZINC001363932432 962150086 /nfs/dbraw/zinc/15/00/86/962150086.db2.gz GFJHYZYVVCZDQC-LURJTMIESA-N 0 2 319.770 0.620 20 0 DCADLN O=C(CCCc1nn[nH]n1)N[C@H](c1nc[nH]n1)c1ccccc1 ZINC001447235014 1013324755 /nfs/dbraw/zinc/32/47/55/1013324755.db2.gz YQBFTPUHYNYBLY-ZDUSSCGKSA-N 0 2 312.337 0.546 20 0 DCADLN O=C(NN1CCOC1=O)c1cnc2c(F)cc(F)cc2c1O ZINC001363989264 962249207 /nfs/dbraw/zinc/24/92/07/962249207.db2.gz DWOYXXDIOSIONE-UHFFFAOYSA-N 0 2 309.228 0.903 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@@H](C)c1csnn1 ZINC001363998105 962264421 /nfs/dbraw/zinc/26/44/21/962264421.db2.gz UALJLMYESNJHIE-ZETCQYMHSA-N 0 2 312.442 0.941 20 0 DCADLN CC(C)(C)n1ccnc1SCC(=O)NCc1nnc(CO)[nH]1 ZINC001364101962 962474132 /nfs/dbraw/zinc/47/41/32/962474132.db2.gz NAZSMFVYWBEKAM-UHFFFAOYSA-N 0 2 324.410 0.657 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(N2CCCC2=O)cn1 ZINC001364109038 962490062 /nfs/dbraw/zinc/49/00/62/962490062.db2.gz MIHSLQUIAARXTG-UHFFFAOYSA-N 0 2 317.309 0.354 20 0 DCADLN CC(C)c1nnc(CCC(=O)NCc2nc(O)cc(=O)[nH]2)o1 ZINC001364201503 962658382 /nfs/dbraw/zinc/65/83/82/962658382.db2.gz FMBLKHGYTPSHFX-UHFFFAOYSA-N 0 2 307.310 0.643 20 0 DCADLN Cc1nccc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001364201627 962660032 /nfs/dbraw/zinc/66/00/32/962660032.db2.gz UTUSUZUGFKERNY-JTQLQIEISA-N 0 2 317.353 0.698 20 0 DCADLN COC(=O)C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(C)(C)C ZINC001364295024 962841578 /nfs/dbraw/zinc/84/15/78/962841578.db2.gz PVOTWUKISFRYES-YUMQZZPRSA-N 0 2 315.395 0.637 20 0 DCADLN O=C(CCc1cnn[nH]1)NCC1(O)C[NH+](CC2CCCC2)C1 ZINC001380622970 963432508 /nfs/dbraw/zinc/43/25/08/963432508.db2.gz CMIPWZMMLGLGMU-UHFFFAOYSA-N 0 2 307.398 0.090 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)COc1ccc(F)cc1 ZINC000314101105 963711753 /nfs/dbraw/zinc/71/17/53/963711753.db2.gz IVWBAOFOSAMQKZ-GFCCVEGCSA-N 0 2 307.281 0.740 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)COc1ccc(F)cc1 ZINC000314101105 963711759 /nfs/dbraw/zinc/71/17/59/963711759.db2.gz IVWBAOFOSAMQKZ-GFCCVEGCSA-N 0 2 307.281 0.740 20 0 DCADLN N#Cc1cccc(S(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)c1 ZINC000314281634 963735260 /nfs/dbraw/zinc/73/52/60/963735260.db2.gz QCCIVQJJONIZEK-UHFFFAOYSA-N 0 2 323.374 0.339 20 0 DCADLN CCCC(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001380996681 963753052 /nfs/dbraw/zinc/75/30/52/963753052.db2.gz VBULGIABRNGUET-SNVBAGLBSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@]1(C)CCCOC1 ZINC001375134781 964036153 /nfs/dbraw/zinc/03/61/53/964036153.db2.gz QBKFSVZOECUVNW-QMTHXVAHSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@]1(C)CCCOC1 ZINC001375134781 964036171 /nfs/dbraw/zinc/03/61/71/964036171.db2.gz QBKFSVZOECUVNW-QMTHXVAHSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCCn1cccc1 ZINC001375165180 964089388 /nfs/dbraw/zinc/08/93/88/964089388.db2.gz WEILDPAQIOZJCJ-LBPRGKRZSA-N 0 2 320.397 0.729 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCCn1cccc1 ZINC001375165180 964089398 /nfs/dbraw/zinc/08/93/98/964089398.db2.gz WEILDPAQIOZJCJ-LBPRGKRZSA-N 0 2 320.397 0.729 20 0 DCADLN C[C@H](CNC(=O)c1ocnc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981578 964233037 /nfs/dbraw/zinc/23/30/37/964233037.db2.gz VGCALKCGQDFPAY-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](CNC(=O)c1ocnc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981578 964233043 /nfs/dbraw/zinc/23/30/43/964233043.db2.gz VGCALKCGQDFPAY-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN CCn1ncc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001368992702 964256705 /nfs/dbraw/zinc/25/67/05/964256705.db2.gz JJTWZJMZGVOUEA-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN CCn1ncc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001368992702 964256716 /nfs/dbraw/zinc/25/67/16/964256716.db2.gz JJTWZJMZGVOUEA-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN Cc1nnc(CN2CC([C@@H](C)NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001369070822 964364111 /nfs/dbraw/zinc/36/41/11/964364111.db2.gz FFLROVPCMWBFCZ-LHLIQPBNSA-N 0 2 323.294 0.950 20 0 DCADLN CCN(CCNC(=O)CCOC)C(=O)C(F)C(F)(F)F ZINC001373731629 964541167 /nfs/dbraw/zinc/54/11/67/964541167.db2.gz VTTRGDGCWQXQAF-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCN(CCNC(=O)CCOC)C(=O)[C@@H](F)C(F)(F)F ZINC001373731629 964541178 /nfs/dbraw/zinc/54/11/78/964541178.db2.gz VTTRGDGCWQXQAF-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCCN(CCNC(=O)[C@@H]1CCC[N@@H+]1C)C(=O)Cc1c[nH+]c[nH]1 ZINC001374102519 965016876 /nfs/dbraw/zinc/01/68/76/965016876.db2.gz ICUQTHPPLPWEND-AWEZNQCLSA-N 0 2 321.425 0.401 20 0 DCADLN CO[C@@H]1CC[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001369462770 965023642 /nfs/dbraw/zinc/02/36/42/965023642.db2.gz DHMGKAGCBDQTLD-OUAUKWLOSA-N 0 2 309.370 0.967 20 0 DCADLN Cc1ncc(C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc2ocnc2C)[nH]1 ZINC001369497277 965084044 /nfs/dbraw/zinc/08/40/44/965084044.db2.gz LYZZOTAHWLPYHS-LBPRGKRZSA-N 0 2 321.381 0.579 20 0 DCADLN O=C(c1ccoc1Cl)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001374280668 965218389 /nfs/dbraw/zinc/21/83/89/965218389.db2.gz FAMRRVMUBVELSB-UHFFFAOYSA-N 0 2 311.729 0.715 20 0 DCADLN O=C(CCc1ccccn1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376149949 965288038 /nfs/dbraw/zinc/28/80/38/965288038.db2.gz TWUVYFOSCNYVOK-LBPRGKRZSA-N 0 2 316.365 0.229 20 0 DCADLN CSC[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374469512 965424566 /nfs/dbraw/zinc/42/45/66/965424566.db2.gz AMRMHVLPNFCJQA-SECBINFHSA-N 0 2 301.416 0.400 20 0 DCADLN CSC[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374469512 965424572 /nfs/dbraw/zinc/42/45/72/965424572.db2.gz AMRMHVLPNFCJQA-SECBINFHSA-N 0 2 301.416 0.400 20 0 DCADLN CCNC(=O)CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001369816897 965445502 /nfs/dbraw/zinc/44/55/02/965445502.db2.gz KNMVAFRPOODVPF-WCBMZHEXSA-N 0 2 313.295 0.604 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001447826733 1013573579 /nfs/dbraw/zinc/57/35/79/1013573579.db2.gz HMAAUQDRQDTUDI-HTRCEHHLSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)[nH]n1 ZINC001447826733 1013573585 /nfs/dbraw/zinc/57/35/85/1013573585.db2.gz HMAAUQDRQDTUDI-HTRCEHHLSA-N 0 2 310.251 0.853 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC001374509706 965467766 /nfs/dbraw/zinc/46/77/66/965467766.db2.gz MVBRCRLQCAWMLX-TUAOUCFPSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC001374509706 965467772 /nfs/dbraw/zinc/46/77/72/965467772.db2.gz MVBRCRLQCAWMLX-TUAOUCFPSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCCn1cccc1 ZINC001374554236 965524819 /nfs/dbraw/zinc/52/48/19/965524819.db2.gz HMBXUJOCTIGQPF-UHFFFAOYSA-N 0 2 320.397 0.682 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCCn1cccc1 ZINC001374554236 965524829 /nfs/dbraw/zinc/52/48/29/965524829.db2.gz HMBXUJOCTIGQPF-UHFFFAOYSA-N 0 2 320.397 0.682 20 0 DCADLN CCO[C@@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001369982866 965653731 /nfs/dbraw/zinc/65/37/31/965653731.db2.gz FGYNBUGACMJMIF-ZYHUDNBSSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001374666782 965727281 /nfs/dbraw/zinc/72/72/81/965727281.db2.gz ZNJROCUUADZNBR-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001374666782 965727294 /nfs/dbraw/zinc/72/72/94/965727294.db2.gz ZNJROCUUADZNBR-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN CC[C@H](CNC(=O)CNC(C)=O)NC(=O)C(F)C(F)(F)F ZINC001374985170 966198812 /nfs/dbraw/zinc/19/88/12/966198812.db2.gz OEFDXNMQLFUMFM-VXNVDRBHSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@H](CNC(=O)CNC(C)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001374985170 966198815 /nfs/dbraw/zinc/19/88/15/966198815.db2.gz OEFDXNMQLFUMFM-VXNVDRBHSA-N 0 2 315.267 0.034 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001370571597 966248209 /nfs/dbraw/zinc/24/82/09/966248209.db2.gz BHAJJJLEMPMTIO-APPZFPTMSA-N 0 2 321.341 0.852 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001370651889 966336150 /nfs/dbraw/zinc/33/61/50/966336150.db2.gz OJHAJJCWGATQEU-JGVFFNPUSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccoc1 ZINC001370651889 966336162 /nfs/dbraw/zinc/33/61/62/966336162.db2.gz OJHAJJCWGATQEU-JGVFFNPUSA-N 0 2 312.219 0.387 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)c1ccco1 ZINC001375104321 966354454 /nfs/dbraw/zinc/35/44/54/966354454.db2.gz KZCUMGTYMRUREF-NXEZZACHSA-N 0 2 307.354 0.844 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)c1ccco1 ZINC001375104321 966354464 /nfs/dbraw/zinc/35/44/64/966354464.db2.gz KZCUMGTYMRUREF-NXEZZACHSA-N 0 2 307.354 0.844 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001375105646 966358469 /nfs/dbraw/zinc/35/84/69/966358469.db2.gz HAUSVJQLUHETKX-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001375105646 966358476 /nfs/dbraw/zinc/35/84/76/966358476.db2.gz HAUSVJQLUHETKX-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CO[C@@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001381567446 966542324 /nfs/dbraw/zinc/54/23/24/966542324.db2.gz UVFYKUZRQBYIJQ-JOYOIKCWSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001381567446 966542335 /nfs/dbraw/zinc/54/23/35/966542335.db2.gz UVFYKUZRQBYIJQ-JOYOIKCWSA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)[C@H]1C[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001371139216 966751881 /nfs/dbraw/zinc/75/18/81/966751881.db2.gz DVGDITCISPNDQA-BBBLOLIVSA-N 0 2 323.353 0.351 20 0 DCADLN CN(C(=O)c1cn[nH]n1)C1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001371185152 966794215 /nfs/dbraw/zinc/79/42/15/966794215.db2.gz NUJPTBKKVXJAOG-QIECLKSESA-N 0 2 323.250 0.424 20 0 DCADLN CN(C(=O)c1cn[nH]n1)C1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001371185152 966794222 /nfs/dbraw/zinc/79/42/22/966794222.db2.gz NUJPTBKKVXJAOG-QIECLKSESA-N 0 2 323.250 0.424 20 0 DCADLN C[C@H](CNC(=O)c1sccc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448016445 1013709711 /nfs/dbraw/zinc/70/97/11/1013709711.db2.gz UHWPOBMIOZSXAJ-SSDOTTSWSA-N 0 2 313.358 0.961 20 0 DCADLN C[C@H](CNC(=O)c1sccc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448016445 1013709714 /nfs/dbraw/zinc/70/97/14/1013709714.db2.gz UHWPOBMIOZSXAJ-SSDOTTSWSA-N 0 2 313.358 0.961 20 0 DCADLN CCC(C)(C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001382593964 967526765 /nfs/dbraw/zinc/52/67/65/967526765.db2.gz MPAXSONMLXZAHE-HTQZYQBOSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001382593964 967526772 /nfs/dbraw/zinc/52/67/72/967526772.db2.gz MPAXSONMLXZAHE-HTQZYQBOSA-N 0 2 316.295 0.916 20 0 DCADLN CCc1cccnc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448042878 1013734277 /nfs/dbraw/zinc/73/42/77/1013734277.db2.gz FTXOVHBRQUXSHO-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cccnc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448042878 1013734284 /nfs/dbraw/zinc/73/42/84/1013734284.db2.gz FTXOVHBRQUXSHO-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CCCc1occc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372181458 967721249 /nfs/dbraw/zinc/72/12/49/967721249.db2.gz FYWJQPWHLYBBDA-UHFFFAOYSA-N 0 2 307.354 0.918 20 0 DCADLN CCCc1occc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372181458 967721258 /nfs/dbraw/zinc/72/12/58/967721258.db2.gz FYWJQPWHLYBBDA-UHFFFAOYSA-N 0 2 307.354 0.918 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(C)C)C(=O)C(F)C(F)(F)F ZINC001383002046 967844337 /nfs/dbraw/zinc/84/43/37/967844337.db2.gz DKHNLWFHOJRFDH-SFYZADRCSA-N 0 2 316.295 0.868 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(C)C)C(=O)[C@H](F)C(F)(F)F ZINC001383002046 967844347 /nfs/dbraw/zinc/84/43/47/967844347.db2.gz DKHNLWFHOJRFDH-SFYZADRCSA-N 0 2 316.295 0.868 20 0 DCADLN Cc1nocc1C[N@H+]1CCC[C@H]1CNC(=O)Cc1nnc[nH]1 ZINC001372572445 968132161 /nfs/dbraw/zinc/13/21/61/968132161.db2.gz TULUDEAHXZMADE-LBPRGKRZSA-N 0 2 304.354 0.424 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001372907065 968519775 /nfs/dbraw/zinc/51/97/75/968519775.db2.gz LNHTUUHDTLYBQK-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001372907065 968519776 /nfs/dbraw/zinc/51/97/76/968519776.db2.gz LNHTUUHDTLYBQK-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)COCC1CC1 ZINC001448410068 1013901407 /nfs/dbraw/zinc/90/14/07/1013901407.db2.gz UUODTIACTJQCEC-NSHDSACASA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)COCC1CC1 ZINC001448410068 1013901410 /nfs/dbraw/zinc/90/14/10/1013901410.db2.gz UUODTIACTJQCEC-NSHDSACASA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(Cc1ccccc1)C(=O)C(=O)[O-] ZINC001609904044 970600171 /nfs/dbraw/zinc/60/01/71/970600171.db2.gz XQDNBUUAULVWIQ-ZDUSSCGKSA-N 0 2 306.362 0.821 20 0 DCADLN Cc1cc(C)c(NC(=O)C(=O)N2CC[C@@H](C(=O)[O-])C2)c(C)[nH+]1 ZINC001610453683 970748733 /nfs/dbraw/zinc/74/87/33/970748733.db2.gz FTTBAOIMWWUJKN-LLVKDONJSA-N 0 2 305.334 0.878 20 0 DCADLN Cc1ccc(C[N@H+](CCO)CCS(=O)(=O)CC(=O)[O-])cc1 ZINC001605273280 973059501 /nfs/dbraw/zinc/05/95/01/973059501.db2.gz VXKIDMKMOZBPDK-UHFFFAOYSA-N 0 2 315.391 0.289 20 0 DCADLN Cc1ccc(C[N@@H+](CCO)CCS(=O)(=O)CC(=O)[O-])cc1 ZINC001605273280 973059514 /nfs/dbraw/zinc/05/95/14/973059514.db2.gz VXKIDMKMOZBPDK-UHFFFAOYSA-N 0 2 315.391 0.289 20 0 DCADLN Cc1[nH]c([C@@H]2CCCN2C(=O)[C@@H]2CC(C(=O)[O-])=NO2)[nH+]c1C ZINC001605402669 973389644 /nfs/dbraw/zinc/38/96/44/973389644.db2.gz ARMNBWJTXVGIEU-QWRGUYRKSA-N 0 2 306.322 0.920 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001604526684 973480620 /nfs/dbraw/zinc/48/06/20/973480620.db2.gz LDVYGZALMSGKFC-XHDPSFHLSA-N 0 2 307.350 0.395 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCO[C@H](C(F)(F)F)CC2)C1=O ZINC001606232680 973499862 /nfs/dbraw/zinc/49/98/62/973499862.db2.gz XATNCHFWZDHNSY-IUCAKERBSA-N 0 2 310.272 0.325 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCO[C@H](C(F)(F)F)CC2)C1=O ZINC001606232680 973499869 /nfs/dbraw/zinc/49/98/69/973499869.db2.gz XATNCHFWZDHNSY-IUCAKERBSA-N 0 2 310.272 0.325 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(C(=O)C[C@H](C)n2cc[nH+]c2)CCO1 ZINC001604550644 973558836 /nfs/dbraw/zinc/55/88/36/973558836.db2.gz KAISAVDWGCMXNR-SMDDNHRTSA-N 0 2 311.338 0.163 20 0 DCADLN Nc1nc(N2C[C@H]3COC[C@]3(C(=O)[O-])C2)[nH+]c2ccccc12 ZINC001549299521 1014208702 /nfs/dbraw/zinc/20/87/02/1014208702.db2.gz QXBHFZJOWYWTCZ-BJOHPYRUSA-N 0 2 300.318 0.749 20 0 DCADLN Cn1ccc(CCC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001522679960 1014294377 /nfs/dbraw/zinc/29/43/77/1014294377.db2.gz YHSDYHWYEYYWRZ-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN Cn1ccc(CCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001522679960 1014294383 /nfs/dbraw/zinc/29/43/83/1014294383.db2.gz YHSDYHWYEYYWRZ-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN CCC[C@H](OC)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001522689183 1014301388 /nfs/dbraw/zinc/30/13/88/1014301388.db2.gz RGXUKGGAQWBODO-YUMQZZPRSA-N 0 2 302.268 0.934 20 0 DCADLN CCC[C@H](OC)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001522689183 1014301396 /nfs/dbraw/zinc/30/13/96/1014301396.db2.gz RGXUKGGAQWBODO-YUMQZZPRSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@@H](CC(=O)N1CC[C@@]2(CC(C(=O)[O-])=NO2)C1)n1cc[nH+]c1 ZINC001592233233 977430957 /nfs/dbraw/zinc/43/09/57/977430957.db2.gz DQXONDVXAQSSNG-IINYFYTJSA-N 0 2 306.322 0.666 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cncn1C ZINC001528891853 1014448694 /nfs/dbraw/zinc/44/86/94/1014448694.db2.gz NANWFSRRDOYDIF-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cncn1C ZINC001528891853 1014448700 /nfs/dbraw/zinc/44/87/00/1014448700.db2.gz NANWFSRRDOYDIF-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001528891439 1014448820 /nfs/dbraw/zinc/44/88/20/1014448820.db2.gz INQGJJJZFZJGCI-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001528891439 1014448825 /nfs/dbraw/zinc/44/88/25/1014448825.db2.gz INQGJJJZFZJGCI-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H](C)OC ZINC001528892828 1014449511 /nfs/dbraw/zinc/44/95/11/1014449511.db2.gz UVJAGXRADKSVBL-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@@H](C)OC ZINC001528892828 1014449522 /nfs/dbraw/zinc/44/95/22/1014449522.db2.gz UVJAGXRADKSVBL-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CC(C)(O)CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001522897157 1014461178 /nfs/dbraw/zinc/46/11/78/1014461178.db2.gz AOGLAJMOHVEELE-MRVPVSSYSA-N 0 2 302.268 0.670 20 0 DCADLN CC(C)(O)CC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522897157 1014461183 /nfs/dbraw/zinc/46/11/83/1014461183.db2.gz AOGLAJMOHVEELE-MRVPVSSYSA-N 0 2 302.268 0.670 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001592624377 978597703 /nfs/dbraw/zinc/59/77/03/978597703.db2.gz NZFGSJIPVVWOGR-RWMBFGLXSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@@H+]1C ZINC001593004023 980220679 /nfs/dbraw/zinc/22/06/79/980220679.db2.gz XSEZBHXCGQRUED-RFQIPJPRSA-N 0 2 311.426 0.628 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@H+]1C ZINC001593004023 980220683 /nfs/dbraw/zinc/22/06/83/980220683.db2.gz XSEZBHXCGQRUED-RFQIPJPRSA-N 0 2 311.426 0.628 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)c1cccc(C(=O)[O-])n1 ZINC001595501159 981275500 /nfs/dbraw/zinc/27/55/00/981275500.db2.gz WRYCFTIZPXOMFM-NSHDSACASA-N 0 2 307.350 0.620 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)c1cccc(C(=O)[O-])n1 ZINC001595501159 981275504 /nfs/dbraw/zinc/27/55/04/981275504.db2.gz WRYCFTIZPXOMFM-NSHDSACASA-N 0 2 307.350 0.620 20 0 DCADLN CC[C@@H](C(=O)[O-])C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC001594760136 981690289 /nfs/dbraw/zinc/69/02/89/981690289.db2.gz VWYWKYYJLGYLEV-CYBMUJFWSA-N 0 2 319.361 0.579 20 0 DCADLN CC[C@@H](C(=O)[O-])C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC001594760136 981690296 /nfs/dbraw/zinc/69/02/96/981690296.db2.gz VWYWKYYJLGYLEV-CYBMUJFWSA-N 0 2 319.361 0.579 20 0 DCADLN O=C(CC1(O)CCC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001530485285 1014768262 /nfs/dbraw/zinc/76/82/62/1014768262.db2.gz PXXOGQHMVHGDJK-SECBINFHSA-N 0 2 312.263 0.519 20 0 DCADLN O=C(CC1(O)CCC1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530485285 1014768273 /nfs/dbraw/zinc/76/82/73/1014768273.db2.gz PXXOGQHMVHGDJK-SECBINFHSA-N 0 2 312.263 0.519 20 0 DCADLN Cn1nc2c(c1C[N@@H+]1CC[C@@H](N3CCN(C)CC3)C1)CCC2 ZINC001550621503 1014781287 /nfs/dbraw/zinc/78/12/87/1014781287.db2.gz VRJCCTJKHXSSBY-CQSZACIVSA-N 0 2 303.454 0.731 20 0 DCADLN CC[N@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])CC(=O)N(C)C ZINC001596202917 983589437 /nfs/dbraw/zinc/58/94/37/983589437.db2.gz CNYBBLJRAHBINY-NSHDSACASA-N 0 2 301.387 0.012 20 0 DCADLN CC[N@@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])CC(=O)N(C)C ZINC001596202917 983589440 /nfs/dbraw/zinc/58/94/40/983589440.db2.gz CNYBBLJRAHBINY-NSHDSACASA-N 0 2 301.387 0.012 20 0 DCADLN CCN(CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])C(=O)CCc1c[nH]c[nH+]1 ZINC001596332112 983769741 /nfs/dbraw/zinc/76/97/41/983769741.db2.gz GRMZSGGRURQOCR-RYUDHWBXSA-N 0 2 322.365 0.028 20 0 DCADLN CCN(CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])C(=O)CCc1c[nH+]c[nH]1 ZINC001596332112 983769742 /nfs/dbraw/zinc/76/97/42/983769742.db2.gz GRMZSGGRURQOCR-RYUDHWBXSA-N 0 2 322.365 0.028 20 0 DCADLN CCN(C(=O)COC)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001530965378 1015004461 /nfs/dbraw/zinc/00/44/61/1015004461.db2.gz IBYOZJUIGYAQHP-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)COC)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530965378 1015004470 /nfs/dbraw/zinc/00/44/70/1015004470.db2.gz IBYOZJUIGYAQHP-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001450363471 1015087169 /nfs/dbraw/zinc/08/71/69/1015087169.db2.gz QFJDKYXXLPUYAY-FSPLSTOPSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001450363471 1015087179 /nfs/dbraw/zinc/08/71/79/1015087179.db2.gz QFJDKYXXLPUYAY-FSPLSTOPSA-N 0 2 323.250 0.282 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001525072000 1015095945 /nfs/dbraw/zinc/09/59/45/1015095945.db2.gz YLEORFXFGBBUPM-ATRFCDNQSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001525072000 1015095957 /nfs/dbraw/zinc/09/59/57/1015095957.db2.gz YLEORFXFGBBUPM-ATRFCDNQSA-N 0 2 323.250 0.093 20 0 DCADLN C[C@H]1CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC[C@H]1C(=O)[O-] ZINC001599920204 985121105 /nfs/dbraw/zinc/12/11/05/985121105.db2.gz UCWRUYYRZNFJKJ-QJPTWQEYSA-N 0 2 306.366 0.950 20 0 DCADLN CCOC(C[N@@H+]1CC[C@H](C(=O)OC)C[C@H]1C(=O)[O-])OCC ZINC001596792702 985285803 /nfs/dbraw/zinc/28/58/03/985285803.db2.gz XOASPMYPRBTHJO-QWRGUYRKSA-N 0 2 303.355 0.724 20 0 DCADLN CCOC(C[N@H+]1CC[C@H](C(=O)OC)C[C@H]1C(=O)[O-])OCC ZINC001596792702 985285814 /nfs/dbraw/zinc/28/58/14/985285814.db2.gz XOASPMYPRBTHJO-QWRGUYRKSA-N 0 2 303.355 0.724 20 0 DCADLN CCn1ccc(C(=O)N(C)C[C@@H]2OCC[C@H]2c2n[nH]c(C)n2)n1 ZINC001551660034 1015165775 /nfs/dbraw/zinc/16/57/75/1015165775.db2.gz NRGWGZOOFKPYOP-YPMHNXCESA-N 0 2 318.381 0.974 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@]23C[C@H]2COC3)n[nH]1 ZINC001551659548 1015166903 /nfs/dbraw/zinc/16/69/03/1015166903.db2.gz MROSHVJTVBECGX-YFCNSXCBSA-N 0 2 306.366 0.481 20 0 DCADLN Cc1cnn(CC(=O)N(C)C[C@@H]2OCC[C@H]2c2n[nH]c(C)n2)c1 ZINC001551662557 1015167993 /nfs/dbraw/zinc/16/79/93/1015167993.db2.gz FOFSIESCOPUXFY-OLZOCXBDSA-N 0 2 318.381 0.649 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cn[nH]c1)C(=O)C(F)C(F)(F)F ZINC001384882528 985924498 /nfs/dbraw/zinc/92/44/98/985924498.db2.gz PXMFLXFJUUMVON-BDAKNGLRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cn[nH]c1)C(=O)[C@H](F)C(F)(F)F ZINC001384882528 985924513 /nfs/dbraw/zinc/92/45/13/985924513.db2.gz PXMFLXFJUUMVON-BDAKNGLRSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2snnc2C)n[nH]1 ZINC001551672050 1015173778 /nfs/dbraw/zinc/17/37/78/1015173778.db2.gz YLXPCGHEAXBIIJ-ZJUUUORDSA-N 0 2 322.394 0.918 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2cn(C)nc2C)n[nH]1 ZINC001551676832 1015177189 /nfs/dbraw/zinc/17/71/89/1015177189.db2.gz UTDXZJAKPMYVKX-DGCLKSJQSA-N 0 2 318.381 0.800 20 0 DCADLN COCCC(=O)N1CC[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385226204 986170154 /nfs/dbraw/zinc/17/01/54/986170154.db2.gz QWACPAXYPAZVIR-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N1CC[C@@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385226204 986170157 /nfs/dbraw/zinc/17/01/57/986170157.db2.gz QWACPAXYPAZVIR-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001385500695 986390247 /nfs/dbraw/zinc/39/02/47/986390247.db2.gz HJWFEXMPZGQIPU-NKWVEPMBSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2nc[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001385500695 986390261 /nfs/dbraw/zinc/39/02/61/986390261.db2.gz HJWFEXMPZGQIPU-NKWVEPMBSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccncn2)C1)C(F)C(F)(F)F ZINC001385575580 986443414 /nfs/dbraw/zinc/44/34/14/986443414.db2.gz BEQJTHADIWEQLJ-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccncn2)C1)[C@@H](F)C(F)(F)F ZINC001385575580 986443415 /nfs/dbraw/zinc/44/34/15/986443415.db2.gz BEQJTHADIWEQLJ-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN C[C@H]1CSCC[N@@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001594612192 986606096 /nfs/dbraw/zinc/60/60/96/986606096.db2.gz DKGVZNGZJZXOQM-ZANVPECISA-N 0 2 315.395 0.557 20 0 DCADLN C[C@H]1CSCC[N@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001594612192 986606100 /nfs/dbraw/zinc/60/61/00/986606100.db2.gz DKGVZNGZJZXOQM-ZANVPECISA-N 0 2 315.395 0.557 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386010837 986750772 /nfs/dbraw/zinc/75/07/72/986750772.db2.gz HAAPRMFQCUFNMN-XKSSXDPKSA-N 0 2 323.246 0.881 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001386010837 986750787 /nfs/dbraw/zinc/75/07/87/986750787.db2.gz HAAPRMFQCUFNMN-XKSSXDPKSA-N 0 2 323.246 0.881 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001450625949 1015257185 /nfs/dbraw/zinc/25/71/85/1015257185.db2.gz JDDCKGJOIJKPKK-RKDXNWHRSA-N 0 2 312.263 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H]2CCOC2)C1)[C@@H](F)C(F)(F)F ZINC001450625949 1015257189 /nfs/dbraw/zinc/25/71/89/1015257189.db2.gz JDDCKGJOIJKPKK-RKDXNWHRSA-N 0 2 312.263 0.498 20 0 DCADLN CCC(=O)N1CCC[C@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001594702085 987145968 /nfs/dbraw/zinc/14/59/68/987145968.db2.gz ZGJYFKAMVHGJPT-CMPLNLGQSA-N 0 2 322.365 0.170 20 0 DCADLN CCC(=O)N1CCC[C@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001594702085 987145974 /nfs/dbraw/zinc/14/59/74/987145974.db2.gz ZGJYFKAMVHGJPT-CMPLNLGQSA-N 0 2 322.365 0.170 20 0 DCADLN Cc1ccn(CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001386842081 987445568 /nfs/dbraw/zinc/44/55/68/987445568.db2.gz LMAOEXJUGJEMSX-WPRPVWTQSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccn(CC(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001386842081 987445571 /nfs/dbraw/zinc/44/55/71/987445571.db2.gz LMAOEXJUGJEMSX-WPRPVWTQSA-N 0 2 324.278 0.713 20 0 DCADLN COCC(=O)NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001387255678 987734261 /nfs/dbraw/zinc/73/42/61/987734261.db2.gz XDTNMUKMDZSODT-APPZFPTMSA-N 0 2 300.252 0.498 20 0 DCADLN COCC(=O)NC[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001387255678 987734266 /nfs/dbraw/zinc/73/42/66/987734266.db2.gz XDTNMUKMDZSODT-APPZFPTMSA-N 0 2 300.252 0.498 20 0 DCADLN CO[C@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001506263979 1017153378 /nfs/dbraw/zinc/15/33/78/1017153378.db2.gz QHBVXEJCVTUZTD-SVRRBLITSA-N 0 2 300.252 0.591 20 0 DCADLN CO[C@H](C)C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001506263979 1017153387 /nfs/dbraw/zinc/15/33/87/1017153387.db2.gz QHBVXEJCVTUZTD-SVRRBLITSA-N 0 2 300.252 0.591 20 0 DCADLN Cn1ccc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001387749503 988115494 /nfs/dbraw/zinc/11/54/94/988115494.db2.gz MRLDTRLOVKYVDZ-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1ccc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001387749503 988115497 /nfs/dbraw/zinc/11/54/97/988115497.db2.gz MRLDTRLOVKYVDZ-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001388303006 988195012 /nfs/dbraw/zinc/19/50/12/988195012.db2.gz UWTNBLYWKOAJQU-ZETCQYMHSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001388303006 988195015 /nfs/dbraw/zinc/19/50/15/988195015.db2.gz UWTNBLYWKOAJQU-ZETCQYMHSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001388303000 988195118 /nfs/dbraw/zinc/19/51/18/988195118.db2.gz UWTNBLYWKOAJQU-SSDOTTSWSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001388303000 988195121 /nfs/dbraw/zinc/19/51/21/988195121.db2.gz UWTNBLYWKOAJQU-SSDOTTSWSA-N 0 2 310.251 0.888 20 0 DCADLN C[C@H](CNC(=O)CC(C)(C)O)CNC(=O)C(F)C(F)(F)F ZINC001388443579 988315200 /nfs/dbraw/zinc/31/52/00/988315200.db2.gz UIKHKELQEZSUGE-APPZFPTMSA-N 0 2 316.295 0.916 20 0 DCADLN C[C@H](CNC(=O)CC(C)(C)O)CNC(=O)[C@H](F)C(F)(F)F ZINC001388443579 988315209 /nfs/dbraw/zinc/31/52/09/988315209.db2.gz UIKHKELQEZSUGE-APPZFPTMSA-N 0 2 316.295 0.916 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)CNC(=O)c1cnco1 ZINC001388468511 988342528 /nfs/dbraw/zinc/34/25/28/988342528.db2.gz ALCLFGVXOGSRNB-SECBINFHSA-N 0 2 305.338 0.431 20 0 DCADLN CN(CCNC(=O)c1ncccn1)C(=O)C(F)C(F)(F)F ZINC001388006701 988378615 /nfs/dbraw/zinc/37/86/15/988378615.db2.gz NPMHFXSWUKWAKZ-ZETCQYMHSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1ncccn1)C(=O)[C@H](F)C(F)(F)F ZINC001388006701 988378631 /nfs/dbraw/zinc/37/86/31/988378631.db2.gz NPMHFXSWUKWAKZ-ZETCQYMHSA-N 0 2 308.235 0.565 20 0 DCADLN C[C@@H](CCNC(=O)Cn1cc[nH+]c1)Nc1ncc(C(=O)[O-])cn1 ZINC001599835763 988740036 /nfs/dbraw/zinc/74/00/36/988740036.db2.gz PDEKHHSJIKZJOI-JTQLQIEISA-N 0 2 318.337 0.378 20 0 DCADLN CCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)no1 ZINC001597674135 988781698 /nfs/dbraw/zinc/78/16/98/988781698.db2.gz UUGQRWYEPBCORG-XKNYDFJKSA-N 0 2 308.338 0.090 20 0 DCADLN Cc1n[nH]cc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001389931495 989691654 /nfs/dbraw/zinc/69/16/54/989691654.db2.gz WXWGKVXLHIYGNB-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1n[nH]cc1C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001389931495 989691655 /nfs/dbraw/zinc/69/16/55/989691655.db2.gz WXWGKVXLHIYGNB-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN CCn1ncc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1C1CC1 ZINC001597953807 989954404 /nfs/dbraw/zinc/95/44/04/989954404.db2.gz UUDWPTAKLABKKY-GFCCVEGCSA-N 0 2 317.349 0.929 20 0 DCADLN CCn1ncc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1C1CC1 ZINC001597953807 989954412 /nfs/dbraw/zinc/95/44/12/989954412.db2.gz UUDWPTAKLABKKY-GFCCVEGCSA-N 0 2 317.349 0.929 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001451061355 1015565826 /nfs/dbraw/zinc/56/58/26/1015565826.db2.gz FJRNFAQEPHKYHR-NKWVEPMBSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001451061355 1015565833 /nfs/dbraw/zinc/56/58/33/1015565833.db2.gz FJRNFAQEPHKYHR-NKWVEPMBSA-N 0 2 310.251 0.887 20 0 DCADLN CCc1cncc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)c1 ZINC001390937643 990482142 /nfs/dbraw/zinc/48/21/42/990482142.db2.gz NAJSACJWKBUTEW-UHFFFAOYSA-N 0 2 318.381 0.720 20 0 DCADLN CCc1cncc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)c1 ZINC001390937643 990482146 /nfs/dbraw/zinc/48/21/46/990482146.db2.gz NAJSACJWKBUTEW-UHFFFAOYSA-N 0 2 318.381 0.720 20 0 DCADLN CC(C)(CNS(=O)(=O)CC1(C(=O)[O-])CC1)n1cc[nH+]c1 ZINC001590776645 990580585 /nfs/dbraw/zinc/58/05/85/990580585.db2.gz JQRJPINTDAYAOQ-UHFFFAOYSA-N 0 2 301.368 0.402 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1nc[nH]n1)C(F)C(F)(F)F ZINC001391110206 990595304 /nfs/dbraw/zinc/59/53/04/990595304.db2.gz INNLZNAOELTYSI-RQJHMYQMSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1nc[nH]n1)[C@H](F)C(F)(F)F ZINC001391110206 990595306 /nfs/dbraw/zinc/59/53/06/990595306.db2.gz INNLZNAOELTYSI-RQJHMYQMSA-N 0 2 323.250 0.426 20 0 DCADLN CC(C)(NC(=O)/C=C/C[NH+]1CCOCC1)c1nocc1C(=O)[O-] ZINC001590840811 990708945 /nfs/dbraw/zinc/70/89/45/990708945.db2.gz YQGWKUCQZKNMOS-ONEGZZNKSA-N 0 2 323.349 0.613 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)CNC(=O)C(F)C(F)(F)F ZINC001391276955 990712627 /nfs/dbraw/zinc/71/26/27/990712627.db2.gz NHCUVQZHGSIFKY-IONNQARKSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001391276955 990712632 /nfs/dbraw/zinc/71/26/32/990712632.db2.gz NHCUVQZHGSIFKY-IONNQARKSA-N 0 2 322.262 0.859 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CCC1)C(F)C(F)(F)F ZINC001391977411 991353169 /nfs/dbraw/zinc/35/31/69/991353169.db2.gz HXYBZJVYWXFDPQ-SFYZADRCSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CCC1)[C@H](F)C(F)(F)F ZINC001391977411 991353173 /nfs/dbraw/zinc/35/31/73/991353173.db2.gz HXYBZJVYWXFDPQ-SFYZADRCSA-N 0 2 300.252 0.280 20 0 DCADLN COc1cccnc1CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001599719807 991517959 /nfs/dbraw/zinc/51/79/59/991517959.db2.gz NXELEHNZQZQHKZ-NSHDSACASA-N 0 2 304.306 0.099 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(C[C@@H]3CCCCO3)c2)c1=O ZINC001553248150 1015653302 /nfs/dbraw/zinc/65/33/02/1015653302.db2.gz VWBLKDBIGIVEMQ-LBPRGKRZSA-N 0 2 320.353 0.214 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CC[C@@](O)(C(F)F)C1)C(=O)[O-] ZINC001591167781 991940456 /nfs/dbraw/zinc/94/04/56/991940456.db2.gz MXISSYDIXKKFLW-ZANVPECISA-N 0 2 308.325 0.304 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CC[C@@](O)(C(F)F)C1)C(=O)[O-] ZINC001591167781 991940459 /nfs/dbraw/zinc/94/04/59/991940459.db2.gz MXISSYDIXKKFLW-ZANVPECISA-N 0 2 308.325 0.304 20 0 DCADLN CS(=O)(=O)CC1CC[NH+]([C@H](C(=O)[O-])c2cccnc2)CC1 ZINC001599748050 991954608 /nfs/dbraw/zinc/95/46/08/991954608.db2.gz AOEDLLQEJNFIPL-ZDUSSCGKSA-N 0 2 312.391 0.964 20 0 DCADLN C[C@@H](C[NH2+]CC(=O)N1CCCCC1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001392590318 992131742 /nfs/dbraw/zinc/13/17/42/992131742.db2.gz DBBWFYBDGCCAMB-LBPRGKRZSA-N 0 2 307.398 0.059 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1F ZINC001392631314 992180123 /nfs/dbraw/zinc/18/01/23/992180123.db2.gz WMIAAOVNPQLLCV-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1F ZINC001392631314 992180126 /nfs/dbraw/zinc/18/01/26/992180126.db2.gz WMIAAOVNPQLLCV-SECBINFHSA-N 0 2 307.329 0.900 20 0 DCADLN CN(C)C(=O)NCC[N@H+](C)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001598491517 992299560 /nfs/dbraw/zinc/29/95/60/992299560.db2.gz ZXFQMCPOPJRCAJ-UHFFFAOYSA-N 0 2 322.365 0.526 20 0 DCADLN CN(C)C(=O)NCC[N@@H+](C)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001598491517 992299568 /nfs/dbraw/zinc/29/95/68/992299568.db2.gz ZXFQMCPOPJRCAJ-UHFFFAOYSA-N 0 2 322.365 0.526 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(c2ncc(C(=O)[O-])s2)CC1 ZINC001599777100 992316652 /nfs/dbraw/zinc/31/66/52/992316652.db2.gz PGTJWANJTMPFPU-IBGZPJMESA-N 0 2 303.409 0.342 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)CC[NH2+]Cc1ccoc1C(=O)[O-] ZINC001599781480 992369940 /nfs/dbraw/zinc/36/99/40/992369940.db2.gz OUQPTYPNNSIUAG-UHFFFAOYSA-N 0 2 324.380 0.125 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001392853499 992464930 /nfs/dbraw/zinc/46/49/30/992464930.db2.gz RMLHLNXPQNXURY-JGVFFNPUSA-N 0 2 303.313 0.492 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+](C)C[C@H]1CCCN1C(=O)Cn1cncc1C ZINC001393880841 993317450 /nfs/dbraw/zinc/31/74/50/993317450.db2.gz SJZROBOOEWFCSW-UONOGXRCSA-N 0 2 321.425 0.249 20 0 DCADLN CCc1oncc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394110801 993484482 /nfs/dbraw/zinc/48/44/82/993484482.db2.gz KKRVSAGJMIGXBC-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1oncc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394110801 993484485 /nfs/dbraw/zinc/48/44/85/993484485.db2.gz KKRVSAGJMIGXBC-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN COCCN1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001394150702 993517266 /nfs/dbraw/zinc/51/72/66/993517266.db2.gz CJMWLKHDSJMMMC-MRVPVSSYSA-N 0 2 302.268 0.086 20 0 DCADLN COCCN1CC(CCO)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001394150702 993517273 /nfs/dbraw/zinc/51/72/73/993517273.db2.gz CJMWLKHDSJMMMC-MRVPVSSYSA-N 0 2 302.268 0.086 20 0 DCADLN Cc1ccoc1CC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394247778 993566892 /nfs/dbraw/zinc/56/68/92/993566892.db2.gz KFXWQGATJHZMHA-JTQLQIEISA-N 0 2 319.365 0.591 20 0 DCADLN CNC(=O)CN1C[C@@H](NC(=O)C(F)C(F)(F)F)C(C)(C)C1 ZINC001394289036 993598033 /nfs/dbraw/zinc/59/80/33/993598033.db2.gz QMKSOWKHRMWMHX-VXNVDRBHSA-N 0 2 313.295 0.459 20 0 DCADLN COCC(=O)N1CC[C@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001394297465 993604919 /nfs/dbraw/zinc/60/49/19/993604919.db2.gz WINZFKDNRTZFMJ-WPRPVWTQSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CC[C@](C)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001394297465 993604922 /nfs/dbraw/zinc/60/49/22/993604922.db2.gz WINZFKDNRTZFMJ-WPRPVWTQSA-N 0 2 300.252 0.640 20 0 DCADLN CC[N@H+](CCNC(=O)CCc1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001399678713 993662539 /nfs/dbraw/zinc/66/25/39/993662539.db2.gz LSJMGVOYKLLFBC-UHFFFAOYSA-N 0 2 307.354 0.674 20 0 DCADLN CC[N@@H+](CCNC(=O)CCc1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001399678713 993662545 /nfs/dbraw/zinc/66/25/45/993662545.db2.gz LSJMGVOYKLLFBC-UHFFFAOYSA-N 0 2 307.354 0.674 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)Cc1n[nH]c(=O)[n-]1 ZINC001399748832 993735286 /nfs/dbraw/zinc/73/52/86/993735286.db2.gz MYJGYAKXLGBYMA-MXWKQRLJSA-N 0 2 309.370 0.016 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)Cc1n[nH]c(=O)[n-]1 ZINC001399748832 993735294 /nfs/dbraw/zinc/73/52/94/993735294.db2.gz MYJGYAKXLGBYMA-MXWKQRLJSA-N 0 2 309.370 0.016 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCO[C@@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001399791871 993779150 /nfs/dbraw/zinc/77/91/50/993779150.db2.gz FTZIKQPMPKYADQ-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCO[C@@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001399791871 993779158 /nfs/dbraw/zinc/77/91/58/993779158.db2.gz FTZIKQPMPKYADQ-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CC1(CC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001399831199 993823669 /nfs/dbraw/zinc/82/36/69/993823669.db2.gz IRWSYWHKSOMONH-VXNVDRBHSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001399831199 993823674 /nfs/dbraw/zinc/82/36/74/993823674.db2.gz IRWSYWHKSOMONH-VXNVDRBHSA-N 0 2 314.279 0.670 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@H]3CC[C@@H](C(=O)[O-])C3)CC2)CC1 ZINC001598613962 993841419 /nfs/dbraw/zinc/84/14/19/993841419.db2.gz HAXSZTCGUSRTLQ-UONOGXRCSA-N 0 2 323.437 0.726 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@H]3C[C@@H](C(=O)[O-])C3)CC2)CC1 ZINC001598613951 993841684 /nfs/dbraw/zinc/84/16/84/993841684.db2.gz GTNYDGLNOQXAJL-BETUJISGSA-N 0 2 309.410 0.336 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@@H]1CCC[N@@H+]1[C@@H](C)c1nncn1C ZINC001399902936 993902815 /nfs/dbraw/zinc/90/28/15/993902815.db2.gz MYQDCBJACGHHQM-KBPBESRZSA-N 0 2 322.457 0.799 20 0 DCADLN Cc1nn(C)cc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001399920039 993929278 /nfs/dbraw/zinc/92/92/78/993929278.db2.gz BSLJTZFEDCQNSG-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1nn(C)cc1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001399920039 993929289 /nfs/dbraw/zinc/92/92/89/993929289.db2.gz BSLJTZFEDCQNSG-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1ccc(C(=O)[O-])n1C)[NH+]1CCOCC1 ZINC001593159582 993986231 /nfs/dbraw/zinc/98/62/31/993986231.db2.gz IJPOXCXDGLFGGS-WDEREUQCSA-N 0 2 309.366 0.562 20 0 DCADLN CN1CC[N@H+](Cc2cn(CC(=O)[O-])nn2)Cc2cccnc21 ZINC001598621555 994012648 /nfs/dbraw/zinc/01/26/48/994012648.db2.gz SFCULVLZZNXMTJ-UHFFFAOYSA-N 0 2 302.338 0.210 20 0 DCADLN CN1CC[N@@H+](Cc2cn(CC(=O)[O-])nn2)Cc2cccnc21 ZINC001598621555 994012655 /nfs/dbraw/zinc/01/26/55/994012655.db2.gz SFCULVLZZNXMTJ-UHFFFAOYSA-N 0 2 302.338 0.210 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@](C)(CC(=O)[O-])c2ccccn2)C1 ZINC001598623964 994055650 /nfs/dbraw/zinc/05/56/50/994055650.db2.gz CPWUTHLEAFUTOG-IAQYHMDHSA-N 0 2 307.350 0.218 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N[C@](C)(CC(=O)[O-])c2ccccn2)C1 ZINC001598623964 994055654 /nfs/dbraw/zinc/05/56/54/994055654.db2.gz CPWUTHLEAFUTOG-IAQYHMDHSA-N 0 2 307.350 0.218 20 0 DCADLN C[C@@H]([NH2+][C@H](C)c1cn(-c2ccccc2)nn1)C(=O)NCC(=O)[O-] ZINC001593203927 994193211 /nfs/dbraw/zinc/19/32/11/994193211.db2.gz JSLJERBLXPURRZ-GHMZBOCLSA-N 0 2 317.349 0.507 20 0 DCADLN CCOCC(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400369406 994444551 /nfs/dbraw/zinc/44/45/51/994444551.db2.gz PITMQYHHEHFQAD-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001400369406 994444560 /nfs/dbraw/zinc/44/45/60/994444560.db2.gz PITMQYHHEHFQAD-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H](CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001400547220 994639611 /nfs/dbraw/zinc/63/96/11/994639611.db2.gz VDOLTJZJFDLZRY-NSHDSACASA-N 0 2 318.381 0.511 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2cccc(C(=O)[O-])n2)C1 ZINC001598669355 994728867 /nfs/dbraw/zinc/72/88/67/994728867.db2.gz LYGQUWPUTIQFJH-LLVKDONJSA-N 0 2 313.379 0.293 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2cccc(C(=O)[O-])n2)C1 ZINC001598669355 994728874 /nfs/dbraw/zinc/72/88/74/994728874.db2.gz LYGQUWPUTIQFJH-LLVKDONJSA-N 0 2 313.379 0.293 20 0 DCADLN CNc1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1F ZINC001598678928 994894046 /nfs/dbraw/zinc/89/40/46/994894046.db2.gz VWGIPHQOBUOCFB-GFCCVEGCSA-N 0 2 306.297 0.947 20 0 DCADLN CCC[NH+]1CC2(C1)CC[C@H](CNC(=O)CCc1cn[nH]n1)O2 ZINC001502440036 1015919737 /nfs/dbraw/zinc/91/97/37/1015919737.db2.gz BAFDTTNJZIBCNK-CYBMUJFWSA-N 0 2 307.398 0.497 20 0 DCADLN CCC[NH+]1CC2(C1)CC[C@H](CNC(=O)CCc1c[nH]nn1)O2 ZINC001502440036 1015919749 /nfs/dbraw/zinc/91/97/49/1015919749.db2.gz BAFDTTNJZIBCNK-CYBMUJFWSA-N 0 2 307.398 0.497 20 0 DCADLN COC(=O)C1=NO[C@@]2(CCN(c3cc(CC(=O)[O-])cc[nH+]3)C2)C1 ZINC001598729577 995545023 /nfs/dbraw/zinc/54/50/23/995545023.db2.gz PRORZOOQQFBTHC-HNNXBMFYSA-N 0 2 319.317 0.607 20 0 DCADLN COC[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCc1[nH+]ccn1C ZINC001396996566 995732544 /nfs/dbraw/zinc/73/25/44/995732544.db2.gz OAXBFOBJNSQTBP-KGLIPLIRSA-N 0 2 308.426 0.920 20 0 DCADLN O=C(N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cnon1 ZINC001397008673 995740932 /nfs/dbraw/zinc/74/09/32/995740932.db2.gz GBAPEELBCZOJMR-XPUUQOCRSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(N[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1)c1cnon1 ZINC001397008673 995740935 /nfs/dbraw/zinc/74/09/35/995740935.db2.gz GBAPEELBCZOJMR-XPUUQOCRSA-N 0 2 324.234 0.595 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)CCc1[nH+]ccn1C ZINC001598769961 995874850 /nfs/dbraw/zinc/87/48/50/995874850.db2.gz VLIRUYJXOCIUMQ-MNOVXSKESA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1C[C@H](O)CC(F)(F)F ZINC001598772861 995899683 /nfs/dbraw/zinc/89/96/83/995899683.db2.gz VROMDJGVHTVNDF-HLTSFMKQSA-N 0 2 313.272 0.638 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1C[C@H](O)CC(F)(F)F ZINC001598772861 995899684 /nfs/dbraw/zinc/89/96/84/995899684.db2.gz VROMDJGVHTVNDF-HLTSFMKQSA-N 0 2 313.272 0.638 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001397455787 996009998 /nfs/dbraw/zinc/00/99/98/996009998.db2.gz DSHLSRGDRJCRQV-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001397455787 996010002 /nfs/dbraw/zinc/01/00/02/996010002.db2.gz DSHLSRGDRJCRQV-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](c1ccc(F)cc1)N(C)C(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001593693894 996146976 /nfs/dbraw/zinc/14/69/76/996146976.db2.gz ROVNCOAVJHVVSC-LERXQTSPSA-N 0 2 324.352 0.865 20 0 DCADLN C[C@H](c1ccc(F)cc1)N(C)C(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001593693894 996146979 /nfs/dbraw/zinc/14/69/79/996146979.db2.gz ROVNCOAVJHVVSC-LERXQTSPSA-N 0 2 324.352 0.865 20 0 DCADLN Cc1nonc1C(=O)NCC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001397808668 996186633 /nfs/dbraw/zinc/18/66/33/996186633.db2.gz PCNJZAGDQSVNBJ-MRVPVSSYSA-N 0 2 320.353 0.277 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccncn1 ZINC001397941328 996270410 /nfs/dbraw/zinc/27/04/10/996270410.db2.gz OASBDWJQENAOKX-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccncn1 ZINC001397941328 996270413 /nfs/dbraw/zinc/27/04/13/996270413.db2.gz OASBDWJQENAOKX-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN Cc1nc(C2CC2)[nH]c(=S)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001465725461 1016021354 /nfs/dbraw/zinc/02/13/54/1016021354.db2.gz QZINAIMPQFCAGX-UHFFFAOYSA-N 0 2 306.351 0.705 20 0 DCADLN COC(=O)[C@H]1C[N@H+](CC(=O)c2ccccc2F)C[C@H]1C(=O)[O-] ZINC001598819748 996311471 /nfs/dbraw/zinc/31/14/71/996311471.db2.gz FZXCRMWEJBSPOX-MNOVXSKESA-N 0 2 309.293 0.814 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](CC(=O)c2ccccc2F)C[C@H]1C(=O)[O-] ZINC001598819748 996311477 /nfs/dbraw/zinc/31/14/77/996311477.db2.gz FZXCRMWEJBSPOX-MNOVXSKESA-N 0 2 309.293 0.814 20 0 DCADLN COC[C@@H](C)C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001398185898 996403703 /nfs/dbraw/zinc/40/37/03/996403703.db2.gz OMDFMIKGBFGTPP-SNVBAGLBSA-N 0 2 311.386 0.216 20 0 DCADLN CCC[N@@H+](C)CC(=O)N1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001398218816 996415892 /nfs/dbraw/zinc/41/58/92/996415892.db2.gz QMVAMSOSUDKHPB-CQSZACIVSA-N 0 2 321.425 0.401 20 0 DCADLN Cc1nnc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001398300145 996458273 /nfs/dbraw/zinc/45/82/73/996458273.db2.gz JMJCCVZZWPGQGX-IONNQARKSA-N 0 2 309.267 0.704 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001398341711 996479307 /nfs/dbraw/zinc/47/93/07/996479307.db2.gz LNPRLAYAMPHMNS-AAEUAGOBSA-N 0 2 323.397 0.406 20 0 DCADLN COC(=O)CC1(C[N@@H+]2CC[C@H](C(=O)[O-])C[C@H]2C(=O)OC)CC1 ZINC001598841408 996528629 /nfs/dbraw/zinc/52/86/29/996528629.db2.gz PTQBDGURXVLVHT-QWRGUYRKSA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)CC1(C[N@H+]2CC[C@H](C(=O)[O-])C[C@H]2C(=O)OC)CC1 ZINC001598841408 996528632 /nfs/dbraw/zinc/52/86/32/996528632.db2.gz PTQBDGURXVLVHT-QWRGUYRKSA-N 0 2 313.350 0.668 20 0 DCADLN C[C@@]1(C(F)F)C[N@H+](CCc2cn(CC(=O)[O-])nn2)CCO1 ZINC001593816364 996554361 /nfs/dbraw/zinc/55/43/61/996554361.db2.gz VAZYBBXWIJRPOH-LBPRGKRZSA-N 0 2 304.297 0.261 20 0 DCADLN C[C@@]1(C(F)F)C[N@@H+](CCc2cn(CC(=O)[O-])nn2)CCO1 ZINC001593816364 996554366 /nfs/dbraw/zinc/55/43/66/996554366.db2.gz VAZYBBXWIJRPOH-LBPRGKRZSA-N 0 2 304.297 0.261 20 0 DCADLN NC(=O)N[C@@H](CO)C(=O)Nc1cc(Cl)cc(Cl)c1O ZINC001466105376 1016051231 /nfs/dbraw/zinc/05/12/31/1016051231.db2.gz JZXINYLUJDDKTK-ZETCQYMHSA-N 0 2 308.121 0.667 20 0 DCADLN CC(F)(F)C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001398732126 996661763 /nfs/dbraw/zinc/66/17/63/996661763.db2.gz RCQOEQXTHZNQFM-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN C[C@@H](C(=O)NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)C(C)(F)F ZINC001404265503 996878848 /nfs/dbraw/zinc/87/88/48/996878848.db2.gz ABXVDNCQPYGTFJ-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(C)(F)F ZINC001404265503 996878852 /nfs/dbraw/zinc/87/88/52/996878852.db2.gz ABXVDNCQPYGTFJ-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(C)(F)F ZINC001404265503 996878862 /nfs/dbraw/zinc/87/88/62/996878862.db2.gz ABXVDNCQPYGTFJ-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(c2nnc([C@H]3CCCC[N@@H+]3C)n2C)C1 ZINC001599076443 996880536 /nfs/dbraw/zinc/88/05/36/996880536.db2.gz AWTGBJNIKCNFFD-ABAIWWIYSA-N 0 2 323.397 0.652 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(c2nnc([C@H]3CCCC[N@H+]3C)n2C)C1 ZINC001599076443 996880545 /nfs/dbraw/zinc/88/05/45/996880545.db2.gz AWTGBJNIKCNFFD-ABAIWWIYSA-N 0 2 323.397 0.652 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)Nc2ccc(C(=O)[O-])nn2)CCO1 ZINC001593939595 996933728 /nfs/dbraw/zinc/93/37/28/996933728.db2.gz QJNCLICIURPMCB-UHFFFAOYSA-N 0 2 323.353 0.407 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)Nc2ccc(C(=O)[O-])nn2)CCO1 ZINC001593939595 996933741 /nfs/dbraw/zinc/93/37/41/996933741.db2.gz QJNCLICIURPMCB-UHFFFAOYSA-N 0 2 323.353 0.407 20 0 DCADLN COCc1csc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001599298633 997192481 /nfs/dbraw/zinc/19/24/81/997192481.db2.gz MSFWLKBKVGEIPJ-JTQLQIEISA-N 0 2 309.347 0.974 20 0 DCADLN CO[C@@]1(CO)CCC[N@H+](CC(=O)c2cc(C(=O)[O-])[nH]c2C)C1 ZINC001599091545 997201382 /nfs/dbraw/zinc/20/13/82/997201382.db2.gz FAOGETXRJLPSIX-HNNXBMFYSA-N 0 2 310.350 0.677 20 0 DCADLN CO[C@@]1(CO)CCC[N@@H+](CC(=O)c2cc(C(=O)[O-])[nH]c2C)C1 ZINC001599091545 997201390 /nfs/dbraw/zinc/20/13/90/997201390.db2.gz FAOGETXRJLPSIX-HNNXBMFYSA-N 0 2 310.350 0.677 20 0 DCADLN COC[C@@]1(C(=O)OC)CCC[N@@H+]1Cc1cc(C(=O)[O-])n(C)c1 ZINC001599196003 997217509 /nfs/dbraw/zinc/21/75/09/997217509.db2.gz VJCWEYUFEVUCTL-OAHLLOKOSA-N 0 2 310.350 0.877 20 0 DCADLN COC[C@@]1(C(=O)OC)CCC[N@H+]1Cc1cc(C(=O)[O-])n(C)c1 ZINC001599196003 997217514 /nfs/dbraw/zinc/21/75/14/997217514.db2.gz VJCWEYUFEVUCTL-OAHLLOKOSA-N 0 2 310.350 0.877 20 0 DCADLN CO[C@@H]1CC(=O)N(C[NH+]2CCC(c3cc(C(=O)[O-])on3)CC2)C1 ZINC001599095072 997264292 /nfs/dbraw/zinc/26/42/92/997264292.db2.gz FSLBZBBNLBZPMU-LLVKDONJSA-N 0 2 323.349 0.757 20 0 DCADLN Cc1cnc(CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F)o1 ZINC001507197622 1016113818 /nfs/dbraw/zinc/11/38/18/1016113818.db2.gz CILOZOKIEHDVGI-VXNVDRBHSA-N 0 2 313.251 0.450 20 0 DCADLN Cc1cnc(CN[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)o1 ZINC001507197622 1016113823 /nfs/dbraw/zinc/11/38/23/1016113823.db2.gz CILOZOKIEHDVGI-VXNVDRBHSA-N 0 2 313.251 0.450 20 0 DCADLN COC[C@@H](O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001404712347 997403905 /nfs/dbraw/zinc/40/39/05/997403905.db2.gz UXUGBHYFAHQBMX-YDHLFZDLSA-N 0 2 324.425 0.176 20 0 DCADLN CON1CCC(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1 ZINC001599319050 997549545 /nfs/dbraw/zinc/54/95/45/997549545.db2.gz RUTFUVJOLWKWBV-GFCCVEGCSA-N 0 2 310.354 0.185 20 0 DCADLN CON1CCC(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1 ZINC001599319050 997549557 /nfs/dbraw/zinc/54/95/57/997549557.db2.gz RUTFUVJOLWKWBV-GFCCVEGCSA-N 0 2 310.354 0.185 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001405013646 997685319 /nfs/dbraw/zinc/68/53/19/997685319.db2.gz WVAXTMRAOHWPIG-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001405013646 997685322 /nfs/dbraw/zinc/68/53/22/997685322.db2.gz WVAXTMRAOHWPIG-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2scnc2C(=O)[O-])C1 ZINC001594048773 997725877 /nfs/dbraw/zinc/72/58/77/997725877.db2.gz ZMNSNQOVCWSMHG-JTQLQIEISA-N 0 2 323.371 0.163 20 0 DCADLN O=C(CC1CC1)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001405183170 997898448 /nfs/dbraw/zinc/89/84/48/997898448.db2.gz FLLJURHMAOXSAO-APPZFPTMSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001405183170 997898459 /nfs/dbraw/zinc/89/84/59/997898459.db2.gz FLLJURHMAOXSAO-APPZFPTMSA-N 0 2 300.252 0.280 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@@]2(C)C[C@H](O)C[N@@H+]2C)c1O ZINC001599357842 998210028 /nfs/dbraw/zinc/21/00/28/998210028.db2.gz DNCBRRLZRPEYCE-BJOHPYRUSA-N 0 2 324.333 0.493 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@@]2(C)C[C@H](O)C[N@H+]2C)c1O ZINC001599357842 998210039 /nfs/dbraw/zinc/21/00/39/998210039.db2.gz DNCBRRLZRPEYCE-BJOHPYRUSA-N 0 2 324.333 0.493 20 0 DCADLN C[C@H]1C(=O)N(C)CC[N@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594125012 998298836 /nfs/dbraw/zinc/29/88/36/998298836.db2.gz GKBIOKXPGUFYNY-WCQYABFASA-N 0 2 322.361 0.287 20 0 DCADLN C[C@H]1C(=O)N(C)CC[N@@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594125012 998298847 /nfs/dbraw/zinc/29/88/47/998298847.db2.gz GKBIOKXPGUFYNY-WCQYABFASA-N 0 2 322.361 0.287 20 0 DCADLN CC(C)(C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001405734002 998514278 /nfs/dbraw/zinc/51/42/78/998514278.db2.gz WHHLICSDBDQHLW-LLVKDONJSA-N 0 2 323.397 0.264 20 0 DCADLN COC(=O)c1cccc([C@@H]([NH2+][C@H]2COC[C@H]2OC)C(=O)[O-])c1 ZINC001598996429 998783736 /nfs/dbraw/zinc/78/37/36/998783736.db2.gz RADDBMCAJYQCND-YNEHKIRRSA-N 0 2 309.318 0.602 20 0 DCADLN COC(=O)c1cnc([C@H](C)[N@@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)s1 ZINC001599007758 998870096 /nfs/dbraw/zinc/87/00/96/998870096.db2.gz ODWGRSDURNBTQD-XHNCKOQMSA-N 0 2 314.363 0.758 20 0 DCADLN COC(=O)c1cnc([C@H](C)[N@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)s1 ZINC001599007758 998870101 /nfs/dbraw/zinc/87/01/01/998870101.db2.gz ODWGRSDURNBTQD-XHNCKOQMSA-N 0 2 314.363 0.758 20 0 DCADLN CCc1ocnc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001418536813 1000323891 /nfs/dbraw/zinc/32/38/91/1000323891.db2.gz NPFCQRKIZGVIKC-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN CCc1ocnc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001418536813 1000323896 /nfs/dbraw/zinc/32/38/96/1000323896.db2.gz NPFCQRKIZGVIKC-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN CSCC[C@H](NC(=O)Cc1nnc(C2CC2)[nH]1)c1nn[nH]n1 ZINC001470327522 1016413743 /nfs/dbraw/zinc/41/37/43/1016413743.db2.gz MYICYYFWLPGFIS-QMMMGPOBSA-N 0 2 322.398 0.348 20 0 DCADLN CC[C@H](CO)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001418910109 1000610352 /nfs/dbraw/zinc/61/03/52/1000610352.db2.gz CQTIPJUDKXFTMJ-VXGBXAGGSA-N 0 2 304.350 0.929 20 0 DCADLN CC[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001418910108 1000610358 /nfs/dbraw/zinc/61/03/58/1000610358.db2.gz CQTIPJUDKXFTMJ-RYUDHWBXSA-N 0 2 304.350 0.929 20 0 DCADLN CCc1nnc([C@H](C)[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001401679934 1000620340 /nfs/dbraw/zinc/62/03/40/1000620340.db2.gz UANJFSOUOHRWIF-CBAPKCEASA-N 0 2 321.385 0.573 20 0 DCADLN Cc1cnc(C[NH2+]CC2(NC(=O)c3nc[nH]n3)CCCC2)nc1 ZINC001419313838 1000967722 /nfs/dbraw/zinc/96/77/22/1000967722.db2.gz WYMJWAZJRGLSDE-UHFFFAOYSA-N 0 2 315.381 0.736 20 0 DCADLN CCOC(=O)c1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)nc1 ZINC001470583149 1016461586 /nfs/dbraw/zinc/46/15/86/1016461586.db2.gz QMEGHICMIWWFHX-UHFFFAOYSA-N 0 2 318.289 0.390 20 0 DCADLN CCOC(=O)c1ccc(C(=O)NCC2=NC(=O)CC(=O)N2)nc1 ZINC001470583149 1016461597 /nfs/dbraw/zinc/46/15/97/1016461597.db2.gz QMEGHICMIWWFHX-UHFFFAOYSA-N 0 2 318.289 0.390 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc(F)cc2nccnc21 ZINC001470582732 1016462839 /nfs/dbraw/zinc/46/28/39/1016462839.db2.gz ABECOKYRMFVVCF-UHFFFAOYSA-N 0 2 315.264 0.900 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cc(F)cc2nccnc21 ZINC001470582732 1016462843 /nfs/dbraw/zinc/46/28/43/1016462843.db2.gz ABECOKYRMFVVCF-UHFFFAOYSA-N 0 2 315.264 0.900 20 0 DCADLN CCN(CC)C(=O)C[N@@H+]1CC[C@H](NC(=O)C[NH+]2CC[C@@H](C)C2)C1 ZINC001402190646 1001095778 /nfs/dbraw/zinc/09/57/78/1001095778.db2.gz WNPJSNYRDZIBKG-CABCVRRESA-N 0 2 324.469 0.387 20 0 DCADLN O=C(Cc1c[nH]c[nH+]1)NC[C@@H]1C[N@H+](CCCO)CCC1(F)F ZINC001402244105 1001132181 /nfs/dbraw/zinc/13/21/81/1001132181.db2.gz MLWCWQSKSMJEHJ-LLVKDONJSA-N 0 2 316.352 0.408 20 0 DCADLN CC1(C(=O)NC[C@]2(O)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC001402264943 1001153516 /nfs/dbraw/zinc/15/35/16/1001153516.db2.gz DXYQDMZDVCVSPS-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN CC1(C(=O)NC[C@]2(O)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC001402264943 1001153523 /nfs/dbraw/zinc/15/35/23/1001153523.db2.gz DXYQDMZDVCVSPS-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN Cc1nn[nH]c1C(=O)N1CCC[C@@H]([N@H+](C)CC(=O)NC(C)C)C1 ZINC001403341513 1001839541 /nfs/dbraw/zinc/83/95/41/1001839541.db2.gz TVEOCEBWXVYPJH-GFCCVEGCSA-N 0 2 322.413 0.174 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NC(CF)CF)cc-2c(=O)[nH]1 ZINC001424627178 1001859200 /nfs/dbraw/zinc/85/92/00/1001859200.db2.gz HVHAMCFRGCZECI-UHFFFAOYSA-N 0 2 320.277 0.022 20 0 DCADLN O=C(NCC[C@H]1CCCO1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001471346143 1016562656 /nfs/dbraw/zinc/56/26/56/1016562656.db2.gz XXLJQJBKTBVLLX-WDEREUQCSA-N 0 2 309.370 0.968 20 0 DCADLN C[C@@H](CNC(=O)C1(C(F)F)CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424937394 1002165595 /nfs/dbraw/zinc/16/55/95/1002165595.db2.gz JHRSEZZMUWVRHX-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](CNC(=O)C1(C(F)F)CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424937394 1002165601 /nfs/dbraw/zinc/16/56/01/1002165601.db2.gz JHRSEZZMUWVRHX-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001424969701 1002194925 /nfs/dbraw/zinc/19/49/25/1002194925.db2.gz CLWJXOOZABPYOF-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001424969701 1002194935 /nfs/dbraw/zinc/19/49/35/1002194935.db2.gz CLWJXOOZABPYOF-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN O=C(CCC(F)(F)F)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420474831 1002471685 /nfs/dbraw/zinc/47/16/85/1002471685.db2.gz MRAFFCUNIVEDRP-QMMMGPOBSA-N 0 2 321.303 0.933 20 0 DCADLN O=C(CCC(F)(F)F)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420474831 1002471691 /nfs/dbraw/zinc/47/16/91/1002471691.db2.gz MRAFFCUNIVEDRP-QMMMGPOBSA-N 0 2 321.303 0.933 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc(CCO)cc2)S1 ZINC001420489102 1002492798 /nfs/dbraw/zinc/49/27/98/1002492798.db2.gz RCUOAQOVDSCZAU-NSHDSACASA-N 0 2 307.375 0.394 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@]12CCC[C@H]1OCC2 ZINC001420603209 1002677905 /nfs/dbraw/zinc/67/79/05/1002677905.db2.gz YOWFCYBJJWJZFY-RWSFTLGLSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@]12CCC[C@H]1OCC2 ZINC001420603209 1002677909 /nfs/dbraw/zinc/67/79/09/1002677909.db2.gz YOWFCYBJJWJZFY-RWSFTLGLSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H](C[NH2+]Cc2cnon2)C2CC2)c1[O-] ZINC001425482529 1002723469 /nfs/dbraw/zinc/72/34/69/1002723469.db2.gz DTABSGHQWPNWKX-NSHDSACASA-N 0 2 320.353 0.447 20 0 DCADLN O=C(CCc1ccon1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001404000927 1002875630 /nfs/dbraw/zinc/87/56/30/1002875630.db2.gz NMKWVJLBLUMNBF-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN O=C(CCc1ccon1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001404000927 1002875642 /nfs/dbraw/zinc/87/56/42/1002875642.db2.gz NMKWVJLBLUMNBF-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001420807260 1002967420 /nfs/dbraw/zinc/96/74/20/1002967420.db2.gz CDERKXFPHWQAKE-GHMZBOCLSA-N 0 2 304.354 0.264 20 0 DCADLN CCCNC(=O)C[NH+]1CCC[C@H]1CNC(=O)C[N@H+]1CC[C@@H](C)C1 ZINC001404113341 1003024180 /nfs/dbraw/zinc/02/41/80/1003024180.db2.gz WDSQQTYPJXVMEJ-CABCVRRESA-N 0 2 324.469 0.435 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1ncnn1C ZINC001505922291 1016714533 /nfs/dbraw/zinc/71/45/33/1016714533.db2.gz LHYSZCKXOBWQNL-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1ncnn1C ZINC001505922291 1016714548 /nfs/dbraw/zinc/71/45/48/1016714548.db2.gz LHYSZCKXOBWQNL-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN CC[C@H](C)[C@H](OC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472082373 1016728070 /nfs/dbraw/zinc/72/80/70/1016728070.db2.gz NZMIVLPUFIGVOY-KWQFWETISA-N 0 2 318.399 0.027 20 0 DCADLN CC(=O)NC1CCC(C(=O)N[C@@H](C)c2nn(C)cc2O)CC1 ZINC001472237232 1016767052 /nfs/dbraw/zinc/76/70/52/1016767052.db2.gz RIVRTXIGLUJVJS-GCVQQVDUSA-N 0 2 308.382 0.998 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)CC1CC1 ZINC001428141408 1003723122 /nfs/dbraw/zinc/72/31/22/1003723122.db2.gz GCRGENFHJOYWKO-WPRPVWTQSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)CC1CC1 ZINC001428141408 1003723124 /nfs/dbraw/zinc/72/31/24/1003723124.db2.gz GCRGENFHJOYWKO-WPRPVWTQSA-N 0 2 314.279 0.622 20 0 DCADLN CCCC(=O)N(C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001428270540 1003806345 /nfs/dbraw/zinc/80/63/45/1003806345.db2.gz JHTGUCZWDMTDPY-SCZZXKLOSA-N 0 2 316.295 0.965 20 0 DCADLN CCCC(=O)N(C)C[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001428270540 1003806367 /nfs/dbraw/zinc/80/63/67/1003806367.db2.gz JHTGUCZWDMTDPY-SCZZXKLOSA-N 0 2 316.295 0.965 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406585382 1003887226 /nfs/dbraw/zinc/88/72/26/1003887226.db2.gz UIPMGIFEUIJFMA-GHMZBOCLSA-N 0 2 313.402 0.508 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406585382 1003887239 /nfs/dbraw/zinc/88/72/39/1003887239.db2.gz UIPMGIFEUIJFMA-GHMZBOCLSA-N 0 2 313.402 0.508 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406639253 1003955900 /nfs/dbraw/zinc/95/59/00/1003955900.db2.gz SCWWVIJJWODBJZ-UMNHJUIQSA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406639253 1003955909 /nfs/dbraw/zinc/95/59/09/1003955909.db2.gz SCWWVIJJWODBJZ-UMNHJUIQSA-N 0 2 311.386 0.262 20 0 DCADLN CC(C)c1ocnc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406688072 1004003713 /nfs/dbraw/zinc/00/37/13/1004003713.db2.gz GEJCSGNGTARXLL-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1ocnc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406688072 1004003724 /nfs/dbraw/zinc/00/37/24/1004003724.db2.gz GEJCSGNGTARXLL-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CO[C@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001426834143 1004072657 /nfs/dbraw/zinc/07/26/57/1004072657.db2.gz AWBPUYCJNXZRLN-HXFLIBJXSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001426834143 1004072664 /nfs/dbraw/zinc/07/26/64/1004072664.db2.gz AWBPUYCJNXZRLN-HXFLIBJXSA-N 0 2 314.279 0.933 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001428810756 1004189735 /nfs/dbraw/zinc/18/97/35/1004189735.db2.gz BMDGFUUBQUNNLN-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)NC(=O)[C@H](F)C(F)(F)F ZINC001428810756 1004189741 /nfs/dbraw/zinc/18/97/41/1004189741.db2.gz BMDGFUUBQUNNLN-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN CCN(C(=O)C[NH+]1CC[C@@H]1CNC(=O)C[N@H+](C)C(C)C)C1CC1 ZINC001406896903 1004195842 /nfs/dbraw/zinc/19/58/42/1004195842.db2.gz IVFMWOYICZPPFG-OAHLLOKOSA-N 0 2 324.469 0.528 20 0 DCADLN CCOCC(=O)NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001427277395 1004300760 /nfs/dbraw/zinc/30/07/60/1004300760.db2.gz ONCGUWOGNLHBAL-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NC[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001427277395 1004300767 /nfs/dbraw/zinc/30/07/67/1004300767.db2.gz ONCGUWOGNLHBAL-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1ncn(C)n1 ZINC001427363139 1004344702 /nfs/dbraw/zinc/34/47/02/1004344702.db2.gz OPYJNTRLQPPSOG-BDAKNGLRSA-N 0 2 320.357 0.289 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnccn1)NC(=O)C(F)C(F)(F)F ZINC001427459465 1004400273 /nfs/dbraw/zinc/40/02/73/1004400273.db2.gz RSAAQWQYWXJLNV-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001427459465 1004400281 /nfs/dbraw/zinc/40/02/81/1004400281.db2.gz RSAAQWQYWXJLNV-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN COCC(=O)N1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001407385011 1004612614 /nfs/dbraw/zinc/61/26/14/1004612614.db2.gz XRRWUPJDDOCUPB-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001407385011 1004612618 /nfs/dbraw/zinc/61/26/18/1004612618.db2.gz XRRWUPJDDOCUPB-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)Cc1c[nH]c[nH+]1)C(=O)C[N@@H+]1CCC(C)(C)C1 ZINC001429518734 1004645264 /nfs/dbraw/zinc/64/52/64/1004645264.db2.gz KHDJZEKTAFUKCJ-UHFFFAOYSA-N 0 2 321.425 0.259 20 0 DCADLN C[C@@H](CNC(=O)c1cncc(Cl)c1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506021114 1016898409 /nfs/dbraw/zinc/89/84/09/1016898409.db2.gz BELBMBGETMYFIX-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@@H](CNC(=O)c1cncc(Cl)c1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506021114 1016898423 /nfs/dbraw/zinc/89/84/23/1016898423.db2.gz BELBMBGETMYFIX-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN CO[C@H](C)CN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001407513600 1004703098 /nfs/dbraw/zinc/70/30/98/1004703098.db2.gz IULVWBBIZIQVLD-HTQZYQBOSA-N 0 2 302.268 0.085 20 0 DCADLN CC1CC(C[N@@H+]2CCOC[C@H]2CNC(=O)CCc2c[nH]nn2)C1 ZINC001407753057 1004837076 /nfs/dbraw/zinc/83/70/76/1004837076.db2.gz BIPMIVOWRCFQBG-SSDMNJCBSA-N 0 2 321.425 0.600 20 0 DCADLN CC[NH+](CC)CC(=O)N[C@H]1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001407842080 1004895331 /nfs/dbraw/zinc/89/53/31/1004895331.db2.gz ZUJWGYOWJYWUBR-HDJSIYSDSA-N 0 2 321.425 0.448 20 0 DCADLN Cc1ncc(C(=O)N(C)CCC[N@H+](C)CC(=O)NC2CC2)[nH]1 ZINC001407876320 1004916299 /nfs/dbraw/zinc/91/62/99/1004916299.db2.gz LITWMWMFTGNSAA-UHFFFAOYSA-N 0 2 307.398 0.391 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccc(F)cc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506048531 1016946749 /nfs/dbraw/zinc/94/67/49/1016946749.db2.gz UVRQPUCCMRXVIA-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccc(F)cc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506048531 1016946763 /nfs/dbraw/zinc/94/67/63/1016946763.db2.gz UVRQPUCCMRXVIA-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414784763 1005402007 /nfs/dbraw/zinc/40/20/07/1005402007.db2.gz XSIQLWNWGJYMEJ-POYBYMJQSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001414784763 1005402009 /nfs/dbraw/zinc/40/20/09/1005402009.db2.gz XSIQLWNWGJYMEJ-POYBYMJQSA-N 0 2 323.250 0.344 20 0 DCADLN CC1(C(=O)N2CCN(Cc3cc(=O)n4[nH]ccc4n3)CC2)CC1 ZINC001415130476 1005465299 /nfs/dbraw/zinc/46/52/99/1005465299.db2.gz MEPSVIQSRLWAQK-UHFFFAOYSA-N 0 2 315.377 0.467 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNC(=O)C1CCC(O)CC1 ZINC001415154979 1005471598 /nfs/dbraw/zinc/47/15/98/1005471598.db2.gz ZJBMDQSKZWTYLP-QFWMXSHPSA-N 0 2 322.409 0.433 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccnn1C ZINC001415182304 1005477043 /nfs/dbraw/zinc/47/70/43/1005477043.db2.gz NFTLQNYTFRNHKD-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1ccnn1C ZINC001415182304 1005477045 /nfs/dbraw/zinc/47/70/45/1005477045.db2.gz NFTLQNYTFRNHKD-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN Cc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)ns1 ZINC001415820429 1005617386 /nfs/dbraw/zinc/61/73/86/1005617386.db2.gz KPTZOTIPBBEHMP-UHFFFAOYSA-N 0 2 308.367 0.233 20 0 DCADLN CO[C@@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001416092823 1005660371 /nfs/dbraw/zinc/66/03/71/1005660371.db2.gz IETCCCVNNIQCCQ-XPUUQOCRSA-N 0 2 300.252 0.496 20 0 DCADLN CO[C@@H](C)C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001416092823 1005660374 /nfs/dbraw/zinc/66/03/74/1005660374.db2.gz IETCCCVNNIQCCQ-XPUUQOCRSA-N 0 2 300.252 0.496 20 0 DCADLN CC[C@H](CNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001416289422 1005682236 /nfs/dbraw/zinc/68/22/36/1005682236.db2.gz LBSUWJMZHVFJAC-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CNC(=O)c1occc1C[NH+](C)C)NC(=O)c1cn[nH]n1 ZINC001416915438 1005759316 /nfs/dbraw/zinc/75/93/16/1005759316.db2.gz WELCVOCRDFUGPG-VIFPVBQESA-N 0 2 320.353 0.008 20 0 DCADLN C[C@@H](CC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001417760195 1005885598 /nfs/dbraw/zinc/88/55/98/1005885598.db2.gz LNFGZIGLHGTZIQ-JQWIXIFHSA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)[C@@H]1CCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001434835333 1006026292 /nfs/dbraw/zinc/02/62/92/1006026292.db2.gz RAFBEOYAGKWZRJ-VIFPVBQESA-N 0 2 315.399 0.289 20 0 DCADLN O=C(CCC(F)F)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451455429 1006184010 /nfs/dbraw/zinc/18/40/10/1006184010.db2.gz HUBRKGKKPXXFOC-XNCJUZBTSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001451455429 1006184013 /nfs/dbraw/zinc/18/40/13/1006184013.db2.gz HUBRKGKKPXXFOC-XNCJUZBTSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451532784 1006245750 /nfs/dbraw/zinc/24/57/50/1006245750.db2.gz JVOBIYKBESTQSK-VDTYLAMSSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001451532784 1006245756 /nfs/dbraw/zinc/24/57/56/1006245756.db2.gz JVOBIYKBESTQSK-VDTYLAMSSA-N 0 2 324.221 0.525 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)CNC(=O)C(F)C(F)(F)F ZINC001451566052 1006277210 /nfs/dbraw/zinc/27/72/10/1006277210.db2.gz UHWUBTKDBQCINW-OIBJUYFYSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001451566052 1006277221 /nfs/dbraw/zinc/27/72/21/1006277221.db2.gz UHWUBTKDBQCINW-OIBJUYFYSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)CNC(=O)C(F)C(F)(F)F ZINC001451599292 1006300499 /nfs/dbraw/zinc/30/04/99/1006300499.db2.gz CDBBNRKEAQOVMV-CBAPKCEASA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)CNC(=O)[C@H](F)C(F)(F)F ZINC001451599292 1006300509 /nfs/dbraw/zinc/30/05/09/1006300509.db2.gz CDBBNRKEAQOVMV-CBAPKCEASA-N 0 2 322.262 0.859 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(C)F ZINC001451774974 1006404493 /nfs/dbraw/zinc/40/44/93/1006404493.db2.gz MKVMXHPNXGNVAW-NKWVEPMBSA-N 0 2 320.258 0.570 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(C)(C)F ZINC001451774974 1006404502 /nfs/dbraw/zinc/40/45/02/1006404502.db2.gz MKVMXHPNXGNVAW-NKWVEPMBSA-N 0 2 320.258 0.570 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001439409124 1006826783 /nfs/dbraw/zinc/82/67/83/1006826783.db2.gz OSIYVZUNYWWVCG-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001439409124 1006826793 /nfs/dbraw/zinc/82/67/93/1006826793.db2.gz OSIYVZUNYWWVCG-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)N1CCC[C@@H]([N@@H+](C)CC(N)=O)C1 ZINC001437346665 1006869987 /nfs/dbraw/zinc/86/99/87/1006869987.db2.gz FXCUMDKTQWJSHQ-CQSZACIVSA-N 0 2 321.425 0.380 20 0 DCADLN C[N@H+](CCNC(=O)c1nc(Cl)cs1)Cc1n[nH]c(=O)[n-]1 ZINC001437514222 1007077435 /nfs/dbraw/zinc/07/74/35/1007077435.db2.gz YXRMMCNMTHOFFP-UHFFFAOYSA-N 0 2 316.774 0.482 20 0 DCADLN C[N@@H+](CCNC(=O)c1nc(Cl)cs1)Cc1n[nH]c(=O)[n-]1 ZINC001437514222 1007077446 /nfs/dbraw/zinc/07/74/46/1007077446.db2.gz YXRMMCNMTHOFFP-UHFFFAOYSA-N 0 2 316.774 0.482 20 0 DCADLN CC(C)c1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)nc1 ZINC001437517297 1007080860 /nfs/dbraw/zinc/08/08/60/1007080860.db2.gz OILLSAOLEABRHF-UHFFFAOYSA-N 0 2 318.381 0.891 20 0 DCADLN CC(C)c1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nc1 ZINC001437517297 1007080872 /nfs/dbraw/zinc/08/08/72/1007080872.db2.gz OILLSAOLEABRHF-UHFFFAOYSA-N 0 2 318.381 0.891 20 0 DCADLN CCn1nncc1CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001439725875 1007157419 /nfs/dbraw/zinc/15/74/19/1007157419.db2.gz MFQZZMHELWTCON-JTQLQIEISA-N 0 2 323.294 0.841 20 0 DCADLN CCn1nncc1CN1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001439725875 1007157424 /nfs/dbraw/zinc/15/74/24/1007157424.db2.gz MFQZZMHELWTCON-JTQLQIEISA-N 0 2 323.294 0.841 20 0 DCADLN CC[N@H+](CCNC(=O)CCc1cscn1)Cc1n[nH]c(=O)[n-]1 ZINC001437638012 1007203013 /nfs/dbraw/zinc/20/30/13/1007203013.db2.gz GGIJQDIDADXBBA-UHFFFAOYSA-N 0 2 324.410 0.538 20 0 DCADLN CC[N@@H+](CCNC(=O)CCc1cscn1)Cc1n[nH]c(=O)[n-]1 ZINC001437638012 1007203025 /nfs/dbraw/zinc/20/30/25/1007203025.db2.gz GGIJQDIDADXBBA-UHFFFAOYSA-N 0 2 324.410 0.538 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001453161805 1007282383 /nfs/dbraw/zinc/28/23/83/1007282383.db2.gz DOFYZDHGJJFPOK-POYBYMJQSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001453161805 1007282393 /nfs/dbraw/zinc/28/23/93/1007282393.db2.gz DOFYZDHGJJFPOK-POYBYMJQSA-N 0 2 323.250 0.344 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cn[nH]n1)C(F)C(F)(F)F ZINC001453173575 1007290684 /nfs/dbraw/zinc/29/06/84/1007290684.db2.gz YWTQXSJXZZPVRK-IYSWYEEDSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cn[nH]n1)[C@@H](F)C(F)(F)F ZINC001453173575 1007290692 /nfs/dbraw/zinc/29/06/92/1007290692.db2.gz YWTQXSJXZZPVRK-IYSWYEEDSA-N 0 2 309.223 0.036 20 0 DCADLN Cc1c[nH]nc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001453417413 1007461341 /nfs/dbraw/zinc/46/13/41/1007461341.db2.gz SYRFEVKDIQVHOG-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1c[nH]nc1C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001453417413 1007461349 /nfs/dbraw/zinc/46/13/49/1007461349.db2.gz SYRFEVKDIQVHOG-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN CC(C)(C)CC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001440250239 1007612853 /nfs/dbraw/zinc/61/28/53/1007612853.db2.gz QKQKABRDDVENQF-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN Cn1cnnc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001438124857 1007652224 /nfs/dbraw/zinc/65/22/24/1007652224.db2.gz XJYXXTQBSGTHTE-SECBINFHSA-N 0 2 309.267 0.264 20 0 DCADLN Cn1cnnc1CN1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001438124857 1007652233 /nfs/dbraw/zinc/65/22/33/1007652233.db2.gz XJYXXTQBSGTHTE-SECBINFHSA-N 0 2 309.267 0.264 20 0 DCADLN C[C@@H](NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1nc[nH]n1 ZINC001475162837 1017070896 /nfs/dbraw/zinc/07/08/96/1017070896.db2.gz YIAGLDLXUBNXKR-LWESTGQBSA-N 0 2 309.417 0.596 20 0 DCADLN C[C@@H](CNC(=O)CCn1cccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440982569 1008157564 /nfs/dbraw/zinc/15/75/64/1008157564.db2.gz TXFXREAZFJENBC-NSHDSACASA-N 0 2 306.370 0.339 20 0 DCADLN C[C@@H](CNC(=O)CCn1cccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440982569 1008157567 /nfs/dbraw/zinc/15/75/67/1008157567.db2.gz TXFXREAZFJENBC-NSHDSACASA-N 0 2 306.370 0.339 20 0 DCADLN C[C@H](C(=O)N(C)C)[N@H+](C)C1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001441389361 1008342830 /nfs/dbraw/zinc/34/28/30/1008342830.db2.gz RQOUULJPYDJJKW-GFCCVEGCSA-N 0 2 321.425 0.352 20 0 DCADLN C[C@H](CN(C)C(=O)c1cc[nH]n1)[NH2+]Cc1nnc(C2CC2)[nH]1 ZINC001441490739 1008374532 /nfs/dbraw/zinc/37/45/32/1008374532.db2.gz KNNZWYNBSSJITD-SECBINFHSA-N 0 2 303.370 0.656 20 0 DCADLN O=C(CC1CC1)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433229122 1008619738 /nfs/dbraw/zinc/61/97/38/1008619738.db2.gz XETURPUGVNWMCU-IONNQARKSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001433229122 1008619747 /nfs/dbraw/zinc/61/97/47/1008619747.db2.gz XETURPUGVNWMCU-IONNQARKSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001433742262 1009137975 /nfs/dbraw/zinc/13/79/75/1009137975.db2.gz XMVDUDCPAQZPST-WCBMZHEXSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001433742262 1009137980 /nfs/dbraw/zinc/13/79/80/1009137980.db2.gz XMVDUDCPAQZPST-WCBMZHEXSA-N 0 2 322.262 0.570 20 0 DCADLN C[C@@H]1CCC[N@@H+]1CC(=O)N1CC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001433760014 1009153920 /nfs/dbraw/zinc/15/39/20/1009153920.db2.gz UYMFUJZOOWBENW-KGLIPLIRSA-N 0 2 319.409 0.085 20 0 DCADLN CCCCC(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001421914620 1009255676 /nfs/dbraw/zinc/25/56/76/1009255676.db2.gz HFUGLTJSAWHKJX-LLVKDONJSA-N 0 2 323.397 0.550 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)N2CCCc3n[nH]cc32)c1 ZINC001433954869 1009394414 /nfs/dbraw/zinc/39/44/14/1009394414.db2.gz XPOLPDBBDNZREZ-UHFFFAOYSA-N 0 2 322.346 0.356 20 0 DCADLN COCC1(C(=O)N[C@H](C)CCNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001455085301 1009481270 /nfs/dbraw/zinc/48/12/70/1009481270.db2.gz RWUWBICIRNVLEA-LLVKDONJSA-N 0 2 322.409 0.698 20 0 DCADLN O=C(NC[C@@H]1CCCCO1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001442654904 1009584507 /nfs/dbraw/zinc/58/45/07/1009584507.db2.gz DAKMWIXHVZJTAT-LBPRGKRZSA-N 0 2 318.333 0.565 20 0 DCADLN Cc1c[nH]c(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001455259103 1009616190 /nfs/dbraw/zinc/61/61/90/1009616190.db2.gz BYQCFMSCOQWGEM-ZETCQYMHSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1c[nH]c(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)n1 ZINC001455259103 1009616195 /nfs/dbraw/zinc/61/61/95/1009616195.db2.gz BYQCFMSCOQWGEM-ZETCQYMHSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1nnccc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455283055 1009633296 /nfs/dbraw/zinc/63/32/96/1009633296.db2.gz SOVFSRPKLNFRAY-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1nnccc1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001455283055 1009633302 /nfs/dbraw/zinc/63/33/02/1009633302.db2.gz SOVFSRPKLNFRAY-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1nccc2cc[nH]c21 ZINC001434187636 1009644270 /nfs/dbraw/zinc/64/42/70/1009644270.db2.gz CUJPEQQMAKUUQN-UHFFFAOYSA-N 0 2 309.289 0.586 20 0 DCADLN CC[N@H+](CCNC(=O)C1CCC(F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001442723546 1009667751 /nfs/dbraw/zinc/66/77/51/1009667751.db2.gz PNTHDSWOJYMFNP-UHFFFAOYSA-N 0 2 313.377 0.977 20 0 DCADLN CC[N@@H+](CCNC(=O)C1CCC(F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001442723546 1009667762 /nfs/dbraw/zinc/66/77/62/1009667762.db2.gz PNTHDSWOJYMFNP-UHFFFAOYSA-N 0 2 313.377 0.977 20 0 DCADLN CC(C)Oc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)nc1 ZINC001434230038 1009681001 /nfs/dbraw/zinc/68/10/01/1009681001.db2.gz AXOUSWDGAPTQBQ-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN CCc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001422232405 1009684928 /nfs/dbraw/zinc/68/49/28/1009684928.db2.gz VKUGDJONPXDDPO-UHFFFAOYSA-N 0 2 308.342 0.265 20 0 DCADLN CCc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001422232405 1009684934 /nfs/dbraw/zinc/68/49/34/1009684934.db2.gz VKUGDJONPXDDPO-UHFFFAOYSA-N 0 2 308.342 0.265 20 0 DCADLN CCC[N@@H+](C)CC(=O)N(C)[C@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001442816434 1009771237 /nfs/dbraw/zinc/77/12/37/1009771237.db2.gz IPUYYRWKGBOZKN-CYBMUJFWSA-N 0 2 309.414 0.188 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1nccn1C ZINC001442815520 1009774172 /nfs/dbraw/zinc/77/41/72/1009774172.db2.gz WAIXRLCSHDTZBJ-AWEZNQCLSA-N 0 2 307.442 0.843 20 0 DCADLN CSC1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCC1 ZINC001434383286 1009868898 /nfs/dbraw/zinc/86/88/98/1009868898.db2.gz AZOQCXBIIPEVRX-UHFFFAOYSA-N 0 2 318.424 0.251 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)c2nn(C)cc2O)c(C)n1NC(N)=O ZINC001434405771 1009912566 /nfs/dbraw/zinc/91/25/66/1009912566.db2.gz BQMAMCNTEPWXMN-QMMMGPOBSA-N 0 2 320.353 0.657 20 0 DCADLN COC[C@](C)(O)CNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001434547494 1010075265 /nfs/dbraw/zinc/07/52/65/1010075265.db2.gz CJVRPDHKHZSASU-LLVKDONJSA-N 0 2 324.786 0.881 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001422485565 1010161667 /nfs/dbraw/zinc/16/16/67/1010161667.db2.gz GEKQPHGQZKEQLD-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001422485565 1010161670 /nfs/dbraw/zinc/16/16/70/1010161670.db2.gz GEKQPHGQZKEQLD-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)NCCc1cnccc1C ZINC001434750501 1010233738 /nfs/dbraw/zinc/23/37/38/1010233738.db2.gz FFRMKYPUMVWJMN-UHFFFAOYSA-N 0 2 303.326 0.232 20 0 DCADLN CCc1c(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001422527725 1010247753 /nfs/dbraw/zinc/24/77/53/1010247753.db2.gz KNBGKMSWRKPRID-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001443231784 1010247704 /nfs/dbraw/zinc/24/77/04/1010247704.db2.gz QFLARSIIZIUVSQ-IMTBSYHQSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001443231784 1010247709 /nfs/dbraw/zinc/24/77/09/1010247709.db2.gz QFLARSIIZIUVSQ-IMTBSYHQSA-N 0 2 322.262 0.887 20 0 DCADLN CCc1c(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001422527725 1010247756 /nfs/dbraw/zinc/24/77/56/1010247756.db2.gz KNBGKMSWRKPRID-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnn(C)c1 ZINC001508902988 1017096450 /nfs/dbraw/zinc/09/64/50/1017096450.db2.gz SQGNTSRWFVOQOG-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cnn(C)c1 ZINC001508902988 1017096463 /nfs/dbraw/zinc/09/64/63/1017096463.db2.gz SQGNTSRWFVOQOG-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)F)CCC1 ZINC001443763707 1010640143 /nfs/dbraw/zinc/64/01/43/1010640143.db2.gz ZSZPAEVECCRWEB-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccnnc1)NC(=O)C(F)C(F)(F)F ZINC001444052247 1010825683 /nfs/dbraw/zinc/82/56/83/1010825683.db2.gz RDVJNDOOEJLCBC-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccnnc1)NC(=O)[C@H](F)C(F)(F)F ZINC001444052247 1010825685 /nfs/dbraw/zinc/82/56/85/1010825685.db2.gz RDVJNDOOEJLCBC-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423080201 1010870376 /nfs/dbraw/zinc/87/03/76/1010870376.db2.gz XHPDEYBLOBUKAT-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1conc1CN[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001423214641 1010985544 /nfs/dbraw/zinc/98/55/44/1010985544.db2.gz NWTDIWVJXXJBHS-CBAPKCEASA-N 0 2 313.251 0.450 20 0 DCADLN Cn1ccnc1CN[C@H](CO)CNC(=O)c1ccccc1Cl ZINC001423283281 1011046148 /nfs/dbraw/zinc/04/61/48/1011046148.db2.gz NDGXFMWRCKHDOS-NSHDSACASA-N 0 2 322.796 0.954 20 0 DCADLN C[C@H]1[C@H](NC(=O)CC(F)(F)F)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423373532 1011108239 /nfs/dbraw/zinc/10/82/39/1011108239.db2.gz LGJZNKSKWNYKFM-JGVFFNPUSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@H]1[C@H](NC(=O)CC(F)(F)F)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423373532 1011108243 /nfs/dbraw/zinc/10/82/43/1011108243.db2.gz LGJZNKSKWNYKFM-JGVFFNPUSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@H]1[C@H](NC(=O)CC(F)(F)F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001423373532 1011108245 /nfs/dbraw/zinc/10/82/45/1011108245.db2.gz LGJZNKSKWNYKFM-JGVFFNPUSA-N 0 2 321.303 0.932 20 0 DCADLN Cc1ccc(CC(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001445090822 1011396816 /nfs/dbraw/zinc/39/68/16/1011396816.db2.gz MMWQUMBMHDTINP-UHFFFAOYSA-N 0 2 318.381 0.347 20 0 DCADLN Cc1ccc(CC(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001445090822 1011396826 /nfs/dbraw/zinc/39/68/26/1011396826.db2.gz MMWQUMBMHDTINP-UHFFFAOYSA-N 0 2 318.381 0.347 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)c1cncs1 ZINC001431602351 1011449665 /nfs/dbraw/zinc/44/96/65/1011449665.db2.gz SPVXEFAYFMJZHH-MRVPVSSYSA-N 0 2 307.379 0.652 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnco1)C(F)C(F)(F)F ZINC001534848758 1011698334 /nfs/dbraw/zinc/69/83/34/1011698334.db2.gz BTBFMFOCINYRKE-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnco1)[C@H](F)C(F)(F)F ZINC001534848758 1011698339 /nfs/dbraw/zinc/69/83/39/1011698339.db2.gz BTBFMFOCINYRKE-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN Cc1ccc2c(c1)C(=O)O[C@@](C)(C(=O)NCc1n[nH]c(=O)[nH]1)C2 ZINC001445413269 1011744200 /nfs/dbraw/zinc/74/42/00/1011744200.db2.gz JTBVWHGQWAXBRY-OAHLLOKOSA-N 0 2 316.317 0.607 20 0 DCADLN COC[C@@H](C)C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001535696448 1011961091 /nfs/dbraw/zinc/96/10/91/1011961091.db2.gz HYORUOGYLCNIBM-SNVBAGLBSA-N 0 2 308.382 0.308 20 0 DCADLN COCC[C@H](C)C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001535697502 1011961829 /nfs/dbraw/zinc/96/18/29/1011961829.db2.gz QZLGZGNNOQFXLB-NSHDSACASA-N 0 2 322.409 0.698 20 0 DCADLN CO[C@@H]1CN(C(=O)C(F)F)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001445615390 1012002483 /nfs/dbraw/zinc/00/24/83/1012002483.db2.gz NUGGOEZGFZLDRN-HSUXUTPPSA-N 0 2 322.205 0.494 20 0 DCADLN CO[C@@H]1CN(C(=O)C(F)F)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001445615390 1012002502 /nfs/dbraw/zinc/00/25/02/1012002502.db2.gz NUGGOEZGFZLDRN-HSUXUTPPSA-N 0 2 322.205 0.494 20 0 DCADLN CCC(CC)C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001424112244 1012021279 /nfs/dbraw/zinc/02/12/79/1012021279.db2.gz YZMVEYHSRMQJHG-LLVKDONJSA-N 0 2 311.386 0.264 20 0 DCADLN Cn1cnc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001432193204 1012115455 /nfs/dbraw/zinc/11/54/55/1012115455.db2.gz LLPYKTXYJPBKIV-ZCFIWIBFSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1cnc(C(=O)NC2(CNC(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001432193204 1012115468 /nfs/dbraw/zinc/11/54/68/1012115468.db2.gz LLPYKTXYJPBKIV-ZCFIWIBFSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1nncc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001432194514 1012117563 /nfs/dbraw/zinc/11/75/63/1012117563.db2.gz WDSQLBCHPYPKGH-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1nncc1C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001432194514 1012117568 /nfs/dbraw/zinc/11/75/68/1012117568.db2.gz WDSQLBCHPYPKGH-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001445693810 1012120547 /nfs/dbraw/zinc/12/05/47/1012120547.db2.gz SKKHWUXLDZYPPL-WDEREUQCSA-N 0 2 306.370 0.510 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001445693810 1012120559 /nfs/dbraw/zinc/12/05/59/1012120559.db2.gz SKKHWUXLDZYPPL-WDEREUQCSA-N 0 2 306.370 0.510 20 0 DCADLN Cc1cnn(C)c1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001458260892 1012121454 /nfs/dbraw/zinc/12/14/54/1012121454.db2.gz LLHAHBGYVZZRKN-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cnn(C)c1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001458260892 1012121468 /nfs/dbraw/zinc/12/14/68/1012121468.db2.gz LLHAHBGYVZZRKN-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN CCC(=O)NCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001458361208 1012152771 /nfs/dbraw/zinc/15/27/71/1012152771.db2.gz ZYGPZRLRXZQMQV-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)NCC(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001458361208 1012152782 /nfs/dbraw/zinc/15/27/82/1012152782.db2.gz ZYGPZRLRXZQMQV-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN C[C@H](CN(C)Cc1ncnn1C)NC(=O)C(F)C(F)(F)F ZINC001445717851 1012159912 /nfs/dbraw/zinc/15/99/12/1012159912.db2.gz DNTVBONZGVLXLR-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CN(C)Cc1ncnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001445717851 1012159926 /nfs/dbraw/zinc/15/99/26/1012159926.db2.gz DNTVBONZGVLXLR-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC001556207897 1012190833 /nfs/dbraw/zinc/19/08/33/1012190833.db2.gz QMDXVADIFZRCAS-SECBINFHSA-N 0 2 313.321 0.908 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC001556207897 1012190849 /nfs/dbraw/zinc/19/08/49/1012190849.db2.gz QMDXVADIFZRCAS-SECBINFHSA-N 0 2 313.321 0.908 20 0 DCADLN CC[N@@H+](C)C(C)(C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001432325007 1012323954 /nfs/dbraw/zinc/32/39/54/1012323954.db2.gz QNKMNZWQRFWVAW-UHFFFAOYSA-N 0 2 321.425 0.448 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)cn1 ZINC001458735018 1012332616 /nfs/dbraw/zinc/33/26/16/1012332616.db2.gz YQTWDCAADVHURE-IUCAKERBSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001458735018 1012332633 /nfs/dbraw/zinc/33/26/33/1012332633.db2.gz YQTWDCAADVHURE-IUCAKERBSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc3c(c2)C(C)(C)C(=O)N3)n1 ZINC001424581441 1012677649 /nfs/dbraw/zinc/67/76/49/1012677649.db2.gz CMKGCNUFNXTCPZ-UHFFFAOYSA-N 0 2 322.350 0.241 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2ccn(C)n2)C1 ZINC001446361929 1012777876 /nfs/dbraw/zinc/77/78/76/1012777876.db2.gz MWQJDEUKQGYVCZ-JTQLQIEISA-N 0 2 308.279 0.963 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(Cc2ccn(C)n2)C1 ZINC001446361929 1012777889 /nfs/dbraw/zinc/77/78/89/1012777889.db2.gz MWQJDEUKQGYVCZ-JTQLQIEISA-N 0 2 308.279 0.963 20 0 DCADLN Cc1ccsc1[C@H](CO)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001446693754 1012909446 /nfs/dbraw/zinc/90/94/46/1012909446.db2.gz BLVVHHHTINTVDX-YUMQZZPRSA-N 0 2 313.404 0.763 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506645674 1017434548 /nfs/dbraw/zinc/43/45/48/1017434548.db2.gz SUAYCNJSGOPCMZ-SECBINFHSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001509870061 1017454219 /nfs/dbraw/zinc/45/42/19/1017454219.db2.gz CWZOTXFWKDGZCM-ZXFLCMHBSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001509870061 1017454240 /nfs/dbraw/zinc/45/42/40/1017454240.db2.gz CWZOTXFWKDGZCM-ZXFLCMHBSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]n1)CNC(=O)C(F)C(F)(F)F ZINC001500255352 1018348986 /nfs/dbraw/zinc/34/89/86/1018348986.db2.gz JFEHWCOQOJPTES-FSPLSTOPSA-N 0 2 311.239 0.187 20 0 DCADLN Cc1nocc1C[N@H+]1CCC[C@@H]1CNC(=O)CCc1nc[nH]n1 ZINC001493095977 1019090755 /nfs/dbraw/zinc/09/07/55/1019090755.db2.gz YGDYPCRNIIINGX-CYBMUJFWSA-N 0 2 318.381 0.815 20 0 DCADLN O=C(CCOCC1CC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493099480 1019101780 /nfs/dbraw/zinc/10/17/80/1019101780.db2.gz UTHLTZNERFACDA-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CCOCC1CC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493099480 1019101791 /nfs/dbraw/zinc/10/17/91/1019101791.db2.gz UTHLTZNERFACDA-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN Cn1cnnc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001498978449 1019611693 /nfs/dbraw/zinc/61/16/93/1019611693.db2.gz DUHHFNZEBYRITD-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cnnc1CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001498978449 1019611696 /nfs/dbraw/zinc/61/16/96/1019611696.db2.gz DUHHFNZEBYRITD-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN O=C(CC1CC(F)(F)C1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001499007602 1019638972 /nfs/dbraw/zinc/63/89/72/1019638972.db2.gz ORPUQLSZQZSOGH-SECBINFHSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1ncsc1C[NH2+]C[C@@H](O)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001496989391 1019886759 /nfs/dbraw/zinc/88/67/59/1019886759.db2.gz BQIOXCNKRBRJGJ-GFCCVEGCSA-N 0 2 323.422 0.374 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC001606329667 1170161078 /nfs/dbraw/zinc/16/10/78/1170161078.db2.gz DKBIICCHIZTLGU-TYNCELHUSA-N 0 2 315.366 0.663 20 0 DCADLN Cc1oc(S(=O)(=O)NCCc2cn(C)c[nH+]2)cc1C(=O)[O-] ZINC001610586393 1171260565 /nfs/dbraw/zinc/26/05/65/1171260565.db2.gz DVIPTFOSSOLHDI-UHFFFAOYSA-N 0 2 313.335 0.541 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCC[N@@H+]1CC(=O)NCCC1CCCCC1 ZINC001610664015 1171285201 /nfs/dbraw/zinc/28/52/01/1171285201.db2.gz MPVKUEXYDBMWSW-HIFRSBDPSA-N 0 2 312.410 0.983 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCC[N@H+]1CC(=O)NCCC1CCCCC1 ZINC001610664015 1171285218 /nfs/dbraw/zinc/28/52/18/1171285218.db2.gz MPVKUEXYDBMWSW-HIFRSBDPSA-N 0 2 312.410 0.983 20 0 DCADLN O=C([O-])CCCCCS(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC001610768282 1171318258 /nfs/dbraw/zinc/31/82/58/1171318258.db2.gz VBARTRPNWRYTRW-UHFFFAOYSA-N 0 2 301.368 0.715 20 0 DCADLN COC(=O)C[C@@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000265157223 186343436 /nfs/dbraw/zinc/34/34/36/186343436.db2.gz YZBVAEOZDIQUQO-ZCFIWIBFSA-N 0 2 306.278 0.117 20 0 DCADLN C[C@@H](NC(=O)C(=O)Nc1c2c(nn1C)CCC2)[C@H]1CCCCO1 ZINC000271939111 186410206 /nfs/dbraw/zinc/41/02/06/186410206.db2.gz AHZGYKKAECYXMM-ZWNOBZJWSA-N 0 2 320.393 0.921 20 0 DCADLN CCn1cc(C(=O)C(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)cn1 ZINC000331689469 234000683 /nfs/dbraw/zinc/00/06/83/234000683.db2.gz YISDHWAPRXDJRM-SNVBAGLBSA-N 0 2 318.337 0.663 20 0 DCADLN Cc1cccc(S(=O)(=O)Nc2ncn(CC(=O)N(C)C)n2)c1 ZINC000174008257 198280155 /nfs/dbraw/zinc/28/01/55/198280155.db2.gz AYDBSTNVZYUNCE-UHFFFAOYSA-N 0 2 323.378 0.476 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)c2cccc(C)c2)n1 ZINC000174010305 198280248 /nfs/dbraw/zinc/28/02/48/198280248.db2.gz WBGMSPLRJNZZEJ-UHFFFAOYSA-N 0 2 309.351 0.133 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)c2cnn(C)c2C)c1N ZINC000427312603 240357330 /nfs/dbraw/zinc/35/73/30/240357330.db2.gz GWGPYYFLXRVKDH-UHFFFAOYSA-N 0 2 324.362 0.898 20 0 DCADLN CC(C)[C@H](C(=O)[O-])C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000566301295 291292413 /nfs/dbraw/zinc/29/24/13/291292413.db2.gz WQCBTRYJPJXCFI-ZDUSSCGKSA-N 0 2 321.377 0.891 20 0 DCADLN Cn1cc([C@@H]2N(C(=O)CSc3n[nH]c(=O)[nH]3)CC2(C)C)cn1 ZINC000566354475 291296581 /nfs/dbraw/zinc/29/65/81/291296581.db2.gz RIGRORAEZINHIW-JTQLQIEISA-N 0 2 322.394 0.946 20 0 DCADLN O=C(OC[C@@H]1CNC(=O)O1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000496344916 241245099 /nfs/dbraw/zinc/24/50/99/241245099.db2.gz MBHBBPNISHXHGW-JTQLQIEISA-N 0 2 321.264 0.982 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1CCO)[C@H]1CCc2c[nH+]cn2C1 ZINC000567967049 291394335 /nfs/dbraw/zinc/39/43/35/291394335.db2.gz GOKCJJVKNNFTBX-UONOGXRCSA-N 0 2 306.410 0.409 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2cc(F)c(O)c(F)c2)CCO1 ZINC000331248568 291467661 /nfs/dbraw/zinc/46/76/61/291467661.db2.gz WWPNENCOXYJBPD-JTQLQIEISA-N 0 2 301.245 0.684 20 0 DCADLN CC[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000571354631 291510712 /nfs/dbraw/zinc/51/07/12/291510712.db2.gz GZSAQSUSQZYOLT-SSDOTTSWSA-N 0 2 316.383 0.839 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cnn(CC(=O)OC)c2)cn1 ZINC000264888086 204240487 /nfs/dbraw/zinc/24/04/87/204240487.db2.gz YCTQUAAFSBGRQJ-UHFFFAOYSA-N 0 2 313.339 0.073 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1ccccc1C(=O)OC ZINC000042301688 183251847 /nfs/dbraw/zinc/25/18/47/183251847.db2.gz LZTOMTPZLIZHOC-UHFFFAOYSA-N 0 2 301.320 0.778 20 0 DCADLN NC(=O)[C@H]1CC[C@H](CNC(=O)c2cc(F)c(O)c(F)c2)O1 ZINC000273887593 211144828 /nfs/dbraw/zinc/14/48/28/211144828.db2.gz UQLNRCXWCLDZOA-GMSGAONNSA-N 0 2 300.261 0.433 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)Nc2nc(SC)n[nH]2)co1 ZINC000339393063 253076186 /nfs/dbraw/zinc/07/61/86/253076186.db2.gz SGEUAQAUXIOYMO-UHFFFAOYSA-N 0 2 317.352 0.280 20 0 DCADLN O=S(=O)(Nc1nncs1)c1ccc(-n2cnnn2)cc1 ZINC000339417660 253081199 /nfs/dbraw/zinc/08/11/99/253081199.db2.gz XPURBMCDUVGWJF-UHFFFAOYSA-N 0 2 309.336 0.315 20 0 DCADLN CCn1nncc1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000339691973 253127760 /nfs/dbraw/zinc/12/77/60/253127760.db2.gz TWMOWZDRECORDM-UHFFFAOYSA-N 0 2 300.278 0.894 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc([C@@H]3CCCO3)nn2C)c1O ZINC000340792036 253303832 /nfs/dbraw/zinc/30/38/32/253303832.db2.gz ISISMNGHEUKUSX-ONGXEEELSA-N 0 2 322.321 0.260 20 0 DCADLN CNC(=O)NCCC(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000341342815 253369364 /nfs/dbraw/zinc/36/93/64/253369364.db2.gz MNLGWTGPYVSZCX-UHFFFAOYSA-N 0 2 323.378 0.674 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2cc(OC)cc(C)n2)n[nH]1 ZINC000341345005 253369982 /nfs/dbraw/zinc/36/99/82/253369982.db2.gz HTPVDNMTEHGFBB-UHFFFAOYSA-N 0 2 312.351 0.637 20 0 DCADLN CCNC(=O)COc1ccc(NS(=O)(=O)c2c[nH]cn2)cc1 ZINC000346684751 254082635 /nfs/dbraw/zinc/08/26/35/254082635.db2.gz PIZWOTBTEKOKPE-UHFFFAOYSA-N 0 2 324.362 0.725 20 0 DCADLN Cc1nc(CNC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)no1 ZINC000346816918 254098815 /nfs/dbraw/zinc/09/88/15/254098815.db2.gz FJIJGYGBDDBLPR-UHFFFAOYSA-N 0 2 316.277 0.366 20 0 DCADLN Cc1cc(F)ccc1-n1cc(C(=O)NCc2n[nH]c(=O)[nH]2)nn1 ZINC000348557012 254244454 /nfs/dbraw/zinc/24/44/54/254244454.db2.gz PXCMYOZYTFLNBL-UHFFFAOYSA-N 0 2 317.284 0.469 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn(-c3ccccc3)c2)c1O ZINC000348729861 254250766 /nfs/dbraw/zinc/25/07/66/254250766.db2.gz PDSVZRSFRLCWGR-LBPRGKRZSA-N 0 2 314.301 0.860 20 0 DCADLN CNC(=O)Cn1nc(NS(=O)(=O)c2ccsc2)cc1C ZINC000350891635 254328597 /nfs/dbraw/zinc/32/85/97/254328597.db2.gz ASECEDRCBGQGID-UHFFFAOYSA-N 0 2 314.392 0.800 20 0 DCADLN Cc1c(C(F)(F)F)nn(C)c1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000350663181 254323055 /nfs/dbraw/zinc/32/30/55/254323055.db2.gz RAADLQNNVZRTJO-UHFFFAOYSA-N 0 2 319.247 0.893 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccccc1Cn1cncn1 ZINC000351597520 254350969 /nfs/dbraw/zinc/35/09/69/254350969.db2.gz BDKBRGYYTZPQLB-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN Cc1cc(C(=O)N2CCC(=O)CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000351842414 254373735 /nfs/dbraw/zinc/37/37/35/254373735.db2.gz MIDNLJJKCYHMHL-UHFFFAOYSA-N 0 2 302.290 0.550 20 0 DCADLN CO[C@H](CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)C1CC1 ZINC000294632256 533181824 /nfs/dbraw/zinc/18/18/24/533181824.db2.gz QPBPKMHBJAKKPM-SNVBAGLBSA-N 0 2 318.333 0.899 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)N[C@H]1CCc2c1cccc2O ZINC000283955645 131036506 /nfs/dbraw/zinc/03/65/06/131036506.db2.gz IEWAPBBCTDTHLD-ZDUSSCGKSA-N 0 2 314.345 0.844 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)Nc1ccccc1S(C)(=O)=O ZINC000284038374 131042359 /nfs/dbraw/zinc/04/23/59/131042359.db2.gz KOORKVNCVHZEFV-VIFPVBQESA-N 0 2 307.393 0.867 20 0 DCADLN O=C(c1cccc(Cl)c1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092618115 395732459 /nfs/dbraw/zinc/73/24/59/395732459.db2.gz ROCDUFUBNWPFNG-UHFFFAOYSA-N 0 2 321.768 0.709 20 0 DCADLN Cc1ccc2nc(SCc3n[nH]c(=O)[nH]3)n(C)c(=O)c2c1 ZINC000091582159 395726748 /nfs/dbraw/zinc/72/67/48/395726748.db2.gz ZLJTUVVOIBUIGH-UHFFFAOYSA-N 0 2 303.347 0.946 20 0 DCADLN CN(C)C(=O)Cc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000040827327 395735186 /nfs/dbraw/zinc/73/51/86/395735186.db2.gz MGVOBRAQGROOSS-UHFFFAOYSA-N 0 2 308.363 0.841 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)n1 ZINC000042625500 395746966 /nfs/dbraw/zinc/74/69/66/395746966.db2.gz IIIJCWLSIPQTQW-UHFFFAOYSA-N 0 2 320.330 0.447 20 0 DCADLN CC[C@H]1CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C[C@H]1O ZINC000270552302 395782974 /nfs/dbraw/zinc/78/29/74/395782974.db2.gz HMKJNXZFGRAYRN-WCBMZHEXSA-N 0 2 318.333 0.587 20 0 DCADLN Cc1sc(C(=O)[O-])cc1C[NH+]1CCN(S(C)(=O)=O)CC1 ZINC000122761698 395889399 /nfs/dbraw/zinc/88/93/99/395889399.db2.gz UEBGIXAKZAWHNN-UHFFFAOYSA-N 0 2 318.420 0.832 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCCNC(C)=O)=N2)cc1 ZINC000272482587 395894231 /nfs/dbraw/zinc/89/42/31/395894231.db2.gz QTTXYTHLXBKXCW-UHFFFAOYSA-N 0 2 318.333 0.452 20 0 DCADLN CCOC(=O)c1ccc(C(=O)NN2CCCNC2=O)nc1C ZINC000273125211 395901987 /nfs/dbraw/zinc/90/19/87/395901987.db2.gz UAVNBXORGQFEPT-UHFFFAOYSA-N 0 2 306.322 0.627 20 0 DCADLN O=C(Nc1ccccc1)[C@@H]1CCCN(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000128075188 395917198 /nfs/dbraw/zinc/91/71/98/395917198.db2.gz IWECUHKNKZEXEX-SNVBAGLBSA-N 0 2 315.333 0.589 20 0 DCADLN CC(C)(CCCO)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000271471824 395867620 /nfs/dbraw/zinc/86/76/20/395867620.db2.gz YYKNUZOYWRVYJQ-UHFFFAOYSA-N 0 2 320.349 0.965 20 0 DCADLN Cc1ccc2nc(CSCC(=O)NOCC(N)=O)cn2c1 ZINC000272184225 395875768 /nfs/dbraw/zinc/87/57/68/395875768.db2.gz DLKQYMUHWSKSPE-UHFFFAOYSA-N 0 2 308.363 0.409 20 0 DCADLN CCOC(=O)c1cc(S(=O)(=O)Nc2noc(C)n2)cn1C ZINC000273694206 395936980 /nfs/dbraw/zinc/93/69/80/395936980.db2.gz BTZAIYCXXNIEEQ-UHFFFAOYSA-N 0 2 314.323 0.694 20 0 DCADLN O=S(=O)(NCc1nnc(COc2ccccc2)[nH]1)C1COC1 ZINC000276931845 396037156 /nfs/dbraw/zinc/03/71/56/396037156.db2.gz AKROOUJOWBXHSJ-UHFFFAOYSA-N 0 2 324.362 0.202 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(C(N)=O)c(F)c2)cn1 ZINC000066584925 396010592 /nfs/dbraw/zinc/01/05/92/396010592.db2.gz BMSJLDJJZOVORY-UHFFFAOYSA-N 0 2 312.326 0.942 20 0 DCADLN COc1ccc(NC(=O)NC(=O)C[N@@H+]2CCC[C@H]2C(=O)[O-])cc1 ZINC000262107360 396099633 /nfs/dbraw/zinc/09/96/33/396099633.db2.gz JXGZZTHPSLLRPJ-LBPRGKRZSA-N 0 2 321.333 0.892 20 0 DCADLN COc1ccc(NC(=O)NC(=O)C[N@H+]2CCC[C@H]2C(=O)[O-])cc1 ZINC000262107360 396099634 /nfs/dbraw/zinc/09/96/34/396099634.db2.gz JXGZZTHPSLLRPJ-LBPRGKRZSA-N 0 2 321.333 0.892 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+](Cc1cccs1)C[C@@H]1CCCO1 ZINC000262216223 396112097 /nfs/dbraw/zinc/11/20/97/396112097.db2.gz KPMVXBPNXXTXKC-NSHDSACASA-N 0 2 312.391 0.930 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+](Cc1cccs1)C[C@@H]1CCCO1 ZINC000262216223 396112100 /nfs/dbraw/zinc/11/21/00/396112100.db2.gz KPMVXBPNXXTXKC-NSHDSACASA-N 0 2 312.391 0.930 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])[C@@H]1CCN(c2ccccc2F)C1=O ZINC000262230626 396113896 /nfs/dbraw/zinc/11/38/96/396113896.db2.gz MZUZNSYWEFTDTP-GFCCVEGCSA-N 0 2 323.324 0.064 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])[C@@H]1CCN(c2ccccc2F)C1=O ZINC000262230626 396113898 /nfs/dbraw/zinc/11/38/98/396113898.db2.gz MZUZNSYWEFTDTP-GFCCVEGCSA-N 0 2 323.324 0.064 20 0 DCADLN CC(C)Sc1n[nH]c(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)n1 ZINC000278527658 396129643 /nfs/dbraw/zinc/12/96/43/396129643.db2.gz HUJZZZJBJNIUIR-ZETCQYMHSA-N 0 2 321.428 0.524 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2noc3c2CCCC3)C1=O ZINC000278566713 396131530 /nfs/dbraw/zinc/13/15/30/396131530.db2.gz BTVFGSDXMXMYNU-AWEZNQCLSA-N 0 2 306.322 0.919 20 0 DCADLN CN1CC(=O)N(Cc2nnc(COc3ccccc3)[nH]2)C1=O ZINC000277616493 396077663 /nfs/dbraw/zinc/07/76/63/396077663.db2.gz BBHPYCRKBLLETJ-UHFFFAOYSA-N 0 2 301.306 0.778 20 0 DCADLN CC[C@@H](NC(=O)c1cccc2[nH]nnc21)C(=O)N1CCOCC1 ZINC000180138859 396230463 /nfs/dbraw/zinc/23/04/63/396230463.db2.gz VRSGMJUQOIKAPG-LLVKDONJSA-N 0 2 317.349 0.325 20 0 DCADLN CCCCOCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000265382540 396311753 /nfs/dbraw/zinc/31/17/53/396311753.db2.gz KHJKKTZLAHEMMG-UHFFFAOYSA-N 0 2 308.385 0.665 20 0 DCADLN C[C@@H]1C[C@H](C)CN1S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000083995495 396318548 /nfs/dbraw/zinc/31/85/48/396318548.db2.gz YSIRWDMDTWWAFN-JGVFFNPUSA-N 0 2 324.362 0.443 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H](C)S1 ZINC000080948446 396289296 /nfs/dbraw/zinc/28/92/96/396289296.db2.gz DZWGRYIJKWROSR-OCAPTIKFSA-N 0 2 320.374 0.990 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000184842258 396307811 /nfs/dbraw/zinc/30/78/11/396307811.db2.gz JNLYFGMOCQJREW-UHFFFAOYSA-N 0 2 316.354 0.850 20 0 DCADLN CCC1(CC)[C@H](OC)C[C@@H]1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000185865742 396335682 /nfs/dbraw/zinc/33/56/82/396335682.db2.gz NVDNQUUNBUEBKE-DTWKUNHWSA-N 0 2 314.411 0.900 20 0 DCADLN CC[NH+]1CCN(C(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)CC1 ZINC000265771330 396342817 /nfs/dbraw/zinc/34/28/17/396342817.db2.gz DYONUMGDDXHWGA-UHFFFAOYSA-N 0 2 317.349 0.522 20 0 DCADLN CCS(=O)(=O)NCCNC(=O)c1cc(F)c(O)c(F)c1 ZINC000186593275 396353095 /nfs/dbraw/zinc/35/30/95/396353095.db2.gz MJNBEYITSVOAHK-UHFFFAOYSA-N 0 2 308.306 0.340 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)CCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000269577614 396426001 /nfs/dbraw/zinc/42/60/01/396426001.db2.gz JRHFBUTWKFHMLM-SSDOTTSWSA-N 0 2 306.322 0.493 20 0 DCADLN CO[C@](C)(C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CC1 ZINC000291530533 396496572 /nfs/dbraw/zinc/49/65/72/396496572.db2.gz SZJJGBGWSBDULN-JTQLQIEISA-N 0 2 320.396 0.663 20 0 DCADLN COC(=O)CCCONC(=O)CNC(=O)OCc1ccccc1 ZINC000290693036 396470356 /nfs/dbraw/zinc/47/03/56/396470356.db2.gz PZTVSCAOCOJHNR-UHFFFAOYSA-N 0 2 324.333 0.914 20 0 DCADLN COC(=O)CNC(=O)CNC(=O)c1cc(F)cc(Cl)c1O ZINC000581422664 396552367 /nfs/dbraw/zinc/55/23/67/396552367.db2.gz KIWHWZWLQHOXLR-UHFFFAOYSA-N 0 2 318.688 0.204 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cc(F)cc3n[nH]nc32)C1=O ZINC000292765928 396567691 /nfs/dbraw/zinc/56/76/91/396567691.db2.gz GEZVDOPKLKXLNC-CYBMUJFWSA-N 0 2 320.284 0.462 20 0 DCADLN C[C@H]1C[C@H](C(=O)[O-])CN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000581726225 396579080 /nfs/dbraw/zinc/57/90/80/396579080.db2.gz DFZPXGGAKAFQOX-QWRGUYRKSA-N 0 2 315.333 0.845 20 0 DCADLN CC(C)[C@@H](CNC(=O)c1cnc(C(=O)[O-])cn1)[NH+]1CCOCC1 ZINC000563114527 396704545 /nfs/dbraw/zinc/70/45/45/396704545.db2.gz GOLUQMDBPVRNLG-CYBMUJFWSA-N 0 2 322.365 0.261 20 0 DCADLN CC[C@@]1(O)CCCN(C(=O)[C@@H]2CC(=O)N(c3cnn(C)c3)C2)C1 ZINC000634196526 396812004 /nfs/dbraw/zinc/81/20/04/396812004.db2.gz AKXXGWMHUQWEBK-MLGOLLRUSA-N 0 2 320.393 0.537 20 0 DCADLN C[C@@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C1(CO)CC1 ZINC000617912447 396873821 /nfs/dbraw/zinc/87/38/21/396873821.db2.gz NEPZTGWDPKOWCG-SECBINFHSA-N 0 2 303.318 0.921 20 0 DCADLN CSCc1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000611546295 396884618 /nfs/dbraw/zinc/88/46/18/396884618.db2.gz ZLCLIZCESYMHSV-UHFFFAOYSA-N 0 2 321.362 0.628 20 0 DCADLN COc1ccc(C(=O)[O-])c(S(=O)(=O)N2CC[NH+](C)CC2)c1 ZINC000634653107 396894017 /nfs/dbraw/zinc/89/40/17/396894017.db2.gz IXYYUIZXENWTCJ-UHFFFAOYSA-N 0 2 314.363 0.330 20 0 DCADLN C[C@H]1C[NH+](C2CC2)C[C@@H]1NC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000332926018 396908459 /nfs/dbraw/zinc/90/84/59/396908459.db2.gz JTZYFRXKUIZLGP-ZFWWWQNUSA-N 0 2 324.469 0.879 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cc(OC)n[nH]2)c(C)o1 ZINC000600661399 396908510 /nfs/dbraw/zinc/90/85/10/396908510.db2.gz WSGQZARLAKTKEU-UHFFFAOYSA-N 0 2 315.307 0.907 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C1CC1 ZINC000597324384 396942082 /nfs/dbraw/zinc/94/20/82/396942082.db2.gz IGYILXXXJNMAFP-NSHDSACASA-N 0 2 317.301 0.711 20 0 DCADLN CC(C)(C)OC(=O)C1(C(=O)NCc2n[nH]c(=O)[nH]2)CC=CC1 ZINC000597336806 396945480 /nfs/dbraw/zinc/94/54/80/396945480.db2.gz LSAOZFMHWLDWPS-UHFFFAOYSA-N 0 2 308.338 0.805 20 0 DCADLN COc1ccnc(CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)c1 ZINC000571525897 396960715 /nfs/dbraw/zinc/96/07/15/396960715.db2.gz IVECZHRHYBOKBH-UHFFFAOYSA-N 0 2 317.349 0.863 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(CC(=O)[O-])CCOCC1 ZINC000630217263 396963244 /nfs/dbraw/zinc/96/32/44/396963244.db2.gz KCDMNQBVLGKXEV-LLVKDONJSA-N 0 2 309.366 0.976 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(CC(=O)[O-])CCOCC1 ZINC000630217263 396963246 /nfs/dbraw/zinc/96/32/46/396963246.db2.gz KCDMNQBVLGKXEV-LLVKDONJSA-N 0 2 309.366 0.976 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)N1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000630218074 396965139 /nfs/dbraw/zinc/96/51/39/396965139.db2.gz CNANHIKFKJZBSJ-ZENOOKHLSA-N 0 2 310.394 0.811 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)N1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000630218074 396965143 /nfs/dbraw/zinc/96/51/43/396965143.db2.gz CNANHIKFKJZBSJ-ZENOOKHLSA-N 0 2 310.394 0.811 20 0 DCADLN C[C@@H](NC(=O)c1ccc(NS(C)(=O)=O)nc1)c1nnc[nH]1 ZINC000625938723 397035174 /nfs/dbraw/zinc/03/51/74/397035174.db2.gz XSNRDSTVLBYYCP-SSDOTTSWSA-N 0 2 310.339 0.062 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C[C@H]1CCCOC1)C(=O)[O-] ZINC000630267200 396975888 /nfs/dbraw/zinc/97/58/88/396975888.db2.gz HKZNDTQYVRWDAW-WZRBSPASSA-N 0 2 309.366 0.974 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C[C@H]1CCCOC1)C(=O)[O-] ZINC000630267200 396975893 /nfs/dbraw/zinc/97/58/93/396975893.db2.gz HKZNDTQYVRWDAW-WZRBSPASSA-N 0 2 309.366 0.974 20 0 DCADLN CC(C)c1cc(NS(=O)(=O)CCCS(N)(=O)=O)no1 ZINC000349449521 397073863 /nfs/dbraw/zinc/07/38/63/397073863.db2.gz LBVSIGIZYMONEM-UHFFFAOYSA-N 0 2 311.385 0.218 20 0 DCADLN COC[C@H](CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)OC ZINC000613374939 397250179 /nfs/dbraw/zinc/25/01/79/397250179.db2.gz PURJFPURLFJVKN-NSHDSACASA-N 0 2 307.306 0.421 20 0 DCADLN O=C([O-])C[C@H]1CN(c2cc(N3CCSCC3)[nH+]cn2)CCO1 ZINC000574403523 397264300 /nfs/dbraw/zinc/26/43/00/397264300.db2.gz FVMOOPYSIXVWDS-NSHDSACASA-N 0 2 324.406 0.710 20 0 DCADLN O=C([O-])C[C@H]1CN(c2cc(N3CCSCC3)nc[nH+]2)CCO1 ZINC000574403523 397264304 /nfs/dbraw/zinc/26/43/04/397264304.db2.gz FVMOOPYSIXVWDS-NSHDSACASA-N 0 2 324.406 0.710 20 0 DCADLN CC(C)(CO)[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C1CC1 ZINC000577768059 397360971 /nfs/dbraw/zinc/36/09/71/397360971.db2.gz TYYKJWOZJAYGMM-SECBINFHSA-N 0 2 300.384 0.516 20 0 DCADLN CC(C)(CO)[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C1CC1 ZINC000577768060 397361056 /nfs/dbraw/zinc/36/10/56/397361056.db2.gz TYYKJWOZJAYGMM-VIFPVBQESA-N 0 2 300.384 0.516 20 0 DCADLN CC1=NN(c2ccc(S(=O)(=O)N3CCCC3)cn2)C(=O)C1 ZINC000614186702 397394886 /nfs/dbraw/zinc/39/48/86/397394886.db2.gz GMXKFVCTTLOZHU-UHFFFAOYSA-N 0 2 308.363 0.979 20 0 DCADLN Cc1cc(=O)n(-c2ccc(S(=O)(=O)N3CCCC3)cn2)[nH]1 ZINC000614186702 397394893 /nfs/dbraw/zinc/39/48/93/397394893.db2.gz GMXKFVCTTLOZHU-UHFFFAOYSA-N 0 2 308.363 0.979 20 0 DCADLN O=C(c1ncnc2[nH]ccc21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614519423 397462760 /nfs/dbraw/zinc/46/27/60/397462760.db2.gz SMFKEGDPWDUWDK-MRVPVSSYSA-N 0 2 313.321 0.753 20 0 DCADLN COc1ccc(OCC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1C ZINC000487939562 397478649 /nfs/dbraw/zinc/47/86/49/397478649.db2.gz BQDMKMXJUHQFIB-UHFFFAOYSA-N 0 2 320.349 0.955 20 0 DCADLN CCN(CCOC)c1cccc(CN2CCOC[C@H]2C(=O)[O-])[nH+]1 ZINC000579602591 397583906 /nfs/dbraw/zinc/58/39/06/397583906.db2.gz JOVPXTRXMOVXTB-AWEZNQCLSA-N 0 2 323.393 0.840 20 0 DCADLN CC[C@](COC)(NC(=O)c1cccc2[nH]nnc21)C(=O)OC ZINC000579925204 397597670 /nfs/dbraw/zinc/59/76/70/397597670.db2.gz ZNESKUMRZWFSDY-CQSZACIVSA-N 0 2 306.322 0.656 20 0 DCADLN O=C(NCCc1ccccn1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000047403410 158002733 /nfs/dbraw/zinc/00/27/33/158002733.db2.gz IDCGFJRYLFDLFH-UHFFFAOYSA-N 0 2 311.301 0.391 20 0 DCADLN CC(C)(C)C(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC000066492652 158036600 /nfs/dbraw/zinc/03/66/00/158036600.db2.gz OHLXFMMEUIHNRL-UHFFFAOYSA-N 0 2 317.393 0.713 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(CNC(N)=O)cc2)cn1 ZINC000067124125 158039506 /nfs/dbraw/zinc/03/95/06/158039506.db2.gz JRJOCQSAGDSJOR-UHFFFAOYSA-N 0 2 309.351 0.389 20 0 DCADLN Cc1ccc(CCNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)cc1 ZINC000116206924 158164320 /nfs/dbraw/zinc/16/43/20/158164320.db2.gz IVZBMWWDGYNOGU-UHFFFAOYSA-N 0 2 324.340 0.892 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CC(=O)N(C3CC3)C1)c2=O ZINC000119314385 158185024 /nfs/dbraw/zinc/18/50/24/158185024.db2.gz HRPCQIIQYCKWBJ-MRVPVSSYSA-N 0 2 315.333 0.167 20 0 DCADLN CCCCCN(CC(N)=O)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119497266 158187398 /nfs/dbraw/zinc/18/73/98/158187398.db2.gz ASLFPUCMWIWAIP-UHFFFAOYSA-N 0 2 319.365 0.792 20 0 DCADLN Cc1cc[nH+]c(N2CCN(C(=O)CCc3nnc[nH]3)CC2)c1 ZINC000162665276 158360230 /nfs/dbraw/zinc/36/02/30/158360230.db2.gz HUDKLKQOKFNVLP-UHFFFAOYSA-N 0 2 300.366 0.790 20 0 DCADLN CN(C)c1nc2cc(NC(=O)NCc3n[nH]c(=O)[nH]3)ccc2o1 ZINC000164886153 158363455 /nfs/dbraw/zinc/36/34/55/158363455.db2.gz QZDLZMSMFRQEQX-UHFFFAOYSA-N 0 2 317.309 0.627 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)[C@@H]3CCCOC3)CC2)[nH]1 ZINC000328843867 159034179 /nfs/dbraw/zinc/03/41/79/159034179.db2.gz PSXCMNLUJFHCCH-SNVBAGLBSA-N 0 2 316.383 0.199 20 0 DCADLN O=C(NCc1ccc(F)cn1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000358479312 159255397 /nfs/dbraw/zinc/25/53/97/159255397.db2.gz SWGWJWRSRIGXFG-UHFFFAOYSA-N 0 2 315.264 0.900 20 0 DCADLN Cc1ccncc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000359254382 159257347 /nfs/dbraw/zinc/25/73/47/159257347.db2.gz BLXYCQKSIVASKJ-UHFFFAOYSA-N 0 2 313.364 0.865 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc(-c2ccccn2)s1 ZINC000359279783 159261442 /nfs/dbraw/zinc/26/14/42/159261442.db2.gz TUSYQCBFQLMORJ-UHFFFAOYSA-N 0 2 302.319 0.959 20 0 DCADLN CN(C[C@@H]1CCC[NH+](C)C1)C(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000368180141 159380166 /nfs/dbraw/zinc/38/01/66/159380166.db2.gz PTOBLTYAQUMVKL-ZBFHGGJFSA-N 0 2 324.469 0.833 20 0 DCADLN O=C(C1CC1)N1CCC(NS(=O)(=O)CC(F)(F)F)CC1 ZINC000408311297 160082337 /nfs/dbraw/zinc/08/23/37/160082337.db2.gz XMJRUVLQRMGAJB-UHFFFAOYSA-N 0 2 314.329 0.869 20 0 DCADLN Cc1ccc(OCC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1C ZINC000080973806 286927619 /nfs/dbraw/zinc/92/76/19/286927619.db2.gz MEUCQHZIPKCQNU-UHFFFAOYSA-N 0 2 304.350 0.843 20 0 DCADLN COC(=O)[C@H](CNC(=O)c1nc(=O)[nH][nH]1)Cc1cccc(C)c1 ZINC000106919454 286940320 /nfs/dbraw/zinc/94/03/20/286940320.db2.gz LQZDQQNBAYLGLI-NSHDSACASA-N 0 2 318.333 0.168 20 0 DCADLN CC(=O)c1c(C)[nH]c(C(=O)NCc2n[nH]c(=O)[nH]2)c1C(C)C ZINC000132909977 287007815 /nfs/dbraw/zinc/00/78/15/287007815.db2.gz QFGXWVAAKOQHRX-UHFFFAOYSA-N 0 2 305.338 0.991 20 0 DCADLN O=C(NC(CO)CO)c1cc(Cl)cc(Br)c1O ZINC000135220152 287020688 /nfs/dbraw/zinc/02/06/88/287020688.db2.gz MIPIDMFPCAAKTK-UHFFFAOYSA-N 0 2 324.558 0.891 20 0 DCADLN C[C@H]1C(=O)N(C)CCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000186841492 287057930 /nfs/dbraw/zinc/05/79/30/287057930.db2.gz LJTSNXWOOZXETN-NSHDSACASA-N 0 2 314.345 0.881 20 0 DCADLN CN1CC[N@@H+](C)C[C@H]1CNc1cc[nH+]c(N2CCCCC2)n1 ZINC000352364777 415150048 /nfs/dbraw/zinc/15/00/48/415150048.db2.gz IIYSDHIEFHSUPK-CQSZACIVSA-N 0 2 304.442 1.125 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1nc(-c2ccncc2)ns1 ZINC000342431774 415174378 /nfs/dbraw/zinc/17/43/78/415174378.db2.gz HGLAZYYULTZURX-UHFFFAOYSA-N 0 2 322.375 0.556 20 0 DCADLN O=S(=O)(Nc1cnccc1-n1ccnn1)c1cncc(F)c1 ZINC000342652919 415243645 /nfs/dbraw/zinc/24/36/45/415243645.db2.gz JACMBUHSEYRWGG-UHFFFAOYSA-N 0 2 320.309 0.997 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cnccc1-n1ccnn1 ZINC000342665959 415248319 /nfs/dbraw/zinc/24/83/19/415248319.db2.gz RDHVFEZDCHCYHP-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN COC(=O)[C@@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268473634 415221774 /nfs/dbraw/zinc/22/17/74/415221774.db2.gz RNNWUZNAMQHPNY-ZCFIWIBFSA-N 0 2 306.278 0.036 20 0 DCADLN O=C(NCCCN1C(=O)CNC1=O)c1cc(F)c(O)c(F)c1 ZINC000272597003 415290094 /nfs/dbraw/zinc/29/00/94/415290094.db2.gz JTEBIGYTEBHUCC-UHFFFAOYSA-N 0 2 313.260 0.342 20 0 DCADLN Cn1cnc(C(=O)Nc2nc(-c3ccccc3Cl)n[nH]2)n1 ZINC000352787272 415319747 /nfs/dbraw/zinc/31/97/47/415319747.db2.gz NSTKLIDVQWFOGP-UHFFFAOYSA-N 0 2 303.713 1.506 20 0 DCADLN COC(=O)[C@@H]1COCCN1C(=O)c1cc(F)c(F)c(O)c1F ZINC000273449125 415321385 /nfs/dbraw/zinc/32/13/85/415321385.db2.gz SSDUIFBOXGUUKX-QMMMGPOBSA-N 0 2 319.235 0.824 20 0 DCADLN C[C@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@H]1CO ZINC000275988388 415404756 /nfs/dbraw/zinc/40/47/56/415404756.db2.gz LUVSAISPESRCEZ-XVKPBYJWSA-N 0 2 304.306 0.279 20 0 DCADLN COc1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc(OC)c1C ZINC000080975132 415480753 /nfs/dbraw/zinc/48/07/53/415480753.db2.gz GABBWBPDIIENLD-UHFFFAOYSA-N 0 2 320.349 0.786 20 0 DCADLN CS(=O)(=O)c1ccc(N2CCC(c3n[nH]c(=O)[nH]3)CC2)nc1 ZINC000343076956 415434286 /nfs/dbraw/zinc/43/42/86/415434286.db2.gz YHAINSLVXCHXPM-UHFFFAOYSA-N 0 2 323.378 0.693 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2C=C[C@H](CO)C2)c1 ZINC000424735236 287313243 /nfs/dbraw/zinc/31/32/43/287313243.db2.gz KSDZIHRGJYMGOA-QLMNROTDSA-N 0 2 309.387 0.856 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1COc2ccccc2O1 ZINC000343549326 415632630 /nfs/dbraw/zinc/63/26/30/415632630.db2.gz DSIDVIMLVGVYBQ-NSHDSACASA-N 0 2 304.306 0.399 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@H]3CCCO3)CC2)[nH+]c1 ZINC000107834577 415670797 /nfs/dbraw/zinc/67/07/97/415670797.db2.gz FEEFHDBFFYFNPB-GFCCVEGCSA-N 0 2 305.334 0.607 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N[C@@H]2CNC(=O)C2)=N1 ZINC000353939319 415694514 /nfs/dbraw/zinc/69/45/14/415694514.db2.gz MXPILTSYUUMCFK-JTQLQIEISA-N 0 2 318.308 0.644 20 0 DCADLN CC(=O)[C@H](NC(=O)CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC000344111884 415840247 /nfs/dbraw/zinc/84/02/47/415840247.db2.gz RGWPZQYQFSINHU-DGCLKSJQSA-N 0 2 323.397 0.420 20 0 DCADLN CC(=O)N1CCc2cc(NC(=O)NCc3n[nH]c(=O)[nH]3)ccc21 ZINC000354333480 415791567 /nfs/dbraw/zinc/79/15/67/415791567.db2.gz ZCHDWOSPLGNPAU-UHFFFAOYSA-N 0 2 316.321 0.741 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc3c2OCC(=O)N3)c1O ZINC000290481346 415808789 /nfs/dbraw/zinc/80/87/89/415808789.db2.gz GBPXFCCXZIJHIX-SNVBAGLBSA-N 0 2 319.273 0.005 20 0 DCADLN CCn1cnnc1NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000356179167 415875275 /nfs/dbraw/zinc/87/52/75/415875275.db2.gz FQMYIAZOBOOIER-UHFFFAOYSA-N 0 2 315.293 0.608 20 0 DCADLN CC(C)NC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000128840398 415901727 /nfs/dbraw/zinc/90/17/27/415901727.db2.gz YTPDYIWWRHEEMY-UHFFFAOYSA-N 0 2 318.337 0.558 20 0 DCADLN C[C@H](C[S@@](C)=O)N(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344392293 415904293 /nfs/dbraw/zinc/90/42/93/415904293.db2.gz LPDZGHORMNXIBG-IJSAXESFSA-N 0 2 310.379 0.513 20 0 DCADLN CCc1ncc(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)cn1 ZINC000133120115 415940721 /nfs/dbraw/zinc/94/07/21/415940721.db2.gz IYAMHBVDXPLPSZ-LLVKDONJSA-N 0 2 323.378 0.998 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@H]3CC[C@@H](CO)O3)c[nH]c2n1 ZINC000356562075 415950922 /nfs/dbraw/zinc/95/09/22/415950922.db2.gz ZLQQVLRJWAEHFX-MNOVXSKESA-N 0 2 317.345 0.914 20 0 DCADLN CC(C)(CO)O[N-]C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000297187492 415954819 /nfs/dbraw/zinc/95/48/19/415954819.db2.gz LLZDBLMSNKTWHH-UHFFFAOYSA-N 0 2 308.382 0.022 20 0 DCADLN COCCOCCN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000356613547 415962074 /nfs/dbraw/zinc/96/20/74/415962074.db2.gz YCGXBOKIULQZQA-UHFFFAOYSA-N 0 2 308.338 0.409 20 0 DCADLN COCC(=O)N1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000298100280 415992849 /nfs/dbraw/zinc/99/28/49/415992849.db2.gz YIXMWXIVFJFUPV-UHFFFAOYSA-N 0 2 322.390 0.688 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2ccc(C)nc2)nc1 ZINC000337741316 416003952 /nfs/dbraw/zinc/00/39/52/416003952.db2.gz BEBRZTPXYCNKHX-UHFFFAOYSA-N 0 2 306.347 0.945 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N(C)CC(N)=O)=N1 ZINC000344936257 416010784 /nfs/dbraw/zinc/01/07/84/416010784.db2.gz PGRROHXJKYIMQG-UHFFFAOYSA-N 0 2 306.297 0.583 20 0 DCADLN O=C(CN1CCCCC1=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000170747796 416030326 /nfs/dbraw/zinc/03/03/26/416030326.db2.gz AWHAGGBMQFNUAV-UHFFFAOYSA-N 0 2 316.317 0.981 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2c[nH]nc21 ZINC000357098197 416034069 /nfs/dbraw/zinc/03/40/69/416034069.db2.gz BBLXHWMNWANCLA-GFCCVEGCSA-N 0 2 313.317 0.800 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2c[nH]nc21 ZINC000357098197 416034074 /nfs/dbraw/zinc/03/40/74/416034074.db2.gz BBLXHWMNWANCLA-GFCCVEGCSA-N 0 2 313.317 0.800 20 0 DCADLN Cc1nnc(-c2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2)o1 ZINC000345043616 416034125 /nfs/dbraw/zinc/03/41/25/416034125.db2.gz JGNHJAFXPNXKNH-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN O=S(=O)(Nc1cccnn1)c1ccc(-n2cnnn2)cc1 ZINC000345682990 416133322 /nfs/dbraw/zinc/13/33/22/416133322.db2.gz OEQKCHAQXHUAKJ-UHFFFAOYSA-N 0 2 303.307 0.253 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)Cc2cccc(C)c2)n1 ZINC000358175285 416205519 /nfs/dbraw/zinc/20/55/19/416205519.db2.gz VGQHZMBVODMUNE-UHFFFAOYSA-N 0 2 324.362 0.701 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)c(F)c([O-])c2F)C1 ZINC000183514088 416244356 /nfs/dbraw/zinc/24/43/56/416244356.db2.gz ATNHFDRQGZXMCG-ZETCQYMHSA-N 0 2 304.268 0.870 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)c(F)c([O-])c2F)C1 ZINC000183514088 416244359 /nfs/dbraw/zinc/24/43/59/416244359.db2.gz ATNHFDRQGZXMCG-ZETCQYMHSA-N 0 2 304.268 0.870 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[C@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000341916113 416251056 /nfs/dbraw/zinc/25/10/56/416251056.db2.gz APTBZKUDPNRNEL-SFYZADRCSA-N 0 2 306.322 0.634 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C=C[C@@H](CO)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000341914044 416251321 /nfs/dbraw/zinc/25/13/21/416251321.db2.gz YIRPXTZMMDDLKP-BDAKNGLRSA-N 0 2 316.317 0.411 20 0 DCADLN CCC1(CC)[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C[C@@H]1OC ZINC000185865687 416255338 /nfs/dbraw/zinc/25/53/38/416255338.db2.gz NVDNQUUNBUEBKE-BDAKNGLRSA-N 0 2 314.411 0.900 20 0 DCADLN CCOC(=O)c1ccc(S(=O)(=O)NCC(F)(F)CO)o1 ZINC000341955667 416256769 /nfs/dbraw/zinc/25/67/69/416256769.db2.gz FZXOFJZXBOWJRD-UHFFFAOYSA-N 0 2 313.278 0.362 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000342045639 416271864 /nfs/dbraw/zinc/27/18/64/416271864.db2.gz OTDODGRTRNLIHE-UHFFFAOYSA-N 0 2 313.676 0.558 20 0 DCADLN O=C(c1nn(-c2ccccc2)cc1[O-])N1CC[NH+](CCO)CC1 ZINC000192739599 416310238 /nfs/dbraw/zinc/31/02/38/416310238.db2.gz LUAJEHOTHKRWIF-UHFFFAOYSA-N 0 2 316.361 0.328 20 0 DCADLN CC(=O)CCc1ccc(OCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000359258851 416318303 /nfs/dbraw/zinc/31/83/03/416318303.db2.gz AHBCNMCQHVWJTA-UHFFFAOYSA-N 0 2 318.333 0.727 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000358865612 416287069 /nfs/dbraw/zinc/28/70/69/416287069.db2.gz PFYVFNODWZQCOX-LLVKDONJSA-N 0 2 301.387 0.058 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000358865612 416287072 /nfs/dbraw/zinc/28/70/72/416287072.db2.gz PFYVFNODWZQCOX-LLVKDONJSA-N 0 2 301.387 0.058 20 0 DCADLN C[C@@H](CNS(=O)(=O)NCC(F)(F)F)Cn1cccn1 ZINC000192015709 416296868 /nfs/dbraw/zinc/29/68/68/416296868.db2.gz SXQOZVXMWQENHG-QMMMGPOBSA-N 0 2 300.306 0.506 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@@H+]1CC(=O)NC(=O)NCc1ccccc1 ZINC000262185767 416336233 /nfs/dbraw/zinc/33/62/33/416336233.db2.gz XPUGMRWRMDHXPG-LBPRGKRZSA-N 0 2 305.334 0.561 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@H+]1CC(=O)NC(=O)NCc1ccccc1 ZINC000262185767 416336234 /nfs/dbraw/zinc/33/62/34/416336234.db2.gz XPUGMRWRMDHXPG-LBPRGKRZSA-N 0 2 305.334 0.561 20 0 DCADLN Cc1nn(C)c(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)c1C ZINC000359511384 416348981 /nfs/dbraw/zinc/34/89/81/416348981.db2.gz XANBMZKRGCYZPA-UHFFFAOYSA-N 0 2 311.367 0.275 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CCc2n[nH]nc2C1 ZINC000359575478 416351475 /nfs/dbraw/zinc/35/14/75/416351475.db2.gz JSNKOAGMDYBRMO-UHFFFAOYSA-N 0 2 312.289 0.347 20 0 DCADLN COc1ccc(CNS(=O)(=O)NCC(F)(F)F)cc1O ZINC000195281231 416325707 /nfs/dbraw/zinc/32/57/07/416325707.db2.gz PLWHWNWRPMOFRC-UHFFFAOYSA-N 0 2 314.285 0.887 20 0 DCADLN CCOC(=O)[C@H](C)[C@@H](C)NS(=O)(=O)NCC(F)(F)F ZINC000195451334 416326501 /nfs/dbraw/zinc/32/65/01/416326501.db2.gz SMIBPDNKSQORHJ-RNFRBKRXSA-N 0 2 306.306 0.560 20 0 DCADLN CN(CCCC(=O)[O-])c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000416424025 416369123 /nfs/dbraw/zinc/36/91/23/416369123.db2.gz ZSIAPPGGEHUIDF-LBPRGKRZSA-N 0 2 308.382 0.986 20 0 DCADLN CN(CCCC(=O)[O-])c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000416424025 416369124 /nfs/dbraw/zinc/36/91/24/416369124.db2.gz ZSIAPPGGEHUIDF-LBPRGKRZSA-N 0 2 308.382 0.986 20 0 DCADLN COc1c(NS(=O)(=O)c2cnn(C)c2)cccc1C(N)=O ZINC000360287400 416384093 /nfs/dbraw/zinc/38/40/93/416384093.db2.gz UNMYAOFKBZIBAF-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cnn(-c2cccnc2)c1 ZINC000434393505 416475910 /nfs/dbraw/zinc/47/59/10/416475910.db2.gz HZUPNFJEXDJVNY-UHFFFAOYSA-N 0 2 313.321 0.454 20 0 DCADLN O=C(NCCCN1CC[NH+]([C@@H]2CCOC2)CC1)C(F)(F)F ZINC000362004990 416543622 /nfs/dbraw/zinc/54/36/22/416543622.db2.gz RWDGRDUWMBSWSO-LLVKDONJSA-N 0 2 309.332 0.462 20 0 DCADLN CC(C)c1nc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)no1 ZINC000540894946 416597193 /nfs/dbraw/zinc/59/71/93/416597193.db2.gz RCOBUQNMRHJLKB-UHFFFAOYSA-N 0 2 313.339 0.431 20 0 DCADLN Cc1cc(N2CCS(=O)(=O)[C@@H](C(=O)[O-])C2)nc(C2CC2)[nH+]1 ZINC000424463945 416650967 /nfs/dbraw/zinc/65/09/67/416650967.db2.gz DFCLOQPEFKGPRS-SNVBAGLBSA-N 0 2 311.363 0.350 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@H]2COCCO2)c1 ZINC000424587632 416664618 /nfs/dbraw/zinc/66/46/18/416664618.db2.gz AKCZIVWKVFEMAE-GTJPDFRWSA-N 0 2 313.375 0.334 20 0 DCADLN COc1cccc(S([O-])=CC(=O)NCCc2[nH]cc[nH+]2)c1 ZINC000424666050 416666265 /nfs/dbraw/zinc/66/62/65/416666265.db2.gz OMMUSHSYYMXNMS-NRFANRHFSA-N 0 2 307.375 0.885 20 0 DCADLN CNC(=O)Cc1ccc(NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000614784691 416706011 /nfs/dbraw/zinc/70/60/11/416706011.db2.gz VIVLHXSLLZPQGE-UHFFFAOYSA-N 0 2 308.363 0.509 20 0 DCADLN C[NH+]1C[C@@H]2CCCN(C(=O)NCC[N@@H+]3CCOC(C)(C)C3)[C@@H]2C1 ZINC000425668105 416719682 /nfs/dbraw/zinc/71/96/82/416719682.db2.gz IQDYOJOOMYUCGL-LSDHHAIUSA-N 0 2 324.469 0.833 20 0 DCADLN COC(=O)CCN(C(C)C)S(=O)(=O)NCC(F)(F)F ZINC000442954594 416723727 /nfs/dbraw/zinc/72/37/27/416723727.db2.gz SJXZOEZYDHINNL-UHFFFAOYSA-N 0 2 306.306 0.657 20 0 DCADLN CCOC(=O)Cn1cnc(=NC(=O)N=c2cc(C(C)C)[nH][nH]2)[nH]1 ZINC000425721671 416723722 /nfs/dbraw/zinc/72/37/22/416723722.db2.gz ZRXANEDMPRVGKM-UHFFFAOYSA-N 0 2 321.341 0.176 20 0 DCADLN CCc1nnc([C@H](C)NS(=O)(=O)NCC(F)(F)F)[nH]1 ZINC000443052609 416727172 /nfs/dbraw/zinc/72/71/72/416727172.db2.gz SWVFJAHAXURFLC-YFKPBYRVSA-N 0 2 301.294 0.414 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H]1Cc2cccc(O)c2C1 ZINC000443218163 416735868 /nfs/dbraw/zinc/73/58/68/416735868.db2.gz FSCUNAFFONNCCJ-QMMMGPOBSA-N 0 2 310.297 0.846 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(-c3nn[nH]n3)cc2)cnn1C ZINC000427221126 416807455 /nfs/dbraw/zinc/80/74/55/416807455.db2.gz XSTSBDFACJVDLY-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cc(C(N)=O)ccc2C)cnn1C ZINC000427206846 416810088 /nfs/dbraw/zinc/81/00/88/416810088.db2.gz ULQKDLXUYXJWJZ-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCC2(O)CCOCC2)cn1 ZINC000519013954 416888639 /nfs/dbraw/zinc/88/86/39/416888639.db2.gz NWDAYKMSYYQYDZ-UHFFFAOYSA-N 0 2 319.365 0.472 20 0 DCADLN NC(=O)[C@@H]1CCC[N@@H+]1CCC[NH2+]Cc1cccc2c1OCCO2 ZINC000623618344 416853231 /nfs/dbraw/zinc/85/32/31/416853231.db2.gz NIGJKQYTKQVLEF-AWEZNQCLSA-N 0 2 319.405 0.887 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1[N@@H+]1CC[C@@H](O)C1)[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000616436709 417047795 /nfs/dbraw/zinc/04/77/95/417047795.db2.gz FCKZUUKGLSGFHI-NCZKRNLISA-N 0 2 318.421 0.619 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1[N@H+]1CC[C@@H](O)C1)[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000616436709 417047803 /nfs/dbraw/zinc/04/78/03/417047803.db2.gz FCKZUUKGLSGFHI-NCZKRNLISA-N 0 2 318.421 0.619 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2CC[C@](C)(C(N)=O)C2)s[nH]1 ZINC000432083131 417159007 /nfs/dbraw/zinc/15/90/07/417159007.db2.gz XQWRMXYACVYPKM-ZDUSSCGKSA-N 0 2 311.411 0.987 20 0 DCADLN C[N@H+]1CCCC(C)(C)[C@@H]1CNC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000415196065 417341865 /nfs/dbraw/zinc/34/18/65/417341865.db2.gz QOVWBCNWFVCXJE-LBPRGKRZSA-N 0 2 319.409 0.839 20 0 DCADLN C[C@@H](C(=O)NC1CC1)[NH+]1CCN(c2ncccc2C(=O)[O-])CC1 ZINC000643909833 417414413 /nfs/dbraw/zinc/41/44/13/417414413.db2.gz JPHWZUUXAIWGTO-NSHDSACASA-N 0 2 318.377 0.569 20 0 DCADLN Cc1cccc(C)c1OCCNC(=O)N1CC[N@@H+](C)C[C@H]1C[NH3+] ZINC000571148550 417427339 /nfs/dbraw/zinc/42/73/39/417427339.db2.gz LWUXMIHJNICEIO-OAHLLOKOSA-N 0 2 320.437 0.967 20 0 DCADLN NC(=O)c1cc(NC(=O)c2ccc3[nH]nnc3c2)cc(C(N)=O)c1 ZINC000622392245 417439317 /nfs/dbraw/zinc/43/93/17/417439317.db2.gz OFOPPAHOQOYCAF-UHFFFAOYSA-N 0 2 324.300 0.408 20 0 DCADLN Cc1nnc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)s1 ZINC000622503767 417454151 /nfs/dbraw/zinc/45/41/51/417454151.db2.gz FSRDJYMZODCEHA-UHFFFAOYSA-N 0 2 314.348 0.452 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@@H](CO)O1 ZINC000530356301 417573079 /nfs/dbraw/zinc/57/30/79/417573079.db2.gz RRVSRWQGPJOOTD-SKDRFNHKSA-N 0 2 319.317 0.252 20 0 DCADLN CO[C@@H]([C@@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000456570037 417655220 /nfs/dbraw/zinc/65/52/20/417655220.db2.gz FKDWVKSMGSGDQX-HQJQHLMTSA-N 0 2 318.333 0.979 20 0 DCADLN CC(C)CC[C@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000628705815 417680247 /nfs/dbraw/zinc/68/02/47/417680247.db2.gz OSXGYFZVWIAYRG-SNVBAGLBSA-N 0 2 320.349 0.963 20 0 DCADLN Cc1nc(N(C)C)nc(NC[C@H](C(=O)[O-])c2ccccc2)[nH+]1 ZINC000581432498 417729662 /nfs/dbraw/zinc/72/96/62/417729662.db2.gz ZQCHJBFIMUIVAI-LBPRGKRZSA-N 0 2 301.350 1.526 20 0 DCADLN Cc1nc(NC[C@H](C(=O)[O-])c2ccccc2)nc(N(C)C)[nH+]1 ZINC000581432498 417729664 /nfs/dbraw/zinc/72/96/64/417729664.db2.gz ZQCHJBFIMUIVAI-LBPRGKRZSA-N 0 2 301.350 1.526 20 0 DCADLN CCCN(C(=O)N[C@H](C)[C@H]1C[NH+](C)CCO1)[C@@H]1CC[N@H+](C)C1 ZINC000645378408 417736688 /nfs/dbraw/zinc/73/66/88/417736688.db2.gz HMRCCDPSLMBWAC-RBSFLKMASA-N 0 2 312.458 0.831 20 0 DCADLN CO[C@@H](CS(=O)(=O)NCc1cn[nH]c1C)C1CCOCC1 ZINC000645490769 417747485 /nfs/dbraw/zinc/74/74/85/417747485.db2.gz AKQPCTMDVYNQJC-ZDUSSCGKSA-N 0 2 317.411 0.579 20 0 DCADLN CCn1ccc(CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)n1 ZINC000651952496 417825051 /nfs/dbraw/zinc/82/50/51/417825051.db2.gz AXUZXZRBKAPGQT-UHFFFAOYSA-N 0 2 304.354 0.675 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)[C@H](C)CO1 ZINC000652005405 417830061 /nfs/dbraw/zinc/83/00/61/417830061.db2.gz ZCGHFWOUWRLKEZ-MNOVXSKESA-N 0 2 309.370 0.245 20 0 DCADLN CC[C@@H](NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000657178459 417831263 /nfs/dbraw/zinc/83/12/63/417831263.db2.gz RZVKLNQTYVPLSH-SNVBAGLBSA-N 0 2 324.362 0.903 20 0 DCADLN CO[C@@H]1CCCC[C@H]1NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000652032193 417838022 /nfs/dbraw/zinc/83/80/22/417838022.db2.gz CTZLEONLAUGHCC-GHMZBOCLSA-N 0 2 309.370 0.293 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)C(=O)N(C)CC2 ZINC000629290385 417772323 /nfs/dbraw/zinc/77/23/23/417772323.db2.gz SWHARMALSLQFFS-UHFFFAOYSA-N 0 2 321.362 0.244 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccc3c(c2)nnn3C)n1C ZINC000629301515 417774642 /nfs/dbraw/zinc/77/46/42/417774642.db2.gz JZMKMNXUPQFCER-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(CCF)c2)cc1C(N)=O ZINC000629321084 417778743 /nfs/dbraw/zinc/77/87/43/417778743.db2.gz QBIXTZWDKHQKMM-UHFFFAOYSA-N 0 2 315.330 0.091 20 0 DCADLN CC(=O)Nc1ccncc1NS(=O)(=O)c1cnc(C)n1C ZINC000629350570 417785436 /nfs/dbraw/zinc/78/54/36/417785436.db2.gz VKDGTSPPASBLJW-UHFFFAOYSA-N 0 2 309.351 0.305 20 0 DCADLN CCCCn1nccc1NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651803215 417789021 /nfs/dbraw/zinc/78/90/21/417789021.db2.gz HHRQWVAEOXQFEU-UHFFFAOYSA-N 0 2 319.369 0.855 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@@H](CC(C)C)OC)[nH]1 ZINC000657105136 417812527 /nfs/dbraw/zinc/81/25/27/417812527.db2.gz SULYORLHTFYSDW-SNVBAGLBSA-N 0 2 320.415 0.432 20 0 DCADLN Cn1cc(N2CC[C@H]([NH2+]Cc3c[nH+]c4n3CCC4)C2=O)cn1 ZINC000657367889 417852602 /nfs/dbraw/zinc/85/26/02/417852602.db2.gz XHLKKBJDIYMVCQ-ZDUSSCGKSA-N 0 2 300.366 0.458 20 0 DCADLN COc1ccnc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)c1 ZINC000646044190 417859059 /nfs/dbraw/zinc/85/90/59/417859059.db2.gz WCTFQKMXHYRHKF-UHFFFAOYSA-N 0 2 303.322 0.934 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N[C@@H](CO)CCF)s[nH]1 ZINC000652186789 417861348 /nfs/dbraw/zinc/86/13/48/417861348.db2.gz VAORDORDGGFMKW-SSDOTTSWSA-N 0 2 318.374 0.033 20 0 DCADLN C[C@@H](NC(=O)N=c1cccn[nH]1)C(=O)NCCc1ccccc1 ZINC000652677483 417943067 /nfs/dbraw/zinc/94/30/67/417943067.db2.gz NGHIAKGYSCKVIY-GFCCVEGCSA-N 0 2 313.361 0.767 20 0 DCADLN COc1ccc(CNC(=O)[C@@H]2CC[C@@H](C(=O)[O-])[N@@H+]2C2CC2)nc1 ZINC000663226246 418002077 /nfs/dbraw/zinc/00/20/77/418002077.db2.gz JNHXARJMSBUKFV-KBPBESRZSA-N 0 2 319.361 0.786 20 0 DCADLN COc1ccc(CNC(=O)[C@@H]2CC[C@@H](C(=O)[O-])[N@H+]2C2CC2)nc1 ZINC000663226246 418002079 /nfs/dbraw/zinc/00/20/79/418002079.db2.gz JNHXARJMSBUKFV-KBPBESRZSA-N 0 2 319.361 0.786 20 0 DCADLN COCCO[C@H]1C[C@@H](CO)CN(c2[nH+]cccc2C(=O)[O-])C1 ZINC000647454240 418011734 /nfs/dbraw/zinc/01/17/34/418011734.db2.gz ILNZMOFKQVZSQK-NEPJUHHUSA-N 0 2 310.350 0.630 20 0 DCADLN CCCN(C(=O)C(=O)N(C)Cc1c[nH]c[nH+]1)[C@@H]1CC[N@H+](C)C1 ZINC000658770093 418017982 /nfs/dbraw/zinc/01/79/82/418017982.db2.gz FDCOTXJNZXHVDA-CYBMUJFWSA-N 0 2 307.398 0.311 20 0 DCADLN COCc1nnc(CNC(=O)NCCN(C)c2ccccc2)[nH]1 ZINC000663525248 418028054 /nfs/dbraw/zinc/02/80/54/418028054.db2.gz BIQCMHQHSKTFEY-UHFFFAOYSA-N 0 2 318.381 0.887 20 0 DCADLN CCCS(=O)(=O)N1C[C@H](C(=O)[O-])[C@@H](c2c[nH+]cn2C)C1 ZINC000647625653 418034565 /nfs/dbraw/zinc/03/45/65/418034565.db2.gz VDJLKPHBIDRNIB-UWVGGRQHSA-N 0 2 301.368 0.260 20 0 DCADLN O=C([O-])[C@@H](C(=O)N1CC[NH+](Cc2cccnc2)CC1)C1CC1 ZINC000663037386 417980919 /nfs/dbraw/zinc/98/09/19/417980919.db2.gz IIBFGWRYYFJMOF-CQSZACIVSA-N 0 2 303.362 0.837 20 0 DCADLN O=C([O-])C1(C(=O)NCc2ccc[nH+]c2N2CCOCC2)CCC1 ZINC000663108400 417991385 /nfs/dbraw/zinc/99/13/85/417991385.db2.gz RSDYCWNFQKHMSC-UHFFFAOYSA-N 0 2 319.361 0.789 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000653291956 418054272 /nfs/dbraw/zinc/05/42/72/418054272.db2.gz HHUCSYZOOXNZHD-UHFFFAOYSA-N 0 2 322.409 0.955 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000653344440 418059867 /nfs/dbraw/zinc/05/98/67/418059867.db2.gz XKNXNKZUUUMGQS-CYBMUJFWSA-N 0 2 320.393 0.525 20 0 DCADLN O=C1CCC(=O)N1CCN=c1ccc(OCC(F)(F)F)n[nH]1 ZINC000647869097 418067332 /nfs/dbraw/zinc/06/73/32/418067332.db2.gz XXDMTMZMELFYKL-UHFFFAOYSA-N 0 2 318.255 0.401 20 0 DCADLN CO[C@H]1CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)[C@@H](C(=O)[O-])C1 ZINC000659221561 418071219 /nfs/dbraw/zinc/07/12/19/418071219.db2.gz MFDSHRTTWJHVPV-QWHCGFSZSA-N 0 2 321.377 0.853 20 0 DCADLN COc1ncccc1NS(=O)(=O)c1cnn2c1OCCC2 ZINC000647921858 418076168 /nfs/dbraw/zinc/07/61/68/418076168.db2.gz QHCXOIDMEDTCMB-UHFFFAOYSA-N 0 2 310.335 0.870 20 0 DCADLN CCS(=O)(=O)C1CN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC000653961437 418120245 /nfs/dbraw/zinc/12/02/45/418120245.db2.gz ZSYIRVNFHKCJNC-UHFFFAOYSA-N 0 2 305.302 0.930 20 0 DCADLN CCCn1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC000648893730 418171053 /nfs/dbraw/zinc/17/10/53/418171053.db2.gz WKJQXQTUZBVSDE-SECBINFHSA-N 0 2 305.342 0.532 20 0 DCADLN CC(C)(CNC(=O)c1cccc2[nH]nnc21)[C@]1(O)CCOC1 ZINC000649087825 418196782 /nfs/dbraw/zinc/19/67/82/418196782.db2.gz GHSAKJAYFXYFNP-HNNXBMFYSA-N 0 2 304.350 0.865 20 0 DCADLN CN=c1[nH]nc(CC(=O)N[C@@H](CCO)c2ccccc2F)s1 ZINC000649110076 418199479 /nfs/dbraw/zinc/19/94/79/418199479.db2.gz YXHFDBDVFDZCMY-NSHDSACASA-N 0 2 324.381 0.923 20 0 DCADLN CC(C)O[C@]1(CS(=O)(=O)NCC(F)(F)CO)CCOC1 ZINC000659951878 418206318 /nfs/dbraw/zinc/20/63/18/418206318.db2.gz NVTYKOIQISXYMK-SNVBAGLBSA-N 0 2 317.354 0.118 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H]2OCCc3ccccc32)[nH]n1 ZINC000650817405 418326639 /nfs/dbraw/zinc/32/66/39/418326639.db2.gz BMPKEKGPCRBGCZ-CQSZACIVSA-N 0 2 312.329 0.511 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@@H+]1CCOC[C@H]1C)C(=O)[O-] ZINC000655869063 418294005 /nfs/dbraw/zinc/29/40/05/418294005.db2.gz ZLAYCCKXJFERAF-NEPJUHHUSA-N 0 2 301.387 0.363 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@H+]1CCOC[C@H]1C)C(=O)[O-] ZINC000655869063 418294006 /nfs/dbraw/zinc/29/40/06/418294006.db2.gz ZLAYCCKXJFERAF-NEPJUHHUSA-N 0 2 301.387 0.363 20 0 DCADLN Cc1nc(N(C)C)nc(N[C@H](Cc2ccncc2)C(=O)[O-])[nH+]1 ZINC000656092851 418327131 /nfs/dbraw/zinc/32/71/31/418327131.db2.gz RLUGMORGYJSQKB-LLVKDONJSA-N 0 2 302.338 0.749 20 0 DCADLN Cc1nc(N[C@H](Cc2ccncc2)C(=O)[O-])nc(N(C)C)[nH+]1 ZINC000656092851 418327133 /nfs/dbraw/zinc/32/71/33/418327133.db2.gz RLUGMORGYJSQKB-LLVKDONJSA-N 0 2 302.338 0.749 20 0 DCADLN C[C@@]1(C2CCN(c3cc[nH+]c(C(=O)[O-])c3)CC2)NC(=O)NC1=O ZINC000650767466 418323316 /nfs/dbraw/zinc/32/33/16/418323316.db2.gz VWIAHNQMPQZTPT-HNNXBMFYSA-N 0 2 318.333 0.594 20 0 DCADLN O=C([O-])c1cc(N2CCC[C@H](C(=O)N3CCOCC3)C2)cc[nH+]1 ZINC000650765757 418323535 /nfs/dbraw/zinc/32/35/35/418323535.db2.gz FRRPJXUHCCYULO-LBPRGKRZSA-N 0 2 319.361 0.855 20 0 DCADLN NC(=O)c1ncn([C@H]2CCCN(c3cc[nH+]c(C(=O)[O-])c3)C2)n1 ZINC000650768438 418323557 /nfs/dbraw/zinc/32/35/57/418323557.db2.gz YEDZSTHODNJRAJ-JTQLQIEISA-N 0 2 316.321 0.312 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000655598210 418279427 /nfs/dbraw/zinc/27/94/27/418279427.db2.gz YZRFOUWSGLPVIC-OLZOCXBDSA-N 0 2 314.345 0.653 20 0 DCADLN CO[C@@H]1C[C@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651613800 418397338 /nfs/dbraw/zinc/39/73/38/418397338.db2.gz RJCMAMNEOLDZLU-VHSXEESVSA-N 0 2 309.370 0.149 20 0 DCADLN COc1cc(NS(=O)(=O)C[C@H](OC)[C@H]2CCOC2)ncn1 ZINC000656731450 418374091 /nfs/dbraw/zinc/37/40/91/418374091.db2.gz PEHYJTIYCIWESD-UWVGGRQHSA-N 0 2 317.367 0.278 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1n[nH]cc1SC)[C@H]1CCOC1 ZINC000656730173 418374244 /nfs/dbraw/zinc/37/42/44/418374244.db2.gz APWQKJDWKOWHTB-IUCAKERBSA-N 0 2 321.424 0.925 20 0 DCADLN Cc1cnn(C)c1NS(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000656739746 418374878 /nfs/dbraw/zinc/37/48/78/418374878.db2.gz VJJUQVNECPQUCB-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN CCC[C@H](NC(=O)Cc1cn2c(n1)CCCC2)c1nn[nH]n1 ZINC000651461768 418381125 /nfs/dbraw/zinc/38/11/25/418381125.db2.gz HOMSSSQRPWWIPJ-NSHDSACASA-N 0 2 303.370 0.933 20 0 DCADLN C[C@@H]1COc2ccccc2C[N@@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662212154 418388560 /nfs/dbraw/zinc/38/85/60/418388560.db2.gz VMZCFIMAAGSAKR-DGCLKSJQSA-N 0 2 304.346 0.955 20 0 DCADLN C[C@@H]1COc2ccccc2C[N@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662212154 418388562 /nfs/dbraw/zinc/38/85/62/418388562.db2.gz VMZCFIMAAGSAKR-DGCLKSJQSA-N 0 2 304.346 0.955 20 0 DCADLN COc1cc[nH+]c(CN2Cc3nncn3C[C@H]2C(=O)[O-])c1OC ZINC000662214592 418389731 /nfs/dbraw/zinc/38/97/31/418389731.db2.gz ITNYREYYORJENB-JTQLQIEISA-N 0 2 319.321 0.159 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@@H](C)O1 ZINC000651564172 418391502 /nfs/dbraw/zinc/39/15/02/418391502.db2.gz XLHJROZXQGOWEG-GHMZBOCLSA-N 0 2 309.370 0.245 20 0 DCADLN CC(C)(C)C[N@H+](CC(=O)[O-])CC(=O)NCC(=O)N1CCCC1 ZINC000662227667 418391614 /nfs/dbraw/zinc/39/16/14/418391614.db2.gz ORNSMKYQBWPTQB-UHFFFAOYSA-N 0 2 313.398 0.158 20 0 DCADLN CC(C)(C)C[N@@H+](CC(=O)[O-])CC(=O)NCC(=O)N1CCCC1 ZINC000662227667 418391617 /nfs/dbraw/zinc/39/16/17/418391617.db2.gz ORNSMKYQBWPTQB-UHFFFAOYSA-N 0 2 313.398 0.158 20 0 DCADLN COCC1(C)CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651652527 418400892 /nfs/dbraw/zinc/40/08/92/418400892.db2.gz HYYNSKJZSDXGDT-UHFFFAOYSA-N 0 2 323.397 0.495 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H]2CC(C)(C)OC2(C)C)[nH]1 ZINC000651655591 418401036 /nfs/dbraw/zinc/40/10/36/418401036.db2.gz AKAKFSKYNCBTDO-JTQLQIEISA-N 0 2 323.397 0.682 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1NC(=O)O[C@@H]1C ZINC000497045677 287631707 /nfs/dbraw/zinc/63/17/07/287631707.db2.gz RICDGEGATQMRBL-GMSGAONNSA-N 0 2 317.305 0.921 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCCC(N)=O)=N2)cc1 ZINC000354384612 261211844 /nfs/dbraw/zinc/21/18/44/261211844.db2.gz GZWCJZSLLSBKAR-UHFFFAOYSA-N 0 2 304.306 0.192 20 0 DCADLN O=c1cc(C[N@H+]2CCC[C@](O)(C(F)(F)F)C2)nc2cc[n-]n21 ZINC000354420304 261217657 /nfs/dbraw/zinc/21/76/57/261217657.db2.gz QJIMDSJKYWPSNN-GFCCVEGCSA-N 0 2 316.283 0.912 20 0 DCADLN O=c1cc(C[N@@H+]2CCC[C@](O)(C(F)(F)F)C2)nc2cc[n-]n21 ZINC000354420304 261217658 /nfs/dbraw/zinc/21/76/58/261217658.db2.gz QJIMDSJKYWPSNN-GFCCVEGCSA-N 0 2 316.283 0.912 20 0 DCADLN COc1ccc(C(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1Cl ZINC000355051000 261300749 /nfs/dbraw/zinc/30/07/49/261300749.db2.gz ALFYAXZXRVMCFX-UHFFFAOYSA-N 0 2 310.697 0.671 20 0 DCADLN COCCCOC1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000355610023 261352765 /nfs/dbraw/zinc/35/27/65/261352765.db2.gz RWPDSWQSABUABG-UHFFFAOYSA-N 0 2 320.349 0.551 20 0 DCADLN C[C@@H]1CN(C(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)C[C@@H]1O ZINC000359429612 261743189 /nfs/dbraw/zinc/74/31/89/261743189.db2.gz RTHQXSASOUICIQ-SFYZADRCSA-N 0 2 312.395 0.035 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(N2CCOCC2)cc1 ZINC000359835380 261773988 /nfs/dbraw/zinc/77/39/88/261773988.db2.gz JLUMRHDWFAUSSS-UHFFFAOYSA-N 0 2 303.322 0.277 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2cncnc2)cc1 ZINC000362216557 262011006 /nfs/dbraw/zinc/01/10/06/262011006.db2.gz PGWLCNRTRSBPCJ-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN O=C1CCC(c2ccc(NS(=O)(=O)c3cn[nH]c3)cc2)=NN1 ZINC000362304568 262020854 /nfs/dbraw/zinc/02/08/54/262020854.db2.gz HYNOYICMWWIVII-UHFFFAOYSA-N 0 2 319.346 0.825 20 0 DCADLN C[C@](NC(=O)CSc1n[nH]c(=O)[nH]1)(C(N)=O)c1ccccc1 ZINC000362365995 262026750 /nfs/dbraw/zinc/02/67/50/262026750.db2.gz RJDNXCOFHJUWMU-CYBMUJFWSA-N 0 2 321.362 0.119 20 0 DCADLN CCn1ccc(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)n1 ZINC000412476221 262190213 /nfs/dbraw/zinc/19/02/13/262190213.db2.gz QGWZOEVOXCOMHO-UHFFFAOYSA-N 0 2 300.322 0.820 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCOC(F)(F)F ZINC000355907192 271031351 /nfs/dbraw/zinc/03/13/51/271031351.db2.gz AOAWJGYSGQGFPB-UHFFFAOYSA-N 0 2 318.255 0.526 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1C[C@@H]2CC[C@@H](O)C[C@@H]2C1 ZINC000398763589 271218512 /nfs/dbraw/zinc/21/85/12/271218512.db2.gz DBEZNRYPGKNJFG-DJLDLDEBSA-N 0 2 302.318 0.476 20 0 DCADLN CC(C)(C)OC(=O)NCCCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000494591471 272225086 /nfs/dbraw/zinc/22/50/86/272225086.db2.gz WYNJYXCUIMBETF-UHFFFAOYSA-N 0 2 300.315 0.858 20 0 DCADLN C[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCc1n[nH]c(=S)o1 ZINC000495487645 272250523 /nfs/dbraw/zinc/25/05/23/272250523.db2.gz PGUPRPHOUOIWOO-SSDOTTSWSA-N 0 2 316.383 0.930 20 0 DCADLN C[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCC1N=NC(=S)O1 ZINC000495487645 272250526 /nfs/dbraw/zinc/25/05/26/272250526.db2.gz PGUPRPHOUOIWOO-SSDOTTSWSA-N 0 2 316.383 0.930 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2ncsc2C)cn1C ZINC000530140976 272726026 /nfs/dbraw/zinc/72/60/26/272726026.db2.gz UDOYFGKVFJHVHV-UHFFFAOYSA-N 0 2 314.392 0.950 20 0 DCADLN CO[C@@]1(CNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CCOC1 ZINC000545897024 288005092 /nfs/dbraw/zinc/00/50/92/288005092.db2.gz DUBLFIBDSRLZBE-OAHLLOKOSA-N 0 2 319.317 0.565 20 0 DCADLN C[C@@H]1OCC[C@]12C[N@H+](Cc1cc(=O)n3[n-]ccc3n1)CCO2 ZINC000547769814 288077295 /nfs/dbraw/zinc/07/72/95/288077295.db2.gz MXTFOQNCPOQQND-NHYWBVRUSA-N 0 2 304.350 0.402 20 0 DCADLN C[C@@H]1OCC[C@]12C[N@@H+](Cc1cc(=O)n3[n-]ccc3n1)CCO2 ZINC000547769814 288077297 /nfs/dbraw/zinc/07/72/97/288077297.db2.gz MXTFOQNCPOQQND-NHYWBVRUSA-N 0 2 304.350 0.402 20 0 DCADLN CCc1nncn1CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000548694090 288168106 /nfs/dbraw/zinc/16/81/06/288168106.db2.gz PKHICLXZTVJCKU-UHFFFAOYSA-N 0 2 314.353 0.394 20 0 DCADLN C[C@@H](CNC(=O)C(=O)NCCCCn1cc[nH+]c1)[N@H+](C)C1CC1 ZINC000551626481 288231307 /nfs/dbraw/zinc/23/13/07/288231307.db2.gz HXCALKJKDIEUST-ZDUSSCGKSA-N 0 2 321.425 0.378 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)CC1 ZINC000558378707 288441104 /nfs/dbraw/zinc/44/11/04/288441104.db2.gz CROTYTDHKALZRY-UHFFFAOYSA-N 0 2 304.346 0.901 20 0 DCADLN CO[C@@H](C)c1nsc(NC[C@H]2CCCN2S(C)(=O)=O)n1 ZINC000328791150 293476306 /nfs/dbraw/zinc/47/63/06/293476306.db2.gz LTJCYBRPWBMWPE-DTWKUNHWSA-N 0 2 320.440 0.503 20 0 DCADLN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2S(=O)(=O)NCC(F)(F)F ZINC000375677424 294698750 /nfs/dbraw/zinc/69/87/50/294698750.db2.gz IDISSHYNICKDPF-SQXHDICFSA-N 0 2 314.329 0.738 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC(C)(C)O1 ZINC000068540358 300206158 /nfs/dbraw/zinc/20/61/58/300206158.db2.gz JRIFLFQGRNTMKG-QMMMGPOBSA-N 0 2 318.333 0.663 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1cc(C(=O)N(C)C)ccn1 ZINC000350125598 302398532 /nfs/dbraw/zinc/39/85/32/302398532.db2.gz FMFKEUXFWMKBLX-UHFFFAOYSA-N 0 2 323.378 0.626 20 0 DCADLN O=C([O-])c1cc(F)c(F)cc1C(=O)N1CC[NH+](CCO)CC1 ZINC000388068808 1159127561 /nfs/dbraw/zinc/12/75/61/1159127561.db2.gz CNMRAIZOSALRRU-UHFFFAOYSA-N 0 2 314.288 0.413 20 0 DCADLN COC1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCCC1 ZINC000584208248 331867249 /nfs/dbraw/zinc/86/72/49/331867249.db2.gz YKHPNQMNBGYYFC-UHFFFAOYSA-N 0 2 320.396 0.807 20 0 DCADLN CCNc1nc(NC(C)(C)C)nc(N2CC[C@@H](CO)[C@H](O)C2)[nH+]1 ZINC000582878368 337214172 /nfs/dbraw/zinc/21/41/72/337214172.db2.gz RUBHYMXCYDHYER-WDEREUQCSA-N 0 2 324.429 0.115 20 0 DCADLN O=C([O-])[C@@H]1CSCC[N@@H+]1CCS(=O)(=O)c1ccccc1 ZINC000583036310 337232256 /nfs/dbraw/zinc/23/22/56/337232256.db2.gz JDFOJUSLJGORER-LBPRGKRZSA-N 0 2 315.416 0.962 20 0 DCADLN O=C([O-])[C@@H]1CSCC[N@H+]1CCS(=O)(=O)c1ccccc1 ZINC000583036310 337232257 /nfs/dbraw/zinc/23/22/57/337232257.db2.gz JDFOJUSLJGORER-LBPRGKRZSA-N 0 2 315.416 0.962 20 0 DCADLN O=C(NC[C@@H](O)C1CCC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000583190639 337263316 /nfs/dbraw/zinc/26/33/16/337263316.db2.gz SWENQWCOXRQGDV-GFCCVEGCSA-N 0 2 303.318 0.921 20 0 DCADLN CO[C@](C)(CNC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+])c1ccccc1 ZINC000584400502 337318716 /nfs/dbraw/zinc/31/87/16/337318716.db2.gz PKUFQBYAOJPWOB-NVXWUHKLSA-N 0 2 320.437 0.833 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)o1 ZINC000331933507 534207174 /nfs/dbraw/zinc/20/71/74/534207174.db2.gz OIFYNKIMMLHEHB-UHFFFAOYSA-N 0 2 312.351 0.980 20 0 DCADLN CO[C@H]1CCN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000267865437 523993935 /nfs/dbraw/zinc/99/39/35/523993935.db2.gz IPPARPPNMDVZOL-QMMMGPOBSA-N 0 2 304.306 0.605 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)n1 ZINC000189705860 526987447 /nfs/dbraw/zinc/98/74/47/526987447.db2.gz SADQSXIIXZBWJL-VXGBXAGGSA-N 0 2 319.365 0.493 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NCC3CCC3)cc-2c(=O)[nH]1 ZINC000267265456 527213204 /nfs/dbraw/zinc/21/32/04/527213204.db2.gz LFTBLYASFLUKGU-UHFFFAOYSA-N 0 2 310.335 0.514 20 0 DCADLN COC(=O)C1(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC1 ZINC000185388053 545912297 /nfs/dbraw/zinc/91/22/97/545912297.db2.gz GMVUYGPJJILREU-UHFFFAOYSA-N 0 2 303.274 0.922 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N1c2ccccc2C[C@@H]1C ZINC000314640671 546025902 /nfs/dbraw/zinc/02/59/02/546025902.db2.gz LVCHEFVYGVPBHT-LBPRGKRZSA-N 0 2 306.362 0.997 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N1c2ccccc2C[C@@H]1C ZINC000314640671 546025907 /nfs/dbraw/zinc/02/59/07/546025907.db2.gz LVCHEFVYGVPBHT-LBPRGKRZSA-N 0 2 306.362 0.997 20 0 DCADLN C[C@H](NC(=O)NC[C@H]1CCC[N@@H+]1CCCO)c1[nH+]ccn1C ZINC000667248613 546576209 /nfs/dbraw/zinc/57/62/09/546576209.db2.gz BEJVMFNJEROKGQ-QWHCGFSZSA-N 0 2 309.414 0.627 20 0 DCADLN Cc1[nH+]c2ccccc2n1CCNC(=O)NC[C@@H]1C[NH2+]CCO1 ZINC000668793126 546847598 /nfs/dbraw/zinc/84/75/98/546847598.db2.gz OTQXQKXAJRCWMI-ZDUSSCGKSA-N 0 2 317.393 0.632 20 0 DCADLN CN1CC[C@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)C1=O ZINC000671855390 547299311 /nfs/dbraw/zinc/29/93/11/547299311.db2.gz FLUHCYIFIZDTLH-SNVBAGLBSA-N 0 2 301.306 0.594 20 0 DCADLN C[C@@H](C(=O)NCCc1ccc(F)cc1)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231148 547747490 /nfs/dbraw/zinc/74/74/90/547747490.db2.gz HXKKALYRTYPINZ-SMDDNHRTSA-N 0 2 324.352 0.658 20 0 DCADLN C[C@@H](C(=O)NCCc1ccc(F)cc1)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231148 547747497 /nfs/dbraw/zinc/74/74/97/547747497.db2.gz HXKKALYRTYPINZ-SMDDNHRTSA-N 0 2 324.352 0.658 20 0 DCADLN Cc1noc(C)c1CN1CCN(C(=O)c2n[nH]c(C)c2O)CC1 ZINC000676693643 547804404 /nfs/dbraw/zinc/80/44/04/547804404.db2.gz VHIKNVBCZMXXLK-UHFFFAOYSA-N 0 2 319.365 0.987 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc(F)cc2F)[nH]n1 ZINC000677644922 547894188 /nfs/dbraw/zinc/89/41/88/547894188.db2.gz LRZDGYQABSMCDR-UHFFFAOYSA-N 0 2 302.262 0.588 20 0 DCADLN CCS(=O)(=O)c1ccccc1NS(=O)(=O)CCOC ZINC000678720694 548000928 /nfs/dbraw/zinc/00/09/28/548000928.db2.gz YJYSVAAZHCXYNS-UHFFFAOYSA-N 0 2 307.393 0.868 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCc2cnn(C)c2)cn1 ZINC000681715352 548284618 /nfs/dbraw/zinc/28/46/18/548284618.db2.gz YKZISDATBNRQFZ-UHFFFAOYSA-N 0 2 313.365 0.999 20 0 DCADLN O=S(=O)(Nc1ccc(-n2cncn2)cn1)c1cncc(F)c1 ZINC000682900341 548437234 /nfs/dbraw/zinc/43/72/34/548437234.db2.gz AKDIPVXHNUZBHV-UHFFFAOYSA-N 0 2 320.309 0.997 20 0 DCADLN O=C(Cc1cccc(N2CCCC2=O)c1)NCc1n[nH]c(=O)[nH]1 ZINC000683857022 548526031 /nfs/dbraw/zinc/52/60/31/548526031.db2.gz OZWVECZAPJFXGK-UHFFFAOYSA-N 0 2 315.333 0.496 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1nnc(-c2ccco2)s1 ZINC000683902251 548533677 /nfs/dbraw/zinc/53/36/77/548533677.db2.gz URIUSRHUPNOJSD-UHFFFAOYSA-N 0 2 312.336 0.727 20 0 DCADLN Cc1cc(-c2nn[nH]n2)ccc1S(=O)(=O)Nc1cnn(C)c1 ZINC000737225734 598947858 /nfs/dbraw/zinc/94/78/58/598947858.db2.gz HNPWFWQEICOMKT-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN C[C@H](CNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C(C)(C)C ZINC000736026198 599058201 /nfs/dbraw/zinc/05/82/01/599058201.db2.gz MOCXANWTZCNWIC-MRVPVSSYSA-N 0 2 321.385 0.358 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCCCCOC)c1=O ZINC000736714166 599192454 /nfs/dbraw/zinc/19/24/54/599192454.db2.gz OVZYEBFCYODKQT-UHFFFAOYSA-N 0 2 308.342 0.027 20 0 DCADLN CCc1nn(CC2CCOCC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705590 599246427 /nfs/dbraw/zinc/24/64/27/599246427.db2.gz LBQMCFAAYCIQFJ-UHFFFAOYSA-N 0 2 318.381 0.975 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@H+](C)CC(=O)[O-])C1 ZINC000820364707 598042226 /nfs/dbraw/zinc/04/22/26/598042226.db2.gz SKAGKASSRGRAGA-WDEREUQCSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@@H+](C)CC(=O)[O-])C1 ZINC000820364707 598042229 /nfs/dbraw/zinc/04/22/29/598042229.db2.gz SKAGKASSRGRAGA-WDEREUQCSA-N 0 2 300.355 0.193 20 0 DCADLN O=C([O-])c1cc[nH+]c(N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000821383486 598218708 /nfs/dbraw/zinc/21/87/08/598218708.db2.gz IRRBVSWSQCLYJH-UHFFFAOYSA-N 0 2 304.350 0.180 20 0 DCADLN CCc1nn(CCCC(C)=O)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706427 598642763 /nfs/dbraw/zinc/64/27/63/598642763.db2.gz WHQFDSDHKFNPCP-UHFFFAOYSA-N 0 2 304.354 0.917 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H](C)Oc2ccc(-c3nn[nH]n3)cc2)n1 ZINC000737480145 598718512 /nfs/dbraw/zinc/71/85/12/598718512.db2.gz KHGNTMULSQBCBO-SSDOTTSWSA-N 0 2 314.309 0.699 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cccc(-c2nn[nH]n2)c1 ZINC000823196956 599692400 /nfs/dbraw/zinc/69/24/00/599692400.db2.gz NCFJEWHHTJHLQY-UHFFFAOYSA-N 0 2 314.309 0.053 20 0 DCADLN Cc1[nH]c(C(=O)NCC[N@H+]2CCOC[C@H]2C)c(C)c1C(=O)[O-] ZINC000738156213 599755254 /nfs/dbraw/zinc/75/52/54/599755254.db2.gz QHDCSVWJDLEEGF-SECBINFHSA-N 0 2 309.366 0.780 20 0 DCADLN Cc1[nH]c(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c(C)c1C(=O)[O-] ZINC000738156213 599755255 /nfs/dbraw/zinc/75/52/55/599755255.db2.gz QHDCSVWJDLEEGF-SECBINFHSA-N 0 2 309.366 0.780 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000736823557 599804771 /nfs/dbraw/zinc/80/47/71/599804771.db2.gz XNCYZXMMGRRWBB-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000736823557 599804773 /nfs/dbraw/zinc/80/47/73/599804773.db2.gz XNCYZXMMGRRWBB-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN O=C([O-])c1ccc2c(c1)CN(C[C@@H](O)C[NH+]1CCOCC1)C2 ZINC000320212697 600110264 /nfs/dbraw/zinc/11/02/64/600110264.db2.gz RXJNQDLEIBIYHP-HNNXBMFYSA-N 0 2 306.362 0.394 20 0 DCADLN C[C@@H](C(=O)[O-])n1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000736227846 600119832 /nfs/dbraw/zinc/11/98/32/600119832.db2.gz ZKBNRJSYXWRUNY-WCQYABFASA-N 0 2 317.349 0.892 20 0 DCADLN C[C@@H](C(=O)[O-])n1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000736227846 600119836 /nfs/dbraw/zinc/11/98/36/600119836.db2.gz ZKBNRJSYXWRUNY-WCQYABFASA-N 0 2 317.349 0.892 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000318510648 600138584 /nfs/dbraw/zinc/13/85/84/600138584.db2.gz YCBLCONKSFUZFX-MNOVXSKESA-N 0 2 323.736 0.368 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000318510648 600138587 /nfs/dbraw/zinc/13/85/87/600138587.db2.gz YCBLCONKSFUZFX-MNOVXSKESA-N 0 2 323.736 0.368 20 0 DCADLN Cc1cc(NCC[N@H+]2CCOC[C@H]2C)n2nc(C(=O)[O-])nc2n1 ZINC000738459144 600162396 /nfs/dbraw/zinc/16/23/96/600162396.db2.gz KMDMPJPIAOLCEA-SNVBAGLBSA-N 0 2 320.353 0.264 20 0 DCADLN Cc1cc(NCC[N@@H+]2CCOC[C@H]2C)n2nc(C(=O)[O-])nc2n1 ZINC000738459144 600162399 /nfs/dbraw/zinc/16/23/99/600162399.db2.gz KMDMPJPIAOLCEA-SNVBAGLBSA-N 0 2 320.353 0.264 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)Nc1nnc(CCC(=O)[O-])s1 ZINC000736629455 600290396 /nfs/dbraw/zinc/29/03/96/600290396.db2.gz LQIBUFYXMINWST-MRVPVSSYSA-N 0 2 324.366 0.962 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+](C)C[C@H](C)C(=O)[O-])cc1 ZINC000737923024 600375261 /nfs/dbraw/zinc/37/52/61/600375261.db2.gz POTRYTWVNBPTQP-JTQLQIEISA-N 0 2 308.334 0.604 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+](C)C[C@H](C)C(=O)[O-])cc1 ZINC000737923024 600375264 /nfs/dbraw/zinc/37/52/64/600375264.db2.gz POTRYTWVNBPTQP-JTQLQIEISA-N 0 2 308.334 0.604 20 0 DCADLN COCCn1cnn(C[N@@H+]2CCC[C@@H](C(=O)[O-])C2)c1=S ZINC000737828896 600417875 /nfs/dbraw/zinc/41/78/75/600417875.db2.gz BRWXAKNIQIBDGM-SNVBAGLBSA-N 0 2 300.384 0.815 20 0 DCADLN COCCn1cnn(C[N@H+]2CCC[C@@H](C(=O)[O-])C2)c1=S ZINC000737828896 600417877 /nfs/dbraw/zinc/41/78/77/600417877.db2.gz BRWXAKNIQIBDGM-SNVBAGLBSA-N 0 2 300.384 0.815 20 0 DCADLN CCN1CCN(C2CC[NH+](Cn3cc(C(=O)[O-])nn3)CC2)C1=O ZINC000737114776 600427735 /nfs/dbraw/zinc/42/77/35/600427735.db2.gz FYLKYEDDYYSOIQ-UHFFFAOYSA-N 0 2 322.369 0.156 20 0 DCADLN CN1CC[C@H]([N@H+](C)Cn2ncc3cc(C(=O)[O-])ccc32)C1=O ZINC000737514499 600427861 /nfs/dbraw/zinc/42/78/61/600427861.db2.gz IFBAAEPUVOYMAB-ZDUSSCGKSA-N 0 2 302.334 0.855 20 0 DCADLN CN1CC[C@H]([N@@H+](C)Cn2ncc3cc(C(=O)[O-])ccc32)C1=O ZINC000737514499 600427865 /nfs/dbraw/zinc/42/78/65/600427865.db2.gz IFBAAEPUVOYMAB-ZDUSSCGKSA-N 0 2 302.334 0.855 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CCCN(CC(F)(F)F)CC1 ZINC000736209404 600435291 /nfs/dbraw/zinc/43/52/91/600435291.db2.gz UBAPOZUJFSZNLY-VIFPVBQESA-N 0 2 311.304 0.146 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CCCN(CC(F)(F)F)CC1 ZINC000736209404 600435295 /nfs/dbraw/zinc/43/52/95/600435295.db2.gz UBAPOZUJFSZNLY-VIFPVBQESA-N 0 2 311.304 0.146 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000736646998 600495054 /nfs/dbraw/zinc/49/50/54/600495054.db2.gz ZRKLALHTIMWBFX-YNEHKIRRSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@H](CC(=O)[O-])SCC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000736551853 600586026 /nfs/dbraw/zinc/58/60/26/600586026.db2.gz LCTPTOZVTGIHTO-LLVKDONJSA-N 0 2 318.439 0.810 20 0 DCADLN COc1c(C)c[nH+]c(CN2C(=O)N[C@H](CC(=O)[O-])C2=O)c1C ZINC000737840532 600581032 /nfs/dbraw/zinc/58/10/32/600581032.db2.gz YLZVKKQUYZTKEG-SECBINFHSA-N 0 2 307.306 0.602 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)COC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000828714353 600680930 /nfs/dbraw/zinc/68/09/30/600680930.db2.gz ACVDQHLHALKQRF-GMXVVIOVSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)COC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000828714353 600680931 /nfs/dbraw/zinc/68/09/31/600680931.db2.gz ACVDQHLHALKQRF-GMXVVIOVSA-N 0 2 314.382 0.629 20 0 DCADLN CC[N@H+](C)[C@H](C(=O)O[C@@H](C)C(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608788 600683139 /nfs/dbraw/zinc/68/31/39/600683139.db2.gz POKCLMMGDRAMPB-FZMZJTMJSA-N 0 2 322.361 0.812 20 0 DCADLN CC[N@@H+](C)[C@H](C(=O)O[C@@H](C)C(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608788 600683141 /nfs/dbraw/zinc/68/31/41/600683141.db2.gz POKCLMMGDRAMPB-FZMZJTMJSA-N 0 2 322.361 0.812 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)cn1 ZINC000315902554 601084702 /nfs/dbraw/zinc/08/47/02/601084702.db2.gz MRBHWHMVTSYTQU-GFCCVEGCSA-N 0 2 305.334 0.327 20 0 DCADLN NC(=[NH+]OCC(=O)N1CCC(C(=O)[O-])CC1)c1ccccc1 ZINC000833079095 601197890 /nfs/dbraw/zinc/19/78/90/601197890.db2.gz SUUOSTAIJNMSLK-UHFFFAOYSA-N 0 2 305.334 0.856 20 0 DCADLN Cn1cc(N2CCC[C@H]([N@@H+]3CC[C@@](F)(C(=O)[O-])C3)C2=O)cn1 ZINC000833047027 601436208 /nfs/dbraw/zinc/43/62/08/601436208.db2.gz ZDHKZHUNDABBFH-FZMZJTMJSA-N 0 2 310.329 0.414 20 0 DCADLN Cn1cc(N2CCC[C@H]([N@H+]3CC[C@@](F)(C(=O)[O-])C3)C2=O)cn1 ZINC000833047027 601436209 /nfs/dbraw/zinc/43/62/09/601436209.db2.gz ZDHKZHUNDABBFH-FZMZJTMJSA-N 0 2 310.329 0.414 20 0 DCADLN Cc1cc(=O)n2nc(C[N@@H+]3CC[C@](F)(C(=O)[O-])C3)sc2n1 ZINC000832857897 601438828 /nfs/dbraw/zinc/43/88/28/601438828.db2.gz CZYYVAUGDQTCTK-GFCCVEGCSA-N 0 2 312.326 0.458 20 0 DCADLN Cc1cc(=O)n2nc(C[N@H+]3CC[C@](F)(C(=O)[O-])C3)sc2n1 ZINC000832857897 601438829 /nfs/dbraw/zinc/43/88/29/601438829.db2.gz CZYYVAUGDQTCTK-GFCCVEGCSA-N 0 2 312.326 0.458 20 0 DCADLN COC(=O)CCCc1nc(C[N@@H+]2C[C@H](C)[C@@H](C(=O)[O-])C2)no1 ZINC000831156066 601543473 /nfs/dbraw/zinc/54/34/73/601543473.db2.gz WNZOIQNEBSRBAN-UWVGGRQHSA-N 0 2 311.338 0.718 20 0 DCADLN COC(=O)CCCc1nc(C[N@H+]2C[C@H](C)[C@@H](C(=O)[O-])C2)no1 ZINC000831156066 601543474 /nfs/dbraw/zinc/54/34/74/601543474.db2.gz WNZOIQNEBSRBAN-UWVGGRQHSA-N 0 2 311.338 0.718 20 0 DCADLN O=C([O-])CCCS(=O)(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000833228265 601585408 /nfs/dbraw/zinc/58/54/08/601585408.db2.gz JVBNLGIULKELPP-JTQLQIEISA-N 0 2 301.368 0.784 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCO[C@H](CC(=O)[O-])C3)[nH+]c12 ZINC000832982244 601737972 /nfs/dbraw/zinc/73/79/72/601737972.db2.gz XMUPYMSVGSMWJJ-CYBMUJFWSA-N 0 2 317.345 0.887 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2ccsc2C(=O)[O-])CC1 ZINC000832730575 601831751 /nfs/dbraw/zinc/83/17/51/601831751.db2.gz QRNXCEGAFTYGJB-HXUWFJFHSA-N 0 2 316.448 0.942 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCSC[C@H]1CCO)C(=O)[O-] ZINC000828719334 601875113 /nfs/dbraw/zinc/87/51/13/601875113.db2.gz QRYLODSBJOTSGL-DMDPSCGWSA-N 0 2 318.439 0.402 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCSC[C@H]1CCO)C(=O)[O-] ZINC000828719334 601875116 /nfs/dbraw/zinc/87/51/16/601875116.db2.gz QRYLODSBJOTSGL-DMDPSCGWSA-N 0 2 318.439 0.402 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@@H](C)CC(=O)[O-])CC1 ZINC000833015193 602164113 /nfs/dbraw/zinc/16/41/13/602164113.db2.gz ZWWADNCBEKIMLB-NSHDSACASA-N 0 2 322.409 0.792 20 0 DCADLN O=C([O-])N1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)CC1 ZINC000738646903 602455958 /nfs/dbraw/zinc/45/59/58/602455958.db2.gz LIERTIWOQKBLGK-GFCCVEGCSA-N 0 2 321.381 0.485 20 0 DCADLN O=C([O-])N1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)CC1 ZINC000738646903 602455961 /nfs/dbraw/zinc/45/59/61/602455961.db2.gz LIERTIWOQKBLGK-GFCCVEGCSA-N 0 2 321.381 0.485 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N[C@H]2CCCN(C(=O)[O-])C2)CCO1 ZINC000736806665 603297333 /nfs/dbraw/zinc/29/73/33/603297333.db2.gz DGXODCWTIVQOLH-RYUDHWBXSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N[C@H]2CCCN(C(=O)[O-])C2)CCO1 ZINC000736806665 603297334 /nfs/dbraw/zinc/29/73/34/603297334.db2.gz DGXODCWTIVQOLH-RYUDHWBXSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H]1CC[C@H](CCC(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)O1 ZINC000825871200 603495240 /nfs/dbraw/zinc/49/52/40/603495240.db2.gz CMUXLRJRTWMNAQ-QWHCGFSZSA-N 0 2 313.398 0.746 20 0 DCADLN CC(C)n1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000824520064 603517191 /nfs/dbraw/zinc/51/71/91/603517191.db2.gz HMGGQIIIGJBZIV-NSHDSACASA-N 0 2 309.370 0.488 20 0 DCADLN CC(C)n1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000824520064 603517195 /nfs/dbraw/zinc/51/71/95/603517195.db2.gz HMGGQIIIGJBZIV-NSHDSACASA-N 0 2 309.370 0.488 20 0 DCADLN C[N@H+](CN1C(=O)N[C@]2(CCCC[C@H]2CNC(=O)[O-])C1=O)C1CC1 ZINC000828345768 603591608 /nfs/dbraw/zinc/59/16/08/603591608.db2.gz HWXJZFFKCUQIRL-BONVTDFDSA-N 0 2 324.381 0.787 20 0 DCADLN C[N@@H+](CN1C(=O)N[C@]2(CCCC[C@H]2CNC(=O)[O-])C1=O)C1CC1 ZINC000828345768 603591614 /nfs/dbraw/zinc/59/16/14/603591614.db2.gz HWXJZFFKCUQIRL-BONVTDFDSA-N 0 2 324.381 0.787 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000831272589 603713039 /nfs/dbraw/zinc/71/30/39/603713039.db2.gz KSAYICPVANLBSF-RYUDHWBXSA-N 0 2 308.338 0.160 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000831274518 603743032 /nfs/dbraw/zinc/74/30/32/603743032.db2.gz XDBVCWXCXYIFJU-MXWKQRLJSA-N 0 2 308.338 0.229 20 0 DCADLN O=C([O-])N1CCC[N@H+](CN2CC3(CC2=O)CCOCC3)CC1 ZINC000832015737 603874971 /nfs/dbraw/zinc/87/49/71/603874971.db2.gz XPXJMEZMUWMLTC-UHFFFAOYSA-N 0 2 311.382 0.659 20 0 DCADLN O=C([O-])N1CCC[N@@H+](CN2CC3(CC2=O)CCOCC3)CC1 ZINC000832015737 603874974 /nfs/dbraw/zinc/87/49/74/603874974.db2.gz XPXJMEZMUWMLTC-UHFFFAOYSA-N 0 2 311.382 0.659 20 0 DCADLN CC(C)C[C@@H]1NC(=O)N(C[N@@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000824231044 603914932 /nfs/dbraw/zinc/91/49/32/603914932.db2.gz CHEFFEBWJPUOJS-QWRGUYRKSA-N 0 2 312.370 0.595 20 0 DCADLN CC(C)C[C@@H]1NC(=O)N(C[N@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000824231044 603914934 /nfs/dbraw/zinc/91/49/34/603914934.db2.gz CHEFFEBWJPUOJS-QWRGUYRKSA-N 0 2 312.370 0.595 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000830856475 604077481 /nfs/dbraw/zinc/07/74/81/604077481.db2.gz NCXDOUBIYYNAPB-NSHDSACASA-N 0 2 309.370 0.707 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[N@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000830856475 604077485 /nfs/dbraw/zinc/07/74/85/604077485.db2.gz NCXDOUBIYYNAPB-NSHDSACASA-N 0 2 309.370 0.707 20 0 DCADLN C[C@@H]1CCN(C(=O)COC2CN(C(=O)[O-])C2)C[C@H]1n1cc[nH+]c1 ZINC000825939478 604324677 /nfs/dbraw/zinc/32/46/77/604324677.db2.gz UUGXMZJLUYWHOX-DGCLKSJQSA-N 0 2 322.365 0.671 20 0 DCADLN C[C@H](CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])c1cccnc1 ZINC000824589701 604395687 /nfs/dbraw/zinc/39/56/87/604395687.db2.gz GPQKAORWZOWEAC-OCCSQVGLSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])c1cccnc1 ZINC000824589701 604395690 /nfs/dbraw/zinc/39/56/90/604395690.db2.gz GPQKAORWZOWEAC-OCCSQVGLSA-N 0 2 320.393 0.985 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(Cl)cn2)[C@@H](CNC(=O)[O-])C1 ZINC000828513390 604396211 /nfs/dbraw/zinc/39/62/11/604396211.db2.gz JSHGWNNEWKXQJH-JTQLQIEISA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(Cl)cn2)[C@@H](CNC(=O)[O-])C1 ZINC000828513390 604396213 /nfs/dbraw/zinc/39/62/13/604396213.db2.gz JSHGWNNEWKXQJH-JTQLQIEISA-N 0 2 312.757 0.759 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000825618942 604406828 /nfs/dbraw/zinc/40/68/28/604406828.db2.gz YCRQRYMKTNPAMX-QWHCGFSZSA-N 0 2 321.377 0.864 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000825618942 604406831 /nfs/dbraw/zinc/40/68/31/604406831.db2.gz YCRQRYMKTNPAMX-QWHCGFSZSA-N 0 2 321.377 0.864 20 0 DCADLN CCN(CC)C(=O)CN1CC[NH+](Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC000833654056 604523157 /nfs/dbraw/zinc/52/31/57/604523157.db2.gz YJZHTAXRHGKRGD-UHFFFAOYSA-N 0 2 322.409 0.699 20 0 DCADLN CCN(CC)C(=O)C[NH+]1CCN(Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC000833654056 604523159 /nfs/dbraw/zinc/52/31/59/604523159.db2.gz YJZHTAXRHGKRGD-UHFFFAOYSA-N 0 2 322.409 0.699 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000831705073 604695808 /nfs/dbraw/zinc/69/58/08/604695808.db2.gz YXFXTRUWRKUSCV-GFCCVEGCSA-N 0 2 322.365 0.257 20 0 DCADLN O=C(C[C@H]1CN(C(=O)[O-])CCO1)N[C@@H]1CCc2[nH+]ccn2C1 ZINC000831380522 604781189 /nfs/dbraw/zinc/78/11/89/604781189.db2.gz DTKCQAWUWPWZRW-MNOVXSKESA-N 0 2 308.338 0.083 20 0 DCADLN CC(C)(CO)[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])s2)CC1 ZINC000833461983 604899163 /nfs/dbraw/zinc/89/91/63/604899163.db2.gz OUJQBMAAWCDHLC-UHFFFAOYSA-N 0 2 312.391 0.975 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC000833767711 604901608 /nfs/dbraw/zinc/90/16/08/604901608.db2.gz NTJSSFYDGBPMEF-AAEUAGOBSA-N 0 2 319.292 0.664 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC000833767711 604901609 /nfs/dbraw/zinc/90/16/09/604901609.db2.gz NTJSSFYDGBPMEF-AAEUAGOBSA-N 0 2 319.292 0.664 20 0 DCADLN O=C([O-])N1CC(OCC(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000831533110 604929403 /nfs/dbraw/zinc/92/94/03/604929403.db2.gz CKRWZRXMECOVDU-UHFFFAOYSA-N 0 2 304.306 0.329 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCN2C(=O)CC[C@@H]2C1 ZINC000833405140 605147610 /nfs/dbraw/zinc/14/76/10/605147610.db2.gz YBVPBLWMRCCECX-DGCLKSJQSA-N 0 2 323.393 0.005 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCN2C(=O)CC[C@@H]2C1 ZINC000833405140 605147615 /nfs/dbraw/zinc/14/76/15/605147615.db2.gz YBVPBLWMRCCECX-DGCLKSJQSA-N 0 2 323.393 0.005 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000824210095 605290693 /nfs/dbraw/zinc/29/06/93/605290693.db2.gz AVZLWXJWUXGCSA-STQMWFEESA-N 0 2 313.398 0.602 20 0 DCADLN O=C([O-])N1CC(OCCC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)C1 ZINC000834073017 605307417 /nfs/dbraw/zinc/30/74/17/605307417.db2.gz JELNSSZYOJPEEA-LBPRGKRZSA-N 0 2 322.365 0.816 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)NCC[C@H]2CCOC2)CC1 ZINC000834036575 605398326 /nfs/dbraw/zinc/39/83/26/605398326.db2.gz CTWASYQQXHPXNN-LBPRGKRZSA-N 0 2 314.386 0.008 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828508213 605452542 /nfs/dbraw/zinc/45/25/42/605452542.db2.gz YKUILYHMOPCSHO-ZDUSSCGKSA-N 0 2 306.366 0.780 20 0 DCADLN C[N@H+]1CCN(C(=O)NCc2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828508213 605452544 /nfs/dbraw/zinc/45/25/44/605452544.db2.gz YKUILYHMOPCSHO-ZDUSSCGKSA-N 0 2 306.366 0.780 20 0 DCADLN Cc1cc(NC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])n(C)n1 ZINC000830112003 605453536 /nfs/dbraw/zinc/45/35/36/605453536.db2.gz RWPYDFDKHVUIBF-SNVBAGLBSA-N 0 2 310.358 0.144 20 0 DCADLN Cc1cc(NC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])n(C)n1 ZINC000830112003 605453539 /nfs/dbraw/zinc/45/35/39/605453539.db2.gz RWPYDFDKHVUIBF-SNVBAGLBSA-N 0 2 310.358 0.144 20 0 DCADLN C[C@@H](CNC(=O)NC(C)(C)CNC(=O)[O-])[NH+]1CCOCC1 ZINC000824939323 605562896 /nfs/dbraw/zinc/56/28/96/605562896.db2.gz KTLBELRUHHXEKY-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN O=C([O-])NC[C@@H]1CCCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000834218180 605668199 /nfs/dbraw/zinc/66/81/99/605668199.db2.gz HDGWIIFOTILYTN-NWDGAFQWSA-N 0 2 306.366 0.952 20 0 DCADLN Cc1c(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cnn1C(C)C ZINC000833896802 605706408 /nfs/dbraw/zinc/70/64/08/605706408.db2.gz OTGZLANBQAOADG-LBPRGKRZSA-N 0 2 323.397 0.796 20 0 DCADLN Cc1c(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cnn1C(C)C ZINC000833896802 605706411 /nfs/dbraw/zinc/70/64/11/605706411.db2.gz OTGZLANBQAOADG-LBPRGKRZSA-N 0 2 323.397 0.796 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@@H+]3CC[C@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073702 605922252 /nfs/dbraw/zinc/92/22/52/605922252.db2.gz VQHZMGSYTOOAOH-WDEREUQCSA-N 0 2 307.354 0.176 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@H+]3CC[C@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073702 605922254 /nfs/dbraw/zinc/92/22/54/605922254.db2.gz VQHZMGSYTOOAOH-WDEREUQCSA-N 0 2 307.354 0.176 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@H+]1CC[C@H]1CCS(=O)(=O)C1 ZINC000833831454 606039362 /nfs/dbraw/zinc/03/93/62/606039362.db2.gz ZCBQKQKXVJAROC-WOPDTQHZSA-N 0 2 304.412 0.884 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@@H+]1CC[C@H]1CCS(=O)(=O)C1 ZINC000833831454 606039365 /nfs/dbraw/zinc/03/93/65/606039365.db2.gz ZCBQKQKXVJAROC-WOPDTQHZSA-N 0 2 304.412 0.884 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)C[C@@H]2CN(C(=O)[O-])CCO2)C1 ZINC000833955662 606084171 /nfs/dbraw/zinc/08/41/71/606084171.db2.gz TXOUUIHUCADXEA-VXGBXAGGSA-N 0 2 322.365 0.505 20 0 DCADLN CCc1nn(CCOCCOC)c(=O)c(-c2nn[nH]n2)c1CC ZINC000821516811 606203598 /nfs/dbraw/zinc/20/35/98/606203598.db2.gz CRRBMUJVTHZVOI-UHFFFAOYSA-N 0 2 322.369 0.211 20 0 DCADLN O=C(Nc1nc(-c2ccco2)n[nH]1)c1cc(-c2nn[nH]n2)c[nH]1 ZINC000823383172 606253480 /nfs/dbraw/zinc/25/34/80/606253480.db2.gz MYBUTUADGFPHAY-UHFFFAOYSA-N 0 2 311.265 0.825 20 0 DCADLN O=C(C=Cc1ccccc1-c1nn[nH]n1)NCc1n[nH]c(=O)[nH]1 ZINC000822927991 606640646 /nfs/dbraw/zinc/64/06/46/606640646.db2.gz CEARDJXDEIDVBS-AATRIKPKSA-N 0 2 312.293 0.020 20 0 DCADLN Cc1nnc(NC(=O)c2c(F)cc(-c3nn[nH]n3)cc2F)[nH]1 ZINC000822450030 606680342 /nfs/dbraw/zinc/68/03/42/606680342.db2.gz ZSXDZNDIXLHKHM-UHFFFAOYSA-N 0 2 306.236 0.824 20 0 DCADLN Cc1nc(NC(=O)c2c(F)cc(-c3nn[nH]n3)cc2F)n[nH]1 ZINC000822450030 606680343 /nfs/dbraw/zinc/68/03/43/606680343.db2.gz ZSXDZNDIXLHKHM-UHFFFAOYSA-N 0 2 306.236 0.824 20 0 DCADLN c1nc(CCNc2c3ccccc3nnc2-c2nn[nH]n2)n[nH]1 ZINC000823797818 606876372 /nfs/dbraw/zinc/87/63/72/606876372.db2.gz SWGHHEBVMRTXOB-UHFFFAOYSA-N 0 2 308.309 0.583 20 0 DCADLN CCC[C@H](NC(=O)c1ccc(-c2nn[nH]n2)cn1)c1nn[nH]n1 ZINC000821020945 606912456 /nfs/dbraw/zinc/91/24/56/606912456.db2.gz KCLCUWGTYGEMHT-QMMMGPOBSA-N 0 2 314.313 0.046 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2cc3ccccc3o2)c1=O ZINC000826356677 608095794 /nfs/dbraw/zinc/09/57/94/608095794.db2.gz AOAISMQIIWLLMP-UHFFFAOYSA-N 0 2 324.300 0.522 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccc(F)cc2F)c1=O ZINC000826357282 608096099 /nfs/dbraw/zinc/09/60/99/608096099.db2.gz YTCFZCFHSYMEQT-UHFFFAOYSA-N 0 2 320.259 0.054 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)nc1NCCCc1n[nH]c(=O)[nH]1 ZINC000826280426 608546048 /nfs/dbraw/zinc/54/60/48/608546048.db2.gz BBYCEGRWLXRDAF-UHFFFAOYSA-N 0 2 301.314 0.439 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)cn1 ZINC001027757627 660700524 /nfs/dbraw/zinc/70/05/24/660700524.db2.gz GBKHUZRYQOQEPD-LBPRGKRZSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)cn1 ZINC001027757627 660700526 /nfs/dbraw/zinc/70/05/26/660700526.db2.gz GBKHUZRYQOQEPD-LBPRGKRZSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1[nH]ccc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027816407 660779496 /nfs/dbraw/zinc/77/94/96/660779496.db2.gz BOJWPWQMFSJOOO-SNVBAGLBSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1[nH]ccc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027816407 660779498 /nfs/dbraw/zinc/77/94/98/660779498.db2.gz BOJWPWQMFSJOOO-SNVBAGLBSA-N 0 2 304.354 0.541 20 0 DCADLN CCc1[nH]ccc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027863427 660855647 /nfs/dbraw/zinc/85/56/47/660855647.db2.gz LMUCSEWQUOFSRW-SNVBAGLBSA-N 0 2 318.381 0.795 20 0 DCADLN CCc1[nH]ccc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027863427 660855648 /nfs/dbraw/zinc/85/56/48/660855648.db2.gz LMUCSEWQUOFSRW-SNVBAGLBSA-N 0 2 318.381 0.795 20 0 DCADLN CCN(C(=O)[C@H]1CC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950029750 660906691 /nfs/dbraw/zinc/90/66/91/660906691.db2.gz IRZNBGAUINUIHN-MRVPVSSYSA-N 0 2 301.297 0.198 20 0 DCADLN Cc1cc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)ns1 ZINC000981060972 661069001 /nfs/dbraw/zinc/06/90/01/661069001.db2.gz LDJPJNYQUQUZPZ-UHFFFAOYSA-N 0 2 322.394 0.623 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001028090600 661146141 /nfs/dbraw/zinc/14/61/41/661146141.db2.gz CGZQKODRMSEDKD-NOOOWODRSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001028090600 661146144 /nfs/dbraw/zinc/14/61/44/661146144.db2.gz CGZQKODRMSEDKD-NOOOWODRSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(CC1=CCOCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981889739 661241785 /nfs/dbraw/zinc/24/17/85/661241785.db2.gz HKKWRNLKFATGCT-UHFFFAOYSA-N 0 2 321.381 0.281 20 0 DCADLN C[C@@H]1COCC[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981903983 661243629 /nfs/dbraw/zinc/24/36/29/661243629.db2.gz BHGDMNZHPURVNM-NEPJUHHUSA-N 0 2 323.397 0.217 20 0 DCADLN C[C@@H]1CCC[N@H+]1CC(=O)N1CCC[NH+](CC(=O)N2CCC2)CC1 ZINC000981953277 661254372 /nfs/dbraw/zinc/25/43/72/661254372.db2.gz LJWZGBCZHSERPZ-OAHLLOKOSA-N 0 2 322.453 0.237 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC12CCC2 ZINC001028174619 661258099 /nfs/dbraw/zinc/25/80/99/661258099.db2.gz ZUANIANYCOEYCL-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC12CCC2 ZINC001028174619 661258101 /nfs/dbraw/zinc/25/81/01/661258101.db2.gz ZUANIANYCOEYCL-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN CCc1nocc1C[N@H+]1CCC[C@H]1CNC(=O)c1nnc[nH]1 ZINC001028211665 661279807 /nfs/dbraw/zinc/27/98/07/661279807.db2.gz TXOZNQCCFFWVGT-NSHDSACASA-N 0 2 304.354 0.750 20 0 DCADLN O=C(c1ccc(Cl)[nH]1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032610748 665420367 /nfs/dbraw/zinc/42/03/67/665420367.db2.gz UKRBNRQVPYLQTG-YUMQZZPRSA-N 0 2 322.756 0.591 20 0 DCADLN O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)C(F)C(F)(F)F ZINC000890535734 657609935 /nfs/dbraw/zinc/60/99/35/657609935.db2.gz UKHANFRNGFYXND-HZGVNTEJSA-N 0 2 308.235 0.778 20 0 DCADLN O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)[C@@H](F)C(F)(F)F ZINC000890535734 657609962 /nfs/dbraw/zinc/60/99/62/657609962.db2.gz UKHANFRNGFYXND-HZGVNTEJSA-N 0 2 308.235 0.778 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)C1CC=CC1 ZINC001023256165 657662771 /nfs/dbraw/zinc/66/27/71/657662771.db2.gz VHXQUAOYCRFLLG-BBBLOLIVSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O)C1CC=CC1 ZINC001023256165 657662778 /nfs/dbraw/zinc/66/27/78/657662778.db2.gz VHXQUAOYCRFLLG-BBBLOLIVSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001031793862 665508086 /nfs/dbraw/zinc/50/80/86/665508086.db2.gz PIKOHDVMZONGIB-UTUOFQBUSA-N 0 2 305.382 0.495 20 0 DCADLN Cc1cscc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010484737 657822018 /nfs/dbraw/zinc/82/20/18/657822018.db2.gz YOITTWZRKBBHCN-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC000969441919 657887059 /nfs/dbraw/zinc/88/70/59/657887059.db2.gz BCEOTQSURONWQW-SSDOTTSWSA-N 0 2 320.353 0.365 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001023460883 657895151 /nfs/dbraw/zinc/89/51/51/657895151.db2.gz JBIJJGCQNLSKHD-LLVKDONJSA-N 0 2 319.409 0.086 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969631760 658041783 /nfs/dbraw/zinc/04/17/83/658041783.db2.gz JKQHHPIHWYMSEE-XPUUQOCRSA-N 0 2 301.297 0.102 20 0 DCADLN Cc1noc([C@H]2CCCCN2C(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC000804858590 658070302 /nfs/dbraw/zinc/07/03/02/658070302.db2.gz CUZPICLNHGOEKN-BDAKNGLRSA-N 0 2 323.378 0.988 20 0 DCADLN O=C([C@@H]1COCC[N@@H+]1C1CCCC1)N1CC[C@@H]([NH2+]CCF)C1 ZINC000969693588 658082282 /nfs/dbraw/zinc/08/22/82/658082282.db2.gz ZCCKBUJCUQUWKG-HIFRSBDPSA-N 0 2 313.417 0.790 20 0 DCADLN Cc1ncoc1C[N@H+]1CCC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001023656371 658105205 /nfs/dbraw/zinc/10/52/05/658105205.db2.gz WBIIXOMDOCQSBH-LLVKDONJSA-N 0 2 304.354 0.743 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H]([NH2+]Cc3ccon3)C2)c1[O-] ZINC000998927677 665550846 /nfs/dbraw/zinc/55/08/46/665550846.db2.gz NSHRPROJVKYVDY-LLVKDONJSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1noc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC000969922270 658264111 /nfs/dbraw/zinc/26/41/11/658264111.db2.gz JDNRRBKARSGUFL-SECBINFHSA-N 0 2 320.353 0.365 20 0 DCADLN CCc1cc(C(=O)N2CC[C@H]([N@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000971113390 658284524 /nfs/dbraw/zinc/28/45/24/658284524.db2.gz AHDHEQDCCJQHHQ-JTQLQIEISA-N 0 2 319.369 0.142 20 0 DCADLN CCc1cc(C(=O)N2CC[C@H]([N@@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000971113390 658284533 /nfs/dbraw/zinc/28/45/33/658284533.db2.gz AHDHEQDCCJQHHQ-JTQLQIEISA-N 0 2 319.369 0.142 20 0 DCADLN CC(C)C(=O)N1CCC2(CC1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000949305738 658296536 /nfs/dbraw/zinc/29/65/36/658296536.db2.gz QMYYNIGJXDMZEP-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccco1 ZINC000972759002 658391574 /nfs/dbraw/zinc/39/15/74/658391574.db2.gz AIDXCTFLWQBCNK-SNVBAGLBSA-N 0 2 305.338 0.744 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccc[nH]1 ZINC000972805909 658432028 /nfs/dbraw/zinc/43/20/28/658432028.db2.gz ZIYXWZBSJOLTKO-SNVBAGLBSA-N 0 2 304.354 0.479 20 0 DCADLN C/C=C(/C)C(=O)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972326858 658570913 /nfs/dbraw/zinc/57/09/13/658570913.db2.gz JJRCRNFRQFRSQB-OVKUULJCSA-N 0 2 321.381 0.280 20 0 DCADLN C[C@H](NC(=O)[C@]12C[C@H]1CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970186425 658711781 /nfs/dbraw/zinc/71/17/81/658711781.db2.gz BXTRMZVHGYXHTK-ZVWUFJHRSA-N 0 2 305.382 0.637 20 0 DCADLN Cn1nncc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949683606 658732981 /nfs/dbraw/zinc/73/29/81/658732981.db2.gz KCBLRWBKOUSOIS-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000949683606 658732991 /nfs/dbraw/zinc/73/29/91/658732991.db2.gz KCBLRWBKOUSOIS-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1conc1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024746949 658783503 /nfs/dbraw/zinc/78/35/03/658783503.db2.gz JWKACFVUIGTXDR-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN CN(Cc1nnn(C)n1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575366 658825492 /nfs/dbraw/zinc/82/54/92/658825492.db2.gz DNBRTOYMRFTOCN-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN CN(Cc1nnn(C)n1)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000971575366 658825501 /nfs/dbraw/zinc/82/55/01/658825501.db2.gz DNBRTOYMRFTOCN-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN Cn1nccc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000939670253 665621234 /nfs/dbraw/zinc/62/12/34/665621234.db2.gz OLEYARUSQHSMGW-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000939670253 665621235 /nfs/dbraw/zinc/62/12/35/665621235.db2.gz OLEYARUSQHSMGW-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cc1nn[nH]c1C(=O)N1CC[C@]2(C1)C[N@H+](CCCF)CCO2 ZINC000972492460 658904564 /nfs/dbraw/zinc/90/45/64/658904564.db2.gz LKEUJUMNFXELRQ-CQSZACIVSA-N 0 2 311.361 0.390 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccns1 ZINC001007442202 659103354 /nfs/dbraw/zinc/10/33/54/659103354.db2.gz CWCDDYLKHLVNMY-MRVPVSSYSA-N 0 2 308.367 0.361 20 0 DCADLN CO[C@H]1CCC[C@@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949935628 659283781 /nfs/dbraw/zinc/28/37/81/659283781.db2.gz TUCOPJABSNWVRN-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1noc([C@@H](C)[NH2+]C2CCC(NC(=O)c3cnn[nH]3)CC2)n1 ZINC001026520996 659379502 /nfs/dbraw/zinc/37/95/02/659379502.db2.gz RKENCXCNMNBAJJ-MFAVDMRSSA-N 0 2 319.369 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1[nH]ccc1C1CC1 ZINC001038332354 659524331 /nfs/dbraw/zinc/52/43/31/659524331.db2.gz JAZVFQYXDUMRJC-JTQLQIEISA-N 0 2 316.365 0.720 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccns1 ZINC000974636612 659604755 /nfs/dbraw/zinc/60/47/55/659604755.db2.gz SVUALUGUGMWLIT-SECBINFHSA-N 0 2 322.394 0.607 20 0 DCADLN Cc1nonc1C[NH2+]C[C@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001027074322 659787118 /nfs/dbraw/zinc/78/71/18/659787118.db2.gz XHRZAMAWLBTMNX-LLVKDONJSA-N 0 2 319.369 0.067 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N[C@@H]2CC[NH+](CCOC3CCC3)C2)C1 ZINC001010425350 661395447 /nfs/dbraw/zinc/39/54/47/661395447.db2.gz DCRVGEKXVGCYIC-HIFRSBDPSA-N 0 2 311.426 0.077 20 0 DCADLN COc1ccc(CN2CC[C@H]2CNC(=O)c2cnn[nH]2)cc1F ZINC001038434216 662050685 /nfs/dbraw/zinc/05/06/85/662050685.db2.gz HSBRWMJUDMJVOU-NSHDSACASA-N 0 2 319.340 0.957 20 0 DCADLN O=C([C@H]1[C@@H]2CCC[C@@H]21)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029258962 662070902 /nfs/dbraw/zinc/07/09/02/662070902.db2.gz IHJIDHDGZYHFOG-UZPTXHBLSA-N 0 2 317.393 0.732 20 0 DCADLN Cc1[nH+]cc2n1CC[C@H](C(=O)N1C[C@H]3CC[C@@H](C1)[N@H+]3CCO)C2 ZINC001029474709 662269455 /nfs/dbraw/zinc/26/94/55/662269455.db2.gz GUTNWJTXWGGYLU-SOUVJXGZSA-N 0 2 318.421 0.421 20 0 DCADLN Cc1ccncc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006995744 662274263 /nfs/dbraw/zinc/27/42/63/662274263.db2.gz GLIWEJJTSDMNIE-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ncc(C[N@@H+]2CC[C@H](NC(=O)c3nc[nH]n3)C[C@H]2C)o1 ZINC000947828190 662644127 /nfs/dbraw/zinc/64/41/27/662644127.db2.gz FBQIZOJQEHNRKM-KOLCDFICSA-N 0 2 304.354 0.884 20 0 DCADLN C[C@H](CN(C)c1cc(N2CCC[C@H](CO)C2)nc[nH+]1)C(=O)[O-] ZINC000263557419 662715900 /nfs/dbraw/zinc/71/59/00/662715900.db2.gz PEZCZGVJNAPNQA-NEPJUHHUSA-N 0 2 308.382 0.842 20 0 DCADLN C[C@H](CN(C)c1cc(N2CCC[C@H](CO)C2)[nH+]cn1)C(=O)[O-] ZINC000263557419 662715901 /nfs/dbraw/zinc/71/59/01/662715901.db2.gz PEZCZGVJNAPNQA-NEPJUHHUSA-N 0 2 308.382 0.842 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000937847783 662830170 /nfs/dbraw/zinc/83/01/70/662830170.db2.gz GJSFAHMBFBPBGV-CIUDSAMLSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000937847783 662830172 /nfs/dbraw/zinc/83/01/72/662830172.db2.gz GJSFAHMBFBPBGV-CIUDSAMLSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cc[n+]([O-])cc1 ZINC000990152446 663009722 /nfs/dbraw/zinc/00/97/22/663009722.db2.gz ODIBXRXDFHHACJ-VIFPVBQESA-N 0 2 321.230 0.161 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cc[n+]([O-])cc1 ZINC000990152446 663009723 /nfs/dbraw/zinc/00/97/23/663009723.db2.gz ODIBXRXDFHHACJ-VIFPVBQESA-N 0 2 321.230 0.161 20 0 DCADLN Cc1n[nH]c(C(=O)NC2CN(C(=O)c3ccccc3O)C2)c1C ZINC000990546828 663064326 /nfs/dbraw/zinc/06/43/26/663064326.db2.gz CAZIPZFLHPIDMO-UHFFFAOYSA-N 0 2 314.345 0.987 20 0 DCADLN Cc1cc(C)n(CC[NH+]2CC[C@H]2CNC(=O)[C@H]2CCC[N@H+]2C)n1 ZINC001038119772 663089665 /nfs/dbraw/zinc/08/96/65/663089665.db2.gz XIHFXKBNPGZXDO-JKSUJKDBSA-N 0 2 319.453 0.785 20 0 DCADLN Cc1[nH+]c(C(=O)[N-]N2C(=O)[C@@H](C)N(C)C2=O)c2ccccn12 ZINC000899030883 663119501 /nfs/dbraw/zinc/11/95/01/663119501.db2.gz HRIFAWWNRIDPIL-MRVPVSSYSA-N 0 2 301.306 0.570 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)C(F)(F)C2(O)CCCC2)C(=O)N1C ZINC000899035860 663119826 /nfs/dbraw/zinc/11/98/26/663119826.db2.gz OPUSQGRXMMPAKE-SSDOTTSWSA-N 0 2 305.281 0.241 20 0 DCADLN C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cc(F)c(O)c(F)c1 ZINC000899451086 663145404 /nfs/dbraw/zinc/14/54/04/663145404.db2.gz QHKPTZKBUHVMJQ-LDWIPMOCSA-N 0 2 305.302 0.833 20 0 DCADLN CN1CCN(c2cc(N(CC(=O)[O-])CC3CC3)[nH+]cn2)CC1=O ZINC000900970309 663296856 /nfs/dbraw/zinc/29/68/56/663296856.db2.gz LSSZQLRNJMURAG-UHFFFAOYSA-N 0 2 319.365 0.056 20 0 DCADLN CN1CCN(c2cc(N(CC(=O)[O-])CC3CC3)nc[nH+]2)CC1=O ZINC000900970309 663296857 /nfs/dbraw/zinc/29/68/57/663296857.db2.gz LSSZQLRNJMURAG-UHFFFAOYSA-N 0 2 319.365 0.056 20 0 DCADLN CS(=O)(=O)C[C@H]1CN(c2ccc(C(=O)[O-])c[nH+]2)CCO1 ZINC000900976241 663297110 /nfs/dbraw/zinc/29/71/10/663297110.db2.gz DEBMMRCVPVLDLG-SNVBAGLBSA-N 0 2 300.336 0.030 20 0 DCADLN CO[C@H]1CCC[C@@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031935722 665955116 /nfs/dbraw/zinc/95/51/16/665955116.db2.gz BVAQIJNCQGNMIR-NEPJUHHUSA-N 0 2 323.397 0.264 20 0 DCADLN CCOCc1ccccc1CNC(=O)CON=C(N)CN(C)C ZINC000902612424 663422146 /nfs/dbraw/zinc/42/21/46/663422146.db2.gz IPDKNTBWRDQPTE-UHFFFAOYSA-N 0 2 322.409 0.899 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cnc(C)cn1)[C@H]1CCOC1 ZINC000903656735 663473478 /nfs/dbraw/zinc/47/34/78/663473478.db2.gz RRMCHSJANLDOFU-QWRGUYRKSA-N 0 2 301.368 0.578 20 0 DCADLN C[C@@H]1CCCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031941396 665971489 /nfs/dbraw/zinc/97/14/89/665971489.db2.gz ODLWWJVETXTVKX-ZYHUDNBSSA-N 0 2 307.398 0.885 20 0 DCADLN CN(CC[NH+](C)C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906883546 663648778 /nfs/dbraw/zinc/64/87/78/663648778.db2.gz SNMNHKRYQYKZPC-UHFFFAOYSA-N 0 2 302.352 0.273 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC[C@@H](F)C1 ZINC001001087732 665993836 /nfs/dbraw/zinc/99/38/36/665993836.db2.gz QZLPAMKGSUWIRK-VXGBXAGGSA-N 0 2 323.372 0.897 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC[C@@H](F)C1 ZINC001001087732 665993838 /nfs/dbraw/zinc/99/38/38/665993838.db2.gz QZLPAMKGSUWIRK-VXGBXAGGSA-N 0 2 323.372 0.897 20 0 DCADLN CCCN(C(=O)NCC(C)(C)[NH+]1CCOCC1)[C@H](C)C(=O)[O-] ZINC000908746675 663767804 /nfs/dbraw/zinc/76/78/04/663767804.db2.gz CQANLNKAVQQRDK-GFCCVEGCSA-N 0 2 315.414 0.992 20 0 DCADLN C/C=C\C[C@@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000908747444 663767915 /nfs/dbraw/zinc/76/79/15/663767915.db2.gz LXZUHRCQMSJAOG-QMAVJUDZSA-N 0 2 313.398 0.816 20 0 DCADLN O=C([O-])C12CC(C1)CN2C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000909082211 663780442 /nfs/dbraw/zinc/78/04/42/663780442.db2.gz NFADQCPGEQAZKS-BWBHSNQOSA-N 0 2 316.361 0.919 20 0 DCADLN O=C([O-])c1csc(CCNC(=O)[C@@H]2CCc3c[nH+]cn3C2)n1 ZINC000909796007 663802533 /nfs/dbraw/zinc/80/25/33/663802533.db2.gz KXEAMVZWXSRSGD-SECBINFHSA-N 0 2 320.374 0.959 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)[C@@H](C(=O)[O-])C1 ZINC000909980518 663828333 /nfs/dbraw/zinc/82/83/33/663828333.db2.gz AENFOSBCCMUBBM-IJLUTSLNSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C1CCOCC1 ZINC000910017274 663836398 /nfs/dbraw/zinc/83/63/98/663836398.db2.gz GUCHQRSIHDKVBQ-AAEUAGOBSA-N 0 2 307.350 0.442 20 0 DCADLN CC[C@H](C(=O)N1CC([C@H](F)C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000910123096 663847758 /nfs/dbraw/zinc/84/77/58/663847758.db2.gz FKHOITNPGYLPJJ-UPJWGTAASA-N 0 2 316.373 0.757 20 0 DCADLN CC[C@H](C(=O)N1CC([C@H](F)C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000910123096 663847759 /nfs/dbraw/zinc/84/77/59/663847759.db2.gz FKHOITNPGYLPJJ-UPJWGTAASA-N 0 2 316.373 0.757 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000910245416 663858714 /nfs/dbraw/zinc/85/87/14/663858714.db2.gz RBULHKKGLOVDBM-SGMGOOAPSA-N 0 2 321.377 0.832 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@H](CC1CCOCC1)C(=O)[O-] ZINC000910450651 663884976 /nfs/dbraw/zinc/88/49/76/663884976.db2.gz COWHOGZLFQREIF-CYBMUJFWSA-N 0 2 309.366 0.739 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)[C@H]3COCC[N@@H+]3C3CCCC3)C[C@@H]21 ZINC000910802008 663942496 /nfs/dbraw/zinc/94/24/96/663942496.db2.gz OXNBZEHETZNZJQ-RQJABVFESA-N 0 2 308.378 0.419 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)[C@H]3COCC[N@H+]3C3CCCC3)C[C@@H]21 ZINC000910802008 663942497 /nfs/dbraw/zinc/94/24/97/663942497.db2.gz OXNBZEHETZNZJQ-RQJABVFESA-N 0 2 308.378 0.419 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@H]3C[C@@H](O)C3)CC2)[nH+]c1 ZINC000911167219 663996894 /nfs/dbraw/zinc/99/68/94/663996894.db2.gz CEAYEGLPWSLCNU-TXEJJXNPSA-N 0 2 305.334 0.199 20 0 DCADLN C[C@@]1(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CCCO1 ZINC000911171666 664001258 /nfs/dbraw/zinc/00/12/58/664001258.db2.gz JDYXHFSLZZEPMY-INIZCTEOSA-N 0 2 319.361 0.998 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)C[C@@H]3CCCO3)CC2)[nH+]c1 ZINC000911173368 664001473 /nfs/dbraw/zinc/00/14/73/664001473.db2.gz LSQOJLAPRUTAOI-ZDUSSCGKSA-N 0 2 319.361 0.998 20 0 DCADLN CC[N@H+](CC(=O)N[C@@H](CO)C(=O)[O-])Cc1ccccc1Cl ZINC000911257617 664016873 /nfs/dbraw/zinc/01/68/73/664016873.db2.gz WUMOLJRUWHFIPG-LBPRGKRZSA-N 0 2 314.769 0.724 20 0 DCADLN CC[N@@H+](CC(=O)N[C@@H](CO)C(=O)[O-])Cc1ccccc1Cl ZINC000911257617 664016877 /nfs/dbraw/zinc/01/68/77/664016877.db2.gz WUMOLJRUWHFIPG-LBPRGKRZSA-N 0 2 314.769 0.724 20 0 DCADLN O=C([O-])c1cnn(CC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)c1 ZINC000911335142 664030056 /nfs/dbraw/zinc/03/00/56/664030056.db2.gz UBOQXQPYOQFLCK-LBPRGKRZSA-N 0 2 303.322 0.642 20 0 DCADLN O=C([O-])c1ccn(CC(=O)N2CCC(n3cc[nH+]c3)CC2)n1 ZINC000911313402 664031459 /nfs/dbraw/zinc/03/14/59/664031459.db2.gz HXZWIODEFOXORO-UHFFFAOYSA-N 0 2 303.322 0.642 20 0 DCADLN Cn1c[nH+]cc1CCNC(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000911342096 664039194 /nfs/dbraw/zinc/03/91/94/664039194.db2.gz OEHKHRADQZJXCF-UHFFFAOYSA-N 0 2 303.318 0.856 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)O[C@H]1C(=O)[O-] ZINC000911469410 664056407 /nfs/dbraw/zinc/05/64/07/664056407.db2.gz OROSONSGHWAYDP-GFQSEFKGSA-N 0 2 319.361 0.655 20 0 DCADLN CN1C[C@@H](C(=O)Nc2nccc(Br)c2O)CC1=O ZINC000913026340 664255679 /nfs/dbraw/zinc/25/56/79/664255679.db2.gz OPJMHTJQQRCOCC-LURJTMIESA-N 0 2 314.139 0.967 20 0 DCADLN O=C(Nc1nccc(Br)c1O)[C@H]1CCC(=O)N1 ZINC000913026346 664255928 /nfs/dbraw/zinc/25/59/28/664255928.db2.gz OWCXOOFHGOHAFD-ZCFIWIBFSA-N 0 2 300.112 0.767 20 0 DCADLN CS(=O)(=O)CC(=O)Nc1nccc(Br)c1O ZINC000913026099 664255968 /nfs/dbraw/zinc/25/59/68/664255968.db2.gz HMUURQCNXLKJAR-UHFFFAOYSA-N 0 2 309.141 0.533 20 0 DCADLN O=C(NC[C@@]1(CO)COCCN1)c1ccc(Cl)cc1O ZINC000913191190 664287623 /nfs/dbraw/zinc/28/76/23/664287623.db2.gz ISLAQZWFPNKFRS-CYBMUJFWSA-N 0 2 300.742 0.126 20 0 DCADLN C[C@H]1CCC[C@@]1(C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950332105 664364665 /nfs/dbraw/zinc/36/46/65/664364665.db2.gz FNOAHWHOZJXLSU-XHDPSFHLSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1n[nH]c(C(=O)NC2C[NH+](C[C@H]3CC(C)(C)CO3)C2)c1[O-] ZINC001030222027 664479095 /nfs/dbraw/zinc/47/90/95/664479095.db2.gz CQCBCASGMSXSSZ-LLVKDONJSA-N 0 2 308.382 0.653 20 0 DCADLN C[C@H]1C[C@@H]1c1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001030302830 664507039 /nfs/dbraw/zinc/50/70/39/664507039.db2.gz NQBWAAWQLXPKSG-WPRPVWTQSA-N 0 2 317.349 0.841 20 0 DCADLN CC(C)[C@@H]1OCCC[C@@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030332617 664514300 /nfs/dbraw/zinc/51/43/00/664514300.db2.gz DZHPCQCHTCWNKH-AAEUAGOBSA-N 0 2 323.397 0.262 20 0 DCADLN Cc1cccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1F ZINC001030353916 664519495 /nfs/dbraw/zinc/51/94/95/664519495.db2.gz DVXQZODBONAGNZ-UHFFFAOYSA-N 0 2 305.313 0.572 20 0 DCADLN CC[C@H](C)n1nccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030384017 664525152 /nfs/dbraw/zinc/52/51/52/664525152.db2.gz SXGIUHQRSYBYFL-VIFPVBQESA-N 0 2 319.369 0.292 20 0 DCADLN CC(C)c1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001030439831 664546587 /nfs/dbraw/zinc/54/65/87/664546587.db2.gz BBHYLICXKDUWMQ-UHFFFAOYSA-N 0 2 316.365 0.643 20 0 DCADLN COCc1ccc(C[NH+]2CC(NC(=O)c3cnn[n-]3)C2)cc1 ZINC001030600787 664599722 /nfs/dbraw/zinc/59/97/22/664599722.db2.gz LSCMCUQFGZHLAQ-UHFFFAOYSA-N 0 2 301.350 0.565 20 0 DCADLN Cc1cccc(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000730540981 664791326 /nfs/dbraw/zinc/79/13/26/664791326.db2.gz GOBKYCJCJQJWDV-UHFFFAOYSA-N 0 2 311.345 0.739 20 0 DCADLN Cc1cc2c[nH]nc2cc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730543372 664791410 /nfs/dbraw/zinc/79/14/10/664791410.db2.gz DWDPRXHMKPZGJP-UHFFFAOYSA-N 0 2 323.316 0.895 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cncc(Cl)c1 ZINC001031100171 664792289 /nfs/dbraw/zinc/79/22/89/664792289.db2.gz BSJSGNHHJUOVOO-UHFFFAOYSA-N 0 2 308.729 0.173 20 0 DCADLN CC(C)c1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]1 ZINC000730590088 664795969 /nfs/dbraw/zinc/79/59/69/664795969.db2.gz ODJNMKHFBBSKBX-UHFFFAOYSA-N 0 2 301.310 0.556 20 0 DCADLN COc1ccc(OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1 ZINC000730686601 664800187 /nfs/dbraw/zinc/80/01/87/664800187.db2.gz NGEDDTADCULQDQ-UHFFFAOYSA-N 0 2 300.274 0.685 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2=COCCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993105120 664809381 /nfs/dbraw/zinc/80/93/81/664809381.db2.gz BKRMMPUPRBZUQR-PWSUYJOCSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2=COCCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993105120 664809382 /nfs/dbraw/zinc/80/93/82/664809382.db2.gz BKRMMPUPRBZUQR-PWSUYJOCSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccnn2C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993107556 664809861 /nfs/dbraw/zinc/80/98/61/664809861.db2.gz OVVNMWZSWWGSPT-ZJUUUORDSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1ncsc1CN(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000731199954 664843727 /nfs/dbraw/zinc/84/37/27/664843727.db2.gz WXEGRVUSGPQFLZ-UHFFFAOYSA-N 0 2 318.362 0.495 20 0 DCADLN COc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001031300062 664970257 /nfs/dbraw/zinc/97/02/57/664970257.db2.gz RFVOGEWMEKYLPM-UHFFFAOYSA-N 0 2 303.322 0.133 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2csnn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993633659 664990252 /nfs/dbraw/zinc/99/02/52/664990252.db2.gz LDGIZSWAAZGOSR-SFYZADRCSA-N 0 2 323.382 0.145 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)NC1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000993882918 665012749 /nfs/dbraw/zinc/01/27/49/665012749.db2.gz WADFGSRCJQRCRG-CYBMUJFWSA-N 0 2 319.409 0.154 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(CF)cc1 ZINC001031457016 665159739 /nfs/dbraw/zinc/15/97/39/665159739.db2.gz VYDSVNIUMYYYSE-UHFFFAOYSA-N 0 2 305.313 0.594 20 0 DCADLN Cc1ncc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031574638 665207068 /nfs/dbraw/zinc/20/70/68/665207068.db2.gz MMBAFGKQWHMHAL-UHFFFAOYSA-N 0 2 308.367 0.137 20 0 DCADLN Cc1cc(C)n(CCCNC(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC000920954824 665285139 /nfs/dbraw/zinc/28/51/39/665285139.db2.gz JADPHDOFJJIEDG-JTQLQIEISA-N 0 2 309.395 0.563 20 0 DCADLN Cn1cccc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000921017411 665286081 /nfs/dbraw/zinc/28/60/81/665286081.db2.gz ISIGFLPHEUYNRO-JTQLQIEISA-N 0 2 304.354 0.938 20 0 DCADLN C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001032567689 665361466 /nfs/dbraw/zinc/36/14/66/665361466.db2.gz PQBMVEMZFQREQO-CSMHCCOUSA-N 0 2 319.287 0.494 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000941477720 666005697 /nfs/dbraw/zinc/00/56/97/666005697.db2.gz LGJIUYCLJIXVQJ-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000941477720 666005698 /nfs/dbraw/zinc/00/56/98/666005698.db2.gz LGJIUYCLJIXVQJ-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC12CC2 ZINC001001199599 666008416 /nfs/dbraw/zinc/00/84/16/666008416.db2.gz BVJAHPQYTKNOHR-LLVKDONJSA-N 0 2 303.366 0.559 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC12CC2 ZINC001001199599 666008417 /nfs/dbraw/zinc/00/84/17/666008417.db2.gz BVJAHPQYTKNOHR-LLVKDONJSA-N 0 2 303.366 0.559 20 0 DCADLN Cc1cc(C[NH+]2CCC(CNC(=O)c3ncn[nH]3)CC2)nn1C ZINC001001952887 666114430 /nfs/dbraw/zinc/11/44/30/666114430.db2.gz NWXVMNROQLBMIA-UHFFFAOYSA-N 0 2 317.397 0.489 20 0 DCADLN Cc1cc(C[NH+]2CCC(CNC(=O)c3nc[nH]n3)CC2)nn1C ZINC001001952887 666114432 /nfs/dbraw/zinc/11/44/32/666114432.db2.gz NWXVMNROQLBMIA-UHFFFAOYSA-N 0 2 317.397 0.489 20 0 DCADLN C[C@H]1C[N@H+](Cc2nncs2)CC[C@@H]1NC(=O)c1cnn[n-]1 ZINC000942215882 666132509 /nfs/dbraw/zinc/13/25/09/666132509.db2.gz HVXCOBCMXXQJPX-IUCAKERBSA-N 0 2 307.383 0.297 20 0 DCADLN C[C@H]1C[N@@H+](Cc2nncs2)CC[C@@H]1NC(=O)c1cnn[n-]1 ZINC000942215882 666132512 /nfs/dbraw/zinc/13/25/12/666132512.db2.gz HVXCOBCMXXQJPX-IUCAKERBSA-N 0 2 307.383 0.297 20 0 DCADLN C[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)n1cccc1 ZINC001002611794 666197868 /nfs/dbraw/zinc/19/78/68/666197868.db2.gz WXEYZXFCPCBVCI-NSHDSACASA-N 0 2 318.381 0.654 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003063272 666268397 /nfs/dbraw/zinc/26/83/97/666268397.db2.gz CUFNOFXJTODOHF-MFKMUULPSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1c[nH]cc1C1CC1 ZINC001032087330 666292622 /nfs/dbraw/zinc/29/26/22/666292622.db2.gz IJESUMSQDVFSRQ-UHFFFAOYSA-N 0 2 316.365 0.578 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC12CCCC2 ZINC001032106122 666322665 /nfs/dbraw/zinc/32/26/65/666322665.db2.gz UXRVIZMGEPVJIJ-NSHDSACASA-N 0 2 305.382 0.639 20 0 DCADLN Cc1ccncc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032976098 666416007 /nfs/dbraw/zinc/41/60/07/666416007.db2.gz KLNJNDAXCZZYRX-LLVKDONJSA-N 0 2 316.365 0.560 20 0 DCADLN CN(C(=O)Cc1cccnc1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033003764 666423358 /nfs/dbraw/zinc/42/33/58/666423358.db2.gz CSYYGXARUJJVMA-LBPRGKRZSA-N 0 2 316.365 0.181 20 0 DCADLN CN(C(=O)Cc1cccnc1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033003764 666423360 /nfs/dbraw/zinc/42/33/60/666423360.db2.gz CSYYGXARUJJVMA-LBPRGKRZSA-N 0 2 316.365 0.181 20 0 DCADLN CCc1nc[nH]c1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001004072888 666435892 /nfs/dbraw/zinc/43/58/92/666435892.db2.gz HXQIFYBSEPXSND-UHFFFAOYSA-N 0 2 319.369 0.190 20 0 DCADLN O=C(Cc1cccs1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032253403 666597520 /nfs/dbraw/zinc/59/75/20/666597520.db2.gz UADDGHDNUFMPKA-UWVGGRQHSA-N 0 2 319.390 0.600 20 0 DCADLN O=C(CC[C@@H]1CCCO1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032291461 666701592 /nfs/dbraw/zinc/70/15/92/666701592.db2.gz KZKUWXJWEKCJMH-SRVKXCTJSA-N 0 2 321.381 0.255 20 0 DCADLN CN(C(=O)C1(C)CCOCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033508381 666730021 /nfs/dbraw/zinc/73/00/21/666730021.db2.gz LXWPETCCDYUKPB-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)C1(C)CCOCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033508381 666730023 /nfs/dbraw/zinc/73/00/23/666730023.db2.gz LXWPETCCDYUKPB-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033491214 666730728 /nfs/dbraw/zinc/73/07/28/666730728.db2.gz KDWMIVDKQXAKJE-FRRDWIJNSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033491214 666730732 /nfs/dbraw/zinc/73/07/32/666730732.db2.gz KDWMIVDKQXAKJE-FRRDWIJNSA-N 0 2 319.409 0.979 20 0 DCADLN Cc1c[nH]cc1C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033651950 666785182 /nfs/dbraw/zinc/78/51/82/666785182.db2.gz BSQHOFRZNILWNZ-SNVBAGLBSA-N 0 2 304.354 0.493 20 0 DCADLN Cc1c[nH]cc1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033651950 666785185 /nfs/dbraw/zinc/78/51/85/666785185.db2.gz BSQHOFRZNILWNZ-SNVBAGLBSA-N 0 2 304.354 0.493 20 0 DCADLN CN(C(=O)[C@H]1CC1(F)F)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005363821 666830769 /nfs/dbraw/zinc/83/07/69/666830769.db2.gz ZCQWLVBANATSIB-SECBINFHSA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)c1csnn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033913284 666862759 /nfs/dbraw/zinc/86/27/59/666862759.db2.gz PRUCXHYFEXRGJO-QMMMGPOBSA-N 0 2 323.382 0.098 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cccnc1 ZINC001034081333 666913133 /nfs/dbraw/zinc/91/31/33/666913133.db2.gz QKMMPAQBXVYOOS-LBPRGKRZSA-N 0 2 316.365 0.690 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cccnc1 ZINC001034081333 666913135 /nfs/dbraw/zinc/91/31/35/666913135.db2.gz QKMMPAQBXVYOOS-LBPRGKRZSA-N 0 2 316.365 0.690 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCOC1 ZINC001034118488 666926761 /nfs/dbraw/zinc/92/67/61/666926761.db2.gz DXISUPVBCIFHLU-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCOC1 ZINC001034118488 666926764 /nfs/dbraw/zinc/92/67/64/666926764.db2.gz DXISUPVBCIFHLU-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](CCO)C1)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001034391926 667005601 /nfs/dbraw/zinc/00/56/01/667005601.db2.gz RHMJERBQNQMFLN-CHWSQXEVSA-N 0 2 306.410 0.793 20 0 DCADLN COC1CC(C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001005550738 667031459 /nfs/dbraw/zinc/03/14/59/667031459.db2.gz ZHMUDCJDEZJRCR-UHFFFAOYSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034478331 667039300 /nfs/dbraw/zinc/03/93/00/667039300.db2.gz XSQWCFZQHCHTKC-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN CC(C)(C)OC(=O)Nc1ccncc1C(=O)NN1CCOC1=O ZINC000862702336 667072827 /nfs/dbraw/zinc/07/28/27/667072827.db2.gz HPJKTMTZHOQNKW-UHFFFAOYSA-N 0 2 322.321 0.948 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1C[C@H]1c1ccsc1 ZINC000863004395 667108415 /nfs/dbraw/zinc/10/84/15/667108415.db2.gz FCGAFUMRXGVOIU-GXSJLCMTSA-N 0 2 315.358 0.805 20 0 DCADLN C[C@@H]1[C@H](NC(=O)N(CC2CC2)C[C@H]2C[NH+](C)CCO2)CC[N@H+]1C ZINC000925340421 667143237 /nfs/dbraw/zinc/14/32/37/667143237.db2.gz ZBFLKKQSFFGWCR-FVQBIDKESA-N 0 2 324.469 0.831 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N3CC[C@H](O)C3)cc2[nH]c1=S ZINC000119762451 667205830 /nfs/dbraw/zinc/20/58/30/667205830.db2.gz YMMNYNPMTJWWPW-JTQLQIEISA-N 0 2 319.386 0.912 20 0 DCADLN O=C(/C=C/C1CC1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035678415 667397903 /nfs/dbraw/zinc/39/79/03/667397903.db2.gz CCZKTCXCEOZWNE-ONEGZZNKSA-N 0 2 317.393 0.901 20 0 DCADLN C[C@H]1C[C@@H]1CC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035787246 667409679 /nfs/dbraw/zinc/40/96/79/667409679.db2.gz NOKPOUWCYVPPGW-NWDGAFQWSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@H]1C[C@H]1CC(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005929633 667462974 /nfs/dbraw/zinc/46/29/74/667462974.db2.gz KPOLUHDQWIZZCC-QWRGUYRKSA-N 0 2 307.398 0.979 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@H](C)[C@@H](O)C(F)(F)F ZINC000867646321 667480178 /nfs/dbraw/zinc/48/01/78/667480178.db2.gz DYTKKGANCLQVLL-LIZQYRLESA-N 0 2 312.335 0.250 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)N1CCO[C@H](c2nnn[n-]2)C1 ZINC000737499816 667611608 /nfs/dbraw/zinc/61/16/08/667611608.db2.gz KXXKZDNYYYCVJM-NSHDSACASA-N 0 2 305.342 0.085 20 0 DCADLN C[C@@]1(c2ccccc2)C[C@@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000741643722 667774206 /nfs/dbraw/zinc/77/42/06/667774206.db2.gz VNEVOZFHTGVGKH-GUYCJALGSA-N 0 2 323.356 0.918 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@H]1CCCS1 ZINC000871029285 667785671 /nfs/dbraw/zinc/78/56/71/667785671.db2.gz BFMQUQKSNWLHAH-SECBINFHSA-N 0 2 307.379 0.473 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2COc3ccccc3C2)S1 ZINC000742491364 667792660 /nfs/dbraw/zinc/79/26/60/667792660.db2.gz LEPHLKSVOCKLCL-BXKDBHETSA-N 0 2 319.386 0.910 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCOCC(F)(F)F)S1 ZINC000742532232 667792930 /nfs/dbraw/zinc/79/29/30/667792930.db2.gz UWFRAYDYTJDNSI-LURJTMIESA-N 0 2 313.301 0.628 20 0 DCADLN CCCNC(=O)CN(CCC)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742563864 667793701 /nfs/dbraw/zinc/79/37/01/667793701.db2.gz RTOYZBGNPGODOM-SECBINFHSA-N 0 2 314.411 0.308 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc(C(N)=O)ccc2F)S1 ZINC000742544921 667794005 /nfs/dbraw/zinc/79/40/05/667794005.db2.gz BJKHSEICCDKGDJ-MRVPVSSYSA-N 0 2 310.310 0.420 20 0 DCADLN Cc1ccc(NC(=O)CC2SC(=N)NC2=O)cc1C(N)=O ZINC000742624666 667795759 /nfs/dbraw/zinc/79/57/59/667795759.db2.gz YNCRKWRLGVSELC-SECBINFHSA-N 0 2 306.347 0.589 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCOC[C@@H]2CCOC2)S1 ZINC000742699025 667797537 /nfs/dbraw/zinc/79/75/37/667797537.db2.gz XLZRHJFREWSIPR-VHSXEESVSA-N 0 2 315.395 0.102 20 0 DCADLN COC(=O)[C@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)CC(C)C ZINC000742700866 667798175 /nfs/dbraw/zinc/79/81/75/667798175.db2.gz IIKKXYFIOINETG-IUCAKERBSA-N 0 2 315.395 0.494 20 0 DCADLN CS[C@H]1CC[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000742746376 667798675 /nfs/dbraw/zinc/79/86/75/667798675.db2.gz SGZJHGNVQHCUFN-BDAKNGLRSA-N 0 2 307.379 0.472 20 0 DCADLN CC(C)[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000742905824 667800986 /nfs/dbraw/zinc/80/09/86/667800986.db2.gz NAHLZHAALDYAJM-NSHDSACASA-N 0 2 321.406 0.671 20 0 DCADLN CCOC(=O)c1cc(NC(=O)CC2SC(=N)NC2=O)cn1C ZINC000743758047 667815379 /nfs/dbraw/zinc/81/53/79/667815379.db2.gz DLYGEAOGQZCHMB-VIFPVBQESA-N 0 2 324.362 0.697 20 0 DCADLN CS(=O)(=O)C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC000887754912 667909044 /nfs/dbraw/zinc/90/90/44/667909044.db2.gz OOGCTIRCJZWSGM-BQBZGAKWSA-N 0 2 307.265 0.159 20 0 DCADLN CS(=O)(=O)C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC000887754912 667909046 /nfs/dbraw/zinc/90/90/46/667909046.db2.gz OOGCTIRCJZWSGM-BQBZGAKWSA-N 0 2 307.265 0.159 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1c[nH]c(=S)n1-c1ccccc1 ZINC000748006364 667916366 /nfs/dbraw/zinc/91/63/66/667916366.db2.gz GXTWYKFFVAXLHE-UHFFFAOYSA-N 0 2 316.346 0.915 20 0 DCADLN CC(C)C[C@@H](CCO)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000748399680 667926572 /nfs/dbraw/zinc/92/65/72/667926572.db2.gz VAKLBFMKKOVDPN-LLVKDONJSA-N 0 2 321.381 0.232 20 0 DCADLN CC(C)(C)N1C[C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)CC1=O ZINC000752676295 668020638 /nfs/dbraw/zinc/02/06/38/668020638.db2.gz ITUOIYBFCVPZOG-SFYZADRCSA-N 0 2 312.395 0.058 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000873357145 668076204 /nfs/dbraw/zinc/07/62/04/668076204.db2.gz UKEHATXRGCUZOV-JBLDHEPKSA-N 0 2 318.333 0.572 20 0 DCADLN Cc1nncn1CCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760347046 668268157 /nfs/dbraw/zinc/26/81/57/668268157.db2.gz ATBMIOHLGYJRKN-UHFFFAOYSA-N 0 2 307.276 0.160 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1nccc(N)n1 ZINC000760491202 668274521 /nfs/dbraw/zinc/27/45/21/668274521.db2.gz NAQWUZVCBDKGTK-UHFFFAOYSA-N 0 2 305.260 0.132 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N[C@@H](C)CO)cc2[nH]c1=S ZINC000125409164 668319906 /nfs/dbraw/zinc/31/99/06/668319906.db2.gz YJVRWTJLRFRYST-QMMMGPOBSA-N 0 2 307.375 0.816 20 0 DCADLN CCOC(=O)CC[C@@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762257720 668353294 /nfs/dbraw/zinc/35/32/94/668353294.db2.gz OLDLHYCAXNHULQ-SFYZADRCSA-N 0 2 301.368 0.391 20 0 DCADLN COc1ccc([C@@H](O)CNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000762347544 668357321 /nfs/dbraw/zinc/35/73/21/668357321.db2.gz JLDVZDDZYRVWGM-WDEREUQCSA-N 0 2 323.374 0.401 20 0 DCADLN COc1ccc([C@@H](O)CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000762347540 668357782 /nfs/dbraw/zinc/35/77/82/668357782.db2.gz JLDVZDDZYRVWGM-QWRGUYRKSA-N 0 2 323.374 0.401 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](O)COc2ccccc2)S1 ZINC000762357563 668358076 /nfs/dbraw/zinc/35/80/76/668358076.db2.gz ZFDBENIXLIVZTM-GXSJLCMTSA-N 0 2 323.374 0.099 20 0 DCADLN O=C([O-])C[NH+]1CCN(S(=O)(=O)c2cncc(Cl)c2)CC1 ZINC000926582352 668414018 /nfs/dbraw/zinc/41/40/18/668414018.db2.gz MGTYUUIRAFSKFE-UHFFFAOYSA-N 0 2 319.770 0.126 20 0 DCADLN CO[C@H](C)CS(=O)(=O)[N-]C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000764156267 668436390 /nfs/dbraw/zinc/43/63/90/668436390.db2.gz OPRHHRNLIMUXRS-LLVKDONJSA-N 0 2 323.374 0.967 20 0 DCADLN CC(C)OC(=O)CCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000765817003 668510627 /nfs/dbraw/zinc/51/06/27/668510627.db2.gz ZRJCDNNLAZUNTL-MRVPVSSYSA-N 0 2 301.368 0.391 20 0 DCADLN O=C(C[NH+]1CCN(c2ccccn2)CC1)[N-]OCC(F)(F)F ZINC000766764245 668544797 /nfs/dbraw/zinc/54/47/97/668544797.db2.gz WMZFGILIDSILAX-UHFFFAOYSA-N 0 2 318.299 0.814 20 0 DCADLN CCc1cc(C(=O)NN2C(=O)N[C@@](C)(CC)C2=O)c(C)nn1 ZINC000769836401 668665694 /nfs/dbraw/zinc/66/56/94/668665694.db2.gz VLZSCCGIVJVGPQ-AWEZNQCLSA-N 0 2 305.338 0.713 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](CO)c2cccc(F)c2)S1 ZINC000771096288 668730949 /nfs/dbraw/zinc/73/09/49/668730949.db2.gz IKYQHKBXTZHMAP-NXEZZACHSA-N 0 2 311.338 0.532 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](CO)c2ccc(F)cc2)S1 ZINC000771123232 668731398 /nfs/dbraw/zinc/73/13/98/668731398.db2.gz ZTTVFQMGYJQTSB-VHSXEESVSA-N 0 2 311.338 0.532 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCC(CO)CC1 ZINC000771562914 668742985 /nfs/dbraw/zinc/74/29/85/668742985.db2.gz ZYFHDWQPRSVMMD-UHFFFAOYSA-N 0 2 319.365 0.081 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc(-n2ccnc2)nc1 ZINC000773085043 668792920 /nfs/dbraw/zinc/79/29/20/668792920.db2.gz DOFRUZPFXZGWKR-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN CCCc1cc(C(=O)N2CCSC[C@H]2c2nn[nH]n2)[nH]n1 ZINC000773159798 668794845 /nfs/dbraw/zinc/79/48/45/668794845.db2.gz SOLVGSMBDQZNDK-JTQLQIEISA-N 0 2 307.383 0.806 20 0 DCADLN CCCN(C)S(=O)(=O)[N-]C(=O)C[N@H+](C)[C@H]1CCSC1 ZINC000774174290 668824881 /nfs/dbraw/zinc/82/48/81/668824881.db2.gz SUSKYQAJKSKTQN-JTQLQIEISA-N 0 2 309.457 0.127 20 0 DCADLN CCCN(C)S(=O)(=O)[N-]C(=O)C[N@@H+](C)[C@H]1CCSC1 ZINC000774174290 668824882 /nfs/dbraw/zinc/82/48/82/668824882.db2.gz SUSKYQAJKSKTQN-JTQLQIEISA-N 0 2 309.457 0.127 20 0 DCADLN O=C(Cc1n[nH]c(=O)c2ccccc12)OCc1n[nH]c(=O)[nH]1 ZINC000774902011 668850234 /nfs/dbraw/zinc/85/02/34/668850234.db2.gz RUEWRRHCODPVBY-UHFFFAOYSA-N 0 2 301.262 0.445 20 0 DCADLN Cc1oc2ncn(C)c(=O)c2c1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774906260 668850326 /nfs/dbraw/zinc/85/03/26/668850326.db2.gz ARUZVCLNDUFXPZ-UHFFFAOYSA-N 0 2 305.250 0.016 20 0 DCADLN CCCC(=O)N1CSC[C@@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774944397 668852359 /nfs/dbraw/zinc/85/23/59/668852359.db2.gz CLFXNMJSQGMRDL-SSDOTTSWSA-N 0 2 300.340 0.255 20 0 DCADLN CCS(=O)(=O)c1cccc(C(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774989413 668853326 /nfs/dbraw/zinc/85/33/26/668853326.db2.gz RVJWDBQIVBGEBK-UHFFFAOYSA-N 0 2 311.319 0.661 20 0 DCADLN COC(=O)c1ccccc1CCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774991612 668853800 /nfs/dbraw/zinc/85/38/00/668853800.db2.gz HYSUYDUXBZESND-UHFFFAOYSA-N 0 2 305.290 0.973 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2cccc(Cl)c2O)C1 ZINC000775781429 668867583 /nfs/dbraw/zinc/86/75/83/668867583.db2.gz BRYLICQILRIRQY-MRVPVSSYSA-N 0 2 318.782 0.809 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2COc3ccccc3C2=O)S1 ZINC000775794010 668867704 /nfs/dbraw/zinc/86/77/04/668867704.db2.gz TZISRTSIMXAYBD-WCBMZHEXSA-N 0 2 319.342 0.303 20 0 DCADLN CO[C@@H](C)c1nc(C)c(C(=O)NN2CC(=O)N(C)C2=O)s1 ZINC000776241716 668873682 /nfs/dbraw/zinc/87/36/82/668873682.db2.gz LKPVUQLDBDQAJW-ZETCQYMHSA-N 0 2 312.351 0.698 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1sccc1-n1cnnn1 ZINC000776541571 668877298 /nfs/dbraw/zinc/87/72/98/668877298.db2.gz CAJKFETUDRFGMG-UHFFFAOYSA-N 0 2 323.363 0.368 20 0 DCADLN NC(=O)c1cn(C2CN(C(=O)c3cccc(Cl)c3O)C2)nn1 ZINC000779551254 668913269 /nfs/dbraw/zinc/91/32/69/668913269.db2.gz YFFQVGZXKCGCDC-UHFFFAOYSA-N 0 2 321.724 0.433 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)NC2(C(=O)[O-])CCCC2)CCO1 ZINC000780511620 668991168 /nfs/dbraw/zinc/99/11/68/668991168.db2.gz QMPGAWUVXADGKC-UHFFFAOYSA-N 0 2 313.398 0.794 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)NC2(C(=O)[O-])CCCC2)CCO1 ZINC000780511620 668991169 /nfs/dbraw/zinc/99/11/69/668991169.db2.gz QMPGAWUVXADGKC-UHFFFAOYSA-N 0 2 313.398 0.794 20 0 DCADLN CC(C)[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543565 668993278 /nfs/dbraw/zinc/99/32/78/668993278.db2.gz QSLURMKYGPLYFE-NSHDSACASA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543565 668993285 /nfs/dbraw/zinc/99/32/85/668993285.db2.gz QSLURMKYGPLYFE-NSHDSACASA-N 0 2 301.387 0.506 20 0 DCADLN Cc1nc(CNC(=O)C[C@H]2SC(=N)NC2=O)ccc1[C@@H](C)O ZINC000781005958 669026215 /nfs/dbraw/zinc/02/62/15/669026215.db2.gz CVGBDPSFZQOWRT-LDYMZIIASA-N 0 2 322.390 0.616 20 0 DCADLN O=C(NCCS(=O)(=O)N1CCCC1)C(F)C(F)(F)F ZINC000888863722 669292419 /nfs/dbraw/zinc/29/24/19/669292419.db2.gz ZUCOPINPQJMEHC-SSDOTTSWSA-N 0 2 306.281 0.429 20 0 DCADLN O=C(NCCS(=O)(=O)N1CCCC1)[C@@H](F)C(F)(F)F ZINC000888863722 669292424 /nfs/dbraw/zinc/29/24/24/669292424.db2.gz ZUCOPINPQJMEHC-SSDOTTSWSA-N 0 2 306.281 0.429 20 0 DCADLN CCCN1CCCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1=O ZINC000788555401 669447601 /nfs/dbraw/zinc/44/76/01/669447601.db2.gz RRAXFFLRCAITKW-SECBINFHSA-N 0 2 312.395 0.014 20 0 DCADLN COC(=O)[C@]12C[C@H]1CCCN2Cc1cc(=O)n2[nH]ccc2n1 ZINC000933165773 669469490 /nfs/dbraw/zinc/46/94/90/669469490.db2.gz RIDJNFFSLQNHIY-BMIGLBTASA-N 0 2 302.334 0.550 20 0 DCADLN COC(=O)CN1CC[NH+](CC(=O)[N-]OCc2ccccc2)CC1 ZINC000789409151 669492156 /nfs/dbraw/zinc/49/21/56/669492156.db2.gz SWGVCFRJSSLGLR-UHFFFAOYSA-N 0 2 321.377 0.025 20 0 DCADLN O=C(C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@@H]2C1)[N-]OCc1ccccc1 ZINC000933723301 669524960 /nfs/dbraw/zinc/52/49/60/669524960.db2.gz DDULLPGIRKWDMY-OKILXGFUSA-N 0 2 324.402 0.211 20 0 DCADLN O=C(C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@@H]2C1)[N-]OCc1ccccc1 ZINC000933723301 669524963 /nfs/dbraw/zinc/52/49/63/669524963.db2.gz DDULLPGIRKWDMY-OKILXGFUSA-N 0 2 324.402 0.211 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1nc(Br)ccc1F ZINC000792215080 669655250 /nfs/dbraw/zinc/65/52/50/669655250.db2.gz WIQJGZPFUFPESB-UHFFFAOYSA-N 0 2 316.090 0.737 20 0 DCADLN Cc1nc(COC(=O)c2ccccc2NS(C)(=O)=O)n[nH]1 ZINC000795280021 669882254 /nfs/dbraw/zinc/88/22/54/669882254.db2.gz FVOMLCPOTIJFMJ-UHFFFAOYSA-N 0 2 310.335 0.842 20 0 DCADLN O=C([O-])[C@H]1CSCCN1C(=O)NCCCCn1cc[nH+]c1 ZINC000215017248 669935072 /nfs/dbraw/zinc/93/50/72/669935072.db2.gz MCNPDQZVXQNDCP-LLVKDONJSA-N 0 2 312.395 0.875 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@H](c3ccccn3)C2)S1 ZINC000799064598 670035636 /nfs/dbraw/zinc/03/56/36/670035636.db2.gz YJBBRZOXGDQKIL-GXSJLCMTSA-N 0 2 304.375 0.954 20 0 DCADLN CCc1n[nH]cc1C(=O)N(CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950707917 670102349 /nfs/dbraw/zinc/10/23/49/670102349.db2.gz CZWPJDLYTONKTB-UHFFFAOYSA-N 0 2 319.369 0.142 20 0 DCADLN Cc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cc(C)n1 ZINC000948539864 670127756 /nfs/dbraw/zinc/12/77/56/670127756.db2.gz BXDHMUWVGPHPGC-UHFFFAOYSA-N 0 2 316.365 0.480 20 0 DCADLN Cc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c(C)[nH]1 ZINC000950824041 670134061 /nfs/dbraw/zinc/13/40/61/670134061.db2.gz IAWJRHDNSHHFDK-UHFFFAOYSA-N 0 2 304.354 0.413 20 0 DCADLN CON(CC1CCOCC1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000801594589 670136237 /nfs/dbraw/zinc/13/62/37/670136237.db2.gz GZBKPECABIGTBM-SECBINFHSA-N 0 2 301.368 0.359 20 0 DCADLN CON(CC1CCOCC1)C(=O)CC1SC(=N)NC1=O ZINC000801594589 670136233 /nfs/dbraw/zinc/13/62/33/670136233.db2.gz GZBKPECABIGTBM-SECBINFHSA-N 0 2 301.368 0.359 20 0 DCADLN C[C@@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1)n1cc[nH+]c1 ZINC000950962173 670161659 /nfs/dbraw/zinc/16/16/59/670161659.db2.gz KDZJPQRMUUQPHY-NSHDSACASA-N 0 2 319.369 0.002 20 0 DCADLN O=C(CC[C@@H]1CCCCO1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950976252 670164623 /nfs/dbraw/zinc/16/46/23/670164623.db2.gz UWTDVKKWZPLHAJ-LBPRGKRZSA-N 0 2 323.397 0.504 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)[nH]n1 ZINC000948980217 670230419 /nfs/dbraw/zinc/23/04/19/670230419.db2.gz COTUQGWYRZDREB-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2C(=O)[C@H](F)C(F)(F)F)[nH]n1 ZINC000948980217 670230424 /nfs/dbraw/zinc/23/04/24/670230424.db2.gz COTUQGWYRZDREB-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(C[C@@H]1CC[C@H]2C[C@H]21)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948977123 670230566 /nfs/dbraw/zinc/23/05/66/670230566.db2.gz BFHZLRRAJXLMJA-SDDRHHMPSA-N 0 2 305.382 0.591 20 0 DCADLN CCO[C@H]1C[C@H]1COC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000193134394 670243234 /nfs/dbraw/zinc/24/32/34/670243234.db2.gz QJMMEABPDXTQKL-JQWIXIFHSA-N 0 2 305.334 0.842 20 0 DCADLN Cn1cc([C@@H](O)C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)cn1 ZINC000803410543 670246988 /nfs/dbraw/zinc/24/69/88/670246988.db2.gz NPKSVZVXTCJSFP-LLVKDONJSA-N 0 2 314.305 0.583 20 0 DCADLN COC(=O)c1cn(CCNC(=O)c2cccc(Cl)c2O)nn1 ZINC000803644029 670256210 /nfs/dbraw/zinc/25/62/10/670256210.db2.gz DTRQTFYSAYELGY-UHFFFAOYSA-N 0 2 324.724 0.854 20 0 DCADLN CCC(=O)N1CCC2(CC1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000949261142 670298674 /nfs/dbraw/zinc/29/86/74/670298674.db2.gz JWXQAISWPUXCIF-UHFFFAOYSA-N 0 2 309.370 0.114 20 0 DCADLN COC(=O)CCCO[N-]C(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000808966278 670432856 /nfs/dbraw/zinc/43/28/56/670432856.db2.gz QFNYZUXYFWJHKY-UHFFFAOYSA-N 0 2 320.305 0.274 20 0 DCADLN CCS(=O)(=O)[N-]C(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000810580495 670489935 /nfs/dbraw/zinc/48/99/35/670489935.db2.gz ROUZFDFVOKCACJ-UHFFFAOYSA-N 0 2 307.375 0.940 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCOC(F)F ZINC000853898736 670504286 /nfs/dbraw/zinc/50/42/86/670504286.db2.gz CDWCJPZORQCWPO-UHFFFAOYSA-N 0 2 301.249 0.656 20 0 DCADLN O=C(C[C@H]1CCC(=O)N1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000854386369 670558479 /nfs/dbraw/zinc/55/84/79/670558479.db2.gz NZIVXPUIVMQMCR-SNVBAGLBSA-N 0 2 301.306 0.785 20 0 DCADLN CO[C@@](C)(CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC000812597651 670587723 /nfs/dbraw/zinc/58/77/23/670587723.db2.gz LNVLZUKWPGQXEF-AWEZNQCLSA-N 0 2 305.338 0.003 20 0 DCADLN CCCc1nnc(SCC(=O)NOC2CCOCC2)n1N ZINC000813523940 670633443 /nfs/dbraw/zinc/63/34/43/670633443.db2.gz JHMLATIRYSHHGQ-UHFFFAOYSA-N 0 2 315.399 0.263 20 0 DCADLN Cc1cnc(CCNC(=O)C[C@H]2SC(=N)NC2=O)c(C)c1 ZINC000848294567 670663515 /nfs/dbraw/zinc/66/35/15/670663515.db2.gz PGLOAUPLCQGEAK-LLVKDONJSA-N 0 2 306.391 0.914 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc([C@H]3CCCO3)[nH]n2)S1 ZINC000855107019 670679202 /nfs/dbraw/zinc/67/92/02/670679202.db2.gz KZYAISLSVKSFKR-HTQZYQBOSA-N 0 2 309.351 0.756 20 0 DCADLN COCc1nnc(CNC(=O)C(F)(F)C2(O)CCCC2)[nH]1 ZINC000855519266 670728491 /nfs/dbraw/zinc/72/84/91/670728491.db2.gz ZHFGTNOEMIXAOA-UHFFFAOYSA-N 0 2 304.297 0.508 20 0 DCADLN NC(=O)C[C@H](NC(=O)C(F)C(F)(F)F)C1CCOCC1 ZINC000815746957 670760222 /nfs/dbraw/zinc/76/02/22/670760222.db2.gz AYHVPFADVYVKNF-IONNQARKSA-N 0 2 300.252 0.674 20 0 DCADLN NC(=O)C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CCOCC1 ZINC000815746957 670760223 /nfs/dbraw/zinc/76/02/23/670760223.db2.gz AYHVPFADVYVKNF-IONNQARKSA-N 0 2 300.252 0.674 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2c(C)cnn2C)cn1C ZINC000849335891 670778903 /nfs/dbraw/zinc/77/89/03/670778903.db2.gz BLJMFXDJBPIRQH-UHFFFAOYSA-N 0 2 312.351 0.654 20 0 DCADLN O=C(c1cncc(Cl)n1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000816471659 670813664 /nfs/dbraw/zinc/81/36/64/670813664.db2.gz KVINBAZGIAZERJ-UHFFFAOYSA-N 0 2 308.729 0.974 20 0 DCADLN C[C@H](O)[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccc1 ZINC000856432810 670819137 /nfs/dbraw/zinc/81/91/37/670819137.db2.gz IBBZJCHRQSTMTG-PTOFAABTSA-N 0 2 307.375 0.781 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1OCCO[C@@H]1c1ccccc1 ZINC000850093196 670879764 /nfs/dbraw/zinc/87/97/64/670879764.db2.gz JKESSBOTQWPLGG-VXGBXAGGSA-N 0 2 305.290 0.710 20 0 DCADLN COc1ccc(C(=O)NC(=O)CN(C)Cc2n[nH]c(=O)o2)cc1 ZINC000852187696 671102038 /nfs/dbraw/zinc/10/20/38/671102038.db2.gz AHAKKFRBTXEGKU-UHFFFAOYSA-N 0 2 320.305 0.172 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOC[C@H]2CC2CCC2)S1 ZINC000819307273 671110640 /nfs/dbraw/zinc/11/06/40/671110640.db2.gz SVZSYWDUWRJCFZ-GHMZBOCLSA-N 0 2 311.407 0.960 20 0 DCADLN CC(C)(C)OC(=O)N[C@H]1CC=C(C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000859833887 671149246 /nfs/dbraw/zinc/14/92/46/671149246.db2.gz ZNLAHQFHXUTFHO-VIFPVBQESA-N 0 2 323.353 0.740 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@]1(C2CCCC2)CCNC1=O ZINC000860922806 671250210 /nfs/dbraw/zinc/25/02/10/671250210.db2.gz YFDZNSHISXXHSN-CQSZACIVSA-N 0 2 324.406 0.714 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC[C@@H]1C[N@@H+]2CCC[C@H]2CO1 ZINC000824740547 671439286 /nfs/dbraw/zinc/43/92/86/671439286.db2.gz HFCZIXBBHMMRHW-REWJHTLYSA-N 0 2 304.394 0.503 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)nc2)oc1C ZINC000826327556 671483989 /nfs/dbraw/zinc/48/39/89/671483989.db2.gz LVKQYFVJXXUJIR-UHFFFAOYSA-N 0 2 321.322 0.667 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+](CN2C(=O)N[C@](C)(C3CC3)C2=O)C1 ZINC000827889439 671538999 /nfs/dbraw/zinc/53/89/99/671538999.db2.gz HKLOBTXKULYXKQ-QMTHXVAHSA-N 0 2 310.354 0.349 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+](CN2C(=O)N[C@](C)(C3CC3)C2=O)C1 ZINC000827889439 671539000 /nfs/dbraw/zinc/53/90/00/671539000.db2.gz HKLOBTXKULYXKQ-QMTHXVAHSA-N 0 2 310.354 0.349 20 0 DCADLN CO[C@@H]1C[C@H]1C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC000827987360 671541686 /nfs/dbraw/zinc/54/16/86/671541686.db2.gz AUPRUCRRPCCQOU-GRYCIOLGSA-N 0 2 302.334 0.945 20 0 DCADLN CCc1nc(CNC(=O)c2ccc3n[nH]c(=S)n3c2)n[nH]1 ZINC000832974189 671745321 /nfs/dbraw/zinc/74/53/21/671745321.db2.gz FZRHJTFLGFQWBR-UHFFFAOYSA-N 0 2 303.351 0.629 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCC[C@H]1C=CCC1 ZINC000834334120 671755315 /nfs/dbraw/zinc/75/53/15/671755315.db2.gz IWFGCDPMSHQALG-NSHDSACASA-N 0 2 301.350 0.934 20 0 DCADLN CC(C)[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@@H](CO)C(C)C ZINC000836846125 671834463 /nfs/dbraw/zinc/83/44/63/671834463.db2.gz XHSLSDRUJXULPP-HOSYDEDBSA-N 0 2 315.439 0.948 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](CO)CC2CCCCC2)S1 ZINC000841469865 671935441 /nfs/dbraw/zinc/93/54/41/671935441.db2.gz LZMDRCCNBBMLNM-MNOVXSKESA-N 0 2 313.423 0.990 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NC[C@@]1(O)CCCC1(C)C ZINC000867308182 672044079 /nfs/dbraw/zinc/04/40/79/672044079.db2.gz GGGWQRYXXZXWKP-BBATYDOGSA-N 0 2 312.457 0.880 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn3c2C[C@@H](C)CC3)C1=O ZINC000843873252 672126173 /nfs/dbraw/zinc/12/61/73/672126173.db2.gz MDDIRYZUFOTGPZ-BJOHPYRUSA-N 0 2 319.365 0.831 20 0 DCADLN O=C(CCCn1cccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843877765 672126953 /nfs/dbraw/zinc/12/69/53/672126953.db2.gz IFGHHBRYESLJJJ-NSHDSACASA-N 0 2 304.354 0.893 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@@](C)(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000844218209 672164331 /nfs/dbraw/zinc/16/43/31/672164331.db2.gz ITMXTABIYJNLFY-MQIPJXDCSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@@](C)(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000844218209 672164333 /nfs/dbraw/zinc/16/43/33/672164333.db2.gz ITMXTABIYJNLFY-MQIPJXDCSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)[C@H]1CCCOC1)n1cc[nH+]c1 ZINC000845510026 672254501 /nfs/dbraw/zinc/25/45/01/672254501.db2.gz VFPGHLLBCYCTKP-MNOVXSKESA-N 0 2 301.368 0.459 20 0 DCADLN CCO[N-]C(=O)CNC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000846133206 672296288 /nfs/dbraw/zinc/29/62/88/672296288.db2.gz XHGXYGSYSJKMMJ-UHFFFAOYSA-N 0 2 308.338 0.129 20 0 DCADLN COC(=O)[C@H](CCF)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000846427485 672312652 /nfs/dbraw/zinc/31/26/52/672312652.db2.gz ZICDYMBDGMKKIW-LURJTMIESA-N 0 2 316.251 0.461 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)C(F)C(F)(F)F)c1cnon1 ZINC000956048781 686602404 /nfs/dbraw/zinc/60/24/04/686602404.db2.gz SXUJHFYWHBPGFA-POYBYMJQSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)[C@@H](F)C(F)(F)F)c1cnon1 ZINC000956048781 686602408 /nfs/dbraw/zinc/60/24/08/686602408.db2.gz SXUJHFYWHBPGFA-POYBYMJQSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(c1c[nH]cc1C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956967736 686923560 /nfs/dbraw/zinc/92/35/60/686923560.db2.gz ZNENMSHTWDRXSZ-UHFFFAOYSA-N 0 2 316.365 0.674 20 0 DCADLN O=C([C@@H]1C[C@H]1C1CCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956970798 686924371 /nfs/dbraw/zinc/92/43/71/686924371.db2.gz NCYMWRXRLHNHEI-QWHCGFSZSA-N 0 2 319.409 0.981 20 0 DCADLN CCN(C(=O)c1nocc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957106024 686962556 /nfs/dbraw/zinc/96/25/56/686962556.db2.gz HWJINOUCVDGTFZ-UHFFFAOYSA-N 0 2 306.326 0.153 20 0 DCADLN C[C@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)n1cccc1 ZINC000957384180 687047092 /nfs/dbraw/zinc/04/70/92/687047092.db2.gz DZUFMKPXJLTPTE-GFCCVEGCSA-N 0 2 318.381 0.607 20 0 DCADLN CCc1onc(C)c1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957614004 687086359 /nfs/dbraw/zinc/08/63/59/687086359.db2.gz WUSRFGRUSWJOJV-UHFFFAOYSA-N 0 2 320.353 0.327 20 0 DCADLN COc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001038459499 694089985 /nfs/dbraw/zinc/08/99/85/694089985.db2.gz XNCFGCZBAHCXSA-LLVKDONJSA-N 0 2 302.338 0.213 20 0 DCADLN COc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001038459499 694089989 /nfs/dbraw/zinc/08/99/89/694089989.db2.gz XNCFGCZBAHCXSA-LLVKDONJSA-N 0 2 302.338 0.213 20 0 DCADLN Cc1cccc(F)c1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957921097 687262072 /nfs/dbraw/zinc/26/20/72/687262072.db2.gz WIQASHVVHJRBFC-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN O=C(NC[C@H]1CC[N@H+]1C/C=C\Cl)c1c[n-]n2c1nccc2=O ZINC001038778255 694188851 /nfs/dbraw/zinc/18/88/51/694188851.db2.gz LIVBTTDIRYBLBS-GAGJOLBNSA-N 0 2 321.768 0.579 20 0 DCADLN O=C(NC[C@H]1CC[N@@H+]1C/C=C\Cl)c1c[n-]n2c1nccc2=O ZINC001038778255 694188853 /nfs/dbraw/zinc/18/88/53/694188853.db2.gz LIVBTTDIRYBLBS-GAGJOLBNSA-N 0 2 321.768 0.579 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1coc(C2CC2)n1 ZINC001038787286 694191715 /nfs/dbraw/zinc/19/17/15/694191715.db2.gz ZDDFYFAEUKPHKI-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000962548859 688938010 /nfs/dbraw/zinc/93/80/10/688938010.db2.gz BHBSMVBTYULZOW-IAZYJMLFSA-N 0 2 302.338 0.007 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000962548859 688938012 /nfs/dbraw/zinc/93/80/12/688938012.db2.gz BHBSMVBTYULZOW-IAZYJMLFSA-N 0 2 302.338 0.007 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccc[nH]1 ZINC000965095320 689355817 /nfs/dbraw/zinc/35/58/17/689355817.db2.gz AQIHQFUMBOAGDC-KOLCDFICSA-N 0 2 304.354 0.479 20 0 DCADLN CC(C)n1cccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039023676 694276616 /nfs/dbraw/zinc/27/66/16/694276616.db2.gz CUEKBPVMGZBYGG-NSHDSACASA-N 0 2 318.381 0.897 20 0 DCADLN C[C@@H]1CC[N@@H+](CCn2cccn2)C[C@@H]1NC(=O)c1nc[nH]n1 ZINC000968430692 690264580 /nfs/dbraw/zinc/26/45/80/690264580.db2.gz DXZLVHHZABDWLQ-NEPJUHHUSA-N 0 2 303.370 0.142 20 0 DCADLN Cc1nnc(CN2CCCCC[C@@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC000968931122 690428259 /nfs/dbraw/zinc/42/82/59/690428259.db2.gz GULSZKKAMYSGMP-LLVKDONJSA-N 0 2 318.385 0.406 20 0 DCADLN CC(C)(O)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039560451 694383777 /nfs/dbraw/zinc/38/37/77/694383777.db2.gz AFLGNQOJEPJTCQ-PHIMTYICSA-N 0 2 323.397 0.237 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccnn3C)C2)c1[O-] ZINC001007122202 690630442 /nfs/dbraw/zinc/63/04/42/690630442.db2.gz QBIPZCKGKHLEOG-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CCC[N@H+](Cc3ccnn3C)C2)c1[O-] ZINC001007122202 690630445 /nfs/dbraw/zinc/63/04/45/690630445.db2.gz QBIPZCKGKHLEOG-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007260482 690651531 /nfs/dbraw/zinc/65/15/31/690651531.db2.gz IXXCNHYXAJLKJM-SNVBAGLBSA-N 0 2 318.381 0.795 20 0 DCADLN CC(=O)N1CCO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001007673031 690726137 /nfs/dbraw/zinc/72/61/37/690726137.db2.gz NGGVDRNHXLOYGV-KOLCDFICSA-N 0 2 312.263 0.737 20 0 DCADLN CC(=O)N1CCO[C@@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001007673031 690726141 /nfs/dbraw/zinc/72/61/41/690726141.db2.gz NGGVDRNHXLOYGV-KOLCDFICSA-N 0 2 312.263 0.737 20 0 DCADLN Cc1nnccc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007817108 690754127 /nfs/dbraw/zinc/75/41/27/690754127.db2.gz XXHAYYYGZFGZDY-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC001008919706 690952586 /nfs/dbraw/zinc/95/25/86/690952586.db2.gz QNWSOIGQWHOSGO-GFCCVEGCSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(Cc1ccco1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008945136 690959567 /nfs/dbraw/zinc/95/95/67/690959567.db2.gz UQMJJXAANKEYCR-SNVBAGLBSA-N 0 2 305.338 0.427 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009367762 691031387 /nfs/dbraw/zinc/03/13/87/691031387.db2.gz YBGMDVQNMRMFCN-VIFPVBQESA-N 0 2 319.369 0.190 20 0 DCADLN Cc1cccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001010018429 691146198 /nfs/dbraw/zinc/14/61/98/691146198.db2.gz UUQZQLCWMHOUSX-LBPRGKRZSA-N 0 2 301.350 0.823 20 0 DCADLN CCc1ocnc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010405091 691262645 /nfs/dbraw/zinc/26/26/45/691262645.db2.gz QIRAGBYQEVQTRY-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cn1 ZINC001010718768 691359569 /nfs/dbraw/zinc/35/95/69/691359569.db2.gz PSOIXYNHBUJIQV-SECBINFHSA-N 0 2 306.301 0.049 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCOCC1 ZINC001010808731 691387514 /nfs/dbraw/zinc/38/75/14/691387514.db2.gz OYQVWIQXCPYCCH-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN Cn1ccc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001011161484 691479981 /nfs/dbraw/zinc/47/99/81/691479981.db2.gz ASNPCLRCIMKSOM-VIFPVBQESA-N 0 2 322.262 0.605 20 0 DCADLN Cn1ccc(C(=O)N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001011161484 691479982 /nfs/dbraw/zinc/47/99/82/691479982.db2.gz ASNPCLRCIMKSOM-VIFPVBQESA-N 0 2 322.262 0.605 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1C=CC=CC=C1 ZINC001014594433 692050734 /nfs/dbraw/zinc/05/07/34/692050734.db2.gz MJPNWZYZFLVFHZ-LBPRGKRZSA-N 0 2 301.350 0.499 20 0 DCADLN O=C(C[C@@H]1C=CCCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014909911 692128556 /nfs/dbraw/zinc/12/85/56/692128556.db2.gz OOASMENHSHBMSU-VXGBXAGGSA-N 0 2 305.382 0.947 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001015424880 692293882 /nfs/dbraw/zinc/29/38/82/692293882.db2.gz DAWDIPFXAWVMJA-VIFPVBQESA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001015700318 692402135 /nfs/dbraw/zinc/40/21/35/692402135.db2.gz ULXAKXOLXZWWAJ-VHSXEESVSA-N 0 2 305.338 0.598 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015728151 692419619 /nfs/dbraw/zinc/41/96/19/692419619.db2.gz NABYKGSFBNLJIG-IJLUTSLNSA-N 0 2 307.398 0.883 20 0 DCADLN CCn1nc(C)cc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015744599 692425029 /nfs/dbraw/zinc/42/50/29/692425029.db2.gz IQNJDEGKSZITPT-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN CCn1cccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016261931 692625503 /nfs/dbraw/zinc/62/55/03/692625503.db2.gz BHGNVMDCKBEMEJ-JTQLQIEISA-N 0 2 304.354 0.336 20 0 DCADLN CO[C@@H](C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnn[nH]1 ZINC001017965416 693020225 /nfs/dbraw/zinc/02/02/25/693020225.db2.gz JWTRULDINFMPHK-FPMFFAJLSA-N 0 2 307.398 0.447 20 0 DCADLN Cc1conc1C[NH2+][C@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001018722995 693207214 /nfs/dbraw/zinc/20/72/14/693207214.db2.gz HMKFTRAZOSLCMV-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([NH2+]Cc3cscn3)C2)c1[O-] ZINC001018723309 693207233 /nfs/dbraw/zinc/20/72/33/693207233.db2.gz JNONHUWKFJVWCC-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1C(=O)[C@@H]1CCC[N@@H+]1C ZINC001020126659 693702271 /nfs/dbraw/zinc/70/22/71/693702271.db2.gz AUBBDXJSENNTEV-IHRRRGAJSA-N 0 2 319.409 0.083 20 0 DCADLN O=C([C@@H]1CC1(F)F)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075769477 694975108 /nfs/dbraw/zinc/97/51/08/694975108.db2.gz GOZCZUULXTUIKI-XHNCKOQMSA-N 0 2 313.308 0.198 20 0 DCADLN O=C([C@@H]1CC1(F)F)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075769477 694975109 /nfs/dbraw/zinc/97/51/09/694975109.db2.gz GOZCZUULXTUIKI-XHNCKOQMSA-N 0 2 313.308 0.198 20 0 DCADLN O=C([C@@H]1CC1(F)F)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075769477 694975110 /nfs/dbraw/zinc/97/51/10/694975110.db2.gz GOZCZUULXTUIKI-XHNCKOQMSA-N 0 2 313.308 0.198 20 0 DCADLN CC(C)[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076316048 695013391 /nfs/dbraw/zinc/01/33/91/695013391.db2.gz BORHSGXNQLSFCP-SDDRHHMPSA-N 0 2 307.398 0.835 20 0 DCADLN CC(C)[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076316048 695013392 /nfs/dbraw/zinc/01/33/92/695013392.db2.gz BORHSGXNQLSFCP-SDDRHHMPSA-N 0 2 307.398 0.835 20 0 DCADLN C[C@@H](CC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001076612585 695032497 /nfs/dbraw/zinc/03/24/97/695032497.db2.gz IAITXGCLBVASIM-WCFLWFBJSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@@H](CC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001076612585 695032498 /nfs/dbraw/zinc/03/24/98/695032498.db2.gz IAITXGCLBVASIM-WCFLWFBJSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001076857426 695077964 /nfs/dbraw/zinc/07/79/64/695077964.db2.gz QNOLLGKDAZCMRI-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001076857426 695077967 /nfs/dbraw/zinc/07/79/67/695077967.db2.gz QNOLLGKDAZCMRI-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CNC(=O)c1cc2occc2[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001570713893 1162595466 /nfs/dbraw/zinc/59/54/66/1162595466.db2.gz CWGMQAKLUXTVBZ-MRVPVSSYSA-N 0 2 318.337 0.835 20 0 DCADLN C[C@H](CNC(=O)c1cc2occc2[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001570713893 1162595480 /nfs/dbraw/zinc/59/54/80/1162595480.db2.gz CWGMQAKLUXTVBZ-MRVPVSSYSA-N 0 2 318.337 0.835 20 0 DCADLN CCCOCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001752816679 1158255478 /nfs/dbraw/zinc/25/54/78/1158255478.db2.gz CWMQQWSXDAJTBQ-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CCCOCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001752816679 1158255484 /nfs/dbraw/zinc/25/54/84/1158255484.db2.gz CWMQQWSXDAJTBQ-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN Cn1ccsc1=NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001626077925 1158692706 /nfs/dbraw/zinc/69/27/06/1158692706.db2.gz YRYSIGOIUPOWCQ-UHFFFAOYSA-N 0 2 317.330 0.407 20 0 DCADLN CCCCOCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567366639 1160331233 /nfs/dbraw/zinc/33/12/33/1160331233.db2.gz LXKJJIDBZYAKIO-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CCCCOCC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567366639 1160331241 /nfs/dbraw/zinc/33/12/41/1160331241.db2.gz LXKJJIDBZYAKIO-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](C(=O)[O-])[C@H](O)c1ccncc1 ZINC001573551343 1163487072 /nfs/dbraw/zinc/48/70/72/1163487072.db2.gz SFOXPVZCAGPLGG-JIMOISOXSA-N 0 2 318.333 0.286 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](C(=O)[O-])[C@H](O)c1ccncc1 ZINC001573551343 1163487092 /nfs/dbraw/zinc/48/70/92/1163487092.db2.gz SFOXPVZCAGPLGG-JIMOISOXSA-N 0 2 318.333 0.286 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CC[C@@H](c3nn[nH]n3)C2)c(C)c1C(=O)[O-] ZINC001574112817 1163640119 /nfs/dbraw/zinc/64/01/19/1163640119.db2.gz LXBFJHQAYAGOEM-SECBINFHSA-N 0 2 318.337 0.515 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CC[C@@H](c3nn[nH]n3)C2)c(C)c1C(=O)[O-] ZINC001574112817 1163640124 /nfs/dbraw/zinc/64/01/24/1163640124.db2.gz LXBFJHQAYAGOEM-SECBINFHSA-N 0 2 318.337 0.515 20 0 DCADLN O=C(COc1cccnc1)NCCNC(=O)C(F)C(F)(F)F ZINC001575312886 1163971188 /nfs/dbraw/zinc/97/11/88/1163971188.db2.gz YIQRPMDUCKKQLO-JTQLQIEISA-N 0 2 323.246 0.593 20 0 DCADLN O=C(COc1cccnc1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001575312886 1163971193 /nfs/dbraw/zinc/97/11/93/1163971193.db2.gz YIQRPMDUCKKQLO-JTQLQIEISA-N 0 2 323.246 0.593 20 0 DCADLN COC(=O)c1nc[nH]c1NC(=O)c1ccc(-c2cn[nH]n2)nc1 ZINC001576922875 1164533496 /nfs/dbraw/zinc/53/34/96/1164533496.db2.gz XQKFVZJOESRKMW-UHFFFAOYSA-N 0 2 313.277 0.629 20 0 DCADLN COC(=O)c1[nH]cnc1NC(=O)c1ccc(-c2c[nH]nn2)nc1 ZINC001576922875 1164533505 /nfs/dbraw/zinc/53/35/05/1164533505.db2.gz XQKFVZJOESRKMW-UHFFFAOYSA-N 0 2 313.277 0.629 20 0 DCADLN CCC[C@@](C)(O)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001577253673 1164651560 /nfs/dbraw/zinc/65/15/60/1164651560.db2.gz GKIGPRKUNBZIHH-CQSZACIVSA-N 0 2 320.353 0.557 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2C[C@H]([NH2+]Cc3c[nH]nn3)C2)ncn1 ZINC001577293823 1164661281 /nfs/dbraw/zinc/66/12/81/1164661281.db2.gz FYZQXYVKNBKRSY-XYPYZODXSA-N 0 2 301.354 0.201 20 0 DCADLN O=C(Cc1cnoc1)N1C[C@H]2CCN(Cc3cn[nH]n3)C[C@H]21 ZINC001577964535 1164866433 /nfs/dbraw/zinc/86/64/33/1164866433.db2.gz ACZGIDMZAVQKPV-DGCLKSJQSA-N 0 2 302.338 0.068 20 0 DCADLN O=C(Cc1cnoc1)N1C[C@H]2CCN(Cc3c[nH]nn3)C[C@H]21 ZINC001577964535 1164866441 /nfs/dbraw/zinc/86/64/41/1164866441.db2.gz ACZGIDMZAVQKPV-DGCLKSJQSA-N 0 2 302.338 0.068 20 0 DCADLN O=C(c1c(O)cccc1F)N1CCCN(Cc2c[nH]nn2)CC1 ZINC001578028485 1164901206 /nfs/dbraw/zinc/90/12/06/1164901206.db2.gz FJJVZNLENBGLOJ-UHFFFAOYSA-N 0 2 319.340 0.998 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCC2(O)CCC2)c1 ZINC001579477160 1165324539 /nfs/dbraw/zinc/32/45/39/1165324539.db2.gz BSEAPNWDCIHNLO-UHFFFAOYSA-N 0 2 319.321 0.120 20 0 DCADLN COC[C@H](NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C(C)C ZINC001579697365 1165380228 /nfs/dbraw/zinc/38/02/28/1165380228.db2.gz GEGWHBGMGOKJSA-JTQLQIEISA-N 0 2 320.353 0.677 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@](C)(CO)C(C)C ZINC001580599814 1165651410 /nfs/dbraw/zinc/65/14/10/1165651410.db2.gz GVVRKJRVAVQKMP-AWEZNQCLSA-N 0 2 320.353 0.413 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC(C)(C)CCO ZINC001580601543 1165651628 /nfs/dbraw/zinc/65/16/28/1165651628.db2.gz BEEDYQCCJKRDDC-UHFFFAOYSA-N 0 2 320.353 0.414 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1[C@H](C)CC[C@@H]1CO ZINC001580600479 1165651679 /nfs/dbraw/zinc/65/16/79/1165651679.db2.gz NZFIVSSACHZVQO-RKDXNWHRSA-N 0 2 318.337 0.261 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1Cc2cccnc2C1 ZINC001580601337 1165651694 /nfs/dbraw/zinc/65/16/94/1165651694.db2.gz WLWPTJQCQWDAEF-UHFFFAOYSA-N 0 2 323.316 0.827 20 0 DCADLN O=C(c1ccc(-c2nn[nH]n2)cc1F)N1CCc2[nH]nnc2C1 ZINC001582700619 1166069103 /nfs/dbraw/zinc/06/91/03/1166069103.db2.gz WIXSWPWXILYNLK-UHFFFAOYSA-N 0 2 314.284 0.322 20 0 DCADLN O=C1OCC[C@H]1CCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001582789350 1166081791 /nfs/dbraw/zinc/08/17/91/1166081791.db2.gz LGANMPJJQXVZCD-SECBINFHSA-N 0 2 315.333 0.470 20 0 DCADLN NC(=O)c1cn(CCCCNC(=O)C(F)C(F)(F)F)nn1 ZINC001583708765 1166280528 /nfs/dbraw/zinc/28/05/28/1166280528.db2.gz OWQXUHVFPNYIFC-ZETCQYMHSA-N 0 2 311.239 0.174 20 0 DCADLN NC(=O)c1cn(CCCCNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001583708765 1166280535 /nfs/dbraw/zinc/28/05/35/1166280535.db2.gz OWQXUHVFPNYIFC-ZETCQYMHSA-N 0 2 311.239 0.174 20 0 DCADLN CC(C)(C)[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCO1 ZINC001589105771 1166635861 /nfs/dbraw/zinc/63/58/61/1166635861.db2.gz YCISJWXYHLUOOC-GFCCVEGCSA-N 0 2 318.381 0.733 20 0 DCADLN CC(C)(O)Cn1cc(Br)cc(-c2nn[nH]n2)c1=O ZINC001589338600 1166650534 /nfs/dbraw/zinc/65/05/34/1166650534.db2.gz CXTKVUQYDQLMBS-UHFFFAOYSA-N 0 2 314.143 0.562 20 0 DCADLN CC1(C)CCC[C@@H](n2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)C1=O ZINC001590098517 1166773702 /nfs/dbraw/zinc/77/37/02/1166773702.db2.gz TUNYSWRRPZNVKE-MRVPVSSYSA-N 0 2 304.310 0.449 20 0 DCADLN CCC(C)(C)[C@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590373194 1166892552 /nfs/dbraw/zinc/89/25/52/1166892552.db2.gz KFRNUNOEMGOYKG-ZETCQYMHSA-N 0 2 307.358 0.903 20 0 DCADLN CCCCC[C@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590588367 1166945929 /nfs/dbraw/zinc/94/59/29/1166945929.db2.gz IYXCLTOLXMAILZ-VIFPVBQESA-N 0 2 321.385 0.645 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CCO[C@@H](C)C2)c1=O ZINC001590949496 1167056671 /nfs/dbraw/zinc/05/66/71/1167056671.db2.gz HBGVBQDMICVYQE-UWVGGRQHSA-N 0 2 320.353 0.025 20 0 DCADLN CN(Cc1n[nH]c(=O)o1)c1snc(Cl)c1-c1nn[nH]n1 ZINC001591152094 1167116662 /nfs/dbraw/zinc/11/66/62/1167116662.db2.gz QFCQEXYYORDCHJ-UHFFFAOYSA-N 0 2 314.718 0.702 20 0 DCADLN COCCOC(=O)CCc1c(C)[nH]c(=O)c(-c2nn[nH]n2)c1C ZINC001591431422 1167283567 /nfs/dbraw/zinc/28/35/67/1167283567.db2.gz NCAHLOGZLQGEIR-UHFFFAOYSA-N 0 2 321.337 0.706 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@H](C)C(C)(C)C)c(=O)n1C ZINC001591794051 1167381746 /nfs/dbraw/zinc/38/17/46/1167381746.db2.gz WDMIUNDCBGDZGG-QMMMGPOBSA-N 0 2 306.370 0.718 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@H]2C[C@H]2C1 ZINC001591835410 1167390791 /nfs/dbraw/zinc/39/07/91/1167390791.db2.gz WNYAXYAAMDVVHY-IUCAKERBSA-N 0 2 300.322 0.758 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)C[C@@H]1CCOC1 ZINC001591833470 1167391128 /nfs/dbraw/zinc/39/11/28/1167391128.db2.gz BDHJRHDXAOUVFO-VIFPVBQESA-N 0 2 318.337 0.384 20 0 DCADLN Cc1cc(C(=O)Cn2cnc(-c3nn[nH]n3)cc2=O)c(C)n1C ZINC001591908371 1167416192 /nfs/dbraw/zinc/41/61/92/1167416192.db2.gz ZAAUGNDNMLBJNX-UHFFFAOYSA-N 0 2 313.321 0.262 20 0 DCADLN Cc1cc(NS(=O)(=O)CC2(F)CC2)ncc1-c1nn[nH]n1 ZINC001592053977 1167445597 /nfs/dbraw/zinc/44/55/97/1167445597.db2.gz HXGABYSCLKBVBI-UHFFFAOYSA-N 0 2 312.330 0.814 20 0 DCADLN Cc1ccn(CN2CC[C@@H](OC(C)C)C2)c(=O)c1-c1nn[nH]n1 ZINC001592267299 1167480534 /nfs/dbraw/zinc/48/05/34/1167480534.db2.gz OOHGJEBPSBFLTK-GFCCVEGCSA-N 0 2 318.381 0.794 20 0 DCADLN Cc1n[nH]c([C@H]2CCCN(c3ccnc(-c4nn[nH]n4)n3)C2)n1 ZINC001592356685 1167505750 /nfs/dbraw/zinc/50/57/50/1167505750.db2.gz OYILOHGLYRNFTJ-VIFPVBQESA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nc([C@H]2CCCN(c3ccnc(-c4nn[nH]n4)n3)C2)n[nH]1 ZINC001592356685 1167505752 /nfs/dbraw/zinc/50/57/52/1167505752.db2.gz OYILOHGLYRNFTJ-VIFPVBQESA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nn(C[C@H]2CC[C@H](C3CC3)O2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436667 1167522828 /nfs/dbraw/zinc/52/28/28/1167522828.db2.gz YERZXULFDAYNER-VXGBXAGGSA-N 0 2 316.365 0.998 20 0 DCADLN Cc1nc([C@H](C)NC(=O)Cc2ccc(-c3nn[nH]n3)nc2)n[nH]1 ZINC001592440726 1167525264 /nfs/dbraw/zinc/52/52/64/1167525264.db2.gz IGLMCUVGCGBPTJ-ZETCQYMHSA-N 0 2 313.325 0.108 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)NC1(C(F)F)OCCO1 ZINC001592499931 1167539249 /nfs/dbraw/zinc/53/92/49/1167539249.db2.gz SWYJNMCWRJTCAT-UHFFFAOYSA-N 0 2 315.236 0.464 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC[NH+](C(C)C)CC1 ZINC001592499444 1167539564 /nfs/dbraw/zinc/53/95/64/1167539564.db2.gz NDDZMZGODRYYHJ-UHFFFAOYSA-N 0 2 304.354 0.934 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCN(C(C)C)CC1 ZINC001592499444 1167539566 /nfs/dbraw/zinc/53/95/66/1167539566.db2.gz NDDZMZGODRYYHJ-UHFFFAOYSA-N 0 2 304.354 0.934 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)NC1CC(CC(N)=O)C1 ZINC001592498660 1167539794 /nfs/dbraw/zinc/53/97/94/1167539794.db2.gz CBDOZQPTZWOYBX-UHFFFAOYSA-N 0 2 304.310 0.152 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)c1c[nH]c2ncccc12 ZINC001592940505 1167669119 /nfs/dbraw/zinc/66/91/19/1167669119.db2.gz KTXFLBZIUOFSEB-UHFFFAOYSA-N 0 2 322.288 0.183 20 0 DCADLN O=C(OCn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2)C1CC1 ZINC001593306164 1167765843 /nfs/dbraw/zinc/76/58/43/1167765843.db2.gz MWLGGLDDQJXBIH-UHFFFAOYSA-N 0 2 301.306 0.428 20 0 DCADLN O=c1c(-c2nnn[n-]2)c2c(cn1C[N@H+]1CC[C@H](CF)C1)CCC2 ZINC001593492417 1167876332 /nfs/dbraw/zinc/87/63/32/1167876332.db2.gz OPSQPSLDYVZPHF-SNVBAGLBSA-N 0 2 318.356 0.766 20 0 DCADLN O=c1c(-c2nnn[n-]2)c2c(cn1C[N@@H+]1CC[C@H](CF)C1)CCC2 ZINC001593492417 1167876334 /nfs/dbraw/zinc/87/63/34/1167876334.db2.gz OPSQPSLDYVZPHF-SNVBAGLBSA-N 0 2 318.356 0.766 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCO[C@H](C2CC2)C1 ZINC001593492977 1167877082 /nfs/dbraw/zinc/87/70/82/1167877082.db2.gz RZTUDBZUDNOCSF-LBPRGKRZSA-N 0 2 302.338 0.097 20 0 DCADLN c1ncc(-c2nn[nH]n2)c(NCc2n[nH]c([C@@H]3CCCO3)n2)n1 ZINC001593595117 1167957544 /nfs/dbraw/zinc/95/75/44/1167957544.db2.gz NHQOHNNGCVCCDP-QMMMGPOBSA-N 0 2 314.313 0.238 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@H]2[N@@H+]1Cc1nnc2n1CCOC2 ZINC001594341240 1167965326 /nfs/dbraw/zinc/96/53/26/1167965326.db2.gz SQRNRRYRUOYIHL-WYUUTHIRSA-N 0 2 322.365 0.403 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@H]2[N@H+]1Cc1nnc2n1CCOC2 ZINC001594341240 1167965336 /nfs/dbraw/zinc/96/53/36/1167965336.db2.gz SQRNRRYRUOYIHL-WYUUTHIRSA-N 0 2 322.365 0.403 20 0 DCADLN Cc1cc(S(=O)(=O)N2C[C@H](C)[N@@H+](C)C[C@H]2C)oc1C(=O)[O-] ZINC001600293177 1168157125 /nfs/dbraw/zinc/15/71/25/1168157125.db2.gz HPTRCLMEDDAVPE-VHSXEESVSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1cc(S(=O)(=O)N2C[C@H](C)[N@H+](C)C[C@H]2C)oc1C(=O)[O-] ZINC001600293177 1168157138 /nfs/dbraw/zinc/15/71/38/1168157138.db2.gz HPTRCLMEDDAVPE-VHSXEESVSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1ccc2[nH+]cc(CN3CCNC(=O)[C@H]3CC(=O)[O-])n2c1 ZINC001600502847 1168191901 /nfs/dbraw/zinc/19/19/01/1168191901.db2.gz AYNQTQZSCJYMAE-GFCCVEGCSA-N 0 2 302.334 0.418 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N2CC[C@]3(CC(C(=O)[O-])=NO3)C2)c[nH+]1 ZINC001600611193 1168212474 /nfs/dbraw/zinc/21/24/74/1168212474.db2.gz BCRXKHNMYVJOSR-HNNXBMFYSA-N 0 2 320.349 0.759 20 0 DCADLN Cc1n[nH]c(C)c1[C@@H](C)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600668222 1168238510 /nfs/dbraw/zinc/23/85/10/1168238510.db2.gz AJLSTZFBOCUAEC-HQJQHLMTSA-N 0 2 305.338 0.665 20 0 DCADLN Cc1n[nH]c(C)c1[C@@H](C)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600668222 1168238522 /nfs/dbraw/zinc/23/85/22/1168238522.db2.gz AJLSTZFBOCUAEC-HQJQHLMTSA-N 0 2 305.338 0.665 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)N1CCC2(CC1)OCCO2 ZINC001600685223 1168249069 /nfs/dbraw/zinc/24/90/69/1168249069.db2.gz DTHXUHQUKKKUSJ-UHFFFAOYSA-N 0 2 309.322 0.255 20 0 DCADLN Cc1nc(NC2(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CCC2)cc[nH+]1 ZINC001600752364 1168276706 /nfs/dbraw/zinc/27/67/06/1168276706.db2.gz GLRFVBNAAOASOU-QWRGUYRKSA-N 0 2 304.350 0.957 20 0 DCADLN Cc1nnc(C[N@@H+](C)CCCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])o1 ZINC001600794105 1168297949 /nfs/dbraw/zinc/29/79/49/1168297949.db2.gz KXCKEJDXTPZDPP-GHMZBOCLSA-N 0 2 310.354 0.379 20 0 DCADLN Cc1nnc(C[N@H+](C)CCCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])o1 ZINC001600794105 1168297952 /nfs/dbraw/zinc/29/79/52/1168297952.db2.gz KXCKEJDXTPZDPP-GHMZBOCLSA-N 0 2 310.354 0.379 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001600905766 1168501192 /nfs/dbraw/zinc/50/11/92/1168501192.db2.gz RKHSFLXMYYHYPD-NSHDSACASA-N 0 2 307.350 0.270 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)c2cc(C(=O)[O-])nn2C)C1 ZINC001600983955 1168543552 /nfs/dbraw/zinc/54/35/52/1168543552.db2.gz FPRIHMZLPVOBHG-SECBINFHSA-N 0 2 303.322 0.482 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001600985529 1168546213 /nfs/dbraw/zinc/54/62/13/1168546213.db2.gz QRYNHXNFBQRIBJ-VXGBXAGGSA-N 0 2 306.366 0.221 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)NCc2ncc(C(=O)[O-])s2)c1 ZINC001600988882 1168547466 /nfs/dbraw/zinc/54/74/66/1168547466.db2.gz LPDBVCSEDDHBFD-UHFFFAOYSA-N 0 2 309.351 0.617 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)C(=O)Nc1cc(C(=O)[O-])cs1 ZINC001600992706 1168549967 /nfs/dbraw/zinc/54/99/67/1168549967.db2.gz CHNFCYQKJAUYAI-UHFFFAOYSA-N 0 2 308.319 0.435 20 0 DCADLN NC(=S)c1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC001601173472 1168621478 /nfs/dbraw/zinc/62/14/78/1168621478.db2.gz MOBOIHLSGULDLV-LLVKDONJSA-N 0 2 318.358 0.470 20 0 DCADLN NC(=S)c1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001601173472 1168621481 /nfs/dbraw/zinc/62/14/81/1168621481.db2.gz MOBOIHLSGULDLV-LLVKDONJSA-N 0 2 318.358 0.470 20 0 DCADLN NS(=O)(=O)[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2Cl)C1 ZINC001601191776 1168645321 /nfs/dbraw/zinc/64/53/21/1168645321.db2.gz BGINOLYKBDETTK-SNVBAGLBSA-N 0 2 318.782 0.901 20 0 DCADLN NS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cc(C(=O)[O-])ccc2Cl)C1 ZINC001601191776 1168645351 /nfs/dbraw/zinc/64/53/51/1168645351.db2.gz BGINOLYKBDETTK-SNVBAGLBSA-N 0 2 318.782 0.901 20 0 DCADLN O=C([O-])[C@@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccc(O)cc1 ZINC001601231958 1168670335 /nfs/dbraw/zinc/67/03/35/1168670335.db2.gz PNLCHOXYPBLPHR-YLSAJCSVSA-N 0 2 320.345 0.523 20 0 DCADLN O=C(C=CCOC1CCOCC1)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601230433 1168670854 /nfs/dbraw/zinc/67/08/54/1168670854.db2.gz BXWBZWGXVMZVHF-ZIYVKSGCSA-N 0 2 323.349 0.273 20 0 DCADLN O=C(/C=C/COC1CCOCC1)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601230433 1168670855 /nfs/dbraw/zinc/67/08/55/1168670855.db2.gz BXWBZWGXVMZVHF-ZIYVKSGCSA-N 0 2 323.349 0.273 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)N[C@H](C(=O)[O-])c1cccnc1 ZINC001601231047 1168671424 /nfs/dbraw/zinc/67/14/24/1168671424.db2.gz IHWJRYIHAMHXEN-PMUGQKEBSA-N 0 2 305.334 0.212 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)CN1CCc2ccccc21 ZINC001601319955 1168707781 /nfs/dbraw/zinc/70/77/81/1168707781.db2.gz KDORTRYGNOGHKV-CYBMUJFWSA-N 0 2 314.345 0.515 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cn1ncc2ccccc21 ZINC001601390781 1168730342 /nfs/dbraw/zinc/73/03/42/1168730342.db2.gz BFOSVDMCKXRGQC-LBPRGKRZSA-N 0 2 313.317 0.572 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cn1ncc2ccccc21 ZINC001601390781 1168730352 /nfs/dbraw/zinc/73/03/52/1168730352.db2.gz BFOSVDMCKXRGQC-LBPRGKRZSA-N 0 2 313.317 0.572 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccnn1C1CCC1 ZINC001601425599 1168742569 /nfs/dbraw/zinc/74/25/69/1168742569.db2.gz DYUYDJRHYBBIOT-NSHDSACASA-N 0 2 303.322 0.688 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ncc(Cl)s1 ZINC001601568279 1168785151 /nfs/dbraw/zinc/78/51/51/1168785151.db2.gz YAILNMZPPCIQLU-ZCFIWIBFSA-N 0 2 300.727 0.945 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ncc(Cl)s1 ZINC001601568279 1168785156 /nfs/dbraw/zinc/78/51/56/1168785156.db2.gz YAILNMZPPCIQLU-ZCFIWIBFSA-N 0 2 300.727 0.945 20 0 DCADLN O=C(N[C@H]1C[C@H](C(=O)[O-])C1)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC001601575612 1168788731 /nfs/dbraw/zinc/78/87/31/1168788731.db2.gz XCFBLNUJRAFWRW-IJLUTSLNSA-N 0 2 320.349 0.026 20 0 DCADLN O=C([O-])c1csc(NC(=O)C(=O)N2CCn3c[nH+]cc3C2)c1 ZINC001601584624 1168790525 /nfs/dbraw/zinc/79/05/25/1168790525.db2.gz GBZGOCNXQNIPLC-UHFFFAOYSA-N 0 2 320.330 0.624 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CC12CCSCC2 ZINC001601662865 1168808680 /nfs/dbraw/zinc/80/86/80/1168808680.db2.gz LONKZHPRZPJVDV-QWRGUYRKSA-N 0 2 309.391 0.986 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(C[N@@H+]2CC[C@@H](Oc3ccncc3)C2)C1 ZINC001601759745 1168858755 /nfs/dbraw/zinc/85/87/55/1168858755.db2.gz YDKXRWBAHRBAEN-WCQYABFASA-N 0 2 305.334 0.425 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(C[N@H+]2CC[C@@H](Oc3ccncc3)C2)C1 ZINC001601759745 1168858760 /nfs/dbraw/zinc/85/87/60/1168858760.db2.gz YDKXRWBAHRBAEN-WCQYABFASA-N 0 2 305.334 0.425 20 0 DCADLN O=C([O-])[C@@H]1CC12CCN(S(=O)(=O)CCn1cc[nH+]c1)CC2 ZINC001601774956 1168871518 /nfs/dbraw/zinc/87/15/18/1168871518.db2.gz VCEBNOMUVQZPOV-NSHDSACASA-N 0 2 313.379 0.400 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001601784048 1168894548 /nfs/dbraw/zinc/89/45/48/1168894548.db2.gz YAHMWBKSRVJOTG-VXGBXAGGSA-N 0 2 308.338 0.119 20 0 DCADLN O=C([O-])[C@@H]1CCCC[C@H]1S(=O)(=O)NCCn1cc[nH+]c1 ZINC001601810072 1168902483 /nfs/dbraw/zinc/90/24/83/1168902483.db2.gz KHADXLRAYDTURT-GHMZBOCLSA-N 0 2 301.368 0.446 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(NC[C@]23COC(=O)N2CCOC3)c1 ZINC001602150166 1169003057 /nfs/dbraw/zinc/00/30/57/1169003057.db2.gz IKDZFNNYWRQIJA-AWEZNQCLSA-N 0 2 307.306 0.342 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+](CCCO)Cc2ccccc2)nn1 ZINC001602161274 1169008268 /nfs/dbraw/zinc/00/82/68/1169008268.db2.gz UKKPHLQOVCISPK-UHFFFAOYSA-N 0 2 304.350 0.747 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+](CCCO)Cc2ccccc2)nn1 ZINC001602161274 1169008278 /nfs/dbraw/zinc/00/82/78/1169008278.db2.gz UKKPHLQOVCISPK-UHFFFAOYSA-N 0 2 304.350 0.747 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)o1 ZINC001602318556 1169057994 /nfs/dbraw/zinc/05/79/94/1169057994.db2.gz IGSMFUXUMXNRKQ-NSHDSACASA-N 0 2 308.334 0.915 20 0 DCADLN O=C([O-])c1cncc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC001602538781 1169110341 /nfs/dbraw/zinc/11/03/41/1169110341.db2.gz AERQMVWRNPCWCC-ZDUSSCGKSA-N 0 2 320.349 0.718 20 0 DCADLN O=C([O-])c1cncc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC001602538780 1169110899 /nfs/dbraw/zinc/11/08/99/1169110899.db2.gz AERQMVWRNPCWCC-CYBMUJFWSA-N 0 2 320.349 0.718 20 0 DCADLN O=C([O-])c1ncccc1S(=O)(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001602577577 1169124202 /nfs/dbraw/zinc/12/42/02/1169124202.db2.gz LCAWVYPNXRBUNX-VIFPVBQESA-N 0 2 322.346 0.270 20 0 DCADLN C[C@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])C(=O)OC(C)(C)C ZINC001603154956 1169259532 /nfs/dbraw/zinc/25/95/32/1169259532.db2.gz MZGKKTCPXHYNCO-NXEZZACHSA-N 0 2 311.338 0.430 20 0 DCADLN CC(C)C[C@H](C)Cn1cnc2ncc(-c3nn[nH]n3)c(=O)n21 ZINC001603260353 1169284354 /nfs/dbraw/zinc/28/43/54/1169284354.db2.gz XFVDPJAGEIQCJN-VIFPVBQESA-N 0 2 302.342 0.753 20 0 DCADLN CC(C)c1n[nH]c(COC(=O)c2ccc(-c3nn[nH]n3)nc2)n1 ZINC001603414277 1169302757 /nfs/dbraw/zinc/30/27/57/1169302757.db2.gz PWVHKPILVMHJOM-UHFFFAOYSA-N 0 2 314.309 0.860 20 0 DCADLN CC(C)c1nc(COC(=O)c2ccc(-c3nn[nH]n3)nc2)n[nH]1 ZINC001603414277 1169302767 /nfs/dbraw/zinc/30/27/67/1169302767.db2.gz PWVHKPILVMHJOM-UHFFFAOYSA-N 0 2 314.309 0.860 20 0 DCADLN CC(C)Cn1nccc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001603866810 1169416960 /nfs/dbraw/zinc/41/69/60/1169416960.db2.gz ZIZYKFHYIHZJFN-LLVKDONJSA-N 0 2 305.338 0.688 20 0 DCADLN CC(C)Cn1nccc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001603866810 1169416969 /nfs/dbraw/zinc/41/69/69/1169416969.db2.gz ZIZYKFHYIHZJFN-LLVKDONJSA-N 0 2 305.338 0.688 20 0 DCADLN C[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@@H](C)S1 ZINC001603936085 1169440772 /nfs/dbraw/zinc/44/07/72/1169440772.db2.gz MRQBSLXCMPTRRV-NXEZZACHSA-N 0 2 306.395 0.812 20 0 DCADLN CC[C@H](COC)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604039447 1169476439 /nfs/dbraw/zinc/47/64/39/1169476439.db2.gz KYGJIEVEIFMKDN-MRVPVSSYSA-N 0 2 306.326 0.431 20 0 DCADLN CC[C@H](CSC)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001604038873 1169476507 /nfs/dbraw/zinc/47/65/07/1169476507.db2.gz BGLRIRXZFMXTFA-ZCFIWIBFSA-N 0 2 311.371 0.220 20 0 DCADLN CCC(F)(F)Cn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC001604044171 1169479313 /nfs/dbraw/zinc/47/93/13/1169479313.db2.gz SIMLHQDNFBSVBY-UHFFFAOYSA-N 0 2 313.264 0.860 20 0 DCADLN CCc1nn(COC(=O)C2CC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604580060 1169622188 /nfs/dbraw/zinc/62/21/88/1169622188.db2.gz WXEZQJRYLZNZAW-UHFFFAOYSA-N 0 2 318.337 0.459 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC(=O)[C@@H]2C[C@@H]2C)c1 ZINC001604963832 1169764230 /nfs/dbraw/zinc/76/42/30/1169764230.db2.gz ADEQOGXPNPGOLI-IONNQARKSA-N 0 2 317.305 0.040 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC(=O)CC2CC2)c1 ZINC001604964664 1169765583 /nfs/dbraw/zinc/76/55/83/1169765583.db2.gz ZLMFGORNMVZBFH-UHFFFAOYSA-N 0 2 317.305 0.184 20 0 DCADLN CO[C@H]1C[C@@H](Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)C1 ZINC001605154455 1169850337 /nfs/dbraw/zinc/85/03/37/1169850337.db2.gz ZCWMHFKBUNXMMG-JGZJWPJOSA-N 0 2 301.350 0.942 20 0 DCADLN CCC[N@H+](Cc1cnc(C(=O)[O-])cn1)[C@H]1CC(=O)N(C)C1=O ZINC001605320930 1169893361 /nfs/dbraw/zinc/89/33/61/1169893361.db2.gz WAUBXYZXSJTMHC-NSHDSACASA-N 0 2 306.322 0.144 20 0 DCADLN CCC[N@@H+](Cc1cnc(C(=O)[O-])cn1)[C@H]1CC(=O)N(C)C1=O ZINC001605320930 1169893368 /nfs/dbraw/zinc/89/33/68/1169893368.db2.gz WAUBXYZXSJTMHC-NSHDSACASA-N 0 2 306.322 0.144 20 0 DCADLN COc1ccccc1OCCn1cncc(-c2nn[nH]n2)c1=O ZINC001605336715 1169896378 /nfs/dbraw/zinc/89/63/78/1169896378.db2.gz YDTOQERXGMEBCG-UHFFFAOYSA-N 0 2 314.305 0.511 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)NCCC(C)(C)C)c1 ZINC001605510417 1169943650 /nfs/dbraw/zinc/94/36/50/1169943650.db2.gz ABWNKWJNDYKCIO-UHFFFAOYSA-N 0 2 318.381 0.889 20 0 DCADLN Cc1cc(-c2nn[nH]n2)cc(C)c1C(=O)N1CCc2[nH]nnc2C1 ZINC001605512380 1169945103 /nfs/dbraw/zinc/94/51/03/1169945103.db2.gz IREAAEQQXMXCEG-UHFFFAOYSA-N 0 2 324.348 0.800 20 0 DCADLN CCc1nc(C)ncc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001605848856 1170012127 /nfs/dbraw/zinc/01/21/27/1170012127.db2.gz RMVONSOOJFDZPY-GFCCVEGCSA-N 0 2 303.322 0.496 20 0 DCADLN CCc1nc(C)ncc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001605848856 1170012129 /nfs/dbraw/zinc/01/21/29/1170012129.db2.gz RMVONSOOJFDZPY-GFCCVEGCSA-N 0 2 303.322 0.496 20 0 DCADLN Cc1nn(CC2CCC(=O)CC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114149 1170079804 /nfs/dbraw/zinc/07/98/04/1170079804.db2.gz FJMRAQXCIFVXRA-UHFFFAOYSA-N 0 2 302.338 0.800 20 0 DCADLN Cc1nn(C[C@@H](F)C(F)(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114431 1170079868 /nfs/dbraw/zinc/07/98/68/1170079868.db2.gz OAYWZQAICSRUIZ-ZCFIWIBFSA-N 0 2 306.223 0.941 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H](NS(=O)(=O)[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC001606259409 1170138838 /nfs/dbraw/zinc/13/88/38/1170138838.db2.gz NSQIQROERSEHHP-MBNYWOFBSA-N 0 2 324.402 0.956 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H](NS(=O)(=O)[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC001606259409 1170138842 /nfs/dbraw/zinc/13/88/42/1170138842.db2.gz NSQIQROERSEHHP-MBNYWOFBSA-N 0 2 324.402 0.956 20 0 DCADLN COC(=O)[C@@H](CC(=O)[O-])NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001606323878 1170158263 /nfs/dbraw/zinc/15/82/63/1170158263.db2.gz NWXGOGIVRSEPHU-LLVKDONJSA-N 0 2 318.289 0.013 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC001606329667 1170161074 /nfs/dbraw/zinc/16/10/74/1170161074.db2.gz DKBIICCHIZTLGU-TYNCELHUSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@H]1C(=O)[O-] ZINC001606417799 1170192906 /nfs/dbraw/zinc/19/29/06/1170192906.db2.gz SOJTXJFBKHKFHJ-BDAKNGLRSA-N 0 2 309.322 0.020 20 0 DCADLN COC(=O)c1cccc([C@H]([NH2+][C@H]2CCN(C)C2=O)C(=O)[O-])c1 ZINC001606612299 1170241559 /nfs/dbraw/zinc/24/15/59/1170241559.db2.gz XTBLHNXPMABKAL-RYUDHWBXSA-N 0 2 306.318 0.419 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@@H+]2CC[C@H](CO)[C@H](O)C2)c1 ZINC001606612508 1170241877 /nfs/dbraw/zinc/24/18/77/1170241877.db2.gz FTDDTQPAZDWIKX-MGPQQGTHSA-N 0 2 323.345 0.274 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](CO)[C@H](O)C2)c1 ZINC001606612508 1170241883 /nfs/dbraw/zinc/24/18/83/1170241883.db2.gz FTDDTQPAZDWIKX-MGPQQGTHSA-N 0 2 323.345 0.274 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1csc(C2CC2)n1 ZINC001607088594 1170386796 /nfs/dbraw/zinc/38/67/96/1170386796.db2.gz NVWJQZNBKNPEPG-UHFFFAOYSA-N 0 2 317.334 0.511 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1nnc(C2CC2)s1 ZINC001607091081 1170388999 /nfs/dbraw/zinc/38/89/99/1170388999.db2.gz GEKRILGMRBNJCR-UHFFFAOYSA-N 0 2 302.323 0.201 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[NH+]1CCC(NC(N)=O)CC1 ZINC001607493874 1170467573 /nfs/dbraw/zinc/46/75/73/1170467573.db2.gz GCZJITAYJARCLL-UHFFFAOYSA-N 0 2 308.338 0.337 20 0 DCADLN Cc1cc(CNC(=O)N2C[C@@H](O)C[C@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001607642702 1170488665 /nfs/dbraw/zinc/48/86/65/1170488665.db2.gz ZDWBAOIJUUTPQQ-STQMWFEESA-N 0 2 307.350 0.675 20 0 DCADLN Cn1cc(C[NH+]2CCC(O)(C(=O)[O-])CC2)c(Br)n1 ZINC001608111813 1170576115 /nfs/dbraw/zinc/57/61/15/1170576115.db2.gz CMRSAIDMLMDPSL-UHFFFAOYSA-N 0 2 318.171 0.594 20 0 DCADLN Cc1ncc(NC(=O)/C=C\C[NH+]2CCOCC2)cc1C(=O)[O-] ZINC001607983040 1170539236 /nfs/dbraw/zinc/53/92/36/1170539236.db2.gz MEQCYKJRVJJGPY-IHWYPQMZSA-N 0 2 305.334 0.915 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCC[N@@H+]1Cc1cn(CC(=O)[O-])nn1 ZINC001608004342 1170545342 /nfs/dbraw/zinc/54/53/42/1170545342.db2.gz GDCGFFKQDUJWLM-CYBMUJFWSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCC[N@H+]1Cc1cn(CC(=O)[O-])nn1 ZINC001608004342 1170545356 /nfs/dbraw/zinc/54/53/56/1170545356.db2.gz GDCGFFKQDUJWLM-CYBMUJFWSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1oc(C[N@@H+](C)CCS(=O)(=O)N(C)C)cc1C(=O)[O-] ZINC001608046253 1170557528 /nfs/dbraw/zinc/55/75/28/1170557528.db2.gz VWGUDYUDHOZRPD-UHFFFAOYSA-N 0 2 304.368 0.609 20 0 DCADLN Cc1oc(C[N@H+](C)CCS(=O)(=O)N(C)C)cc1C(=O)[O-] ZINC001608046253 1170557535 /nfs/dbraw/zinc/55/75/35/1170557535.db2.gz VWGUDYUDHOZRPD-UHFFFAOYSA-N 0 2 304.368 0.609 20 0 DCADLN Cn1cc(C[NH+]2CCN([C@@H](C(=O)[O-])c3cccnc3)CC2)cn1 ZINC001608111533 1170576123 /nfs/dbraw/zinc/57/61/23/1170576123.db2.gz HDGPNGZBZZMBBM-OAHLLOKOSA-N 0 2 315.377 0.759 20 0 DCADLN Cn1cc(N2CCN(c3cc(CC(=O)[O-])cc[nH+]3)CC2)cn1 ZINC001608116885 1170580629 /nfs/dbraw/zinc/58/06/29/1170580629.db2.gz NROGVYLIHYDTRL-UHFFFAOYSA-N 0 2 301.350 0.769 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1cccc(CC(=O)[O-])c1 ZINC001608136750 1170589162 /nfs/dbraw/zinc/58/91/62/1170589162.db2.gz RIPWCBDDOKWPFH-GFCCVEGCSA-N 0 2 303.318 0.511 20 0 DCADLN [NH3+][C@H](CC(=O)N1CC[C@H](C(=O)[O-])C[C@H]1[C@@H]1CCCO1)C(F)F ZINC001608247465 1170629965 /nfs/dbraw/zinc/62/99/65/1170629965.db2.gz HJAFHCMKTAYDTJ-VLEAKVRGSA-N 0 2 320.336 0.840 20 0 DCADLN Nc1cccc2c1C(=O)N(C[N@H+]1C[C@H]3[C@H](C(=O)[O-])[C@H]3C1)C2=O ZINC001608274615 1170639838 /nfs/dbraw/zinc/63/98/38/1170639838.db2.gz NXELMCNNIAJTCX-JZYVYDRUSA-N 0 2 301.302 0.085 20 0 DCADLN Nc1cccc2c1C(=O)N(C[N@@H+]1C[C@H]3[C@H](C(=O)[O-])[C@H]3C1)C2=O ZINC001608274615 1170639840 /nfs/dbraw/zinc/63/98/40/1170639840.db2.gz NXELMCNNIAJTCX-JZYVYDRUSA-N 0 2 301.302 0.085 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)C[C@@H]1Cc2ccccc2O1 ZINC001608299044 1170645280 /nfs/dbraw/zinc/64/52/80/1170645280.db2.gz AKMAMWPEVDZWFP-STQMWFEESA-N 0 2 315.329 0.846 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1cnn2c1CCCC2 ZINC001608369576 1170661045 /nfs/dbraw/zinc/66/10/45/1170661045.db2.gz AWKHTJCHMCEPBE-GFCCVEGCSA-N 0 2 317.349 0.297 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1cnn2c1CCCC2 ZINC001608369576 1170661047 /nfs/dbraw/zinc/66/10/47/1170661047.db2.gz AWKHTJCHMCEPBE-GFCCVEGCSA-N 0 2 317.349 0.297 20 0 DCADLN O=C([O-])c1ccc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)nc1 ZINC001608445713 1170680755 /nfs/dbraw/zinc/68/07/55/1170680755.db2.gz YKEJZTUZTWKENO-TXEJJXNPSA-N 0 2 320.349 0.765 20 0 DCADLN O=C([O-])c1ccc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)nc1 ZINC001608445713 1170680759 /nfs/dbraw/zinc/68/07/59/1170680759.db2.gz YKEJZTUZTWKENO-TXEJJXNPSA-N 0 2 320.349 0.765 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCc2cccc(O)c21 ZINC001608543972 1170698729 /nfs/dbraw/zinc/69/87/29/1170698729.db2.gz URTJBYZOZQSLOF-NWDGAFQWSA-N 0 2 315.329 0.888 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1Cc1ccc(-n2cncn2)cc1 ZINC001608690749 1170737822 /nfs/dbraw/zinc/73/78/22/1170737822.db2.gz YFCDYAVIBXIKEK-ZDUSSCGKSA-N 0 2 315.333 0.042 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1Cc1ccc(-n2cncn2)cc1 ZINC001608690749 1170737824 /nfs/dbraw/zinc/73/78/24/1170737824.db2.gz YFCDYAVIBXIKEK-ZDUSSCGKSA-N 0 2 315.333 0.042 20 0 DCADLN O=C([O-])C[C@@H]1CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CCO1 ZINC001608699176 1170739692 /nfs/dbraw/zinc/73/96/92/1170739692.db2.gz AKBFRDKEVUUSHN-WDEREUQCSA-N 0 2 308.338 0.083 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CCC[C@H]3OCCNC(=O)[C@H]32)s1 ZINC001608882051 1170768386 /nfs/dbraw/zinc/76/83/86/1170768386.db2.gz DVDDMSMIFRSCHC-PWSUYJOCSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CCC[C@H]3OCCNC(=O)[C@H]32)s1 ZINC001608882051 1170768390 /nfs/dbraw/zinc/76/83/90/1170768390.db2.gz DVDDMSMIFRSCHC-PWSUYJOCSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1ccc(CS(=O)(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC001608889881 1170768858 /nfs/dbraw/zinc/76/88/58/1170768858.db2.gz AFDDIRZCZACUIK-UHFFFAOYSA-N 0 2 321.358 0.968 20 0 DCADLN O=C([O-])c1ccc(OC[C@H](O)CN2CCn3c[nH+]cc3C2)cc1 ZINC001608907206 1170770548 /nfs/dbraw/zinc/77/05/48/1170770548.db2.gz ZHFPDTGDTNZWIN-CQSZACIVSA-N 0 2 317.345 0.837 20 0 DCADLN O=C([O-])c1ccnc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)c1 ZINC001608951203 1170774516 /nfs/dbraw/zinc/77/45/16/1170774516.db2.gz KSIBKQYTCCRRSE-ZDUSSCGKSA-N 0 2 319.361 0.717 20 0 DCADLN CC(F)(F)CCNS(=O)(=O)c1ccc(-c2nn[nH]n2)o1 ZINC001609116574 1170815106 /nfs/dbraw/zinc/81/51/06/1170815106.db2.gz RFSWFTZMDKYDMS-UHFFFAOYSA-N 0 2 307.282 0.783 20 0 DCADLN Cc1ccn(CCO[C@@H]2CCCCO2)c(=O)c1-c1nn[nH]n1 ZINC001609437548 1170976575 /nfs/dbraw/zinc/97/65/75/1170976575.db2.gz KZKHZLWOFBMCTO-LLVKDONJSA-N 0 2 305.338 0.880 20 0 DCADLN Cc1ccn(CN2CCOCC3(CC3)C2)c(=O)c1-c1nn[nH]n1 ZINC001609438002 1170977377 /nfs/dbraw/zinc/97/73/77/1170977377.db2.gz LUZPQJRBBKOSMY-UHFFFAOYSA-N 0 2 316.365 0.407 20 0 DCADLN O=C(NCc1ccc(-c2nn[nH]n2)cn1)C(F)C(F)(F)F ZINC001609554596 1171019075 /nfs/dbraw/zinc/01/90/75/1171019075.db2.gz YKQMNPRGPXEOLK-ZETCQYMHSA-N 0 2 304.207 0.778 20 0 DCADLN O=C(NCc1ccc(-c2nn[nH]n2)cn1)[C@H](F)C(F)(F)F ZINC001609554596 1171019081 /nfs/dbraw/zinc/01/90/81/1171019081.db2.gz YKQMNPRGPXEOLK-ZETCQYMHSA-N 0 2 304.207 0.778 20 0 DCADLN O=C1[C@H](NCc2ccc(-c3nn[nH]n3)o2)C[C@@H]2CCCCN12 ZINC001609586867 1171032638 /nfs/dbraw/zinc/03/26/38/1171032638.db2.gz WBRGIARKAQFKIU-GXSJLCMTSA-N 0 2 302.338 0.703 20 0 DCADLN CC(C)(C)N1C[C@@H](C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])CC1=O ZINC001609657203 1171061054 /nfs/dbraw/zinc/06/10/54/1171061054.db2.gz KBYYDYONMCDREI-QWRGUYRKSA-N 0 2 322.365 0.100 20 0 DCADLN C[C@H](NC(=O)C(C)(C)C)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001609762426 1171081338 /nfs/dbraw/zinc/08/13/38/1171081338.db2.gz RLYGISGWSILQDP-UWVGGRQHSA-N 0 2 310.354 0.003 20 0 DCADLN C[C@@H]([NH2+]Cc1nc(N)nc(N)n1)c1ccc(OCC(=O)[O-])cc1 ZINC001609778962 1171083456 /nfs/dbraw/zinc/08/34/56/1171083456.db2.gz JFSHUXFJUKIYAR-MRVPVSSYSA-N 0 2 318.337 0.350 20 0 DCADLN C[C@H]1CN(c2ccc(C(=O)[O-])cc2)CC[N@@H+]1CC(=O)NC(N)=O ZINC001609876318 1171113049 /nfs/dbraw/zinc/11/30/49/1171113049.db2.gz WDQWSWXHKZWXFD-JTQLQIEISA-N 0 2 320.349 0.090 20 0 DCADLN C[C@H]1CN(c2ccc(C(=O)[O-])cc2)CC[N@H+]1CC(=O)NC(N)=O ZINC001609876318 1171113051 /nfs/dbraw/zinc/11/30/51/1171113051.db2.gz WDQWSWXHKZWXFD-JTQLQIEISA-N 0 2 320.349 0.090 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)CC2(C(=O)[O-])CCCC2)C[C@@H]1C ZINC001610007491 1171136116 /nfs/dbraw/zinc/13/61/16/1171136116.db2.gz AOVCAFUJLBFROE-LBPRGKRZSA-N 0 2 318.439 0.987 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)CC2(C(=O)[O-])CCCC2)C[C@@H]1C ZINC001610007491 1171136119 /nfs/dbraw/zinc/13/61/19/1171136119.db2.gz AOVCAFUJLBFROE-LBPRGKRZSA-N 0 2 318.439 0.987 20 0 DCADLN COC(=O)C1([NH2+]CCC(=O)N(C)CC(=O)[O-])CCCCCC1 ZINC001610156355 1171180800 /nfs/dbraw/zinc/18/08/00/1171180800.db2.gz IFWWBVKEQYDRNK-UHFFFAOYSA-N 0 2 314.382 0.775 20 0 DCADLN CO[C@@]1(C(F)(F)F)CCC[N@@H+]([C@@H](C)C(=O)NCC(=O)[O-])C1 ZINC001610231554 1171202395 /nfs/dbraw/zinc/20/23/95/1171202395.db2.gz OCNBWQLXVOGCGZ-KWQFWETISA-N 0 2 312.288 0.619 20 0 DCADLN CO[C@@]1(C(F)(F)F)CCC[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])C1 ZINC001610231554 1171202401 /nfs/dbraw/zinc/20/24/01/1171202401.db2.gz OCNBWQLXVOGCGZ-KWQFWETISA-N 0 2 312.288 0.619 20 0 DCADLN COCc1noc([C@H](C)[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610274504 1171215210 /nfs/dbraw/zinc/21/52/10/1171215210.db2.gz HJHIQEHHFLYJHJ-IUCAKERBSA-N 0 2 322.325 0.514 20 0 DCADLN COCc1noc([C@H](C)[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610274504 1171215215 /nfs/dbraw/zinc/21/52/15/1171215215.db2.gz HJHIQEHHFLYJHJ-IUCAKERBSA-N 0 2 322.325 0.514 20 0 DCADLN COc1cc2c(cc1C[N@@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-])OCO2 ZINC001610308665 1171218035 /nfs/dbraw/zinc/21/80/35/1171218035.db2.gz YOEBFZUASZBVBK-YGRLFVJLSA-N 0 2 309.318 0.834 20 0 DCADLN COc1cc2c(cc1C[N@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-])OCO2 ZINC001610308665 1171218038 /nfs/dbraw/zinc/21/80/38/1171218038.db2.gz YOEBFZUASZBVBK-YGRLFVJLSA-N 0 2 309.318 0.834 20 0 DCADLN COc1cccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1F ZINC001610353428 1171222972 /nfs/dbraw/zinc/22/29/72/1171222972.db2.gz XAENHFXJJJVFCS-SNVBAGLBSA-N 0 2 307.281 0.914 20 0 DCADLN CS(=O)(=O)[C@@H]1CCC[N@H+](Cc2oncc2C(=O)[O-])CC1 ZINC001610373338 1171226003 /nfs/dbraw/zinc/22/60/03/1171226003.db2.gz SOTYJIIFCRMMQK-SECBINFHSA-N 0 2 302.352 0.772 20 0 DCADLN CS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2oncc2C(=O)[O-])CC1 ZINC001610373338 1171226010 /nfs/dbraw/zinc/22/60/10/1171226010.db2.gz SOTYJIIFCRMMQK-SECBINFHSA-N 0 2 302.352 0.772 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001610595269 1171261837 /nfs/dbraw/zinc/26/18/37/1171261837.db2.gz FBHKWHNFZCZZCH-PHIMTYICSA-N 0 2 307.350 0.316 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001610595269 1171261843 /nfs/dbraw/zinc/26/18/43/1171261843.db2.gz FBHKWHNFZCZZCH-PHIMTYICSA-N 0 2 307.350 0.316 20 0 DCADLN O=C([O-])/C=C1\SCC(=O)N1CCC[N@@H+]1CCOCC12CC2 ZINC001610749721 1171309084 /nfs/dbraw/zinc/30/90/84/1171309084.db2.gz SYUHCGAKPHIWMY-WQLSENKSSA-N 0 2 312.391 0.743 20 0 DCADLN O=C([O-])/C=C1\SCC(=O)N1CCC[N@H+]1CCOCC12CC2 ZINC001610749721 1171309094 /nfs/dbraw/zinc/30/90/94/1171309094.db2.gz SYUHCGAKPHIWMY-WQLSENKSSA-N 0 2 312.391 0.743 20 0 DCADLN O=C([O-])c1cn(C2CN(C(=O)CCCn3cc[nH+]c3)C2)nn1 ZINC001610858028 1171341460 /nfs/dbraw/zinc/34/14/60/1171341460.db2.gz NSKPMAOSMJXOII-UHFFFAOYSA-N 0 2 304.310 0.037 20 0 DCADLN O=C(c1cncc2nc[nH]c21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001617922194 1171392588 /nfs/dbraw/zinc/39/25/88/1171392588.db2.gz RRBIIVRUFBNQBY-QMMMGPOBSA-N 0 2 313.321 0.801 20 0 DCADLN Cc1nonc1C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635455198 1171703566 /nfs/dbraw/zinc/70/35/66/1171703566.db2.gz GGWFJQROMHQRKW-SNVBAGLBSA-N 0 2 314.305 0.916 20 0 DCADLN CCC(CC)(NC(=O)c1cncc2[nH]cnc21)c1nn[nH]n1 ZINC001635937863 1171717966 /nfs/dbraw/zinc/71/79/66/1171717966.db2.gz TYDMLTQKWFWVQD-UHFFFAOYSA-N 0 2 300.326 0.916 20 0 DCADLN Cc1ccc(NCCNC(=O)CCCn2c(=O)[n-][nH]c2=O)[nH+]c1 ZINC001639044052 1171819079 /nfs/dbraw/zinc/81/90/79/1171819079.db2.gz PTLKUWJUQAJRHX-UHFFFAOYSA-N 0 2 320.353 0.401 20 0 DCADLN O=C(Cn1c(=O)oc2ccccc21)NCc1nc(O)cc(=O)[nH]1 ZINC001642456495 1171946804 /nfs/dbraw/zinc/94/68/04/1171946804.db2.gz NIDUNVCLHISHQN-UHFFFAOYSA-N 0 2 316.273 0.112 20 0 DCADLN O=C(c1cc(F)cc2n[nH]nc21)N1CC[C@H](c2nn[nH]n2)C1 ZINC001644299441 1172000920 /nfs/dbraw/zinc/00/09/20/1172000920.db2.gz PUYKMGQOQPUCDY-LURJTMIESA-N 0 2 302.273 0.240 20 0 DCADLN CCC/C=C\[C@@H](O)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001645355063 1172039774 /nfs/dbraw/zinc/03/97/74/1172039774.db2.gz LJSGJSZJIPNWPY-JMEBYUIHSA-N 0 2 309.366 0.914 20 0 DCADLN O=C(NCCC1CS(=O)(=O)C1)c1cc(F)c(O)c(F)c1 ZINC001645710805 1172110920 /nfs/dbraw/zinc/11/09/20/1172110920.db2.gz QMLWIUJMVKREGS-UHFFFAOYSA-N 0 2 305.302 0.835 20 0 DCADLN CCCS(=O)(=O)Nc1nnn(Cc2noc(C)n2)c1C ZINC001645764903 1172130637 /nfs/dbraw/zinc/13/06/37/1172130637.db2.gz BRTRDMHYJLNHFP-UHFFFAOYSA-N 0 2 300.344 0.478 20 0 DCADLN [NH3+]Cc1ncc(C(=O)N[C@H]2CCC[N@@H+]3CCSC[C@H]23)cn1 ZINC001646791463 1172534836 /nfs/dbraw/zinc/53/48/36/1172534836.db2.gz MTHKPVJPJBDYDF-NWDGAFQWSA-N 0 2 307.423 0.245 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@]2(C)CC2(F)F)[nH]1 ZINC001649973101 1173350667 /nfs/dbraw/zinc/35/06/67/1173350667.db2.gz BRBGGRYAHWYXQB-VIFPVBQESA-N 0 2 310.326 0.416 20 0 DCADLN Cn1cc([C@@H](CO)[NH2+]Cc2nc(=O)c3sccc3[n-]2)cn1 ZINC001654074335 1173799747 /nfs/dbraw/zinc/79/97/47/1173799747.db2.gz ZFVFGKOESANZFK-SNVBAGLBSA-N 0 2 305.363 0.954 20 0 DCADLN C[C@@H]1CCc2[nH]nc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c2C1 ZINC001654366521 1173805316 /nfs/dbraw/zinc/80/53/16/1173805316.db2.gz ZDHMDURWQPAWPV-MRVPVSSYSA-N 0 2 304.354 0.721 20 0 DCADLN O=C(NCc1nnc2c(=O)[nH]ccn12)c1c(O)cc(F)cc1F ZINC001654824037 1173812865 /nfs/dbraw/zinc/81/28/65/1173812865.db2.gz XTQJBLQATIXHPA-UHFFFAOYSA-N 0 2 321.243 0.331 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1nnc(C)[nH]1 ZINC001660511476 1174002124 /nfs/dbraw/zinc/00/21/24/1174002124.db2.gz PEHXQTJMTCHTQB-UHFFFAOYSA-N 0 2 321.385 0.492 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1nnc(C)[nH]1 ZINC001660511476 1174002131 /nfs/dbraw/zinc/00/21/31/1174002131.db2.gz PEHXQTJMTCHTQB-UHFFFAOYSA-N 0 2 321.385 0.492 20 0 DCADLN C=C/C=C\CCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001685038387 1176010342 /nfs/dbraw/zinc/01/03/42/1176010342.db2.gz DBWBJUXKELHGFU-PLNGDYQASA-N 0 2 313.383 0.281 20 0 DCADLN CCOCCC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001688336985 1176456979 /nfs/dbraw/zinc/45/69/79/1176456979.db2.gz OHJBMDPHYIGSLQ-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCOCCC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001688336985 1176456982 /nfs/dbraw/zinc/45/69/82/1176456982.db2.gz OHJBMDPHYIGSLQ-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@H+](C)CCOCC(F)F)c1[O-] ZINC001699445832 1178140961 /nfs/dbraw/zinc/14/09/61/1178140961.db2.gz SSGSISCMPGXNKK-MRVPVSSYSA-N 0 2 320.340 0.756 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@@H+](C)CCOCC(F)F)c1[O-] ZINC001699445832 1178140963 /nfs/dbraw/zinc/14/09/63/1178140963.db2.gz SSGSISCMPGXNKK-MRVPVSSYSA-N 0 2 320.340 0.756 20 0 DCADLN CC(C)(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1c[nH]cn1 ZINC001703219914 1179401620 /nfs/dbraw/zinc/40/16/20/1179401620.db2.gz ZOXXYCFQRRIQGN-MRVPVSSYSA-N 0 2 324.278 0.820 20 0 DCADLN CN(CCCNC(=O)Cn1cccn1)C(=O)C(F)C(F)(F)F ZINC001703541356 1179579415 /nfs/dbraw/zinc/57/94/15/1179579415.db2.gz DNAHEBBUMNAYTG-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCCNC(=O)Cn1cccn1)C(=O)[C@H](F)C(F)(F)F ZINC001703541356 1179579418 /nfs/dbraw/zinc/57/94/18/1179579418.db2.gz DNAHEBBUMNAYTG-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCCNC(=O)c1cn[nH]c1)C(=O)C(F)C(F)(F)F ZINC001703544495 1179581034 /nfs/dbraw/zinc/58/10/34/1179581034.db2.gz LGTWDFCNKWFEAJ-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)c1cn[nH]c1)C(=O)[C@H](F)C(F)(F)F ZINC001703544495 1179581035 /nfs/dbraw/zinc/58/10/35/1179581035.db2.gz LGTWDFCNKWFEAJ-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC=CCNC(=O)C(F)C(F)(F)F ZINC001705051494 1180241047 /nfs/dbraw/zinc/24/10/47/1180241047.db2.gz CQEJKGQMCUCDSS-SGJFDWMWSA-N 0 2 323.250 0.416 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC=CCNC(=O)[C@H](F)C(F)(F)F ZINC001705051494 1180241051 /nfs/dbraw/zinc/24/10/51/1180241051.db2.gz CQEJKGQMCUCDSS-SGJFDWMWSA-N 0 2 323.250 0.416 20 0 DCADLN CCCCO[C@@H](C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001713080434 1180671297 /nfs/dbraw/zinc/67/12/97/1180671297.db2.gz JHNNXFVCFZRHDT-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CCOCCC(=O)N(CC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001715035185 1181663411 /nfs/dbraw/zinc/66/34/11/1181663411.db2.gz AJYLRIUZZHUGSR-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCOCCC(=O)N(CC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001715035185 1181663417 /nfs/dbraw/zinc/66/34/17/1181663417.db2.gz AJYLRIUZZHUGSR-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN O=C(CC1(O)CCC1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001718021194 1183113646 /nfs/dbraw/zinc/11/36/46/1183113646.db2.gz VQWXLKXPQLRPKO-UHFFFAOYSA-N 0 2 321.381 0.064 20 0 DCADLN O=C(CC1(O)CCC1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001718021194 1183113648 /nfs/dbraw/zinc/11/36/48/1183113648.db2.gz VQWXLKXPQLRPKO-UHFFFAOYSA-N 0 2 321.381 0.064 20 0 DCADLN CCC(=O)NCCOCCCNC(=O)C(F)C(F)(F)F ZINC001718489093 1183237586 /nfs/dbraw/zinc/23/75/86/1183237586.db2.gz IIMAVYCHISACEH-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN CCC(=O)NCCOCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001718489093 1183237589 /nfs/dbraw/zinc/23/75/89/1183237589.db2.gz IIMAVYCHISACEH-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN O=C(NCC=CCNC(=O)C(F)C(F)(F)F)c1ccn[nH]1 ZINC001721799782 1184045831 /nfs/dbraw/zinc/04/58/31/1184045831.db2.gz KCLKSLZFXAYPPA-FHKCNRDCSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NCC=CCNC(=O)[C@@H](F)C(F)(F)F)c1ccn[nH]1 ZINC001721799782 1184045836 /nfs/dbraw/zinc/04/58/36/1184045836.db2.gz KCLKSLZFXAYPPA-FHKCNRDCSA-N 0 2 308.235 0.712 20 0 DCADLN CN(CCCNC(=O)[C@@H]1C[C@H]1C1CCC1)Cc1nnnn1C ZINC001731270866 1185231321 /nfs/dbraw/zinc/23/13/21/1185231321.db2.gz BINKLOFMPORQKE-QWHCGFSZSA-N 0 2 306.414 0.584 20 0 DCADLN CN(CCCNC(=O)[C@@H]1CCC[N@@H+]1C)C(=O)Cc1[nH]cc[nH+]1 ZINC001737113063 1187248364 /nfs/dbraw/zinc/24/83/64/1187248364.db2.gz XUKANTCQTAPXCU-LBPRGKRZSA-N 0 2 307.398 0.011 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cnccn1 ZINC001738434317 1187543674 /nfs/dbraw/zinc/54/36/74/1187543674.db2.gz JUXUJWYDPUEUIT-VWCDRPFISA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1cnccn1 ZINC001738434317 1187543677 /nfs/dbraw/zinc/54/36/77/1187543677.db2.gz JUXUJWYDPUEUIT-VWCDRPFISA-N 0 2 320.246 0.779 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001753096401 1188497307 /nfs/dbraw/zinc/49/73/07/1188497307.db2.gz XJXGDLGTZZNLFN-GZTOBOFZSA-N 0 2 317.349 0.897 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001753096401 1188497309 /nfs/dbraw/zinc/49/73/09/1188497309.db2.gz XJXGDLGTZZNLFN-GZTOBOFZSA-N 0 2 317.349 0.897 20 0 DCADLN CN(C(=O)C=Cc1ccc[nH]1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753435692 1188595887 /nfs/dbraw/zinc/59/58/87/1188595887.db2.gz WTYHCANYTQDISZ-ITKZLYELSA-N 0 2 316.365 0.585 20 0 DCADLN CN(C(=O)C=Cc1ccc[nH]1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753435692 1188595891 /nfs/dbraw/zinc/59/58/91/1188595891.db2.gz WTYHCANYTQDISZ-ITKZLYELSA-N 0 2 316.365 0.585 20 0 DCADLN CCCSCC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001754301563 1188813369 /nfs/dbraw/zinc/81/33/69/1188813369.db2.gz RIUDDAPRCGZSGY-VIFPVBQESA-N 0 2 301.416 0.590 20 0 DCADLN CCCSCC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001754301563 1188813376 /nfs/dbraw/zinc/81/33/76/1188813376.db2.gz RIUDDAPRCGZSGY-VIFPVBQESA-N 0 2 301.416 0.590 20 0 DCADLN O=C(C=C1CCC1)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001756512554 1189370415 /nfs/dbraw/zinc/37/04/15/1189370415.db2.gz UMQOWGWNVLDZTC-PSASIEDQSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001756512554 1189370424 /nfs/dbraw/zinc/37/04/24/1189370424.db2.gz UMQOWGWNVLDZTC-PSASIEDQSA-N 0 2 312.263 0.590 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001758028131 1189686909 /nfs/dbraw/zinc/68/69/09/1189686909.db2.gz WADQWSAFXMTKQT-ZCFIWIBFSA-N 0 2 312.223 0.170 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758028131 1189686913 /nfs/dbraw/zinc/68/69/13/1189686913.db2.gz WADQWSAFXMTKQT-ZCFIWIBFSA-N 0 2 312.223 0.170 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H]1CCCO1)C(F)C(F)(F)F ZINC001759645566 1190244993 /nfs/dbraw/zinc/24/49/93/1190244993.db2.gz JRIAYXJHQVJYAN-FXFPHERDSA-N 0 2 312.263 0.854 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H]1CCCO1)[C@H](F)C(F)(F)F ZINC001759645566 1190244994 /nfs/dbraw/zinc/24/49/94/1190244994.db2.gz JRIAYXJHQVJYAN-FXFPHERDSA-N 0 2 312.263 0.854 20 0 DCADLN NC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]([NH3+])CC2CCCCC2)CC1 ZINC000318713388 1190428126 /nfs/dbraw/zinc/42/81/26/1190428126.db2.gz GBUZMRJGZUXNFY-AWEZNQCLSA-N 0 2 310.442 0.304 20 0 DCADLN Cn1cnnc1C1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC001771612426 1190450864 /nfs/dbraw/zinc/45/08/64/1190450864.db2.gz URGGAHCGHUJGCJ-VIFPVBQESA-N 0 2 322.394 0.078 20 0 DCADLN O=C(CNC(=O)c1cc(Cl)ccn1)OCc1n[nH]c(=O)[nH]1 ZINC001771642362 1190458713 /nfs/dbraw/zinc/45/87/13/1190458713.db2.gz VESUEIGAJJJKST-UHFFFAOYSA-N 0 2 311.685 0.032 20 0 DCADLN CCOCc1nnc(NS(=O)(=O)c2c(C)cnn2C)o1 ZINC001771694381 1190470923 /nfs/dbraw/zinc/47/09/23/1190470923.db2.gz WPKODUFGBPPWNI-UHFFFAOYSA-N 0 2 301.328 0.449 20 0 DCADLN CC(C)N(C)S(=O)(=O)[N-]C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001771760798 1190491549 /nfs/dbraw/zinc/49/15/49/1190491549.db2.gz YPLHNBQGOXIMTL-UHFFFAOYSA-N 0 2 314.411 0.463 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NC[C@@H]2CNC(=O)C2)cn1 ZINC001771811306 1190509361 /nfs/dbraw/zinc/50/93/61/1190509361.db2.gz GHHLIHPOFVISDO-VIFPVBQESA-N 0 2 302.338 0.159 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1[NH2+]CCc2occc21)C[NH+]1CCN(C)CC1 ZINC001772226595 1190635796 /nfs/dbraw/zinc/63/57/96/1190635796.db2.gz JTFHBPOZYOYTST-BBRMVZONSA-N 0 2 320.437 0.466 20 0 DCADLN CN(C(=O)c1ccc(F)cc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042413538 751630828 /nfs/dbraw/zinc/63/08/28/751630828.db2.gz BMOQHEQWNWGMPP-UHFFFAOYSA-N 0 2 305.313 0.606 20 0 DCADLN CN(C(=O)c1ccccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042417897 751632881 /nfs/dbraw/zinc/63/28/81/751632881.db2.gz HNZAIJAOTIACNI-UHFFFAOYSA-N 0 2 305.313 0.606 20 0 DCADLN C[C@@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001042621968 751787591 /nfs/dbraw/zinc/78/75/91/751787591.db2.gz JRLBUQLVUHXAMI-JTQLQIEISA-N 0 2 304.354 0.216 20 0 DCADLN Cc1csc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001042696141 751845655 /nfs/dbraw/zinc/84/56/55/751845655.db2.gz BGXYTXABLONFIF-UHFFFAOYSA-N 0 2 307.379 0.837 20 0 DCADLN CC[C@H](C(=O)NCC=CCNc1ncnc2[nH]cnc21)[NH+](C)C ZINC001107274637 751987492 /nfs/dbraw/zinc/98/74/92/751987492.db2.gz GBUFITIAQMSBDD-ISALQUGTSA-N 0 2 317.397 0.729 20 0 DCADLN O=C([O-])C(=O)N1Cc2c[nH+]cn2C[C@H](COc2cccnc2)C1 ZINC001143420345 747327965 /nfs/dbraw/zinc/32/79/65/747327965.db2.gz UWWSSZACGFDJJS-LLVKDONJSA-N 0 2 316.317 0.400 20 0 DCADLN C[C@@H]1CC[C@H](CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043562555 752288706 /nfs/dbraw/zinc/28/87/06/752288706.db2.gz IFWZQSDOJKAYNN-MNOVXSKESA-N 0 2 307.398 0.979 20 0 DCADLN COc1ccnc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001059079182 748708409 /nfs/dbraw/zinc/70/84/09/748708409.db2.gz AXYXULWLLQNIEU-JTQLQIEISA-N 0 2 302.338 0.464 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccnn2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087518258 748914432 /nfs/dbraw/zinc/91/44/32/748914432.db2.gz GXEOKENEDNRJAA-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccnn2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087518258 748914440 /nfs/dbraw/zinc/91/44/40/748914440.db2.gz GXEOKENEDNRJAA-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ocnc2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087532717 748941180 /nfs/dbraw/zinc/94/11/80/748941180.db2.gz WXRPDZALEAAJJY-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ocnc2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087532717 748941183 /nfs/dbraw/zinc/94/11/83/748941183.db2.gz WXRPDZALEAAJJY-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cc[nH]c2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071525612 761928284 /nfs/dbraw/zinc/92/82/84/761928284.db2.gz NZFPZSQQJDLGPL-KOLCDFICSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cc[nH]c2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071525612 761928290 /nfs/dbraw/zinc/92/82/90/761928290.db2.gz NZFPZSQQJDLGPL-KOLCDFICSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]([NH2+][C@@H]1CCCCCN(C(=O)Cc2c[nH+]cn2C)C1)C(N)=O ZINC001088413405 749427265 /nfs/dbraw/zinc/42/72/65/749427265.db2.gz FLHGCQCLJWPMKZ-QWHCGFSZSA-N 0 2 321.425 0.197 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088871521 750698334 /nfs/dbraw/zinc/69/83/34/750698334.db2.gz SKWOKNCVRCTRED-JCIQBVFBSA-N 0 2 321.381 0.157 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088871521 750698339 /nfs/dbraw/zinc/69/83/39/750698339.db2.gz SKWOKNCVRCTRED-JCIQBVFBSA-N 0 2 321.381 0.157 20 0 DCADLN Cc1cc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001122760771 751154811 /nfs/dbraw/zinc/15/48/11/751154811.db2.gz KUIANHXCJFMFPP-IONNQARKSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1cc(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001122760771 751154814 /nfs/dbraw/zinc/15/48/14/751154814.db2.gz KUIANHXCJFMFPP-IONNQARKSA-N 0 2 310.251 0.574 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107783836 752323096 /nfs/dbraw/zinc/32/30/96/752323096.db2.gz NWOWGJSPMTVHOB-NVWZYQMFSA-N 0 2 323.397 0.574 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccnnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071841130 762175347 /nfs/dbraw/zinc/17/53/47/762175347.db2.gz PZTCKHXFAVXBDS-ONGXEEELSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccnnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071841129 762175396 /nfs/dbraw/zinc/17/53/96/762175396.db2.gz PZTCKHXFAVXBDS-MWLCHTKSSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H]1CCOC1)NC(=O)C(F)C(F)(F)F ZINC001078189059 753098540 /nfs/dbraw/zinc/09/85/40/753098540.db2.gz OUXHZUGVTNGDCG-YIZRAAEISA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H]1CCOC1)NC(=O)[C@H](F)C(F)(F)F ZINC001078189059 753098543 /nfs/dbraw/zinc/09/85/43/753098543.db2.gz OUXHZUGVTNGDCG-YIZRAAEISA-N 0 2 314.279 0.934 20 0 DCADLN O=C(N[C@@H]1C[C@H]([NH2+]Cc2ccon2)C12CCC2)c1cnn[nH]1 ZINC001078665555 753333177 /nfs/dbraw/zinc/33/31/77/753333177.db2.gz JQGOBKJVYJNEQQ-NWDGAFQWSA-N 0 2 302.338 0.624 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N1CCN([C@@H]2CC[N@H+](CCF)C2)CC1 ZINC001046043555 753445693 /nfs/dbraw/zinc/44/56/93/753445693.db2.gz IAFCZBODALEGRQ-OAHLLOKOSA-N 0 2 323.416 0.380 20 0 DCADLN Cc1ncsc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046161007 753519224 /nfs/dbraw/zinc/51/92/24/753519224.db2.gz OYOMWVGKCWIQRV-CYBMUJFWSA-N 0 2 322.394 0.670 20 0 DCADLN C[C@]1(NC(=O)c2ccns2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046356160 753662915 /nfs/dbraw/zinc/66/29/15/753662915.db2.gz QHWYNCCCTOWIER-LBPRGKRZSA-N 0 2 308.367 0.361 20 0 DCADLN COC1CC(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001046400382 753692572 /nfs/dbraw/zinc/69/25/72/753692572.db2.gz LFZVLCVPOCAIQN-RPFQZYLTSA-N 0 2 309.370 0.016 20 0 DCADLN COC1CC(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001046400382 753692578 /nfs/dbraw/zinc/69/25/78/753692578.db2.gz LFZVLCVPOCAIQN-RPFQZYLTSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1nncs1)C2)c1nnc[nH]1 ZINC001047165456 754114212 /nfs/dbraw/zinc/11/42/12/754114212.db2.gz JCLYXXKCXQSERR-GUBZILKMSA-N 0 2 319.394 0.439 20 0 DCADLN O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1ccon1)C2)c1cnon1 ZINC001047165847 754114592 /nfs/dbraw/zinc/11/45/92/754114592.db2.gz PMQNOKPWRBEXHC-DLOVCJGASA-N 0 2 303.322 0.841 20 0 DCADLN C[C@]1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)CCOC1 ZINC001096244984 754509077 /nfs/dbraw/zinc/50/90/77/754509077.db2.gz CFOQESQLNBRDNZ-LMCGPQHNSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CCC1)c1cn[nH]n1 ZINC001063307643 755146581 /nfs/dbraw/zinc/14/65/81/755146581.db2.gz YTRHLFMUYUBCNU-SSDOTTSWSA-N 0 2 323.250 0.474 20 0 DCADLN CC[C@H](F)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049650458 755347738 /nfs/dbraw/zinc/34/77/38/755347738.db2.gz YNGSYKYOAITJLU-AXFHLTTASA-N 0 2 311.361 0.824 20 0 DCADLN CC[C@H](F)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049650458 755347744 /nfs/dbraw/zinc/34/77/44/755347744.db2.gz YNGSYKYOAITJLU-AXFHLTTASA-N 0 2 311.361 0.824 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccncc1 ZINC001096729195 755508336 /nfs/dbraw/zinc/50/83/36/755508336.db2.gz CZJJPINOXGWDOO-UTUOFQBUSA-N 0 2 314.349 0.441 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1CC1(F)F ZINC001097043192 755584257 /nfs/dbraw/zinc/58/42/57/755584257.db2.gz KTRZDEDFMPUCQQ-BGZDPUMWSA-N 0 2 313.308 0.387 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccoc1 ZINC001079614116 755935074 /nfs/dbraw/zinc/93/50/74/755935074.db2.gz CMVAZXVLYUPNFC-MWLCHTKSSA-N 0 2 305.338 0.283 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccoc1 ZINC001079614116 755935076 /nfs/dbraw/zinc/93/50/76/755935076.db2.gz CMVAZXVLYUPNFC-MWLCHTKSSA-N 0 2 305.338 0.283 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCOC3(C[NH+](CC4CCC4)C3)C2)c1[O-] ZINC001053226510 755963398 /nfs/dbraw/zinc/96/33/98/755963398.db2.gz XRZOAXGRSIGDED-UHFFFAOYSA-N 0 2 320.393 0.751 20 0 DCADLN CCCC[NH+]1CC2(C1)CN(C(=O)c1n[nH]c(C)c1[O-])CCO2 ZINC001053224898 755963594 /nfs/dbraw/zinc/96/35/94/755963594.db2.gz BAKANKKWOBUZMW-UHFFFAOYSA-N 0 2 308.382 0.751 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@@H](C)OC)C1 ZINC001079901267 756032787 /nfs/dbraw/zinc/03/27/87/756032787.db2.gz KCBBSEGFWNPBCX-APPZFPTMSA-N 0 2 314.279 0.981 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)[C@@H](C)OC)C1 ZINC001079901267 756032794 /nfs/dbraw/zinc/03/27/94/756032794.db2.gz KCBBSEGFWNPBCX-APPZFPTMSA-N 0 2 314.279 0.981 20 0 DCADLN COCC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])O2 ZINC001053589293 756107071 /nfs/dbraw/zinc/10/70/71/756107071.db2.gz FQJVMUWOXSTZRU-LLVKDONJSA-N 0 2 324.381 0.033 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]2C)no1 ZINC001054582132 756550181 /nfs/dbraw/zinc/55/01/81/756550181.db2.gz REMWYNQTTBJDFE-QPUJVOFHSA-N 0 2 319.365 0.970 20 0 DCADLN CCC1(C(=O)NC[C@]2(C)CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001108193319 757355776 /nfs/dbraw/zinc/35/57/76/757355776.db2.gz DJKFXYUSIACLNR-CQSZACIVSA-N 0 2 323.397 0.408 20 0 DCADLN O=C([C@@H]1CC12CCC2)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084689333 757969566 /nfs/dbraw/zinc/96/95/66/757969566.db2.gz CDDOVKAMVLKCDC-GRYCIOLGSA-N 0 2 317.393 0.733 20 0 DCADLN CC1(C)CC(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001050901550 758226777 /nfs/dbraw/zinc/22/67/77/758226777.db2.gz BKAGSVQYKFGFAY-NSHDSACASA-N 0 2 323.397 0.264 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccccc1 ZINC001085463174 758906084 /nfs/dbraw/zinc/90/60/84/758906084.db2.gz WRDNZBGUAOOANR-LBPRGKRZSA-N 0 2 301.350 0.857 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1CC(F)(F)C1 ZINC001085539765 759021235 /nfs/dbraw/zinc/02/12/35/759021235.db2.gz GNZDVGMZHRMADY-VIFPVBQESA-N 0 2 315.324 0.588 20 0 DCADLN CCc1nocc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085805108 759329430 /nfs/dbraw/zinc/32/94/30/759329430.db2.gz YVBQGGZENWQVJM-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN Cc1nsc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122761564 767870620 /nfs/dbraw/zinc/87/06/20/767870620.db2.gz SNGFBDPPIOGQEA-NTSWFWBYSA-N 0 2 316.280 0.636 20 0 DCADLN Cc1nsc(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001122761564 767870628 /nfs/dbraw/zinc/87/06/28/767870628.db2.gz SNGFBDPPIOGQEA-NTSWFWBYSA-N 0 2 316.280 0.636 20 0 DCADLN O=C(NC[C@H](CO)Nc1cnc(F)cn1)C(F)C(F)(F)F ZINC001122761366 767870709 /nfs/dbraw/zinc/87/07/09/767870709.db2.gz RFDBJRBGDRYPSY-XRGYYRRGSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](CO)Nc1cnc(F)cn1)[C@H](F)C(F)(F)F ZINC001122761366 767870716 /nfs/dbraw/zinc/87/07/16/767870716.db2.gz RFDBJRBGDRYPSY-XRGYYRRGSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(N[C@H]1COC2(CN(C(=O)C3CC3)C2)C1)C(F)C(F)(F)F ZINC001068894887 760592701 /nfs/dbraw/zinc/59/27/01/760592701.db2.gz GDHZPFVZMZDBGP-RKDXNWHRSA-N 0 2 324.274 0.783 20 0 DCADLN O=C(N[C@H]1COC2(CN(C(=O)C3CC3)C2)C1)[C@@H](F)C(F)(F)F ZINC001068894887 760592704 /nfs/dbraw/zinc/59/27/04/760592704.db2.gz GDHZPFVZMZDBGP-RKDXNWHRSA-N 0 2 324.274 0.783 20 0 DCADLN C[C@@H](CNc1nccn2nnnc12)NC(=O)C(F)C(F)(F)F ZINC001108739569 761025664 /nfs/dbraw/zinc/02/56/64/761025664.db2.gz IBRPVXOHALLZCI-NTSWFWBYSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H](CNc1nccn2nnnc12)NC(=O)[C@@H](F)C(F)(F)F ZINC001108739569 761025674 /nfs/dbraw/zinc/02/56/74/761025674.db2.gz IBRPVXOHALLZCI-NTSWFWBYSA-N 0 2 321.238 0.336 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109185592 761281113 /nfs/dbraw/zinc/28/11/13/761281113.db2.gz FHAIGBFXBMHUOR-WYUUTHIRSA-N 0 2 323.397 0.547 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109185592 761281120 /nfs/dbraw/zinc/28/11/20/761281120.db2.gz FHAIGBFXBMHUOR-WYUUTHIRSA-N 0 2 323.397 0.547 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cn(C)cn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071434540 761854019 /nfs/dbraw/zinc/85/40/19/761854019.db2.gz IXKIXBSRKFWFMR-UWVGGRQHSA-N 0 2 319.369 0.027 20 0 DCADLN CC1(C)NC(=O)N(CCCNC(=O)C(F)C(F)(F)F)C1=O ZINC001124083664 768122286 /nfs/dbraw/zinc/12/22/86/768122286.db2.gz SXQMRSWWSIINSF-LURJTMIESA-N 0 2 313.251 0.724 20 0 DCADLN CC1(C)NC(=O)N(CCCNC(=O)[C@H](F)C(F)(F)F)C1=O ZINC001124083664 768122288 /nfs/dbraw/zinc/12/22/88/768122288.db2.gz SXQMRSWWSIINSF-LURJTMIESA-N 0 2 313.251 0.724 20 0 DCADLN C[C@@H]([NH2+]CCNC(=O)c1ccn2c[nH+]cc2c1)c1nncn1C ZINC001130874973 763548045 /nfs/dbraw/zinc/54/80/45/763548045.db2.gz XNTGRZRUYWSCCC-LLVKDONJSA-N 0 2 313.365 0.543 20 0 DCADLN CN(CCNc1ccc2nnnn2n1)C(=O)C(F)C(F)(F)F ZINC001102002782 764478894 /nfs/dbraw/zinc/47/88/94/764478894.db2.gz AQTLQVAGFNNOBL-MRVPVSSYSA-N 0 2 321.238 0.290 20 0 DCADLN CN(CCNc1ccc2nnnn2n1)C(=O)[C@@H](F)C(F)(F)F ZINC001102002782 764478897 /nfs/dbraw/zinc/47/88/97/764478897.db2.gz AQTLQVAGFNNOBL-MRVPVSSYSA-N 0 2 321.238 0.290 20 0 DCADLN Cc1ccnc(NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001124808740 768307475 /nfs/dbraw/zinc/30/74/75/768307475.db2.gz KOFAXIWFHNFSBZ-RKDXNWHRSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1ccnc(NC[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F)n1 ZINC001124808740 768307484 /nfs/dbraw/zinc/30/74/84/768307484.db2.gz KOFAXIWFHNFSBZ-RKDXNWHRSA-N 0 2 324.278 0.917 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn(C(C)C)c2N)C1=O ZINC001117669432 766124042 /nfs/dbraw/zinc/12/40/42/766124042.db2.gz JPTZWJISKNFDDS-CYBMUJFWSA-N 0 2 308.342 0.412 20 0 DCADLN CC(C)CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149108670 767390948 /nfs/dbraw/zinc/39/09/48/767390948.db2.gz CXUUCTGWWYOHDR-NSHDSACASA-N 0 2 311.386 0.264 20 0 DCADLN CN(C)C(=O)C[N@@H+]1CCc2ncc(C[NH+]3CCCCC3)n2CC1 ZINC001203014383 768519017 /nfs/dbraw/zinc/51/90/17/768519017.db2.gz JVLMOAOBZBNGCS-UHFFFAOYSA-N 0 2 319.453 0.815 20 0 DCADLN CC(C)(O)C(=O)Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12 ZINC001142907299 768671870 /nfs/dbraw/zinc/67/18/70/768671870.db2.gz TWRMIUSJSADFQO-UHFFFAOYSA-N 0 2 315.289 0.683 20 0 DCADLN C[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001095280211 769736122 /nfs/dbraw/zinc/73/61/22/769736122.db2.gz RHTWIEWEIMFFKB-YJQGPUDQSA-N 0 2 305.382 0.778 20 0 DCADLN C[C@H]([NH2+]CCNC(=O)CCCn1cc[nH+]c1)C(=O)NC1CC1 ZINC001153355754 769796093 /nfs/dbraw/zinc/79/60/93/769796093.db2.gz CLHPDZVBFBATSU-LBPRGKRZSA-N 0 2 307.398 0.036 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CC[C@H]1CN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001235322673 769810816 /nfs/dbraw/zinc/81/08/16/769810816.db2.gz XPEQYFZSXDRMGM-QWHCGFSZSA-N 0 2 310.442 0.267 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1C[C@H](F)C[C@@H](CO)C1 ZINC001153857555 769964587 /nfs/dbraw/zinc/96/45/87/769964587.db2.gz OLSMVRYRKBHUKC-NXEZZACHSA-N 0 2 305.309 0.716 20 0 DCADLN COC(=O)[C@@]1(F)CCN(C(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153859346 769965515 /nfs/dbraw/zinc/96/55/15/769965515.db2.gz ZOQIPCZFPQQSRJ-OAHLLOKOSA-N 0 2 319.292 0.650 20 0 DCADLN O=C(CN1C[C@@H](c2ccccc2)CC1=O)NCc1n[nH]c(=O)[nH]1 ZINC001180313033 771094504 /nfs/dbraw/zinc/09/45/04/771094504.db2.gz PAFWNRXYTIKRPV-NSHDSACASA-N 0 2 315.333 0.143 20 0 DCADLN Nc1ccc(OCCO)c(NC(=O)C(CO)C(F)(F)F)c1 ZINC001183285510 771636728 /nfs/dbraw/zinc/63/67/28/771636728.db2.gz SSEPDLOTOLWKKJ-QMMMGPOBSA-N 0 2 308.256 0.749 20 0 DCADLN Nc1ccc(OCCO)c(NC(=O)[C@H](CO)C(F)(F)F)c1 ZINC001183285510 771636731 /nfs/dbraw/zinc/63/67/31/771636731.db2.gz SSEPDLOTOLWKKJ-QMMMGPOBSA-N 0 2 308.256 0.749 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001183759011 771696585 /nfs/dbraw/zinc/69/65/85/771696585.db2.gz DOJDUBJFKDZMDP-GFCCVEGCSA-N 0 2 309.414 0.799 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])NC(=O)CCc1ccc(N)[nH+]c1 ZINC001183935555 771718549 /nfs/dbraw/zinc/71/85/49/771718549.db2.gz KPJBVGHHTIOPLO-JTQLQIEISA-N 0 2 309.322 0.119 20 0 DCADLN CNC(=O)C[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@@H]1CCCC[NH+]1C ZINC001158328907 771760216 /nfs/dbraw/zinc/76/02/16/771760216.db2.gz FKEMHSYGRUJRMD-CABCVRRESA-N 0 2 324.469 0.530 20 0 DCADLN COc1cc(CC(=O)C(=O)Nc2ncc(C)[nH]c2=O)ccc1O ZINC001184257613 771765747 /nfs/dbraw/zinc/76/57/47/771765747.db2.gz XVZSGQSZZLARFK-UHFFFAOYSA-N 0 2 317.301 0.543 20 0 DCADLN O=C(C[C@@]12C[C@H]3C[C@@H](C1)C[C@](O)(C3)C2)NCc1n[nH]c(=O)[nH]1 ZINC001184293694 771774417 /nfs/dbraw/zinc/77/44/17/771774417.db2.gz FHCGZHVPKVSYAN-LZEPEHGSSA-N 0 2 306.366 0.848 20 0 DCADLN O=c1[nH]c2nc(=O)[nH]c(Nc3nc(Cl)nc4[nH]cnc43)c2[nH]1 ZINC001159185754 771872843 /nfs/dbraw/zinc/87/28/43/771872843.db2.gz WGYRRXPVLRIDHG-UHFFFAOYSA-N 0 2 319.672 0.779 20 0 DCADLN Cn1nnnc1NS(=O)(=O)CCc1ccc(Cl)cc1 ZINC001189063781 772411071 /nfs/dbraw/zinc/41/10/71/772411071.db2.gz AWUNSEYUJHKBIU-UHFFFAOYSA-N 0 2 301.759 0.848 20 0 DCADLN COC(=O)c1nc2nc(Nc3c[nH]c(=O)nc3N)ccc2[nH]1 ZINC001162796469 772419416 /nfs/dbraw/zinc/41/94/16/772419416.db2.gz JVDGFODBMWFLFU-UHFFFAOYSA-N 0 2 301.266 0.566 20 0 DCADLN COC(=O)c1ccc(OC)nc1NS(=O)(=O)CCCF ZINC001189874269 772548917 /nfs/dbraw/zinc/54/89/17/772548917.db2.gz LYMGPTOGTVQHEK-UHFFFAOYSA-N 0 2 306.315 0.978 20 0 DCADLN COC(=O)c1cccc2[nH+]cc([N-]S(=O)(=O)c3ncc[nH]3)n21 ZINC001190690186 772665461 /nfs/dbraw/zinc/66/54/61/772665461.db2.gz JHAPRYBLCTXYAN-UHFFFAOYSA-N 0 2 321.318 0.645 20 0 DCADLN O=C([O-])COc1ccc(S(=O)(=O)NCC[NH+]2CCC2)cc1 ZINC001192751406 772959094 /nfs/dbraw/zinc/95/90/94/772959094.db2.gz VAXPEYCTGCNDPP-UHFFFAOYSA-N 0 2 314.363 0.134 20 0 DCADLN O=C(c1ccc(F)c(F)c1O)N1CC(=O)N(C2CC2)C(=O)C1 ZINC001192796717 772961424 /nfs/dbraw/zinc/96/14/24/772961424.db2.gz HQZVYLBJPZVJPS-UHFFFAOYSA-N 0 2 310.256 0.644 20 0 DCADLN CCOC(=O)C(C)(C)S(=O)(=O)Nc1cncc(CO)c1 ZINC001193024719 772996980 /nfs/dbraw/zinc/99/69/80/772996980.db2.gz QJGXPRARUNUJEP-UHFFFAOYSA-N 0 2 302.352 0.657 20 0 DCADLN CCOC(=O)C(C)(C)S(=O)(=O)Nc1cccnc1CO ZINC001193024257 772997165 /nfs/dbraw/zinc/99/71/65/772997165.db2.gz HIYQKOCEIVAQPB-UHFFFAOYSA-N 0 2 302.352 0.657 20 0 DCADLN Cc1nc(C(=O)NCc2n[nH]c(=O)[nH]2)ccc1Br ZINC001193080231 773001954 /nfs/dbraw/zinc/00/19/54/773001954.db2.gz YJNCXVWKCCZEKN-UHFFFAOYSA-N 0 2 312.127 0.906 20 0 DCADLN Cc1oncc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C(C)C)[NH+](C)C)C1 ZINC001193960906 773132595 /nfs/dbraw/zinc/13/25/95/773132595.db2.gz IDRZLUGBPYZZLJ-KFWWJZLASA-N 0 2 324.425 0.231 20 0 DCADLN COc1ccccc1-n1cnc(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001195822763 773488338 /nfs/dbraw/zinc/48/83/38/773488338.db2.gz VLVLMZKDVSOPLY-UHFFFAOYSA-N 0 2 314.305 0.635 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccnc(NC(C)=O)c1 ZINC001195939424 773498066 /nfs/dbraw/zinc/49/80/66/773498066.db2.gz XRTHNHONAUACMD-UHFFFAOYSA-N 0 2 301.324 0.345 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc(Br)cn2)n1 ZINC001196118863 773543820 /nfs/dbraw/zinc/54/38/20/773543820.db2.gz ZKJULEHOHKQMJN-UHFFFAOYSA-N 0 2 318.156 0.773 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3cnon3)C[C@H]21)C(F)C(F)(F)F ZINC001114311274 773655971 /nfs/dbraw/zinc/65/59/71/773655971.db2.gz KNIHRDNIVUILIX-RYPBNFRJSA-N 0 2 308.235 0.516 20 0 DCADLN COCOc1ccc(F)c(C(=O)NCc2n[nH]c(=O)[nH]2)c1F ZINC001197447537 773742851 /nfs/dbraw/zinc/74/28/51/773742851.db2.gz SCUABCFKXPVBPL-UHFFFAOYSA-N 0 2 314.248 0.701 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1ccc2nccnc2c1 ZINC001198118370 773864621 /nfs/dbraw/zinc/86/46/21/773864621.db2.gz ZGZNSOBSZPNHIM-UHFFFAOYSA-N 0 2 319.346 0.619 20 0 DCADLN C=CS(=O)(=O)Nc1c(C(N)=O)cnn1C1CCOCC1 ZINC001198569970 773941502 /nfs/dbraw/zinc/94/15/02/773941502.db2.gz PIAMOMDNACULFL-UHFFFAOYSA-N 0 2 300.340 0.219 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cnc2ccc(Cl)nn12 ZINC001218568066 774249650 /nfs/dbraw/zinc/24/96/50/774249650.db2.gz LERONRLLXFMKFT-QMMMGPOBSA-N 0 2 305.729 0.614 20 0 DCADLN N[C@@H](C(=O)Nc1nc[nH]c(=O)c1Br)C(F)(F)F ZINC001218680349 774279274 /nfs/dbraw/zinc/27/92/74/774279274.db2.gz XEODVJXNECJJRE-VKHMYHEASA-N 0 2 315.049 0.773 20 0 DCADLN NC(C(=O)Nc1nc[nH]c(=O)c1Br)C(F)(F)F ZINC001218680349 774279277 /nfs/dbraw/zinc/27/92/77/774279277.db2.gz XEODVJXNECJJRE-VKHMYHEASA-N 0 2 315.049 0.773 20 0 DCADLN COC(=O)C(NC(=S)Nc1cc(F)cc(F)c1)C(=O)OC ZINC001200674410 774388107 /nfs/dbraw/zinc/38/81/07/774388107.db2.gz HSAKEDGNUXOUEY-UHFFFAOYSA-N 0 2 318.301 0.966 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110890044 775329716 /nfs/dbraw/zinc/32/97/16/775329716.db2.gz YFCLNPPIGSVSCA-WISYIIOYSA-N 0 2 323.397 0.547 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CN(c2ncccn2)C[C@@H]1O ZINC001111201102 775591504 /nfs/dbraw/zinc/59/15/04/775591504.db2.gz RKBBNJMEXQDRRP-XHNCKOQMSA-N 0 2 322.262 0.385 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CN(c2ncccn2)C[C@@H]1O ZINC001111201102 775591507 /nfs/dbraw/zinc/59/15/07/775591507.db2.gz RKBBNJMEXQDRRP-XHNCKOQMSA-N 0 2 322.262 0.385 20 0 DCADLN CN1CC[C@@H]([NH+]2CCC(CNC(=O)Cc3c[nH]c[nH+]3)CC2)C1=O ZINC001224672221 775594785 /nfs/dbraw/zinc/59/47/85/775594785.db2.gz DZWQYNFAIODVBH-CQSZACIVSA-N 0 2 319.409 0.011 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[NH+]2CC(=O)NC(C)C ZINC001111309167 775726657 /nfs/dbraw/zinc/72/66/57/775726657.db2.gz JYSTZXILZSCGFT-KFWWJZLASA-N 0 2 324.469 0.574 20 0 DCADLN O=c1nc2c(=O)[nH]c(=O)[nH]c2c(O[C@H]2CCc3cccnc32)[nH]1 ZINC001226888828 775893255 /nfs/dbraw/zinc/89/32/55/775893255.db2.gz VPGMORXSMBTCEK-ZETCQYMHSA-N 0 2 313.273 0.998 20 0 DCADLN CN(CCCN(C)C(=O)[C@H]1CCC[N@H+]1C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067009474 776610679 /nfs/dbraw/zinc/61/06/79/776610679.db2.gz USMACLSGBJKKBD-CYBMUJFWSA-N 0 2 321.425 0.353 20 0 DCADLN O=C(CC1CC1)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041140541 777084520 /nfs/dbraw/zinc/08/45/20/777084520.db2.gz FWVSXENQVYESMF-VIFPVBQESA-N 0 2 312.263 0.376 20 0 DCADLN O=C(CC1CC1)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001041140541 777084524 /nfs/dbraw/zinc/08/45/24/777084524.db2.gz FWVSXENQVYESMF-VIFPVBQESA-N 0 2 312.263 0.376 20 0 DCADLN O=C(c1cocn1)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041974899 777616839 /nfs/dbraw/zinc/61/68/39/777616839.db2.gz HXZMYSPXNOPCRT-KOLCDFICSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cocn1)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041974899 777616846 /nfs/dbraw/zinc/61/68/46/777616846.db2.gz HXZMYSPXNOPCRT-KOLCDFICSA-N 0 2 318.337 0.235 20 0 DCADLN CN(Cc1c[nH+]cn1C)S(=O)(=O)[C@H]1CCC[C@@H]1C(=O)[O-] ZINC001465105323 804067481 /nfs/dbraw/zinc/06/74/81/804067481.db2.gz AGKHMGDCMKZJDK-QWRGUYRKSA-N 0 2 301.368 0.435 20 0 DCADLN Cc1nn(CCOCC(F)(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436890 1167522735 /nfs/dbraw/zinc/52/27/35/1167522735.db2.gz FRWBIGZJRFFTNA-UHFFFAOYSA-N 0 2 318.259 0.619 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cc(Cl)ncc1F ZINC001601426161 1168742589 /nfs/dbraw/zinc/74/25/89/1168742589.db2.gz SWKOBWKKWUPNPQ-VIFPVBQESA-N 0 2 312.688 0.954 20 0 DCADLN CC(C)CCC(=O)NCC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001603786402 1169388645 /nfs/dbraw/zinc/38/86/45/1169388645.db2.gz COOHXWBUGMIVDI-LLVKDONJSA-N 0 2 310.354 0.005 20 0 DCADLN C[N@H+](CCNC(=O)COC1CCCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001480884211 939163483 /nfs/dbraw/zinc/16/34/83/939163483.db2.gz QYYPXBXASHKEKW-UHFFFAOYSA-N 0 2 311.386 0.408 20 0 DCADLN C[N@@H+](CCNC(=O)COC1CCCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001480884211 939163486 /nfs/dbraw/zinc/16/34/86/939163486.db2.gz QYYPXBXASHKEKW-UHFFFAOYSA-N 0 2 311.386 0.408 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCCOC1)C(=O)C(F)C(F)(F)F ZINC001408536873 939173097 /nfs/dbraw/zinc/17/30/97/939173097.db2.gz GGPWDRGGSSFOJA-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001408536873 939173101 /nfs/dbraw/zinc/17/31/01/939173101.db2.gz GGPWDRGGSSFOJA-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@H]1C1CCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001480890028 939240152 /nfs/dbraw/zinc/24/01/52/939240152.db2.gz UUMXRBDDIMFUCS-NWDGAFQWSA-N 0 2 323.397 0.121 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C1CCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001480890028 939240153 /nfs/dbraw/zinc/24/01/53/939240153.db2.gz UUMXRBDDIMFUCS-NWDGAFQWSA-N 0 2 323.397 0.121 20 0 DCADLN C[C@](NC(=O)/C=C/C[NH+]1CCOCC1)(C(=O)[O-])c1ccccc1 ZINC000902083482 939283772 /nfs/dbraw/zinc/28/37/72/939283772.db2.gz HFBWPJHKVQKWDI-ZGRWHYIRSA-N 0 2 318.373 0.991 20 0 DCADLN C[C@@](NC(=O)/C=C\C[NH+]1CCOCC1)(C(=O)[O-])c1ccccc1 ZINC000902083467 939283822 /nfs/dbraw/zinc/28/38/22/939283822.db2.gz HFBWPJHKVQKWDI-AFCDSYGPSA-N 0 2 318.373 0.991 20 0 DCADLN CC[N@H+](CCNC(=O)C1(C(F)(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001481001099 939516978 /nfs/dbraw/zinc/51/69/78/939516978.db2.gz MJLVFFATGQWBHW-UHFFFAOYSA-N 0 2 321.303 0.791 20 0 DCADLN CC[N@@H+](CCNC(=O)C1(C(F)(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001481001099 939516979 /nfs/dbraw/zinc/51/69/79/939516979.db2.gz MJLVFFATGQWBHW-UHFFFAOYSA-N 0 2 321.303 0.791 20 0 DCADLN O=C(CCc1cccs1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481659539 940019409 /nfs/dbraw/zinc/01/94/09/940019409.db2.gz GZTYKISZYHCVKT-UHFFFAOYSA-N 0 2 321.406 0.753 20 0 DCADLN O=C(CCF)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001269582785 940735579 /nfs/dbraw/zinc/73/55/79/940735579.db2.gz OAELGUBJMPNKNX-UHFFFAOYSA-N 0 2 309.257 0.554 20 0 DCADLN CC(=O)CCCC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001409027631 941270178 /nfs/dbraw/zinc/27/01/78/941270178.db2.gz MQJYGJZRYCYEQC-JTQLQIEISA-N 0 2 312.263 0.973 20 0 DCADLN CC(=O)CCCC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001409027631 941270176 /nfs/dbraw/zinc/27/01/76/941270176.db2.gz MQJYGJZRYCYEQC-JTQLQIEISA-N 0 2 312.263 0.973 20 0 DCADLN Cc1nnc(C[NH2+][C@H](C)[C@@H](C)NC(=O)c2nc[nH]n2)n1C1CC1 ZINC001409358644 941962907 /nfs/dbraw/zinc/96/29/07/941962907.db2.gz HGEYBIBSWNUKEI-RKDXNWHRSA-N 0 2 318.385 0.336 20 0 DCADLN COCC(=O)N[C@](C)(CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001409485434 942014846 /nfs/dbraw/zinc/01/48/46/942014846.db2.gz UAJOPGOMGWZDQG-GXSJLCMTSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)N[C@](C)(CNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001409485434 942014849 /nfs/dbraw/zinc/01/48/49/942014849.db2.gz UAJOPGOMGWZDQG-GXSJLCMTSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001409615511 942092853 /nfs/dbraw/zinc/09/28/53/942092853.db2.gz CEFUDJJHXXCGEG-QMMMGPOBSA-N 0 2 319.369 0.167 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001409615511 942092858 /nfs/dbraw/zinc/09/28/58/942092858.db2.gz CEFUDJJHXXCGEG-QMMMGPOBSA-N 0 2 319.369 0.167 20 0 DCADLN C[C@H](CNC(=O)[C@H]1Cc2ccccc21)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409643814 942110718 /nfs/dbraw/zinc/11/07/18/942110718.db2.gz ZUODGBLWCBZPKG-MFKMUULPSA-N 0 2 315.377 0.787 20 0 DCADLN C[C@H](CNC(=O)[C@H]1Cc2ccccc21)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409643814 942110722 /nfs/dbraw/zinc/11/07/22/942110722.db2.gz ZUODGBLWCBZPKG-MFKMUULPSA-N 0 2 315.377 0.787 20 0 DCADLN CCc1c[nH]c(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001412886317 942123969 /nfs/dbraw/zinc/12/39/69/942123969.db2.gz QLDVNVKHGJSCER-SECBINFHSA-N 0 2 304.354 0.745 20 0 DCADLN O=C(NC1(CCO)CN(CCCF)C1)C(F)C(F)(F)F ZINC001409700696 942152223 /nfs/dbraw/zinc/15/22/23/942152223.db2.gz PFKCKCYZHHKZMU-QMMMGPOBSA-N 0 2 304.259 0.799 20 0 DCADLN C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)CNC(=O)C[N@@H+]1CC[C@H](C)C1 ZINC001409738515 942179240 /nfs/dbraw/zinc/17/92/40/942179240.db2.gz ODESZCGUCGEZAS-STQMWFEESA-N 0 2 321.425 0.163 20 0 DCADLN O=C(N[C@@H]1COC(=O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC001413026611 942213367 /nfs/dbraw/zinc/21/33/67/942213367.db2.gz JRBGRTCXGKDCBW-VIFPVBQESA-N 0 2 305.265 0.762 20 0 DCADLN Cn1nc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c2ccccc21 ZINC000322684754 970918420 /nfs/dbraw/zinc/91/84/20/970918420.db2.gz IZNNKOONDUZRTJ-NSHDSACASA-N 0 2 313.317 0.722 20 0 DCADLN Cn1nc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c2ccccc21 ZINC000322684754 970918427 /nfs/dbraw/zinc/91/84/27/970918427.db2.gz IZNNKOONDUZRTJ-NSHDSACASA-N 0 2 313.317 0.722 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)N(C)C[C@H](C)C(=O)[O-])ccc2[nH+]1 ZINC001611215508 970938269 /nfs/dbraw/zinc/93/82/69/970938269.db2.gz CIWOSSYAFOXFHK-VIFPVBQESA-N 0 2 318.333 0.760 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409904504 942919601 /nfs/dbraw/zinc/91/96/01/942919601.db2.gz FOFVGJNYZJSJLI-VHSXEESVSA-N 0 2 311.386 0.262 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1COCCO1 ZINC001413409272 942957338 /nfs/dbraw/zinc/95/73/38/942957338.db2.gz OHVMILYIXBFRDM-NWDGAFQWSA-N 0 2 318.333 0.326 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(C(=O)c2cnc(C(=O)[O-])cn2)C1 ZINC001611283061 970972031 /nfs/dbraw/zinc/97/20/31/970972031.db2.gz ZHABWQSKSLBTCX-JTQLQIEISA-N 0 2 315.333 0.928 20 0 DCADLN CC(=O)NCC1(O)CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001484253376 943266115 /nfs/dbraw/zinc/26/61/15/943266115.db2.gz DXSOXQPCVHENOL-UHFFFAOYSA-N 0 2 308.363 0.080 20 0 DCADLN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413672611 943562709 /nfs/dbraw/zinc/56/27/09/943562709.db2.gz PDAVVOZKYSRYOJ-XFWSIPNHSA-N 0 2 312.395 0.258 20 0 DCADLN O=C([O-])C1=NO[C@@H](C(=O)NCCCCNc2cccc[nH+]2)C1 ZINC001611361397 971006903 /nfs/dbraw/zinc/00/69/03/971006903.db2.gz CRQICXQVSFRZEJ-LLVKDONJSA-N 0 2 306.322 0.619 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2[C@H]2CCOC2)S1 ZINC001413704737 943595508 /nfs/dbraw/zinc/59/55/08/943595508.db2.gz VJQHQLRMLBJUDQ-YUMQZZPRSA-N 0 2 309.351 0.339 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCc2cnn(C)c2C1)c1nn(C)cc1O ZINC001413758239 943668551 /nfs/dbraw/zinc/66/85/51/943668551.db2.gz HRGXTQSOUVCXDD-UWVGGRQHSA-N 0 2 303.366 0.842 20 0 DCADLN CCc1nc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001485292671 943801115 /nfs/dbraw/zinc/80/11/15/943801115.db2.gz ILOSGFPFPCMSRZ-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1nc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001485292671 943801120 /nfs/dbraw/zinc/80/11/20/943801120.db2.gz ILOSGFPFPCMSRZ-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CC(C)[C@@H](F)C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001491221404 943838096 /nfs/dbraw/zinc/83/80/96/943838096.db2.gz GQCDIHHOKZGHIJ-ZYHUDNBSSA-N 0 2 313.377 0.975 20 0 DCADLN C=C/C(C)=C\CC(=O)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485413309 943930649 /nfs/dbraw/zinc/93/06/49/943930649.db2.gz JRUWMVUKYIVASN-WCIBSUBMSA-N 0 2 321.381 0.086 20 0 DCADLN O=C([O-])CCC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC001611416491 971037674 /nfs/dbraw/zinc/03/76/74/971037674.db2.gz JPLCAQAOBBJDFP-CYBMUJFWSA-N 0 2 302.396 0.175 20 0 DCADLN CSCC1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000834364675 944142835 /nfs/dbraw/zinc/14/28/35/944142835.db2.gz KLEMIBJMNPZWBK-UHFFFAOYSA-N 0 2 321.406 0.673 20 0 DCADLN NC(=O)c1[nH]nc2c1CN(C(=O)c1ccc(O)c(F)c1F)C2 ZINC001276858332 944332405 /nfs/dbraw/zinc/33/24/05/944332405.db2.gz GOVXATNZWBTDEZ-UHFFFAOYSA-N 0 2 308.244 0.648 20 0 DCADLN Cc1nnc(C[N@H+](CCCNC(=O)C[NH+]2CCCC2)C2CC2)o1 ZINC001316926431 944654593 /nfs/dbraw/zinc/65/45/93/944654593.db2.gz ANBRUYIQZQSPPA-UHFFFAOYSA-N 0 2 321.425 0.945 20 0 DCADLN Cc1nc(S(=O)(=O)NNc2nncc(N)c2Cl)c[nH]1 ZINC001319281475 945540954 /nfs/dbraw/zinc/54/09/54/945540954.db2.gz AMBUWAVYKYCIJF-UHFFFAOYSA-N 0 2 303.735 0.049 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001487186157 945587751 /nfs/dbraw/zinc/58/77/51/945587751.db2.gz IINWTYGIUNPLST-POYBYMJQSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001487186157 945587762 /nfs/dbraw/zinc/58/77/62/945587762.db2.gz IINWTYGIUNPLST-POYBYMJQSA-N 0 2 322.262 0.887 20 0 DCADLN CCC(=O)N1CCC(NS(=O)(=O)CC(F)(F)F)CC1 ZINC001253210742 946096604 /nfs/dbraw/zinc/09/66/04/946096604.db2.gz XORRKPCCPJSAHN-UHFFFAOYSA-N 0 2 302.318 0.869 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)c1nncn1C ZINC001321108347 946169046 /nfs/dbraw/zinc/16/90/46/946169046.db2.gz ANJFFXFUIDFVDE-SECBINFHSA-N 0 2 314.353 0.472 20 0 DCADLN NC(=O)C[C@@H]1C[C@@H]2CN(C(=O)C=C(O)c3ccccc3)C[C@@H]2O1 ZINC001273306716 946462429 /nfs/dbraw/zinc/46/24/29/946462429.db2.gz MVFVUQYFCSXPCN-IPYPFGDCSA-N 0 2 316.357 0.751 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001410577590 946587755 /nfs/dbraw/zinc/58/77/55/946587755.db2.gz RKYGSRPUYVXLQX-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001410577590 946587763 /nfs/dbraw/zinc/58/77/63/946587763.db2.gz RKYGSRPUYVXLQX-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN COC(=O)CS(=O)(=O)[N-]c1cc(C)nn1-c1cc[nH+]cc1 ZINC001259018332 946892509 /nfs/dbraw/zinc/89/25/09/946892509.db2.gz GEWZJPVWIBNZPC-UHFFFAOYSA-N 0 2 310.335 0.490 20 0 DCADLN Cn1cncc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001410752609 946892683 /nfs/dbraw/zinc/89/26/83/946892683.db2.gz UPJVFFOUFWTDLX-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cncc1C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001410752609 946892692 /nfs/dbraw/zinc/89/26/92/946892692.db2.gz UPJVFFOUFWTDLX-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2c(c1)CCN(C)C2=O ZINC001259026982 946899058 /nfs/dbraw/zinc/89/90/58/946899058.db2.gz FZOVUWMOIBNQRB-UHFFFAOYSA-N 0 2 312.347 0.229 20 0 DCADLN NC(=O)c1ncc(NS(=O)(=O)c2ccc(F)c(F)c2)cn1 ZINC001259085294 946911937 /nfs/dbraw/zinc/91/19/37/946911937.db2.gz ZFWRMWIVNNKIIQ-UHFFFAOYSA-N 0 2 314.273 0.655 20 0 DCADLN Cc1cc(F)ccc1S(=O)(=O)Nc1cnn(C)c1C(N)=O ZINC001259486249 946963701 /nfs/dbraw/zinc/96/37/01/946963701.db2.gz DIJMZPCSDJZLRO-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN Cc1ccc(F)c(S(=O)(=O)Nc2ccnc(C(N)=O)c2)c1 ZINC001259631651 946974480 /nfs/dbraw/zinc/97/44/80/946974480.db2.gz AQRPSUOPYHXSSC-UHFFFAOYSA-N 0 2 309.322 0.851 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccccc2S(C)(=O)=O)o1 ZINC001260514860 947106158 /nfs/dbraw/zinc/10/61/58/947106158.db2.gz NTRIMAMOOSFQNA-UHFFFAOYSA-N 0 2 317.348 0.582 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cccc2cnncc21 ZINC001260590626 947119281 /nfs/dbraw/zinc/11/92/81/947119281.db2.gz KNKCBNGQEQYIHF-UHFFFAOYSA-N 0 2 301.349 0.374 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1ccccc1F)=C(C)O ZINC001260630533 947131494 /nfs/dbraw/zinc/13/14/94/947131494.db2.gz OSHKDMKEBZETRN-LLVKDONJSA-N 0 2 303.311 0.376 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)c1 ZINC001260667092 947135789 /nfs/dbraw/zinc/13/57/89/947135789.db2.gz YFXDXZWWSLQQJQ-UHFFFAOYSA-N 0 2 308.319 0.552 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccnc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001493046955 947274720 /nfs/dbraw/zinc/27/47/20/947274720.db2.gz OEGKTAFAFQBVKH-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccnc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001493046955 947274731 /nfs/dbraw/zinc/27/47/31/947274731.db2.gz OEGKTAFAFQBVKH-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN O=C(CSc1nncs1)NCCc1n[nH]c(=S)o1 ZINC001324185810 947345336 /nfs/dbraw/zinc/34/53/36/947345336.db2.gz SRFSBTDOIBVZDF-UHFFFAOYSA-N 0 2 303.394 0.661 20 0 DCADLN CC(=O)Nc1ccccc1CC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001324696106 947506942 /nfs/dbraw/zinc/50/69/42/947506942.db2.gz MZFRXSDXLNQHQE-UHFFFAOYSA-N 0 2 317.349 0.760 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCN(c2cccc[nH+]2)CC1 ZINC001325306759 947747532 /nfs/dbraw/zinc/74/75/32/947747532.db2.gz DTJUZKIQMJXLEM-UHFFFAOYSA-N 0 2 324.344 0.380 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N(C)C[C@@H](C)NC(=O)C[N@H+](C)C(C)C ZINC001487856934 948339277 /nfs/dbraw/zinc/33/92/77/948339277.db2.gz VMJNQCFPRLBONI-GFCCVEGCSA-N 0 2 323.441 0.564 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001487978121 948399738 /nfs/dbraw/zinc/39/97/38/948399738.db2.gz RIVQZDBPDHGOIQ-RKDXNWHRSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)C1=CCCC1 ZINC001487978121 948399743 /nfs/dbraw/zinc/39/97/43/948399743.db2.gz RIVQZDBPDHGOIQ-RKDXNWHRSA-N 0 2 312.263 0.590 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)NC[C@H](C(C)C)[NH+]1CCOCC1 ZINC001327411180 948500502 /nfs/dbraw/zinc/50/05/02/948500502.db2.gz XYCSVEACWPRERY-CYBMUJFWSA-N 0 2 315.414 0.163 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccnc(Br)c1 ZINC000382053915 948731519 /nfs/dbraw/zinc/73/15/19/948731519.db2.gz NNNXLZRDZIZPIA-UHFFFAOYSA-N 0 2 309.141 0.759 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1(C)CC1)C(=O)C(F)C(F)(F)F ZINC001488369508 948741400 /nfs/dbraw/zinc/74/14/00/948741400.db2.gz XFHCTQLSFVGHOZ-YUMQZZPRSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1(C)CC1)C(=O)[C@H](F)C(F)(F)F ZINC001488369508 948741407 /nfs/dbraw/zinc/74/14/07/948741407.db2.gz XFHCTQLSFVGHOZ-YUMQZZPRSA-N 0 2 314.279 0.622 20 0 DCADLN CC/C(C)=C/C(=O)NC1(CO)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001328531473 948946549 /nfs/dbraw/zinc/94/65/49/948946549.db2.gz WPGIIOABUMIRIG-DHZHZOJOSA-N 0 2 323.397 0.310 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)Nc1cc[nH+]c(N2CCCCC2)c1 ZINC001364370800 949135469 /nfs/dbraw/zinc/13/54/69/949135469.db2.gz HQXQRDZKGWWWNX-UHFFFAOYSA-N 0 2 318.337 0.713 20 0 DCADLN Cc1cn2c(n1)C[C@@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)CC2 ZINC001329639845 949737781 /nfs/dbraw/zinc/73/77/81/949737781.db2.gz NDRQBQPYZJPKJY-JTQLQIEISA-N 0 2 304.354 0.327 20 0 DCADLN C[C@H](C1CC1)N(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364753048 949874390 /nfs/dbraw/zinc/87/43/90/949874390.db2.gz LRXSZXJLUIZINK-MRVPVSSYSA-N 0 2 315.399 0.289 20 0 DCADLN CCC[N@H+](CCN1CCCCC1)CCS(=O)(=O)CC(=O)[O-] ZINC001589680180 950038971 /nfs/dbraw/zinc/03/89/71/950038971.db2.gz LQUIJDPVFTYMTD-UHFFFAOYSA-N 0 2 320.455 0.684 20 0 DCADLN CCC[N@@H+](CCN1CCCCC1)CCS(=O)(=O)CC(=O)[O-] ZINC001589680180 950038994 /nfs/dbraw/zinc/03/89/94/950038994.db2.gz LQUIJDPVFTYMTD-UHFFFAOYSA-N 0 2 320.455 0.684 20 0 DCADLN Cc1nocc1S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364849828 950067902 /nfs/dbraw/zinc/06/79/02/950067902.db2.gz CNENKYJHNBNZMV-MRVPVSSYSA-N 0 2 313.339 0.375 20 0 DCADLN CC(C)C[C@@H](C(=O)N1CC[C@H](c2nn[nH]n2)C1)n1ccnc1 ZINC001548763284 1013098113 /nfs/dbraw/zinc/09/81/13/1013098113.db2.gz XOFJGIZWLXHUND-RYUDHWBXSA-N 0 2 303.370 1.000 20 0 DCADLN CCc1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)nn1C ZINC001364973116 950279765 /nfs/dbraw/zinc/27/97/65/950279765.db2.gz KBBLMTSURNFVLC-UHFFFAOYSA-N 0 2 319.365 0.807 20 0 DCADLN CN(CCc1ncon1)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001364976503 950289247 /nfs/dbraw/zinc/28/92/47/950289247.db2.gz KVAITFOUIGJHDS-UHFFFAOYSA-N 0 2 308.260 0.528 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@H](C)c2nn(C)cc2O)nc1 ZINC001365118848 950553811 /nfs/dbraw/zinc/55/38/11/950553811.db2.gz DWFBXBIYYBAGQJ-MRVPVSSYSA-N 0 2 304.306 0.798 20 0 DCADLN C[C@H](NC(=O)C1CCN(C(=O)N(C)C)CC1)c1nn(C)cc1O ZINC001365121890 950558201 /nfs/dbraw/zinc/55/82/01/950558201.db2.gz WKGXPELPNZQBPH-JTQLQIEISA-N 0 2 323.397 0.697 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1ccnn1C ZINC001365242513 950799971 /nfs/dbraw/zinc/79/99/71/950799971.db2.gz PADJHRGIHGUSEP-NXEZZACHSA-N 0 2 319.369 0.894 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)NCCc1ncc(C)cc1C ZINC001365267298 950848264 /nfs/dbraw/zinc/84/82/64/950848264.db2.gz RDOFPCKAWSEHGL-UHFFFAOYSA-N 0 2 317.353 0.540 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@@H](C(=O)N[C@H]2CCn3cc[nH+]c3C2)CO1 ZINC001595001867 951139441 /nfs/dbraw/zinc/13/94/41/951139441.db2.gz PZZTUNMTTOXMDI-GRYCIOLGSA-N 0 2 307.350 0.584 20 0 DCADLN O=S(=O)(NCCn1ccnn1)c1onc(C2CC2)c1Cl ZINC001365453882 951146334 /nfs/dbraw/zinc/14/63/34/951146334.db2.gz IBLNQBIEIFTECJ-UHFFFAOYSA-N 0 2 317.758 0.775 20 0 DCADLN COC[C@H]1C[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001365663736 951402463 /nfs/dbraw/zinc/40/24/63/951402463.db2.gz QQWZMYBUFDOJBL-MXWKQRLJSA-N 0 2 309.370 0.824 20 0 DCADLN O=C([O-])CCCCCNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001595036832 951407594 /nfs/dbraw/zinc/40/75/94/951407594.db2.gz ILBNVIMHCKNALN-BETUJISGSA-N 0 2 313.398 0.794 20 0 DCADLN O=C([O-])CCCCCNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001595036832 951407599 /nfs/dbraw/zinc/40/75/99/951407599.db2.gz ILBNVIMHCKNALN-BETUJISGSA-N 0 2 313.398 0.794 20 0 DCADLN Cc1cc(=O)n2nc(NC(=O)c3nnc4ccccc4n3)[nH]c2n1 ZINC001274687965 951792958 /nfs/dbraw/zinc/79/29/58/951792958.db2.gz UYJZTPOIXLBZLL-UHFFFAOYSA-N 0 2 322.288 0.317 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCc2nc[nH]c2C12CCCCC2 ZINC001595098372 951837929 /nfs/dbraw/zinc/83/79/29/951837929.db2.gz IGDBAHXRMQYHAB-UHFFFAOYSA-N 0 2 306.366 0.628 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCc2nc[nH]c2C12CCCCC2 ZINC001595098372 951837932 /nfs/dbraw/zinc/83/79/32/951837932.db2.gz IGDBAHXRMQYHAB-UHFFFAOYSA-N 0 2 306.366 0.628 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH+]1CCC(c2cn[nH]c2)CC1 ZINC001595123464 951986425 /nfs/dbraw/zinc/98/64/25/951986425.db2.gz CIJLKFVIIKZDOR-UHFFFAOYSA-N 0 2 301.368 0.089 20 0 DCADLN C[N@@H+]1CCC12CN(C(=O)c1ccc(-n3nn[n-]c3=S)cc1)C2 ZINC001275312127 952056096 /nfs/dbraw/zinc/05/60/96/952056096.db2.gz DYNBZIDRVJAMBA-UHFFFAOYSA-N 0 2 316.390 0.481 20 0 DCADLN C[N@H+]1CCC12CN(C(=O)c1ccc(-n3nn[n-]c3=S)cc1)C2 ZINC001275312127 952056099 /nfs/dbraw/zinc/05/60/99/952056099.db2.gz DYNBZIDRVJAMBA-UHFFFAOYSA-N 0 2 316.390 0.481 20 0 DCADLN C/C(=C/C(=O)N1CC([C@@H](F)C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001334204050 952259147 /nfs/dbraw/zinc/25/91/47/952259147.db2.gz VWRWBVDUOLXVGS-AGEOTTOMSA-N 0 2 300.330 0.146 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccnnc1 ZINC001411573225 952285137 /nfs/dbraw/zinc/28/51/37/952285137.db2.gz QQYGJOFQULQUAA-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ccnnc1 ZINC001411573225 952285144 /nfs/dbraw/zinc/28/51/44/952285144.db2.gz QQYGJOFQULQUAA-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN CCN(CC(F)F)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412010195 952598190 /nfs/dbraw/zinc/59/81/90/952598190.db2.gz YXLFWTKAKHGSLN-UHFFFAOYSA-N 0 2 312.276 0.993 20 0 DCADLN O=C(NC[C@H]1CCCOC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412091437 952641260 /nfs/dbraw/zinc/64/12/60/952641260.db2.gz STIABJPWFSCICK-SNVBAGLBSA-N 0 2 318.333 0.423 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1nccc(Br)c1O ZINC001412400467 952778866 /nfs/dbraw/zinc/77/88/66/952778866.db2.gz HJWDRGFNBGFFOH-RITPCOANSA-N 0 2 314.139 1.000 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001412557210 952895691 /nfs/dbraw/zinc/89/56/91/952895691.db2.gz IFYDKGZATMGKMM-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001412557210 952895695 /nfs/dbraw/zinc/89/56/95/952895695.db2.gz IFYDKGZATMGKMM-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN COc1cnc(C(C)(C)NC(=O)CCCc2nn[nH]n2)[nH]c1=O ZINC001412657497 952973641 /nfs/dbraw/zinc/97/36/41/952973641.db2.gz BTGNKTWHUSXAIU-UHFFFAOYSA-N 0 2 321.341 0.078 20 0 DCADLN C[C@H](NC(=O)CN1Cc2ccccc2C1=O)c1nn(C)cc1O ZINC001412754332 953063293 /nfs/dbraw/zinc/06/32/93/953063293.db2.gz MLYQYSULDHVTTN-JTQLQIEISA-N 0 2 314.345 0.959 20 0 DCADLN O=C(CCC(F)(F)F)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001365943646 953445031 /nfs/dbraw/zinc/44/50/31/953445031.db2.gz KYSDAPZTYOTDJH-QMMMGPOBSA-N 0 2 321.303 0.933 20 0 DCADLN C[C@H]1C[C@H](C(=O)[O-])C[N@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589280314 953495014 /nfs/dbraw/zinc/49/50/14/953495014.db2.gz CQMKGYISOVVYIN-IUCAKERBSA-N 0 2 304.306 0.073 20 0 DCADLN C[C@H]1C[C@H](C(=O)[O-])C[N@@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589280314 953495023 /nfs/dbraw/zinc/49/50/23/953495023.db2.gz CQMKGYISOVVYIN-IUCAKERBSA-N 0 2 304.306 0.073 20 0 DCADLN C[C@H]1C[C@@H](c2cccnc2)[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001589295494 953643047 /nfs/dbraw/zinc/64/30/47/953643047.db2.gz SKRMLVZPOVJTKI-AAEUAGOBSA-N 0 2 312.391 0.964 20 0 DCADLN C[C@H]1C[C@@H](c2cccnc2)[N@@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001589295494 953643055 /nfs/dbraw/zinc/64/30/55/953643055.db2.gz SKRMLVZPOVJTKI-AAEUAGOBSA-N 0 2 312.391 0.964 20 0 DCADLN CN(C(=O)c1cnc(C(=O)[O-])cn1)C(C)(C)C[NH+]1CCOCC1 ZINC001593683398 953976289 /nfs/dbraw/zinc/97/62/89/953976289.db2.gz CFUZZYHFLGZTSA-UHFFFAOYSA-N 0 2 322.365 0.358 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)Cc1nnnn1C1CC1 ZINC001366531312 954357232 /nfs/dbraw/zinc/35/72/32/954357232.db2.gz PDUJRQMMCBNXCD-SECBINFHSA-N 0 2 324.282 0.456 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1nnnn1C1CC1 ZINC001366531312 954357243 /nfs/dbraw/zinc/35/72/43/954357243.db2.gz PDUJRQMMCBNXCD-SECBINFHSA-N 0 2 324.282 0.456 20 0 DCADLN C[C@](O)(CC(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001348810680 954478120 /nfs/dbraw/zinc/47/81/20/954478120.db2.gz KZKGXNLBLBGQIW-ONGXEEELSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@](O)(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001348810680 954478129 /nfs/dbraw/zinc/47/81/29/954478129.db2.gz KZKGXNLBLBGQIW-ONGXEEELSA-N 0 2 314.279 0.670 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)Cc1n[nH]c(=O)[n-]1 ZINC001366598600 954487678 /nfs/dbraw/zinc/48/76/78/954487678.db2.gz UTNFBAYNSQYDRW-NVRFYQKYSA-N 0 2 305.382 0.350 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)Cc1n[nH]c(=O)[n-]1 ZINC001366598600 954487682 /nfs/dbraw/zinc/48/76/82/954487682.db2.gz UTNFBAYNSQYDRW-NVRFYQKYSA-N 0 2 305.382 0.350 20 0 DCADLN O=C(Cc1ccsc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366961904 955124320 /nfs/dbraw/zinc/12/43/20/955124320.db2.gz INZWTYMKVIFWBU-UHFFFAOYSA-N 0 2 307.379 0.363 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)(F)F)CC1 ZINC001367012594 955194914 /nfs/dbraw/zinc/19/49/14/955194914.db2.gz DXRAUGIGOYITJH-ZETCQYMHSA-N 0 2 319.287 0.543 20 0 DCADLN CCN(C(=O)CCCC(C)=O)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001367073305 955283974 /nfs/dbraw/zinc/28/39/74/955283974.db2.gz XGSHWDMJYCUODA-LBPRGKRZSA-N 0 2 323.397 0.692 20 0 DCADLN CC[C@H](C(=O)NCC1=CC[N@H+](Cc2cnnn2C)CC1)[NH+](C)C ZINC001284929482 955322470 /nfs/dbraw/zinc/32/24/70/955322470.db2.gz LZTXREZHPIYPED-OAHLLOKOSA-N 0 2 320.441 0.404 20 0 DCADLN Cc1noc([C@H]2CCC[N@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC001594502661 955337758 /nfs/dbraw/zinc/33/77/58/955337758.db2.gz MQGLFPGFSJGQAV-WDEREUQCSA-N 0 2 308.338 0.448 20 0 DCADLN Cc1noc([C@H]2CCC[N@@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC001594502661 955337768 /nfs/dbraw/zinc/33/77/68/955337768.db2.gz MQGLFPGFSJGQAV-WDEREUQCSA-N 0 2 308.338 0.448 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCC1(C(=O)[O-])CCC1 ZINC001589040191 955588713 /nfs/dbraw/zinc/58/87/13/955588713.db2.gz YKNAOCANFCYANX-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN Cn1cc([C@H]2C[C@@H](C(=O)[O-])CN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001594541528 955654896 /nfs/dbraw/zinc/65/48/96/955654896.db2.gz ZWRQFINQKXPIAQ-WDEREUQCSA-N 0 2 317.349 0.403 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cn[nH]c1 ZINC001285488821 955719571 /nfs/dbraw/zinc/71/95/71/955719571.db2.gz XHXWHPSNQGYWSJ-FHKCNRDCSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1cn[nH]c1 ZINC001285488821 955719579 /nfs/dbraw/zinc/71/95/79/955719579.db2.gz XHXWHPSNQGYWSJ-FHKCNRDCSA-N 0 2 308.235 0.712 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(C(=O)[C@]2(C(=O)[O-])CCCO2)C1 ZINC001594555801 955758202 /nfs/dbraw/zinc/75/82/02/955758202.db2.gz MBBOBQOADBLIBF-NHYWBVRUSA-N 0 2 307.350 0.760 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594558857 955792370 /nfs/dbraw/zinc/79/23/70/955792370.db2.gz YEUMRFDWPORGOR-WBFHCKIUSA-N 0 2 322.365 0.632 20 0 DCADLN C[C@@H](NC(=O)N(C)CCCC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC001589098072 955990856 /nfs/dbraw/zinc/99/08/56/955990856.db2.gz CIEVTJRFFYMOMD-NEPJUHHUSA-N 0 2 301.387 0.602 20 0 DCADLN C[C@H]([NH2+]CC(=O)NCC(=O)[O-])c1nc(-c2cccc(F)c2)no1 ZINC001589122404 956136820 /nfs/dbraw/zinc/13/68/20/956136820.db2.gz JAGZQQDKADTBAS-QMMMGPOBSA-N 0 2 322.296 0.727 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1ccsc1C(=O)[O-] ZINC000388552465 972177251 /nfs/dbraw/zinc/17/72/51/972177251.db2.gz RMFGEUMZBBTOBU-UHFFFAOYSA-N 0 2 301.349 0.658 20 0 DCADLN COCC(COC)[NH+]1CCN(C(=O)C2(C(=O)[O-])CCC2)CC1 ZINC001593957552 956448957 /nfs/dbraw/zinc/44/89/57/956448957.db2.gz HSCNWJKOMKEJIA-UHFFFAOYSA-N 0 2 314.382 0.047 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CCO1 ZINC001593969963 956599585 /nfs/dbraw/zinc/59/95/85/956599585.db2.gz IZENHDBLHOLELZ-AWEZNQCLSA-N 0 2 311.338 0.016 20 0 DCADLN O=C([O-])c1c(NC(=O)CCn2cc[nH+]c2)nc2n1CCOC2 ZINC001594690193 956743740 /nfs/dbraw/zinc/74/37/40/956743740.db2.gz XNSRTGDJKYVWSN-UHFFFAOYSA-N 0 2 305.294 0.337 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](CC(=O)N(C(C)C)C(C)C)CCO1 ZINC001593984872 956757198 /nfs/dbraw/zinc/75/71/98/956757198.db2.gz CFGHPDUUHSZCBO-OAHLLOKOSA-N 0 2 316.398 0.434 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](CC(=O)N(C(C)C)C(C)C)CCO1 ZINC001593984872 956757205 /nfs/dbraw/zinc/75/72/05/956757205.db2.gz CFGHPDUUHSZCBO-OAHLLOKOSA-N 0 2 316.398 0.434 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001289644340 956770000 /nfs/dbraw/zinc/77/00/00/956770000.db2.gz DMPXHUZPCQSNEN-IAWRQBKOSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001289644340 956770007 /nfs/dbraw/zinc/77/00/07/956770007.db2.gz DMPXHUZPCQSNEN-IAWRQBKOSA-N 0 2 324.274 0.541 20 0 DCADLN COCC1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCC1 ZINC001292843017 957170964 /nfs/dbraw/zinc/17/09/64/957170964.db2.gz DJMNVTXOYUSEPE-MRVPVSSYSA-N 0 2 314.279 0.936 20 0 DCADLN COCC1(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)CCC1 ZINC001292843017 957170969 /nfs/dbraw/zinc/17/09/69/957170969.db2.gz DJMNVTXOYUSEPE-MRVPVSSYSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCOC1)[C@H](F)C(F)(F)F ZINC001293188804 957303069 /nfs/dbraw/zinc/30/30/69/957303069.db2.gz KWCWYYDWWPFSMV-SFYZADRCSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCOC1)C(F)C(F)(F)F ZINC001293188804 957303057 /nfs/dbraw/zinc/30/30/57/957303057.db2.gz KWCWYYDWWPFSMV-SFYZADRCSA-N 0 2 300.252 0.546 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC001603158842 972258714 /nfs/dbraw/zinc/25/87/14/972258714.db2.gz HCRGTRUSTMWXSR-VXGBXAGGSA-N 0 2 300.399 0.912 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2coc(/C=C/C(=O)[O-])c2)CC1 ZINC001334794090 957802941 /nfs/dbraw/zinc/80/29/41/957802941.db2.gz PUUQPYLSYYJPIY-NSCUHMNNSA-N 0 2 308.334 0.782 20 0 DCADLN Cc1cc(C)c(CNC(=O)c2cccn(CC(=O)[O-])c2=O)c[nH+]1 ZINC001594294241 957856845 /nfs/dbraw/zinc/85/68/45/957856845.db2.gz ZWBPRNAEPFHBLO-UHFFFAOYSA-N 0 2 315.329 0.875 20 0 DCADLN Cc1cc(C)c(CNC(=O)[C@H]2CCCN(CC(=O)[O-])C2=O)c[nH+]1 ZINC001594294235 957857148 /nfs/dbraw/zinc/85/71/48/957857148.db2.gz ZNEWCMWKGVKBDY-CYBMUJFWSA-N 0 2 319.361 0.638 20 0 DCADLN CCc1c(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001367517477 957945813 /nfs/dbraw/zinc/94/58/13/957945813.db2.gz JCVVGRLJXPZTHI-UHFFFAOYSA-N 0 2 321.385 0.010 20 0 DCADLN CCc1c(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001367517477 957945820 /nfs/dbraw/zinc/94/58/20/957945820.db2.gz JCVVGRLJXPZTHI-UHFFFAOYSA-N 0 2 321.385 0.010 20 0 DCADLN C/C=C\[C@@H](O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001296664376 958031604 /nfs/dbraw/zinc/03/16/04/958031604.db2.gz VSZJQMHMSUFBEI-DBGMKRSLSA-N 0 2 302.334 0.937 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](Cc1ccncc1)C(=O)[O-] ZINC001603207029 972314662 /nfs/dbraw/zinc/31/46/62/972314662.db2.gz GZTKZDPIFZSXSS-ZWNOBZJWSA-N 0 2 302.334 0.795 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](Cc1ccncc1)C(=O)[O-] ZINC001603207029 972314665 /nfs/dbraw/zinc/31/46/65/972314665.db2.gz GZTKZDPIFZSXSS-ZWNOBZJWSA-N 0 2 302.334 0.795 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1([C@H]2CCCCO2)CC1 ZINC001361654094 958181799 /nfs/dbraw/zinc/18/17/99/958181799.db2.gz YPJIRDARGLSJBP-LLVKDONJSA-N 0 2 317.349 0.289 20 0 DCADLN CC[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001361872040 958432388 /nfs/dbraw/zinc/43/23/88/958432388.db2.gz TZVBSTLXYAZKMB-LBPRGKRZSA-N 0 2 318.333 0.517 20 0 DCADLN COc1ccc2c(c1)C[C@@H](C[NH+]1CCN(C(=O)C(=O)[O-])CC1)O2 ZINC001594113743 958540661 /nfs/dbraw/zinc/54/06/61/958540661.db2.gz ISAWLGWWOAXVFB-ZDUSSCGKSA-N 0 2 320.345 0.228 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)Nc3ncn(CC(=O)[O-])n3)ccn12 ZINC001594432412 959189271 /nfs/dbraw/zinc/18/92/71/959189271.db2.gz PZZDCIOSGIVYAM-UHFFFAOYSA-N 0 2 300.278 0.571 20 0 DCADLN CCCNC(=O)CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001368077353 959193608 /nfs/dbraw/zinc/19/36/08/959193608.db2.gz RDHURGZQIVRXEQ-JTQLQIEISA-N 0 2 313.295 0.556 20 0 DCADLN CCCNC(=O)CN1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001368077353 959193621 /nfs/dbraw/zinc/19/36/21/959193621.db2.gz RDHURGZQIVRXEQ-JTQLQIEISA-N 0 2 313.295 0.556 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1COC(=O)N1 ZINC001362373237 959237052 /nfs/dbraw/zinc/23/70/52/959237052.db2.gz ASRCDPZWXDESMY-VIFPVBQESA-N 0 2 303.278 0.533 20 0 DCADLN C[C@@]1(NC(=O)CCC(F)(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368146281 959321492 /nfs/dbraw/zinc/32/14/92/959321492.db2.gz GIPWOSDFISEHMZ-LLVKDONJSA-N 0 2 321.303 0.933 20 0 DCADLN C[C@@]1(NC(=O)CCC(F)(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368146281 959321506 /nfs/dbraw/zinc/32/15/06/959321506.db2.gz GIPWOSDFISEHMZ-LLVKDONJSA-N 0 2 321.303 0.933 20 0 DCADLN O=C(CN1Cc2ccccc2OC1=O)NCc1n[nH]c(=O)[nH]1 ZINC001362424479 959327272 /nfs/dbraw/zinc/32/72/72/959327272.db2.gz JCYDDBSLUVLJCO-UHFFFAOYSA-N 0 2 303.278 0.141 20 0 DCADLN Cc1nc(C[N@H+]2C[C@H](C(=O)[O-])C[C@@H](c3cnn(C)c3)C2)no1 ZINC001594452611 959392172 /nfs/dbraw/zinc/39/21/72/959392172.db2.gz TVJIPEPGUQBOKG-GHMZBOCLSA-N 0 2 305.338 0.802 20 0 DCADLN Cc1nc(C[N@@H+]2C[C@H](C(=O)[O-])C[C@@H](c3cnn(C)c3)C2)no1 ZINC001594452611 959392185 /nfs/dbraw/zinc/39/21/85/959392185.db2.gz TVJIPEPGUQBOKG-GHMZBOCLSA-N 0 2 305.338 0.802 20 0 DCADLN COCC1(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001377148654 959577451 /nfs/dbraw/zinc/57/74/51/959577451.db2.gz JBCSGQQCKNEZEA-HTQZYQBOSA-N 0 2 314.279 0.934 20 0 DCADLN COCC1(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001377148654 959577459 /nfs/dbraw/zinc/57/74/59/959577459.db2.gz JBCSGQQCKNEZEA-HTQZYQBOSA-N 0 2 314.279 0.934 20 0 DCADLN C[N@H+](CCNC(=O)CCCC(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001377219783 959717806 /nfs/dbraw/zinc/71/78/06/959717806.db2.gz DSSNFHHBVKVSAF-UHFFFAOYSA-N 0 2 309.292 0.791 20 0 DCADLN C[N@@H+](CCNC(=O)CCCC(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001377219783 959717823 /nfs/dbraw/zinc/71/78/23/959717823.db2.gz DSSNFHHBVKVSAF-UHFFFAOYSA-N 0 2 309.292 0.791 20 0 DCADLN C[N@H+](CCNC(=O)CC[C@H]1CCCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001377229026 959744314 /nfs/dbraw/zinc/74/43/14/959744314.db2.gz CXEGHBSSHSUUFN-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN C[N@@H+](CCNC(=O)CC[C@H]1CCCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001377229026 959744324 /nfs/dbraw/zinc/74/43/24/959744324.db2.gz CXEGHBSSHSUUFN-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN C[C@H](CC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001368451129 959882477 /nfs/dbraw/zinc/88/24/77/959882477.db2.gz NWDDHHPRJBPZRP-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN CCn1nc(C2CC2)cc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001362727441 959899825 /nfs/dbraw/zinc/89/98/25/959899825.db2.gz UYFCCGCJHFNMRV-UHFFFAOYSA-N 0 2 303.322 0.912 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1c[nH]nc1-c1ccoc1 ZINC001301576167 960004161 /nfs/dbraw/zinc/00/41/61/960004161.db2.gz LOUOBRMVFQWFSM-UHFFFAOYSA-N 0 2 301.262 0.801 20 0 DCADLN Cn1cnnc1CN1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001377384298 960005645 /nfs/dbraw/zinc/00/56/45/960005645.db2.gz CTQNPCBRMGRUFB-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CCC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001377384298 960005652 /nfs/dbraw/zinc/00/56/52/960005652.db2.gz CTQNPCBRMGRUFB-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN O=C(Cc1cncs1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377407521 960043062 /nfs/dbraw/zinc/04/30/62/960043062.db2.gz NRWCXTVQUUYCHC-VIFPVBQESA-N 0 2 322.394 0.290 20 0 DCADLN O=C(Cc1cncs1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377407521 960043067 /nfs/dbraw/zinc/04/30/67/960043067.db2.gz NRWCXTVQUUYCHC-VIFPVBQESA-N 0 2 322.394 0.290 20 0 DCADLN CCCC(=O)Nc1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1 ZINC001362837361 960066008 /nfs/dbraw/zinc/06/60/08/960066008.db2.gz DJQLKLLTNLMFCR-UHFFFAOYSA-N 0 2 324.366 0.750 20 0 DCADLN CC(C)[C@@H](C)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001368595376 960068878 /nfs/dbraw/zinc/06/88/78/960068878.db2.gz VARLLLHCXXOETF-MNOVXSKESA-N 0 2 323.397 0.262 20 0 DCADLN CC[NH+]1CCO[C@@H](C(=O)NCCC[N@@H+](C)Cc2cc(C)no2)C1 ZINC001316865889 960260552 /nfs/dbraw/zinc/26/05/52/960260552.db2.gz CINZGWNUPRJDCX-OAHLLOKOSA-N 0 2 324.425 0.642 20 0 DCADLN CSCCONC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001312634869 960280074 /nfs/dbraw/zinc/28/00/74/960280074.db2.gz BBHIFTKCWFNJCK-MRVPVSSYSA-N 0 2 301.372 0.694 20 0 DCADLN C[C@H]1SCC[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363016564 960303344 /nfs/dbraw/zinc/30/33/44/960303344.db2.gz RPDCTQFFOIESOC-KCJUWKMLSA-N 0 2 320.374 0.890 20 0 DCADLN Cc1nnc(C[NH2+]CC2CC(NC(=O)CCn3cc[nH+]c3)C2)[nH]1 ZINC001368816041 960309672 /nfs/dbraw/zinc/30/96/72/960309672.db2.gz MALLYUZBAHXXBK-UHFFFAOYSA-N 0 2 317.397 0.384 20 0 DCADLN O=C(c1ccc(Cl)c(O)c1)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001363031382 960320038 /nfs/dbraw/zinc/32/00/38/960320038.db2.gz YBLYMCRQICMQJZ-UHFFFAOYSA-N 0 2 323.740 0.683 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2[C@@H]2CCCOC2)S1 ZINC001363042568 960333650 /nfs/dbraw/zinc/33/36/50/960333650.db2.gz UPQDWKGRQIZBFZ-BDAKNGLRSA-N 0 2 323.378 0.730 20 0 DCADLN C[C@H](NC(=O)COCc1cc[nH]c(=O)c1)c1nn(C)cc1O ZINC001363054284 960351095 /nfs/dbraw/zinc/35/10/95/960351095.db2.gz WKIXREBGTPVYSM-VIFPVBQESA-N 0 2 306.322 0.620 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)Cc1nnn(C)n1 ZINC001316825890 960397882 /nfs/dbraw/zinc/39/78/82/960397882.db2.gz LUYPJVNIMKKGBU-MRVPVSSYSA-N 0 2 312.271 0.049 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)Cc1nnn(C)n1 ZINC001316825890 960397890 /nfs/dbraw/zinc/39/78/90/960397890.db2.gz LUYPJVNIMKKGBU-MRVPVSSYSA-N 0 2 312.271 0.049 20 0 DCADLN O=C(CCN1CSCCSC1)NCc1nnc(CO)[nH]1 ZINC001363125812 960435466 /nfs/dbraw/zinc/43/54/66/960435466.db2.gz CRDCXWZBQXFWPT-UHFFFAOYSA-N 0 2 317.440 0.000 20 0 DCADLN C[C@@H](CNC(=O)C1=CCOCC1)NC(=O)C(F)C(F)(F)F ZINC001377690951 960442145 /nfs/dbraw/zinc/44/21/45/960442145.db2.gz ZBRQSHVWFGOEMQ-CBAPKCEASA-N 0 2 312.263 0.854 20 0 DCADLN C[C@@H](CNC(=O)C1=CCOCC1)NC(=O)[C@H](F)C(F)(F)F ZINC001377690951 960442149 /nfs/dbraw/zinc/44/21/49/960442149.db2.gz ZBRQSHVWFGOEMQ-CBAPKCEASA-N 0 2 312.263 0.854 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1c2c(ccc1F)OCO2 ZINC001363148434 960481446 /nfs/dbraw/zinc/48/14/46/960481446.db2.gz AKSXTDWHTVAXDW-UHFFFAOYSA-N 0 2 307.237 0.686 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc(NC2CC2)nc1 ZINC001363150386 960489477 /nfs/dbraw/zinc/48/94/77/960489477.db2.gz PBIPYWDIQTYPIV-UHFFFAOYSA-N 0 2 301.306 0.787 20 0 DCADLN Cc1nc(SCCC(=O)N2CCC(c3nn[nH]n3)CC2)n[nH]1 ZINC001363282515 960776094 /nfs/dbraw/zinc/77/60/94/960776094.db2.gz MZPWAQIJUZMRDD-UHFFFAOYSA-N 0 2 322.398 0.515 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC[C@H]2CNC(=O)C2)cn1 ZINC001363315389 960874853 /nfs/dbraw/zinc/87/48/53/960874853.db2.gz ATQDGGNVWMQPSF-SNVBAGLBSA-N 0 2 316.365 0.549 20 0 DCADLN CCOC(=O)c1cn[nH]c1[C@H]1CCN(C(=O)Cc2cc[nH]n2)C1 ZINC001363329181 960909976 /nfs/dbraw/zinc/90/99/76/960909976.db2.gz PVTRNUPYLVQOKE-JTQLQIEISA-N 0 2 317.349 0.868 20 0 DCADLN O=C(N[C@H]1CCCSC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363346068 960947955 /nfs/dbraw/zinc/94/79/55/960947955.db2.gz VKZASWCKUJUYCL-JTQLQIEISA-N 0 2 320.374 0.892 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cccnc1OCC(F)F ZINC001363345270 960949477 /nfs/dbraw/zinc/94/94/77/960949477.db2.gz GDDCMHICCZYAHP-UHFFFAOYSA-N 0 2 312.232 0.372 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@]2(c3ccccc3)C[C@@H](O)C2)S1 ZINC001363384244 961036817 /nfs/dbraw/zinc/03/68/17/961036817.db2.gz SWHNNNDXTQZQET-HFAKWTLXSA-N 0 2 319.386 0.709 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001430202502 1013238119 /nfs/dbraw/zinc/23/81/19/1013238119.db2.gz PNKDDAGALPSXKL-OOZYFLPDSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)[nH]n1 ZINC001430202502 1013238133 /nfs/dbraw/zinc/23/81/33/1013238133.db2.gz PNKDDAGALPSXKL-OOZYFLPDSA-N 0 2 322.262 0.996 20 0 DCADLN COc1cccc(OC)c1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363488398 961261655 /nfs/dbraw/zinc/26/16/55/961261655.db2.gz VHIIRUFYSYDFTL-UHFFFAOYSA-N 0 2 305.290 0.835 20 0 DCADLN COc1cccc(OC)c1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001363488398 961261671 /nfs/dbraw/zinc/26/16/71/961261671.db2.gz VHIIRUFYSYDFTL-UHFFFAOYSA-N 0 2 305.290 0.835 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nnn(C)n1 ZINC001378041034 961262384 /nfs/dbraw/zinc/26/23/84/961262384.db2.gz BLTYLSDOYROARD-XPUUQOCRSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1nnn(C)n1 ZINC001378041034 961262401 /nfs/dbraw/zinc/26/24/01/961262401.db2.gz BLTYLSDOYROARD-XPUUQOCRSA-N 0 2 312.271 0.047 20 0 DCADLN CC(C)n1nccc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378050575 961299125 /nfs/dbraw/zinc/29/91/25/961299125.db2.gz RZLWJWJRZJSMMR-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1nccc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378050575 961299140 /nfs/dbraw/zinc/29/91/40/961299140.db2.gz RZLWJWJRZJSMMR-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2cnnn2C)C1 ZINC001378151176 961534746 /nfs/dbraw/zinc/53/47/46/961534746.db2.gz LAZXTTBCFKZHJG-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)C(C)(C)C(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378168622 961582552 /nfs/dbraw/zinc/58/25/52/961582552.db2.gz LDKNIKVPTIQZPP-MRVPVSSYSA-N 0 2 317.340 0.834 20 0 DCADLN CC(C)n1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001378183607 961616411 /nfs/dbraw/zinc/61/64/11/961616411.db2.gz JAGKYYVIIJCSFX-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001378183607 961616425 /nfs/dbraw/zinc/61/64/25/961616425.db2.gz JAGKYYVIIJCSFX-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN C/C(=C\C(=O)N[C@H](CC(F)(F)F)C(=O)[O-])C[NH+]1CCOCC1 ZINC001333335746 961686761 /nfs/dbraw/zinc/68/67/61/961686761.db2.gz WMIXOGMROCVRIT-OLKPEBQYSA-N 0 2 324.299 0.787 20 0 DCADLN Cn1cc(CNC(=O)c2cc(F)c(O)c(F)c2)c(=O)[nH]c1=O ZINC001363688968 961701827 /nfs/dbraw/zinc/70/18/27/961701827.db2.gz MZEWKKNNYSJZDO-UHFFFAOYSA-N 0 2 311.244 0.400 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN(C(=O)c2cccc(C(=O)[O-])c2)CC1 ZINC001571144389 961706622 /nfs/dbraw/zinc/70/66/22/961706622.db2.gz LRRSKHCQJPQSNZ-UHFFFAOYSA-N 0 2 319.361 0.279 20 0 DCADLN CNC(=O)C[N@H+]1CCCN(C(=O)c2cccc(C(=O)[O-])c2)CC1 ZINC001571144389 961706629 /nfs/dbraw/zinc/70/66/29/961706629.db2.gz LRRSKHCQJPQSNZ-UHFFFAOYSA-N 0 2 319.361 0.279 20 0 DCADLN O=C(Nc1nc(-c2ccccc2F)n[nH]1)[C@@H]1CSCC(=O)N1 ZINC001363702391 961725208 /nfs/dbraw/zinc/72/52/08/961725208.db2.gz KTQNERCLPZPWKQ-VIFPVBQESA-N 0 2 321.337 0.781 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@@H](C)C(=O)[O-] ZINC001588843242 961840373 /nfs/dbraw/zinc/84/03/73/961840373.db2.gz GRQOEGVKFBJZAT-PWSUYJOCSA-N 0 2 324.381 0.320 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@@H](C)C(=O)[O-] ZINC001588843242 961840388 /nfs/dbraw/zinc/84/03/88/961840388.db2.gz GRQOEGVKFBJZAT-PWSUYJOCSA-N 0 2 324.381 0.320 20 0 DCADLN COCCC(=O)N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001447188631 1013300857 /nfs/dbraw/zinc/30/08/57/1013300857.db2.gz PBSKECGNSZRNNQ-SCZZXKLOSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)N[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001447188631 1013300861 /nfs/dbraw/zinc/30/08/61/1013300861.db2.gz PBSKECGNSZRNNQ-SCZZXKLOSA-N 0 2 314.279 0.934 20 0 DCADLN CC(=O)N[C@@H](C(=O)N[C@@H](C)c1nn(C)cc1O)C1CCCC1 ZINC001363828828 961977207 /nfs/dbraw/zinc/97/72/07/961977207.db2.gz IFYGMSRLRNCEID-LKFCYVNXSA-N 0 2 308.382 0.998 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@@H]1CC(C(=O)[O-])=NO1 ZINC001574031911 962035368 /nfs/dbraw/zinc/03/53/68/962035368.db2.gz MROYGFJWQDXDBB-STQMWFEESA-N 0 2 303.318 0.519 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@@H]1CC(C(=O)[O-])=NO1 ZINC001574031911 962035384 /nfs/dbraw/zinc/03/53/84/962035384.db2.gz MROYGFJWQDXDBB-STQMWFEESA-N 0 2 303.318 0.519 20 0 DCADLN CC1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCOCC1 ZINC001380013288 962498777 /nfs/dbraw/zinc/49/87/77/962498777.db2.gz CFOWQUMVJSNYRA-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN Cc1nccc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001364201646 962659186 /nfs/dbraw/zinc/65/91/86/962659186.db2.gz UTUSUZUGFKERNY-SNVBAGLBSA-N 0 2 317.353 0.698 20 0 DCADLN COCC(=O)N1CCC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001380199851 962853993 /nfs/dbraw/zinc/85/39/93/962853993.db2.gz SMRFFPYFIXXUNO-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N1CCC[C@@H]1CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001380199851 962853998 /nfs/dbraw/zinc/85/39/98/962853998.db2.gz SMRFFPYFIXXUNO-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CO[C@H]1C[C@H](CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001364353479 962945623 /nfs/dbraw/zinc/94/56/23/962945623.db2.gz CRWMKGFAVXQFKL-DCAQKATOSA-N 0 2 309.370 0.824 20 0 DCADLN CC[C@@H](C)CC(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001380989423 963747621 /nfs/dbraw/zinc/74/76/21/963747621.db2.gz QPPSRWLZQPBVJQ-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447528720 1013453717 /nfs/dbraw/zinc/45/37/17/1013453717.db2.gz KGYSSKPASWAFKH-GKROBHDKSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001447528720 1013453723 /nfs/dbraw/zinc/45/37/23/1013453723.db2.gz KGYSSKPASWAFKH-GKROBHDKSA-N 0 2 323.250 0.093 20 0 DCADLN C[C@H](C[NH+]1CCCCC1)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC000315911488 963950534 /nfs/dbraw/zinc/95/05/34/963950534.db2.gz FFXIJPJTYJNTQE-SECBINFHSA-N 0 2 317.367 0.526 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001373648593 964423368 /nfs/dbraw/zinc/42/33/68/964423368.db2.gz LNPRLAYAMPHMNS-DGCLKSJQSA-N 0 2 323.397 0.406 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2cn(C)nn2)C1 ZINC001373672295 964460512 /nfs/dbraw/zinc/46/05/12/964460512.db2.gz JXKFQOWPTQXFFA-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(Cc2cn(C)nn2)C1 ZINC001373672295 964460516 /nfs/dbraw/zinc/46/05/16/964460516.db2.gz JXKFQOWPTQXFFA-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN CCN(C(=O)C[C@H]1CCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373705330 964502677 /nfs/dbraw/zinc/50/26/77/964502677.db2.gz VPZXTBMLEKNDKO-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)C[C@H]1CCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373705330 964502683 /nfs/dbraw/zinc/50/26/83/964502683.db2.gz VPZXTBMLEKNDKO-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)[NH2+]CC(=O)N1CCC1 ZINC001373804497 964652990 /nfs/dbraw/zinc/65/29/90/964652990.db2.gz BMXSJTVVIBCVKQ-QWHCGFSZSA-N 0 2 321.425 0.305 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cnccn1 ZINC001369519248 965131463 /nfs/dbraw/zinc/13/14/63/965131463.db2.gz OWQBQUCUVLNCAW-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cnccn1 ZINC001369519248 965131468 /nfs/dbraw/zinc/13/14/68/965131468.db2.gz OWQBQUCUVLNCAW-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN CC(C)(C)CC(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001369878395 965515509 /nfs/dbraw/zinc/51/55/09/965515509.db2.gz RPIKHIJPJFLFEM-UHFFFAOYSA-N 0 2 324.429 0.180 20 0 DCADLN CC[C@H](CNC(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001499298341 1017821159 /nfs/dbraw/zinc/82/11/59/1017821159.db2.gz OCSSJSYDYSHRGY-VDTYLAMSSA-N 0 2 312.223 0.595 20 0 DCADLN CC[C@H](CNC(=O)c1cnon1)NC(=O)[C@H](F)C(F)(F)F ZINC001499298341 1017821184 /nfs/dbraw/zinc/82/11/84/1017821184.db2.gz OCSSJSYDYSHRGY-VDTYLAMSSA-N 0 2 312.223 0.595 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001382053646 966894712 /nfs/dbraw/zinc/89/47/12/966894712.db2.gz HFWQINLWWDRGGZ-APPZFPTMSA-N 0 2 321.385 0.662 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CCCOCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027760 1013719179 /nfs/dbraw/zinc/71/91/79/1013719179.db2.gz XVZNTJPDDYRUGY-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CCCOCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027760 1013719185 /nfs/dbraw/zinc/71/91/85/1013719185.db2.gz XVZNTJPDDYRUGY-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN Cn1nnnc1CN1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001372510519 968066403 /nfs/dbraw/zinc/06/64/03/968066403.db2.gz NNJGIIXEPVYPRJ-APPZFPTMSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CCC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001372510519 968066411 /nfs/dbraw/zinc/06/64/11/968066411.db2.gz NNJGIIXEPVYPRJ-APPZFPTMSA-N 0 2 324.282 0.191 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CC[C@@H](N2CCCCC2)C1 ZINC000380369115 968080878 /nfs/dbraw/zinc/08/08/78/968080878.db2.gz QMQHRIJFKWTOFY-GFCCVEGCSA-N 0 2 304.412 0.046 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CC[C@@H](N2CCCCC2)C1 ZINC000380369115 968080887 /nfs/dbraw/zinc/08/08/87/968080887.db2.gz QMQHRIJFKWTOFY-GFCCVEGCSA-N 0 2 304.412 0.046 20 0 DCADLN Cc1nccc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001372881568 968493948 /nfs/dbraw/zinc/49/39/48/968493948.db2.gz WDDBVZAAJWJXEA-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nccc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)n1 ZINC001372881568 968493953 /nfs/dbraw/zinc/49/39/53/968493953.db2.gz WDDBVZAAJWJXEA-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@H]1CCC[C@]1(C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373532522 969193351 /nfs/dbraw/zinc/19/33/51/969193351.db2.gz OEJNMBJCDLICFL-BONVTDFDSA-N 0 2 307.398 0.885 20 0 DCADLN CCO[C@@H](C)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001431146110 1013921755 /nfs/dbraw/zinc/92/17/55/1013921755.db2.gz VTPGDYBPOUTJDX-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN CCO[C@@H](C)C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001431146110 1013921756 /nfs/dbraw/zinc/92/17/56/1013921756.db2.gz VTPGDYBPOUTJDX-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN O=C([O-])C1(C(=O)NC2CC[NH+]([C@@H]3CCOC3=O)CC2)CCC1 ZINC001606566124 970364104 /nfs/dbraw/zinc/36/41/04/970364104.db2.gz DDLRZBNHSWCBSM-LLVKDONJSA-N 0 2 310.350 0.137 20 0 DCADLN O=C([O-])c1ccccc1CC(=O)NC[C@@H]1CN2CC[N@@H+]1CCC2 ZINC000394677057 972735918 /nfs/dbraw/zinc/73/59/18/972735918.db2.gz UNKCJVTZSORGFF-CQSZACIVSA-N 0 2 317.389 0.433 20 0 DCADLN O=C([O-])c1ccccc1CC(=O)NC[C@@H]1CN2CC[N@H+]1CCC2 ZINC000394677057 972735925 /nfs/dbraw/zinc/73/59/25/972735925.db2.gz UNKCJVTZSORGFF-CQSZACIVSA-N 0 2 317.389 0.433 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1(C(=O)[O-])CCCCC1 ZINC001604361067 973055071 /nfs/dbraw/zinc/05/50/71/973055071.db2.gz IPGIHTWKAZVPJY-NSHDSACASA-N 0 2 323.349 0.645 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1(C(=O)[O-])CCCCC1 ZINC001604361067 973055084 /nfs/dbraw/zinc/05/50/84/973055084.db2.gz IPGIHTWKAZVPJY-NSHDSACASA-N 0 2 323.349 0.645 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CC[C@H](C)[C@@H](C(=O)[O-])C2)CCO1 ZINC001603462098 973312470 /nfs/dbraw/zinc/31/24/70/973312470.db2.gz YBCFEOQGTKLWQO-XQQFMLRXSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CC[C@H](C)[C@@H](C(=O)[O-])C2)CCO1 ZINC001603462098 973312478 /nfs/dbraw/zinc/31/24/78/973312478.db2.gz YBCFEOQGTKLWQO-XQQFMLRXSA-N 0 2 313.398 0.459 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC000401878682 973485132 /nfs/dbraw/zinc/48/51/32/973485132.db2.gz LJNDHMDRPNLLKI-PSASIEDQSA-N 0 2 314.288 0.671 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC000401878682 973485143 /nfs/dbraw/zinc/48/51/43/973485143.db2.gz LJNDHMDRPNLLKI-PSASIEDQSA-N 0 2 314.288 0.671 20 0 DCADLN CC(C)NC(=O)C[NH2+][C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H]1C ZINC001448987270 1014119531 /nfs/dbraw/zinc/11/95/31/1014119531.db2.gz SNUNIODTYOSTCE-TZMCWYRMSA-N 0 2 321.425 0.446 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](Cc2nc3ccccc3c(=O)[nH]2)C1 ZINC000401952775 973559282 /nfs/dbraw/zinc/55/92/82/973559282.db2.gz NKKYIJCTRRTAQK-UWVGGRQHSA-N 0 2 303.318 0.603 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](Cc2nc3ccccc3c(=O)[nH]2)C1 ZINC000401952775 973559292 /nfs/dbraw/zinc/55/92/92/973559292.db2.gz NKKYIJCTRRTAQK-UWVGGRQHSA-N 0 2 303.318 0.603 20 0 DCADLN CCC[N@@H+](C)CC(=O)N1CCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001522462851 1014127704 /nfs/dbraw/zinc/12/77/04/1014127704.db2.gz UCQBVRDYIFUJOM-UHFFFAOYSA-N 0 2 321.425 0.273 20 0 DCADLN Cn1ccnc(N2CCN(c3cc(C(=O)[O-])cc[nH+]3)CC2)c1=O ZINC001605511226 973684462 /nfs/dbraw/zinc/68/44/62/973684462.db2.gz BUQCPXFPRDSOKF-UHFFFAOYSA-N 0 2 315.333 0.200 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1coc(/C=C\C(=O)[O-])c1 ZINC001605522613 973707422 /nfs/dbraw/zinc/70/74/22/973707422.db2.gz IJLVGBYJJRRKIT-QUCGXOGASA-N 0 2 305.290 0.574 20 0 DCADLN CC[C@H](C(=O)N(OC)[C@H](C)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001603625549 973936926 /nfs/dbraw/zinc/93/69/26/973936926.db2.gz YLPBTKKOPYWSOX-IJLUTSLNSA-N 0 2 302.371 0.739 20 0 DCADLN CC[C@H](C(=O)N(OC)[C@H](C)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001603625549 973936938 /nfs/dbraw/zinc/93/69/38/973936938.db2.gz YLPBTKKOPYWSOX-IJLUTSLNSA-N 0 2 302.371 0.739 20 0 DCADLN NC(=O)C[N@@H+]1CCCN(C(=O)C2(C(=O)[O-])CCCCC2)CC1 ZINC001605631963 973981241 /nfs/dbraw/zinc/98/12/41/973981241.db2.gz VHYSLIORINJGIS-UHFFFAOYSA-N 0 2 311.382 0.041 20 0 DCADLN NC(=O)C[N@H+]1CCCN(C(=O)C2(C(=O)[O-])CCCCC2)CC1 ZINC001605631963 973981246 /nfs/dbraw/zinc/98/12/46/973981246.db2.gz VHYSLIORINJGIS-UHFFFAOYSA-N 0 2 311.382 0.041 20 0 DCADLN C[C@H]1CN(c2[nH+]cccc2C(=O)[O-])C[C@@H]1C(=O)N1CCOCC1 ZINC001549176561 1014162810 /nfs/dbraw/zinc/16/28/10/1014162810.db2.gz NTEDKJAOFUGKMQ-AAEUAGOBSA-N 0 2 319.361 0.711 20 0 DCADLN CCOCCCNC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C(=O)[O-])C1 ZINC001605633004 1169977946 /nfs/dbraw/zinc/97/79/46/1169977946.db2.gz RPIRBMHFLWFJIA-IJLUTSLNSA-N 0 2 302.371 0.092 20 0 DCADLN CCOCCCNC(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@@H](C(=O)[O-])C1 ZINC001605633004 1169977952 /nfs/dbraw/zinc/97/79/52/1169977952.db2.gz RPIRBMHFLWFJIA-IJLUTSLNSA-N 0 2 302.371 0.092 20 0 DCADLN CCn1nc(C)c([C@@H](C)[NH2+][C@@H]2CCCCN(CC(=O)[O-])C2=O)n1 ZINC001604021959 974533376 /nfs/dbraw/zinc/53/33/76/974533376.db2.gz DGEGYNUUNPSQAM-ZYHUDNBSSA-N 0 2 323.397 0.723 20 0 DCADLN Cn1ccc(=O)c(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1 ZINC001522687445 1014297607 /nfs/dbraw/zinc/29/76/07/1014297607.db2.gz JJDAKPSVBLKLSM-SECBINFHSA-N 0 2 323.246 0.132 20 0 DCADLN Cn1ccc(=O)c(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001522687445 1014297616 /nfs/dbraw/zinc/29/76/16/1014297616.db2.gz JJDAKPSVBLKLSM-SECBINFHSA-N 0 2 323.246 0.132 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)no1 ZINC001522689210 1014300874 /nfs/dbraw/zinc/30/08/74/1014300874.db2.gz RTGNSPJUIMOLSB-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)no1 ZINC001522689210 1014300885 /nfs/dbraw/zinc/30/08/85/1014300885.db2.gz RTGNSPJUIMOLSB-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCC[C@@H](c2ccncn2)C1)C(=O)[O-] ZINC001591868583 976191286 /nfs/dbraw/zinc/19/12/86/976191286.db2.gz WQLQVKDIZDUNNN-DOMZBBRYSA-N 0 2 320.393 0.881 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCC[C@@H](c2ccncn2)C1)C(=O)[O-] ZINC001591868583 976191295 /nfs/dbraw/zinc/19/12/95/976191295.db2.gz WQLQVKDIZDUNNN-DOMZBBRYSA-N 0 2 320.393 0.881 20 0 DCADLN CCc1[nH]c(CNS(=O)(=O)c2cc(C(=O)[O-])ccn2)c[nH+]1 ZINC001605852823 1170014259 /nfs/dbraw/zinc/01/42/59/1170014259.db2.gz ILELNNMBRNXRIZ-UHFFFAOYSA-N 0 2 310.335 0.544 20 0 DCADLN CCc1[nH]cc(CNS(=O)(=O)c2cc(C(=O)[O-])ccn2)[nH+]1 ZINC001605852823 1170014265 /nfs/dbraw/zinc/01/42/65/1170014265.db2.gz ILELNNMBRNXRIZ-UHFFFAOYSA-N 0 2 310.335 0.544 20 0 DCADLN CC(C)(O)CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001522897158 1014460491 /nfs/dbraw/zinc/46/04/91/1014460491.db2.gz AOGLAJMOHVEELE-QMMMGPOBSA-N 0 2 302.268 0.670 20 0 DCADLN CC(C)(O)CC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001522897158 1014460501 /nfs/dbraw/zinc/46/05/01/1014460501.db2.gz AOGLAJMOHVEELE-QMMMGPOBSA-N 0 2 302.268 0.670 20 0 DCADLN Cn1cncc1CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001522936210 1014478054 /nfs/dbraw/zinc/47/80/54/1014478054.db2.gz TUBNMYROOWHUHA-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)c1cccc(CC(=O)[O-])c1 ZINC001592616496 978580177 /nfs/dbraw/zinc/58/01/77/978580177.db2.gz URGVPSPMTFWDQR-ZDUSSCGKSA-N 0 2 319.405 0.679 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1cn[nH]n1)C(F)C(F)(F)F ZINC001529310437 1014533474 /nfs/dbraw/zinc/53/34/74/1014533474.db2.gz CEPKTMXAIUFBSO-POYBYMJQSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1cn[nH]n1)[C@@H](F)C(F)(F)F ZINC001529310437 1014533478 /nfs/dbraw/zinc/53/34/78/1014533478.db2.gz CEPKTMXAIUFBSO-POYBYMJQSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(CC(=O)[O-])c1 ZINC001592930973 979977174 /nfs/dbraw/zinc/97/71/74/979977174.db2.gz PGCZCKGRMWVJGC-LLVKDONJSA-N 0 2 323.374 0.877 20 0 DCADLN CCCC(=O)NCC[NH+]1CCN(c2ncc(C(=O)[O-])cn2)CC1 ZINC001595529531 981364887 /nfs/dbraw/zinc/36/48/87/981364887.db2.gz XLVLGYKHYSXGIL-UHFFFAOYSA-N 0 2 321.381 0.213 20 0 DCADLN COC1CC(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)C1 ZINC001530485969 1014769054 /nfs/dbraw/zinc/76/90/54/1014769054.db2.gz VPWYLBWGQDSOKI-DMBGQZSHSA-N 0 2 312.263 0.639 20 0 DCADLN COC1CC(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001530485969 1014769060 /nfs/dbraw/zinc/76/90/60/1014769060.db2.gz VPWYLBWGQDSOKI-DMBGQZSHSA-N 0 2 312.263 0.639 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)CSCC[NH+]1CCOCC1)C(=O)[O-] ZINC001594900833 982352491 /nfs/dbraw/zinc/35/24/91/982352491.db2.gz BMPBDVJIOCAVBV-AAEUAGOBSA-N 0 2 318.439 0.667 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N[C@@H](C)CCCCO ZINC001450000707 1014837518 /nfs/dbraw/zinc/83/75/18/1014837518.db2.gz HZILCFQETRLRAV-JTQLQIEISA-N 0 2 306.366 0.541 20 0 DCADLN CC(=O)N1CCN(CCN(C)C(=O)C(F)C(F)(F)F)CC1 ZINC001450098019 1014907431 /nfs/dbraw/zinc/90/74/31/1014907431.db2.gz QYYWXDUGOQRFMW-SNVBAGLBSA-N 0 2 313.295 0.509 20 0 DCADLN CCCNC(=O)NC(=O)C[NH2+]C(C)(C)c1nocc1C(=O)[O-] ZINC001595926249 983050978 /nfs/dbraw/zinc/05/09/78/983050978.db2.gz YNCMQILTALLFDV-UHFFFAOYSA-N 0 2 312.326 0.433 20 0 DCADLN CC[N@H+](CC(=O)NC1(C(=O)[O-])CCCCC1)[C@@H]1CCNC1=O ZINC001596203431 983590002 /nfs/dbraw/zinc/59/00/02/983590002.db2.gz IRSCGQCJIAGDIW-LLVKDONJSA-N 0 2 311.382 0.101 20 0 DCADLN CC[N@@H+](CC(=O)NC1(C(=O)[O-])CCCCC1)[C@@H]1CCNC1=O ZINC001596203431 983590006 /nfs/dbraw/zinc/59/00/06/983590006.db2.gz IRSCGQCJIAGDIW-LLVKDONJSA-N 0 2 311.382 0.101 20 0 DCADLN CCOC(=O)[C@@H]1CCCC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001596569448 984459789 /nfs/dbraw/zinc/45/97/89/984459789.db2.gz DSFBUOPLXVCKMH-LBPRGKRZSA-N 0 2 310.354 0.323 20 0 DCADLN CCOC(=O)[C@@H]1CCCC[N@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001596569448 984459793 /nfs/dbraw/zinc/45/97/93/984459793.db2.gz DSFBUOPLXVCKMH-LBPRGKRZSA-N 0 2 310.354 0.323 20 0 DCADLN CCOC(=O)N1CCC(NC(=O)C[N@H+](CC)CC(=O)[O-])CC1 ZINC001596644459 984652076 /nfs/dbraw/zinc/65/20/76/984652076.db2.gz PIGPRLROBPPVKP-UHFFFAOYSA-N 0 2 315.370 0.130 20 0 DCADLN CCOC(=O)N1CCC(NC(=O)C[N@@H+](CC)CC(=O)[O-])CC1 ZINC001596644459 984652081 /nfs/dbraw/zinc/65/20/81/984652081.db2.gz PIGPRLROBPPVKP-UHFFFAOYSA-N 0 2 315.370 0.130 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)[C@H]1CN(C(=O)C(C)C)CCO1 ZINC001383404987 984808150 /nfs/dbraw/zinc/80/81/50/984808150.db2.gz HRTNHWPMJKGWKF-GXTWGEPZSA-N 0 2 322.409 0.649 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001525071990 1015096051 /nfs/dbraw/zinc/09/60/51/1015096051.db2.gz YKKVCWGLPYCPHM-ATRFCDNQSA-N 0 2 323.250 0.391 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001525071990 1015096062 /nfs/dbraw/zinc/09/60/62/1015096062.db2.gz YKKVCWGLPYCPHM-ATRFCDNQSA-N 0 2 323.250 0.391 20 0 DCADLN CC(=O)CCn1cc(C[NH+]2CCC3(C[C@H]3C(=O)[O-])CC2)nn1 ZINC001589040895 985096014 /nfs/dbraw/zinc/09/60/14/985096014.db2.gz HPFGBLQFBCFJRI-ZDUSSCGKSA-N 0 2 306.366 0.944 20 0 DCADLN C[C@H]1C[N@H+](Cc2nnnn2CC(F)(F)F)C[C@]1(C)C(=O)[O-] ZINC001599931304 985319596 /nfs/dbraw/zinc/31/95/96/985319596.db2.gz BWEDQXZOPVNQGO-XVKPBYJWSA-N 0 2 307.276 0.778 20 0 DCADLN C[C@H]1C[N@@H+](Cc2nnnn2CC(F)(F)F)C[C@]1(C)C(=O)[O-] ZINC001599931304 985319608 /nfs/dbraw/zinc/31/96/08/985319608.db2.gz BWEDQXZOPVNQGO-XVKPBYJWSA-N 0 2 307.276 0.778 20 0 DCADLN CC(=O)N[C@H]1CC[N@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001589121072 985391887 /nfs/dbraw/zinc/39/18/87/985391887.db2.gz JHUIAKBKYRSZRS-NSHDSACASA-N 0 2 302.334 0.972 20 0 DCADLN CC(=O)N[C@H]1CC[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001589121072 985391899 /nfs/dbraw/zinc/39/18/99/985391899.db2.gz JHUIAKBKYRSZRS-NSHDSACASA-N 0 2 302.334 0.972 20 0 DCADLN CC(=O)Nc1cccc2c1C[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001589216391 985715728 /nfs/dbraw/zinc/71/57/28/985715728.db2.gz YGGHCKWHBZFHSA-JTQLQIEISA-N 0 2 319.361 0.592 20 0 DCADLN CC(=O)Nc1cccc2c1C[N@@H+]([C@@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001589216391 985715733 /nfs/dbraw/zinc/71/57/33/985715733.db2.gz YGGHCKWHBZFHSA-JTQLQIEISA-N 0 2 319.361 0.592 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(N)=O ZINC001599959353 985751144 /nfs/dbraw/zinc/75/11/44/985751144.db2.gz MMHJNTURFANIHV-IMSIIYSGSA-N 0 2 319.361 0.790 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(N)=O ZINC001599959353 985751151 /nfs/dbraw/zinc/75/11/51/985751151.db2.gz MMHJNTURFANIHV-IMSIIYSGSA-N 0 2 319.361 0.790 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2[C@@H]3C(=O)CC[C@H]23)n[nH]1 ZINC001551660407 1015165660 /nfs/dbraw/zinc/16/56/60/1015165660.db2.gz YGVFLDOIZWTPGP-GPXIKIIXSA-N 0 2 318.377 0.669 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2ccc(C)nn2)n[nH]1 ZINC001551661584 1015166099 /nfs/dbraw/zinc/16/60/99/1015166099.db2.gz SZAWNSBHQTYOIG-YPMHNXCESA-N 0 2 316.365 0.856 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2cc(C(=O)[O-])ccn2)[C@@H](C)CO1 ZINC001594526610 986055181 /nfs/dbraw/zinc/05/51/81/986055181.db2.gz AOXQUGIAAOIUTO-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2cc(C(=O)[O-])ccn2)[C@@H](C)CO1 ZINC001594526610 986055189 /nfs/dbraw/zinc/05/51/89/986055189.db2.gz AOXQUGIAAOIUTO-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2cc(C(=O)[O-])ccn2)[C@@H](C)CO1 ZINC001594526609 986055319 /nfs/dbraw/zinc/05/53/19/986055319.db2.gz AOXQUGIAAOIUTO-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2cc(C(=O)[O-])ccn2)[C@@H](C)CO1 ZINC001594526609 986055330 /nfs/dbraw/zinc/05/53/30/986055330.db2.gz AOXQUGIAAOIUTO-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)[C@H](C)CO1 ZINC001594526656 986059352 /nfs/dbraw/zinc/05/93/52/986059352.db2.gz BLOJRIHNKGBYLC-LPWJVIDDSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)[C@H](C)CO1 ZINC001594526656 986059364 /nfs/dbraw/zinc/05/93/64/986059364.db2.gz BLOJRIHNKGBYLC-LPWJVIDDSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1C[N@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C[C@@H]1O ZINC001594547144 986222185 /nfs/dbraw/zinc/22/21/85/986222185.db2.gz GSPVTXOWHLQWCX-PELKAZGASA-N 0 2 303.318 0.846 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C[C@@H]1O ZINC001594547144 986222205 /nfs/dbraw/zinc/22/22/05/986222205.db2.gz GSPVTXOWHLQWCX-PELKAZGASA-N 0 2 303.318 0.846 20 0 DCADLN Cc1nc(C(=O)N2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)c[nH]1 ZINC001385300406 986229407 /nfs/dbraw/zinc/22/94/07/986229407.db2.gz IUTMPCCYBAYIEO-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC001385300406 986229398 /nfs/dbraw/zinc/22/93/98/986229398.db2.gz IUTMPCCYBAYIEO-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385334326 986262730 /nfs/dbraw/zinc/26/27/30/986262730.db2.gz YKQZFYOTFFSNKD-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385334326 986262747 /nfs/dbraw/zinc/26/27/47/986262747.db2.gz YKQZFYOTFFSNKD-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN C[C@H](C(=O)N[C@@H](C(=O)[O-])C1CCOCC1)[NH+]1CCSCC1 ZINC001589376329 986435963 /nfs/dbraw/zinc/43/59/63/986435963.db2.gz YHXBNRBFGPYWAA-ZYHUDNBSSA-N 0 2 316.423 0.420 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccon2)C1)C(F)C(F)(F)F ZINC001385657156 986496928 /nfs/dbraw/zinc/49/69/28/986496928.db2.gz VQUHWKMWQMDIMM-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccon2)C1)[C@@H](F)C(F)(F)F ZINC001385657156 986496933 /nfs/dbraw/zinc/49/69/33/986496933.db2.gz VQUHWKMWQMDIMM-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385923285 986686697 /nfs/dbraw/zinc/68/66/97/986686697.db2.gz MWSWUESKAVUHTJ-WCTZXXKLSA-N 0 2 300.252 0.685 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385923285 986686701 /nfs/dbraw/zinc/68/67/01/986686701.db2.gz MWSWUESKAVUHTJ-WCTZXXKLSA-N 0 2 300.252 0.685 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)N[C@H](CO)C[NH+]2CCCC2)c1 ZINC001450605507 1015245243 /nfs/dbraw/zinc/24/52/43/1015245243.db2.gz QQSOKKLVQJOUIF-ZDUSSCGKSA-N 0 2 306.362 0.700 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001385981772 986728905 /nfs/dbraw/zinc/72/89/05/986728905.db2.gz NZGKUTDAKGBXGE-BZNPZCIMSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC001385981772 986728911 /nfs/dbraw/zinc/72/89/11/986728911.db2.gz NZGKUTDAKGBXGE-BZNPZCIMSA-N 0 2 312.263 0.829 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001386251214 987001251 /nfs/dbraw/zinc/00/12/51/987001251.db2.gz BNGFENXWSLCBMC-HRDYMLBCSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001386251214 987001259 /nfs/dbraw/zinc/00/12/59/987001259.db2.gz BNGFENXWSLCBMC-HRDYMLBCSA-N 0 2 322.262 0.698 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)ns1 ZINC001386416576 987134985 /nfs/dbraw/zinc/13/49/85/987134985.db2.gz GSARAWVGXZEBAO-QMMMGPOBSA-N 0 2 321.406 0.960 20 0 DCADLN CC(C)(C(=O)[O-])n1cc(NC(=O)NCCn2cc[nH+]c2)cn1 ZINC001589666409 987652483 /nfs/dbraw/zinc/65/24/83/987652483.db2.gz SOIMOCPEZAKBKA-UHFFFAOYSA-N 0 2 306.326 0.721 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001387796726 988160143 /nfs/dbraw/zinc/16/01/43/988160143.db2.gz UQKJFWUPQRFUNK-HTQZYQBOSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001387796726 988160146 /nfs/dbraw/zinc/16/01/46/988160146.db2.gz UQKJFWUPQRFUNK-HTQZYQBOSA-N 0 2 302.268 0.478 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001387877422 988244849 /nfs/dbraw/zinc/24/48/49/988244849.db2.gz KCJKMYVAASMVJT-ZETCQYMHSA-N 0 2 310.207 0.158 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnon2)C1)[C@H](F)C(F)(F)F ZINC001387877422 988244866 /nfs/dbraw/zinc/24/48/66/988244866.db2.gz KCJKMYVAASMVJT-ZETCQYMHSA-N 0 2 310.207 0.158 20 0 DCADLN CC[C@H](CNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001450833345 1015384721 /nfs/dbraw/zinc/38/47/21/1015384721.db2.gz IRFWXDOMWQLEEM-BDAKNGLRSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)c1cnn(C)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001450833345 1015384730 /nfs/dbraw/zinc/38/47/30/1015384730.db2.gz IRFWXDOMWQLEEM-BDAKNGLRSA-N 0 2 324.278 0.945 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1ccc[nH]1 ZINC001388665499 988492173 /nfs/dbraw/zinc/49/21/73/988492173.db2.gz MMFHUXOYCGJQTN-NSHDSACASA-N 0 2 304.354 0.114 20 0 DCADLN Cc1cc(CNC(=O)N2C[C@@H]3COC[C@@]3(C(=O)[O-])C2)cc(C)[nH+]1 ZINC001552399812 1015417041 /nfs/dbraw/zinc/41/70/41/1015417041.db2.gz ROPUDYMKQJAYRZ-CJNGLKHVSA-N 0 2 319.361 0.941 20 0 DCADLN C[C@@H](CNC(=O)CCCn1cc[nH+]c1)NC(=O)[C@@H]1CCC[N@@H+]1C ZINC001389322736 989119022 /nfs/dbraw/zinc/11/90/22/989119022.db2.gz XPVRXEASKKMTJF-KBPBESRZSA-N 0 2 321.425 0.378 20 0 DCADLN C[C@@H]1C[N@H+](CN2C(=O)N[C@@](C)(CCC(=O)[O-])C2=O)CCS1 ZINC001599866291 989267209 /nfs/dbraw/zinc/26/72/09/989267209.db2.gz YLVSXXQNAPTCSX-RNCFNFMXSA-N 0 2 315.395 0.557 20 0 DCADLN C[C@@H]1C[N@@H+](CN2C(=O)N[C@@](C)(CCC(=O)[O-])C2=O)CCS1 ZINC001599866291 989267218 /nfs/dbraw/zinc/26/72/18/989267218.db2.gz YLVSXXQNAPTCSX-RNCFNFMXSA-N 0 2 315.395 0.557 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001389745500 989538046 /nfs/dbraw/zinc/53/80/46/989538046.db2.gz QRJIVFNCKXUTMA-QMMMGPOBSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001389745500 989538051 /nfs/dbraw/zinc/53/80/51/989538051.db2.gz QRJIVFNCKXUTMA-QMMMGPOBSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1[nH]nc(C(=O)NC2(CNC(=O)C[N@@H+](C)C(C)C)CC2)c1[O-] ZINC001389880875 989658902 /nfs/dbraw/zinc/65/89/02/989658902.db2.gz VHFOOSMPDKJQJM-UHFFFAOYSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)NC2(CNC(=O)C[N@H+](C)C(C)C)CC2)c1[O-] ZINC001389880875 989658906 /nfs/dbraw/zinc/65/89/06/989658906.db2.gz VHFOOSMPDKJQJM-UHFFFAOYSA-N 0 2 323.397 0.143 20 0 DCADLN COCc1cccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001390790999 990390904 /nfs/dbraw/zinc/39/09/04/990390904.db2.gz KSESVCLTCIUKOS-UHFFFAOYSA-N 0 2 319.365 0.519 20 0 DCADLN COCc1cccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001390790999 990390909 /nfs/dbraw/zinc/39/09/09/990390909.db2.gz KSESVCLTCIUKOS-UHFFFAOYSA-N 0 2 319.365 0.519 20 0 DCADLN COc1cncc(C[N@H+](C)CCNC(=O)[C@H](C(C)C)[NH+](C)C)n1 ZINC001390851719 990436539 /nfs/dbraw/zinc/43/65/39/990436539.db2.gz ZRHIMQZASYOUKY-HNNXBMFYSA-N 0 2 323.441 0.619 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001451066671 1015570038 /nfs/dbraw/zinc/57/00/38/1015570038.db2.gz NXYDPTWQFYCZND-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001451066671 1015570047 /nfs/dbraw/zinc/57/00/47/1015570047.db2.gz NXYDPTWQFYCZND-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN CC(C)(CNS(=O)(=O)c1c[nH]c(C(=O)[O-])c1)n1cc[nH+]c1 ZINC001590777090 990581764 /nfs/dbraw/zinc/58/17/64/990581764.db2.gz VLROPPHZBJMAAC-UHFFFAOYSA-N 0 2 312.351 0.623 20 0 DCADLN CCC(CC)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001391408034 990810596 /nfs/dbraw/zinc/81/05/96/990810596.db2.gz VNIWSYDAUBDIQC-UHFFFAOYSA-N 0 2 317.393 0.759 20 0 DCADLN COc1ccnc(C[NH+]2CC(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)c1 ZINC001599721096 991539006 /nfs/dbraw/zinc/53/90/06/991539006.db2.gz GWKZRJPNAZRYEK-KGLIPLIRSA-N 0 2 319.361 0.359 20 0 DCADLN O=C(Nn1ccccc1=O)c1cnn(C[C@@H]2CCCCO2)c1 ZINC001553232419 1015649703 /nfs/dbraw/zinc/64/97/03/1015649703.db2.gz YQWLTDPYULUDFT-ZDUSSCGKSA-N 0 2 302.334 0.998 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+]1Cc2ccccc2NC(=O)C1 ZINC001598404441 991711264 /nfs/dbraw/zinc/71/12/64/991711264.db2.gz HFXXVLMNNZHBKG-UHFFFAOYSA-N 0 2 305.334 0.374 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+]1Cc2ccccc2NC(=O)C1 ZINC001598404441 991711272 /nfs/dbraw/zinc/71/12/72/991711272.db2.gz HFXXVLMNNZHBKG-UHFFFAOYSA-N 0 2 305.334 0.374 20 0 DCADLN CC(C)C[C@@H](C(=O)NC1(C(=O)[O-])CC(CO)C1)n1cc[nH+]c1 ZINC001591117949 991779525 /nfs/dbraw/zinc/77/95/25/991779525.db2.gz JAWIKAVJMLXCHB-AVERBVTBSA-N 0 2 309.366 0.812 20 0 DCADLN CN(CCC[N@H+](C)Cc1cnns1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001598476910 992093541 /nfs/dbraw/zinc/09/35/41/992093541.db2.gz AZSXPTGRHICKAX-MNOVXSKESA-N 0 2 312.395 0.539 20 0 DCADLN CN(CCC[N@@H+](C)Cc1cnns1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001598476910 992093551 /nfs/dbraw/zinc/09/35/51/992093551.db2.gz AZSXPTGRHICKAX-MNOVXSKESA-N 0 2 312.395 0.539 20 0 DCADLN Cc1conc1C[N@@H+](C)C[C@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001392654555 992211782 /nfs/dbraw/zinc/21/17/82/992211782.db2.gz XFCGPLPBVXPZAY-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN Cc1conc1C[N@H+](C)C[C@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001392654555 992211795 /nfs/dbraw/zinc/21/17/95/992211795.db2.gz XFCGPLPBVXPZAY-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2cc(C(=O)[O-])cs2)CC1 ZINC001599776913 992315218 /nfs/dbraw/zinc/31/52/18/992315218.db2.gz MNBVCAPSEIWOMR-HXUWFJFHSA-N 0 2 316.448 0.942 20 0 DCADLN C[C@H](c1nnnn1C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001392995731 992611145 /nfs/dbraw/zinc/61/11/45/992611145.db2.gz OJMKZLAFIWWQAE-SVRRBLITSA-N 0 2 324.282 0.314 20 0 DCADLN C[C@H](c1nnnn1C)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001392995731 992611148 /nfs/dbraw/zinc/61/11/48/992611148.db2.gz OJMKZLAFIWWQAE-SVRRBLITSA-N 0 2 324.282 0.314 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1cnnn1C)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001591594023 992812585 /nfs/dbraw/zinc/81/25/85/992812585.db2.gz MUNNDDCZHWEHHX-QWHCGFSZSA-N 0 2 323.397 0.205 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1cnnn1C)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001591594023 992812591 /nfs/dbraw/zinc/81/25/91/992812591.db2.gz MUNNDDCZHWEHHX-QWHCGFSZSA-N 0 2 323.397 0.205 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001393346757 992902447 /nfs/dbraw/zinc/90/24/47/992902447.db2.gz NNCGHEDKQLLMQF-APPZFPTMSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001393346757 992902453 /nfs/dbraw/zinc/90/24/53/992902453.db2.gz NNCGHEDKQLLMQF-APPZFPTMSA-N 0 2 314.279 0.765 20 0 DCADLN Cn1cnc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)c1 ZINC001393589512 993109715 /nfs/dbraw/zinc/10/97/15/993109715.db2.gz HYAAXZVAUNQARX-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cnc(C(=O)NCC2(NC(=O)[C@@H](F)C(F)(F)F)CC2)c1 ZINC001393589512 993109717 /nfs/dbraw/zinc/10/97/17/993109717.db2.gz HYAAXZVAUNQARX-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2ccc(Cn3ccnn3)o2)C[C@@H]1C(=O)[O-] ZINC001598573455 993237619 /nfs/dbraw/zinc/23/76/19/993237619.db2.gz IGJSGRDQIIHDNW-GHMZBOCLSA-N 0 2 320.305 0.234 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2ccc(Cn3ccnn3)o2)C[C@@H]1C(=O)[O-] ZINC001598573455 993237624 /nfs/dbraw/zinc/23/76/24/993237624.db2.gz IGJSGRDQIIHDNW-GHMZBOCLSA-N 0 2 320.305 0.234 20 0 DCADLN C[C@H](CNC(=O)C1C=CC=CC=C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394069885 993454786 /nfs/dbraw/zinc/45/47/86/993454786.db2.gz OOWJRSKTTZVIRM-LLVKDONJSA-N 0 2 303.366 0.745 20 0 DCADLN C[C@H](CNC(=O)C1C=CC=CC=C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394069885 993454790 /nfs/dbraw/zinc/45/47/90/993454790.db2.gz OOWJRSKTTZVIRM-LLVKDONJSA-N 0 2 303.366 0.745 20 0 DCADLN COc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001399592560 993595218 /nfs/dbraw/zinc/59/52/18/993595218.db2.gz HTRURRGSBGNYIQ-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN COc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001399592560 993595228 /nfs/dbraw/zinc/59/52/28/993595228.db2.gz HTRURRGSBGNYIQ-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN CC1(CC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001399831191 993822521 /nfs/dbraw/zinc/82/25/21/993822521.db2.gz IRWSYWHKSOMONH-APPZFPTMSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001399831191 993822533 /nfs/dbraw/zinc/82/25/33/993822533.db2.gz IRWSYWHKSOMONH-APPZFPTMSA-N 0 2 314.279 0.670 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)CCCCC(=O)[O-])CC2)CC1 ZINC001598613755 993836442 /nfs/dbraw/zinc/83/64/42/993836442.db2.gz CFNSSYUGSJYHIP-UHFFFAOYSA-N 0 2 311.426 0.870 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@H]1CCC[N@@H+]1[C@@H](C)c1nncn1C ZINC001399902940 993904129 /nfs/dbraw/zinc/90/41/29/993904129.db2.gz MYQDCBJACGHHQM-UONOGXRCSA-N 0 2 322.457 0.799 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1nccnc1N ZINC001399922779 993932448 /nfs/dbraw/zinc/93/24/48/993932448.db2.gz IRTBFMHGFSPENF-IYSWYEEDSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1nccnc1N ZINC001399922779 993932470 /nfs/dbraw/zinc/93/24/70/993932470.db2.gz IRTBFMHGFSPENF-IYSWYEEDSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@H](CNC(=O)c1n[nH]cc1F)NC(=O)C(F)C(F)(F)F ZINC001399986125 994023116 /nfs/dbraw/zinc/02/31/16/994023116.db2.gz YEBVGFYGPASQBB-FBCQKBJTSA-N 0 2 314.214 0.684 20 0 DCADLN C[C@H](CNC(=O)c1n[nH]cc1F)NC(=O)[C@H](F)C(F)(F)F ZINC001399986125 994023127 /nfs/dbraw/zinc/02/31/27/994023127.db2.gz YEBVGFYGPASQBB-FBCQKBJTSA-N 0 2 314.214 0.684 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1ccoc1CC(=O)[O-])[NH+]1CCOCC1 ZINC001593184002 994085378 /nfs/dbraw/zinc/08/53/78/994085378.db2.gz VFGPBWGNXXITAU-WDEREUQCSA-N 0 2 310.350 0.746 20 0 DCADLN CC(C)N(CCN(C)Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CC1(F)F ZINC001394798650 994166597 /nfs/dbraw/zinc/16/65/97/994166597.db2.gz HCWZKRFFBSIZHQ-VIFPVBQESA-N 0 2 317.340 0.834 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC1(F)F ZINC001394798650 994166603 /nfs/dbraw/zinc/16/66/03/994166603.db2.gz HCWZKRFFBSIZHQ-VIFPVBQESA-N 0 2 317.340 0.834 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC1(F)F ZINC001394798650 994166612 /nfs/dbraw/zinc/16/66/12/994166612.db2.gz HCWZKRFFBSIZHQ-VIFPVBQESA-N 0 2 317.340 0.834 20 0 DCADLN Cc1cnn(CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)c1 ZINC001400147307 994197585 /nfs/dbraw/zinc/19/75/85/994197585.db2.gz WJGVRPJBXMSGCB-WPRPVWTQSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1cnn(CC(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001400147307 994197596 /nfs/dbraw/zinc/19/75/96/994197596.db2.gz WJGVRPJBXMSGCB-WPRPVWTQSA-N 0 2 324.278 0.713 20 0 DCADLN CNC(=O)NC[C@H]1C[N@H+](Cc2ccsc2C(=O)[O-])CCO1 ZINC001598654010 994492059 /nfs/dbraw/zinc/49/20/59/994492059.db2.gz YZIFWWUUQHFYMD-JTQLQIEISA-N 0 2 313.379 0.576 20 0 DCADLN CNC(=O)NC[C@H]1C[N@@H+](Cc2ccsc2C(=O)[O-])CCO1 ZINC001598654010 994492066 /nfs/dbraw/zinc/49/20/66/994492066.db2.gz YZIFWWUUQHFYMD-JTQLQIEISA-N 0 2 313.379 0.576 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H]2CCCO2)CC1)C(F)C(F)(F)F ZINC001400512765 994595442 /nfs/dbraw/zinc/59/54/42/994595442.db2.gz GXJOFVYVXMPGPT-YUMQZZPRSA-N 0 2 312.263 0.831 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H]2CCCO2)CC1)[C@H](F)C(F)(F)F ZINC001400512765 994595450 /nfs/dbraw/zinc/59/54/50/994595450.db2.gz GXJOFVYVXMPGPT-YUMQZZPRSA-N 0 2 312.263 0.831 20 0 DCADLN C[C@H]([NH2+]Cc1ncc(C(=O)[O-])s1)C(=O)NCC(F)(F)F ZINC001593315696 994806241 /nfs/dbraw/zinc/80/62/41/994806241.db2.gz AKYXGEGDIOJGGK-YFKPBYRVSA-N 0 2 311.285 0.998 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CCC1)C(F)C(F)(F)F ZINC001395746756 994925179 /nfs/dbraw/zinc/92/51/79/994925179.db2.gz YIPDMKWANHVWOM-YUMQZZPRSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CCC1)[C@H](F)C(F)(F)F ZINC001395746756 994925192 /nfs/dbraw/zinc/92/51/92/994925192.db2.gz YIPDMKWANHVWOM-YUMQZZPRSA-N 0 2 300.252 0.280 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F)C(=O)[O-] ZINC001593400759 995160811 /nfs/dbraw/zinc/16/08/11/995160811.db2.gz YNNLGLPEENGHOH-OIBJUYFYSA-N 0 2 309.244 0.328 20 0 DCADLN COC(=O)C1=NO[C@]2(CCN(c3cc(CC(=O)[O-])cc[nH+]3)C2)C1 ZINC001598729578 995545170 /nfs/dbraw/zinc/54/51/70/995545170.db2.gz PRORZOOQQFBTHC-OAHLLOKOSA-N 0 2 319.317 0.607 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cc[nH]n2)C1)C(F)C(F)(F)F ZINC001396929356 995689755 /nfs/dbraw/zinc/68/97/55/995689755.db2.gz LCUPAVHOHBTVGJ-XPUUQOCRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccn[nH]2)C1)C(F)C(F)(F)F ZINC001396929356 995689757 /nfs/dbraw/zinc/68/97/57/995689757.db2.gz LCUPAVHOHBTVGJ-XPUUQOCRSA-N 0 2 308.235 0.641 20 0 DCADLN COC[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCc1[nH+]ccn1C ZINC001396996571 995733521 /nfs/dbraw/zinc/73/35/21/995733521.db2.gz OAXBFOBJNSQTBP-UONOGXRCSA-N 0 2 308.426 0.920 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])CN1C(=O)CCc1[nH+]ccn1C ZINC001598769959 995875017 /nfs/dbraw/zinc/87/50/17/995875017.db2.gz VLIRUYJXOCIUMQ-GHMZBOCLSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])N(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001598776506 995935508 /nfs/dbraw/zinc/93/55/08/995935508.db2.gz OSLLRBYQVRTHAC-MWLCHTKSSA-N 0 2 309.322 0.125 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CCc1ncc[nH]1 ZINC001397942925 996271622 /nfs/dbraw/zinc/27/16/22/996271622.db2.gz SOAUAUKHKDCOMG-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN O=C(C[C@H]1CC(=O)Nc2ccccc21)NCc1n[nH]c(=O)[nH]1 ZINC001465725088 1016021688 /nfs/dbraw/zinc/02/16/88/1016021688.db2.gz IYWDCRIZRJRCGW-QMMMGPOBSA-N 0 2 301.306 0.643 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2cc(Cl)n(C)n2)C[C@H]1C(=O)[O-] ZINC001598824486 996367442 /nfs/dbraw/zinc/36/74/42/996367442.db2.gz AELNGQNLAJFERE-BDAKNGLRSA-N 0 2 301.730 0.379 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2cc(Cl)n(C)n2)C[C@H]1C(=O)[O-] ZINC001598824486 996367445 /nfs/dbraw/zinc/36/74/45/996367445.db2.gz AELNGQNLAJFERE-BDAKNGLRSA-N 0 2 301.730 0.379 20 0 DCADLN O=C(NC1CN(C(=O)c2cncnc2)C1)C(F)C(F)(F)F ZINC001398606845 996601184 /nfs/dbraw/zinc/60/11/84/996601184.db2.gz PPEHQKGNEOTLFH-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2cncnc2)C1)[C@@H](F)C(F)(F)F ZINC001398606845 996601185 /nfs/dbraw/zinc/60/11/85/996601185.db2.gz PPEHQKGNEOTLFH-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN CO[C@H](C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001398741262 996666835 /nfs/dbraw/zinc/66/68/35/996666835.db2.gz XZNDBWOEOKYOAA-YPMHNXCESA-N 0 2 323.397 0.406 20 0 DCADLN COC(=O)Cc1occc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001598874703 996755324 /nfs/dbraw/zinc/75/53/24/996755324.db2.gz UVOLECNQYIBPKB-SNVBAGLBSA-N 0 2 321.289 0.144 20 0 DCADLN COC(=O)Cc1occc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001598874703 996755327 /nfs/dbraw/zinc/75/53/27/996755327.db2.gz UVOLECNQYIBPKB-SNVBAGLBSA-N 0 2 321.289 0.144 20 0 DCADLN CC1(C)CC[N@H+](CCNS(=O)(=O)c2cc(C(=O)[O-])no2)C1 ZINC001593922902 996835836 /nfs/dbraw/zinc/83/58/36/996835836.db2.gz DIHRLBQRMSGTCR-UHFFFAOYSA-N 0 2 317.367 0.383 20 0 DCADLN CC1(C)CC[N@@H+](CCNS(=O)(=O)c2cc(C(=O)[O-])no2)C1 ZINC001593922902 996835851 /nfs/dbraw/zinc/83/58/51/996835851.db2.gz DIHRLBQRMSGTCR-UHFFFAOYSA-N 0 2 317.367 0.383 20 0 DCADLN CC(C)(C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510972228 1016096101 /nfs/dbraw/zinc/09/61/01/1016096101.db2.gz QFWADXCWYJWPPK-BQBZGAKWSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001510972228 1016096110 /nfs/dbraw/zinc/09/61/10/1016096110.db2.gz QFWADXCWYJWPPK-BQBZGAKWSA-N 0 2 302.268 0.526 20 0 DCADLN CC1(C)[C@@H]([NH2+]Cc2ccccc2OCC(=O)[O-])CS1(=O)=O ZINC001593987897 997235391 /nfs/dbraw/zinc/23/53/91/997235391.db2.gz IMLPOMSFLGNDAR-LBPRGKRZSA-N 0 2 313.375 0.815 20 0 DCADLN COc1cc(C[N@@H+]2CCCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)on1 ZINC001599407142 997333037 /nfs/dbraw/zinc/33/30/37/997333037.db2.gz HLZXFTPEVOXOQP-RYUDHWBXSA-N 0 2 323.349 0.438 20 0 DCADLN COc1cc(C[N@H+]2CCCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)on1 ZINC001599407142 997333050 /nfs/dbraw/zinc/33/30/50/997333050.db2.gz HLZXFTPEVOXOQP-RYUDHWBXSA-N 0 2 323.349 0.438 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NCC[C@@H](C)[NH2+]Cc1nncs1 ZINC001399434307 997369021 /nfs/dbraw/zinc/36/90/21/997369021.db2.gz XCDZLFBKCKQOSZ-SNVBAGLBSA-N 0 2 308.411 0.728 20 0 DCADLN CC(C)c1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001399523233 997470829 /nfs/dbraw/zinc/47/08/29/997470829.db2.gz IGEVCCQWWBZODF-UHFFFAOYSA-N 0 2 308.342 0.484 20 0 DCADLN CC(C)c1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001399523233 997470834 /nfs/dbraw/zinc/47/08/34/997470834.db2.gz IGEVCCQWWBZODF-UHFFFAOYSA-N 0 2 308.342 0.484 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)[C@H](C(=O)[O-])C1 ZINC001599118653 997699136 /nfs/dbraw/zinc/69/91/36/997699136.db2.gz CWKQDMSGPBQRJH-TUAOUCFPSA-N 0 2 307.350 0.536 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)N2CC(O)(C(=O)[O-])C2)c1C ZINC001599333475 997789578 /nfs/dbraw/zinc/78/95/78/997789578.db2.gz ALVLXMFRPKYMPP-UHFFFAOYSA-N 0 2 309.322 0.048 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])oc1C ZINC001598932503 997792378 /nfs/dbraw/zinc/79/23/78/997792378.db2.gz JLCDNSHMYAVCDT-GFCCVEGCSA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])oc1C ZINC001598932503 997792391 /nfs/dbraw/zinc/79/23/91/997792391.db2.gz JLCDNSHMYAVCDT-GFCCVEGCSA-N 0 2 324.333 0.540 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2cccc(OCC(=O)[O-])c2)CC1 ZINC001599243671 998097577 /nfs/dbraw/zinc/09/75/77/998097577.db2.gz WGLSDGHDBYNDBQ-UHFFFAOYSA-N 0 2 322.361 0.554 20 0 DCADLN COCC(=O)N1CC[NH+](CCOc2cccc(C(=O)[O-])c2)CC1 ZINC001599139575 998103787 /nfs/dbraw/zinc/10/37/87/998103787.db2.gz MOFAHVMWLICTPL-UHFFFAOYSA-N 0 2 322.361 0.554 20 0 DCADLN COCCOCCN1CC[NH+](Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC001599251732 998243240 /nfs/dbraw/zinc/24/32/40/998243240.db2.gz XHQBDSBORJOSFC-UHFFFAOYSA-N 0 2 311.382 0.494 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1nncn1C ZINC001467800646 1016200204 /nfs/dbraw/zinc/20/02/04/1016200204.db2.gz YJINICWORNKSBE-BDAKNGLRSA-N 0 2 320.357 0.289 20 0 DCADLN COC[C@@](C)(NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)C(=O)[O-] ZINC001599152622 998317691 /nfs/dbraw/zinc/31/76/91/998317691.db2.gz RLRMJHQZGVNOOQ-BXUZGUMPSA-N 0 2 310.354 0.396 20 0 DCADLN COC[C@@](C)(NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)C(=O)[O-] ZINC001599152622 998317700 /nfs/dbraw/zinc/31/77/00/998317700.db2.gz RLRMJHQZGVNOOQ-BXUZGUMPSA-N 0 2 310.354 0.396 20 0 DCADLN COC[C@](C)(NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)C(=O)[O-] ZINC001599152623 998317783 /nfs/dbraw/zinc/31/77/83/998317783.db2.gz RLRMJHQZGVNOOQ-FZMZJTMJSA-N 0 2 310.354 0.396 20 0 DCADLN COC[C@](C)(NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)C(=O)[O-] ZINC001599152623 998317792 /nfs/dbraw/zinc/31/77/92/998317792.db2.gz RLRMJHQZGVNOOQ-FZMZJTMJSA-N 0 2 310.354 0.396 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC2(CF)CCOCC2)S1 ZINC001468147557 1016227658 /nfs/dbraw/zinc/22/76/58/1016227658.db2.gz MPWTWOQLCNBSFX-QMMMGPOBSA-N 0 2 303.359 0.425 20 0 DCADLN COC(=O)c1ccoc1C[N@@H+](CCC(=O)[O-])CC(OC)OC ZINC001599004952 998847927 /nfs/dbraw/zinc/84/79/27/998847927.db2.gz RQBQQWGTVNANBG-UHFFFAOYSA-N 0 2 315.322 0.962 20 0 DCADLN COC(=O)c1ccoc1C[N@H+](CCC(=O)[O-])CC(OC)OC ZINC001599004952 998847929 /nfs/dbraw/zinc/84/79/29/998847929.db2.gz RQBQQWGTVNANBG-UHFFFAOYSA-N 0 2 315.322 0.962 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CC=C(C(=O)[O-])C1 ZINC001594250740 998962607 /nfs/dbraw/zinc/96/26/07/998962607.db2.gz OOHDMMYTPKQBQJ-BNOWGMLFSA-N 0 2 323.393 0.664 20 0 DCADLN C[C@@H]1CN(C(=O)C[N@H+](C)Cc2ccc(C(=O)[O-])cn2)CCO1 ZINC001594465934 999685981 /nfs/dbraw/zinc/68/59/81/999685981.db2.gz WZBWFLQYRZVTPF-LLVKDONJSA-N 0 2 307.350 0.459 20 0 DCADLN C[C@@H]1CN(C(=O)C[N@@H+](C)Cc2ccc(C(=O)[O-])cn2)CCO1 ZINC001594465934 999685983 /nfs/dbraw/zinc/68/59/83/999685983.db2.gz WZBWFLQYRZVTPF-LLVKDONJSA-N 0 2 307.350 0.459 20 0 DCADLN CCC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CCC1 ZINC001401168236 1000244022 /nfs/dbraw/zinc/24/40/22/1000244022.db2.gz VOWWWYQTNGFRMX-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1noc(C[NH2+]C[C@H](NC(=O)c2[nH]nc(C)c2[O-])C2CC2)n1 ZINC001401824807 1000761339 /nfs/dbraw/zinc/76/13/39/1000761339.db2.gz CPDVNOZBOBGROT-JTQLQIEISA-N 0 2 320.353 0.413 20 0 DCADLN CN(C(=O)CCc1ccco1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419110978 1000781141 /nfs/dbraw/zinc/78/11/41/1000781141.db2.gz WFMSIRPBSNJHHL-LLVKDONJSA-N 0 2 319.365 0.769 20 0 DCADLN CN(C(=O)CCc1ccco1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419110978 1000781148 /nfs/dbraw/zinc/78/11/48/1000781148.db2.gz WFMSIRPBSNJHHL-LLVKDONJSA-N 0 2 319.365 0.769 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1)C(F)C(F)(F)F ZINC001419471327 1001079757 /nfs/dbraw/zinc/07/97/57/1001079757.db2.gz IDUOJQXNOFCAGO-BFHQHQDPSA-N 0 2 311.239 0.148 20 0 DCADLN O=C(Cc1c[nH]c[nH+]1)NC[C@H]1C[N@H+](CCCO)CCC1(F)F ZINC001402244124 1001132352 /nfs/dbraw/zinc/13/23/52/1001132352.db2.gz MLWCWQSKSMJEHJ-NSHDSACASA-N 0 2 316.352 0.408 20 0 DCADLN CCO[C@H](C)C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001402729374 1001482750 /nfs/dbraw/zinc/48/27/50/1001482750.db2.gz KFIZIXBPMOYQDN-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C)CC(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001403362252 1001879462 /nfs/dbraw/zinc/87/94/62/1001879462.db2.gz ORNYZTJRKXQTTD-UWVGGRQHSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C)CC(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001403362252 1001879476 /nfs/dbraw/zinc/87/94/76/1001879476.db2.gz ORNYZTJRKXQTTD-UWVGGRQHSA-N 0 2 311.386 0.262 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2CCCCS2)[nH]1 ZINC001420152842 1001948355 /nfs/dbraw/zinc/94/83/55/1001948355.db2.gz ZQVGZQZSXMMQDZ-QMMMGPOBSA-N 0 2 318.424 0.251 20 0 DCADLN C[N@H+](CCNC(=O)c1cnn(C(C)(C)C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001403607722 1002203889 /nfs/dbraw/zinc/20/38/89/1002203889.db2.gz DUAROQOFGJWRQK-UHFFFAOYSA-N 0 2 321.385 0.324 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnn(C(C)(C)C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001403607722 1002203896 /nfs/dbraw/zinc/20/38/96/1002203896.db2.gz DUAROQOFGJWRQK-UHFFFAOYSA-N 0 2 321.385 0.324 20 0 DCADLN C[N@H+](CCNC(=O)C1(c2ccccc2)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001420339067 1002247524 /nfs/dbraw/zinc/24/75/24/1002247524.db2.gz DVFMACFKZGTWMN-UHFFFAOYSA-N 0 2 315.377 0.790 20 0 DCADLN C[N@@H+](CCNC(=O)C1(c2ccccc2)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001420339067 1002247531 /nfs/dbraw/zinc/24/75/31/1002247531.db2.gz DVFMACFKZGTWMN-UHFFFAOYSA-N 0 2 315.377 0.790 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(Cl)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001420434429 1002412588 /nfs/dbraw/zinc/41/25/88/1002412588.db2.gz CUWDANZHBVHNCN-UHFFFAOYSA-N 0 2 324.772 0.811 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(Cl)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001420434429 1002412595 /nfs/dbraw/zinc/41/25/95/1002412595.db2.gz CUWDANZHBVHNCN-UHFFFAOYSA-N 0 2 324.772 0.811 20 0 DCADLN CC(C)SCC(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001420474869 1002472361 /nfs/dbraw/zinc/47/23/61/1002472361.db2.gz QKHOCFCKESPTTQ-SNVBAGLBSA-N 0 2 313.427 0.733 20 0 DCADLN CC[C@H](SC)C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001420478705 1002478370 /nfs/dbraw/zinc/47/83/70/1002478370.db2.gz ULDLAVSVMGJSQL-ZJUUUORDSA-N 0 2 313.427 0.733 20 0 DCADLN C[C@](O)(CC(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001420649890 1002748602 /nfs/dbraw/zinc/74/86/02/1002748602.db2.gz FMLQAIUAOCCXJQ-HNNXBMFYSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@](O)(CC(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001420649890 1002748609 /nfs/dbraw/zinc/74/86/09/1002748609.db2.gz FMLQAIUAOCCXJQ-HNNXBMFYSA-N 0 2 323.397 0.142 20 0 DCADLN CN(C)C(=O)C[NH2+]C[C@@H](NC(=O)c1[nH]ncc1F)C(C)(C)C ZINC001403981984 1002845101 /nfs/dbraw/zinc/84/51/01/1002845101.db2.gz GGKZMWHZFFZPMN-SNVBAGLBSA-N 0 2 313.377 0.371 20 0 DCADLN CCCN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(CC(N)=O)C1 ZINC001420804425 1002965499 /nfs/dbraw/zinc/96/54/99/1002965499.db2.gz MLUPHVDIZCKVGH-SCZZXKLOSA-N 0 2 313.295 0.685 20 0 DCADLN O=C(C[C@H]1CCCOC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420910486 1003119450 /nfs/dbraw/zinc/11/94/50/1003119450.db2.gz ZELIFMGYOYNGCK-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472082609 1016727398 /nfs/dbraw/zinc/72/73/98/1016727398.db2.gz PLHDKNCEOOQPHC-NXEZZACHSA-N 0 2 314.411 0.648 20 0 DCADLN COCCC(=O)NC1CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001421011820 1003233367 /nfs/dbraw/zinc/23/33/67/1003233367.db2.gz ATCZXNXMAUKMIM-UHFFFAOYSA-N 0 2 322.390 0.734 20 0 DCADLN COc1cncc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001421028176 1003247559 /nfs/dbraw/zinc/24/75/59/1003247559.db2.gz AXWMEUKSFCIJKV-SNVBAGLBSA-N 0 2 322.262 0.686 20 0 DCADLN COc1cncc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001421028176 1003247566 /nfs/dbraw/zinc/24/75/66/1003247566.db2.gz AXWMEUKSFCIJKV-SNVBAGLBSA-N 0 2 322.262 0.686 20 0 DCADLN C[C@@H](NC(=O)c1ccnc2c1nnn2C)c1nn(C)cc1O ZINC001472235413 1016765919 /nfs/dbraw/zinc/76/59/19/1016765919.db2.gz BZPVVPYUPURTGE-SSDOTTSWSA-N 0 2 301.310 0.293 20 0 DCADLN COCC1(CC(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001421299954 1003514445 /nfs/dbraw/zinc/51/44/45/1003514445.db2.gz JDHVEEBNGBWAEH-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN COCC1(CC(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001421299954 1003514453 /nfs/dbraw/zinc/51/44/53/1003514453.db2.gz JDHVEEBNGBWAEH-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccnn1[C@H]1CCCOC1 ZINC001426379788 1003739466 /nfs/dbraw/zinc/73/94/66/1003739466.db2.gz ZKUKGJXTOLLKOP-QMMMGPOBSA-N 0 2 307.314 0.380 20 0 DCADLN CCCC(=O)N(C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001428270542 1003809425 /nfs/dbraw/zinc/80/94/25/1003809425.db2.gz JHTGUCZWDMTDPY-WCBMZHEXSA-N 0 2 316.295 0.965 20 0 DCADLN CCCC(=O)N(C)C[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001428270542 1003809440 /nfs/dbraw/zinc/80/94/40/1003809440.db2.gz JHTGUCZWDMTDPY-WCBMZHEXSA-N 0 2 316.295 0.965 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428281569 1003822916 /nfs/dbraw/zinc/82/29/16/1003822916.db2.gz WSJIGYBFFPSSQR-KHQFGBGNSA-N 0 2 322.262 0.616 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001428281569 1003822929 /nfs/dbraw/zinc/82/29/29/1003822929.db2.gz WSJIGYBFFPSSQR-KHQFGBGNSA-N 0 2 322.262 0.616 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccs1 ZINC001406575104 1003864875 /nfs/dbraw/zinc/86/48/75/1003864875.db2.gz QSTJCQRWQROWSG-SECBINFHSA-N 0 2 309.395 0.751 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccs1 ZINC001406575104 1003864888 /nfs/dbraw/zinc/86/48/88/1003864888.db2.gz QSTJCQRWQROWSG-SECBINFHSA-N 0 2 309.395 0.751 20 0 DCADLN O=C(CCCF)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC001426673946 1003957506 /nfs/dbraw/zinc/95/75/06/1003957506.db2.gz GTLCQYOKQZEGHA-FJXKBIBVSA-N 0 2 318.242 0.324 20 0 DCADLN O=C(CCCF)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001426673946 1003957490 /nfs/dbraw/zinc/95/74/90/1003957490.db2.gz GTLCQYOKQZEGHA-FJXKBIBVSA-N 0 2 318.242 0.324 20 0 DCADLN CCC(=O)NC1(CCO)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001426854925 1004089859 /nfs/dbraw/zinc/08/98/59/1004089859.db2.gz GTRSZWPUXASCEF-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)NC1(CCO)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001426854925 1004089866 /nfs/dbraw/zinc/08/98/66/1004089866.db2.gz GTRSZWPUXASCEF-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001426857986 1004090841 /nfs/dbraw/zinc/09/08/41/1004090841.db2.gz RUPBGNNJLRPKTD-BBVRLYRLSA-N 0 2 323.250 0.330 20 0 DCADLN COCC(=O)NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001427130771 1004223481 /nfs/dbraw/zinc/22/34/81/1004223481.db2.gz HJLXNLPJTDTCHG-NQMVMOMDSA-N 0 2 314.279 0.744 20 0 DCADLN COCC(=O)NC[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C ZINC001427130771 1004223489 /nfs/dbraw/zinc/22/34/89/1004223489.db2.gz HJLXNLPJTDTCHG-NQMVMOMDSA-N 0 2 314.279 0.744 20 0 DCADLN CN(C(=O)CCC1CCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407069876 1004352797 /nfs/dbraw/zinc/35/27/97/1004352797.db2.gz ONSYTNYTYCCWMR-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001407120753 1004401008 /nfs/dbraw/zinc/40/10/08/1004401008.db2.gz MUWFYOOJQJCAJS-HTQZYQBOSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001407120753 1004401015 /nfs/dbraw/zinc/40/10/15/1004401015.db2.gz MUWFYOOJQJCAJS-HTQZYQBOSA-N 0 2 322.262 0.949 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1C(=O)c1cn[nH]n1 ZINC001429235101 1004473460 /nfs/dbraw/zinc/47/34/60/1004473460.db2.gz YVLYHSLPUZBORS-CHKWXVPMSA-N 0 2 323.250 0.424 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CCN1C(=O)c1cn[nH]n1 ZINC001429235101 1004473462 /nfs/dbraw/zinc/47/34/62/1004473462.db2.gz YVLYHSLPUZBORS-CHKWXVPMSA-N 0 2 323.250 0.424 20 0 DCADLN Cc1cc(CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001408189883 1005100692 /nfs/dbraw/zinc/10/06/92/1005100692.db2.gz CSLVILXQKYFQHT-XCBNKYQSSA-N 0 2 324.278 0.782 20 0 DCADLN Cc1cc(CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001408189883 1005100694 /nfs/dbraw/zinc/10/06/94/1005100694.db2.gz CSLVILXQKYFQHT-XCBNKYQSSA-N 0 2 324.278 0.782 20 0 DCADLN CCC(=O)NCc1nc(CNC(=O)c2[nH]ncc2F)cc(=O)[nH]1 ZINC001414272114 1005271749 /nfs/dbraw/zinc/27/17/49/1005271749.db2.gz YKISGIMADWVYHR-UHFFFAOYSA-N 0 2 322.300 0.001 20 0 DCADLN O=C(NCC[C@@H]1CCOC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414582752 1005364662 /nfs/dbraw/zinc/36/46/62/1005364662.db2.gz NAHIZBUMSZOSIO-GHMZBOCLSA-N 0 2 309.370 0.826 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC001415012203 1005441699 /nfs/dbraw/zinc/44/16/99/1005441699.db2.gz RACNOYUJDUSALG-RNJXMRFFSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ncccn1 ZINC001415012203 1005441701 /nfs/dbraw/zinc/44/17/01/1005441701.db2.gz RACNOYUJDUSALG-RNJXMRFFSA-N 0 2 320.246 0.754 20 0 DCADLN CC(C)(O)CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001415991989 1005643632 /nfs/dbraw/zinc/64/36/32/1005643632.db2.gz JHMNDZZRBLYCIG-MRVPVSSYSA-N 0 2 300.252 0.375 20 0 DCADLN CC(C)(O)CC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001415991989 1005643634 /nfs/dbraw/zinc/64/36/34/1005643634.db2.gz JHMNDZZRBLYCIG-MRVPVSSYSA-N 0 2 300.252 0.375 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416025280 1005651405 /nfs/dbraw/zinc/65/14/05/1005651405.db2.gz AZQAIMWKVQTZQC-HTQZYQBOSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416025280 1005651406 /nfs/dbraw/zinc/65/14/06/1005651406.db2.gz AZQAIMWKVQTZQC-HTQZYQBOSA-N 0 2 300.252 0.231 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001416092813 1005658575 /nfs/dbraw/zinc/65/85/75/1005658575.db2.gz ICUIAZFLIPKWSY-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H]2CCCO2)C1)[C@@H](F)C(F)(F)F ZINC001416092813 1005658576 /nfs/dbraw/zinc/65/85/76/1005658576.db2.gz ICUIAZFLIPKWSY-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cncn1C ZINC001416668815 1005721778 /nfs/dbraw/zinc/72/17/78/1005721778.db2.gz RPXTZJXQSRBYBN-SECBINFHSA-N 0 2 324.278 0.851 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cncn1C ZINC001416668815 1005721782 /nfs/dbraw/zinc/72/17/82/1005721782.db2.gz RPXTZJXQSRBYBN-SECBINFHSA-N 0 2 324.278 0.851 20 0 DCADLN Cc1ncc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)[nH]1 ZINC001417118593 1005787096 /nfs/dbraw/zinc/78/70/96/1005787096.db2.gz UFRHTACAZHRKAC-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1ncc(C(=O)NCC2(NC(=O)[C@H](F)C(F)(F)F)CC2)[nH]1 ZINC001417118593 1005787099 /nfs/dbraw/zinc/78/70/99/1005787099.db2.gz UFRHTACAZHRKAC-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN COC(=O)c1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)cn1 ZINC001417660260 1005862649 /nfs/dbraw/zinc/86/26/49/1005862649.db2.gz PLPOWFZSUMGLDY-SECBINFHSA-N 0 2 322.346 0.041 20 0 DCADLN CN1C[C@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)NC1=O ZINC001417792110 1005891960 /nfs/dbraw/zinc/89/19/60/1005891960.db2.gz SXSAIPGQBMVOLP-SECBINFHSA-N 0 2 302.294 0.139 20 0 DCADLN COC1(CC(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CCC1 ZINC001417846539 1005902616 /nfs/dbraw/zinc/90/26/16/1005902616.db2.gz YNRVCWDWUMXYKD-MNOVXSKESA-N 0 2 323.397 0.549 20 0 DCADLN COC1(CC(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CCC1 ZINC001417846539 1005902617 /nfs/dbraw/zinc/90/26/17/1005902617.db2.gz YNRVCWDWUMXYKD-MNOVXSKESA-N 0 2 323.397 0.549 20 0 DCADLN CS(=O)(=O)Cc1nc(CNCc2ccnn2CC2CC2)n[nH]1 ZINC001474279909 1017009838 /nfs/dbraw/zinc/00/98/38/1017009838.db2.gz BNPQWSDOZYCDRZ-UHFFFAOYSA-N 0 2 324.410 0.246 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC2(C1)CNC(=O)O2 ZINC001451859478 1006457042 /nfs/dbraw/zinc/45/70/42/1006457042.db2.gz OWBOFXZPZRTDED-UHFFFAOYSA-N 0 2 314.301 0.512 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cncs1 ZINC001439367058 1006763113 /nfs/dbraw/zinc/76/31/13/1006763113.db2.gz DQSKPUHXFNKYGJ-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cncs1 ZINC001439367058 1006763128 /nfs/dbraw/zinc/76/31/28/1006763128.db2.gz DQSKPUHXFNKYGJ-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN O=C(CCc1ccco1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001439517268 1006945406 /nfs/dbraw/zinc/94/54/06/1006945406.db2.gz UKISNEFGHMNRND-JTQLQIEISA-N 0 2 305.338 0.427 20 0 DCADLN CC(C)Cn1nccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437465897 1006995958 /nfs/dbraw/zinc/99/59/58/1006995958.db2.gz HGYUNMIACYCNQX-UHFFFAOYSA-N 0 2 321.385 0.225 20 0 DCADLN CC(C)Cn1nccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437465897 1006995972 /nfs/dbraw/zinc/99/59/72/1006995972.db2.gz HGYUNMIACYCNQX-UHFFFAOYSA-N 0 2 321.385 0.225 20 0 DCADLN COc1ccc(C)cc1C[N@H+](C)CCNC(=O)c1cn[nH]n1 ZINC001437481198 1007020193 /nfs/dbraw/zinc/02/01/93/1007020193.db2.gz NUKGFUGXEVUJEY-UHFFFAOYSA-N 0 2 303.366 0.984 20 0 DCADLN CC[C@@H](C)[C@@H](OC)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001439728452 1007158708 /nfs/dbraw/zinc/15/87/08/1007158708.db2.gz KSUNVDYIQIIMMM-BXKDBHETSA-N 0 2 311.386 0.214 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc2c([nH]1)CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001437646426 1007205270 /nfs/dbraw/zinc/20/52/70/1007205270.db2.gz PTGPAOSWPCCGIZ-UHFFFAOYSA-N 0 2 318.381 0.579 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc2c([nH]1)CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001437646426 1007205278 /nfs/dbraw/zinc/20/52/78/1007205278.db2.gz PTGPAOSWPCCGIZ-UHFFFAOYSA-N 0 2 318.381 0.579 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccco1 ZINC001437806106 1007420005 /nfs/dbraw/zinc/42/00/05/1007420005.db2.gz FPBIXCPHKQGDGB-SNVBAGLBSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccco1 ZINC001437806106 1007420013 /nfs/dbraw/zinc/42/00/13/1007420013.db2.gz FPBIXCPHKQGDGB-SNVBAGLBSA-N 0 2 319.365 0.988 20 0 DCADLN O=C(CCn1cccc1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438026027 1007585084 /nfs/dbraw/zinc/58/50/84/1007585084.db2.gz IBKGSLTVEVRGOZ-GFCCVEGCSA-N 0 2 318.381 0.483 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC(C)(F)F ZINC001440242487 1007603931 /nfs/dbraw/zinc/60/39/31/1007603931.db2.gz MILSLGAVCLQVJB-MRVPVSSYSA-N 0 2 303.313 0.588 20 0 DCADLN Cc1cnc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001438052007 1007605064 /nfs/dbraw/zinc/60/50/64/1007605064.db2.gz KKIFRAQYDQOAOO-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN Cc1cnc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001438052007 1007605067 /nfs/dbraw/zinc/60/50/67/1007605067.db2.gz KKIFRAQYDQOAOO-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN Cc1oncc1C[NH2+]C1(CNC(=O)CCc2cnn[nH]2)CCC1 ZINC001440343616 1007684815 /nfs/dbraw/zinc/68/48/15/1007684815.db2.gz XNMLNNLABMVVLD-UHFFFAOYSA-N 0 2 318.381 0.862 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)Nc2nnc(CC(=O)[O-])s2)c1 ZINC001574220707 1163668607 /nfs/dbraw/zinc/66/86/07/1163668607.db2.gz GDZYPIAWAUTIQV-UHFFFAOYSA-N 0 2 310.339 0.263 20 0 DCADLN CCOCC1(C(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001438230921 1007722966 /nfs/dbraw/zinc/72/29/66/1007722966.db2.gz GCZSKAVEYOEXLL-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CCOCC1(C(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001438230921 1007722973 /nfs/dbraw/zinc/72/29/73/1007722973.db2.gz GCZSKAVEYOEXLL-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CO[C@@H](C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[N@@H+](C)C(C)C)CO2 ZINC001440515585 1007859204 /nfs/dbraw/zinc/85/92/04/1007859204.db2.gz JDMQNGMRMOBNDX-KBPBESRZSA-N 0 2 313.442 0.321 20 0 DCADLN COCC1(CC(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001440915537 1008111762 /nfs/dbraw/zinc/11/17/62/1008111762.db2.gz HZLHEISIKYLNIJ-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COCC1(CC(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001440915537 1008111769 /nfs/dbraw/zinc/11/17/69/1008111769.db2.gz HZLHEISIKYLNIJ-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@@H]2CCOC2)C1 ZINC001453680644 1008662338 /nfs/dbraw/zinc/66/23/38/1008662338.db2.gz USKKLYWJXUPNRY-APPZFPTMSA-N 0 2 312.263 0.593 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)[C@@H]2CCOC2)C1 ZINC001453680644 1008662345 /nfs/dbraw/zinc/66/23/45/1008662345.db2.gz USKKLYWJXUPNRY-APPZFPTMSA-N 0 2 312.263 0.593 20 0 DCADLN CCC1(C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001433296917 1008679956 /nfs/dbraw/zinc/67/99/56/1008679956.db2.gz CTMLMAUBCPTQTL-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001433296917 1008679962 /nfs/dbraw/zinc/67/99/62/1008679962.db2.gz CTMLMAUBCPTQTL-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC1CCN(C(=O)c2cnon2)CC1)C(F)C(F)(F)F ZINC001453772335 1008711600 /nfs/dbraw/zinc/71/16/00/1008711600.db2.gz GQYUUHPMXJZBQI-QMMMGPOBSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC1CCN(C(=O)c2cnon2)CC1)[C@H](F)C(F)(F)F ZINC001453772335 1008711616 /nfs/dbraw/zinc/71/16/16/1008711616.db2.gz GQYUUHPMXJZBQI-QMMMGPOBSA-N 0 2 324.234 0.691 20 0 DCADLN Cc1noc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001433424553 1008772234 /nfs/dbraw/zinc/77/22/34/1008772234.db2.gz VYJPGWOFJOJGST-VIFPVBQESA-N 0 2 310.251 0.923 20 0 DCADLN Cc1noc(CN2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001433424553 1008772254 /nfs/dbraw/zinc/77/22/54/1008772254.db2.gz VYJPGWOFJOJGST-VIFPVBQESA-N 0 2 310.251 0.923 20 0 DCADLN COCCN(CCNC(=O)c1ccoc1C)Cc1n[nH]c(=O)[nH]1 ZINC001442166668 1009042438 /nfs/dbraw/zinc/04/24/38/1009042438.db2.gz FCZGQPWOPOAIRW-UHFFFAOYSA-N 0 2 323.353 0.290 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)NCC1([NH2+]Cc2cnon2)CCCC1 ZINC001421811014 1009123881 /nfs/dbraw/zinc/12/38/81/1009123881.db2.gz APUGXPOHPYXCMC-CYBMUJFWSA-N 0 2 307.398 0.682 20 0 DCADLN CCOC(=O)c1noc(CN2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001421850766 1009163570 /nfs/dbraw/zinc/16/35/70/1009163570.db2.gz MHKCTLYNRSBDJX-QMMMGPOBSA-N 0 2 322.325 0.450 20 0 DCADLN Cc1oc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1CO ZINC001433941257 1009375640 /nfs/dbraw/zinc/37/56/40/1009375640.db2.gz CRGAGQOSGNPRKI-SECBINFHSA-N 0 2 306.322 0.924 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1Cc2ccc(F)cc21)Cc1n[nH]c(=O)[n-]1 ZINC001442510519 1009430608 /nfs/dbraw/zinc/43/06/08/1009430608.db2.gz VOHPMZTZNUEXDN-GFCCVEGCSA-N 0 2 319.340 0.537 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1Cc2ccc(F)cc21)Cc1n[nH]c(=O)[n-]1 ZINC001442510519 1009430614 /nfs/dbraw/zinc/43/06/14/1009430614.db2.gz VOHPMZTZNUEXDN-GFCCVEGCSA-N 0 2 319.340 0.537 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)O1 ZINC001442674394 1009606309 /nfs/dbraw/zinc/60/63/09/1009606309.db2.gz FTIHFYZARPMIDX-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)O1 ZINC001442674394 1009606316 /nfs/dbraw/zinc/60/63/16/1009606316.db2.gz FTIHFYZARPMIDX-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC[C@@H](F)C1 ZINC001434154347 1009616378 /nfs/dbraw/zinc/61/63/78/1009616378.db2.gz OXHRFXSYOSEGCQ-SNVBAGLBSA-N 0 2 306.297 0.840 20 0 DCADLN Cc1c[nH]nc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455281371 1009629687 /nfs/dbraw/zinc/62/96/87/1009629687.db2.gz JZYWSOKZSNDQEU-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1c[nH]nc1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001455281371 1009629695 /nfs/dbraw/zinc/62/96/95/1009629695.db2.gz JZYWSOKZSNDQEU-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN CCO[C@H](C)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455283120 1009631919 /nfs/dbraw/zinc/63/19/19/1009631919.db2.gz VGUOMTFQTZIGFS-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CCO[C@H](C)C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001455283120 1009631934 /nfs/dbraw/zinc/63/19/34/1009631934.db2.gz VGUOMTFQTZIGFS-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@]1(C)CCOC1 ZINC001455283821 1009632529 /nfs/dbraw/zinc/63/25/29/1009632529.db2.gz YFIWCFYPBLZFIX-LDYMZIIASA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@]1(C)CCOC1 ZINC001455283821 1009632535 /nfs/dbraw/zinc/63/25/35/1009632535.db2.gz YFIWCFYPBLZFIX-LDYMZIIASA-N 0 2 314.279 0.888 20 0 DCADLN CCc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001422217222 1009660303 /nfs/dbraw/zinc/66/03/03/1009660303.db2.gz RBZULPORIMJBFY-UHFFFAOYSA-N 0 2 321.385 0.010 20 0 DCADLN CCc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001422217222 1009660313 /nfs/dbraw/zinc/66/03/13/1009660313.db2.gz RBZULPORIMJBFY-UHFFFAOYSA-N 0 2 321.385 0.010 20 0 DCADLN CC[C@@H](C[C@H](C)O)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434297688 1009733606 /nfs/dbraw/zinc/73/36/06/1009733606.db2.gz QPDXZOYGMDXFRG-ONGXEEELSA-N 0 2 320.349 0.546 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2ccc(O)c(CO)c2)S1 ZINC001434327203 1009771140 /nfs/dbraw/zinc/77/11/40/1009771140.db2.gz JUXQALLBSRTWFY-NSHDSACASA-N 0 2 323.374 0.100 20 0 DCADLN Cc1cc(C[N@H+]2CCC[C@@H]2CNC(=O)Cc2nnc[nH]2)ncn1 ZINC001442817470 1009771547 /nfs/dbraw/zinc/77/15/47/1009771547.db2.gz LQAXCQCSQKQANF-CYBMUJFWSA-N 0 2 315.381 0.226 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnccn1 ZINC001455588357 1009841042 /nfs/dbraw/zinc/84/10/42/1009841042.db2.gz CFFYWGHIXROTKU-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnccn1 ZINC001455588357 1009841058 /nfs/dbraw/zinc/84/10/58/1009841058.db2.gz CFFYWGHIXROTKU-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2CCSCC2)[nH]1 ZINC001434383421 1009871580 /nfs/dbraw/zinc/87/15/80/1009871580.db2.gz DWIJNICBFPOHBT-UHFFFAOYSA-N 0 2 318.424 0.109 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1CCS(=O)(=O)C1)c1nn(C)cc1O ZINC001434407983 1009916281 /nfs/dbraw/zinc/91/62/81/1009916281.db2.gz RZANDUDNTQTEMX-IUCAKERBSA-N 0 2 301.368 0.128 20 0 DCADLN Cc1cncc(S(=O)(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001434573313 1010107921 /nfs/dbraw/zinc/10/79/21/1010107921.db2.gz RXGMFXBRSYLHJH-JTQLQIEISA-N 0 2 323.378 0.782 20 0 DCADLN Cn1nnc(CN2CCC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001443406870 1010389855 /nfs/dbraw/zinc/38/98/55/1010389855.db2.gz GIEJQYHRLWEAHO-VXNVDRBHSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CCC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001443406870 1010389868 /nfs/dbraw/zinc/38/98/68/1010389868.db2.gz GIEJQYHRLWEAHO-VXNVDRBHSA-N 0 2 324.282 0.191 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001443438455 1010405839 /nfs/dbraw/zinc/40/58/39/1010405839.db2.gz PKQVAXMJZORAHU-CMPLNLGQSA-N 0 2 309.370 0.016 20 0 DCADLN CCO[C@H](C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001422736486 1010546822 /nfs/dbraw/zinc/54/68/22/1010546822.db2.gz WQEOUIIOROFDSB-RNCFNFMXSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001443848380 1010703095 /nfs/dbraw/zinc/70/30/95/1010703095.db2.gz PZERMMCUXJDNDW-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001443848380 1010703100 /nfs/dbraw/zinc/70/31/00/1010703100.db2.gz PZERMMCUXJDNDW-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001444203921 1010904574 /nfs/dbraw/zinc/90/45/74/1010904574.db2.gz ATKAOPOMCWYAFT-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccncc1 ZINC001444203921 1010904577 /nfs/dbraw/zinc/90/45/77/1010904577.db2.gz ATKAOPOMCWYAFT-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN Cc1nnc(CN[C@@H](CO)CNC(=O)c2ccc(C)cc2)o1 ZINC001423282409 1011045295 /nfs/dbraw/zinc/04/52/95/1011045295.db2.gz AZHZGIUDVYHLNP-CYBMUJFWSA-N 0 2 304.350 0.567 20 0 DCADLN Cc1nc([C@H](C)N[C@H](CO)CNC(=O)Cc2ccco2)no1 ZINC001423282255 1011045740 /nfs/dbraw/zinc/04/57/40/1011045740.db2.gz CSGHQYKTQXHYSG-ONGXEEELSA-N 0 2 308.338 0.341 20 0 DCADLN Cc1nnc(CN[C@H](CO)CNC(=O)c2ccc(C)cc2)o1 ZINC001423282416 1011045762 /nfs/dbraw/zinc/04/57/62/1011045762.db2.gz AZHZGIUDVYHLNP-ZDUSSCGKSA-N 0 2 304.350 0.567 20 0 DCADLN O=C(Cc1ncc[nH]1)NCC1(NCc2nc(C3CC3)no2)CC1 ZINC001423283205 1011045813 /nfs/dbraw/zinc/04/58/13/1011045813.db2.gz XFTIULBFWQSJIU-UHFFFAOYSA-N 0 2 316.365 0.651 20 0 DCADLN CCOC(=O)c1cn(C)cc1NC(=O)CC1SC(=N)NC1=O ZINC001456397724 1011240029 /nfs/dbraw/zinc/24/00/29/1011240029.db2.gz OESBFJGXWJVYMU-VIFPVBQESA-N 0 2 324.362 0.697 20 0 DCADLN CCOCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001456613014 1011364633 /nfs/dbraw/zinc/36/46/33/1011364633.db2.gz AWJXFXSWIAQNON-NRPADANISA-N 0 2 312.263 0.735 20 0 DCADLN CCOCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)C(F)(F)F ZINC001456613014 1011364642 /nfs/dbraw/zinc/36/46/42/1011364642.db2.gz AWJXFXSWIAQNON-NRPADANISA-N 0 2 312.263 0.735 20 0 DCADLN C[C@@H](CNC(=O)c1n[nH]cc1F)NC(=O)C(F)C(F)(F)F ZINC001431837003 1011694964 /nfs/dbraw/zinc/69/49/64/1011694964.db2.gz YEBVGFYGPASQBB-MHTLYPKNSA-N 0 2 314.214 0.684 20 0 DCADLN C[C@@H](CNC(=O)c1n[nH]cc1F)NC(=O)[C@@H](F)C(F)(F)F ZINC001431837003 1011694975 /nfs/dbraw/zinc/69/49/75/1011694975.db2.gz YEBVGFYGPASQBB-MHTLYPKNSA-N 0 2 314.214 0.684 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)NC[C@@H]2CCCO2)n[nH]1 ZINC001553342476 1011903292 /nfs/dbraw/zinc/90/32/92/1011903292.db2.gz GTGUFWXXSSXNJH-YNEHKIRRSA-N 0 2 323.397 0.806 20 0 DCADLN CO[C@@H](C)C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001432192663 1012114208 /nfs/dbraw/zinc/11/42/08/1012114208.db2.gz IFCSMCGHGYDGKG-NKWVEPMBSA-N 0 2 300.252 0.687 20 0 DCADLN CO[C@@H](C)C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001432192663 1012114220 /nfs/dbraw/zinc/11/42/20/1012114220.db2.gz IFCSMCGHGYDGKG-NKWVEPMBSA-N 0 2 300.252 0.687 20 0 DCADLN Cc1cnc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)cn1 ZINC001458425566 1012175483 /nfs/dbraw/zinc/17/54/83/1012175483.db2.gz RVZHLXVRTLFRDH-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cnc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001458425566 1012175486 /nfs/dbraw/zinc/17/54/86/1012175486.db2.gz RVZHLXVRTLFRDH-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN C[Si](C)(CNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccc1 ZINC001556144619 1012186455 /nfs/dbraw/zinc/18/64/55/1012186455.db2.gz SXTBHAOZKYWAOM-NSHDSACASA-N 0 2 321.478 0.814 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2cc(Cl)nc(=O)[nH]2)[nH]1 ZINC001458652067 1012263245 /nfs/dbraw/zinc/26/32/45/1012263245.db2.gz AUCRCTYRQUCZLH-UHFFFAOYSA-N 0 2 318.746 0.110 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001432426106 1012472533 /nfs/dbraw/zinc/47/25/33/1012472533.db2.gz AZISMUYDTIUNLH-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432426106 1012472542 /nfs/dbraw/zinc/47/25/42/1012472542.db2.gz AZISMUYDTIUNLH-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N[C@@H]2CC=CCC2)[nH]1 ZINC001564103241 1012519569 /nfs/dbraw/zinc/51/95/69/1012519569.db2.gz OEBUWTRHMBSEIR-SECBINFHSA-N 0 2 313.383 0.257 20 0 DCADLN C[C@@]1(CCCC(=O)Nc2ccc3nn[nH]c3c2)NC(=O)NC1=O ZINC001545240356 1012559586 /nfs/dbraw/zinc/55/95/86/1012559586.db2.gz SKMPFLUGIMCTNC-AWEZNQCLSA-N 0 2 316.321 0.665 20 0 DCADLN O=C(Cc1cccc(F)c1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446131975 1012624914 /nfs/dbraw/zinc/62/49/14/1012624914.db2.gz QFJXKTWYSGEYJJ-GFCCVEGCSA-N 0 2 319.340 0.583 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)CNC(=O)C(F)C(F)(F)F ZINC001432934837 1013025100 /nfs/dbraw/zinc/02/51/00/1013025100.db2.gz PWFQCDRXFYRASC-IONNQARKSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001432934837 1013025116 /nfs/dbraw/zinc/02/51/16/1013025116.db2.gz PWFQCDRXFYRASC-IONNQARKSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506595598 1017388950 /nfs/dbraw/zinc/38/89/50/1017388950.db2.gz UFHCZAWIHIXSEV-VHSXEESVSA-N 0 2 323.397 0.262 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)CC(F)(F)F ZINC001506727746 1017482232 /nfs/dbraw/zinc/48/22/32/1017482232.db2.gz ICUPULHWMQXDIV-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)CC(F)(F)F ZINC001506727746 1017482241 /nfs/dbraw/zinc/48/22/41/1017482241.db2.gz ICUPULHWMQXDIV-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)CC(F)(F)F ZINC001506727746 1017482246 /nfs/dbraw/zinc/48/22/46/1017482246.db2.gz ICUPULHWMQXDIV-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001479328451 1017499046 /nfs/dbraw/zinc/49/90/46/1017499046.db2.gz ZKVLENWGIOYWRJ-IUCAKERBSA-N 0 2 315.399 0.337 20 0 DCADLN C[C@@H](CNC(=O)c1cnns1)NC(=O)C(F)C(F)(F)F ZINC001494617049 1017867010 /nfs/dbraw/zinc/86/70/10/1017867010.db2.gz JWGGBPOGLUJBIE-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)c1cnns1)NC(=O)[C@H](F)C(F)(F)F ZINC001494617049 1017867029 /nfs/dbraw/zinc/86/70/29/1017867029.db2.gz JWGGBPOGLUJBIE-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001499673798 1018034243 /nfs/dbraw/zinc/03/42/43/1018034243.db2.gz TZENXLYUUXOYRY-CBAPKCEASA-N 0 2 323.294 0.919 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001499673798 1018034256 /nfs/dbraw/zinc/03/42/56/1018034256.db2.gz TZENXLYUUXOYRY-CBAPKCEASA-N 0 2 323.294 0.919 20 0 DCADLN O=C(NCC1(O)C[NH+](CC2CCC3(CC3)CC2)C1)c1nnc[nH]1 ZINC001500948863 1018750528 /nfs/dbraw/zinc/75/05/28/1018750528.db2.gz AQXLEZMSWKKICC-UHFFFAOYSA-N 0 2 319.409 0.552 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001498242677 1018809919 /nfs/dbraw/zinc/80/99/19/1018809919.db2.gz NSVWLDAGXKUZIT-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001498242677 1018809938 /nfs/dbraw/zinc/80/99/38/1018809938.db2.gz NSVWLDAGXKUZIT-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN CC[N@H+](CCNC(=O)c1conc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001493020395 1019012254 /nfs/dbraw/zinc/01/22/54/1019012254.db2.gz FCNGLJSNRIKOAU-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN CC[N@@H+](CCNC(=O)c1conc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001493020395 1019012271 /nfs/dbraw/zinc/01/22/71/1019012271.db2.gz FCNGLJSNRIKOAU-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN C[C@H](C[N@H+](C)C[C@@H]1CCc2ncnn21)NC(=O)c1[nH]ncc1F ZINC001498374663 1019020149 /nfs/dbraw/zinc/02/01/49/1019020149.db2.gz ABVCSVLWUDDHEE-ZJUUUORDSA-N 0 2 321.360 0.378 20 0 DCADLN Cc1noc(C)c1CC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498447908 1019127669 /nfs/dbraw/zinc/12/76/69/1019127669.db2.gz GEXDYSLDCQQPBQ-MRVPVSSYSA-N 0 2 322.369 0.294 20 0 DCADLN Cc1noc(C)c1CC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498447908 1019127674 /nfs/dbraw/zinc/12/76/74/1019127674.db2.gz GEXDYSLDCQQPBQ-MRVPVSSYSA-N 0 2 322.369 0.294 20 0 DCADLN Cc1ccccc1CC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498448145 1019128000 /nfs/dbraw/zinc/12/80/00/1019128000.db2.gz JWNDEWMHYWYLIK-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1ccccc1CC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498448145 1019128002 /nfs/dbraw/zinc/12/80/02/1019128002.db2.gz JWNDEWMHYWYLIK-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1nnc(C[NH2+]C[C@H](CC(C)C)NC(=O)[C@@H]2CCC[N@H+]2C)[nH]1 ZINC001496262416 1019200421 /nfs/dbraw/zinc/20/04/21/1019200421.db2.gz UERAOEFJQPHURB-KBPBESRZSA-N 0 2 322.457 0.828 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cc(F)c[nH]1 ZINC001493390550 1019392578 /nfs/dbraw/zinc/39/25/78/1019392578.db2.gz OVRWPUFMDFSJGL-UHFFFAOYSA-N 0 2 308.317 0.372 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cc(F)c[nH]1 ZINC001493390550 1019392586 /nfs/dbraw/zinc/39/25/86/1019392586.db2.gz OVRWPUFMDFSJGL-UHFFFAOYSA-N 0 2 308.317 0.372 20 0 DCADLN C[C@@]1(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C=CCC1 ZINC001493400669 1019399151 /nfs/dbraw/zinc/39/91/51/1019399151.db2.gz CRNLZJCOBUCUIN-OAHLLOKOSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@]1(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C=CCC1 ZINC001493400669 1019399163 /nfs/dbraw/zinc/39/91/63/1019399163.db2.gz CRNLZJCOBUCUIN-OAHLLOKOSA-N 0 2 305.382 0.947 20 0 DCADLN CCCN(C(=O)[C@H](C)OC)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001493940185 1019833021 /nfs/dbraw/zinc/83/30/21/1019833021.db2.gz QTDBYZGWTKRCPP-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CN(CC(=O)Nc1nc(CC(=O)[O-])cs1)Cc1c[nH+]c[nH]1 ZINC001606084340 1170072671 /nfs/dbraw/zinc/07/26/71/1170072671.db2.gz GDDAVJQDARFNHX-UHFFFAOYSA-N 0 2 309.351 0.564 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2nccn2C(F)F)C[C@H]1C(=O)[O-] ZINC001606431056 1170198213 /nfs/dbraw/zinc/19/82/13/1170198213.db2.gz HEZHHBZDBQSXCM-HTQZYQBOSA-N 0 2 303.265 0.584 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n(C)n1 ZINC000095497381 185374374 /nfs/dbraw/zinc/37/43/74/185374374.db2.gz NPBLUGCHSYKDPQ-LLVKDONJSA-N 0 2 305.338 0.692 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n(C)n1 ZINC000095497381 185374375 /nfs/dbraw/zinc/37/43/75/185374375.db2.gz NPBLUGCHSYKDPQ-LLVKDONJSA-N 0 2 305.338 0.692 20 0 DCADLN CCNc1nc(C(=O)NN2C(=O)N[C@](C)(CC)C2=O)cs1 ZINC000278736450 214365660 /nfs/dbraw/zinc/36/56/60/214365660.db2.gz LKSKEZDBKPWXEZ-GFCCVEGCSA-N 0 2 311.367 0.940 20 0 DCADLN O=C(NCCCOCCO)C1=NN(c2ccccc2)CC1=O ZINC000181356973 199284982 /nfs/dbraw/zinc/28/49/82/199284982.db2.gz PFPSOTVLXQDEFW-UHFFFAOYSA-N 0 2 305.334 0.707 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cc(C)n(C)n2)cc1 ZINC000161538145 529944279 /nfs/dbraw/zinc/94/42/79/529944279.db2.gz TXQAGERHUVNCSO-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN C[C@]1(C(N)=O)CCN(C(=O)CSc2n[nH]c(=S)s2)C1 ZINC000279894640 215215676 /nfs/dbraw/zinc/21/56/76/215215676.db2.gz CBICRAQDMZSBPQ-JTQLQIEISA-N 0 2 318.449 0.643 20 0 DCADLN COCCOc1cc(C(=O)NCCSCCCO)ccn1 ZINC000271939179 186410244 /nfs/dbraw/zinc/41/02/44/186410244.db2.gz FAHGQDYKUFMIQF-UHFFFAOYSA-N 0 2 314.407 0.952 20 0 DCADLN Cc1cc(NC(=O)C[N@@H+](C)CCCN2CC[NH+](C)CC2)on1 ZINC000174716887 198374474 /nfs/dbraw/zinc/37/44/74/198374474.db2.gz XTPCCBLYHWXVSB-UHFFFAOYSA-N 0 2 309.414 0.491 20 0 DCADLN CC(C)N1C(=O)C[C@H](NC(=O)c2cc(F)c(O)c(F)c2)C1=O ZINC000180119345 199119637 /nfs/dbraw/zinc/11/96/37/199119637.db2.gz PDJJDNLICFECAB-JTQLQIEISA-N 0 2 312.272 0.936 20 0 DCADLN C[C@H]1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C[C@H](CO)O1 ZINC000187913306 200175878 /nfs/dbraw/zinc/17/58/78/200175878.db2.gz JBWCQDNDXMASBL-WCQYABFASA-N 0 2 317.345 0.800 20 0 DCADLN O=C(N[C@@H]1CCN(c2ccc(Cl)cc2)C1=O)c1nc(=O)[nH][nH]1 ZINC000155750609 291221804 /nfs/dbraw/zinc/22/18/04/291221804.db2.gz QOWHNQWNKVZFGR-SECBINFHSA-N 0 2 321.724 0.287 20 0 DCADLN CCC[C@@](C)(NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000566174008 291276980 /nfs/dbraw/zinc/27/69/80/291276980.db2.gz NGEBVWURVRMGAP-CYBMUJFWSA-N 0 2 322.427 0.272 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@H+](CCCc2c[nH+]cn2C)C1 ZINC000566416048 291299006 /nfs/dbraw/zinc/29/90/06/291299006.db2.gz IBPPLXWSSHEXFS-ZDUSSCGKSA-N 0 2 300.428 0.366 20 0 DCADLN Cc1[nH+]c2ccccc2n1CCNC(=O)C(=O)NCCC(=O)[O-] ZINC000420540276 240044575 /nfs/dbraw/zinc/04/45/75/240044575.db2.gz VUCYSIMDZFVISL-UHFFFAOYSA-N 0 2 318.333 0.052 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCN(C)C2=O)c1 ZINC000424687889 240260868 /nfs/dbraw/zinc/26/08/68/240260868.db2.gz RFADVKDJCZRISJ-XUSGNXJCSA-N 0 2 310.375 0.150 20 0 DCADLN CS(=O)(=O)c1ccc(NC(=O)c2c(=O)[nH][nH]c2C2CC2)cc1 ZINC000567623381 291365579 /nfs/dbraw/zinc/36/55/79/291365579.db2.gz ZHSAFFPRLBTSMA-LLVKDONJSA-N 0 2 321.358 0.541 20 0 DCADLN O=C(OC[C@@H]1CNC(=O)O1)c1nn(-c2ccccc2F)cc1O ZINC000496331862 241242173 /nfs/dbraw/zinc/24/21/73/241242173.db2.gz WYUYHEGEZSYQFN-QMMMGPOBSA-N 0 2 321.264 0.982 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000567844516 291383883 /nfs/dbraw/zinc/38/38/83/291383883.db2.gz PRDWEQCTVRKYRV-GXTWGEPZSA-N 0 2 306.362 0.897 20 0 DCADLN CCc1c(NC(=O)NCc2n[nH]c(=O)[nH]2)cnn1CC(C)C ZINC000127351286 545776354 /nfs/dbraw/zinc/77/63/54/545776354.db2.gz NYRPWORWKVORCP-UHFFFAOYSA-N 0 2 307.358 0.835 20 0 DCADLN COC(=O)[C@@H]1COCCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC000273804108 291489429 /nfs/dbraw/zinc/48/94/29/291489429.db2.gz BOPSXMFTZWMVLL-JTQLQIEISA-N 0 2 301.245 0.684 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)N1CCCCCC1 ZINC000021814282 182292507 /nfs/dbraw/zinc/29/25/07/182292507.db2.gz ZXBOIQCLOXAUKW-LLVKDONJSA-N 0 2 316.383 0.116 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)N1CCCCCC1 ZINC000021814282 182292511 /nfs/dbraw/zinc/29/25/11/182292511.db2.gz ZXBOIQCLOXAUKW-LLVKDONJSA-N 0 2 316.383 0.116 20 0 DCADLN Nc1cc(C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc(Cl)n1 ZINC000273723862 211006930 /nfs/dbraw/zinc/00/69/30/211006930.db2.gz OTYLPQREKAJCMY-SNVBAGLBSA-N 0 2 323.784 0.993 20 0 DCADLN NC(=O)c1cc(Cl)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC000273724035 211006266 /nfs/dbraw/zinc/00/62/66/211006266.db2.gz HAKSFKBUWGEKTN-QMMMGPOBSA-N 0 2 324.772 0.269 20 0 DCADLN COC[C@@H](C)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000338992402 253019183 /nfs/dbraw/zinc/01/91/83/253019183.db2.gz MFIYDYFDEVMLRS-RKDXNWHRSA-N 0 2 304.372 0.054 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nncs2)cn1C ZINC000339410530 253081550 /nfs/dbraw/zinc/08/15/50/253081550.db2.gz VDXQUXIGZNBDHQ-UHFFFAOYSA-N 0 2 302.337 0.464 20 0 DCADLN COC[C@H](CCO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000339448772 253086441 /nfs/dbraw/zinc/08/64/41/253086441.db2.gz QYWLJBACWDCQJF-NSHDSACASA-N 0 2 305.334 0.705 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1ccc(OC)c(C(N)=O)c1 ZINC000340034654 253188541 /nfs/dbraw/zinc/18/85/41/253188541.db2.gz XYUHYYKFRROBKZ-UHFFFAOYSA-N 0 2 324.362 0.811 20 0 DCADLN CN(C)c1ccccc1CN(C)C(=O)Cn1ccc(=O)[nH]c1=O ZINC000288648902 220321011 /nfs/dbraw/zinc/32/10/11/220321011.db2.gz UXBBGWRJDUXVLZ-UHFFFAOYSA-N 0 2 316.361 0.674 20 0 DCADLN C[C@@H]1CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@@H]1C ZINC000173005660 296383109 /nfs/dbraw/zinc/38/31/09/296383109.db2.gz LPZDLMMACUYYIG-RKDXNWHRSA-N 0 2 302.334 0.872 20 0 DCADLN CC(C)n1cc(S(=O)(=O)Nc2ccnc3ncnn32)cn1 ZINC000347322420 254148172 /nfs/dbraw/zinc/14/81/72/254148172.db2.gz KTLZLGUVDKYQAM-UHFFFAOYSA-N 0 2 307.339 0.703 20 0 DCADLN O=C1CCC(=O)N1c1ccccc1NS(=O)(=O)c1cn[nH]c1 ZINC000347412162 254155348 /nfs/dbraw/zinc/15/53/48/254155348.db2.gz JFCZIHQDTPENGX-UHFFFAOYSA-N 0 2 320.330 0.864 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NC[C@H]2CCOC2)s[nH]1 ZINC000347620999 254172862 /nfs/dbraw/zinc/17/28/62/254172862.db2.gz YOPAFAXURSICJE-MRVPVSSYSA-N 0 2 312.395 0.349 20 0 DCADLN Cc1nsc(Nc2ccn(CC(=O)N3CCOCC3)n2)n1 ZINC000271367611 208852439 /nfs/dbraw/zinc/85/24/39/208852439.db2.gz VOTGGGVIBJIGHQ-UHFFFAOYSA-N 0 2 308.367 0.645 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2noc(C)n2)cc1C(N)=O ZINC000351507771 254341135 /nfs/dbraw/zinc/34/11/35/254341135.db2.gz QIPMGSVBGGCUEK-UHFFFAOYSA-N 0 2 312.307 0.286 20 0 DCADLN CC[C@H](C)NC(=O)CCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000351868556 254376187 /nfs/dbraw/zinc/37/61/87/254376187.db2.gz JXIBSTUDSCWUHA-ZETCQYMHSA-N 0 2 301.372 0.023 20 0 DCADLN COC(=O)[C@@H]([C@@H](C)O)N(Cc1n[nH]c(=O)[nH]1)Cc1ccccc1 ZINC000351980237 254386852 /nfs/dbraw/zinc/38/68/52/254386852.db2.gz BAAQXVAYBWPGSG-ZWNOBZJWSA-N 0 2 320.349 0.435 20 0 DCADLN NC(C(=O)Nc1nc(CN2CCOCC2)cs1)C(F)(F)F ZINC000353441677 254456502 /nfs/dbraw/zinc/45/65/02/254456502.db2.gz AWMCZVNZDXNWEB-QMMMGPOBSA-N 0 2 324.328 0.803 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1cnn(CC(F)F)c1 ZINC000284944904 131124569 /nfs/dbraw/zinc/12/45/69/131124569.db2.gz BOHZCANRJYFWLL-UHFFFAOYSA-N 0 2 305.310 0.991 20 0 DCADLN CN(C)C(=O)Cn1ccc(NS(=O)(=O)c2ccsc2)n1 ZINC000285228493 131149582 /nfs/dbraw/zinc/14/95/82/131149582.db2.gz VGJOEHLMRBCRRN-UHFFFAOYSA-N 0 2 314.392 0.834 20 0 DCADLN CCC[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000089472378 395712120 /nfs/dbraw/zinc/71/21/20/395712120.db2.gz XXMLQZHGALQHHP-JTQLQIEISA-N 0 2 318.333 0.665 20 0 DCADLN Cc1ccc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)s1 ZINC000091604442 395726668 /nfs/dbraw/zinc/72/66/68/395726668.db2.gz DTWWNAJESTYGNM-UHFFFAOYSA-N 0 2 321.406 0.862 20 0 DCADLN CCc1nsc(N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)n1 ZINC000091588232 395726707 /nfs/dbraw/zinc/72/67/07/395726707.db2.gz YRENTMGRBMWUPA-UHFFFAOYSA-N 0 2 309.399 0.224 20 0 DCADLN CC[C@@H]1CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C[C@@H]1O ZINC000270551257 395782561 /nfs/dbraw/zinc/78/25/61/395782561.db2.gz HMKJNXZFGRAYRN-SCZZXKLOSA-N 0 2 318.333 0.587 20 0 DCADLN Cc1ccccc1S(O)=CC(=O)NCC(=O)N1CCCC1 ZINC000108087809 395786417 /nfs/dbraw/zinc/78/64/17/395786417.db2.gz NVJWHXDBJACYFU-OAQYLSRUSA-N 0 2 308.403 0.841 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2c3c(nn2C)CCC3)cc1C(N)=O ZINC000270481822 395775610 /nfs/dbraw/zinc/77/56/10/395775610.db2.gz QRBCANOHEAVEDQ-UHFFFAOYSA-N 0 2 323.378 0.147 20 0 DCADLN O=C(NCCCO)c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000050533524 395838887 /nfs/dbraw/zinc/83/88/87/395838887.db2.gz WBXBBWQNVMRWDK-UHFFFAOYSA-N 0 2 324.362 0.323 20 0 DCADLN COc1ccc2c(c1)CCC[C@@H]2CNC(=O)c1nc(=O)[nH][nH]1 ZINC000119063397 395866881 /nfs/dbraw/zinc/86/68/81/395866881.db2.gz NJSFPURLEMXRDL-SNVBAGLBSA-N 0 2 302.334 0.957 20 0 DCADLN CCn1cnnc1CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000277011117 396043638 /nfs/dbraw/zinc/04/36/38/396043638.db2.gz BAOYJGLQWOCHPG-UHFFFAOYSA-N 0 2 307.276 0.371 20 0 DCADLN C[C@@H]1CN(C(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)CC[NH2+]1 ZINC000155038537 396046074 /nfs/dbraw/zinc/04/60/74/396046074.db2.gz CIOXUEHVYZADQM-GDBMZVCRSA-N 0 2 303.406 0.708 20 0 DCADLN COCCn1ccc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000277230340 396056319 /nfs/dbraw/zinc/05/63/19/396056319.db2.gz STVZUGOPSIPNNJ-UHFFFAOYSA-N 0 2 322.287 0.925 20 0 DCADLN CC(C)n1cc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)cn1 ZINC000066585650 396011011 /nfs/dbraw/zinc/01/10/11/396011011.db2.gz WAGZEISHXRUZSC-UHFFFAOYSA-N 0 2 311.367 0.702 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(CC(N)=O)cc2)cn1 ZINC000066579969 396011072 /nfs/dbraw/zinc/01/10/72/396011072.db2.gz AEVFXPNQRVURMH-UHFFFAOYSA-N 0 2 308.363 0.732 20 0 DCADLN CCOC(=O)c1cnn(C)c1NS(=O)(=O)c1cccnc1 ZINC000276573992 396011791 /nfs/dbraw/zinc/01/17/91/396011791.db2.gz SIJLCWQZZPZXLK-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN O=C([O-])[C@@H]1CCCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000262126407 396102367 /nfs/dbraw/zinc/10/23/67/396102367.db2.gz NCCHUMFMGCZDDF-NEPJUHHUSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@@H]1CCCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000262126407 396102369 /nfs/dbraw/zinc/10/23/69/396102369.db2.gz NCCHUMFMGCZDDF-NEPJUHHUSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000262197999 396109382 /nfs/dbraw/zinc/10/93/82/396109382.db2.gz ZQGJXNSWLKHXMW-CHWSQXEVSA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])[C@@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000262197999 396109384 /nfs/dbraw/zinc/10/93/84/396109384.db2.gz ZQGJXNSWLKHXMW-CHWSQXEVSA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])[C@@H]1CCCC[N@@H+]1CC(=O)NCc1ccc2c(c1)OCO2 ZINC000262316900 396123157 /nfs/dbraw/zinc/12/31/57/396123157.db2.gz URJYVTOHQPHXAV-LBPRGKRZSA-N 0 2 320.345 0.971 20 0 DCADLN O=C([O-])[C@@H]1CCCC[N@H+]1CC(=O)NCc1ccc2c(c1)OCO2 ZINC000262316900 396123158 /nfs/dbraw/zinc/12/31/58/396123158.db2.gz URJYVTOHQPHXAV-LBPRGKRZSA-N 0 2 320.345 0.971 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cccc3[nH]c(=O)oc32)C1=O ZINC000278954107 396143790 /nfs/dbraw/zinc/14/37/90/396143790.db2.gz ZANXXCQDBSHSPL-CQSZACIVSA-N 0 2 318.289 0.486 20 0 DCADLN CCS(=O)(=O)CCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000175966450 396147097 /nfs/dbraw/zinc/14/70/97/396147097.db2.gz OEPCXUOPXXMIBP-UHFFFAOYSA-N 0 2 323.374 0.742 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NCCCN1CCCCCC1=O ZINC000262627766 396164308 /nfs/dbraw/zinc/16/43/08/396164308.db2.gz GKTNJFWTDCBAFY-LBPRGKRZSA-N 0 2 313.398 0.300 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NCCCN1CCCCCC1=O ZINC000262627766 396164311 /nfs/dbraw/zinc/16/43/11/396164311.db2.gz GKTNJFWTDCBAFY-LBPRGKRZSA-N 0 2 313.398 0.300 20 0 DCADLN NC(=O)[C@H]1CN(C(=O)c2cc(F)cc(Cl)c2O)CCO1 ZINC000177129645 396167368 /nfs/dbraw/zinc/16/73/68/396167368.db2.gz SSBCTTBANOKORG-SECBINFHSA-N 0 2 302.689 0.511 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000262666857 396170665 /nfs/dbraw/zinc/17/06/65/396170665.db2.gz PTIDHYMARZHWBN-LBPRGKRZSA-N 0 2 323.368 0.879 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000262666857 396170668 /nfs/dbraw/zinc/17/06/68/396170668.db2.gz PTIDHYMARZHWBN-LBPRGKRZSA-N 0 2 323.368 0.879 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cnn(-c2ccccc2)c1 ZINC000080975425 396290752 /nfs/dbraw/zinc/29/07/52/396290752.db2.gz CEKPPHIJVKOIIM-UHFFFAOYSA-N 0 2 312.333 0.646 20 0 DCADLN CC(C)(O)CNC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185878465 396336600 /nfs/dbraw/zinc/33/66/00/396336600.db2.gz VOVBXETWLKGTRU-UHFFFAOYSA-N 0 2 319.365 0.954 20 0 DCADLN CC(C)NC(=O)CNc1nc(NCCO)nc(NC(C)C)[nH+]1 ZINC000283459636 396338660 /nfs/dbraw/zinc/33/86/60/396338660.db2.gz FIAIMEOOJQWDGK-UHFFFAOYSA-N 0 2 311.390 0.033 20 0 DCADLN COC(=O)Cn1ccc(NS(=O)(=O)CCOC(C)C)n1 ZINC000267308083 396355417 /nfs/dbraw/zinc/35/54/17/396355417.db2.gz LIQUKAADVYOYCB-UHFFFAOYSA-N 0 2 305.356 0.223 20 0 DCADLN C[C@H]1CCCC[C@H]1OCCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000186944575 396360592 /nfs/dbraw/zinc/36/05/92/396360592.db2.gz JBJKNHXHCQYOFS-VHSXEESVSA-N 0 2 314.411 0.902 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCc1cc(F)ccc1F ZINC000188422312 396382353 /nfs/dbraw/zinc/38/23/53/396382353.db2.gz UITLLZUWFOUMDM-UHFFFAOYSA-N 0 2 300.290 0.785 20 0 DCADLN CCC[C@@](C)(O)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000269288702 396404352 /nfs/dbraw/zinc/40/43/52/396404352.db2.gz DMJPQPZPXFLIFS-SECBINFHSA-N 0 2 308.385 0.399 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2CCCCO2)s1 ZINC000269388863 396410817 /nfs/dbraw/zinc/41/08/17/396410817.db2.gz KOYZEPJQRDPTHB-ZCFIWIBFSA-N 0 2 306.369 0.417 20 0 DCADLN Cc1cc(C(=O)N[C@H](CCO)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000268884566 396376111 /nfs/dbraw/zinc/37/61/11/396376111.db2.gz IFSVHYZQPGZDLT-SECBINFHSA-N 0 2 320.349 0.881 20 0 DCADLN O=C(CN1CCCCC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289004684 396452268 /nfs/dbraw/zinc/45/22/68/396452268.db2.gz IPLQXRYXWGIIJO-UHFFFAOYSA-N 0 2 315.333 0.716 20 0 DCADLN Cn1ccc(N2CCC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2=O)n1 ZINC000290763345 396471860 /nfs/dbraw/zinc/47/18/60/396471860.db2.gz IFEWEMIZKHJJGH-IONNQARKSA-N 0 2 322.262 0.932 20 0 DCADLN Cn1ccc(N2CCC[C@H](NC(=O)C(F)C(F)(F)F)C2=O)n1 ZINC000290763345 396471861 /nfs/dbraw/zinc/47/18/61/396471861.db2.gz IFEWEMIZKHJJGH-IONNQARKSA-N 0 2 322.262 0.932 20 0 DCADLN C[C@@H]([NH2+]C1CC1)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000581130913 396528589 /nfs/dbraw/zinc/52/85/89/396528589.db2.gz ZQTHQUBTAFFVRX-TZMCWYRMSA-N 0 2 303.410 0.650 20 0 DCADLN CN(Cc1c[nH+]c[nH]1)C(=O)C(=O)N1CCC[C@@H]1C[NH+]1CCCC1 ZINC000582431723 396610729 /nfs/dbraw/zinc/61/07/29/396610729.db2.gz PEJWURASQSFODF-CQSZACIVSA-N 0 2 319.409 0.455 20 0 DCADLN Cc1nc(C)c(CCNC(=O)N2CC[N@H+](C)C[C@@H]2C[NH3+])s1 ZINC000584769495 396621410 /nfs/dbraw/zinc/62/14/10/396621410.db2.gz PPEBRFSXYRQWAX-LBPRGKRZSA-N 0 2 311.455 0.587 20 0 DCADLN CC(C)NS(=O)(=O)[C@H]1CC[N@@H+](CCCn2cc[nH+]c2)C1 ZINC000375004882 396703389 /nfs/dbraw/zinc/70/33/89/396703389.db2.gz ORYFZOBVHRIEPB-ZDUSSCGKSA-N 0 2 300.428 0.675 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2cccc(OC)c2)cn1 ZINC000589260636 396711309 /nfs/dbraw/zinc/71/13/09/396711309.db2.gz TZLSBZKJVZALNS-UHFFFAOYSA-N 0 2 324.362 0.439 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@H](NC(C)=O)C(C)C)s[nH]1 ZINC000634149848 396796427 /nfs/dbraw/zinc/79/64/27/396796427.db2.gz NOWRKOQQCVWDLZ-SECBINFHSA-N 0 2 314.411 0.941 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCn2c3ccccc3nc21 ZINC000375470652 396751788 /nfs/dbraw/zinc/75/17/88/396751788.db2.gz FIOFDNNNYWHOCK-UHFFFAOYSA-N 0 2 316.346 0.999 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCS[C@@H]2COCC[C@@H]21 ZINC000329541489 396846412 /nfs/dbraw/zinc/84/64/12/396846412.db2.gz NJAUKCNYBURAIE-JGVFFNPUSA-N 0 2 316.408 0.335 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@H]1CCC(=O)NC1 ZINC000590961275 396859787 /nfs/dbraw/zinc/85/97/87/396859787.db2.gz MFYIASSPPVLHES-VIFPVBQESA-N 0 2 302.290 0.495 20 0 DCADLN O=C(CCN1CCCC1=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000590961080 396860165 /nfs/dbraw/zinc/86/01/65/396860165.db2.gz PKKMUEIVIXCGCY-UHFFFAOYSA-N 0 2 316.317 0.981 20 0 DCADLN O=C1CC(c2cccnc2)=NN1c1nccc(N2CCOCC2)n1 ZINC000634607725 396890146 /nfs/dbraw/zinc/89/01/46/396890146.db2.gz GHNUROBLGDENPI-UHFFFAOYSA-N 0 2 324.344 0.849 20 0 DCADLN CC(C)O[C@]1(CS(=O)(=O)Nc2ccn(C)n2)CCOC1 ZINC000634785317 396917768 /nfs/dbraw/zinc/91/77/68/396917768.db2.gz DOHUIPUMXGKXBV-GFCCVEGCSA-N 0 2 303.384 0.746 20 0 DCADLN CS(=O)(=O)N1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000376426286 396927362 /nfs/dbraw/zinc/92/73/62/396927362.db2.gz GPMSPBLRDVYTFC-ZETCQYMHSA-N 0 2 306.281 0.381 20 0 DCADLN CS(=O)(=O)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000376426286 396927373 /nfs/dbraw/zinc/92/73/73/396927373.db2.gz GPMSPBLRDVYTFC-ZETCQYMHSA-N 0 2 306.281 0.381 20 0 DCADLN CS[C@H](CO)[C@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597394342 396962118 /nfs/dbraw/zinc/96/21/18/396962118.db2.gz NGVSHCHRCFNOSO-GZMMTYOYSA-N 0 2 323.374 0.872 20 0 DCADLN Cc1cc(C)[nH+]c(S([O-])=CC(=O)N[C@H](C)C(=O)N(C)C)c1 ZINC000618534098 396989577 /nfs/dbraw/zinc/98/95/77/396989577.db2.gz OPKNVNKJUQVPIU-FIKIJFGZSA-N 0 2 311.407 0.399 20 0 DCADLN C[N@H+]1CCOC[C@@H]1CNC(=O)Nc1ccc2c(c1F)CC[NH2+]C2 ZINC000625896893 397029782 /nfs/dbraw/zinc/02/97/82/397029782.db2.gz FJUNGBCBXFQVQP-LBPRGKRZSA-N 0 2 322.384 0.924 20 0 DCADLN C[C@@H]1C[N@H+](C[C@@H](O)C[NH2+]Cc2ccccc2CO)C[C@H](C)O1 ZINC000573248894 397149793 /nfs/dbraw/zinc/14/97/93/397149793.db2.gz MOTLVTIUJDWGDF-VBQJREDUSA-N 0 2 308.422 0.739 20 0 DCADLN O=C(Nc1ccc(-c2nc(=O)o[nH]2)cc1)c1cc2n(n1)CCO2 ZINC000377912194 397164210 /nfs/dbraw/zinc/16/42/10/397164210.db2.gz JYSJJDUOIHTORB-UHFFFAOYSA-N 0 2 313.273 0.871 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC(=O)N(C)CC2)c1 ZINC000598294390 397211156 /nfs/dbraw/zinc/21/11/56/397211156.db2.gz CWFNLHAYVZSBMK-QFIPXVFZSA-N 0 2 324.402 0.494 20 0 DCADLN C[C@@H](O)C[NH+]1CCN(C(=O)[C@@]2(F)CC[N@H+](C(C)(C)C)C2)CC1 ZINC000365620957 397301725 /nfs/dbraw/zinc/30/17/25/397301725.db2.gz GZWWKPOGMKQMCU-CZUORRHYSA-N 0 2 315.433 0.724 20 0 DCADLN C[C@@H](O)C[NH+]1CCN(C(=O)[C@]2(F)CC[N@H+](C(C)(C)C)C2)CC1 ZINC000365620955 397301763 /nfs/dbraw/zinc/30/17/63/397301763.db2.gz GZWWKPOGMKQMCU-CJNGLKHVSA-N 0 2 315.433 0.724 20 0 DCADLN C[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@H](CO)O1 ZINC000613858344 397337506 /nfs/dbraw/zinc/33/75/06/397337506.db2.gz NJJDHSGJZVCFFK-BXKDBHETSA-N 0 2 319.317 0.252 20 0 DCADLN CCOC(=O)CN1CCCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000593322839 397351594 /nfs/dbraw/zinc/35/15/94/397351594.db2.gz MWRHGNMPACXBFQ-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN CC(C)(C)OC(=O)c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC000593506185 397376998 /nfs/dbraw/zinc/37/69/98/397376998.db2.gz PAVLPXGJAJTHEJ-UHFFFAOYSA-N 0 2 319.321 0.791 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@@H](O)C[NH2+]C[C@@H](O)Cc2ccccc2)C[C@H](C)O1 ZINC000578443195 397436708 /nfs/dbraw/zinc/43/67/08/397436708.db2.gz ZWJMZFXLXKVAAR-MVJTYMMSSA-N 0 2 322.449 0.650 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N(CCO)CC(F)F ZINC000614264016 397408668 /nfs/dbraw/zinc/40/86/68/397408668.db2.gz NUPCLXUIXUGMEG-UHFFFAOYSA-N 0 2 313.260 0.730 20 0 DCADLN COc1ccc(NS(=O)(=O)c2c[nH]cn2)cc1NC(N)=O ZINC000487399448 397471893 /nfs/dbraw/zinc/47/18/93/397471893.db2.gz XRPVFZQWWKODMI-UHFFFAOYSA-N 0 2 311.323 0.710 20 0 DCADLN O=C(Cc1ncccc1F)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000579358813 397558565 /nfs/dbraw/zinc/55/85/65/397558565.db2.gz ZFPVOBXVKRFDFM-VIFPVBQESA-N 0 2 305.313 0.993 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC[S@]1=O ZINC000610091579 397803069 /nfs/dbraw/zinc/80/30/69/397803069.db2.gz COVNFHBHRZRUMH-GTUYJWLHSA-N 0 2 321.358 0.623 20 0 DCADLN CC[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000047477926 158002801 /nfs/dbraw/zinc/00/28/01/158002801.db2.gz SEKXTMRWCMYNCS-SECBINFHSA-N 0 2 304.306 0.275 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(C(N)=O)c(Cl)c2)cn1 ZINC000048653871 158007313 /nfs/dbraw/zinc/00/73/13/158007313.db2.gz MNNJPVVPZLDSCE-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN CN(Cc1ccncc1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000068029553 158040256 /nfs/dbraw/zinc/04/02/56/158040256.db2.gz MTXMZKLOTZIZFL-UHFFFAOYSA-N 0 2 311.301 0.691 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCCCNC1=O)c2=O ZINC000119151522 158183794 /nfs/dbraw/zinc/18/37/94/158183794.db2.gz QERJQQNXFIGMAK-SNVBAGLBSA-N 0 2 303.322 0.072 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCCC[C@H]1CO)c2=O ZINC000119366417 158185218 /nfs/dbraw/zinc/18/52/18/158185218.db2.gz VZKNTULRZQUSPT-CABZTGNLSA-N 0 2 304.350 0.955 20 0 DCADLN C[NH+](C)[C@@H]1CCCN(C(=O)NCC[N@H+]2CCOCC2(C)C)C1 ZINC000171686875 158385457 /nfs/dbraw/zinc/38/54/57/158385457.db2.gz HBRTYWVFCWSRLL-CQSZACIVSA-N 0 2 312.458 0.833 20 0 DCADLN C[C@@H]1CCN(S(=O)(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000328723313 159024203 /nfs/dbraw/zinc/02/42/03/159024203.db2.gz AMMROKJHBTZBCZ-ZJUUUORDSA-N 0 2 315.399 0.276 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)C[C@H]3CCCO3)CC2)[nH]1 ZINC000328846608 159033883 /nfs/dbraw/zinc/03/38/83/159033883.db2.gz QMYCHUCULLXULB-SNVBAGLBSA-N 0 2 316.383 0.199 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)CC3CCC3)C2)[nH]1 ZINC000328872063 159036331 /nfs/dbraw/zinc/03/63/31/159036331.db2.gz YMUGHBRPSVVBBG-JTQLQIEISA-N 0 2 300.384 0.820 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2S(=O)(=O)C2CC2)[nH]1 ZINC000329417755 159090707 /nfs/dbraw/zinc/09/07/07/159090707.db2.gz GNYQEIJZNPBTPQ-JTQLQIEISA-N 0 2 300.384 0.970 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCOC[C@@H](C2CCC2)C1 ZINC000329518351 159099164 /nfs/dbraw/zinc/09/91/64/159099164.db2.gz ZITOKVWFUQFCHY-JTQLQIEISA-N 0 2 312.395 0.878 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)c2cnnn2C)[nH]1 ZINC000329538214 159101161 /nfs/dbraw/zinc/10/11/61/159101161.db2.gz BXRVNHJBAYPXCF-VIFPVBQESA-N 0 2 305.342 0.447 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)[C@@H]2CNC(=O)C2)[nH]1 ZINC000329602868 159106446 /nfs/dbraw/zinc/10/64/46/159106446.db2.gz LAWHOKJLULEEOR-VHSXEESVSA-N 0 2 307.354 0.981 20 0 DCADLN CC(C)Cc1cc(NS(=O)(=O)[C@H](C)C(=O)N(C)C)n[nH]1 ZINC000330500453 159182248 /nfs/dbraw/zinc/18/22/48/159182248.db2.gz OXRJVULBAHUSLK-SECBINFHSA-N 0 2 302.400 0.827 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCCN(CC(F)(F)F)C1 ZINC000330531788 159188189 /nfs/dbraw/zinc/18/81/89/159188189.db2.gz PFVWLSISUVRUTA-ZETCQYMHSA-N 0 2 307.276 0.401 20 0 DCADLN COc1ccc(CNC(C)=O)cc1S(=O)(=O)Nc1cn[nH]c1 ZINC000341716717 159223884 /nfs/dbraw/zinc/22/38/84/159223884.db2.gz QOAPSTUTFKUABS-UHFFFAOYSA-N 0 2 324.362 0.855 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2CCC[C@@H](O)C2)s[nH]1 ZINC000408196790 160057721 /nfs/dbraw/zinc/05/77/21/160057721.db2.gz FRASAQRMZXQLMM-MRVPVSSYSA-N 0 2 312.395 0.179 20 0 DCADLN CCNC(=O)CNC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000081569350 286929175 /nfs/dbraw/zinc/92/91/75/286929175.db2.gz QYNOWGYJZHYPSJ-UHFFFAOYSA-N 0 2 320.324 0.891 20 0 DCADLN COCCOc1cc(C)ccc1CNC(=O)c1nc(=O)[nH][nH]1 ZINC000153185380 287047732 /nfs/dbraw/zinc/04/77/32/287047732.db2.gz ATJHZDSKQMADAZ-UHFFFAOYSA-N 0 2 306.322 0.362 20 0 DCADLN COC[C@@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@@H](C)O1 ZINC000249405323 287109996 /nfs/dbraw/zinc/10/99/96/287109996.db2.gz CLOACOIISXUIAD-SFYZADRCSA-N 0 2 306.306 0.119 20 0 DCADLN Cc1cc(C(=O)NCCC[S@@](C)=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000345322167 287157742 /nfs/dbraw/zinc/15/77/42/287157742.db2.gz BDWHLFXHBMQOQG-JOCHJYFZSA-N 0 2 324.362 0.243 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1cnc(C)nc1 ZINC000352010014 287161052 /nfs/dbraw/zinc/16/10/52/287161052.db2.gz YHFYJLYIAFFYKF-UHFFFAOYSA-N 0 2 314.348 0.829 20 0 DCADLN Cc1noc([C@@H]2CCCN2S(=O)(=O)NCC(F)(F)F)n1 ZINC000352382419 415158749 /nfs/dbraw/zinc/15/87/49/415158749.db2.gz DUEAINMWAWISQA-ZETCQYMHSA-N 0 2 314.289 0.912 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2nc(C)c(C)o2)cn1 ZINC000272080613 415278311 /nfs/dbraw/zinc/27/83/11/415278311.db2.gz AVYILRWPHQHSLZ-UHFFFAOYSA-N 0 2 300.340 0.935 20 0 DCADLN Cc1n[nH]c(NC(=O)CCNS(=O)(=O)c2ccccc2)n1 ZINC000103253765 415614962 /nfs/dbraw/zinc/61/49/62/415614962.db2.gz ZSRGNVPNUCWSEA-UHFFFAOYSA-N 0 2 309.351 0.420 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cn(-c2ccccc2)nn1 ZINC000343519866 415624998 /nfs/dbraw/zinc/62/49/98/415624998.db2.gz BYFMVQHDCIFACR-UHFFFAOYSA-N 0 2 313.321 0.454 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCCCNC2=O)c1 ZINC000108064561 415676110 /nfs/dbraw/zinc/67/61/10/415676110.db2.gz RIFWELQBGNJAKG-DMZKTXOQSA-N 0 2 324.402 0.588 20 0 DCADLN CCc1nc(CN2CCN(C(=O)c3nc(=O)[nH][nH]3)CC2)cs1 ZINC000109093214 415682996 /nfs/dbraw/zinc/68/29/96/415682996.db2.gz YNWNJKYOJBFIFW-UHFFFAOYSA-N 0 2 322.394 0.075 20 0 DCADLN Cc1noc(C(C)(C)NS(=O)(=O)c2c(N)noc2C)n1 ZINC000289932490 415739271 /nfs/dbraw/zinc/73/92/71/415739271.db2.gz RKBSRPLLBSCSFH-UHFFFAOYSA-N 0 2 301.328 0.470 20 0 DCADLN CC(C)CNC(=O)NC(=O)CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000344150161 415851889 /nfs/dbraw/zinc/85/18/89/415851889.db2.gz UEHLABZZFYLYNS-JTQLQIEISA-N 0 2 324.385 0.172 20 0 DCADLN CCOC(=O)C1(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)CC1 ZINC000354292883 415786914 /nfs/dbraw/zinc/78/69/14/415786914.db2.gz GHFCDOPHWDPYGQ-UHFFFAOYSA-N 0 2 318.333 0.747 20 0 DCADLN CCC1(O)CN(C(=O)[C@H](C)[C@@H](C)C(=O)N2CC(O)(CC)C2)C1 ZINC000334027452 415787177 /nfs/dbraw/zinc/78/71/77/415787177.db2.gz IFUQNHCTVYYWOP-VXGBXAGGSA-N 0 2 312.410 0.225 20 0 DCADLN C[C@H](NC(=O)NC[C@@H](O)C(C)(C)C)[C@H](C)N1CCOCC1 ZINC000334036950 415789645 /nfs/dbraw/zinc/78/96/45/415789645.db2.gz LIYAVAOICBXDMH-RWMBFGLXSA-N 0 2 301.431 0.802 20 0 DCADLN COc1ccc(-c2nnc(CNC(=O)[C@H]3CSC[NH2+]3)[nH]2)cc1 ZINC000158716404 416001046 /nfs/dbraw/zinc/00/10/46/416001046.db2.gz CGSASTYNSXZWCP-LLVKDONJSA-N 0 2 319.390 0.759 20 0 DCADLN Cc1cc(C(=O)NCCn2cc[nH+]c2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000344642999 415964523 /nfs/dbraw/zinc/96/45/23/415964523.db2.gz MCRCYSFQUOTKEH-UHFFFAOYSA-N 0 2 314.305 0.371 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N(CCO)CC(F)F)c2=O ZINC000357377307 416069198 /nfs/dbraw/zinc/06/91/98/416069198.db2.gz FNBVTOBWEHRQIB-UHFFFAOYSA-N 0 2 300.265 0.374 20 0 DCADLN COC(=O)[C@H]1C[C@H](O)CN1Cc1nc(=O)c2sccc2[nH]1 ZINC000168997759 416026283 /nfs/dbraw/zinc/02/62/83/416026283.db2.gz VIPWDNYXZBVLBM-IONNQARKSA-N 0 2 309.347 0.093 20 0 DCADLN CCc1ccc(S(=O)(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000298806526 416029986 /nfs/dbraw/zinc/02/99/86/416029986.db2.gz XBDQCQKKAGCFMZ-CYBMUJFWSA-N 0 2 323.374 0.946 20 0 DCADLN CCc1ccc(S(=O)(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000298806526 416029991 /nfs/dbraw/zinc/02/99/91/416029991.db2.gz XBDQCQKKAGCFMZ-CYBMUJFWSA-N 0 2 323.374 0.946 20 0 DCADLN CN(C)C(=O)c1sccc1S(=O)(=O)Nc1cnn(C)c1 ZINC000338045500 416038408 /nfs/dbraw/zinc/03/84/08/416038408.db2.gz WCFKLZCCNUZJNN-UHFFFAOYSA-N 0 2 314.392 0.984 20 0 DCADLN CN(C)c1cc[nH+]c(N2CCN([C@H]3CCC[N@@H+](C)C3)CC2)n1 ZINC000301740487 416090319 /nfs/dbraw/zinc/09/03/19/416090319.db2.gz UIBYQSJOKKFZKI-AWEZNQCLSA-N 0 2 304.442 0.759 20 0 DCADLN Cc1n[nH]c(NC(=O)c2cc(S(=O)(=O)N(C)C)oc2C)n1 ZINC000175373354 416104528 /nfs/dbraw/zinc/10/45/28/416104528.db2.gz LCTQLNFNCBXNTG-UHFFFAOYSA-N 0 2 313.339 0.517 20 0 DCADLN CN(C)C(=O)N1CCN(C(=O)c2cc3n(n2)CCO3)C(C)(C)C1 ZINC000329625146 416179817 /nfs/dbraw/zinc/17/98/17/416179817.db2.gz SQIIAWUPJZFTGM-UHFFFAOYSA-N 0 2 321.381 0.494 20 0 DCADLN CC[C@H](C)NC(=O)[C@@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179459961 416188353 /nfs/dbraw/zinc/18/83/53/416188353.db2.gz LGBWFXTVSZOFPQ-DTWKUNHWSA-N 0 2 319.365 0.707 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N(C)Cc2ccoc2)n1 ZINC000341601470 416214343 /nfs/dbraw/zinc/21/43/43/416214343.db2.gz VXAFJNQOEQQLKA-UHFFFAOYSA-N 0 2 306.370 0.169 20 0 DCADLN CC(C)N1C[C@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)CC1=O ZINC000358355528 416227765 /nfs/dbraw/zinc/22/77/65/416227765.db2.gz WQCKGFDQDPOWSX-SECBINFHSA-N 0 2 317.349 0.413 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(OC[C@@H]2CCCO2)c1 ZINC000358363981 416229690 /nfs/dbraw/zinc/22/96/90/416229690.db2.gz YXONQWAJYPQFGN-LBPRGKRZSA-N 0 2 318.333 0.998 20 0 DCADLN CCOC(=O)[C@@H](C)[C@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000345850653 416158838 /nfs/dbraw/zinc/15/88/38/416158838.db2.gz RQJLGIONUJANLY-IUCAKERBSA-N 0 2 320.349 0.991 20 0 DCADLN C[C@H](C[NH+](C)C)NC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000358501119 416243990 /nfs/dbraw/zinc/24/39/90/416243990.db2.gz NPGTXKWKCFEKSD-CQSZACIVSA-N 0 2 305.426 0.859 20 0 DCADLN C[C@@H](O)CN(C(=O)N=c1nc(C(C)(C)C)[nH]s1)[C@@H](C)CO ZINC000331293830 416303687 /nfs/dbraw/zinc/30/36/87/416303687.db2.gz RBTYNIRFGIOAFW-DTWKUNHWSA-N 0 2 316.427 0.853 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)CC(=O)N3C)cn1 ZINC000193460265 416318099 /nfs/dbraw/zinc/31/80/99/416318099.db2.gz ZEYOJMLKLAOYOZ-UHFFFAOYSA-N 0 2 306.347 0.740 20 0 DCADLN O=C(CSCC(=O)c1ccccc1)NCc1n[nH]c(=O)[nH]1 ZINC000358951721 416297067 /nfs/dbraw/zinc/29/70/67/416297067.db2.gz LIZUERHCPNSXFC-UHFFFAOYSA-N 0 2 306.347 0.743 20 0 DCADLN Cc1nn(C)c(C)c1C[C@@H](C)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000359525933 416349733 /nfs/dbraw/zinc/34/97/33/416349733.db2.gz TVLZODURZKLFLX-SECBINFHSA-N 0 2 320.397 0.788 20 0 DCADLN CN(CC(=O)NC(C)(C)C)S(=O)(=O)NCC(F)(F)F ZINC000195061765 416325203 /nfs/dbraw/zinc/32/52/03/416325203.db2.gz HNRQAVKISQRLCD-UHFFFAOYSA-N 0 2 305.322 0.230 20 0 DCADLN O=c1[nH]nc(CNc2ccc3nnc(-c4ccsc4)n3n2)[nH]1 ZINC000359811050 416360339 /nfs/dbraw/zinc/36/03/39/416360339.db2.gz WCIQLZXEVIRIOS-UHFFFAOYSA-N 0 2 314.334 1.289 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2nccs2)cn1 ZINC000360769853 416409018 /nfs/dbraw/zinc/40/90/18/416409018.db2.gz CQPRYPKERZXMSA-UHFFFAOYSA-N 0 2 319.389 0.742 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1cncc(N2CCCC2)n1)OC ZINC000421251446 416420734 /nfs/dbraw/zinc/42/07/34/416420734.db2.gz PQDKDMGZSJBUBB-LLVKDONJSA-N 0 2 314.411 1.244 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@](C)(O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000361436697 416436540 /nfs/dbraw/zinc/43/65/40/416436540.db2.gz ARMRTHFMQVSBES-CQSZACIVSA-N 0 2 304.306 0.341 20 0 DCADLN CCCNC(=O)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000436157456 416550208 /nfs/dbraw/zinc/55/02/08/416550208.db2.gz WXRBEHUFRAOISL-UHFFFAOYSA-N 0 2 304.354 0.456 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCN(c3cc(NC4CC4)[nH+]cn3)C2)nn1 ZINC000584226793 416508306 /nfs/dbraw/zinc/50/83/06/416508306.db2.gz OMSWCWWVDISVMR-SNVBAGLBSA-N 0 2 315.337 0.792 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCN(c3cc(NC4CC4)nc[nH+]3)C2)nn1 ZINC000584226793 416508312 /nfs/dbraw/zinc/50/83/12/416508312.db2.gz OMSWCWWVDISVMR-SNVBAGLBSA-N 0 2 315.337 0.792 20 0 DCADLN CC(C)(NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000535022228 416514005 /nfs/dbraw/zinc/51/40/05/416514005.db2.gz CJGUJVYVMUDGPI-UHFFFAOYSA-N 0 2 324.362 0.903 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@H](CO)C2CC2)c1 ZINC000424827713 416669718 /nfs/dbraw/zinc/66/97/18/416669718.db2.gz ICICADSFPNBBOR-XUSGNXJCSA-N 0 2 311.403 0.938 20 0 DCADLN NS(=O)(=O)CCCCCC(=O)Nc1ccc2nn[nH]c2c1 ZINC000557635790 416746025 /nfs/dbraw/zinc/74/60/25/416746025.db2.gz PWGGVBVEOJFMMJ-UHFFFAOYSA-N 0 2 311.367 0.745 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)N[C@@H]1CC[C@H]1O ZINC000425736074 416723663 /nfs/dbraw/zinc/72/36/63/416723663.db2.gz GJPHHWBWNDBEPF-VXGBXAGGSA-N 0 2 317.349 0.706 20 0 DCADLN CCN(C(=O)c1c[nH]c2c(cnn2C)c1=O)[C@H]1CCNC1=O ZINC000443038419 416727624 /nfs/dbraw/zinc/72/76/24/416727624.db2.gz QEQMNVLXEAHITB-JTQLQIEISA-N 0 2 303.322 0.025 20 0 DCADLN Cn1cc[nH+]c1[C@H](NC(=O)c1cnc([O-])n(C)c1=O)C1CC1 ZINC000557490105 416736298 /nfs/dbraw/zinc/73/62/98/416736298.db2.gz NSLPIQAMTRPSFE-SNVBAGLBSA-N 0 2 303.322 0.101 20 0 DCADLN CCNC(=O)NCCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000615248456 416792618 /nfs/dbraw/zinc/79/26/18/416792618.db2.gz MVRJXGSASYJJQQ-UHFFFAOYSA-N 0 2 319.321 0.079 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)[C@H]2CCCN(C(N)=O)C2)s[nH]1 ZINC000519143405 416894050 /nfs/dbraw/zinc/89/40/50/416894050.db2.gz LXWJNCWBSCJZCG-QMMMGPOBSA-N 0 2 311.411 0.987 20 0 DCADLN COCCN(C(=O)CSc1n[nH]c(=O)[nH]1)[C@@H]1CCO[C@@H]1C ZINC000427992053 416862827 /nfs/dbraw/zinc/86/28/27/416862827.db2.gz KZCLNCQEJXIINZ-RKDXNWHRSA-N 0 2 316.383 0.255 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2c(N)noc2C)cn1C ZINC000563814687 416886102 /nfs/dbraw/zinc/88/61/02/416886102.db2.gz INFBQNUJJAOCNQ-UHFFFAOYSA-N 0 2 314.323 0.491 20 0 DCADLN COc1ccc(C[NH2+]CCC[N@@H+]2CCC[C@H]2C(N)=O)cc1O ZINC000623617974 416854560 /nfs/dbraw/zinc/85/45/60/416854560.db2.gz YRAUNWYSDOGCDR-ZDUSSCGKSA-N 0 2 307.394 0.830 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCC[C@@H]1CCO ZINC000428611326 416910233 /nfs/dbraw/zinc/91/02/33/416910233.db2.gz NGEVERIZIOPUNX-SECBINFHSA-N 0 2 304.306 0.423 20 0 DCADLN O=C(N[C@@H]1CNC(=O)C1)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000447543252 417006625 /nfs/dbraw/zinc/00/66/25/417006625.db2.gz QZALBYUCCCFWNR-VIFPVBQESA-N 0 2 320.736 0.850 20 0 DCADLN O=C([O-])c1ccc(NC[C@]2([NH+]3CCOCC3)CCSC2)nn1 ZINC000566294791 417044549 /nfs/dbraw/zinc/04/45/49/417044549.db2.gz KJJGBNVUWFKVIY-CQSZACIVSA-N 0 2 324.406 0.795 20 0 DCADLN CCOC(=O)c1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)[nH]c1C ZINC000450006758 417194497 /nfs/dbraw/zinc/19/44/97/417194497.db2.gz VHFQXYWAGCGDLV-UHFFFAOYSA-N 0 2 321.337 0.686 20 0 DCADLN CS(=O)(=O)CC1(CNC(=O)c2cccc3[nH]nnc32)CCC1 ZINC000568962581 417196289 /nfs/dbraw/zinc/19/62/89/417196289.db2.gz YKIBVANIJIUFER-UHFFFAOYSA-N 0 2 322.390 0.903 20 0 DCADLN COc1nc(C)cc(C)c1C[N@H+](C)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000621774584 417292799 /nfs/dbraw/zinc/29/27/99/417292799.db2.gz XYAUCNCRJPUHJP-ZDUSSCGKSA-N 0 2 321.377 0.824 20 0 DCADLN COc1nc(C)cc(C)c1C[N@@H+](C)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000621774584 417292802 /nfs/dbraw/zinc/29/28/02/417292802.db2.gz XYAUCNCRJPUHJP-ZDUSSCGKSA-N 0 2 321.377 0.824 20 0 DCADLN CO[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CCO1 ZINC000621967007 417356524 /nfs/dbraw/zinc/35/65/24/417356524.db2.gz UUNKAHPMTVYHIG-LLVKDONJSA-N 0 2 305.290 0.475 20 0 DCADLN COCCn1cc(C(=O)N=c2nc(C(C)(C)C)[nH]s2)nn1 ZINC000415125878 417331059 /nfs/dbraw/zinc/33/10/59/417331059.db2.gz QDFXYMBYZZAGAA-UHFFFAOYSA-N 0 2 310.383 0.748 20 0 DCADLN O=C([O-])C1(C(=O)NCc2ccc[nH+]c2N2CCOCC2)CC1 ZINC000570931376 417407745 /nfs/dbraw/zinc/40/77/45/417407745.db2.gz NCHZXWFHCFYQPS-UHFFFAOYSA-N 0 2 305.334 0.399 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2ccsc2)cn1 ZINC000438780928 287375972 /nfs/dbraw/zinc/37/59/72/287375972.db2.gz ZMARMRWJIKVNDJ-UHFFFAOYSA-N 0 2 301.349 0.918 20 0 DCADLN COCCNC(=O)C1(NC(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000622697821 417489548 /nfs/dbraw/zinc/48/95/48/417489548.db2.gz SKSAQSXUIIRLMA-UHFFFAOYSA-N 0 2 314.288 0.695 20 0 DCADLN Cc1cccc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)n1 ZINC000622503310 417454229 /nfs/dbraw/zinc/45/42/29/417454229.db2.gz NWCGMFLYRGPSLZ-UHFFFAOYSA-N 0 2 307.331 0.995 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCn2ncnc2C1 ZINC000622519996 417455475 /nfs/dbraw/zinc/45/54/75/417455475.db2.gz YMHIAMZHLHIPQA-UHFFFAOYSA-N 0 2 312.289 0.277 20 0 DCADLN C[C@@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)c1ncn(C)n1 ZINC000622540919 417457437 /nfs/dbraw/zinc/45/74/37/417457437.db2.gz VODYJGMOPZIXTA-MRVPVSSYSA-N 0 2 314.305 0.649 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc3c(c2)CC(C)(C)O3)n1 ZINC000634664056 417524344 /nfs/dbraw/zinc/52/43/44/417524344.db2.gz MAGWZMHTFZOUIB-UHFFFAOYSA-N 0 2 309.351 0.724 20 0 DCADLN COC[C@@](C)(O)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000531259898 417615115 /nfs/dbraw/zinc/61/51/15/417615115.db2.gz WEFCLVZDFIVGJI-CYBMUJFWSA-N 0 2 311.363 0.753 20 0 DCADLN CCO[C@@H]1C[C@@H]1NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000576579405 417620329 /nfs/dbraw/zinc/62/03/29/417620329.db2.gz GNQHBSHWYPCKLS-JGVFFNPUSA-N 0 2 312.395 0.490 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc3c(c2)C(=O)NCC3)cnn1C ZINC000644617066 417630970 /nfs/dbraw/zinc/63/09/70/417630970.db2.gz YXHYCGCJLMVKAE-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN COCC(COC)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000456222279 417632862 /nfs/dbraw/zinc/63/28/62/417632862.db2.gz BPQWTQTVXWHOLR-UHFFFAOYSA-N 0 2 305.334 0.969 20 0 DCADLN CC(C)C[C@@H]1C(=O)NCCC[N@H+]1Cc1cc(C(=O)[O-])nn1C ZINC000635313301 417635096 /nfs/dbraw/zinc/63/50/96/417635096.db2.gz VEZRYTOKWJEFFP-CYBMUJFWSA-N 0 2 308.382 0.855 20 0 DCADLN CC(C)C[C@@H]1C(=O)NCCC[N@@H+]1Cc1cc(C(=O)[O-])nn1C ZINC000635313301 417635099 /nfs/dbraw/zinc/63/50/99/417635099.db2.gz VEZRYTOKWJEFFP-CYBMUJFWSA-N 0 2 308.382 0.855 20 0 DCADLN Cc1cc[nH+]cc1N1CCN(C(=O)NCCOCC(=O)[O-])CC1 ZINC000635525399 417689675 /nfs/dbraw/zinc/68/96/75/417689675.db2.gz XQUUAADKZATMPY-UHFFFAOYSA-N 0 2 322.365 0.323 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC(C)([NH+]3CCOCC3)CC2)c1[O-] ZINC000628904618 417715080 /nfs/dbraw/zinc/71/50/80/417715080.db2.gz DALWJSWGFDUZNV-UHFFFAOYSA-N 0 2 308.382 0.751 20 0 DCADLN O=C(CC1CCN(CC(F)(F)F)CC1)NCc1n[nH]c(=O)[nH]1 ZINC000635642974 417730063 /nfs/dbraw/zinc/73/00/63/417730063.db2.gz BZXBVIUSKWJVPT-UHFFFAOYSA-N 0 2 321.303 0.791 20 0 DCADLN CCCN(C(=O)N[C@H](C)[C@@H]1C[NH+](C)CCO1)[C@@H]1CC[N@H+](C)C1 ZINC000645378405 417736407 /nfs/dbraw/zinc/73/64/07/417736407.db2.gz HMRCCDPSLMBWAC-KFWWJZLASA-N 0 2 312.458 0.831 20 0 DCADLN CC[C@@H](O)CNC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000645446565 417742381 /nfs/dbraw/zinc/74/23/81/417742381.db2.gz LERUYIGHBJRWKI-LLVKDONJSA-N 0 2 319.365 0.954 20 0 DCADLN CC(=O)Nc1ccc(C)c(NS(=O)(=O)c2cnnn2C)c1 ZINC000629287006 417771937 /nfs/dbraw/zinc/77/19/37/417771937.db2.gz KAJATQBGENZNKJ-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN CCOC1(CS(=O)(=O)Nc2ccn(C)n2)CCOCC1 ZINC000629285536 417772204 /nfs/dbraw/zinc/77/22/04/417772204.db2.gz WYOLMVGJHLJKIP-UHFFFAOYSA-N 0 2 303.384 0.748 20 0 DCADLN CO[C@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@H]1C ZINC000651837271 417791901 /nfs/dbraw/zinc/79/19/01/417791901.db2.gz YWCNHOCVADAQDG-MNOVXSKESA-N 0 2 309.370 0.103 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc3c(c2)CCC3)[nH]1 ZINC000657103107 417813050 /nfs/dbraw/zinc/81/30/50/417813050.db2.gz BASIQSOHDDSARZ-UHFFFAOYSA-N 0 2 322.390 0.918 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N2C[C@@H](C)C[C@H](C)C2)[nH]1 ZINC000657104937 417813241 /nfs/dbraw/zinc/81/32/41/417813241.db2.gz VTIZHXGADUHMJF-UWVGGRQHSA-N 0 2 317.415 0.263 20 0 DCADLN CC1(C)[C@@H](NC(=O)c2c(O)cc(F)cc2F)CS1(=O)=O ZINC000640458992 417848619 /nfs/dbraw/zinc/84/86/19/417848619.db2.gz DGCYQRUONHQDCF-VIFPVBQESA-N 0 2 305.302 0.976 20 0 DCADLN CN(C)C(=O)C[NH+]1CCC([NH2+]Cc2ccnn2CC2CC2)CC1 ZINC000657355905 417851498 /nfs/dbraw/zinc/85/14/98/417851498.db2.gz DLNBLQMESMGVSE-UHFFFAOYSA-N 0 2 319.453 0.935 20 0 DCADLN Cn1cc(C2=CCCN(C(=O)CSc3n[nH]c(=O)[nH]3)C2)cn1 ZINC000636165762 417888570 /nfs/dbraw/zinc/88/85/70/417888570.db2.gz OQZUYODUXNXFGY-UHFFFAOYSA-N 0 2 320.378 0.652 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)NCC1(C(=O)[O-])CCOCC1 ZINC000652067038 417842458 /nfs/dbraw/zinc/84/24/58/417842458.db2.gz HRFYDBLAPJHRCM-UHFFFAOYSA-N 0 2 321.377 0.760 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NC[C@H]1CCCNC1=O)c2=O ZINC000646004168 417845861 /nfs/dbraw/zinc/84/58/61/417845861.db2.gz YLCQDZABFQUROA-SNVBAGLBSA-N 0 2 314.345 0.900 20 0 DCADLN C[C@H]1C[N@H+](Cc2ccccc2C(=O)[O-])CCN1S(C)(=O)=O ZINC000652443370 417910871 /nfs/dbraw/zinc/91/08/71/417910871.db2.gz VNEGTMZGSXYTHE-NSHDSACASA-N 0 2 312.391 0.851 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccccc2C(=O)[O-])CCN1S(C)(=O)=O ZINC000652443370 417910877 /nfs/dbraw/zinc/91/08/77/417910877.db2.gz VNEGTMZGSXYTHE-NSHDSACASA-N 0 2 312.391 0.851 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(N3CCOCC3)nc[nH+]2)C[C@H]1C1CC1 ZINC000652537664 417928559 /nfs/dbraw/zinc/92/85/59/417928559.db2.gz STEKJOKTLVZCCL-QWHCGFSZSA-N 0 2 318.377 0.860 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(N3CCOCC3)[nH+]cn2)C[C@H]1C1CC1 ZINC000652537664 417928566 /nfs/dbraw/zinc/92/85/66/417928566.db2.gz STEKJOKTLVZCCL-QWHCGFSZSA-N 0 2 318.377 0.860 20 0 DCADLN NC(=O)[C@H]1C[C@@H](NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)C1 ZINC000652794768 417968654 /nfs/dbraw/zinc/96/86/54/417968654.db2.gz UDBKCUXOSDNNRR-WAAGHKOSSA-N 0 2 318.308 0.711 20 0 DCADLN CC[C@H](NC(=O)C[NH+]1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC000647254886 418004142 /nfs/dbraw/zinc/00/41/42/418004142.db2.gz JJBWEUFPQCLGRI-LBPRGKRZSA-N 0 2 306.366 0.183 20 0 DCADLN COc1cc(N2CCN(c3[nH+]cccc3C(=O)[O-])CC2)ncn1 ZINC000647452683 418012455 /nfs/dbraw/zinc/01/24/55/418012455.db2.gz HVFRZAPBJZUDKO-UHFFFAOYSA-N 0 2 315.333 0.905 20 0 DCADLN COCCO[C@@H]1C[C@H](CO)CN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC000647454618 418012679 /nfs/dbraw/zinc/01/26/79/418012679.db2.gz WZFDUHCRBUTGBO-WCQYABFASA-N 0 2 310.350 0.630 20 0 DCADLN COc1cccc2c1OC[C@@H](NC(=O)N=c1nc(C)n(C)[nH]1)C2 ZINC000653244209 418046098 /nfs/dbraw/zinc/04/60/98/418046098.db2.gz NTMWSGIQLNGHJM-NSHDSACASA-N 0 2 317.349 0.679 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000663039678 417980784 /nfs/dbraw/zinc/98/07/84/417980784.db2.gz LVVLTTJTHADDCX-HNNXBMFYSA-N 0 2 303.318 0.978 20 0 DCADLN O=C([O-])[C@@]1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC=CCC1 ZINC000663130391 417994996 /nfs/dbraw/zinc/99/49/96/417994996.db2.gz HTJCZEVCEJWSSG-CJNGLKHVSA-N 0 2 308.378 0.731 20 0 DCADLN CCN(C(=O)NCC[N@@H+]1CCOC[C@H]1C)[C@@H]1CC[NH+](CC)C1 ZINC000663990772 418072181 /nfs/dbraw/zinc/07/21/81/418072181.db2.gz KNCJYMNWANSRLO-HUUCEWRRSA-N 0 2 312.458 0.833 20 0 DCADLN C[C@@H](NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1)c1[nH+]ccn1C ZINC000659418457 418094420 /nfs/dbraw/zinc/09/44/20/418094420.db2.gz PAQVXWTZPZVRJY-GPCCPHFNSA-N 0 2 308.338 0.224 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@@H]1CCCS1(=O)=O ZINC000653614318 418094703 /nfs/dbraw/zinc/09/47/03/418094703.db2.gz XSZCCMCDQCSIEP-JTQLQIEISA-N 0 2 323.330 0.546 20 0 DCADLN Cc1cc(C(=O)N2CN(C)C(=O)[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000648646407 418145117 /nfs/dbraw/zinc/14/51/17/418145117.db2.gz FERBLHZIDLEXBO-SSDOTTSWSA-N 0 2 317.305 0.005 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c(C)nn1 ZINC000648893531 418171126 /nfs/dbraw/zinc/17/11/26/418171126.db2.gz BSINXAQTLPQPOP-JTQLQIEISA-N 0 2 302.338 0.937 20 0 DCADLN O=C([O-])[C@H]1CSCCN(c2cc(-n3cc[nH+]c3)ncn2)C1 ZINC000649408408 418251066 /nfs/dbraw/zinc/25/10/66/418251066.db2.gz NSLCCNVLVRTRPK-SNVBAGLBSA-N 0 2 305.363 0.916 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(c2cc(-n3cc[nH+]c3)ncn2)C1 ZINC000649402515 418251309 /nfs/dbraw/zinc/25/13/09/418251309.db2.gz SLJCVXMHCDHHAM-CQSZACIVSA-N 0 2 303.322 0.590 20 0 DCADLN C[C@H]1C(=O)N(C)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000649157683 418204141 /nfs/dbraw/zinc/20/41/41/418204141.db2.gz DRCQZPIARIUXBK-JTQLQIEISA-N 0 2 300.318 0.838 20 0 DCADLN CC(C)[C@H](CNC(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC000655867633 418294546 /nfs/dbraw/zinc/29/45/46/418294546.db2.gz KXIDTGHFTWGKKC-AVGNSLFASA-N 0 2 315.414 0.752 20 0 DCADLN C[C@H](CO)C1CCN(C(=O)N=c2nc3n([nH]2)CCCC3)CC1 ZINC000650240911 418299171 /nfs/dbraw/zinc/29/91/71/418299171.db2.gz YXROQXHRVSUCBZ-LLVKDONJSA-N 0 2 307.398 0.909 20 0 DCADLN Cn1nccc1N1CCC[C@H](Nc2cc[nH+]c(C(=O)[O-])c2)C1=O ZINC000650769409 418323311 /nfs/dbraw/zinc/32/33/11/418323311.db2.gz WNCNNZSSYWOSOY-NSHDSACASA-N 0 2 315.333 0.543 20 0 DCADLN CNC(=O)CCOC1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650767141 418323500 /nfs/dbraw/zinc/32/35/00/418323500.db2.gz KLZNQJFXSMMKSG-UHFFFAOYSA-N 0 2 307.350 0.901 20 0 DCADLN COCCO[C@@H]1C[C@@H](CO)CN(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000650768625 418323678 /nfs/dbraw/zinc/32/36/78/418323678.db2.gz AZHDZEDTBZYCOS-DGCLKSJQSA-N 0 2 310.350 0.630 20 0 DCADLN Cn1cc(N2CCN(c3cc[nH+]c(C(=O)[O-])c3)CC2=O)cn1 ZINC000650767129 418324001 /nfs/dbraw/zinc/32/40/01/418324001.db2.gz KDFVAAHQMVEOQF-UHFFFAOYSA-N 0 2 301.306 0.367 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H]2Cc3cccc(C)c3O2)[nH]n1 ZINC000650819239 418326072 /nfs/dbraw/zinc/32/60/72/418326072.db2.gz MXMNBBGACARNAP-GFCCVEGCSA-N 0 2 312.329 0.509 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@](C)(OC)c2ccccc2)[nH]n1 ZINC000650816818 418326133 /nfs/dbraw/zinc/32/61/33/418326133.db2.gz HVCLLFQDBPPMHX-INIZCTEOSA-N 0 2 314.345 0.758 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cc(C)n(C)n1)[C@@H]1CCOC1 ZINC000656626948 418367372 /nfs/dbraw/zinc/36/73/72/418367372.db2.gz XGNNFENRSFGWIY-MNOVXSKESA-N 0 2 303.384 0.522 20 0 DCADLN Cc1nn(CC2CC2)c(C)c1CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000651431550 418376393 /nfs/dbraw/zinc/37/63/93/418376393.db2.gz JOPGBIXWDHITPU-UHFFFAOYSA-N 0 2 304.354 0.593 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@H](c3ccccc3F)C2=O)[nH]1 ZINC000656831779 418377607 /nfs/dbraw/zinc/37/76/07/418377607.db2.gz IQIKTMKOJUSEMS-LBPRGKRZSA-N 0 2 319.296 0.883 20 0 DCADLN CCC[C@@H](NC(=O)Cc1cn2c(n1)CCCC2)c1nn[nH]n1 ZINC000651461767 418381244 /nfs/dbraw/zinc/38/12/44/418381244.db2.gz HOMSSSQRPWWIPJ-LLVKDONJSA-N 0 2 303.370 0.933 20 0 DCADLN CCOC[C@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651568887 418391607 /nfs/dbraw/zinc/39/16/07/418391607.db2.gz AIFAJKVRRHSVQJ-LBPRGKRZSA-N 0 2 323.397 0.495 20 0 DCADLN Cc1ccc(CCNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)cc1 ZINC000651572406 418392482 /nfs/dbraw/zinc/39/24/82/418392482.db2.gz RLBRYTFANDGZML-UHFFFAOYSA-N 0 2 315.377 0.887 20 0 DCADLN CCC[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCO1 ZINC000651640063 418400015 /nfs/dbraw/zinc/40/00/15/418400015.db2.gz AAGDHCVDMIYEGC-NSHDSACASA-N 0 2 309.370 0.247 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H]2CC(C)(C)OC2(C)C)[nH]1 ZINC000651655592 418400691 /nfs/dbraw/zinc/40/06/91/418400691.db2.gz AKAKFSKYNCBTDO-SNVBAGLBSA-N 0 2 323.397 0.682 20 0 DCADLN CCOC(=O)[C@H](C)N(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000354345020 261206429 /nfs/dbraw/zinc/20/64/29/261206429.db2.gz BANOHFPMYOXAPT-ZETCQYMHSA-N 0 2 320.305 0.460 20 0 DCADLN O=C(CCOCC(F)(F)C(F)F)NCc1n[nH]c(=O)[nH]1 ZINC000354502816 261228070 /nfs/dbraw/zinc/22/80/70/261228070.db2.gz FSSGSHFNZHGKOC-UHFFFAOYSA-N 0 2 300.212 0.434 20 0 DCADLN C[C@@H](CC(N)=O)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354743487 261266695 /nfs/dbraw/zinc/26/66/95/261266695.db2.gz VYTCYLBBJRLMSO-QMMMGPOBSA-N 0 2 306.297 0.711 20 0 DCADLN Cc1noc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000354753494 261267149 /nfs/dbraw/zinc/26/71/49/261267149.db2.gz GTNBLXOBVSUBHN-UHFFFAOYSA-N 0 2 302.250 0.057 20 0 DCADLN NC(C(=O)Nc1ccc(Cc2nn[nH]n2)cc1)C(F)(F)F ZINC000423738217 262458556 /nfs/dbraw/zinc/45/85/56/262458556.db2.gz FXIVBRNAPGIAJJ-VIFPVBQESA-N 0 2 300.244 0.619 20 0 DCADLN COCCN(C(=O)CSc1n[nH]c(=O)[nH]1)[C@@H]1CCO[C@H]1C ZINC000427992049 262575850 /nfs/dbraw/zinc/57/58/50/262575850.db2.gz KZCLNCQEJXIINZ-DTWKUNHWSA-N 0 2 316.383 0.255 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)C1CCN(CC(F)F)CC1 ZINC000354329712 270978673 /nfs/dbraw/zinc/97/86/73/270978673.db2.gz GEEXSZAMGARSRT-UHFFFAOYSA-N 0 2 317.340 0.536 20 0 DCADLN O=C(NC[C@H](O)[C@H]1CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000355551315 271013786 /nfs/dbraw/zinc/01/37/86/271013786.db2.gz ZALPQIRSQIFLPU-AAEUAGOBSA-N 0 2 317.345 0.705 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1CCc2ccccc2O1 ZINC000412166701 271236951 /nfs/dbraw/zinc/23/69/51/271236951.db2.gz XFMONJPBQVLPDP-LBPRGKRZSA-N 0 2 302.334 0.953 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N2C[C@@H](C)[C@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC000422709033 271378536 /nfs/dbraw/zinc/37/85/36/271378536.db2.gz YMVGINZMACDGTI-NOZJJQNGSA-N 0 2 319.361 0.494 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)CC1 ZINC000437581438 271621331 /nfs/dbraw/zinc/62/13/31/271621331.db2.gz SBOYIAGOVIBBTG-MGCOHNPYSA-N 0 2 310.354 0.539 20 0 DCADLN COc1cncc(/C=C/C(=O)NS(=O)(=O)c2cnn(C)c2)c1 ZINC000492517727 272132976 /nfs/dbraw/zinc/13/29/76/272132976.db2.gz AACIJXIKOKKFMP-ONEGZZNKSA-N 0 2 322.346 0.342 20 0 DCADLN COc1ccc(/C=C/C(=O)NS(=O)(=O)c2ccnn2C)nc1 ZINC000492629462 272138625 /nfs/dbraw/zinc/13/86/25/272138625.db2.gz FNZGFWQTOUYGGW-GQCTYLIASA-N 0 2 322.346 0.342 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C\c1ccc(C)nc1 ZINC000493647542 272202215 /nfs/dbraw/zinc/20/22/15/272202215.db2.gz AFNRFFFNUPKTTF-SREVYHEPSA-N 0 2 320.374 0.950 20 0 DCADLN CC(=O)Nc1ncc(S(=O)(=O)NC(=O)/C=C\C2CC2)s1 ZINC000493648761 272202332 /nfs/dbraw/zinc/20/23/32/272202332.db2.gz DCVZPCUDYMEXHO-PLNGDYQASA-N 0 2 315.376 0.873 20 0 DCADLN C[C@H](CONC(=O)C1=COCCO1)NC(=O)OC(C)(C)C ZINC000495235748 272242071 /nfs/dbraw/zinc/24/20/71/272242071.db2.gz CNRMCJAQOIFKMD-SECBINFHSA-N 0 2 302.327 0.836 20 0 DCADLN CCOC(=O)N1CC[C@@H](NS(=O)(=O)NCC(F)(F)F)C1 ZINC000496664024 272333790 /nfs/dbraw/zinc/33/37/90/272333790.db2.gz SUIWSYYABWGMFB-SSDOTTSWSA-N 0 2 319.305 0.204 20 0 DCADLN COC(=O)NC(C)(C)C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000496797630 272345529 /nfs/dbraw/zinc/34/55/29/272345529.db2.gz YQNLWIJGUKERKH-UHFFFAOYSA-N 0 2 311.342 0.351 20 0 DCADLN Cn1nccc1[C@@H](CO)Nc1cc(C(C)(C)C)[nH+]c(C(=O)[O-])n1 ZINC000516929803 272450717 /nfs/dbraw/zinc/45/07/17/272450717.db2.gz QJJGATBADBBNLN-SECBINFHSA-N 0 2 319.365 0.773 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001649951352 1173332085 /nfs/dbraw/zinc/33/20/85/1173332085.db2.gz DPTGLGFWRBRXSB-CSMHCCOUSA-N 0 2 303.277 0.780 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001649951352 1173332094 /nfs/dbraw/zinc/33/20/94/1173332094.db2.gz DPTGLGFWRBRXSB-CSMHCCOUSA-N 0 2 303.277 0.780 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@@H]3CNC(=O)C3)=N2)cc1 ZINC000544536752 287976509 /nfs/dbraw/zinc/97/65/09/287976509.db2.gz UJVJBBNYVMWXQH-VIFPVBQESA-N 0 2 316.317 0.205 20 0 DCADLN CC(C)(C)N1C[C@@H](NS(=O)(=O)NCC(F)(F)F)CC1=O ZINC000195328485 278352375 /nfs/dbraw/zinc/35/23/75/278352375.db2.gz VFALAGCZXDQMAV-ZETCQYMHSA-N 0 2 317.333 0.372 20 0 DCADLN O=c1cc(CN2CCO[C@@H](COCC3CC3)C2)nc2cc[nH]n21 ZINC000547624291 288063134 /nfs/dbraw/zinc/06/31/34/288063134.db2.gz XBXNKTIYADGSEB-CQSZACIVSA-N 0 2 318.377 0.650 20 0 DCADLN Cc1cnc(CCNC(=O)c2cn[nH]c2-c2cnn(C)c2)nc1 ZINC000547720202 288072384 /nfs/dbraw/zinc/07/23/84/288072384.db2.gz ZPCBBGLFYCMSAW-UHFFFAOYSA-N 0 2 311.349 0.881 20 0 DCADLN CC1(C)CCC[C@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000174996697 281067217 /nfs/dbraw/zinc/06/72/17/281067217.db2.gz FZTYRVLPDFIXQV-SNVBAGLBSA-N 0 2 302.334 0.920 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn(-c3ccccn3)c2)c1O ZINC000553100095 288287028 /nfs/dbraw/zinc/28/70/28/288287028.db2.gz QPDOBJHFXCVOSV-LLVKDONJSA-N 0 2 315.289 0.255 20 0 DCADLN CC1(C)COCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000299367532 281284052 /nfs/dbraw/zinc/28/40/52/281284052.db2.gz USPHOFAPAYRGSM-UHFFFAOYSA-N 0 2 304.306 0.687 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000153543997 304591377 /nfs/dbraw/zinc/59/13/77/304591377.db2.gz VJLVSIHNJZVLOG-DTORHVGOSA-N 0 2 300.318 0.484 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000249550408 304760115 /nfs/dbraw/zinc/76/01/15/304760115.db2.gz KWQWATGDSZEETN-HLTSFMKQSA-N 0 2 302.318 0.930 20 0 DCADLN CN(C(C)(C)C)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000570714515 332805514 /nfs/dbraw/zinc/80/55/14/332805514.db2.gz NGFLLKVICHYXPM-UHFFFAOYSA-N 0 2 312.351 0.855 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC(=O)N(C3CC3)C2)c1 ZINC000582660343 337117296 /nfs/dbraw/zinc/11/72/96/337117296.db2.gz APWBWCJDJHKDDD-QFIPXVFZSA-N 0 2 322.386 0.594 20 0 DCADLN C[N@H+]1CCN(C(=O)NC[C@H]2CCOc3ccccc32)[C@@H](C[NH3+])C1 ZINC000583311478 337313670 /nfs/dbraw/zinc/31/36/70/337313670.db2.gz IIYMOFVYFUUYPO-KGLIPLIRSA-N 0 2 318.421 0.837 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1CC[C@@H]1C(N)=O ZINC000584479108 337348141 /nfs/dbraw/zinc/34/81/41/337348141.db2.gz LZAUKIHPQYOFMV-UWVGGRQHSA-N 0 2 315.333 0.936 20 0 DCADLN O=C([O-])C1(NS(=O)(=O)CCC[NH+]2CCOCC2)CCCC1 ZINC000584588357 337370151 /nfs/dbraw/zinc/37/01/51/337370151.db2.gz CKJMNXVZIAYDAU-UHFFFAOYSA-N 0 2 320.411 0.026 20 0 DCADLN C[C@@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC000580434313 337674738 /nfs/dbraw/zinc/67/47/38/337674738.db2.gz HCYLBGKSNQYXQB-ZJUUUORDSA-N 0 2 304.354 0.754 20 0 DCADLN COc1cnc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000580567756 341878199 /nfs/dbraw/zinc/87/81/99/341878199.db2.gz KCQYYIUMNQKSFP-MRVPVSSYSA-N 0 2 304.310 0.329 20 0 DCADLN COC[C@@H](C)N(C)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266856255 522217381 /nfs/dbraw/zinc/21/73/81/522217381.db2.gz SVXBPTJXXGLQKZ-MRVPVSSYSA-N 0 2 306.322 0.851 20 0 DCADLN Cc1cc(C(=O)NO[C@@H]2CCCCO2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265784753 524115363 /nfs/dbraw/zinc/11/53/63/524115363.db2.gz HKHQWTBHHQJYEI-SECBINFHSA-N 0 2 320.305 0.932 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@@H]1CCNC(=O)C1 ZINC000153418821 545831427 /nfs/dbraw/zinc/83/14/27/545831427.db2.gz XORZDYZQGUEYGB-SECBINFHSA-N 0 2 302.290 0.495 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1cc(C(N)=O)sc1C ZINC000671660615 547279664 /nfs/dbraw/zinc/27/96/64/547279664.db2.gz WSOWMSCBKLOLIT-UHFFFAOYSA-N 0 2 314.392 0.998 20 0 DCADLN CN(CC[NH+](C)C)C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000673286480 547469288 /nfs/dbraw/zinc/46/92/88/547469288.db2.gz UBYNSHZNHUAIIK-HNNXBMFYSA-N 0 2 318.421 0.309 20 0 DCADLN NC(=O)N1CCC[C@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000673836554 547526415 /nfs/dbraw/zinc/52/64/15/547526415.db2.gz SRQWXAKZVLUPNZ-JTQLQIEISA-N 0 2 317.305 0.512 20 0 DCADLN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000673944027 547544371 /nfs/dbraw/zinc/54/43/71/547544371.db2.gz QWTOHAADWKYSHS-SNVBAGLBSA-N 0 2 323.397 0.596 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(Br)c1O ZINC000673948960 547545288 /nfs/dbraw/zinc/54/52/88/547545288.db2.gz GUMSXSHIMYPYKW-UHFFFAOYSA-N 0 2 313.111 0.908 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)ccc1N1CCNC1=O ZINC000673949304 547545758 /nfs/dbraw/zinc/54/57/58/547545758.db2.gz LSSAQZYGLFTCPW-UHFFFAOYSA-N 0 2 316.321 0.278 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)OCC(=O)N2 ZINC000675566962 547693469 /nfs/dbraw/zinc/69/34/69/547693469.db2.gz DCNYQTGNDJHHRZ-UHFFFAOYSA-N 0 2 304.266 0.163 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N(CC(F)(F)F)C(C)C ZINC000676230176 547746961 /nfs/dbraw/zinc/74/69/61/547746961.db2.gz PGSQFLQCCQPYBO-UHFFFAOYSA-N 0 2 314.304 0.819 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N(CC(F)(F)F)C(C)C ZINC000676230176 547746965 /nfs/dbraw/zinc/74/69/65/547746965.db2.gz PGSQFLQCCQPYBO-UHFFFAOYSA-N 0 2 314.304 0.819 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(Cc3cccnc3)CC2)c1O ZINC000676629948 547797334 /nfs/dbraw/zinc/79/73/34/547797334.db2.gz KEJRCGVTAGSNIS-UHFFFAOYSA-N 0 2 301.350 0.777 20 0 DCADLN CCC[C@@H]1CN(C(=O)c2[nH]nc(C)c2[O-])CC[N@@H+]1CCOC ZINC000678052108 547939869 /nfs/dbraw/zinc/93/98/69/547939869.db2.gz QFLCQDARLSNCLQ-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN CCC[C@@H]1CN(C(=O)c2[nH]nc(C)c2[O-])CC[N@H+]1CCOC ZINC000678052108 547939875 /nfs/dbraw/zinc/93/98/75/547939875.db2.gz QFLCQDARLSNCLQ-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN CCc1[nH+]c2ccccc2n1CC(=O)N[C@]1(C(=O)[O-])CCOC1 ZINC000678988605 548020808 /nfs/dbraw/zinc/02/08/08/548020808.db2.gz LJNMUMCEPLYCDZ-MRXNPFEDSA-N 0 2 317.345 0.959 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccc(F)c(C(N)=O)c1 ZINC000681129963 548207406 /nfs/dbraw/zinc/20/74/06/548207406.db2.gz PRHOZFIABYIQOX-UHFFFAOYSA-N 0 2 312.326 0.942 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)c1O ZINC000681357619 548240835 /nfs/dbraw/zinc/24/08/35/548240835.db2.gz IPDXDRQXZQKUGE-UHFFFAOYSA-N 0 2 309.370 0.690 20 0 DCADLN CCn1nccc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000681472734 548253196 /nfs/dbraw/zinc/25/31/96/548253196.db2.gz QAVQFLMVQOKBCO-UHFFFAOYSA-N 0 2 316.368 0.378 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000682832815 548430005 /nfs/dbraw/zinc/43/00/05/548430005.db2.gz KIOFWMNXPKUVNW-UHFFFAOYSA-N 0 2 321.362 0.508 20 0 DCADLN Cc1[nH][nH]c(=O)c1CCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC000685736128 548731469 /nfs/dbraw/zinc/73/14/69/548731469.db2.gz UJAALSBVOIZVFK-SNVBAGLBSA-N 0 2 312.333 0.714 20 0 DCADLN Cc1cc(-n2c(C)cn(C[N@@H+]3CC[C@@H](C(=O)[O-])C3)c2=O)no1 ZINC000818783740 596899921 /nfs/dbraw/zinc/89/99/21/596899921.db2.gz AVBZXFPIAHTQDV-LLVKDONJSA-N 0 2 306.322 0.608 20 0 DCADLN Cc1cc(-n2c(C)cn(C[N@H+]3CC[C@@H](C(=O)[O-])C3)c2=O)no1 ZINC000818783740 596899923 /nfs/dbraw/zinc/89/99/23/596899923.db2.gz AVBZXFPIAHTQDV-LLVKDONJSA-N 0 2 306.322 0.608 20 0 DCADLN Cn1c2nnc(C[N@H+](C)CCC(=O)[O-])n2c2ccsc2c1=O ZINC000818467792 597002890 /nfs/dbraw/zinc/00/28/90/597002890.db2.gz ZTDXHRJMIXUAQJ-UHFFFAOYSA-N 0 2 321.362 0.549 20 0 DCADLN Cn1c2nnc(C[N@@H+](C)CCC(=O)[O-])n2c2ccsc2c1=O ZINC000818467792 597002894 /nfs/dbraw/zinc/00/28/94/597002894.db2.gz ZTDXHRJMIXUAQJ-UHFFFAOYSA-N 0 2 321.362 0.549 20 0 DCADLN O=C([O-])CSCC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000819539688 597143793 /nfs/dbraw/zinc/14/37/93/597143793.db2.gz JTKFHRKCPTUDSL-LLVKDONJSA-N 0 2 302.396 0.127 20 0 DCADLN COC(=O)c1cc(C(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])on1 ZINC000820677762 597702482 /nfs/dbraw/zinc/70/24/82/597702482.db2.gz QPZQIGOBRYISHL-MRVPVSSYSA-N 0 2 312.278 0.167 20 0 DCADLN COC(=O)c1cc(C(=O)OC[C@H]2CCC[N@H+]2CC(=O)[O-])on1 ZINC000820677762 597702484 /nfs/dbraw/zinc/70/24/84/597702484.db2.gz QPZQIGOBRYISHL-MRVPVSSYSA-N 0 2 312.278 0.167 20 0 DCADLN COCC[N@H+](CC(=O)[O-])Cc1cnc(N2CCOCC2)s1 ZINC000820723547 598118793 /nfs/dbraw/zinc/11/87/93/598118793.db2.gz QGHBERVLPPCECP-UHFFFAOYSA-N 0 2 315.395 0.513 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])Cc1cnc(N2CCOCC2)s1 ZINC000820723547 598118795 /nfs/dbraw/zinc/11/87/95/598118795.db2.gz QGHBERVLPPCECP-UHFFFAOYSA-N 0 2 315.395 0.513 20 0 DCADLN Cc1ccn(CC(=O)NCC(F)(F)F)c(=O)c1-c1nn[nH]n1 ZINC000822369302 607349621 /nfs/dbraw/zinc/34/96/21/607349621.db2.gz FVIWVUNIECTYHG-UHFFFAOYSA-N 0 2 316.243 0.015 20 0 DCADLN Cc1csc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)nc2)n1 ZINC000737452457 598438253 /nfs/dbraw/zinc/43/82/53/598438253.db2.gz KQZXLECWJNLJOJ-UHFFFAOYSA-N 0 2 323.363 0.827 20 0 DCADLN O=S(=O)(Nc1cccnc1)c1ccc(-c2nn[nH]n2)nc1 ZINC000738341046 598608362 /nfs/dbraw/zinc/60/83/62/598608362.db2.gz CVXNNHJOIXXQJK-UHFFFAOYSA-N 0 2 303.307 0.458 20 0 DCADLN CCc1nn(Cc2nonc2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705865 599463347 /nfs/dbraw/zinc/46/33/47/599463347.db2.gz BVCKYPCEUKKTGD-UHFFFAOYSA-N 0 2 316.325 0.288 20 0 DCADLN CC(C)[C@H](C)NC(=O)C1CC[NH+](Cn2cc(C(=O)[O-])nn2)CC1 ZINC000736356075 599705123 /nfs/dbraw/zinc/70/51/23/599705123.db2.gz VIROANVSFYWTPU-NSHDSACASA-N 0 2 323.397 0.807 20 0 DCADLN C[N@H+](CCOc1cccc(C(=O)[O-])c1)CCS(C)(=O)=O ZINC000094298942 599810178 /nfs/dbraw/zinc/81/01/78/599810178.db2.gz UHHFEKBWKKZUIV-UHFFFAOYSA-N 0 2 301.364 0.740 20 0 DCADLN C[N@@H+](CCOc1cccc(C(=O)[O-])c1)CCS(C)(=O)=O ZINC000094298942 599810180 /nfs/dbraw/zinc/81/01/80/599810180.db2.gz UHHFEKBWKKZUIV-UHFFFAOYSA-N 0 2 301.364 0.740 20 0 DCADLN C[C@H](CNC(=O)NC1CCC(C(=O)[O-])CC1)[NH+]1CCOCC1 ZINC000736594952 600016089 /nfs/dbraw/zinc/01/60/89/600016089.db2.gz GGZOEFMRASQFOO-PNESKVBLSA-N 0 2 313.398 0.650 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)N2Cc3ccc(C(=O)[O-])cc3C2)C1 ZINC000391147404 600023473 /nfs/dbraw/zinc/02/34/73/600023473.db2.gz UURFPQXZHSZJQN-CQSZACIVSA-N 0 2 319.361 0.741 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)N2Cc3ccc(C(=O)[O-])cc3C2)C1 ZINC000391147404 600023480 /nfs/dbraw/zinc/02/34/80/600023480.db2.gz UURFPQXZHSZJQN-CQSZACIVSA-N 0 2 319.361 0.741 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)c1ccc(C(=O)[O-])cc1 ZINC000736587329 600075923 /nfs/dbraw/zinc/07/59/23/600075923.db2.gz VTXGVYVRWZQYKI-GFCCVEGCSA-N 0 2 305.378 0.751 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@@H+](CCO)C3CC3)nc2c1 ZINC000740188565 600086733 /nfs/dbraw/zinc/08/67/33/600086733.db2.gz BXTZUGFHBBLOCR-UHFFFAOYSA-N 0 2 303.318 0.990 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@H+](CCO)C3CC3)nc2c1 ZINC000740188565 600086735 /nfs/dbraw/zinc/08/67/35/600086735.db2.gz BXTZUGFHBBLOCR-UHFFFAOYSA-N 0 2 303.318 0.990 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@H+](CC(=O)NNC(=O)c2cccs2)C1 ZINC000035296935 600135188 /nfs/dbraw/zinc/13/51/88/600135188.db2.gz HSRICHIITJDTGC-SECBINFHSA-N 0 2 311.363 0.306 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)NNC(=O)c2cccs2)C1 ZINC000035296935 600135190 /nfs/dbraw/zinc/13/51/90/600135190.db2.gz HSRICHIITJDTGC-SECBINFHSA-N 0 2 311.363 0.306 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000738769592 600141759 /nfs/dbraw/zinc/14/17/59/600141759.db2.gz FULPLZDTYLEFML-KGLIPLIRSA-N 0 2 306.362 0.174 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000738769592 600141760 /nfs/dbraw/zinc/14/17/60/600141760.db2.gz FULPLZDTYLEFML-KGLIPLIRSA-N 0 2 306.362 0.174 20 0 DCADLN COC(=O)C[C@H](NC(=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)[O-] ZINC000737591215 600242492 /nfs/dbraw/zinc/24/24/92/600242492.db2.gz KZKHOMAAQJYAIQ-NSHDSACASA-N 0 2 319.317 0.318 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)Cc1cn2ccccc2n1 ZINC000739792711 600317160 /nfs/dbraw/zinc/31/71/60/600317160.db2.gz YHWKZQZFSLEBEF-UHFFFAOYSA-N 0 2 318.377 0.553 20 0 DCADLN COC(=O)C1(NC(=O)C[N@@H+]2CCC[C@H]2C(=O)[O-])CCCCC1 ZINC000737582410 600436691 /nfs/dbraw/zinc/43/66/91/600436691.db2.gz ZYPMTHQWNBMORB-NSHDSACASA-N 0 2 312.366 0.528 20 0 DCADLN COC(=O)C1(NC(=O)C[N@H+]2CCC[C@H]2C(=O)[O-])CCCCC1 ZINC000737582410 600436692 /nfs/dbraw/zinc/43/66/92/600436692.db2.gz ZYPMTHQWNBMORB-NSHDSACASA-N 0 2 312.366 0.528 20 0 DCADLN O=C([O-])CCCS(=O)(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000738585722 600497722 /nfs/dbraw/zinc/49/77/22/600497722.db2.gz BTFWNPMFYYSFNG-UHFFFAOYSA-N 0 2 301.368 0.504 20 0 DCADLN O=C([O-])Cc1ccc(S(=O)(=O)NCCn2cc[nH+]c2)cc1 ZINC000041119894 600645995 /nfs/dbraw/zinc/64/59/95/600645995.db2.gz RMAMKINFKFAHKI-UHFFFAOYSA-N 0 2 309.347 0.489 20 0 DCADLN Cn1ccnc(N2CC[NH+](Cc3occc3C(=O)[O-])CC2)c1=O ZINC000833059212 600800771 /nfs/dbraw/zinc/80/07/71/600800771.db2.gz LKQKWMUFXPWXLY-UHFFFAOYSA-N 0 2 318.333 0.394 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)Cc1ccncc1Cl ZINC000833248557 600832200 /nfs/dbraw/zinc/83/22/00/600832200.db2.gz SHZAYXKFMSGLQW-UHFFFAOYSA-N 0 2 313.785 0.954 20 0 DCADLN CC(C)OCC[NH+]1CCN(S(=O)(=O)CCCC(=O)[O-])CC1 ZINC000827031087 600866142 /nfs/dbraw/zinc/86/61/42/600866142.db2.gz VCEWKYXFCAINFR-UHFFFAOYSA-N 0 2 322.427 0.224 20 0 DCADLN C[C@@H](CS(=O)(=O)NC[C@H](c1ccco1)[NH+](C)C)C(=O)[O-] ZINC000827558236 601272646 /nfs/dbraw/zinc/27/26/46/601272646.db2.gz KLLYNAAXANWKDL-VHSXEESVSA-N 0 2 304.368 0.522 20 0 DCADLN CC(C)CNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000826891133 601438359 /nfs/dbraw/zinc/43/83/59/601438359.db2.gz IAHIHDRVPFIXSR-ZANVPECISA-N 0 2 303.334 0.355 20 0 DCADLN CC(C)CNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000826891133 601438360 /nfs/dbraw/zinc/43/83/60/601438360.db2.gz IAHIHDRVPFIXSR-ZANVPECISA-N 0 2 303.334 0.355 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@H+](CC(=O)NCCOc2ccccc2)C1 ZINC000833104382 601442890 /nfs/dbraw/zinc/44/28/90/601442890.db2.gz AXQPORORHGGHEC-HNNXBMFYSA-N 0 2 310.325 0.680 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@@H+](CC(=O)NCCOc2ccccc2)C1 ZINC000833104382 601442891 /nfs/dbraw/zinc/44/28/91/601442891.db2.gz AXQPORORHGGHEC-HNNXBMFYSA-N 0 2 310.325 0.680 20 0 DCADLN COCc1cccc(CNC(=O)C[N@@H+]2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000831817151 601549944 /nfs/dbraw/zinc/54/99/44/601549944.db2.gz CWUNVSBAXAHQSF-MRXNPFEDSA-N 0 2 324.352 0.948 20 0 DCADLN COCc1cccc(CNC(=O)C[N@H+]2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000831817151 601549945 /nfs/dbraw/zinc/54/99/45/601549945.db2.gz CWUNVSBAXAHQSF-MRXNPFEDSA-N 0 2 324.352 0.948 20 0 DCADLN CC(=O)N1CCN(C(=O)[C@@H](C)n2cc(C(=O)[O-])[nH+]c2C)CC1 ZINC000825840457 601637066 /nfs/dbraw/zinc/63/70/66/601637066.db2.gz KUEMPHRKRVDAQU-SECBINFHSA-N 0 2 308.338 0.141 20 0 DCADLN CCOCC[N@@H+]1CCN(S(=O)(=O)C[C@@H](C)C(=O)[O-])C[C@H]1C ZINC000830011696 601792626 /nfs/dbraw/zinc/79/26/26/601792626.db2.gz LKGHIZOXFSPJKD-VXGBXAGGSA-N 0 2 322.427 0.080 20 0 DCADLN CCOCC[N@H+]1CCN(S(=O)(=O)C[C@@H](C)C(=O)[O-])C[C@H]1C ZINC000830011696 601792627 /nfs/dbraw/zinc/79/26/27/601792627.db2.gz LKGHIZOXFSPJKD-VXGBXAGGSA-N 0 2 322.427 0.080 20 0 DCADLN COCCn1cnn(C[N@@H+]2C[C@H](C)[C@@H](C(=O)[O-])C2)c1=S ZINC000831807140 601821110 /nfs/dbraw/zinc/82/11/10/601821110.db2.gz BHYXUDOGIRNGBR-UWVGGRQHSA-N 0 2 300.384 0.670 20 0 DCADLN COCCn1cnn(C[N@H+]2C[C@H](C)[C@@H](C(=O)[O-])C2)c1=S ZINC000831807140 601821111 /nfs/dbraw/zinc/82/11/11/601821111.db2.gz BHYXUDOGIRNGBR-UWVGGRQHSA-N 0 2 300.384 0.670 20 0 DCADLN COc1cc(OC)cc([C@@H]([NH2+]CC(=O)NC(C)C)C(=O)[O-])c1 ZINC000832057510 602164050 /nfs/dbraw/zinc/16/40/50/602164050.db2.gz PPZLPDWFNCHNCW-CQSZACIVSA-N 0 2 310.350 0.944 20 0 DCADLN C[C@H](CS(=O)(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000827541820 602320331 /nfs/dbraw/zinc/32/03/31/602320331.db2.gz PLCBIBKLWRQJAX-UTUOFQBUSA-N 0 2 315.395 0.817 20 0 DCADLN O=C([O-])N[C@@H]1CCCN(c2cc(N3CCOCC3)nc[nH+]2)C1 ZINC000738652218 602729301 /nfs/dbraw/zinc/72/93/01/602729301.db2.gz BLEIZVILTLRNTA-LLVKDONJSA-N 0 2 307.354 0.550 20 0 DCADLN O=C([O-])N[C@@H]1CCCN(c2cc(N3CCOCC3)[nH+]cn2)C1 ZINC000738652218 602729302 /nfs/dbraw/zinc/72/93/02/602729302.db2.gz BLEIZVILTLRNTA-LLVKDONJSA-N 0 2 307.354 0.550 20 0 DCADLN O=C([O-])N1CC[C@@H](C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)C1 ZINC000831862658 603037888 /nfs/dbraw/zinc/03/78/88/603037888.db2.gz BBVKBFWYTQWEAV-CHWSQXEVSA-N 0 2 311.382 0.310 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N[C@@H]2CCCN(C(=O)[O-])C2)CCO1 ZINC000736806660 603297162 /nfs/dbraw/zinc/29/71/62/603297162.db2.gz DGXODCWTIVQOLH-NWDGAFQWSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N[C@@H]2CCCN(C(=O)[O-])C2)CCO1 ZINC000736806660 603297166 /nfs/dbraw/zinc/29/71/66/603297166.db2.gz DGXODCWTIVQOLH-NWDGAFQWSA-N 0 2 314.386 0.149 20 0 DCADLN COCc1cccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000829040559 603514687 /nfs/dbraw/zinc/51/46/87/603514687.db2.gz OOKLOZVBAMKKFJ-CQSZACIVSA-N 0 2 321.377 0.857 20 0 DCADLN COCc1cccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000829040559 603514688 /nfs/dbraw/zinc/51/46/88/603514688.db2.gz OOKLOZVBAMKKFJ-CQSZACIVSA-N 0 2 321.377 0.857 20 0 DCADLN CN(C)c1cc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])ccn1 ZINC000828513728 603516872 /nfs/dbraw/zinc/51/68/72/603516872.db2.gz PBICETGKVRDSPN-GFCCVEGCSA-N 0 2 321.381 0.171 20 0 DCADLN CN(C)c1cc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])ccn1 ZINC000828513728 603516875 /nfs/dbraw/zinc/51/68/75/603516875.db2.gz PBICETGKVRDSPN-GFCCVEGCSA-N 0 2 321.381 0.171 20 0 DCADLN CCN(CC1CC[NH+](Cc2nc(N)nc(N)n2)CC1)C(=O)[O-] ZINC000827100278 603540404 /nfs/dbraw/zinc/54/04/04/603540404.db2.gz WADRMBQLQPPSJZ-UHFFFAOYSA-N 0 2 309.374 0.248 20 0 DCADLN COc1ncccc1NC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000829666129 603597923 /nfs/dbraw/zinc/59/79/23/603597923.db2.gz ZNOIDXBTTBGOPV-SNVBAGLBSA-N 0 2 323.353 0.506 20 0 DCADLN COc1ncccc1NC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000829666129 603597926 /nfs/dbraw/zinc/59/79/26/603597926.db2.gz ZNOIDXBTTBGOPV-SNVBAGLBSA-N 0 2 323.353 0.506 20 0 DCADLN CC(C)C[C@H](CNC(=O)[O-])NC(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000824197306 603632966 /nfs/dbraw/zinc/63/29/66/603632966.db2.gz VKBDGJXPWBUCGR-VXGBXAGGSA-N 0 2 316.402 0.299 20 0 DCADLN CC(C)C[C@H](CNC(=O)[O-])NC(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000824197306 603632968 /nfs/dbraw/zinc/63/29/68/603632968.db2.gz VKBDGJXPWBUCGR-VXGBXAGGSA-N 0 2 316.402 0.299 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)C2(CNC(=O)[O-])CCCC2)CCO1 ZINC000826059071 603650753 /nfs/dbraw/zinc/65/07/53/603650753.db2.gz RMFJNNMWGMLPQS-LBPRGKRZSA-N 0 2 313.398 0.651 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)C2(CNC(=O)[O-])CCCC2)CCO1 ZINC000826059071 603650756 /nfs/dbraw/zinc/65/07/56/603650756.db2.gz RMFJNNMWGMLPQS-LBPRGKRZSA-N 0 2 313.398 0.651 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)CCn1cccc1 ZINC000828312192 603754805 /nfs/dbraw/zinc/75/48/05/603754805.db2.gz HPBWBSKBRKICRT-UHFFFAOYSA-N 0 2 308.382 0.632 20 0 DCADLN CC(C)C[C@](C)(CNC(=O)[O-])NC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC000824175533 603800070 /nfs/dbraw/zinc/80/00/70/603800070.db2.gz ATTNTNJTLTZUJK-SMDDNHRTSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@](C)(CNC(=O)[O-])NC(=O)[C@@H]1C[N@@H+](C)CCO1 ZINC000824175533 603800076 /nfs/dbraw/zinc/80/00/76/603800076.db2.gz ATTNTNJTLTZUJK-SMDDNHRTSA-N 0 2 301.387 0.506 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)[C@@]2(F)CCN(C(=O)[O-])C2)CCO1 ZINC000825734929 603869765 /nfs/dbraw/zinc/86/97/65/603869765.db2.gz SEXZANZYBHXUGP-CQSZACIVSA-N 0 2 317.361 0.305 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)[C@@]2(F)CCN(C(=O)[O-])C2)CCO1 ZINC000825734929 603869766 /nfs/dbraw/zinc/86/97/66/603869766.db2.gz SEXZANZYBHXUGP-CQSZACIVSA-N 0 2 317.361 0.305 20 0 DCADLN CCN(C1CC[NH+](C2CN(C(=O)[O-])C2)CC1)S(C)(=O)=O ZINC000826993027 603922305 /nfs/dbraw/zinc/92/23/05/603922305.db2.gz ZIDMBDSVJZZERI-UHFFFAOYSA-N 0 2 305.400 0.095 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N1C[C@@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000824823449 604197554 /nfs/dbraw/zinc/19/75/54/604197554.db2.gz GCRXFVXETNQHRR-JHJVBQTASA-N 0 2 313.398 0.411 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000738162762 604309500 /nfs/dbraw/zinc/30/95/00/604309500.db2.gz IGVQBPVUMZSJGS-JTQLQIEISA-N 0 2 322.409 0.695 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000831744030 604314895 /nfs/dbraw/zinc/31/48/95/604314895.db2.gz DNGGVZIUYKTBHF-UHFFFAOYSA-N 0 2 310.325 0.928 20 0 DCADLN COc1cccc(OCCN2CC[NH+](CCNC(=O)[O-])CC2)c1 ZINC000829576327 604366918 /nfs/dbraw/zinc/36/69/18/604366918.db2.gz PXSZAMHBTRJAGN-UHFFFAOYSA-N 0 2 323.393 0.959 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2c[nH]c3ncccc23)[C@@H](CNC(=O)[O-])C1 ZINC000828508229 604396367 /nfs/dbraw/zinc/39/63/67/604396367.db2.gz YYUSTKBETKZNOQ-JTQLQIEISA-N 0 2 317.349 0.587 20 0 DCADLN C[N@H+]1CCN(C(=O)c2c[nH]c3ncccc23)[C@@H](CNC(=O)[O-])C1 ZINC000828508229 604396371 /nfs/dbraw/zinc/39/63/71/604396371.db2.gz YYUSTKBETKZNOQ-JTQLQIEISA-N 0 2 317.349 0.587 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cnn3ccccc23)[C@@H](CNC(=O)[O-])C1 ZINC000828513449 604396697 /nfs/dbraw/zinc/39/66/97/604396697.db2.gz LSYWKDQSAKFIIJ-NSHDSACASA-N 0 2 317.349 0.358 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cnn3ccccc23)[C@@H](CNC(=O)[O-])C1 ZINC000828513449 604396699 /nfs/dbraw/zinc/39/66/99/604396699.db2.gz LSYWKDQSAKFIIJ-NSHDSACASA-N 0 2 317.349 0.358 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])O1 ZINC000825872523 604405823 /nfs/dbraw/zinc/40/58/23/604405823.db2.gz PCAFJRMYNCHTIT-JHJVBQTASA-N 0 2 313.398 0.744 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])O1 ZINC000825872523 604405824 /nfs/dbraw/zinc/40/58/24/604405824.db2.gz PCAFJRMYNCHTIT-JHJVBQTASA-N 0 2 313.398 0.744 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2C[C@H](O)C[C@H](O)C2)c1 ZINC000832049043 604481390 /nfs/dbraw/zinc/48/13/90/604481390.db2.gz DQOXKQBTJYANGV-UHIISALHSA-N 0 2 311.334 0.257 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@@H+]2C[C@H](O)C[C@H](O)C2)c1 ZINC000832049043 604481394 /nfs/dbraw/zinc/48/13/94/604481394.db2.gz DQOXKQBTJYANGV-UHIISALHSA-N 0 2 311.334 0.257 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000826000074 604504337 /nfs/dbraw/zinc/50/43/37/604504337.db2.gz MBWBOBHKFLQUSU-GFCCVEGCSA-N 0 2 323.368 0.533 20 0 DCADLN CC[C@H](O)CCNC(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000826626239 604517895 /nfs/dbraw/zinc/51/78/95/604517895.db2.gz SYKWMFQQTFUBKM-NSHDSACASA-N 0 2 301.387 0.338 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)[O-])o2)[C@@H](C)C[N@@H+]1C ZINC000833630493 604553445 /nfs/dbraw/zinc/55/34/45/604553445.db2.gz INVWWNAJMZMQPY-BDAKNGLRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)[O-])o2)[C@@H](C)C[N@H+]1C ZINC000833630493 604553448 /nfs/dbraw/zinc/55/34/48/604553448.db2.gz INVWWNAJMZMQPY-BDAKNGLRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C)C1 ZINC000826001539 604758625 /nfs/dbraw/zinc/75/86/25/604758625.db2.gz VLBNAPKERAKIMH-WDEREUQCSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C)C1 ZINC000826001539 604758627 /nfs/dbraw/zinc/75/86/27/604758627.db2.gz VLBNAPKERAKIMH-WDEREUQCSA-N 0 2 313.398 0.202 20 0 DCADLN O=C([O-])N1CCO[C@@H](CC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)C1 ZINC000832060776 604794559 /nfs/dbraw/zinc/79/45/59/604794559.db2.gz RBYIFKVEAYIWBQ-LBPRGKRZSA-N 0 2 322.365 0.885 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N2CC(Oc3cc[nH+]cc3)C2)C1 ZINC000832049312 604822891 /nfs/dbraw/zinc/82/28/91/604822891.db2.gz DOTOCFJVZWEWLZ-LBPRGKRZSA-N 0 2 307.306 0.050 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CCC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC000833432520 604919106 /nfs/dbraw/zinc/91/91/06/604919106.db2.gz NWNNPSUMCCIFCJ-GFCCVEGCSA-N 0 2 324.381 0.711 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CCC[N@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC000833432520 604919111 /nfs/dbraw/zinc/91/91/11/604919111.db2.gz NWNNPSUMCCIFCJ-GFCCVEGCSA-N 0 2 324.381 0.711 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)o1 ZINC000832985977 604929659 /nfs/dbraw/zinc/92/96/59/604929659.db2.gz SJNSPDIHGUPHJD-WOPDTQHZSA-N 0 2 307.350 0.736 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)o1 ZINC000832985977 604929664 /nfs/dbraw/zinc/92/96/64/604929664.db2.gz SJNSPDIHGUPHJD-WOPDTQHZSA-N 0 2 307.350 0.736 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)NCCCNc2cccc[nH+]2)C1 ZINC000831675707 604939534 /nfs/dbraw/zinc/93/95/34/604939534.db2.gz HSYQIIONIYXTIE-NSHDSACASA-N 0 2 308.338 0.379 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1cncc(C(=O)[O-])c1 ZINC000833560689 605030315 /nfs/dbraw/zinc/03/03/15/605030315.db2.gz GAMDAYJJHSDLRY-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)c1cncc(C(=O)[O-])c1 ZINC000833560689 605030316 /nfs/dbraw/zinc/03/03/16/605030316.db2.gz GAMDAYJJHSDLRY-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)NCCNC(=O)[O-] ZINC000830768938 605210492 /nfs/dbraw/zinc/21/04/92/605210492.db2.gz APYASJDHNWHLDS-UHFFFAOYSA-N 0 2 323.353 0.616 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)Cc1ccccc1C(=O)[O-] ZINC000833632485 605244388 /nfs/dbraw/zinc/24/43/88/605244388.db2.gz XMZZYQOWBHOYCW-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)Cc1ccccc1C(=O)[O-] ZINC000833632485 605244392 /nfs/dbraw/zinc/24/43/92/605244392.db2.gz XMZZYQOWBHOYCW-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)CO[C@@H]1CCCN(C(=O)[O-])C1 ZINC000825054676 605261017 /nfs/dbraw/zinc/26/10/17/605261017.db2.gz GTGMOSUAUBQYKA-VXGBXAGGSA-N 0 2 310.354 0.547 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCSC[C@@H]1CO)C(=O)[O-] ZINC000833638928 605385549 /nfs/dbraw/zinc/38/55/49/605385549.db2.gz LJRNDPWGGZAJDL-JBLDHEPKSA-N 0 2 304.412 0.012 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCSC[C@@H]1CO)C(=O)[O-] ZINC000833638928 605385557 /nfs/dbraw/zinc/38/55/57/605385557.db2.gz LJRNDPWGGZAJDL-JBLDHEPKSA-N 0 2 304.412 0.012 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000736415389 605696851 /nfs/dbraw/zinc/69/68/51/605696851.db2.gz JQPYLVOYXRBFGL-LBPRGKRZSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000736415389 605696852 /nfs/dbraw/zinc/69/68/52/605696852.db2.gz JQPYLVOYXRBFGL-LBPRGKRZSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H](NC(=O)[O-])C(C)(C)C ZINC000824908694 605707213 /nfs/dbraw/zinc/70/72/13/605707213.db2.gz WAYCEUMYQYKTGE-NWDGAFQWSA-N 0 2 314.430 0.421 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000834066265 605740835 /nfs/dbraw/zinc/74/08/35/605740835.db2.gz FJLVXKLKJLITEC-UHFFFAOYSA-N 0 2 319.365 0.523 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000736413914 605751251 /nfs/dbraw/zinc/75/12/51/605751251.db2.gz DWVCWVBVGKISSH-OLZOCXBDSA-N 0 2 313.398 0.602 20 0 DCADLN O=C([O-])N1CCC[C@H](CNC(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000834026019 605756849 /nfs/dbraw/zinc/75/68/49/605756849.db2.gz HFGMXLIZJAXPFP-NEPJUHHUSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CC[C@@H](CCNC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000834039712 605844626 /nfs/dbraw/zinc/84/46/26/605844626.db2.gz WMCWDWCXCCXFHD-NEPJUHHUSA-N 0 2 306.366 0.952 20 0 DCADLN CCOC(=O)c1cnn(C[N@@H+]2CCC[C@@H](CNC(=O)[O-])C2)c1 ZINC000833861998 606035984 /nfs/dbraw/zinc/03/59/84/606035984.db2.gz YAMJQORMHTWYOH-NSHDSACASA-N 0 2 310.354 0.997 20 0 DCADLN CCOC(=O)c1cnn(C[N@H+]2CCC[C@@H](CNC(=O)[O-])C2)c1 ZINC000833861998 606035990 /nfs/dbraw/zinc/03/59/90/606035990.db2.gz YAMJQORMHTWYOH-NSHDSACASA-N 0 2 310.354 0.997 20 0 DCADLN COCC(C)(C)C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000833882018 606058615 /nfs/dbraw/zinc/05/86/15/606058615.db2.gz HZBNDCDJARWVDH-UHFFFAOYSA-N 0 2 301.387 0.413 20 0 DCADLN O=c1[nH]nc(CCCNc2ncc(-c3nn[nH]n3)cc2Cl)[nH]1 ZINC000823665441 606063388 /nfs/dbraw/zinc/06/33/88/606063388.db2.gz KDXDIRBGBLUKDH-UHFFFAOYSA-N 0 2 321.732 0.784 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)[C@@H]2COCCN2C(=O)[O-])C1 ZINC000833954369 606081940 /nfs/dbraw/zinc/08/19/40/606081940.db2.gz HWBVYWAZVNYHSN-QWRGUYRKSA-N 0 2 308.338 0.115 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)[C@]2(F)CCN(C(=O)[O-])C2)C1 ZINC000833956219 606083938 /nfs/dbraw/zinc/08/39/38/606083938.db2.gz YEPFKOLEHPRHSV-HZMBPMFUSA-N 0 2 310.329 0.828 20 0 DCADLN O=C([O-])N1CC(OCCC(=O)N2CCC(n3cc[nH+]c3)CC2)C1 ZINC000834074233 606114047 /nfs/dbraw/zinc/11/40/47/606114047.db2.gz PJIYDRUNGQZOMY-UHFFFAOYSA-N 0 2 322.365 0.816 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCCCC(C)=O)c1 ZINC000821666915 606820473 /nfs/dbraw/zinc/82/04/73/606820473.db2.gz LZVLFSZYMVHCSW-UHFFFAOYSA-N 0 2 319.321 0.574 20 0 DCADLN Cc1cc(F)ccc1Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC000826269024 609487228 /nfs/dbraw/zinc/48/72/28/609487228.db2.gz XXZAFDPUNDZIND-UHFFFAOYSA-N 0 2 316.296 0.223 20 0 DCADLN Cc1c(Cl)cnc(NCc2n[nH]c(=O)[nH]2)c1-c1nn[nH]n1 ZINC000826240266 609572527 /nfs/dbraw/zinc/57/25/27/609572527.db2.gz KRWXKFJJIUMPTG-UHFFFAOYSA-N 0 2 307.705 0.659 20 0 DCADLN CN(C(=O)c1cnon1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646275 665406420 /nfs/dbraw/zinc/40/64/20/665406420.db2.gz BRAYJSNSVGYGRM-XPUUQOCRSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C(=O)c1cnon1)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938646275 665406422 /nfs/dbraw/zinc/40/64/22/665406422.db2.gz BRAYJSNSVGYGRM-XPUUQOCRSA-N 0 2 324.234 0.643 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@]1(F)CCOC1 ZINC000998149834 665406628 /nfs/dbraw/zinc/40/66/28/665406628.db2.gz IVWRCOHCOYXHNE-OIBJUYFYSA-N 0 2 316.226 0.342 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@]1(F)CCOC1 ZINC000998149834 665406629 /nfs/dbraw/zinc/40/66/29/665406629.db2.gz IVWRCOHCOYXHNE-OIBJUYFYSA-N 0 2 316.226 0.342 20 0 DCADLN Cc1cnccc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027866795 660858985 /nfs/dbraw/zinc/85/89/85/660858985.db2.gz FHPWQGFFZXYDNG-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cnccc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027866795 660858986 /nfs/dbraw/zinc/85/89/86/660858986.db2.gz FHPWQGFFZXYDNG-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950029751 660906670 /nfs/dbraw/zinc/90/66/70/660906670.db2.gz IRZNBGAUINUIHN-QMMMGPOBSA-N 0 2 301.297 0.198 20 0 DCADLN Cc1nnccc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028000433 661036582 /nfs/dbraw/zinc/03/65/82/661036582.db2.gz FJPLBUGVZHWPDH-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN CC(C)(F)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981575066 661174009 /nfs/dbraw/zinc/17/40/09/661174009.db2.gz LINSYZOGGSQMPS-UHFFFAOYSA-N 0 2 311.361 0.683 20 0 DCADLN CC(C)(F)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981575066 661174010 /nfs/dbraw/zinc/17/40/10/661174010.db2.gz LINSYZOGGSQMPS-UHFFFAOYSA-N 0 2 311.361 0.683 20 0 DCADLN C[C@H]1OCC[C@]1(C)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981677592 661192179 /nfs/dbraw/zinc/19/21/79/661192179.db2.gz YWRFHLUWIDNKPV-ABAIWWIYSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(c1cocn1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981663174 661192310 /nfs/dbraw/zinc/19/23/10/661192310.db2.gz APJWSURMEVCKRH-UHFFFAOYSA-N 0 2 318.337 0.237 20 0 DCADLN O=C(c1cocn1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981663174 661192311 /nfs/dbraw/zinc/19/23/11/661192311.db2.gz APJWSURMEVCKRH-UHFFFAOYSA-N 0 2 318.337 0.237 20 0 DCADLN Cc1c(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001028122411 661214509 /nfs/dbraw/zinc/21/45/09/661214509.db2.gz WCVATYQTHNYHIX-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN Cc1c(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001028122411 661214510 /nfs/dbraw/zinc/21/45/10/661214510.db2.gz WCVATYQTHNYHIX-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN O=C(c1cnco1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981924144 661247210 /nfs/dbraw/zinc/24/72/10/661247210.db2.gz YGSFWIDXHAUZLU-UHFFFAOYSA-N 0 2 318.337 0.237 20 0 DCADLN O=C(c1cnco1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981924144 661247212 /nfs/dbraw/zinc/24/72/12/661247212.db2.gz YGSFWIDXHAUZLU-UHFFFAOYSA-N 0 2 318.337 0.237 20 0 DCADLN C[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C(C)(F)F ZINC000981973745 661262883 /nfs/dbraw/zinc/26/28/83/661262883.db2.gz OHQBZGUIPRGRCD-SECBINFHSA-N 0 2 317.340 0.836 20 0 DCADLN Cc1ncc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)[nH]1 ZINC000949139466 661280457 /nfs/dbraw/zinc/28/04/57/661280457.db2.gz QUAXEPOEGUYSCU-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)nc1 ZINC001010383427 661281625 /nfs/dbraw/zinc/28/16/25/661281625.db2.gz ABSGLEZVYNPFDS-SECBINFHSA-N 0 2 306.301 0.049 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)n1C ZINC000969297271 657672679 /nfs/dbraw/zinc/67/26/79/657672679.db2.gz ADPFUMTWSRWWTF-SNVBAGLBSA-N 0 2 318.381 0.408 20 0 DCADLN O=C(Cc1c[nH]cn1)NC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnon1 ZINC001021394725 657728814 /nfs/dbraw/zinc/72/88/14/657728814.db2.gz RQTHZVGDZKFCBI-FTNCPSPGSA-N 0 2 316.365 0.647 20 0 DCADLN C[C@H](NC(=O)C1=COCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969395813 657800332 /nfs/dbraw/zinc/80/03/32/657800332.db2.gz MLOBAZUTUBSRQD-VIFPVBQESA-N 0 2 307.354 0.141 20 0 DCADLN CC(C)(C)OC(=O)CCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742704558 657961631 /nfs/dbraw/zinc/96/16/31/657961631.db2.gz LANLPYPQXLNIDK-SSDOTTSWSA-N 0 2 301.368 0.391 20 0 DCADLN CCc1nnc([C@H](C)[NH+]2CC([C@H](C)NC(=O)c3cnn[n-]3)C2)o1 ZINC000970036374 658383426 /nfs/dbraw/zinc/38/34/26/658383426.db2.gz XZBMNOSCXDFKPH-IUCAKERBSA-N 0 2 319.369 0.561 20 0 DCADLN O=C(C1CCC1)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972208963 658439843 /nfs/dbraw/zinc/43/98/43/658439843.db2.gz WMQNUKVWKYQVTG-HNNXBMFYSA-N 0 2 321.381 0.114 20 0 DCADLN Nn1c(COc2ccccc2)nnc1SCc1n[nH]c(=O)[nH]1 ZINC000091578572 658567610 /nfs/dbraw/zinc/56/76/10/658567610.db2.gz WCSYUVZFGJTXTK-UHFFFAOYSA-N 0 2 319.350 0.275 20 0 DCADLN CN(Cc1ncnn1C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575737 658823726 /nfs/dbraw/zinc/82/37/26/658823726.db2.gz IORCOPBUARMEHM-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1ncnn1C)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000971575737 658823738 /nfs/dbraw/zinc/82/37/38/658823738.db2.gz IORCOPBUARMEHM-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(CCn1cccc1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032699717 665614883 /nfs/dbraw/zinc/61/48/83/665614883.db2.gz IYAOHEFUVGQCAU-RYUDHWBXSA-N 0 2 316.365 0.187 20 0 DCADLN Cc1nonc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240121 658885847 /nfs/dbraw/zinc/88/58/47/658885847.db2.gz OJOYCJPGQCKZRJ-QMMMGPOBSA-N 0 2 324.234 0.563 20 0 DCADLN Cc1nonc1C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011240121 658885849 /nfs/dbraw/zinc/88/58/49/658885849.db2.gz OJOYCJPGQCKZRJ-QMMMGPOBSA-N 0 2 324.234 0.563 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)C[C@H]2CCCO2)C1 ZINC000973053716 658987233 /nfs/dbraw/zinc/98/72/33/658987233.db2.gz NBLHUDIFBTVZIG-JHJVBQTASA-N 0 2 320.393 0.593 20 0 DCADLN O=C(Cc1cncs1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007539516 659164801 /nfs/dbraw/zinc/16/48/01/659164801.db2.gz ACUFRTIMEGDXGY-VIFPVBQESA-N 0 2 322.394 0.290 20 0 DCADLN O=C([C@@H]1CC12CCCC2)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032716752 665652555 /nfs/dbraw/zinc/65/25/55/665652555.db2.gz ZAXFWLUOMLVMTR-SRVKXCTJSA-N 0 2 317.393 0.876 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC=CCC1 ZINC001006716496 659401002 /nfs/dbraw/zinc/40/10/02/659401002.db2.gz QYZOIHNKIPMZIX-VXGBXAGGSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008572730 659517821 /nfs/dbraw/zinc/51/78/21/659517821.db2.gz AILVCVHUBMJWGH-SCVCMEIPSA-N 0 2 309.370 0.016 20 0 DCADLN CCN(C(=O)c1cc(C)sn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949980937 659534373 /nfs/dbraw/zinc/53/43/73/659534373.db2.gz BDTYJSJITBEZJO-UHFFFAOYSA-N 0 2 322.394 0.622 20 0 DCADLN CC1(C)C[N@H+](CC(N)=O)C[C@@H]1NC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC000974581732 659585231 /nfs/dbraw/zinc/58/52/31/659585231.db2.gz GQQANMOBTLCHRV-MFKMUULPSA-N 0 2 319.409 0.142 20 0 DCADLN O=C([O-])[C@@H](CC(F)(F)F)NC(=O)NCCCn1cc[nH+]c1 ZINC000314340554 659609849 /nfs/dbraw/zinc/60/98/49/659609849.db2.gz VABYVBOBGOFSGF-MRVPVSSYSA-N 0 2 308.260 0.978 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccsn1 ZINC000974708347 659635124 /nfs/dbraw/zinc/63/51/24/659635124.db2.gz CGTSIQCFTQRWLE-SECBINFHSA-N 0 2 322.394 0.607 20 0 DCADLN CC1(C)C[N@H+](CCCO)C[C@@H]1NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000974755745 659659425 /nfs/dbraw/zinc/65/94/25/659659425.db2.gz WZXMLKHJLOAPEE-ZFWWWQNUSA-N 0 2 320.437 0.655 20 0 DCADLN Cc1ccn(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000999979845 665723897 /nfs/dbraw/zinc/72/38/97/665723897.db2.gz VCSMGXWBECFEMY-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN Cc1ccn(CC(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000999979845 665723899 /nfs/dbraw/zinc/72/38/99/665723899.db2.gz VCSMGXWBECFEMY-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN Cn1ccc([C@@H]2CCCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)n1 ZINC000928194958 661842115 /nfs/dbraw/zinc/84/21/15/661842115.db2.gz ZVODBTDJOZLRIE-MWLCHTKSSA-N 0 2 321.406 0.683 20 0 DCADLN CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(=O)n2[n-]ccc2n1 ZINC001029178573 662029699 /nfs/dbraw/zinc/02/96/99/662029699.db2.gz RJWULYDQQSQQPE-BETUJISGSA-N 0 2 315.377 0.608 20 0 DCADLN CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(=O)n2[n-]ccc2n1 ZINC001029178573 662029702 /nfs/dbraw/zinc/02/97/02/662029702.db2.gz RJWULYDQQSQQPE-BETUJISGSA-N 0 2 315.377 0.608 20 0 DCADLN O=C(C1=CCCC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029269004 662073383 /nfs/dbraw/zinc/07/33/83/662073383.db2.gz CQZYRXPGVYQCFP-TXEJJXNPSA-N 0 2 303.366 0.796 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)N=c1nn[n-]n1Cc1ccccc1 ZINC000895801181 662217962 /nfs/dbraw/zinc/21/79/62/662217962.db2.gz MCHRWHCYYVVMDW-OAHLLOKOSA-N 0 2 316.409 0.666 20 0 DCADLN O=C([C@H]1CC12CC2)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029489681 662323389 /nfs/dbraw/zinc/32/33/89/662323389.db2.gz NBXPRMPAWADJKG-OUAUKWLOSA-N 0 2 303.366 0.486 20 0 DCADLN C[N@@H+](C[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1)[C@H]1CCNC1=O ZINC001029752209 662466032 /nfs/dbraw/zinc/46/60/32/662466032.db2.gz BCUVULNKIOWOLJ-JSGCOSHPSA-N 0 2 319.409 0.011 20 0 DCADLN COCC[C@@H](NC(=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)[O-] ZINC000909623372 662483276 /nfs/dbraw/zinc/48/32/76/662483276.db2.gz FMLGZSHPPNCGJN-GFCCVEGCSA-N 0 2 305.334 0.791 20 0 DCADLN C[C@H]1[C@H]([NH2+]Cc2ccn(C)n2)CCN1C(=O)CCc1nc[nH]n1 ZINC000986118680 662557532 /nfs/dbraw/zinc/55/75/32/662557532.db2.gz VJMPYNXZDBMZLY-WCQYABFASA-N 0 2 317.397 0.250 20 0 DCADLN CCC(CC)[C@H](C(=O)N[C@H](COC)C(=O)[O-])[NH+]1CCOCC1 ZINC000909662008 662560520 /nfs/dbraw/zinc/56/05/20/662560520.db2.gz NMQZWJMGEMSWLA-CHWSQXEVSA-N 0 2 316.398 0.339 20 0 DCADLN CC(C)c1[nH]ccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031917081 665892837 /nfs/dbraw/zinc/89/28/37/665892837.db2.gz ZASAIHWMZXMATG-UHFFFAOYSA-N 0 2 318.381 0.824 20 0 DCADLN CCN(C(=O)NCC[N@@H+]1CCOCC1(C)C)C(C)(C)C(=O)[O-] ZINC000929015081 662771997 /nfs/dbraw/zinc/77/19/97/662771997.db2.gz WAKQRSVUOFJFSR-UHFFFAOYSA-N 0 2 315.414 0.992 20 0 DCADLN CCN(C(=O)NCC[N@H+]1CCOCC1(C)C)C(C)(C)C(=O)[O-] ZINC000929015081 662771998 /nfs/dbraw/zinc/77/19/98/662771998.db2.gz WAKQRSVUOFJFSR-UHFFFAOYSA-N 0 2 315.414 0.992 20 0 DCADLN CCn1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001031919288 665901181 /nfs/dbraw/zinc/90/11/81/665901181.db2.gz QUODOZSENAUBLQ-UHFFFAOYSA-N 0 2 318.381 0.502 20 0 DCADLN O=C(N[C@@]12CCC[C@@H]1N(Cc1n[nH]c(=O)[nH]1)CC2)C(F)F ZINC000989885072 662985895 /nfs/dbraw/zinc/98/58/95/662985895.db2.gz YQMKWNHHCQBBGC-JVXZTZIISA-N 0 2 301.297 0.389 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)nn1C ZINC000990387945 663041199 /nfs/dbraw/zinc/04/11/99/663041199.db2.gz JGXPIIKCTXCEGN-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)nn1C ZINC000990387945 663041200 /nfs/dbraw/zinc/04/12/00/663041200.db2.gz JGXPIIKCTXCEGN-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cn1nccc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990431117 663048475 /nfs/dbraw/zinc/04/84/75/663048475.db2.gz KCZUXUWVOICTSB-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1nccc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990431117 663048476 /nfs/dbraw/zinc/04/84/76/663048476.db2.gz KCZUXUWVOICTSB-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN O=C(Cc1ccon1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990564932 663066938 /nfs/dbraw/zinc/06/69/38/663066938.db2.gz PWTYNNNDJGPZGD-SECBINFHSA-N 0 2 309.219 0.445 20 0 DCADLN O=C(Cc1ccon1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990564932 663066939 /nfs/dbraw/zinc/06/69/39/663066939.db2.gz PWTYNNNDJGPZGD-SECBINFHSA-N 0 2 309.219 0.445 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cccc(=O)[nH]1 ZINC000990648273 663077639 /nfs/dbraw/zinc/07/76/39/663077639.db2.gz FRPJPYHZCOPXLN-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cccc(=O)[nH]1 ZINC000990648273 663077640 /nfs/dbraw/zinc/07/76/40/663077640.db2.gz FRPJPYHZCOPXLN-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN C[NH+]1CCC[C@@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(CO)cc1 ZINC001038116255 663081303 /nfs/dbraw/zinc/08/13/03/663081303.db2.gz KVFPMDPILTUWDX-IAGOWNOFSA-N 0 2 317.433 0.964 20 0 DCADLN CCOC[C@@H](O)Cn1cnc2c1nc(C(F)(F)F)[nH]c2=O ZINC000899898379 663198326 /nfs/dbraw/zinc/19/83/26/663198326.db2.gz GXSZYBHMCFYBGX-LURJTMIESA-N 0 2 306.244 0.948 20 0 DCADLN Cc1nonc1C[NH2+]C1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC000990827500 663210962 /nfs/dbraw/zinc/21/09/62/663210962.db2.gz QQSGJTRDZWTUFW-UHFFFAOYSA-N 0 2 319.369 0.210 20 0 DCADLN O=C(Cc1cccnc1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990854235 663263630 /nfs/dbraw/zinc/26/36/30/663263630.db2.gz AJIXXYVVKLHISM-LLVKDONJSA-N 0 2 319.258 0.852 20 0 DCADLN O=C(Cc1cccnc1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990854235 663263632 /nfs/dbraw/zinc/26/36/32/663263632.db2.gz AJIXXYVVKLHISM-LLVKDONJSA-N 0 2 319.258 0.852 20 0 DCADLN CCn1cc(Cn2cc(CNC(=O)C(F)(F)F)nn2)nn1 ZINC000900629461 663264108 /nfs/dbraw/zinc/26/41/08/663264108.db2.gz VAYKMDKYXWKGEQ-UHFFFAOYSA-N 0 2 303.248 0.116 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000901428330 663344448 /nfs/dbraw/zinc/34/44/48/663344448.db2.gz JYDNQSXYRCIEGD-VIFPVBQESA-N 0 2 323.271 0.936 20 0 DCADLN Cc1nnc(C[N@H+]2CC=C(CNC(=O)c3cnn[n-]3)CC2)o1 ZINC001000896373 665952612 /nfs/dbraw/zinc/95/26/12/665952612.db2.gz YLEYIFZLUQREGC-UHFFFAOYSA-N 0 2 303.326 0.058 20 0 DCADLN Cc1nnc(C[N@@H+]2CC=C(CNC(=O)c3cnn[n-]3)CC2)o1 ZINC001000896373 665952622 /nfs/dbraw/zinc/95/26/22/665952622.db2.gz YLEYIFZLUQREGC-UHFFFAOYSA-N 0 2 303.326 0.058 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)[C@H]1CCc3c[nH+]cn3C1)C2 ZINC000902059970 663382712 /nfs/dbraw/zinc/38/27/12/663382712.db2.gz GEPKGFDSDDVRCK-FIXISWKDSA-N 0 2 305.334 0.005 20 0 DCADLN Cn1c[nH+]cc1CCCN1c2c(cnn2C)C([O-])=CS1(=O)=O ZINC000902834042 663436362 /nfs/dbraw/zinc/43/63/62/663436362.db2.gz VWLJESLCBNRKPU-UHFFFAOYSA-N 0 2 323.378 0.119 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cc2ccccc2nn1 ZINC000903163559 663448213 /nfs/dbraw/zinc/44/82/13/663448213.db2.gz DRMRREVSXGXOOU-SECBINFHSA-N 0 2 308.363 0.848 20 0 DCADLN Cn1cc(CN(CCn2cc[nH+]c2)C(=O)[C@@H]2C[C@H]2C(=O)[O-])cn1 ZINC000907849211 663720686 /nfs/dbraw/zinc/72/06/86/663720686.db2.gz XGMSGTHZVGWGJA-CHWSQXEVSA-N 0 2 317.349 0.366 20 0 DCADLN CN(C)c1cccnc1C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000907886796 663722389 /nfs/dbraw/zinc/72/23/89/663722389.db2.gz MDLGAWFSPQKWMH-UHFFFAOYSA-N 0 2 316.365 0.991 20 0 DCADLN C/C=C/C[C@@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936435 663775267 /nfs/dbraw/zinc/77/52/67/663775267.db2.gz LBHGRPUQIFADDT-ZYOFXKKJSA-N 0 2 313.398 0.816 20 0 DCADLN C/C=C/C[C@@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936435 663775269 /nfs/dbraw/zinc/77/52/69/663775269.db2.gz LBHGRPUQIFADDT-ZYOFXKKJSA-N 0 2 313.398 0.816 20 0 DCADLN O=C([O-])C1(NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCC1 ZINC000909081516 663780409 /nfs/dbraw/zinc/78/04/09/663780409.db2.gz FKQGIIPGGHSQBF-LLVKDONJSA-N 0 2 304.350 0.967 20 0 DCADLN CC[C@@H](C(=O)N1CCO[C@H](C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000909216024 663787643 /nfs/dbraw/zinc/78/76/43/663787643.db2.gz ISTKZWAUVRIWEA-AGIUHOORSA-N 0 2 314.382 0.188 20 0 DCADLN CC[C@@H](C(=O)N1CCO[C@H](C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000909216024 663787644 /nfs/dbraw/zinc/78/76/44/663787644.db2.gz ISTKZWAUVRIWEA-AGIUHOORSA-N 0 2 314.382 0.188 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000909709719 663795856 /nfs/dbraw/zinc/79/58/56/663795856.db2.gz ADWYCYVFHNFSNQ-HFAKWTLXSA-N 0 2 307.350 0.853 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1NC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000909941345 663822585 /nfs/dbraw/zinc/82/25/85/663822585.db2.gz MNSMOWROGXMZKN-UONOGXRCSA-N 0 2 315.329 0.903 20 0 DCADLN Cc1cn(C(C)(C)C(=O)NC[C@@]2(C(=O)[O-])CCCOC2)c[nH+]1 ZINC000910309506 663867597 /nfs/dbraw/zinc/86/75/97/663867597.db2.gz NZHWHSBJDGWRML-HNNXBMFYSA-N 0 2 309.366 0.924 20 0 DCADLN O=C([O-])C12CC(C1)CN2c1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000910467617 663888233 /nfs/dbraw/zinc/88/82/33/663888233.db2.gz ZASWAPOZQUTINX-BWBHSNQOSA-N 0 2 318.377 0.881 20 0 DCADLN O=C([O-])C12CC(C1)CN2c1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000910467617 663888237 /nfs/dbraw/zinc/88/82/37/663888237.db2.gz ZASWAPOZQUTINX-BWBHSNQOSA-N 0 2 318.377 0.881 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000910590201 663910418 /nfs/dbraw/zinc/91/04/18/663910418.db2.gz LCEIISOSMFQVSI-IJLUTSLNSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)cn1 ZINC000910979034 663964067 /nfs/dbraw/zinc/96/40/67/663964067.db2.gz CXGSZTXUASDEMT-NSHDSACASA-N 0 2 300.318 0.855 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)c1cccnc1 ZINC000910981455 663964645 /nfs/dbraw/zinc/96/46/45/663964645.db2.gz DBRXYYAQSVAUHD-AAEUAGOBSA-N 0 2 300.318 0.783 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)c1cccnc1 ZINC000910991426 663966313 /nfs/dbraw/zinc/96/63/13/663966313.db2.gz LDTWPVCTJVINDC-YPMHNXCESA-N 0 2 300.318 0.783 20 0 DCADLN COCC[NH+]1CCN(C(=O)C2(C(=O)[O-])CC3(CCC3)C2)CC1 ZINC000911134608 663988436 /nfs/dbraw/zinc/98/84/36/663988436.db2.gz AVRMUJUQJFHSGH-UHFFFAOYSA-N 0 2 310.394 0.812 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)O[C@H]1C(=O)[O-] ZINC000911232261 664012066 /nfs/dbraw/zinc/01/20/66/664012066.db2.gz IZQVUSDJSGHTOQ-WRWGMCAJSA-N 0 2 307.350 0.994 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)c1ccccn1 ZINC000911481909 664062722 /nfs/dbraw/zinc/06/27/22/664062722.db2.gz LBXNIEMCXQDZDV-ZWNOBZJWSA-N 0 2 300.318 0.783 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)c(F)c([O-])c2F)C[C@H]1CO ZINC000913546338 664340238 /nfs/dbraw/zinc/34/02/38/664340238.db2.gz NFGXYUVVOCJHBQ-ZETCQYMHSA-N 0 2 304.268 0.558 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)c(F)c([O-])c2F)C[C@H]1CO ZINC000913546338 664340240 /nfs/dbraw/zinc/34/02/40/664340240.db2.gz NFGXYUVVOCJHBQ-ZETCQYMHSA-N 0 2 304.268 0.558 20 0 DCADLN CC1(C)CC[C@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000950360716 664409902 /nfs/dbraw/zinc/40/99/02/664409902.db2.gz RFQXXSOVRZZURX-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN O=C(C=C1CCC1)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030207808 664473077 /nfs/dbraw/zinc/47/30/77/664473077.db2.gz FTRIAXWAPSGHMS-UHFFFAOYSA-N 0 2 313.361 0.433 20 0 DCADLN C[C@@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C(C)(F)F ZINC000950558230 664509087 /nfs/dbraw/zinc/50/90/87/664509087.db2.gz DBPLEGFQXZLQKL-QMMMGPOBSA-N 0 2 303.313 0.446 20 0 DCADLN CC(C)(C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCCO1 ZINC001030326343 664513461 /nfs/dbraw/zinc/51/34/61/664513461.db2.gz HJJMANHFAHKTFV-NSHDSACASA-N 0 2 323.397 0.406 20 0 DCADLN CCc1ccnc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030359041 664520395 /nfs/dbraw/zinc/52/03/95/664520395.db2.gz MNGBECXIMIJYEK-UHFFFAOYSA-N 0 2 302.338 0.082 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2n[nH]cc21 ZINC001030773309 664662995 /nfs/dbraw/zinc/66/29/95/664662995.db2.gz RSYJAARSQNBGPG-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN O=C(Cc1cc(F)ccc1F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030866388 664690842 /nfs/dbraw/zinc/69/08/42/664690842.db2.gz UOVRQZUFGXIXOB-UHFFFAOYSA-N 0 2 323.303 0.332 20 0 DCADLN COc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)ccc1F ZINC001030995080 664750916 /nfs/dbraw/zinc/75/09/16/664750916.db2.gz UNMPSWBRFFFCGP-UHFFFAOYSA-N 0 2 321.312 0.272 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2c(c1)CCO2 ZINC000730523318 664784820 /nfs/dbraw/zinc/78/48/20/664784820.db2.gz IFJSNPKRRJHOJH-UHFFFAOYSA-N 0 2 311.301 0.645 20 0 DCADLN CC(=O)c1ccccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730524865 664784979 /nfs/dbraw/zinc/78/49/79/664784979.db2.gz YLEDGOYIHGOCIV-UHFFFAOYSA-N 0 2 311.301 0.913 20 0 DCADLN COc1ccccc1N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730530020 664785537 /nfs/dbraw/zinc/78/55/37/664785537.db2.gz AJLHSDDELIPFBK-UHFFFAOYSA-N 0 2 313.317 0.743 20 0 DCADLN Cc1cc([C@H](C)C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001031109331 664794444 /nfs/dbraw/zinc/79/44/44/664794444.db2.gz GFGAPQAPCSVGPV-VIFPVBQESA-N 0 2 319.365 0.824 20 0 DCADLN CC1(C)CNC(=O)[C@@H]1NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000914441264 664795249 /nfs/dbraw/zinc/79/52/49/664795249.db2.gz INAGKCYRZMNZFT-ZDUSSCGKSA-N 0 2 314.345 0.832 20 0 DCADLN C[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1cccc(O)c1 ZINC000730588862 664795648 /nfs/dbraw/zinc/79/56/48/664795648.db2.gz JCUPTWGPHSPPOK-SECBINFHSA-N 0 2 313.317 0.654 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCOc1ccccc1 ZINC000730656053 664799409 /nfs/dbraw/zinc/79/94/09/664799409.db2.gz AWSWBBUREKTSNS-UHFFFAOYSA-N 0 2 314.301 0.693 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1cccnc1Cl ZINC000730651803 664799427 /nfs/dbraw/zinc/79/94/27/664799427.db2.gz SDPUKLFRWRDBGH-UHFFFAOYSA-N 0 2 319.708 0.863 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cn[nH]c2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993122538 664818989 /nfs/dbraw/zinc/81/89/89/664818989.db2.gz YEBMORGDSVEBFY-WPRPVWTQSA-N 0 2 305.342 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cn(C)cn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993202366 664885044 /nfs/dbraw/zinc/88/50/44/664885044.db2.gz SMKCQCSBNOOMIQ-ZJUUUORDSA-N 0 2 319.369 0.027 20 0 DCADLN CC[C@H]1C[C@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031219439 664902270 /nfs/dbraw/zinc/90/22/70/664902270.db2.gz JBTLFVDEAOIWBR-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ncc[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993333122 664941864 /nfs/dbraw/zinc/94/18/64/664941864.db2.gz VRJAXDKALYPGBB-IUCAKERBSA-N 0 2 305.342 0.016 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ncccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994067404 665038261 /nfs/dbraw/zinc/03/82/61/665038261.db2.gz FRMJWJOGDQOOGI-NXEZZACHSA-N 0 2 317.353 0.083 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1csc(C2CC2)n1 ZINC001031469573 665163489 /nfs/dbraw/zinc/16/34/89/665163489.db2.gz BPLAJNCTERIJGB-UHFFFAOYSA-N 0 2 320.378 0.458 20 0 DCADLN Cc1ccsc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031515498 665180574 /nfs/dbraw/zinc/18/05/74/665180574.db2.gz IYGTYHIWKWBVPY-UHFFFAOYSA-N 0 2 307.379 0.742 20 0 DCADLN Cc1coc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)c1 ZINC001032578843 665368438 /nfs/dbraw/zinc/36/84/38/665368438.db2.gz RHAOPYJLEPTUKM-UWVGGRQHSA-N 0 2 303.322 0.511 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC001001555144 666072661 /nfs/dbraw/zinc/07/26/61/666072661.db2.gz CXKCYMBUUAWPHT-SNVBAGLBSA-N 0 2 324.274 0.808 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC001001555144 666072664 /nfs/dbraw/zinc/07/26/64/666072664.db2.gz CXKCYMBUUAWPHT-SNVBAGLBSA-N 0 2 324.274 0.808 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC000942335129 666154374 /nfs/dbraw/zinc/15/43/74/666154374.db2.gz MJSRTMOXPJIYKT-HLTSFMKQSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC000942335129 666154375 /nfs/dbraw/zinc/15/43/75/666154375.db2.gz MJSRTMOXPJIYKT-HLTSFMKQSA-N 0 2 312.263 0.640 20 0 DCADLN Cn1ccnc1C[NH2+]C[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000995244839 666165548 /nfs/dbraw/zinc/16/55/48/666165548.db2.gz VCFXFANCYXSIPN-KBPBESRZSA-N 0 2 316.409 0.691 20 0 DCADLN Cn1ccnc1C[NH2+]C[C@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000995244845 666165595 /nfs/dbraw/zinc/16/55/95/666165595.db2.gz VCFXFANCYXSIPN-UONOGXRCSA-N 0 2 316.409 0.691 20 0 DCADLN C[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCO1 ZINC001003588380 666330980 /nfs/dbraw/zinc/33/09/80/666330980.db2.gz WBGUZMYOHMGVQR-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN CN(C(=O)c1ccncc1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032869866 666365750 /nfs/dbraw/zinc/36/57/50/666365750.db2.gz SHCMYYMBPPCRFL-LLVKDONJSA-N 0 2 302.338 0.252 20 0 DCADLN CN(C(=O)c1cccc(F)c1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032885784 666370330 /nfs/dbraw/zinc/37/03/30/666370330.db2.gz UTMBQCKHXVYCOE-LBPRGKRZSA-N 0 2 319.340 0.996 20 0 DCADLN CN(C(=O)c1ccc(F)cn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033027902 666438477 /nfs/dbraw/zinc/43/84/77/666438477.db2.gz BARVHYXZZXFEIC-SNVBAGLBSA-N 0 2 320.328 0.391 20 0 DCADLN C[C@H](C(N)=O)[NH+]1CC(CNC(=O)c2cccc(C[NH+](C)C)c2)C1 ZINC001032207237 666442686 /nfs/dbraw/zinc/44/26/86/666442686.db2.gz IVPPSDIFJUPLEQ-GFCCVEGCSA-N 0 2 318.421 0.284 20 0 DCADLN CN(C(=O)[C@H]1CCCOCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033040791 666451015 /nfs/dbraw/zinc/45/10/15/666451015.db2.gz AEAKKUKAQRBPFV-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@H]1CCCOCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033040791 666451016 /nfs/dbraw/zinc/45/10/16/666451016.db2.gz AEAKKUKAQRBPFV-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(CF)cc1 ZINC001032216482 666509353 /nfs/dbraw/zinc/50/93/53/666509353.db2.gz NFPUYVMLLPGIOS-UHFFFAOYSA-N 0 2 319.340 0.842 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001033184012 666610168 /nfs/dbraw/zinc/61/01/68/666610168.db2.gz CDLDJXCCVBOITE-CMPLNLGQSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001033184012 666610170 /nfs/dbraw/zinc/61/01/70/666610170.db2.gz CDLDJXCCVBOITE-CMPLNLGQSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@H]1NC(=O)C(C)(F)F ZINC000945538052 666624559 /nfs/dbraw/zinc/62/45/59/666624559.db2.gz DKQRULRVDZUXQI-GXSJLCMTSA-N 0 2 314.336 0.961 20 0 DCADLN CN(C(=O)[C@]12C[C@H]1CCC2)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033279817 666659613 /nfs/dbraw/zinc/65/96/13/666659613.db2.gz IZWHADNKVNNSCE-JRPNMDOOSA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)[C@]12C[C@H]1CCC2)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033279817 666659614 /nfs/dbraw/zinc/65/96/14/666659614.db2.gz IZWHADNKVNNSCE-JRPNMDOOSA-N 0 2 305.382 0.733 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005148638 666709421 /nfs/dbraw/zinc/70/94/21/666709421.db2.gz IPYUUGXPOFYCHZ-NEPJUHHUSA-N 0 2 323.397 0.358 20 0 DCADLN CN(C(=O)C(C1CC1)C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033565631 666754077 /nfs/dbraw/zinc/75/40/77/666754077.db2.gz AFMOXQVNDKEWEZ-LBPRGKRZSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)C(C1CC1)C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033565631 666754079 /nfs/dbraw/zinc/75/40/79/666754079.db2.gz AFMOXQVNDKEWEZ-LBPRGKRZSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)[C@@H]1CCCCO1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005255565 666778449 /nfs/dbraw/zinc/77/84/49/666778449.db2.gz JKASJIYACZACCM-LBPRGKRZSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)c1cncnc1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005308996 666808148 /nfs/dbraw/zinc/80/81/48/666808148.db2.gz SPPWIBSNXBNRMO-UHFFFAOYSA-N 0 2 317.353 0.037 20 0 DCADLN CCN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)[C@@H]1CC[N@@H+](CCO)C1 ZINC001033950804 666875866 /nfs/dbraw/zinc/87/58/66/666875866.db2.gz XXSLIBAOEXDXSK-CHWSQXEVSA-N 0 2 306.410 0.745 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cncnc1 ZINC001034176784 666941799 /nfs/dbraw/zinc/94/17/99/666941799.db2.gz MVUBLIKNCCEMQL-NSHDSACASA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cncnc1 ZINC001034176784 666941802 /nfs/dbraw/zinc/94/18/02/666941802.db2.gz MVUBLIKNCCEMQL-NSHDSACASA-N 0 2 317.353 0.085 20 0 DCADLN Cc1cnc(C[N@@H+]2CCCC[C@H](NC(=O)c3cnn[n-]3)C2)nc1 ZINC001034286026 666973505 /nfs/dbraw/zinc/97/35/05/666973505.db2.gz OZDRNAKVMNNODW-LBPRGKRZSA-N 0 2 315.381 0.688 20 0 DCADLN Cc1cnc(C[N@H+]2CCCC[C@H](NC(=O)c3cnn[n-]3)C2)nc1 ZINC001034286026 666973506 /nfs/dbraw/zinc/97/35/06/666973506.db2.gz OZDRNAKVMNNODW-LBPRGKRZSA-N 0 2 315.381 0.688 20 0 DCADLN CCC[C@@H]1SCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000736093818 667101897 /nfs/dbraw/zinc/10/18/97/667101897.db2.gz RESVMKCPBVTNGH-NSHDSACASA-N 0 2 307.379 0.773 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]c1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001005747321 667206633 /nfs/dbraw/zinc/20/66/33/667206633.db2.gz UDTLCHBSFPRYII-IMTBSYHQSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]c1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001005747321 667206634 /nfs/dbraw/zinc/20/66/34/667206634.db2.gz UDTLCHBSFPRYII-IMTBSYHQSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC000864138017 667218473 /nfs/dbraw/zinc/21/84/73/667218473.db2.gz STNOBIFWMZZFQA-JRPNMDOOSA-N 0 2 307.350 0.538 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC000864138017 667218474 /nfs/dbraw/zinc/21/84/74/667218474.db2.gz STNOBIFWMZZFQA-JRPNMDOOSA-N 0 2 307.350 0.538 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1(C2CC2)CC1 ZINC001032464710 667280502 /nfs/dbraw/zinc/28/05/02/667280502.db2.gz VCGXKSHPPUPKBU-QWRGUYRKSA-N 0 2 303.366 0.486 20 0 DCADLN O=C(NC[C@H]1C[N@H+](Cc2ccsc2)CCO1)c1cnn[n-]1 ZINC001035431147 667341547 /nfs/dbraw/zinc/34/15/47/667341547.db2.gz RYIGULVRANIJHN-NSHDSACASA-N 0 2 307.379 0.497 20 0 DCADLN O=C(NC[C@H]1C[N@@H+](Cc2ccsc2)CCO1)c1cnn[n-]1 ZINC001035431147 667341549 /nfs/dbraw/zinc/34/15/49/667341549.db2.gz RYIGULVRANIJHN-NSHDSACASA-N 0 2 307.379 0.497 20 0 DCADLN Cc1cocc1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035477403 667353083 /nfs/dbraw/zinc/35/30/83/667353083.db2.gz XXZCWKRZKAHLOC-JTQLQIEISA-N 0 2 321.337 0.043 20 0 DCADLN O=C(C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C1CCSCC1 ZINC000866116488 667364413 /nfs/dbraw/zinc/36/44/13/667364413.db2.gz QSZVQIIXDYBKTI-JTQLQIEISA-N 0 2 324.406 0.929 20 0 DCADLN CC(C)=CC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035642661 667397084 /nfs/dbraw/zinc/39/70/84/667397084.db2.gz RDVYQRGYZYANIF-UHFFFAOYSA-N 0 2 305.382 0.901 20 0 DCADLN O=C(NC[C@@H]1CC[N@@H+]1C1CCOCC1)[C@H]1CCc2[nH+]ccn2C1 ZINC000885866057 667713451 /nfs/dbraw/zinc/71/34/51/667713451.db2.gz RCLVGQFAIDFLBA-ZFWWWQNUSA-N 0 2 318.421 0.815 20 0 DCADLN CCc1nn(C)cc1S(=O)(=O)Nc1ncc2c(n1)COC2 ZINC000886419859 667765439 /nfs/dbraw/zinc/76/54/39/667765439.db2.gz NOWCNSDHAYOPHR-UHFFFAOYSA-N 0 2 309.351 0.604 20 0 DCADLN CNC(=O)Nc1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000742510263 667792406 /nfs/dbraw/zinc/79/24/06/667792406.db2.gz GWAWOXHCWODQFU-SECBINFHSA-N 0 2 321.362 0.933 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnn(C[C@H]3CCCO3)c2)S1 ZINC000742553427 667793743 /nfs/dbraw/zinc/79/37/43/667793743.db2.gz GMWRDVBNNOLJIW-ZJUUUORDSA-N 0 2 323.378 0.557 20 0 DCADLN COCCN(Cc1ccccn1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742568871 667793928 /nfs/dbraw/zinc/79/39/28/667793928.db2.gz HLFPUBVWAMNOIF-LLVKDONJSA-N 0 2 322.390 0.613 20 0 DCADLN COCCCN(CCOC)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742567279 667793996 /nfs/dbraw/zinc/79/39/96/667793996.db2.gz XVOGDFIBGRYDQB-VIFPVBQESA-N 0 2 303.384 0.054 20 0 DCADLN CCOC(=O)CCN(C(=O)C[C@@H]1SC(=N)NC1=O)C1CC1 ZINC000742585979 667794392 /nfs/dbraw/zinc/79/43/92/667794392.db2.gz OUBZHTQDNMHCBD-VIFPVBQESA-N 0 2 313.379 0.487 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(n3cccn3)CC2)S1 ZINC000742591854 667794562 /nfs/dbraw/zinc/79/45/62/667794562.db2.gz BNOWAMQYPABEDV-SNVBAGLBSA-N 0 2 307.379 0.603 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1ccccc1 ZINC000742605446 667795229 /nfs/dbraw/zinc/79/52/29/667795229.db2.gz SXQOXJDRQSNKOI-KOLCDFICSA-N 0 2 321.358 0.573 20 0 DCADLN COC(=O)[C@H](C)CN(C(=O)C[C@@H]1SC(=N)NC1=O)C1CC1 ZINC000742619953 667795242 /nfs/dbraw/zinc/79/52/42/667795242.db2.gz QMPGVCHVEVSZGH-APPZFPTMSA-N 0 2 313.379 0.343 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N(CC(N)=O)Cc2ccccc2)S1 ZINC000742667118 667796813 /nfs/dbraw/zinc/79/68/13/667796813.db2.gz QOJPSWOCFROISZ-SNVBAGLBSA-N 0 2 320.374 0.057 20 0 DCADLN CCCC(O)(CCC)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000743751442 667815288 /nfs/dbraw/zinc/81/52/88/667815288.db2.gz HDMRNSDCDSLYNI-VIFPVBQESA-N 0 2 301.412 0.990 20 0 DCADLN CN1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)Cc2cccnc21 ZINC000872305113 667888936 /nfs/dbraw/zinc/88/89/36/667888936.db2.gz HFJRBKDEMGBUNT-JTQLQIEISA-N 0 2 319.390 0.416 20 0 DCADLN Cc1[nH]nc2ncc(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)cc12 ZINC000748297730 667922454 /nfs/dbraw/zinc/92/24/54/667922454.db2.gz UFEWUUZOPYDKQK-UHFFFAOYSA-N 0 2 324.304 0.290 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(-n2cc[nH]c2=S)cc1 ZINC000748418881 667927988 /nfs/dbraw/zinc/92/79/88/667927988.db2.gz GSNWRZKEIGFDPG-UHFFFAOYSA-N 0 2 316.346 0.915 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N[C@H]3C[C@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873076381 667969660 /nfs/dbraw/zinc/96/96/60/667969660.db2.gz JHOIWAISEGTCHS-USZNOCQGSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N[C@H]3C[C@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873076381 667969661 /nfs/dbraw/zinc/96/96/61/667969661.db2.gz JHOIWAISEGTCHS-USZNOCQGSA-N 0 2 306.366 0.959 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](Cn3ccnn3)C2)S1 ZINC000752252837 668014759 /nfs/dbraw/zinc/01/47/59/668014759.db2.gz FWLTXMSGPFHGNT-ZJUUUORDSA-N 0 2 322.394 0.073 20 0 DCADLN CC(C)(C)N1C[C@@H](NC(=O)C[C@H]2SC(=N)NC2=O)CC1=O ZINC000752676292 668020633 /nfs/dbraw/zinc/02/06/33/668020633.db2.gz ITUOIYBFCVPZOG-JGVFFNPUSA-N 0 2 312.395 0.058 20 0 DCADLN COc1cccnc1N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000753311689 668028501 /nfs/dbraw/zinc/02/85/01/668028501.db2.gz DGLQJKLKJBPCKX-UHFFFAOYSA-N 0 2 314.305 0.138 20 0 DCADLN COC(=O)[C@@H](C)OC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000755953017 668072967 /nfs/dbraw/zinc/07/29/67/668072967.db2.gz XXAMYKSCHROKNT-SSDOTTSWSA-N 0 2 322.342 0.941 20 0 DCADLN C[C@@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000757661012 668145078 /nfs/dbraw/zinc/14/50/78/668145078.db2.gz DJMQDRLLPUJWPY-SECBINFHSA-N 0 2 307.379 0.425 20 0 DCADLN C[C@@H]1c2nncn2CCN1C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000759338807 668216278 /nfs/dbraw/zinc/21/62/78/668216278.db2.gz QBHUDKVGPGVVRO-SSDOTTSWSA-N 0 2 319.287 0.638 20 0 DCADLN O=C(OCCN1CCCS1(=O)=O)c1cc(F)c(O)c(F)c1 ZINC000759546354 668225068 /nfs/dbraw/zinc/22/50/68/668225068.db2.gz QMJSTQPXLAOIGW-UHFFFAOYSA-N 0 2 321.301 0.863 20 0 DCADLN COCCCNC(=O)COC(=O)c1cc(F)c(O)c(F)c1 ZINC000759547311 668225112 /nfs/dbraw/zinc/22/51/12/668225112.db2.gz GJTKRMRGLTZPKZ-UHFFFAOYSA-N 0 2 303.261 0.980 20 0 DCADLN C[C@@H](C[S@](C)=O)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760505181 668275055 /nfs/dbraw/zinc/27/50/55/668275055.db2.gz MVUOFLBIQCITMG-PVDFSEMESA-N 0 2 302.318 0.327 20 0 DCADLN COc1ccnc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000760995899 668297573 /nfs/dbraw/zinc/29/75/73/668297573.db2.gz WOTDJHVYYLDVNO-UHFFFAOYSA-N 0 2 300.278 0.114 20 0 DCADLN C[C@H](C[C@@H](O)c1ccco1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000761875914 668339631 /nfs/dbraw/zinc/33/96/31/668339631.db2.gz WDCIEQHAPCUCBH-NQMVMOMDSA-N 0 2 311.363 0.764 20 0 DCADLN C[C@@H]1C[C@@H](c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)CCO1 ZINC000762285011 668354526 /nfs/dbraw/zinc/35/45/26/668354526.db2.gz RXRBHYMCWRJQFM-BDAKNGLRSA-N 0 2 316.321 0.785 20 0 DCADLN CN(C)c1cnc(C(=O)NN2CCc3ccccc3C2=O)cn1 ZINC000762643650 668370156 /nfs/dbraw/zinc/37/01/56/668370156.db2.gz BSGCHLGIPLKLQN-UHFFFAOYSA-N 0 2 311.345 0.886 20 0 DCADLN Cc1noc(C2CN(C(=O)C(C)(C)NC(=O)C(F)(F)F)C2)n1 ZINC000765667801 668504816 /nfs/dbraw/zinc/50/48/16/668504816.db2.gz USQWMXPGNMYSOZ-UHFFFAOYSA-N 0 2 320.271 0.761 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCc2cccc(F)c21 ZINC000769377645 668645243 /nfs/dbraw/zinc/64/52/43/668645243.db2.gz GKHPFWJEKUIJKY-UHFFFAOYSA-N 0 2 313.292 0.800 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCC[C@@H]2CCCC[C@H]2O)S1 ZINC000771746974 668749547 /nfs/dbraw/zinc/74/95/47/668749547.db2.gz HBPYGOGCPJVHEY-AXFHLTTASA-N 0 2 313.423 0.990 20 0 DCADLN Nc1nc(C2CCCC2)ncc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000772686051 668780729 /nfs/dbraw/zinc/78/07/29/668780729.db2.gz NSULDQYMPLDJFW-UHFFFAOYSA-N 0 2 304.310 0.897 20 0 DCADLN CC(C)N(C)S(=O)(=O)[N-]C(=O)C[N@H+](C)[C@@H]1CCSC1 ZINC000774070353 668821580 /nfs/dbraw/zinc/82/15/80/668821580.db2.gz ICUUFIRBIPIJCP-SNVBAGLBSA-N 0 2 309.457 0.125 20 0 DCADLN CC(C)N(C)S(=O)(=O)[N-]C(=O)C[N@@H+](C)[C@@H]1CCSC1 ZINC000774070353 668821581 /nfs/dbraw/zinc/82/15/81/668821581.db2.gz ICUUFIRBIPIJCP-SNVBAGLBSA-N 0 2 309.457 0.125 20 0 DCADLN O=C(NCCOCCOC(=O)c1nccs1)C(F)(F)F ZINC000774180229 668825543 /nfs/dbraw/zinc/82/55/43/668825543.db2.gz COPYAVJZDHOEMR-UHFFFAOYSA-N 0 2 312.269 0.995 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)Nc2cc(F)ccc21 ZINC000774899551 668850509 /nfs/dbraw/zinc/85/05/09/668850509.db2.gz ZJHNEZVCSLNZHH-QMMMGPOBSA-N 0 2 306.253 0.819 20 0 DCADLN O=C(COc1ccc2c(c1)CCC(=O)N2)OCc1n[nH]c(=O)[nH]1 ZINC000774914167 668851159 /nfs/dbraw/zinc/85/11/59/668851159.db2.gz XLGACOLFIQAJRH-UHFFFAOYSA-N 0 2 318.289 0.517 20 0 DCADLN COC(=O)c1ccc(CCC(=O)OCc2n[nH]c(=O)[nH]2)cc1 ZINC000774946770 668852364 /nfs/dbraw/zinc/85/23/64/668852364.db2.gz GJXFICLIQJTQTG-UHFFFAOYSA-N 0 2 305.290 0.973 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccccc1Cn1cncn1 ZINC000774956952 668852489 /nfs/dbraw/zinc/85/24/89/668852489.db2.gz BBSVAIJSNNIQNB-UHFFFAOYSA-N 0 2 300.278 0.507 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2COc3ccccc3C2=O)S1 ZINC000775794011 668867864 /nfs/dbraw/zinc/86/78/64/668867864.db2.gz TZISRTSIMXAYBD-WPRPVWTQSA-N 0 2 319.342 0.303 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2ccc(CO)cc2)S1 ZINC000775880905 668868929 /nfs/dbraw/zinc/86/89/29/668868929.db2.gz MHNYTGHHRHUKBF-NSHDSACASA-N 0 2 307.375 0.394 20 0 DCADLN O=C(NN1CN=NC1=O)c1cccnc1OCC(F)(F)F ZINC000777767008 668891148 /nfs/dbraw/zinc/89/11/48/668891148.db2.gz DZHBMDJPBUOCHB-UHFFFAOYSA-N 0 2 303.200 0.704 20 0 DCADLN O=C(NN1CCCNC1=O)c1cnc(-c2ncccn2)s1 ZINC000779446388 668911137 /nfs/dbraw/zinc/91/11/37/668911137.db2.gz IJTYEAFRMVVPBA-UHFFFAOYSA-N 0 2 304.335 0.660 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC=C(c2ccccc2)C1 ZINC000779679697 668927849 /nfs/dbraw/zinc/92/78/49/668927849.db2.gz PYONQORUSQXMPD-UHFFFAOYSA-N 0 2 321.340 0.997 20 0 DCADLN O[C@@H](C[NH+]1CCN(Cc2nnc[nH]2)CC1)CC1(O)CCCCC1 ZINC000878811779 668932574 /nfs/dbraw/zinc/93/25/74/668932574.db2.gz RXXCAZYBZHZTGE-CQSZACIVSA-N 0 2 323.441 0.369 20 0 DCADLN O=C([O-])[C@@H]1CCCN1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000780696300 669006181 /nfs/dbraw/zinc/00/61/81/669006181.db2.gz MVCQNBZAJGMJAY-NEPJUHHUSA-N 0 2 304.350 0.919 20 0 DCADLN Cn1cc(-c2nn(C)cc2C(=O)OCc2n[nH]c(=O)[nH]2)cn1 ZINC000781294250 669037657 /nfs/dbraw/zinc/03/76/57/669037657.db2.gz WGTUFHKDGJJZSU-UHFFFAOYSA-N 0 2 303.282 0.001 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cnn(-c2ccc(F)cc2)n1 ZINC000786144749 669295319 /nfs/dbraw/zinc/29/53/19/669295319.db2.gz MFCMXGAVGPJFST-UHFFFAOYSA-N 0 2 304.241 0.587 20 0 DCADLN CCCOC(=O)[C@H](C)n1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881296675 669319298 /nfs/dbraw/zinc/31/92/98/669319298.db2.gz WBCVJCDMZWMNJU-ZETCQYMHSA-N 0 2 308.260 0.971 20 0 DCADLN CC(C)(C)CNC(=O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881327461 669323728 /nfs/dbraw/zinc/32/37/28/669323728.db2.gz LATUCNFJGZXZOC-UHFFFAOYSA-N 0 2 321.303 0.619 20 0 DCADLN Cc1ccc(C[C@H](CO)NC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000787379780 669371355 /nfs/dbraw/zinc/37/13/55/669371355.db2.gz FKRPRYWFYPIOBG-NEPJUHHUSA-N 0 2 321.402 0.571 20 0 DCADLN CC(C)[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(F)(F)F ZINC000787694377 669389737 /nfs/dbraw/zinc/38/97/37/669389737.db2.gz BVMYAOKZOBATRS-VIFPVBQESA-N 0 2 317.271 0.774 20 0 DCADLN CN(C)[S@](C)(=O)=NS(=O)(=O)NC1(C(F)F)CCCC1 ZINC000882200189 669474391 /nfs/dbraw/zinc/47/43/91/669474391.db2.gz DHHRAYJLVVVZDN-GOSISDBHSA-N 0 2 319.399 0.973 20 0 DCADLN Cc1ccc2c(c1)CCN(C(=O)Cn1[nH]cc3c(=O)ncnc1-3)C2 ZINC000790990325 669586215 /nfs/dbraw/zinc/58/62/15/669586215.db2.gz KHMMQMGOHNYRQG-UHFFFAOYSA-N 0 2 323.356 0.965 20 0 DCADLN COc1cnc([C@@H]2CCCN2C[C@H](O)C2(O)CCC2)[nH]c1=O ZINC000934271028 669589137 /nfs/dbraw/zinc/58/91/37/669589137.db2.gz DMAKWCUWJUWABQ-JQWIXIFHSA-N 0 2 309.366 0.604 20 0 DCADLN Cc1cc2n[nH]cc2cc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000791052986 669589666 /nfs/dbraw/zinc/58/96/66/669589666.db2.gz AMSDEEQFSPQRHN-UHFFFAOYSA-N 0 2 323.316 0.895 20 0 DCADLN Cn1cnc(C[NH2+][C@H]2CCCN(CC[NH+]3CCOCC3)C2)c1 ZINC000883372412 669676556 /nfs/dbraw/zinc/67/65/56/669676556.db2.gz ZQELJEPORDLODK-HNNXBMFYSA-N 0 2 307.442 0.306 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)C(=O)NCC3)S1 ZINC000792812653 669687958 /nfs/dbraw/zinc/68/79/58/669687958.db2.gz LNHPUERSIFIFAO-SNVBAGLBSA-N 0 2 318.358 0.467 20 0 DCADLN CCOC(=O)c1nc(NC(=O)CC2SC(=N)NC2=O)c[nH]1 ZINC000793964433 669760051 /nfs/dbraw/zinc/76/00/51/669760051.db2.gz UGXSILBCPSVXFM-RXMQYKEDSA-N 0 2 311.323 0.081 20 0 DCADLN Cn1ccc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000950087380 669932135 /nfs/dbraw/zinc/93/21/35/669932135.db2.gz KTRQORBYPUNAJB-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)NC[C@@H]2CCN2C(=O)[C@H](F)C(F)(F)F)n1 ZINC000950087380 669932145 /nfs/dbraw/zinc/93/21/45/669932145.db2.gz KTRQORBYPUNAJB-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN CCN(C(=O)[C@@H]1CC[C@@H](OC)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950663412 670088356 /nfs/dbraw/zinc/08/83/56/670088356.db2.gz RQEHYABIPPDMGG-ZYHUDNBSSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H](OC(=O)c1nn(-c2ccc(F)cc2F)cc1O)C(N)=O ZINC000801415163 670128246 /nfs/dbraw/zinc/12/82/46/670128246.db2.gz NLYCLSSHYPMTKQ-LURJTMIESA-N 0 2 311.244 0.887 20 0 DCADLN CON(CC1CCOCC1)C(=O)CC1SC(=N)NC1=O ZINC000801594590 670136101 /nfs/dbraw/zinc/13/61/01/670136101.db2.gz GZBKPECABIGTBM-VIFPVBQESA-N 0 2 301.368 0.359 20 0 DCADLN CON(CC1CCOCC1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000801594590 670136110 /nfs/dbraw/zinc/13/61/10/670136110.db2.gz GZBKPECABIGTBM-VIFPVBQESA-N 0 2 301.368 0.359 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccn[nH]1 ZINC000948901258 670210693 /nfs/dbraw/zinc/21/06/93/670210693.db2.gz RSDXKDZCQJNDFO-POYBYMJQSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ccn[nH]1 ZINC000948901258 670210697 /nfs/dbraw/zinc/21/06/97/670210697.db2.gz RSDXKDZCQJNDFO-POYBYMJQSA-N 0 2 308.235 0.641 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC3(CCOCC3)CC2)S1 ZINC000803674680 670257534 /nfs/dbraw/zinc/25/75/34/670257534.db2.gz SZPSCDCRQNNLPZ-SNVBAGLBSA-N 0 2 311.407 0.962 20 0 DCADLN O=C(NN1CCCNC1=O)c1cnc2c(F)cc(F)cc2c1O ZINC000807205630 670352834 /nfs/dbraw/zinc/35/28/34/670352834.db2.gz YACGTSURBJOSJL-UHFFFAOYSA-N 0 2 322.271 0.866 20 0 DCADLN CCN(C(=O)c1ccc(C)n1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949674302 670402091 /nfs/dbraw/zinc/40/20/91/670402091.db2.gz BCARXRRFXZHJQG-UHFFFAOYSA-N 0 2 318.381 0.504 20 0 DCADLN CCN(C(=O)c1scnc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949715389 670411530 /nfs/dbraw/zinc/41/15/30/670411530.db2.gz KHWGTZZKBZASBI-UHFFFAOYSA-N 0 2 322.394 0.622 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC000810515783 670488363 /nfs/dbraw/zinc/48/83/63/670488363.db2.gz UWNUODCJWIZZTA-BWZBUEFSSA-N 0 2 320.308 0.721 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC000810515783 670488366 /nfs/dbraw/zinc/48/83/66/670488366.db2.gz UWNUODCJWIZZTA-BWZBUEFSSA-N 0 2 320.308 0.721 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC000810533484 670488959 /nfs/dbraw/zinc/48/89/59/670488959.db2.gz NOATWMWETMVBOK-QMMMGPOBSA-N 0 2 320.308 0.769 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC000810533484 670488961 /nfs/dbraw/zinc/48/89/61/670488961.db2.gz NOATWMWETMVBOK-QMMMGPOBSA-N 0 2 320.308 0.769 20 0 DCADLN COC(=O)C(C)(C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000811222453 670517980 /nfs/dbraw/zinc/51/79/80/670517980.db2.gz QPZBHAIFHHPNQQ-MRVPVSSYSA-N 0 2 314.279 0.983 20 0 DCADLN COC(=O)C(C)(C)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000811222453 670517983 /nfs/dbraw/zinc/51/79/83/670517983.db2.gz QPZBHAIFHHPNQQ-MRVPVSSYSA-N 0 2 314.279 0.983 20 0 DCADLN CC(C)[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C1(CO)CCC1 ZINC000848120959 670636392 /nfs/dbraw/zinc/63/63/92/670636392.db2.gz SVFZGXYNRRCSJU-GXSJLCMTSA-N 0 2 313.423 0.846 20 0 DCADLN NS(=O)(=O)NC[C@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000854841365 670640679 /nfs/dbraw/zinc/64/06/79/670640679.db2.gz XUGQNGKGUHARTN-RNFRBKRXSA-N 0 2 321.296 0.061 20 0 DCADLN NS(=O)(=O)NC[C@H]1CCCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000854841365 670640687 /nfs/dbraw/zinc/64/06/87/670640687.db2.gz XUGQNGKGUHARTN-RNFRBKRXSA-N 0 2 321.296 0.061 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)C(=O)NC[C@@H](C)C(=O)[O-])c1C ZINC000848607825 670704195 /nfs/dbraw/zinc/70/41/95/670704195.db2.gz JWQSQHOUNHRQOQ-SECBINFHSA-N 0 2 323.349 0.160 20 0 DCADLN COC(=O)C[C@H](CNC(=O)C[C@H]1SC(=N)NC1=O)C1CC1 ZINC000855910748 670767279 /nfs/dbraw/zinc/76/72/79/670767279.db2.gz RGYDSOIVEUUQIK-RKDXNWHRSA-N 0 2 313.379 0.248 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCC[C@@H]1CCOC1 ZINC000816439077 670810510 /nfs/dbraw/zinc/81/05/10/670810510.db2.gz SKFQBJRGUAOOOV-NSHDSACASA-N 0 2 305.334 0.843 20 0 DCADLN O=C(Cc1ccc(F)cn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000816541376 670819808 /nfs/dbraw/zinc/81/98/08/670819808.db2.gz LASOYZAZKOVTMH-VIFPVBQESA-N 0 2 305.313 0.993 20 0 DCADLN COCC1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCOCC1 ZINC000816790567 670865205 /nfs/dbraw/zinc/86/52/05/670865205.db2.gz FUMAXUKLMCKILF-VIFPVBQESA-N 0 2 315.395 0.102 20 0 DCADLN CN(C[C@H](O)Cc1ccccc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000817220452 670906020 /nfs/dbraw/zinc/90/60/20/670906020.db2.gz IESGOECUEMFWBE-NEPJUHHUSA-N 0 2 321.402 0.605 20 0 DCADLN Cc1cc(NC(=O)CSCC(=O)NOC(C)(C)CO)no1 ZINC000857287293 670906450 /nfs/dbraw/zinc/90/64/50/670906450.db2.gz NQCBBWWVDXUURJ-UHFFFAOYSA-N 0 2 317.367 0.473 20 0 DCADLN Nc1ccc2c(c1)CC[C@@H]2NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000851646146 671003496 /nfs/dbraw/zinc/00/34/96/671003496.db2.gz QSCIEQOBFJGLTJ-ZDUSSCGKSA-N 0 2 324.344 0.457 20 0 DCADLN CC(C)[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCO1 ZINC000819409714 671116733 /nfs/dbraw/zinc/11/67/33/671116733.db2.gz AGCUAADUZIMKEZ-LBPRGKRZSA-N 0 2 319.365 0.345 20 0 DCADLN CCCC[C@@H]1NC(=O)N(C[N@@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000826815248 671501234 /nfs/dbraw/zinc/50/12/34/671501234.db2.gz PUAKTIGXRSJDBH-QWRGUYRKSA-N 0 2 312.370 0.739 20 0 DCADLN CCCC[C@@H]1NC(=O)N(C[N@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000826815248 671501236 /nfs/dbraw/zinc/50/12/36/671501236.db2.gz PUAKTIGXRSJDBH-QWRGUYRKSA-N 0 2 312.370 0.739 20 0 DCADLN O=C(NCC[C@@H]1CNC(=O)C1)C1=NN(c2ccccc2)CC1=O ZINC000831943217 671692368 /nfs/dbraw/zinc/69/23/68/671692368.db2.gz FZCRSKBHPCQOMH-NSHDSACASA-N 0 2 314.345 0.834 20 0 DCADLN CCOC(=O)[C@H]1CN(C(=O)C(F)C(F)(F)F)CC12COC2 ZINC000833099759 671745976 /nfs/dbraw/zinc/74/59/76/671745976.db2.gz DSKQSTCXERXZJG-HTQZYQBOSA-N 0 2 313.247 0.925 20 0 DCADLN CCOC(=O)[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CC12COC2 ZINC000833099759 671745977 /nfs/dbraw/zinc/74/59/77/671745977.db2.gz DSKQSTCXERXZJG-HTQZYQBOSA-N 0 2 313.247 0.925 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCO[C@H]2CCCCO2)S1 ZINC000834831304 671783672 /nfs/dbraw/zinc/78/36/72/671783672.db2.gz JDANQNVAWBSKMD-KOLCDFICSA-N 0 2 315.395 0.592 20 0 DCADLN CN(C(=O)C[C@H]1SC(=N)NC1=O)[C@H](CO)CC(C)(C)C ZINC000836970098 671840844 /nfs/dbraw/zinc/84/08/44/671840844.db2.gz MCZFWMPROZMZNQ-DTWKUNHWSA-N 0 2 301.412 0.798 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@](C)(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000844218207 672164411 /nfs/dbraw/zinc/16/44/11/672164411.db2.gz ITMXTABIYJNLFY-MKBNYLNASA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@](C)(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000844218207 672164414 /nfs/dbraw/zinc/16/44/14/672164414.db2.gz ITMXTABIYJNLFY-MKBNYLNASA-N 0 2 320.393 0.985 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC2(CC2(F)F)CC1 ZINC000844872891 672207296 /nfs/dbraw/zinc/20/72/96/672207296.db2.gz ZUDLKMJOBJNSFF-UHFFFAOYSA-N 0 2 323.303 0.719 20 0 DCADLN Cn1cccc1S(=O)(=O)[N-]C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845602863 672265949 /nfs/dbraw/zinc/26/59/49/672265949.db2.gz MNJBQSANEFCBMI-UHFFFAOYSA-N 0 2 322.390 0.606 20 0 DCADLN O=S(=O)(NC[C@@H]1COCO1)c1onc(C2CC2)c1Cl ZINC000867396519 672274281 /nfs/dbraw/zinc/27/42/81/672274281.db2.gz YQEQDVXTCLYKFS-SSDOTTSWSA-N 0 2 308.743 0.857 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@](C)(O)c1ccccc1 ZINC000846411778 672311716 /nfs/dbraw/zinc/31/17/16/672311716.db2.gz SRJDNXOXVFPSSA-ZSGNIPIJSA-N 0 2 321.402 0.955 20 0 DCADLN O=c1[nH]nc(CNc2cc3c(cc2[N+](=O)[O-])OCC(=O)N3)[nH]1 ZINC000392674358 685263759 /nfs/dbraw/zinc/26/37/59/685263759.db2.gz NJMORKSKTIIYAH-UHFFFAOYSA-N 0 2 306.238 0.362 20 0 DCADLN Cc1ccccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038031955 693994006 /nfs/dbraw/zinc/99/40/06/693994006.db2.gz XHKUKAQQUVHYDF-LLVKDONJSA-N 0 2 301.350 0.823 20 0 DCADLN CC[C@@H]1C[C@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CCO1 ZINC000957102783 686961139 /nfs/dbraw/zinc/96/11/39/686961139.db2.gz JKEJGRNEIBATSK-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CC(C)(C)[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038503965 694101075 /nfs/dbraw/zinc/10/10/75/694101075.db2.gz QXHAKVSZQJSNIB-GMTAPVOTSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)c1ocnc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038890828 694231849 /nfs/dbraw/zinc/23/18/49/694231849.db2.gz SHHYBBBNIVMXNM-VIFPVBQESA-N 0 2 320.353 0.626 20 0 DCADLN CCc1nc(C)c(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038892440 694231972 /nfs/dbraw/zinc/23/19/72/694231972.db2.gz YAJKYWPBCVLXJD-VIFPVBQESA-N 0 2 320.353 0.373 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@@H]2C)[nH]n1 ZINC000965375070 689427192 /nfs/dbraw/zinc/42/71/92/689427192.db2.gz UXVOHSWXADLBSR-KWQFWETISA-N 0 2 319.369 0.182 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)Cc1ccon1 ZINC000965652175 689518685 /nfs/dbraw/zinc/51/86/85/689518685.db2.gz HFEZEZYUWMIAKN-KOLCDFICSA-N 0 2 320.353 0.068 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCCC1 ZINC000965895378 689571881 /nfs/dbraw/zinc/57/18/81/689571881.db2.gz VHWJUTIKQMFULD-ZYHUDNBSSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCCC1 ZINC000965895378 689571886 /nfs/dbraw/zinc/57/18/86/689571886.db2.gz VHWJUTIKQMFULD-ZYHUDNBSSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccsn1 ZINC000966899183 689898478 /nfs/dbraw/zinc/89/84/78/689898478.db2.gz CLZDQDDBTNHRNS-SCZZXKLOSA-N 0 2 322.394 0.607 20 0 DCADLN CCN(C(=O)c1cn[nH]c1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000967810074 690085103 /nfs/dbraw/zinc/08/51/03/690085103.db2.gz YKERMJSTKGZUBA-SECBINFHSA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)c1cn[nH]c1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000967810074 690085104 /nfs/dbraw/zinc/08/51/04/690085104.db2.gz YKERMJSTKGZUBA-SECBINFHSA-N 0 2 322.262 0.983 20 0 DCADLN C[C@@H]1C[C@@H]1C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039504708 694374722 /nfs/dbraw/zinc/37/47/22/694374722.db2.gz JBADHUVHEPFCEY-WYUUTHIRSA-N 0 2 305.382 0.732 20 0 DCADLN CC(=O)N1CCO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001007673033 690725978 /nfs/dbraw/zinc/72/59/78/690725978.db2.gz NGGVDRNHXLOYGV-ONGXEEELSA-N 0 2 312.263 0.737 20 0 DCADLN CC(=O)N1CCO[C@@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001007673033 690725982 /nfs/dbraw/zinc/72/59/82/690725982.db2.gz NGGVDRNHXLOYGV-ONGXEEELSA-N 0 2 312.263 0.737 20 0 DCADLN CCc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001009278063 691019163 /nfs/dbraw/zinc/01/91/63/691019163.db2.gz SGCMOVQIDAGTHO-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN CC[C@H](F)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039830591 694439595 /nfs/dbraw/zinc/43/95/95/694439595.db2.gz ULGKXJKFGUTOCZ-AXFHLTTASA-N 0 2 311.361 0.824 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CCN(C(=O)[C@@H]2CCC[N@H+]2C)CC1 ZINC001010720681 691360308 /nfs/dbraw/zinc/36/03/08/691360308.db2.gz IQVHOAZFWCAOLS-AWEZNQCLSA-N 0 2 319.409 0.026 20 0 DCADLN CCc1cc(C(=O)N2C[C@H](NC(=O)c3ncn[nH]3)C[C@@H]2C)[nH]n1 ZINC001012334018 691754310 /nfs/dbraw/zinc/75/43/10/691754310.db2.gz YHPUFXQMXSQPBU-WCBMZHEXSA-N 0 2 317.353 0.123 20 0 DCADLN CC(C)C(=O)N1CC[C@@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040070206 694476092 /nfs/dbraw/zinc/47/60/92/694476092.db2.gz QMNMLKJOXVGZNG-LDYMZIIASA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)N1CC[C@@](O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001040070206 694476097 /nfs/dbraw/zinc/47/60/97/694476097.db2.gz QMNMLKJOXVGZNG-LDYMZIIASA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)c1nocc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014704611 692075568 /nfs/dbraw/zinc/07/55/68/692075568.db2.gz LLUJIPRXELBFGE-VIFPVBQESA-N 0 2 320.353 0.626 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014799806 692097972 /nfs/dbraw/zinc/09/79/72/692097972.db2.gz DKPGHFUBDKRSAX-SCVCMEIPSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@]1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C[C@H]2C[C@H]2C1 ZINC001015378013 692279648 /nfs/dbraw/zinc/27/96/48/692279648.db2.gz RNYGZKPLHGYLPN-RSQPEXBXSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(C2CC2)on1 ZINC001015428883 692295818 /nfs/dbraw/zinc/29/58/18/692295818.db2.gz FKYSPQLYIQKVBK-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001015604177 692360601 /nfs/dbraw/zinc/36/06/01/692360601.db2.gz ISSNIQRZRKCBEK-MRVPVSSYSA-N 0 2 308.367 0.280 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc[nH]c1C1CC1 ZINC001015624023 692369305 /nfs/dbraw/zinc/36/93/05/692369305.db2.gz GTNXSDXHEURSOO-SNVBAGLBSA-N 0 2 316.365 0.720 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@]12C[C@H]1CCCC2 ZINC001015674294 692390898 /nfs/dbraw/zinc/39/08/98/692390898.db2.gz XZJAPCMYEAXXEV-UEKVPHQBSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(C2CC2)n[nH]1 ZINC001015743332 692424306 /nfs/dbraw/zinc/42/43/06/692424306.db2.gz HXIIGTQWLLIHTK-VIFPVBQESA-N 0 2 317.353 0.115 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC001016426294 692685156 /nfs/dbraw/zinc/68/51/56/692685156.db2.gz ILSLKSDNWHGRIH-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1CCN(C(=O)c2ccn[nH]2)C[C@@H]1CNC(=O)c1cn[nH]n1 ZINC001018523684 693136234 /nfs/dbraw/zinc/13/62/34/693136234.db2.gz UWCRFRUACCBWTK-UWVGGRQHSA-N 0 2 317.353 0.056 20 0 DCADLN C[C@H]1CCN(C(=O)c2cc[nH]n2)C[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001018523684 693136236 /nfs/dbraw/zinc/13/62/36/693136236.db2.gz UWCRFRUACCBWTK-UWVGGRQHSA-N 0 2 317.353 0.056 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)s1 ZINC001018720773 693206420 /nfs/dbraw/zinc/20/64/20/693206420.db2.gz CALXUBZFRFCHJN-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN O=C(c1nccs1)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001073072564 694701636 /nfs/dbraw/zinc/70/16/36/694701636.db2.gz URXSMRIUESTIQB-UHFFFAOYSA-N 0 2 320.378 0.315 20 0 DCADLN O=C(c1nccs1)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001073072564 694701638 /nfs/dbraw/zinc/70/16/38/694701638.db2.gz URXSMRIUESTIQB-UHFFFAOYSA-N 0 2 320.378 0.315 20 0 DCADLN O=C(c1cc[nH]c1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075824549 694978960 /nfs/dbraw/zinc/97/89/60/694978960.db2.gz DGOABGVIUDSJQJ-WDEREUQCSA-N 0 2 302.338 0.185 20 0 DCADLN O=C(c1cc[nH]c1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075824549 694978961 /nfs/dbraw/zinc/97/89/61/694978961.db2.gz DGOABGVIUDSJQJ-WDEREUQCSA-N 0 2 302.338 0.185 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001076856854 695077736 /nfs/dbraw/zinc/07/77/36/695077736.db2.gz FVNPCHTVMOGLAI-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001076856854 695077740 /nfs/dbraw/zinc/07/77/40/695077740.db2.gz FVNPCHTVMOGLAI-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN COCCC[N@H+](C)C[C@@H]1CCCN1C(=O)c1[nH]nc(C)c1[O-] ZINC001570528150 1162535774 /nfs/dbraw/zinc/53/57/74/1162535774.db2.gz OLEOSECLDLDWBQ-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN COCCC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1[nH]nc(C)c1[O-] ZINC001570528150 1162535780 /nfs/dbraw/zinc/53/57/80/1162535780.db2.gz OLEOSECLDLDWBQ-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN COCC(=O)N1CCC[C@H]1CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001745036323 1157726434 /nfs/dbraw/zinc/72/64/34/1157726434.db2.gz TVMQCTIUMNVWAP-CYBMUJFWSA-N 0 2 322.409 0.794 20 0 DCADLN CN(C(=O)N[C@H]1CC[NH+](C2CC2)C1)[C@H]1CC[N@H+]2CCO[C@H]1C2 ZINC000454652668 1158292669 /nfs/dbraw/zinc/29/26/69/1158292669.db2.gz WHHKYBLVQHOYII-QEJZJMRPSA-N 0 2 308.426 0.338 20 0 DCADLN Cc1nc(=NC(=O)C(=O)NCc2cc(Cl)ccc2C)[nH]n1C ZINC000340348822 1158855897 /nfs/dbraw/zinc/85/58/97/1158855897.db2.gz AJMVPZYGQDGWDJ-UHFFFAOYSA-N 0 2 321.768 0.762 20 0 DCADLN CCCc1cc(C(=O)N(C)CCCNC(=O)c2cnn[nH]2)[nH]n1 ZINC001687538372 1158885039 /nfs/dbraw/zinc/88/50/39/1158885039.db2.gz XNMZESIUPISWCT-UHFFFAOYSA-N 0 2 319.369 0.372 20 0 DCADLN CN(C(=O)N[C@H]1CCCc2c[nH]nc21)[C@@H]1CC[N@H+]2CCO[C@H]1C2 ZINC001434780099 1159272624 /nfs/dbraw/zinc/27/26/24/1159272624.db2.gz KWDFUVVCNBKWRO-MJBXVCDLSA-N 0 2 319.409 0.902 20 0 DCADLN O=C(CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F)N1CCC1 ZINC001446034482 1159330309 /nfs/dbraw/zinc/33/03/09/1159330309.db2.gz YQPXLJBDDVJDPD-WCBMZHEXSA-N 0 2 311.279 0.310 20 0 DCADLN O=C(CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F)N1CCC1 ZINC001446034482 1159330314 /nfs/dbraw/zinc/33/03/14/1159330314.db2.gz YQPXLJBDDVJDPD-WCBMZHEXSA-N 0 2 311.279 0.310 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447446908 1159643594 /nfs/dbraw/zinc/64/35/94/1159643594.db2.gz HAAPRMFQCUFNMN-NRPADANISA-N 0 2 323.246 0.881 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001447446908 1159643600 /nfs/dbraw/zinc/64/36/00/1159643600.db2.gz HAAPRMFQCUFNMN-NRPADANISA-N 0 2 323.246 0.881 20 0 DCADLN C[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C1(C(=O)[O-])CCOCC1 ZINC001573569229 1163505293 /nfs/dbraw/zinc/50/52/93/1163505293.db2.gz RILRQVKNPYMBNS-QJPTWQEYSA-N 0 2 321.377 0.910 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2cnc3c(C(=O)[O-])cnn3c2)[C@H](C(N)=O)C1 ZINC001573645456 1163521346 /nfs/dbraw/zinc/52/13/46/1163521346.db2.gz GEJIYVMAFRKNGG-SKDRFNHKSA-N 0 2 317.349 0.513 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2cnc3c(C(=O)[O-])cnn3c2)[C@H](C(N)=O)C1 ZINC001573645456 1163521352 /nfs/dbraw/zinc/52/13/52/1163521352.db2.gz GEJIYVMAFRKNGG-SKDRFNHKSA-N 0 2 317.349 0.513 20 0 DCADLN CCc1[nH]c(CNS(=O)(=O)Cc2cc(C(=O)[O-])no2)c[nH+]1 ZINC001573834695 1163564229 /nfs/dbraw/zinc/56/42/29/1163564229.db2.gz XHTDKYJLMYVKRV-UHFFFAOYSA-N 0 2 314.323 0.278 20 0 DCADLN CCc1[nH]cc(CNS(=O)(=O)Cc2cc(C(=O)[O-])no2)[nH+]1 ZINC001573834695 1163564234 /nfs/dbraw/zinc/56/42/34/1163564234.db2.gz XHTDKYJLMYVKRV-UHFFFAOYSA-N 0 2 314.323 0.278 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)(C)CC(=O)[O-] ZINC001573934948 1163591457 /nfs/dbraw/zinc/59/14/57/1163591457.db2.gz HFVQPYBMAOMUTF-JTQLQIEISA-N 0 2 311.338 0.501 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)(C)CC(=O)[O-] ZINC001573934948 1163591464 /nfs/dbraw/zinc/59/14/64/1163591464.db2.gz HFVQPYBMAOMUTF-JTQLQIEISA-N 0 2 311.338 0.501 20 0 DCADLN COCc1noc(C[N@@H+]2CC[C@H](c3n[nH]cc3C(=O)[O-])C2)n1 ZINC001573992904 1163619326 /nfs/dbraw/zinc/61/93/26/1163619326.db2.gz MHKGRUFQHYPQOO-QMMMGPOBSA-N 0 2 307.310 0.627 20 0 DCADLN COCc1noc(C[N@H+]2CC[C@H](c3n[nH]cc3C(=O)[O-])C2)n1 ZINC001573992904 1163619332 /nfs/dbraw/zinc/61/93/32/1163619332.db2.gz MHKGRUFQHYPQOO-QMMMGPOBSA-N 0 2 307.310 0.627 20 0 DCADLN NC(=O)CCC(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC001574250106 1163674527 /nfs/dbraw/zinc/67/45/27/1163674527.db2.gz WYAUTNFBLQZAMH-UHFFFAOYSA-N 0 2 320.349 0.084 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001574986392 1163834390 /nfs/dbraw/zinc/83/43/90/1163834390.db2.gz OCRLSBWPKHXUHM-CBAPKCEASA-N 0 2 300.252 0.546 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001574986392 1163834396 /nfs/dbraw/zinc/83/43/96/1163834396.db2.gz OCRLSBWPKHXUHM-CBAPKCEASA-N 0 2 300.252 0.546 20 0 DCADLN CC(C)(C)[C@@H](C[NH2+]Cc1c[nH]nn1)NC(=O)[C@]12C[C@H]1COC2 ZINC001575256876 1163956383 /nfs/dbraw/zinc/95/63/83/1163956383.db2.gz MQGTXPLPHZXKMN-NVBFEUDRSA-N 0 2 307.398 0.462 20 0 DCADLN CC(C)(C)[C@@H](C[NH2+]Cc1cn[nH]n1)NC(=O)[C@]12C[C@H]1COC2 ZINC001575256876 1163956386 /nfs/dbraw/zinc/95/63/86/1163956386.db2.gz MQGTXPLPHZXKMN-NVBFEUDRSA-N 0 2 307.398 0.462 20 0 DCADLN C[C@H](NC(=O)c1cc(F)c(-c2nn[nH]n2)c(F)c1)c1nnc[nH]1 ZINC001575317656 1163971154 /nfs/dbraw/zinc/97/11/54/1163971154.db2.gz BLCXBJYOARPYQT-YFKPBYRVSA-N 0 2 320.263 0.754 20 0 DCADLN CC[C@@H](CCO)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001576767329 1164456699 /nfs/dbraw/zinc/45/66/99/1164456699.db2.gz NEIFNECYZVGJJV-VIFPVBQESA-N 0 2 320.353 0.414 20 0 DCADLN CCC[C@@H](NC(=O)c1cc(-c2nn[nH]n2)ccn1)c1nn[nH]n1 ZINC001577327055 1164671070 /nfs/dbraw/zinc/67/10/70/1164671070.db2.gz YSZGSZRSVVEJBW-MRVPVSSYSA-N 0 2 314.313 0.046 20 0 DCADLN CCN(CCCNC(=O)COC)C(=O)C(F)C(F)(F)F ZINC001577445795 1164692893 /nfs/dbraw/zinc/69/28/93/1164692893.db2.gz IMXMWYCIEOBYKU-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCN(CCCNC(=O)COC)C(=O)[C@H](F)C(F)(F)F ZINC001577445795 1164692897 /nfs/dbraw/zinc/69/28/97/1164692897.db2.gz IMXMWYCIEOBYKU-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN O=C(Cc1ncccc1F)NCCN(Cc1cn[nH]n1)C1CC1 ZINC001577966593 1164866386 /nfs/dbraw/zinc/86/63/86/1164866386.db2.gz RKVFGZWLFRDZRQ-UHFFFAOYSA-N 0 2 318.356 0.662 20 0 DCADLN O=C(Cc1ncccc1F)NCCN(Cc1c[nH]nn1)C1CC1 ZINC001577966593 1164866395 /nfs/dbraw/zinc/86/63/95/1164866395.db2.gz RKVFGZWLFRDZRQ-UHFFFAOYSA-N 0 2 318.356 0.662 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@@H](CO)C1 ZINC001580596898 1165649770 /nfs/dbraw/zinc/64/97/70/1165649770.db2.gz DZOZXIGITMRUKA-SECBINFHSA-N 0 2 318.337 0.120 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)C[C@@H](O)C1CC1 ZINC001580596177 1165649964 /nfs/dbraw/zinc/64/99/64/1165649964.db2.gz PQJAPVWYOZWIPK-SNVBAGLBSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCO[C@@H](C)[C@H]1C ZINC001580600094 1165651138 /nfs/dbraw/zinc/65/11/38/1165651138.db2.gz LWHSDTIQLJQBQL-BDAKNGLRSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCn2ccnc21 ZINC001580599956 1165651301 /nfs/dbraw/zinc/65/13/01/1165651301.db2.gz IJWHRIUZYVKKNC-UHFFFAOYSA-N 0 2 312.293 0.133 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1=NC[C@H](C)S1 ZINC001580603694 1165653395 /nfs/dbraw/zinc/65/33/95/1165653395.db2.gz WGQZSFOJGCHVKV-LURJTMIESA-N 0 2 319.350 0.497 20 0 DCADLN Cc1nn(C)cc1Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001581603204 1165850530 /nfs/dbraw/zinc/85/05/30/1165850530.db2.gz MKNMCYQHIGICFJ-UHFFFAOYSA-N 0 2 300.326 0.130 20 0 DCADLN O=C(Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2)[C@@H]1CCOC1 ZINC001582185143 1165978584 /nfs/dbraw/zinc/97/85/84/1165978584.db2.gz BMAADYJFBMMTJS-SNVBAGLBSA-N 0 2 315.333 0.123 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc(Cl)cn1 ZINC001582805974 1166085517 /nfs/dbraw/zinc/08/55/17/1166085517.db2.gz AYHNPLNWEPAVGT-UHFFFAOYSA-N 0 2 305.685 0.226 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCC[C@@H]1CCOC1 ZINC001587497382 1166507239 /nfs/dbraw/zinc/50/72/39/1166507239.db2.gz ZOKIADLRVSHYAK-GFCCVEGCSA-N 0 2 318.377 0.806 20 0 DCADLN C[C@](O)(C[C@@H](O)CNCc1nc(-c2nn[nH]n2)cs1)C1CC1 ZINC001589992048 1166739082 /nfs/dbraw/zinc/73/90/82/1166739082.db2.gz YYVOTGRGVMWFDZ-RNCFNFMXSA-N 0 2 324.410 0.325 20 0 DCADLN C[C@@H]1CCN(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2O)C1 ZINC001590272564 1166834901 /nfs/dbraw/zinc/83/49/01/1166834901.db2.gz UBVPALUBPXMFHF-SECBINFHSA-N 0 2 302.338 0.853 20 0 DCADLN C[C@@H]1CCSCC[N@@H+]1Cn1cccc(-c2nnn[n-]2)c1=O ZINC001590277106 1166837826 /nfs/dbraw/zinc/83/78/26/1166837826.db2.gz GMPXBOQXVXBBPZ-SNVBAGLBSA-N 0 2 306.395 0.813 20 0 DCADLN C[C@@H]1CCSCC[N@H+]1Cn1cccc(-c2nnn[n-]2)c1=O ZINC001590277106 1166837831 /nfs/dbraw/zinc/83/78/31/1166837831.db2.gz GMPXBOQXVXBBPZ-SNVBAGLBSA-N 0 2 306.395 0.813 20 0 DCADLN CCc1nc([C@H](C)NC(=O)c2cccc(-c3nn[nH]n3)n2)n[nH]1 ZINC001590910225 1167049645 /nfs/dbraw/zinc/04/96/45/1167049645.db2.gz IKGWJHHGQKPMAA-ZETCQYMHSA-N 0 2 313.325 0.433 20 0 DCADLN CCc1nnc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)o1 ZINC001590931083 1167052988 /nfs/dbraw/zinc/05/29/88/1167052988.db2.gz VIXALMHLSDSQHR-UHFFFAOYSA-N 0 2 302.298 0.034 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cc(-c2nn[nH]n2)ccn1)C1CC1 ZINC001591335512 1167221270 /nfs/dbraw/zinc/22/12/70/1167221270.db2.gz OKXMRCZFCXGZBQ-SNVBAGLBSA-N 0 2 324.366 0.428 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@H]2CCC[C@@H](C)C2)c(=O)n1C ZINC001591793892 1167380538 /nfs/dbraw/zinc/38/05/38/1167380538.db2.gz NJMNSFNCHWDTCB-KOLCDFICSA-N 0 2 318.381 0.862 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@H]2CCCO2)c(=O)n1C1CC1 ZINC001591793756 1167381226 /nfs/dbraw/zinc/38/12/26/1167381226.db2.gz HGYMNGQKXMVFPQ-SNVBAGLBSA-N 0 2 318.337 0.012 20 0 DCADLN Cc1ccc(Cn2c(=O)c(-c3nn[nH]n3)c(C)n(C)c2=O)cc1 ZINC001592171758 1167468489 /nfs/dbraw/zinc/46/84/89/1167468489.db2.gz NONSKARBURWLLE-UHFFFAOYSA-N 0 2 312.333 0.392 20 0 DCADLN Cc1ccn(CN2CCSC[C@H]2C)c(=O)c1-c1nn[nH]n1 ZINC001592268049 1167480774 /nfs/dbraw/zinc/48/07/74/1167480774.db2.gz XEBLTALNDKZHLD-SNVBAGLBSA-N 0 2 306.395 0.732 20 0 DCADLN Cc1nc(-c2nn[nH]n2)c(-n2[nH]c([C@@H]3CCOC3)cc2=O)o1 ZINC001592337385 1167493403 /nfs/dbraw/zinc/49/34/03/1167493403.db2.gz UWFYJUXMQFOTGL-SSDOTTSWSA-N 0 2 303.282 0.292 20 0 DCADLN Cc1nc(Sc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)oc1C ZINC001592398867 1167512591 /nfs/dbraw/zinc/51/25/91/1167512591.db2.gz FDIKDMDYFFSVLE-UHFFFAOYSA-N 0 2 321.322 0.010 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2nccs2)cc1-c1nn[nH]n1 ZINC001592415231 1167517006 /nfs/dbraw/zinc/51/70/06/1167517006.db2.gz RJGFJKHNDBLZIP-UHFFFAOYSA-N 0 2 323.363 0.827 20 0 DCADLN Cc1nn(C[C@@H]2C[C@@H]3CCC[C@@H]3O2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436682 1167522016 /nfs/dbraw/zinc/52/20/16/1167522016.db2.gz ZFCYMNNMLQFGSP-SRVKXCTJSA-N 0 2 316.365 0.998 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H](C)CC[S@@](C)=O ZINC001592498691 1167539490 /nfs/dbraw/zinc/53/94/90/1167539490.db2.gz DGLSNAANCKYQDC-MWAODPMSSA-N 0 2 311.367 0.655 20 0 DCADLN O=C(Cc1cncc(O)c1)NOc1cccc(-c2nn[nH]n2)c1 ZINC001592928646 1167668355 /nfs/dbraw/zinc/66/83/55/1167668355.db2.gz QWUKAWPGJJAMCF-UHFFFAOYSA-N 0 2 312.289 0.620 20 0 DCADLN O=C1CCCCN1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001593393644 1167806969 /nfs/dbraw/zinc/80/69/69/1167806969.db2.gz REAUZKOXIWXDBR-UHFFFAOYSA-N 0 2 314.349 0.487 20 0 DCADLN O=c1[nH]nc2n1CCN(Cc1cccc(-c3nn[nH]n3)c1F)C2 ZINC001593489769 1167872249 /nfs/dbraw/zinc/87/22/49/1167872249.db2.gz HONAUEOGQPQJJT-UHFFFAOYSA-N 0 2 316.300 0.319 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@@H]1CCC2(CCC2)O1 ZINC001593489739 1167874375 /nfs/dbraw/zinc/87/43/75/1167874375.db2.gz YGSOTNQNFQACMP-QMMMGPOBSA-N 0 2 304.310 0.231 20 0 DCADLN O=c1[nH]nc2n1CCN(Cc1cccc(F)c1-c1nn[nH]n1)C2 ZINC001593489884 1167874399 /nfs/dbraw/zinc/87/43/99/1167874399.db2.gz MNNPCXPWVOMHSA-UHFFFAOYSA-N 0 2 316.300 0.319 20 0 DCADLN O=c1c(-c2nn[nH]n2)ccc(C(F)(F)F)n1CCC1(O)CC1 ZINC001593492586 1167876995 /nfs/dbraw/zinc/87/69/95/1167876995.db2.gz KWUGYVIZVVVTFJ-UHFFFAOYSA-N 0 2 315.255 0.962 20 0 DCADLN CSCc1n[nH]c(CNC(=O)c2cccc3n[nH]nc32)n1 ZINC001596400272 1167991515 /nfs/dbraw/zinc/99/15/15/1167991515.db2.gz VUSBJJXBGUUGBH-UHFFFAOYSA-N 0 2 303.351 0.869 20 0 DCADLN CSCc1nnc(CNC(=O)c2cccc3n[nH]nc32)[nH]1 ZINC001596400272 1167991523 /nfs/dbraw/zinc/99/15/23/1167991523.db2.gz VUSBJJXBGUUGBH-UHFFFAOYSA-N 0 2 303.351 0.869 20 0 DCADLN COC(=O)[C@@H](O)C1CC[NH+](Cc2nc(C(=O)[O-])cs2)CC1 ZINC001598712993 1168028837 /nfs/dbraw/zinc/02/88/37/1168028837.db2.gz OLSPJXYEACOASH-NSHDSACASA-N 0 2 314.363 0.587 20 0 DCADLN COC(=O)c1cccc2c1CC[N@@H+]([C@H](C)C(=O)NCC(=O)[O-])C2 ZINC001599000869 1168063200 /nfs/dbraw/zinc/06/32/00/1168063200.db2.gz KJVDCYNJEZQTNN-SNVBAGLBSA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)c1cccc2c1CC[N@H+]([C@H](C)C(=O)NCC(=O)[O-])C2 ZINC001599000869 1168063208 /nfs/dbraw/zinc/06/32/08/1168063208.db2.gz KJVDCYNJEZQTNN-SNVBAGLBSA-N 0 2 320.345 0.421 20 0 DCADLN Cc1c(F)cc(C(=O)[O-])cc1OC[C@@H](O)C[NH+]1CCOCC1 ZINC001600019219 1168098396 /nfs/dbraw/zinc/09/83/96/1168098396.db2.gz KVMZDBMLCXNECE-LBPRGKRZSA-N 0 2 313.325 0.904 20 0 DCADLN Cc1cc(C(=O)N2CC[NH2+][C@@H](c3cnn(C)c3)C2)oc1C(=O)[O-] ZINC001600084597 1168115123 /nfs/dbraw/zinc/11/51/23/1168115123.db2.gz VRKTVWSHVKUYNJ-LLVKDONJSA-N 0 2 318.333 0.806 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)o1 ZINC001600476420 1168189628 /nfs/dbraw/zinc/18/96/28/1168189628.db2.gz FRDCHNNYVBOHJL-UHFFFAOYSA-N 0 2 302.352 0.369 20 0 DCADLN Cc1cnc(C[NH2+]C/C=C\CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])nc1 ZINC001600621139 1168215988 /nfs/dbraw/zinc/21/59/88/1168215988.db2.gz JDVIWIGIELHFPS-DQOJRVPBSA-N 0 2 304.350 0.268 20 0 DCADLN Cc1nccc([C@@H]2CCC[N@H+](Cc3cn(CC(=O)[O-])nn3)C2)n1 ZINC001600748796 1168274958 /nfs/dbraw/zinc/27/49/58/1168274958.db2.gz JEQNSEBMYXVXTH-GFCCVEGCSA-N 0 2 316.365 0.841 20 0 DCADLN Cc1nccc([C@@H]2CCC[N@@H+](Cc3cn(CC(=O)[O-])nn3)C2)n1 ZINC001600748796 1168274967 /nfs/dbraw/zinc/27/49/67/1168274967.db2.gz JEQNSEBMYXVXTH-GFCCVEGCSA-N 0 2 316.365 0.841 20 0 DCADLN Cn1cc(C[NH+]2CCC(S(C)(=O)=O)CC2)cc1C(=O)[O-] ZINC001600951527 1168528543 /nfs/dbraw/zinc/52/85/43/1168528543.db2.gz LATQEWQDDCSVQF-UHFFFAOYSA-N 0 2 300.380 0.732 20 0 DCADLN Cn1cc(CN2CC[NH+](CC[S@@](C)=O)CC2)cc1C(=O)[O-] ZINC001600953155 1168530230 /nfs/dbraw/zinc/53/02/30/1168530230.db2.gz OKMMZFWVSRFMHG-OAQYLSRUSA-N 0 2 313.423 0.219 20 0 DCADLN Cn1cc(Cl)c(C[NH2+]CCNC(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001600956894 1168532381 /nfs/dbraw/zinc/53/23/81/1168532381.db2.gz KTMURBAKXGRMJC-JGVFFNPUSA-N 0 2 300.746 0.000 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ccc(/C=C/C(=O)[O-])o1 ZINC001600993773 1168551319 /nfs/dbraw/zinc/55/13/19/1168551319.db2.gz VXSDOAHSXHKGRZ-RXNUUUNCSA-N 0 2 305.290 0.574 20 0 DCADLN Cn1nc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1C1CC1 ZINC001601013885 1168563814 /nfs/dbraw/zinc/56/38/14/1168563814.db2.gz XFRGIDMIHRZWSP-NSHDSACASA-N 0 2 303.322 0.377 20 0 DCADLN Cn1nc2ccccc2c1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001601018210 1168565376 /nfs/dbraw/zinc/56/53/76/1168565376.db2.gz HYABLSWVVMDVHU-GFCCVEGCSA-N 0 2 313.317 0.653 20 0 DCADLN Cn1nc2ccccc2c1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001601018211 1168566287 /nfs/dbraw/zinc/56/62/87/1168566287.db2.gz HYABLSWVVMDVHU-LBPRGKRZSA-N 0 2 313.317 0.653 20 0 DCADLN NC(Cc1ccccc1)=[NH+]O[C@H]1CCN(CCC(=O)[O-])C1=O ZINC001601177068 1168625353 /nfs/dbraw/zinc/62/53/53/1168625353.db2.gz UWKLMSZFMLFLTQ-LBPRGKRZSA-N 0 2 305.334 0.803 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)NCCc1cccc(C(=O)[O-])c1 ZINC001601232419 1168671555 /nfs/dbraw/zinc/67/15/55/1168671555.db2.gz ROSTVUSVYPJTSN-GORDUTHDSA-N 0 2 318.373 0.932 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cnn(C2CCC2)c1 ZINC001601426214 1168743008 /nfs/dbraw/zinc/74/30/08/1168743008.db2.gz UXWPOFGBVNEQLY-GFCCVEGCSA-N 0 2 303.322 0.688 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)[C@@H]1CCn2cc[nH+]c2C1)[C@H]1CCOC1 ZINC001601451496 1168752541 /nfs/dbraw/zinc/75/25/41/1168752541.db2.gz HMCGHOMUVXXMOO-WOPDTQHZSA-N 0 2 307.350 0.299 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)[C@@H]1CCc2c[nH+]cn2C1)[C@@H]1CCOC1 ZINC001601451122 1168752781 /nfs/dbraw/zinc/75/27/81/1168752781.db2.gz CMLQNRSZMXHUFE-WZRBSPASSA-N 0 2 307.350 0.299 20 0 DCADLN O=C([O-])c1ccc(F)c(NC(=O)C(=O)NCCn2cc[nH+]c2)c1 ZINC001601518262 1168771279 /nfs/dbraw/zinc/77/12/79/1168771279.db2.gz PYGBXBGOHJVJJU-UHFFFAOYSA-N 0 2 320.280 0.475 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCc2ccccc2O1 ZINC001601568145 1168785436 /nfs/dbraw/zinc/78/54/36/1168785436.db2.gz IAGCKOKGGAMGGA-TZMCWYRMSA-N 0 2 315.329 0.915 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCc2ccccc2O1 ZINC001601568145 1168785440 /nfs/dbraw/zinc/78/54/40/1168785440.db2.gz IAGCKOKGGAMGGA-TZMCWYRMSA-N 0 2 315.329 0.915 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1C[C@H]1c1cccc(O)c1 ZINC001601663241 1168810657 /nfs/dbraw/zinc/81/06/57/1168810657.db2.gz RFYWLVIHGJQKIM-MJBXVCDLSA-N 0 2 315.329 0.962 20 0 DCADLN O=C([O-])[C@]1(N2CC[NH+](Cc3ccc(CO)o3)CC2)CCOC1 ZINC001601719903 1168829408 /nfs/dbraw/zinc/82/94/08/1168829408.db2.gz PCQAVGZGVCFFKW-HNNXBMFYSA-N 0 2 310.350 0.133 20 0 DCADLN O=C([O-])[C@H]1CCC[C@@H](C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001601802847 1168900978 /nfs/dbraw/zinc/90/09/78/1168900978.db2.gz FPERPBQDIWVRPF-RQJABVFESA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])[C@H]1CCC[C@@H](C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001601802847 1168900985 /nfs/dbraw/zinc/90/09/85/1168900985.db2.gz FPERPBQDIWVRPF-RQJABVFESA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])Cc1cccc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001602132423 1169000674 /nfs/dbraw/zinc/00/06/74/1169000674.db2.gz JXFRDAOVRKJIAR-GASCZTMLSA-N 0 2 318.373 0.907 20 0 DCADLN O=C([O-])Cc1cccc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001602132423 1169000683 /nfs/dbraw/zinc/00/06/83/1169000683.db2.gz JXFRDAOVRKJIAR-GASCZTMLSA-N 0 2 318.373 0.907 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)c1 ZINC001602149910 1169002784 /nfs/dbraw/zinc/00/27/84/1169002784.db2.gz CYYZTPNILSIUIL-VXGBXAGGSA-N 0 2 310.375 0.580 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1c1ccc(O)cc1 ZINC001602182046 1169020906 /nfs/dbraw/zinc/02/09/06/1169020906.db2.gz DBCUGZSYYKJMNM-UONOGXRCSA-N 0 2 315.329 0.985 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC001602208652 1169031222 /nfs/dbraw/zinc/03/12/22/1169031222.db2.gz MPMUZURPXOZWCT-XQQFMLRXSA-N 0 2 300.318 0.720 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC001602208652 1169031231 /nfs/dbraw/zinc/03/12/31/1169031231.db2.gz MPMUZURPXOZWCT-XQQFMLRXSA-N 0 2 300.318 0.720 20 0 DCADLN O=C([O-])c1cc(-n2cc(CN3CCCCC3=O)nn2)cc[nH+]1 ZINC001602237650 1169038851 /nfs/dbraw/zinc/03/88/51/1169038851.db2.gz BVIXHCQAOXEBSD-UHFFFAOYSA-N 0 2 301.306 0.873 20 0 DCADLN O=C([O-])c1ccc(OC[C@H](O)C[N@@H+]2CCc3nc[nH]c3C2)cc1 ZINC001602389013 1169067900 /nfs/dbraw/zinc/06/79/00/1169067900.db2.gz YJXJHISVHSHDQU-GFCCVEGCSA-N 0 2 317.345 0.906 20 0 DCADLN O=C([O-])c1ccc(OC[C@H](O)C[N@H+]2CCc3nc[nH]c3C2)cc1 ZINC001602389013 1169067910 /nfs/dbraw/zinc/06/79/10/1169067910.db2.gz YJXJHISVHSHDQU-GFCCVEGCSA-N 0 2 317.345 0.906 20 0 DCADLN O=C([O-])c1occc1Cn1cc(C[NH+]2CCC(O)CC2)nn1 ZINC001602590508 1169129071 /nfs/dbraw/zinc/12/90/71/1169129071.db2.gz WAYBFECLGVNXBV-UHFFFAOYSA-N 0 2 306.322 0.574 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@@H+]([C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC001602630021 1169135633 /nfs/dbraw/zinc/13/56/33/1169135633.db2.gz RPNRXJJVQHKSBM-SWLSCSKDSA-N 0 2 324.764 0.967 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@H+]([C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC001602630021 1169135646 /nfs/dbraw/zinc/13/56/46/1169135646.db2.gz RPNRXJJVQHKSBM-SWLSCSKDSA-N 0 2 324.764 0.967 20 0 DCADLN CC(C)(C)c1coc(CNCCn2cnc(-c3nn[nH]n3)n2)n1 ZINC001603120230 1169248475 /nfs/dbraw/zinc/24/84/75/1169248475.db2.gz CLSCGEIIUYYBOL-UHFFFAOYSA-N 0 2 317.357 0.534 20 0 DCADLN CC(C)CC[C@@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001603278728 1169285928 /nfs/dbraw/zinc/28/59/28/1169285928.db2.gz UKOPMVIXRFEOJV-SECBINFHSA-N 0 2 321.385 0.501 20 0 DCADLN CC(C)C[C@H](NC(=O)C[NH+]1CCC(O)(C(F)F)CC1)C(=O)[O-] ZINC001603776219 1169386898 /nfs/dbraw/zinc/38/68/98/1169386898.db2.gz MDYKBKJWMFPUQH-JTQLQIEISA-N 0 2 322.352 0.694 20 0 DCADLN CC(C)C[N@H+](CC(N)=O)CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC001603810029 1169400463 /nfs/dbraw/zinc/40/04/63/1169400463.db2.gz PAGPISSQODUVNN-UHFFFAOYSA-N 0 2 307.350 0.767 20 0 DCADLN CC(C)C[N@@H+](CC(N)=O)CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC001603810029 1169400467 /nfs/dbraw/zinc/40/04/67/1169400467.db2.gz PAGPISSQODUVNN-UHFFFAOYSA-N 0 2 307.350 0.767 20 0 DCADLN C[C@H]1CCCC[C@@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001603892038 1169422534 /nfs/dbraw/zinc/42/25/34/1169422534.db2.gz WIBREPDNLONDHM-IUCAKERBSA-N 0 2 319.369 0.255 20 0 DCADLN C[C@@H](NC(=O)c1cncc(C(=O)[O-])c1)[C@@H](C)[NH+]1CCOCC1 ZINC001604315719 1169552854 /nfs/dbraw/zinc/55/28/54/1169552854.db2.gz GKKBHBYWMQNHJF-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@]([NH2+]CC(=O)N1CCNC1=O)(C(=O)[O-])c1ccc(F)cc1 ZINC001604330738 1169553740 /nfs/dbraw/zinc/55/37/40/1169553740.db2.gz VWLQCYXYRUBYTG-CQSZACIVSA-N 0 2 309.297 0.267 20 0 DCADLN CCO[C@@H](Cn1c(=O)c(-c2nn[nH]n2)cn(CC)c1=O)C1CC1 ZINC001604404088 1169582807 /nfs/dbraw/zinc/58/28/07/1169582807.db2.gz FFBZDRAUOUVHBA-NSHDSACASA-N 0 2 320.353 0.025 20 0 DCADLN C[C@@]1(C2CC[NH+](Cn3cc(C(=O)[O-])nn3)CC2)COC(=O)N1 ZINC001604568984 1169618548 /nfs/dbraw/zinc/61/85/48/1169618548.db2.gz OEAAHCFQJPSBKN-ZDUSSCGKSA-N 0 2 309.326 0.144 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NC[C@H]1C[C@H]1C(=O)[O-] ZINC001604672197 1169647975 /nfs/dbraw/zinc/64/79/75/1169647975.db2.gz HXEZWLGTEBSUMF-FVCCEPFGSA-N 0 2 311.382 0.212 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)CCc1ocnc1C(=O)[O-] ZINC001604819940 1169697140 /nfs/dbraw/zinc/69/71/40/1169697140.db2.gz LSEAASZZAFDMNW-JTQLQIEISA-N 0 2 311.338 0.142 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)CCc1ocnc1C(=O)[O-] ZINC001604819940 1169697145 /nfs/dbraw/zinc/69/71/45/1169697145.db2.gz LSEAASZZAFDMNW-JTQLQIEISA-N 0 2 311.338 0.142 20 0 DCADLN CC[C@](C)([NH2+]Cc1nc(-c2cc(OC)ncn2)no1)C(=O)[O-] ZINC001604913788 1169741561 /nfs/dbraw/zinc/74/15/61/1169741561.db2.gz GCNDHWMRJDSVAW-ZDUSSCGKSA-N 0 2 307.310 0.878 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CC=C(C(=O)[O-])C1 ZINC001605024669 1169783875 /nfs/dbraw/zinc/78/38/75/1169783875.db2.gz JXWYAFJEZCPYHR-OLZOCXBDSA-N 0 2 311.382 0.570 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC001605495062 1169938754 /nfs/dbraw/zinc/93/87/54/1169938754.db2.gz MYBYSFDYTOOGJL-ZDUSSCGKSA-N 0 2 321.333 0.183 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)CCCCO ZINC001605505201 1169941983 /nfs/dbraw/zinc/94/19/83/1169941983.db2.gz XKGIZVDWNKBCCW-UHFFFAOYSA-N 0 2 306.326 0.120 20 0 DCADLN CCc1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C)nn1 ZINC001605787773 1170000970 /nfs/dbraw/zinc/00/09/70/1170000970.db2.gz RRMHTCXXCTZFQP-LBPRGKRZSA-N 0 2 303.322 0.496 20 0 DCADLN CCc1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C)nn1 ZINC001605787773 1170000976 /nfs/dbraw/zinc/00/09/76/1170000976.db2.gz RRMHTCXXCTZFQP-LBPRGKRZSA-N 0 2 303.322 0.496 20 0 DCADLN CCn1cc(CC[NH+]2CC(N(C)C(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)cn1 ZINC001605920351 1170027179 /nfs/dbraw/zinc/02/71/79/1170027179.db2.gz KZSBTEDXDKJLSJ-KBPBESRZSA-N 0 2 320.393 0.309 20 0 DCADLN Cc1nn(C[C@H]2C[C@@]23CCOC3)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114405 1170078965 /nfs/dbraw/zinc/07/89/65/1170078965.db2.gz MUSYRQMXOWWGHJ-QMTHXVAHSA-N 0 2 302.338 0.467 20 0 DCADLN Cc1nn(CCCn2cc[nH+]c2)c(=O)c(-c2nnn[n-]2)c1C ZINC001606114590 1170081890 /nfs/dbraw/zinc/08/18/90/1170081890.db2.gz XFIRMCRSKUYHOU-UHFFFAOYSA-N 0 2 300.326 0.327 20 0 DCADLN Cc1nc([C@H](C)NC(=O)c2cc(-c3nn[nH]n3)oc2C)n[nH]1 ZINC001606119966 1170082613 /nfs/dbraw/zinc/08/26/13/1170082613.db2.gz USINZCARWHZPIK-YFKPBYRVSA-N 0 2 302.298 0.686 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001606370682 1170172346 /nfs/dbraw/zinc/17/23/46/1170172346.db2.gz OQPSRSAVPXRMSN-SRVKXCTJSA-N 0 2 323.349 0.699 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2nccn2C(F)F)C[C@H]1C(=O)[O-] ZINC001606431056 1170198209 /nfs/dbraw/zinc/19/82/09/1170198209.db2.gz HEZHHBZDBQSXCM-HTQZYQBOSA-N 0 2 303.265 0.584 20 0 DCADLN COC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C1 ZINC001606496387 1170220756 /nfs/dbraw/zinc/22/07/56/1170220756.db2.gz ZOHYWIBXKFICSD-QWRGUYRKSA-N 0 2 315.370 0.032 20 0 DCADLN COC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C1 ZINC001606496387 1170220760 /nfs/dbraw/zinc/22/07/60/1170220760.db2.gz ZOHYWIBXKFICSD-QWRGUYRKSA-N 0 2 315.370 0.032 20 0 DCADLN CO[C@H]1CC(=O)N(C[N@H+](CCc2ccccc2)CC(=O)[O-])C1 ZINC001606725176 1170274519 /nfs/dbraw/zinc/27/45/19/1170274519.db2.gz SUOCHOSPMVEMKU-AWEZNQCLSA-N 0 2 306.362 0.821 20 0 DCADLN CO[C@H]1CC(=O)N(C[N@@H+](CCc2ccccc2)CC(=O)[O-])C1 ZINC001606725176 1170274526 /nfs/dbraw/zinc/27/45/26/1170274526.db2.gz SUOCHOSPMVEMKU-AWEZNQCLSA-N 0 2 306.362 0.821 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccsc1-c1nn[nH]n1 ZINC001606847372 1170312668 /nfs/dbraw/zinc/31/26/68/1170312668.db2.gz YMRDJOMXVYQOAS-UHFFFAOYSA-N 0 2 319.306 0.059 20 0 DCADLN COCCCNC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC001606869837 1170319607 /nfs/dbraw/zinc/31/96/07/1170319607.db2.gz NBLDPNBEVOOXSZ-OAHLLOKOSA-N 0 2 322.361 0.490 20 0 DCADLN COCCn1nnc2c1CC[N@H+](Cc1cc(C(=O)[O-])c(C)[nH]1)C2 ZINC001606933293 1170333534 /nfs/dbraw/zinc/33/35/34/1170333534.db2.gz GUSWLEBRGOQSCJ-UHFFFAOYSA-N 0 2 319.365 0.818 20 0 DCADLN COCCn1nnc2c1CC[N@@H+](Cc1cc(C(=O)[O-])c(C)[nH]1)C2 ZINC001606933293 1170333538 /nfs/dbraw/zinc/33/35/38/1170333538.db2.gz GUSWLEBRGOQSCJ-UHFFFAOYSA-N 0 2 319.365 0.818 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)c1C ZINC001607021115 1170358932 /nfs/dbraw/zinc/35/89/32/1170358932.db2.gz NJKXTOVAQXQFSZ-NEPJUHHUSA-N 0 2 308.334 0.955 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1Cc1csnn1)CCC2 ZINC001607091502 1170390460 /nfs/dbraw/zinc/39/04/60/1170390460.db2.gz HCGZXZFZOBKVRU-UHFFFAOYSA-N 0 2 301.335 0.417 20 0 DCADLN CSc1nccnc1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001607415993 1170447136 /nfs/dbraw/zinc/44/71/36/1170447136.db2.gz XANVVKQYHOWODW-MRVPVSSYSA-N 0 2 307.335 0.278 20 0 DCADLN Cc1[nH]c(CN2CC[NH+](CC(=O)N(C)C)CC2)cc1C(=O)[O-] ZINC001607497229 1170466837 /nfs/dbraw/zinc/46/68/37/1170466837.db2.gz SHRNYSXREYZARI-UHFFFAOYSA-N 0 2 308.382 0.227 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@@H](O)[C@@H](CO)C1 ZINC001607741885 1170502499 /nfs/dbraw/zinc/50/24/99/1170502499.db2.gz YFEXBCRJEBKOTF-TZMCWYRMSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@@H](O)[C@@H](CO)C1 ZINC001607741885 1170502501 /nfs/dbraw/zinc/50/25/01/1170502501.db2.gz YFEXBCRJEBKOTF-TZMCWYRMSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)NC(=O)NCc1ccco1 ZINC001607946714 1170531251 /nfs/dbraw/zinc/53/12/51/1170531251.db2.gz YVWGKJINGADRAD-UHFFFAOYSA-N 0 2 306.278 0.509 20 0 DCADLN Cn1ccnc1CN1CC[NH+](Cc2ccc(C(=O)[O-])n2C)CC1 ZINC001608132833 1170587631 /nfs/dbraw/zinc/58/76/31/1170587631.db2.gz MOARKFIEIPHZPL-UHFFFAOYSA-N 0 2 317.393 0.775 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N1CC(c2cc(C(=O)[O-])n[nH]2)C1 ZINC001608136672 1170589635 /nfs/dbraw/zinc/58/96/35/1170589635.db2.gz FJUXQLPGTAYOOK-UHFFFAOYSA-N 0 2 303.322 0.400 20 0 DCADLN NC(=O)[C@H](c1ccccc1)[N@@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001608227830 1170620647 /nfs/dbraw/zinc/62/06/47/1170620647.db2.gz FSPAOERGSUNVTN-SWLSCSKDSA-N 0 2 303.318 0.518 20 0 DCADLN NC(=O)[C@H](c1ccccc1)[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001608227830 1170620649 /nfs/dbraw/zinc/62/06/49/1170620649.db2.gz FSPAOERGSUNVTN-SWLSCSKDSA-N 0 2 303.318 0.518 20 0 DCADLN [NH3+][C@H](CC(=O)N[C@H]1CCCn2nc(C(=O)[O-])cc21)C(F)F ZINC001608249734 1170631462 /nfs/dbraw/zinc/63/14/62/1170631462.db2.gz XCCUEXFSAZZYHS-RQJHMYQMSA-N 0 2 302.281 0.515 20 0 DCADLN O=C([O-])[C@H](CNC(=O)Cc1cn2c([nH+]1)CCCC2)[C@@H]1CCOC1 ZINC001608368956 1170659740 /nfs/dbraw/zinc/65/97/40/1170659740.db2.gz ISURRSAZKXNFJG-DGCLKSJQSA-N 0 2 321.377 0.615 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cn1cc(C2CC2)cn1 ZINC001608376772 1170663438 /nfs/dbraw/zinc/66/34/38/1170663438.db2.gz ATOXLIPRNXNQDC-LBPRGKRZSA-N 0 2 303.322 0.296 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cn1cc(C2CC2)cn1 ZINC001608376772 1170663441 /nfs/dbraw/zinc/66/34/41/1170663441.db2.gz ATOXLIPRNXNQDC-LBPRGKRZSA-N 0 2 303.322 0.296 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2n[nH]nc2c1 ZINC001608403723 1170670425 /nfs/dbraw/zinc/67/04/25/1170670425.db2.gz UTCFLMOEEXMPHR-LLVKDONJSA-N 0 2 300.278 0.038 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNC(=O)NCCNc1cccc[nH+]1 ZINC001608448328 1170681854 /nfs/dbraw/zinc/68/18/54/1170681854.db2.gz OGJCMQPUWNECOA-ZYHUDNBSSA-N 0 2 308.338 0.282 20 0 DCADLN O=C([O-])c1cc2n(n1)CCC[C@H]2NC(=O)NCCn1cc[nH+]c1 ZINC001608455373 1170682832 /nfs/dbraw/zinc/68/28/32/1170682832.db2.gz PPYFOSLKGQSFFV-SNVBAGLBSA-N 0 2 318.337 0.612 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2c1OCO2 ZINC001608487609 1170688566 /nfs/dbraw/zinc/68/85/66/1170688566.db2.gz ZRUJZMKVKFFVMK-SNVBAGLBSA-N 0 2 303.274 0.564 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2c1OCO2 ZINC001608487609 1170688573 /nfs/dbraw/zinc/68/85/73/1170688573.db2.gz ZRUJZMKVKFFVMK-SNVBAGLBSA-N 0 2 303.274 0.564 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1c[nH]nc1-c1ccco1 ZINC001608486638 1170689001 /nfs/dbraw/zinc/68/90/01/1170689001.db2.gz DFIUNYFOZUTOPC-SNVBAGLBSA-N 0 2 315.289 0.819 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1c[nH]nc1-c1ccco1 ZINC001608486638 1170689005 /nfs/dbraw/zinc/68/90/05/1170689005.db2.gz DFIUNYFOZUTOPC-SNVBAGLBSA-N 0 2 315.289 0.819 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ncnc2ccsc21 ZINC001608487148 1170689367 /nfs/dbraw/zinc/68/93/67/1170689367.db2.gz QAZLWCGXRFPDCS-SECBINFHSA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ncnc2ccsc21 ZINC001608487148 1170689372 /nfs/dbraw/zinc/68/93/72/1170689372.db2.gz QAZLWCGXRFPDCS-SECBINFHSA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001608543315 1170699016 /nfs/dbraw/zinc/69/90/16/1170699016.db2.gz LHRDKHSBMXFPCA-YNEHKIRRSA-N 0 2 307.350 0.515 20 0 DCADLN O=C([O-])/C=C/c1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)o1 ZINC001608657872 1170733198 /nfs/dbraw/zinc/73/31/98/1170733198.db2.gz VJGSUIYXTLPBNB-KMOQFTEPSA-N 0 2 320.345 0.924 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)C(=O)c2ccc3c(c2)CCC3)CC1 ZINC001608748845 1170748994 /nfs/dbraw/zinc/74/89/94/1170748994.db2.gz WEBMZLPLBZWETL-UHFFFAOYSA-N 0 2 316.357 0.587 20 0 DCADLN O=C([O-])CNC(=O)C[NH2+]Cc1cc(O)ccc1Br ZINC001608752744 1170749729 /nfs/dbraw/zinc/74/97/29/1170749729.db2.gz MVQIYLJAFIICRJ-UHFFFAOYSA-N 0 2 317.139 0.445 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@@H]2CCCC[C@H]21 ZINC001608823632 1170761940 /nfs/dbraw/zinc/76/19/40/1170761940.db2.gz DYBUVADTVHALSY-REWJHTLYSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@@H]2CCCC[C@H]21 ZINC001608823632 1170761942 /nfs/dbraw/zinc/76/19/42/1170761942.db2.gz DYBUVADTVHALSY-REWJHTLYSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])c1c(NCc2cc[nH]n2)nc[nH+]c1NCc1cc[nH]n1 ZINC001608830544 1170763535 /nfs/dbraw/zinc/76/35/35/1170763535.db2.gz RYORUGBGWZVAFE-UHFFFAOYSA-N 0 2 314.309 0.845 20 0 DCADLN O=C([O-])c1cc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)ccn1 ZINC001608842624 1170764723 /nfs/dbraw/zinc/76/47/23/1170764723.db2.gz SVLPQVCNEYIPHG-NSHDSACASA-N 0 2 300.318 0.855 20 0 DCADLN O=C([O-])c1nscc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC001609005162 1170784375 /nfs/dbraw/zinc/78/43/75/1170784375.db2.gz JAABAVKWUACBAF-UHFFFAOYSA-N 0 2 314.348 0.283 20 0 DCADLN CC(C)[C@]1(C)C[C@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001609089828 1170803623 /nfs/dbraw/zinc/80/36/23/1170803623.db2.gz GTWPYZZBCQKAHC-CLAHSXSESA-N 0 2 319.369 0.111 20 0 DCADLN CC(C)NC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001609099884 1170809118 /nfs/dbraw/zinc/80/91/18/1170809118.db2.gz DZMKQCXOPRTQJG-UHFFFAOYSA-N 0 2 316.365 0.432 20 0 DCADLN C[C@@H]1SCCN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@H]1C ZINC001609180705 1170851185 /nfs/dbraw/zinc/85/11/85/1170851185.db2.gz QISCOWYROPHJBO-UWVGGRQHSA-N 0 2 306.395 0.812 20 0 DCADLN Cc1nn(C[C@@H]2CCO[C@@H](C)C2)c(=O)c(-c2nn[nH]n2)c1C ZINC001609459318 1170986005 /nfs/dbraw/zinc/98/60/05/1170986005.db2.gz AQBHOXKEPXIZBZ-GZMMTYOYSA-N 0 2 304.354 0.855 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CC[C@](F)(CO)C1 ZINC001609632137 1171056326 /nfs/dbraw/zinc/05/63/26/1171056326.db2.gz CVWJQFQNQFYTTL-QMTHXVAHSA-N 0 2 302.346 0.104 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CC[C@](F)(CO)C1 ZINC001609632137 1171056333 /nfs/dbraw/zinc/05/63/33/1171056333.db2.gz CVWJQFQNQFYTTL-QMTHXVAHSA-N 0 2 302.346 0.104 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)N1CCSC[C@@H](C(=O)[O-])C1 ZINC001609752152 1171078894 /nfs/dbraw/zinc/07/88/94/1171078894.db2.gz UVQNMFVSSURGCX-MNOVXSKESA-N 0 2 312.395 0.731 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)N[C@H](C(=O)[O-])C(C)C ZINC001610007893 1171136687 /nfs/dbraw/zinc/13/66/87/1171136687.db2.gz SGPODQYYJYBSTP-YPMHNXCESA-N 0 2 314.382 0.629 20 0 DCADLN CC[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)N[C@H](C(=O)[O-])C(C)C ZINC001610007893 1171136691 /nfs/dbraw/zinc/13/66/91/1171136691.db2.gz SGPODQYYJYBSTP-YPMHNXCESA-N 0 2 314.382 0.629 20 0 DCADLN C[NH+](C)[C@@H](CNS(=O)(=O)CC(C)(C)C(=O)[O-])c1ccco1 ZINC001610098800 1171156086 /nfs/dbraw/zinc/15/60/86/1171156086.db2.gz XPTRMDVQTHMQGK-JTQLQIEISA-N 0 2 318.395 0.913 20 0 DCADLN CNC(=O)Cc1noc(C[NH2+][C@H](C(=O)[O-])C2CCCCC2)n1 ZINC001610142999 1171174656 /nfs/dbraw/zinc/17/46/56/1171174656.db2.gz WEDZHIDSCGWAQP-ZDUSSCGKSA-N 0 2 310.354 0.481 20 0 DCADLN CNc1[nH+]cnc2c1cnn2Cc1noc(CCCC(=O)[O-])n1 ZINC001610147525 1171177014 /nfs/dbraw/zinc/17/70/14/1171177014.db2.gz CHAALXFKPRZZBY-UHFFFAOYSA-N 0 2 317.309 0.707 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001610229426 1171201740 /nfs/dbraw/zinc/20/17/40/1171201740.db2.gz FYEANZHUOGPWKN-ZJUUUORDSA-N 0 2 310.354 0.712 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001610229426 1171201746 /nfs/dbraw/zinc/20/17/46/1171201746.db2.gz FYEANZHUOGPWKN-ZJUUUORDSA-N 0 2 310.354 0.712 20 0 DCADLN COc1nc(NCC[NH+]2CCN(C(C)=O)CC2)ccc1C(=O)[O-] ZINC001610370237 1171224946 /nfs/dbraw/zinc/22/49/46/1171224946.db2.gz ARGSSPYKGBEEDN-UHFFFAOYSA-N 0 2 322.365 0.364 20 0 DCADLN Cc1cccc2nc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])cn21 ZINC001610527578 1171247753 /nfs/dbraw/zinc/24/77/53/1171247753.db2.gz OQOVXFGOXFEOKR-GFCCVEGCSA-N 0 2 313.317 0.722 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1C[C@@H](C)O[C@@H](CO)C1 ZINC001610570494 1171257101 /nfs/dbraw/zinc/25/71/01/1171257101.db2.gz CNFGDZQNMYVPAF-ZWNOBZJWSA-N 0 2 311.382 0.556 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1C[C@@H](C)O[C@@H](CO)C1 ZINC001610570494 1171257104 /nfs/dbraw/zinc/25/71/04/1171257104.db2.gz CNFGDZQNMYVPAF-ZWNOBZJWSA-N 0 2 311.382 0.556 20 0 DCADLN NC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001610638424 1171276315 /nfs/dbraw/zinc/27/63/15/1171276315.db2.gz NNYPWYPNCLBGHC-NSHDSACASA-N 0 2 306.322 0.066 20 0 DCADLN NC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001610638424 1171276320 /nfs/dbraw/zinc/27/63/20/1171276320.db2.gz NNYPWYPNCLBGHC-NSHDSACASA-N 0 2 306.322 0.066 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC001610721956 1171297050 /nfs/dbraw/zinc/29/70/50/1171297050.db2.gz HQERDXOJOONLPD-AAEUAGOBSA-N 0 2 322.365 0.065 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1CCn1cc(Cl)cn1 ZINC001610782673 1171322828 /nfs/dbraw/zinc/32/28/28/1171322828.db2.gz ITORRBRRWKQQQT-JTQLQIEISA-N 0 2 300.746 0.202 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1CCn1cc(Cl)cn1 ZINC001610782673 1171322835 /nfs/dbraw/zinc/32/28/35/1171322835.db2.gz ITORRBRRWKQQQT-JTQLQIEISA-N 0 2 300.746 0.202 20 0 DCADLN O=C([O-])c1ccc(-c2nc(C[N@H+]3C[C@H](O)C[C@H](O)C3)co2)cc1 ZINC001610818173 1171334566 /nfs/dbraw/zinc/33/45/66/1171334566.db2.gz KFKASRNZSNURKH-OKILXGFUSA-N 0 2 318.329 0.967 20 0 DCADLN O=C([O-])c1ccc(-c2nc(C[N@@H+]3C[C@H](O)C[C@H](O)C3)co2)cc1 ZINC001610818173 1171334573 /nfs/dbraw/zinc/33/45/73/1171334573.db2.gz KFKASRNZSNURKH-OKILXGFUSA-N 0 2 318.329 0.967 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CC(=O)c2ccccc21 ZINC001610884563 1171348285 /nfs/dbraw/zinc/34/82/85/1171348285.db2.gz VAVSCXVYXCYBLV-STQMWFEESA-N 0 2 313.313 0.892 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CC(=O)c2ccccc21 ZINC001610884563 1171348295 /nfs/dbraw/zinc/34/82/95/1171348295.db2.gz VAVSCXVYXCYBLV-STQMWFEESA-N 0 2 313.313 0.892 20 0 DCADLN COC(=O)NCCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001627567235 1171508540 /nfs/dbraw/zinc/50/85/40/1171508540.db2.gz MJJRJVJUXLOUSW-UHFFFAOYSA-N 0 2 322.296 0.803 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)c1 ZINC001632776620 1171623685 /nfs/dbraw/zinc/62/36/85/1171623685.db2.gz WKECXZCSCZQHHI-LLVKDONJSA-N 0 2 317.305 0.240 20 0 DCADLN COc1ncc(C=CC(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC001633467836 1171643393 /nfs/dbraw/zinc/64/33/93/1171643393.db2.gz BRWNZRRAWNYQDE-NSCUHMNNSA-N 0 2 307.335 0.529 20 0 DCADLN O=C(c1ccc2[nH]nnc2c1)N1CCC(Cc2nn[nH]n2)CC1 ZINC001637151375 1171759613 /nfs/dbraw/zinc/75/96/13/1171759613.db2.gz FCRSWSIMNYOXNQ-UHFFFAOYSA-N 0 2 312.337 0.566 20 0 DCADLN CCc1nc2c(nccc2C(=O)NCc2nnc(COC)[nH]2)[nH]1 ZINC001640927290 1171898808 /nfs/dbraw/zinc/89/88/08/1171898808.db2.gz WHSCONPCCZDZJP-UHFFFAOYSA-N 0 2 315.337 0.715 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001642572468 1171950146 /nfs/dbraw/zinc/95/01/46/1171950146.db2.gz KYANEBGNBZEYJD-JTQLQIEISA-N 0 2 313.321 0.651 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)Nn2ccc(=O)[nH]c2=O)o1 ZINC001642619888 1171952995 /nfs/dbraw/zinc/95/29/95/1171952995.db2.gz SIYBPHDYKMSNJZ-HWKANZROSA-N 0 2 305.246 0.112 20 0 DCADLN CCC/C=C/[C@@H](O)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001645355067 1172040229 /nfs/dbraw/zinc/04/02/29/1172040229.db2.gz LJSGJSZJIPNWPY-XUIVZRPNSA-N 0 2 309.366 0.914 20 0 DCADLN CC(=O)NC1CCC(NC(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC001645796783 1172146130 /nfs/dbraw/zinc/14/61/30/1172146130.db2.gz YFLKWDWFSFEVSU-RTBKNWGFSA-N 0 2 312.395 0.106 20 0 DCADLN C[C@H](C(=O)NCc1nc(C(=O)[O-])cs1)[NH+]1CCSCC1 ZINC001646254214 1172306223 /nfs/dbraw/zinc/30/62/23/1172306223.db2.gz WHNCFXADFUTPJY-MRVPVSSYSA-N 0 2 315.420 0.895 20 0 DCADLN CN(Cc1cscn1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001647084105 1172662966 /nfs/dbraw/zinc/66/29/66/1172662966.db2.gz WTMLKFAOHJKRJJ-UHFFFAOYSA-N 0 2 304.335 0.186 20 0 DCADLN CC(C)c1n[nH]c(NC(=O)c2sccc2S(N)(=O)=O)n1 ZINC001647286594 1172785463 /nfs/dbraw/zinc/78/54/63/1172785463.db2.gz AJSPVZVARDPRGH-UHFFFAOYSA-N 0 2 315.380 0.889 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC(C(F)(F)F)C1 ZINC001647288379 1172786704 /nfs/dbraw/zinc/78/67/04/1172786704.db2.gz ARASYFKTDKQADE-UHFFFAOYSA-N 0 2 301.228 0.092 20 0 DCADLN Cc1nnc([C@H](C)n2cc(CNC(=O)C(F)(F)F)nn2)o1 ZINC001649972146 1173349915 /nfs/dbraw/zinc/34/99/15/1173349915.db2.gz RRFHABHSVISXGE-YFKPBYRVSA-N 0 2 304.232 0.757 20 0 DCADLN O=c1cc(CN2CCN(Cc3ccno3)CC2)nc2cc[nH]n21 ZINC001655440382 1173820423 /nfs/dbraw/zinc/82/04/23/1173820423.db2.gz ZVSJRCXVYAMXCG-UHFFFAOYSA-N 0 2 314.349 0.328 20 0 DCADLN O=C(CCN1CCCC1=O)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000075478126 1173932724 /nfs/dbraw/zinc/93/27/24/1173932724.db2.gz WUTLIARHCWJKOL-UHFFFAOYSA-N 0 2 319.365 0.062 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001666699377 1174625366 /nfs/dbraw/zinc/62/53/66/1174625366.db2.gz YTJLHCAMHXELAS-YAEJEKNGSA-N 0 2 309.370 0.184 20 0 DCADLN CN(CCOCCNC(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001679640568 1175744541 /nfs/dbraw/zinc/74/45/41/1175744541.db2.gz GAWIZGQEQZOKJG-LURJTMIESA-N 0 2 324.221 0.743 20 0 DCADLN CN(CCOCCNC(=O)[C@H](F)C(F)(F)F)C(=O)C(F)F ZINC001679640568 1175744546 /nfs/dbraw/zinc/74/45/46/1175744546.db2.gz GAWIZGQEQZOKJG-LURJTMIESA-N 0 2 324.221 0.743 20 0 DCADLN CCC[N@@H+]1CCCC[C@@H]1C(=O)Nc1n[n-]c(CC(=O)OC)n1 ZINC000118662831 1176642481 /nfs/dbraw/zinc/64/24/81/1176642481.db2.gz HPFDKXMCNSUXRA-SNVBAGLBSA-N 0 2 309.370 0.723 20 0 DCADLN CCC[N@H+]1CCCC[C@@H]1C(=O)Nc1n[n-]c(CC(=O)OC)n1 ZINC000118662831 1176642486 /nfs/dbraw/zinc/64/24/86/1176642486.db2.gz HPFDKXMCNSUXRA-SNVBAGLBSA-N 0 2 309.370 0.723 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C=Cc2ccc[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001692354830 1177494443 /nfs/dbraw/zinc/49/44/43/1177494443.db2.gz DZWGYAOJHBQLTP-SFLCEZBHSA-N 0 2 316.365 0.631 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C=Cc2ccc[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001692354830 1177494447 /nfs/dbraw/zinc/49/44/47/1177494447.db2.gz DZWGYAOJHBQLTP-SFLCEZBHSA-N 0 2 316.365 0.631 20 0 DCADLN CO[C@@H](C)CC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001701046934 1178904125 /nfs/dbraw/zinc/90/41/25/1178904125.db2.gz MYFKBKGOVQUAAP-JTQLQIEISA-N 0 2 309.370 0.184 20 0 DCADLN CO[C@@H](C)CC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001701046934 1178904131 /nfs/dbraw/zinc/90/41/31/1178904131.db2.gz MYFKBKGOVQUAAP-JTQLQIEISA-N 0 2 309.370 0.184 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1cnn(C)n1 ZINC001707447663 1180513530 /nfs/dbraw/zinc/51/35/30/1180513530.db2.gz MZNJWCIMZPNDII-UHFFFAOYSA-N 0 2 321.385 0.194 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1cnn(C)n1 ZINC001707447663 1180513531 /nfs/dbraw/zinc/51/35/31/1180513531.db2.gz MZNJWCIMZPNDII-UHFFFAOYSA-N 0 2 321.385 0.194 20 0 DCADLN CC(C)(C)/C=C\C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001715277748 1181750934 /nfs/dbraw/zinc/75/09/34/1181750934.db2.gz LKVOAUBSKZSEMK-DOGVGXBMSA-N 0 2 323.397 0.430 20 0 DCADLN C[C@]1(NC(=O)C=Cc2ccc[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716837965 1182540877 /nfs/dbraw/zinc/54/08/77/1182540877.db2.gz FDUGIGCZPPBRSF-RGDDUWESSA-N 0 2 316.365 0.632 20 0 DCADLN C[C@]1(NC(=O)C=Cc2ccc[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716837965 1182540881 /nfs/dbraw/zinc/54/08/81/1182540881.db2.gz FDUGIGCZPPBRSF-RGDDUWESSA-N 0 2 316.365 0.632 20 0 DCADLN CCCC[C@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001718243845 1183174652 /nfs/dbraw/zinc/17/46/52/1183174652.db2.gz VTPSWKQMDBDGKA-RNFRBKRXSA-N 0 2 315.267 0.163 20 0 DCADLN CCCC[C@H](CNC(=O)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001718243845 1183174656 /nfs/dbraw/zinc/17/46/56/1183174656.db2.gz VTPSWKQMDBDGKA-RNFRBKRXSA-N 0 2 315.267 0.163 20 0 DCADLN CCC(=O)NCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001720245353 1183527599 /nfs/dbraw/zinc/52/75/99/1183527599.db2.gz VXVSIGVETUKHDP-VIFPVBQESA-N 0 2 315.267 0.036 20 0 DCADLN CCC(=O)NCC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001720245353 1183527600 /nfs/dbraw/zinc/52/76/00/1183527600.db2.gz VXVSIGVETUKHDP-VIFPVBQESA-N 0 2 315.267 0.036 20 0 DCADLN O=C(C=C1CCC1)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721754921 1184021282 /nfs/dbraw/zinc/02/12/82/1184021282.db2.gz ZBDXTHDPYFCOEQ-WCBMZHEXSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001721754921 1184021286 /nfs/dbraw/zinc/02/12/86/1184021286.db2.gz ZBDXTHDPYFCOEQ-WCBMZHEXSA-N 0 2 312.263 0.590 20 0 DCADLN CCN(CCNCc1nnn(C)n1)C(=O)C(F)C(F)(F)F ZINC001732776549 1185961852 /nfs/dbraw/zinc/96/18/52/1185961852.db2.gz ISQFTDGRVUFGHT-QMMMGPOBSA-N 0 2 312.271 0.049 20 0 DCADLN CCN(CCNCc1nnn(C)n1)C(=O)[C@H](F)C(F)(F)F ZINC001732776549 1185961857 /nfs/dbraw/zinc/96/18/57/1185961857.db2.gz ISQFTDGRVUFGHT-QMMMGPOBSA-N 0 2 312.271 0.049 20 0 DCADLN COC[C@H](C)NC(=O)Cc1noc(C(=O)Nc2cc(C)on2)n1 ZINC001771675003 1188335968 /nfs/dbraw/zinc/33/59/68/1188335968.db2.gz YDFTUYOMVPAMGL-ZETCQYMHSA-N 0 2 323.309 0.312 20 0 DCADLN CCCCOCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001752315586 1188412651 /nfs/dbraw/zinc/41/26/51/1188412651.db2.gz QRZBDKILPXABMC-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CN(C(=O)C=Cc1ccc[nH]1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753435693 1188595390 /nfs/dbraw/zinc/59/53/90/1188595390.db2.gz WTYHCANYTQDISZ-QMAVJUDZSA-N 0 2 316.365 0.585 20 0 DCADLN CN(C(=O)C=Cc1ccc[nH]1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753435693 1188595392 /nfs/dbraw/zinc/59/53/92/1188595392.db2.gz WTYHCANYTQDISZ-QMAVJUDZSA-N 0 2 316.365 0.585 20 0 DCADLN Cc1ccnn1CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758176928 1189769760 /nfs/dbraw/zinc/76/97/60/1189769760.db2.gz PARWYQHXODDWLW-SNVBAGLBSA-N 0 2 324.278 0.714 20 0 DCADLN Cc1ccnn1CC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758176928 1189769762 /nfs/dbraw/zinc/76/97/62/1189769762.db2.gz PARWYQHXODDWLW-SNVBAGLBSA-N 0 2 324.278 0.714 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)NCCC(C)(C)C(=O)[O-] ZINC000308622583 1190386840 /nfs/dbraw/zinc/38/68/40/1190386840.db2.gz SKNBQGLCJBMQBH-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN NC(=O)C[N@@H+]1CCCN(C(=O)[C@H]([NH3+])CC2CCCCC2)CC1 ZINC000318713389 1190428686 /nfs/dbraw/zinc/42/86/86/1190428686.db2.gz GBUZMRJGZUXNFY-CQSZACIVSA-N 0 2 310.442 0.304 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCCC(C)(C)C(=O)[O-] ZINC001771739541 1190485809 /nfs/dbraw/zinc/48/58/09/1190485809.db2.gz XFHGDWSTGMRTBP-LBPRGKRZSA-N 0 2 315.414 0.849 20 0 DCADLN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)COC(F)F)C1 ZINC001771847933 1190525188 /nfs/dbraw/zinc/52/51/88/1190525188.db2.gz HZBVBXSKIQZNHD-VIFPVBQESA-N 0 2 304.215 0.895 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1C[C@H](C)[C@@H](C(=O)[O-])O1)[C@H](C)[NH+]1CCOCC1 ZINC001771921822 1190557081 /nfs/dbraw/zinc/55/70/81/1190557081.db2.gz ZMOJUPILXSDHRQ-PPCPHDFISA-N 0 2 314.382 0.090 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)[C@@H](C)C[NH2+]1 ZINC001772226358 1190635739 /nfs/dbraw/zinc/63/57/39/1190635739.db2.gz MIJKOMBHGQHRNI-BARDWOONSA-N 0 2 311.426 0.075 20 0 DCADLN CCOC(=O)[C@H](N)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000387873686 1190700183 /nfs/dbraw/zinc/70/01/83/1190700183.db2.gz KSXPXAFGWZMHDK-SECBINFHSA-N 0 2 324.362 0.246 20 0 DCADLN C[C@H](C[C@H](C)NC(=O)c1nnc[n-]1)Nc1[nH+]cnc2nc[nH]c21 ZINC001089374585 751367095 /nfs/dbraw/zinc/36/70/95/751367095.db2.gz KELDBKSGKRCONX-SFYZADRCSA-N 0 2 315.341 0.480 20 0 DCADLN CC[C@@H]1OCCC[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042883808 751964880 /nfs/dbraw/zinc/96/48/80/751964880.db2.gz CVIJTWFJODJMIC-RYUDHWBXSA-N 0 2 323.397 0.358 20 0 DCADLN CCC[N@H+](C)CC(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107279927 751984333 /nfs/dbraw/zinc/98/43/33/751984333.db2.gz FXFHHTJQHZAISR-PLNGDYQASA-N 0 2 317.397 0.731 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2ccc(Cn3cccn3)o2)C(=O)N1C ZINC001167404878 747162336 /nfs/dbraw/zinc/16/23/36/747162336.db2.gz JHEJEXBYFLFBOG-SECBINFHSA-N 0 2 317.305 0.452 20 0 DCADLN O=C(NC[C@@H]1CN(c2ncccn2)CCO1)C(F)C(F)(F)F ZINC001104352021 747252510 /nfs/dbraw/zinc/25/25/10/747252510.db2.gz YNMDSKJARSLSET-RKDXNWHRSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NC[C@@H]1CN(c2ncccn2)CCO1)[C@@H](F)C(F)(F)F ZINC001104352021 747252513 /nfs/dbraw/zinc/25/25/13/747252513.db2.gz YNMDSKJARSLSET-RKDXNWHRSA-N 0 2 322.262 0.698 20 0 DCADLN CN(C(=O)C[C@H]1CC(C)(C)CO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043317291 752176945 /nfs/dbraw/zinc/17/69/45/752176945.db2.gz IDLUZBLXTHUILG-NSHDSACASA-N 0 2 323.397 0.358 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nnc(C3CC3)o2)c1[O-] ZINC001125728698 747541742 /nfs/dbraw/zinc/54/17/42/747541742.db2.gz KQLZEMGNEUTDBH-UHFFFAOYSA-N 0 2 306.326 0.204 20 0 DCADLN CCc1noc([C@@H](C)[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001125746133 747546551 /nfs/dbraw/zinc/54/65/51/747546551.db2.gz ZPIHWDOLNGYICC-MRVPVSSYSA-N 0 2 308.342 0.450 20 0 DCADLN CC(F)(F)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043378354 752191597 /nfs/dbraw/zinc/19/15/97/752191597.db2.gz WZPDSUPUZCTZDY-YFKPBYRVSA-N 0 2 322.205 0.232 20 0 DCADLN CC(F)(F)C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043378354 752191611 /nfs/dbraw/zinc/19/16/11/752191611.db2.gz WZPDSUPUZCTZDY-YFKPBYRVSA-N 0 2 322.205 0.232 20 0 DCADLN Cc1ccc(F)cc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043495600 752256289 /nfs/dbraw/zinc/25/62/89/752256289.db2.gz UWJYSMTZBZAOBM-UHFFFAOYSA-N 0 2 319.340 0.914 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nc(C)c[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087755959 749038133 /nfs/dbraw/zinc/03/81/33/749038133.db2.gz QOUSFJLHCJSOJJ-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nc(C)c[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087755959 749038135 /nfs/dbraw/zinc/03/81/35/749038135.db2.gz QOUSFJLHCJSOJJ-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCOCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088546635 749573509 /nfs/dbraw/zinc/57/35/09/749573509.db2.gz MGHOEXSDTYUYKP-WOPDTQHZSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCOCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088546635 749573513 /nfs/dbraw/zinc/57/35/13/749573513.db2.gz MGHOEXSDTYUYKP-WOPDTQHZSA-N 0 2 323.397 0.406 20 0 DCADLN CC1(C)C[C@@H]1C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107877086 752467631 /nfs/dbraw/zinc/46/76/31/752467631.db2.gz PNFBOHUZNPTECR-BMIGLBTASA-N 0 2 323.397 0.264 20 0 DCADLN CC(C)n1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001043991748 752509230 /nfs/dbraw/zinc/50/92/30/752509230.db2.gz HMFLSAOCELJYNL-UHFFFAOYSA-N 0 2 319.369 0.244 20 0 DCADLN CC[C@@H](F)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001044815646 752888548 /nfs/dbraw/zinc/88/85/48/752888548.db2.gz GEKKMVBJUNLFEK-RQJHMYQMSA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@@H](F)C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001044815646 752888553 /nfs/dbraw/zinc/88/85/53/752888553.db2.gz GEKKMVBJUNLFEK-RQJHMYQMSA-N 0 2 318.242 0.324 20 0 DCADLN Cn1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046242954 753582880 /nfs/dbraw/zinc/58/28/80/753582880.db2.gz WZMQHABOFKECOI-CQSZACIVSA-N 0 2 304.354 0.243 20 0 DCADLN Cn1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046242954 753582884 /nfs/dbraw/zinc/58/28/84/753582884.db2.gz WZMQHABOFKECOI-CQSZACIVSA-N 0 2 304.354 0.243 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001046269467 753603769 /nfs/dbraw/zinc/60/37/69/753603769.db2.gz LFRLGODXBIOIRF-AWEZNQCLSA-N 0 2 305.338 0.806 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CCC2(F)F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046409507 753700196 /nfs/dbraw/zinc/70/01/96/753700196.db2.gz VYXIDRCDACWVKP-PRHODGIISA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CCC2(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046409507 753700200 /nfs/dbraw/zinc/70/02/00/753700200.db2.gz VYXIDRCDACWVKP-PRHODGIISA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CCC2(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046409507 753700203 /nfs/dbraw/zinc/70/02/03/753700203.db2.gz VYXIDRCDACWVKP-PRHODGIISA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046554521 753787498 /nfs/dbraw/zinc/78/74/98/753787498.db2.gz QVWUQXMEYFBFTF-DZHLUBAWSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046554521 753787500 /nfs/dbraw/zinc/78/75/00/753787500.db2.gz QVWUQXMEYFBFTF-DZHLUBAWSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1nocc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046590083 753814091 /nfs/dbraw/zinc/81/40/91/753814091.db2.gz FWWRBWIXTWPUGR-ZDUSSCGKSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1C[C@H]1C1CC1 ZINC001096138021 754020042 /nfs/dbraw/zinc/02/00/42/754020042.db2.gz GMZSZHQWFCMWSV-FHUSYTEZSA-N 0 2 317.393 0.778 20 0 DCADLN O=C(c1cnco1)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049625312 755339095 /nfs/dbraw/zinc/33/90/95/755339095.db2.gz KHPWXYMNEKGSDC-NXEZZACHSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cnco1)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049625312 755339097 /nfs/dbraw/zinc/33/90/97/755339097.db2.gz KHPWXYMNEKGSDC-NXEZZACHSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001096740067 755512936 /nfs/dbraw/zinc/51/29/36/755512936.db2.gz CEPGQPMVHRJTIZ-BBBLOLIVSA-N 0 2 303.322 0.639 20 0 DCADLN O=C(C[C@@H]1CCOC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096904656 755545805 /nfs/dbraw/zinc/54/58/05/755545805.db2.gz DODMOVOURLABQN-QCNOEVLYSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(C[C@@H]1CCOC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096904656 755545808 /nfs/dbraw/zinc/54/58/08/755545808.db2.gz DODMOVOURLABQN-QCNOEVLYSA-N 0 2 321.381 0.158 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1C=CCC1 ZINC001079406878 755828392 /nfs/dbraw/zinc/82/83/92/755828392.db2.gz CAXAEJHYAQJGQB-IJLUTSLNSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1C=CCC1 ZINC001079406878 755828397 /nfs/dbraw/zinc/82/83/97/755828397.db2.gz CAXAEJHYAQJGQB-IJLUTSLNSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cscn1 ZINC001079488462 755875322 /nfs/dbraw/zinc/87/53/22/755875322.db2.gz ZNXJRCPJDNDESM-HTQZYQBOSA-N 0 2 308.367 0.217 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCOC2(CN(CCCO)C2)C1 ZINC001053263728 755979145 /nfs/dbraw/zinc/97/91/45/755979145.db2.gz WOHMJXDYKGOHGP-VIFPVBQESA-N 0 2 314.279 0.182 20 0 DCADLN CCOCC(=O)N1CC(N(CC)C(=O)C(F)C(F)(F)F)C1 ZINC001079901020 756033039 /nfs/dbraw/zinc/03/30/39/756033039.db2.gz DZYCADKMDPFXGE-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N1CC(N(CC)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001079901020 756033044 /nfs/dbraw/zinc/03/30/44/756033044.db2.gz DZYCADKMDPFXGE-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001080731054 756320582 /nfs/dbraw/zinc/32/05/82/756320582.db2.gz AATFBKGTVVFDFY-VXNVDRBHSA-N 0 2 306.326 0.057 20 0 DCADLN CCC[N@@H+](C)CC(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001097989641 756785573 /nfs/dbraw/zinc/78/55/73/756785573.db2.gz CEKHLNBOFKQUPE-NSHDSACASA-N 0 2 319.413 0.622 20 0 DCADLN C/C=C(\C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001057309626 757546647 /nfs/dbraw/zinc/54/66/47/757546647.db2.gz KMWFFQFUNPGORK-QZGLAHODSA-N 0 2 314.279 0.790 20 0 DCADLN C/C=C(\C)C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001057309626 757546655 /nfs/dbraw/zinc/54/66/55/757546655.db2.gz KMWFFQFUNPGORK-QZGLAHODSA-N 0 2 314.279 0.790 20 0 DCADLN CNC(=O)CN1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001084360480 757802327 /nfs/dbraw/zinc/80/23/27/757802327.db2.gz DDRLYFBZLHBNMD-MRTMQBJTSA-N 0 2 311.279 0.166 20 0 DCADLN CC(=O)NC[C@@H]1COCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC001050777134 758051020 /nfs/dbraw/zinc/05/10/20/758051020.db2.gz WELAUMISZUGSGQ-SNVBAGLBSA-N 0 2 322.390 0.734 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CCCC1 ZINC001050794759 758073838 /nfs/dbraw/zinc/07/38/38/758073838.db2.gz LYJDMJYPBVIDKH-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN COCCOc1cccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC000824456966 758772992 /nfs/dbraw/zinc/77/29/92/758772992.db2.gz CHODMLZVYXMCEO-UHFFFAOYSA-N 0 2 319.317 0.843 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CC=CCC1 ZINC001085479830 758931334 /nfs/dbraw/zinc/93/13/34/758931334.db2.gz AUPVAQNUEJOHEA-NWDGAFQWSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccccc1O ZINC001085489759 758938462 /nfs/dbraw/zinc/93/84/62/758938462.db2.gz HMDQDOICGMZFCG-JTQLQIEISA-N 0 2 317.349 0.562 20 0 DCADLN Cc1ncoc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085533464 759012097 /nfs/dbraw/zinc/01/20/97/759012097.db2.gz MBZLNNHWBFGQOX-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN CCn1nccc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085563226 759061423 /nfs/dbraw/zinc/06/14/23/759061423.db2.gz IBQJLVRBHDHRBB-JTQLQIEISA-N 0 2 319.369 0.073 20 0 DCADLN Cc1ccn(C)c1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085568206 759062302 /nfs/dbraw/zinc/06/23/02/759062302.db2.gz CKNVGHJZIPZLMR-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN O=C(NC[C@@H](CO)Nc1cc(F)ncn1)C(F)C(F)(F)F ZINC001122759930 767869974 /nfs/dbraw/zinc/86/99/74/767869974.db2.gz FXTLKOVAUCCSLU-YLWLKBPMSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](CO)Nc1cc(F)ncn1)[C@@H](F)C(F)(F)F ZINC001122759930 767869981 /nfs/dbraw/zinc/86/99/81/767869981.db2.gz FXTLKOVAUCCSLU-YLWLKBPMSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(CC1CC1)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829760 759573489 /nfs/dbraw/zinc/57/34/89/759573489.db2.gz WOAWYVXJCBOOIX-SNVBAGLBSA-N 0 2 314.279 0.624 20 0 DCADLN O=C(CC1CC1)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057829760 759573493 /nfs/dbraw/zinc/57/34/93/759573493.db2.gz WOAWYVXJCBOOIX-SNVBAGLBSA-N 0 2 314.279 0.624 20 0 DCADLN CC(C)(C)OCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109172320 761275560 /nfs/dbraw/zinc/27/55/60/761275560.db2.gz BVOAZMMEVMXTAD-VWYCJHECSA-N 0 2 323.397 0.547 20 0 DCADLN CCCC(=O)N1C[C@@H](C)O[C@@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071125505 761636950 /nfs/dbraw/zinc/63/69/50/761636950.db2.gz SHXITOKCCDZNNQ-IAQYHMDHSA-N 0 2 323.397 0.502 20 0 DCADLN CCCC(=O)N1C[C@@H](C)O[C@@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071125505 761636952 /nfs/dbraw/zinc/63/69/52/761636952.db2.gz SHXITOKCCDZNNQ-IAQYHMDHSA-N 0 2 323.397 0.502 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)Cc2ccon2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071393810 761818778 /nfs/dbraw/zinc/81/87/78/761818778.db2.gz KQPXNVTVBSLZCM-GXSJLCMTSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)Cc2ccon2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071393810 761818789 /nfs/dbraw/zinc/81/87/89/761818789.db2.gz KQPXNVTVBSLZCM-GXSJLCMTSA-N 0 2 320.353 0.210 20 0 DCADLN CC[C@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)Nc1cc[nH+]c(C)n1 ZINC001103374438 765039262 /nfs/dbraw/zinc/03/92/62/765039262.db2.gz UFAJGWWHNJRBMQ-MRVPVSSYSA-N 0 2 319.325 0.002 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnc2ccccc2c1O)c1nn[nH]n1 ZINC001116667527 765894802 /nfs/dbraw/zinc/89/48/02/765894802.db2.gz HZVVLVHLQDFQJR-SECBINFHSA-N 0 2 312.333 0.917 20 0 DCADLN CCS(=O)(=O)c1ccc(CC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001143721766 766703855 /nfs/dbraw/zinc/70/38/55/766703855.db2.gz WXYXZKHSBRVRRC-UHFFFAOYSA-N 0 2 324.362 0.163 20 0 DCADLN Cc1ccnc(N2C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C2)n1 ZINC001091190176 767015591 /nfs/dbraw/zinc/01/55/91/767015591.db2.gz JIKIOKGKIBIQJP-HRDYMLBCSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1ccnc(N2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C2)n1 ZINC001091190176 767015600 /nfs/dbraw/zinc/01/56/00/767015600.db2.gz JIKIOKGKIBIQJP-HRDYMLBCSA-N 0 2 322.262 0.351 20 0 DCADLN COc1cccc(C[N@@H+]2CCC[C@H]2C(N)=O)c1OCC(=O)[O-] ZINC001139265294 768464284 /nfs/dbraw/zinc/46/42/84/768464284.db2.gz BCMBUKLTGZAGRO-NSHDSACASA-N 0 2 308.334 0.608 20 0 DCADLN COc1cccc(C[N@H+]2CCC[C@H]2C(N)=O)c1OCC(=O)[O-] ZINC001139265294 768464289 /nfs/dbraw/zinc/46/42/89/768464289.db2.gz BCMBUKLTGZAGRO-NSHDSACASA-N 0 2 308.334 0.608 20 0 DCADLN COc1cccc(C[N@@H+]2CCn3nncc3C2)c1OCC(=O)[O-] ZINC001139269576 768464463 /nfs/dbraw/zinc/46/44/63/768464463.db2.gz MBUKDMXZVFMHKK-UHFFFAOYSA-N 0 2 318.333 0.766 20 0 DCADLN COc1cccc(C[N@H+]2CCn3nncc3C2)c1OCC(=O)[O-] ZINC001139269576 768464469 /nfs/dbraw/zinc/46/44/69/768464469.db2.gz MBUKDMXZVFMHKK-UHFFFAOYSA-N 0 2 318.333 0.766 20 0 DCADLN Cc1[nH]c(C[N@@H+]2CC[C@@H](S(C)(=O)=O)C2)c(C)c1C(=O)[O-] ZINC001140496108 768533949 /nfs/dbraw/zinc/53/39/49/768533949.db2.gz RISJBIGGPJKNMD-SNVBAGLBSA-N 0 2 300.380 0.949 20 0 DCADLN Cc1[nH]c(C[N@H+]2CC[C@@H](S(C)(=O)=O)C2)c(C)c1C(=O)[O-] ZINC001140496108 768533951 /nfs/dbraw/zinc/53/39/51/768533951.db2.gz RISJBIGGPJKNMD-SNVBAGLBSA-N 0 2 300.380 0.949 20 0 DCADLN CC(C)[N@H+](C)Cc1n[nH]c2c1CCN(C(=O)[C@@H]1CCC(=O)N1)C2 ZINC001143119138 768687994 /nfs/dbraw/zinc/68/79/94/768687994.db2.gz NZPIHBLMNDSDHQ-LBPRGKRZSA-N 0 2 319.409 0.413 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@H]1C=CCC1 ZINC001230743597 768825682 /nfs/dbraw/zinc/82/56/82/768825682.db2.gz QRRLTJBNKBYKAK-NEPJUHHUSA-N 0 2 305.382 0.899 20 0 DCADLN CCSCCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001230890375 768903585 /nfs/dbraw/zinc/90/35/85/768903585.db2.gz SDSYSLUKBOWBMB-SNVBAGLBSA-N 0 2 313.427 0.686 20 0 DCADLN Cc1cc[nH]c2nc(O[C@@H]3CO[C@H]4[C@@H]3OC[C@@H]4O[N+](=O)[O-])nc1-2 ZINC001231064013 769162030 /nfs/dbraw/zinc/16/20/30/769162030.db2.gz YQBLHKDFDXCRSW-JZSSXWJLSA-N 0 2 322.277 0.388 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2ccccc2OCC(=O)[O-])CCN1C ZINC001231797901 769285405 /nfs/dbraw/zinc/28/54/05/769285405.db2.gz YZQADNLHWLMXIY-CYBMUJFWSA-N 0 2 322.361 0.439 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2ccccc2OCC(=O)[O-])CCN1C ZINC001231797901 769285410 /nfs/dbraw/zinc/28/54/10/769285410.db2.gz YZQADNLHWLMXIY-CYBMUJFWSA-N 0 2 322.361 0.439 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc2ccccc2[nH]c1=O ZINC001151237814 769296643 /nfs/dbraw/zinc/29/66/43/769296643.db2.gz GFMXVXSHBIWATI-LBPRGKRZSA-N 0 2 303.299 0.979 20 0 DCADLN CO[C@H](C)CCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233827714 769465197 /nfs/dbraw/zinc/46/51/97/769465197.db2.gz KTTVLDBCTWZVFJ-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1ccoc1 ZINC001233871627 769478329 /nfs/dbraw/zinc/47/83/29/769478329.db2.gz FECRLHUSPPDQLP-LLVKDONJSA-N 0 2 305.338 0.379 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc2cccnc2[nH]c1=O ZINC001153750585 769914736 /nfs/dbraw/zinc/91/47/36/769914736.db2.gz LOJSVJZXNHMQBT-NSHDSACASA-N 0 2 304.287 0.374 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc2c(c1)nc[nH]c2=O ZINC001153764188 769924822 /nfs/dbraw/zinc/92/48/22/769924822.db2.gz PPFNSGRPVSAYFS-NSHDSACASA-N 0 2 304.287 0.374 20 0 DCADLN C[C@@]1(CO)CCN(C(=O)c2c[nH]c3cccnc3c2=O)C[C@@H]1O ZINC001153855659 769964545 /nfs/dbraw/zinc/96/45/45/769964545.db2.gz BTOOAMVVEMVJEF-LRDDRELGSA-N 0 2 317.345 0.129 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](NC(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153861420 769969349 /nfs/dbraw/zinc/96/93/49/769969349.db2.gz BUEDEAWLNLCDKS-NXEZZACHSA-N 0 2 315.329 0.995 20 0 DCADLN Cc1[nH+]c[nH]c1CSCCNC(=O)Cn1nn[n-]c1=S ZINC001177744464 770542345 /nfs/dbraw/zinc/54/23/45/770542345.db2.gz XFAZAUNDAXHONL-UHFFFAOYSA-N 0 2 313.412 0.043 20 0 DCADLN C[C@H]1CCC(=CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001179020665 770920980 /nfs/dbraw/zinc/92/09/80/770920980.db2.gz DMOBUWFOEQALAY-CMWXKVOJSA-N 0 2 312.395 0.712 20 0 DCADLN CCOCCn1cc(C(=O)N[C@@H](C)c2nn(C)cc2O)cn1 ZINC001179925708 771034884 /nfs/dbraw/zinc/03/48/84/771034884.db2.gz AJMFCDCIRZZYIK-JTQLQIEISA-N 0 2 307.354 0.850 20 0 DCADLN CCn1nc(C2CC2)cc1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001160880203 772131643 /nfs/dbraw/zinc/13/16/43/772131643.db2.gz VGCGSRSQLSLNKB-UHFFFAOYSA-N 0 2 304.354 0.967 20 0 DCADLN NC(=O)c1nccnc1NC(=O)c1ncc(C(F)(F)F)[nH]1 ZINC001188278018 772284423 /nfs/dbraw/zinc/28/44/23/772284423.db2.gz LMTRAVVTEABKCZ-UHFFFAOYSA-N 0 2 300.200 0.570 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1cc(F)ccc1F)=C(C)O ZINC001189708283 772520771 /nfs/dbraw/zinc/52/07/71/772520771.db2.gz IQTILSQLQQELMB-NSHDSACASA-N 0 2 321.301 0.515 20 0 DCADLN O=c1[nH]cc(NS(=O)(=O)c2ncc(F)cc2F)c(=O)[nH]1 ZINC001190143205 772588780 /nfs/dbraw/zinc/58/87/80/772588780.db2.gz OCTXZDLANBGEFQ-UHFFFAOYSA-N 0 2 304.234 0.362 20 0 DCADLN COc1ccc(C(N)=O)cc1NS(=O)(=O)c1ccncc1 ZINC001190894191 772693437 /nfs/dbraw/zinc/69/34/37/772693437.db2.gz XJPKPBHHKOZACE-UHFFFAOYSA-N 0 2 307.331 0.990 20 0 DCADLN C[NH+]1CCN(c2nccc(N3CC[C@@H]([NH3+])C(F)(F)C3)n2)CC1 ZINC001165127139 772699027 /nfs/dbraw/zinc/69/90/27/772699027.db2.gz CSRLMSOTKSCODZ-LLVKDONJSA-N 0 2 312.368 0.401 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2ncccc2CO)cc1 ZINC001191579612 772785473 /nfs/dbraw/zinc/78/54/73/772785473.db2.gz SNGQOEVBLZISRJ-UHFFFAOYSA-N 0 2 321.358 0.734 20 0 DCADLN Cn1c(NS(=O)(=O)C(Cl)(Cl)Cl)cc(=O)[nH]c1=O ZINC001192042958 772862196 /nfs/dbraw/zinc/86/21/96/772862196.db2.gz NLINNZBHGOKSAJ-UHFFFAOYSA-N 0 2 322.557 0.555 20 0 DCADLN O=C(NCCN1CCCS1(=O)=O)c1cc(F)c(O)cc1F ZINC001192888581 772972442 /nfs/dbraw/zinc/97/24/42/772972442.db2.gz XBICTMYSEBZIOQ-UHFFFAOYSA-N 0 2 320.317 0.436 20 0 DCADLN CCOC(=O)C(C)(C)S(=O)(=O)Nc1cn[nH]c(=O)c1Cl ZINC001193017372 772997063 /nfs/dbraw/zinc/99/70/63/772997063.db2.gz WOXUPRFHKPYCPM-UHFFFAOYSA-N 0 2 323.758 0.919 20 0 DCADLN O=S(=O)(C[C@H]1CCCO1)Nc1cc2n(n1)CC(F)(F)CO2 ZINC001193213804 773025370 /nfs/dbraw/zinc/02/53/70/773025370.db2.gz OXYCQVGXOKFIKD-MRVPVSSYSA-N 0 2 323.321 0.832 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(Cl)nc(OC)n2)[nH]n1 ZINC001194279106 773165896 /nfs/dbraw/zinc/16/58/96/773165896.db2.gz OIAHHPTUONJGGX-UHFFFAOYSA-N 0 2 311.685 0.901 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(Cl)nc(OC)n2)n[nH]1 ZINC001194279106 773165898 /nfs/dbraw/zinc/16/58/98/773165898.db2.gz OIAHHPTUONJGGX-UHFFFAOYSA-N 0 2 311.685 0.901 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(Cl)ncc2CO)[nH]n1 ZINC001194282990 773176421 /nfs/dbraw/zinc/17/64/21/773176421.db2.gz JXEFHQBPWJHRRX-UHFFFAOYSA-N 0 2 310.697 0.989 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(Cl)ncc2CO)n[nH]1 ZINC001194282990 773176422 /nfs/dbraw/zinc/17/64/22/773176422.db2.gz JXEFHQBPWJHRRX-UHFFFAOYSA-N 0 2 310.697 0.989 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc3c(c2)CCNC3=O)[nH]n1 ZINC001194288462 773177802 /nfs/dbraw/zinc/17/78/02/773177802.db2.gz DQALATHBJLFLDT-UHFFFAOYSA-N 0 2 314.301 0.735 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc3c(c2)CCNC3=O)n[nH]1 ZINC001194288462 773177804 /nfs/dbraw/zinc/17/78/04/773177804.db2.gz DQALATHBJLFLDT-UHFFFAOYSA-N 0 2 314.301 0.735 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCC(F)F ZINC001208014820 773372610 /nfs/dbraw/zinc/37/26/10/773372610.db2.gz ZHJRKJJJSZNSIT-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCC(F)F ZINC001208014820 773372616 /nfs/dbraw/zinc/37/26/16/773372616.db2.gz ZHJRKJJJSZNSIT-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2c(c1)CCNC2=O ZINC001195974713 773510170 /nfs/dbraw/zinc/51/01/70/773510170.db2.gz IDLDSNFJDGDNRW-UHFFFAOYSA-N 0 2 312.347 0.277 20 0 DCADLN Cc1cccn2c(CNC(=O)c3cnc(=S)[nH]c3O)nnc12 ZINC001196008869 773519413 /nfs/dbraw/zinc/51/94/13/773519413.db2.gz KMLHAKDTCZCIOA-UHFFFAOYSA-N 0 2 316.346 0.752 20 0 DCADLN CCOC(=O)C(F)(F)CNS(=O)(=O)Cc1cccnc1 ZINC001197472861 773750492 /nfs/dbraw/zinc/75/04/92/773750492.db2.gz JMBXDGKMUUDWAQ-UHFFFAOYSA-N 0 2 308.306 0.699 20 0 DCADLN CC[NH+](CC)CC(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001197492564 773754935 /nfs/dbraw/zinc/75/49/35/773754935.db2.gz SRTXHZLIADUWQS-UHFFFAOYSA-N 0 2 310.442 0.141 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cnc(C(F)(F)F)nc1 ZINC001218585029 774256650 /nfs/dbraw/zinc/25/66/50/774256650.db2.gz KCTZDLVTBOHDJJ-MRVPVSSYSA-N 0 2 300.244 0.727 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OC[C@H]1CCCCO1 ZINC001205916761 774501075 /nfs/dbraw/zinc/50/10/75/774501075.db2.gz KDHQFMVPVKBQHU-CHWSQXEVSA-N 0 2 323.411 0.161 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1n[nH]c2c1COCC2)C1CC1 ZINC000886479343 775005958 /nfs/dbraw/zinc/00/59/58/775005958.db2.gz KJTAZBYQMMJPBF-NSHDSACASA-N 0 2 301.368 0.649 20 0 DCADLN CC/C=C(\C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221372717 775080488 /nfs/dbraw/zinc/08/04/88/775080488.db2.gz NRCPQNCFOAEDRI-LGSVWZNTSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)c1cc(F)ncn1 ZINC001095606444 775258149 /nfs/dbraw/zinc/25/81/49/775258149.db2.gz KTRGXWXJWXWVOI-UHFFFAOYSA-N 0 2 306.345 0.832 20 0 DCADLN Cc1nnc([C@H](C)N2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnn[nH]2)C3)[nH]1 ZINC001095675814 775295934 /nfs/dbraw/zinc/29/59/34/775295934.db2.gz PJRRNOXPUQIIFB-YJBLWWEUSA-N 0 2 316.369 0.328 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCOCC(F)F)c1nnc[nH]1 ZINC001111165282 775549864 /nfs/dbraw/zinc/54/98/64/775549864.db2.gz AMEWAVGTXGYSMM-BBBLOLIVSA-N 0 2 315.324 0.422 20 0 DCADLN O=c1nc2c(=O)[nH]c(=O)[nH]c2c(O[C@H]2COc3cnccc32)[nH]1 ZINC001226886508 775890625 /nfs/dbraw/zinc/89/06/25/775890625.db2.gz NXDJLRIOHHCADF-ZETCQYMHSA-N 0 2 315.245 0.444 20 0 DCADLN COC1=CC[C@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c32)CC1 ZINC001226885223 775890737 /nfs/dbraw/zinc/89/07/37/775890737.db2.gz DVJPSILKCALURF-ZETCQYMHSA-N 0 2 306.278 0.998 20 0 DCADLN CCOC(=O)[C@@H](Oc1[nH]c(=O)nc2nccnc21)C(F)(F)F ZINC001226988854 775906916 /nfs/dbraw/zinc/90/69/16/775906916.db2.gz APKWRUPLISINAN-ZCFIWIBFSA-N 0 2 318.211 0.998 20 0 DCADLN C[C@@H](CN1CCOCC1)Oc1[nH]c(=O)nnc1Br ZINC001227063448 775921018 /nfs/dbraw/zinc/92/10/18/775921018.db2.gz FUNOCBWESNGNJR-ZETCQYMHSA-N 0 2 319.159 0.439 20 0 DCADLN Cc1c(C[N@H+](C)CC(=O)N2CCC(C(=O)[O-])CC2)cnn1C ZINC001600010376 1168095130 /nfs/dbraw/zinc/09/51/30/1168095130.db2.gz FSAGPVOAUQIMLG-UHFFFAOYSA-N 0 2 308.382 0.484 20 0 DCADLN Cc1c(C[N@@H+](C)CC(=O)N2CCC(C(=O)[O-])CC2)cnn1C ZINC001600010376 1168095147 /nfs/dbraw/zinc/09/51/47/1168095147.db2.gz FSAGPVOAUQIMLG-UHFFFAOYSA-N 0 2 308.382 0.484 20 0 DCADLN Cc1ccnc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001408590131 939395894 /nfs/dbraw/zinc/39/58/94/939395894.db2.gz KFMVQPWBTSTPFU-QMMMGPOBSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ccnc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001408590131 939395896 /nfs/dbraw/zinc/39/58/96/939395896.db2.gz KFMVQPWBTSTPFU-QMMMGPOBSA-N 0 2 322.262 0.874 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001267266679 939410163 /nfs/dbraw/zinc/41/01/63/939410163.db2.gz LNIDXAFVLFIXPQ-BTDICHCPSA-N 0 2 316.365 0.632 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001267266679 939410164 /nfs/dbraw/zinc/41/01/64/939410164.db2.gz LNIDXAFVLFIXPQ-BTDICHCPSA-N 0 2 316.365 0.632 20 0 DCADLN CCC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1nccn1C ZINC001481134973 939580748 /nfs/dbraw/zinc/58/07/48/939580748.db2.gz ZAVLDVYFMSWXJE-UHFFFAOYSA-N 0 2 320.397 0.799 20 0 DCADLN CCC[N@@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1nccn1C ZINC001481134973 939580750 /nfs/dbraw/zinc/58/07/50/939580750.db2.gz ZAVLDVYFMSWXJE-UHFFFAOYSA-N 0 2 320.397 0.799 20 0 DCADLN COCCCCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267913789 939703826 /nfs/dbraw/zinc/70/38/26/939703826.db2.gz AFRIXTIHEDRLRH-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN O=C(C[C@H]1C=CCC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001268017850 939737538 /nfs/dbraw/zinc/73/75/38/939737538.db2.gz NMZIXLSLXIEXBU-NWDGAFQWSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001481595131 939962074 /nfs/dbraw/zinc/96/20/74/939962074.db2.gz HWSHKXMTCITBHR-JTQLQIEISA-N 0 2 304.354 0.264 20 0 DCADLN Cn1cncc1C[NH+]1CC(CNC(=O)C[N@H+](C)CC(C)(C)C)C1 ZINC001481644541 939998191 /nfs/dbraw/zinc/99/81/91/939998191.db2.gz MRKQOLVNWLMKRZ-UHFFFAOYSA-N 0 2 321.469 0.946 20 0 DCADLN CCN(C(=O)C[C@H]1CCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481759351 940116331 /nfs/dbraw/zinc/11/63/31/940116331.db2.gz KJESNGIUBWNLAF-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)C[C@H]1CCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481759351 940116334 /nfs/dbraw/zinc/11/63/34/940116334.db2.gz KJESNGIUBWNLAF-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)[C@@H](F)C(C)C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481773053 940133394 /nfs/dbraw/zinc/13/33/94/940133394.db2.gz NXTZWCDSJUFNTM-JQWIXIFHSA-N 0 2 313.377 0.927 20 0 DCADLN NC(=O)c1cnc2n1CCN(C(=O)c1cccc(Cl)c1O)C2 ZINC001269757529 940771391 /nfs/dbraw/zinc/77/13/91/940771391.db2.gz WMFTZEHZXWMMKW-UHFFFAOYSA-N 0 2 320.736 0.997 20 0 DCADLN O=C(C[C@@H]1CCCO1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060605 941300945 /nfs/dbraw/zinc/30/09/45/941300945.db2.gz OUXZDMBSXPUWRB-WCBMZHEXSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(C[C@@H]1CCCO1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409060605 941300946 /nfs/dbraw/zinc/30/09/46/941300946.db2.gz OUXZDMBSXPUWRB-WCBMZHEXSA-N 0 2 312.263 0.783 20 0 DCADLN CN(C)c1cccc(C[N@H+](C)CCS(=O)(=O)CC(=O)[O-])c1 ZINC001610939109 970797633 /nfs/dbraw/zinc/79/76/33/970797633.db2.gz VYDJYDVYTLCJEK-UHFFFAOYSA-N 0 2 314.407 0.684 20 0 DCADLN CN(C)c1cccc(C[N@@H+](C)CCS(=O)(=O)CC(=O)[O-])c1 ZINC001610939109 970797644 /nfs/dbraw/zinc/79/76/44/970797644.db2.gz VYDJYDVYTLCJEK-UHFFFAOYSA-N 0 2 314.407 0.684 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C=Cc1ccc[nH]1 ZINC001271997653 941504109 /nfs/dbraw/zinc/50/41/09/941504109.db2.gz BNFPGAIFAKIRGG-WAYWQWQTSA-N 0 2 304.354 0.442 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C=Cc1ccc[nH]1 ZINC001271997653 941504111 /nfs/dbraw/zinc/50/41/11/941504111.db2.gz BNFPGAIFAKIRGG-WAYWQWQTSA-N 0 2 304.354 0.442 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001482599938 941577967 /nfs/dbraw/zinc/57/79/67/941577967.db2.gz KBLCASMSEPOSAO-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001482599938 941577969 /nfs/dbraw/zinc/57/79/69/941577969.db2.gz KBLCASMSEPOSAO-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CO[C@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001482617141 941595808 /nfs/dbraw/zinc/59/58/08/941595808.db2.gz VKCAJESGEJWSFF-LBPRGKRZSA-N 0 2 311.386 0.216 20 0 DCADLN CO[C@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001482617141 941595810 /nfs/dbraw/zinc/59/58/10/941595810.db2.gz VKCAJESGEJWSFF-LBPRGKRZSA-N 0 2 311.386 0.216 20 0 DCADLN Cc1ncsc1C[NH2+]C[C@@H](C)NC(=O)[C@H]1C[N@H+](C)CCO1 ZINC001483117390 941858148 /nfs/dbraw/zinc/85/81/48/941858148.db2.gz DZACWHWBFYMSIH-ZYHUDNBSSA-N 0 2 312.439 0.376 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)OCC1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409548831 942045121 /nfs/dbraw/zinc/04/51/21/942045121.db2.gz XAULDYUBNDVZSQ-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)OCC1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409548831 942045128 /nfs/dbraw/zinc/04/51/28/942045128.db2.gz XAULDYUBNDVZSQ-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001409648245 942118533 /nfs/dbraw/zinc/11/85/33/942118533.db2.gz PZZMMZFPAFGULF-WDEREUQCSA-N 0 2 318.381 0.646 20 0 DCADLN C[C@@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001409648245 942118537 /nfs/dbraw/zinc/11/85/37/942118537.db2.gz PZZMMZFPAFGULF-WDEREUQCSA-N 0 2 318.381 0.646 20 0 DCADLN COC[C@H](C)N1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001409695091 942158211 /nfs/dbraw/zinc/15/82/11/942158211.db2.gz CZORKZFZXBUOET-DTWKUNHWSA-N 0 2 316.295 0.475 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2[nH][nH]c3cc(=O)ccc2-3)S1 ZINC001413010048 942197283 /nfs/dbraw/zinc/19/72/83/942197283.db2.gz MQQGMKFJSCNYHA-MRVPVSSYSA-N 0 2 305.319 0.763 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)Nc2[nH][nH]c3cc(=O)ccc2-3)S1 ZINC001413010048 942197284 /nfs/dbraw/zinc/19/72/84/942197284.db2.gz MQQGMKFJSCNYHA-MRVPVSSYSA-N 0 2 305.319 0.763 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(F)F)C(=O)C(F)C(F)(F)F ZINC001413268800 942595256 /nfs/dbraw/zinc/59/52/56/942595256.db2.gz DHSJONFWAQCNNG-WDSKDSINSA-N 0 2 324.221 0.478 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(F)F)C(=O)[C@H](F)C(F)(F)F ZINC001413268800 942595262 /nfs/dbraw/zinc/59/52/62/942595262.db2.gz DHSJONFWAQCNNG-WDSKDSINSA-N 0 2 324.221 0.478 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnnn2C)C1 ZINC001409898054 942889552 /nfs/dbraw/zinc/88/95/52/942889552.db2.gz FYNUPBCIJNAFJN-GMSGAONNSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](CC(N)=O)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001413357846 942921943 /nfs/dbraw/zinc/92/19/43/942921943.db2.gz OOZMAWYLMIJWOV-YFKPBYRVSA-N 0 2 307.759 0.748 20 0 DCADLN CSCc1nc(CNS(=O)(=O)c2c(N)noc2C)n[nH]1 ZINC001413442856 942982273 /nfs/dbraw/zinc/98/22/73/942982273.db2.gz ABNMISXEQRGRRL-UHFFFAOYSA-N 0 2 318.384 0.025 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2nccn2C)C1 ZINC001483864293 942991042 /nfs/dbraw/zinc/99/10/42/942991042.db2.gz ITUJBTYWVCCURT-SNVBAGLBSA-N 0 2 308.279 0.963 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2nccn2C)C1 ZINC001483864293 942991046 /nfs/dbraw/zinc/99/10/46/942991046.db2.gz ITUJBTYWVCCURT-SNVBAGLBSA-N 0 2 308.279 0.963 20 0 DCADLN CO[C@@H](C)C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001493824396 943532133 /nfs/dbraw/zinc/53/21/33/943532133.db2.gz AENINBYRDDZJSI-BIIVOSGPSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001493824396 943532137 /nfs/dbraw/zinc/53/21/37/943532137.db2.gz AENINBYRDDZJSI-BIIVOSGPSA-N 0 2 300.252 0.639 20 0 DCADLN Cn1ncc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1=O ZINC001413680858 943571840 /nfs/dbraw/zinc/57/18/40/943571840.db2.gz UMOITBFUFASONG-MRVPVSSYSA-N 0 2 319.325 0.016 20 0 DCADLN O=C([O-])C1=NO[C@@H](C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC001611361682 971007768 /nfs/dbraw/zinc/00/77/68/971007768.db2.gz HDBUZUGLLVCJME-GXSJLCMTSA-N 0 2 304.306 0.006 20 0 DCADLN C[C@H](NC(=O)c1ncccc1S(C)(=O)=O)c1nn(C)cc1O ZINC001413766131 943672958 /nfs/dbraw/zinc/67/29/58/943672958.db2.gz RMTSMINPUPUCQN-QMMMGPOBSA-N 0 2 324.362 0.415 20 0 DCADLN C[C@H](CNC(=O)CCc1cn[nH]n1)[N@H+](C)Cc1ccnn1C ZINC001485351406 943865332 /nfs/dbraw/zinc/86/53/32/943865332.db2.gz FKTWQLUGPAHXOY-LLVKDONJSA-N 0 2 305.386 0.108 20 0 DCADLN CCn1ccnc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491255390 943871845 /nfs/dbraw/zinc/87/18/45/943871845.db2.gz DYIXVLYLUOEJCE-ZETCQYMHSA-N 0 2 310.251 0.649 20 0 DCADLN CCn1ccnc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491255390 943871852 /nfs/dbraw/zinc/87/18/52/943871852.db2.gz DYIXVLYLUOEJCE-ZETCQYMHSA-N 0 2 310.251 0.649 20 0 DCADLN CC1(C)CC[C@@H]1NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413817144 944121219 /nfs/dbraw/zinc/12/12/19/944121219.db2.gz KKPONWQXLXJDII-QMMMGPOBSA-N 0 2 315.399 0.337 20 0 DCADLN CCOCC(=O)N(C)CCN(C)C(=O)C(F)C(F)(F)F ZINC001409948788 944391234 /nfs/dbraw/zinc/39/12/34/944391234.db2.gz MEGXXRHOQAOFIU-SECBINFHSA-N 0 2 302.268 0.840 20 0 DCADLN CCOCC(=O)N(C)CCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001409948788 944391239 /nfs/dbraw/zinc/39/12/39/944391239.db2.gz MEGXXRHOQAOFIU-SECBINFHSA-N 0 2 302.268 0.840 20 0 DCADLN O=C(NCC1COC1)[C@H]1CCC[C@]12CC[N@H+](Cc1cn[nH]n1)C2 ZINC001277378090 944795573 /nfs/dbraw/zinc/79/55/73/944795573.db2.gz ASSQWFBVCOXROF-GDBMZVCRSA-N 0 2 319.409 0.560 20 0 DCADLN CCC[NH+](C)CC(=O)NCC1=CC[N@H+](Cc2cnnn2C)CC1 ZINC001486519557 944952763 /nfs/dbraw/zinc/95/27/63/944952763.db2.gz PSNGEWXLYOEYJS-UHFFFAOYSA-N 0 2 320.441 0.405 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(-c2ccccn2)[nH]c1=O ZINC001319064227 945491398 /nfs/dbraw/zinc/49/13/98/945491398.db2.gz GRCPWLVLNVJGPI-UHFFFAOYSA-N 0 2 312.289 0.603 20 0 DCADLN COCCCC(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001278500164 945593130 /nfs/dbraw/zinc/59/31/30/945593130.db2.gz JKWDSTMWQTYDJD-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN COCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001278500164 945593138 /nfs/dbraw/zinc/59/31/38/945593138.db2.gz JKWDSTMWQTYDJD-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)c1nnc([C@H](C)NC(=O)CC[C@H]2NC(=O)NC2=O)[nH]1 ZINC001319817250 945672254 /nfs/dbraw/zinc/67/22/54/945672254.db2.gz PDLLSDWVLKIDDF-JGVFFNPUSA-N 0 2 308.342 0.094 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc2ncsc2c1 ZINC001320191093 945762929 /nfs/dbraw/zinc/76/29/29/945762929.db2.gz KLIDEIGRYDRPMY-UHFFFAOYSA-N 0 2 318.318 0.375 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc2scnc2c1 ZINC001320190639 945763255 /nfs/dbraw/zinc/76/32/55/945763255.db2.gz BRNLUYCTDHBQPO-UHFFFAOYSA-N 0 2 318.318 0.375 20 0 DCADLN COC(=O)c1cc(S(C)(=O)=O)ccc1NS(C)(=O)=O ZINC001252733531 945995110 /nfs/dbraw/zinc/99/51/10/945995110.db2.gz OSIVVECJPMCDPY-UHFFFAOYSA-N 0 2 307.349 0.248 20 0 DCADLN COC[C@@H](O)CNc1cccc2c(=O)cc(-c3nn[nH]n3)oc12 ZINC001252822736 946013766 /nfs/dbraw/zinc/01/37/66/946013766.db2.gz SNMXLTAVJVDJGV-QMMMGPOBSA-N 0 2 317.305 0.392 20 0 DCADLN C[C@H](CNC(=O)Cc1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001410217824 946049278 /nfs/dbraw/zinc/04/92/78/946049278.db2.gz HHHCITHJSSEEBE-GMSGAONNSA-N 0 2 322.262 0.540 20 0 DCADLN C[C@H](CNC(=O)Cc1cncnc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001410217824 946049290 /nfs/dbraw/zinc/04/92/90/946049290.db2.gz HHHCITHJSSEEBE-GMSGAONNSA-N 0 2 322.262 0.540 20 0 DCADLN Cc1cc(CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001410390277 946293090 /nfs/dbraw/zinc/29/30/90/946293090.db2.gz ZYQUQCRWOKSTCD-XVKPBYJWSA-N 0 2 324.278 0.782 20 0 DCADLN Cc1cc(CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001410390277 946293100 /nfs/dbraw/zinc/29/31/00/946293100.db2.gz ZYQUQCRWOKSTCD-XVKPBYJWSA-N 0 2 324.278 0.782 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)CNC(=O)N3)cn1 ZINC001259827657 946991374 /nfs/dbraw/zinc/99/13/74/946991374.db2.gz KSAXWAZNVXTBPT-UHFFFAOYSA-N 0 2 307.335 0.856 20 0 DCADLN O=C([N-]OCCC(F)(F)F)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001323639318 947152747 /nfs/dbraw/zinc/15/27/47/947152747.db2.gz DVYYRGOBXBJOLY-MRVPVSSYSA-N 0 2 320.271 0.314 20 0 DCADLN O=C1N[C@@H](Cc2ccc(NS(=O)(=O)c3cn[nH]c3)cc2)CO1 ZINC001260960711 947159993 /nfs/dbraw/zinc/15/99/93/947159993.db2.gz IINLGIOYMDROLE-NSHDSACASA-N 0 2 322.346 0.861 20 0 DCADLN CCC(=O)N1CCN(CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001446905519 1013085269 /nfs/dbraw/zinc/08/52/69/1013085269.db2.gz AYOFNKWGRSOLQK-JTQLQIEISA-N 0 2 313.295 0.557 20 0 DCADLN CCC(=O)N1CCN(CCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001446905519 1013085284 /nfs/dbraw/zinc/08/52/84/1013085284.db2.gz AYOFNKWGRSOLQK-JTQLQIEISA-N 0 2 313.295 0.557 20 0 DCADLN CC/C=C(\C)C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001327315443 948462030 /nfs/dbraw/zinc/46/20/30/948462030.db2.gz UHBBIEHXGMAGDL-NYYWCZLTSA-N 0 2 321.381 0.280 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCCC[C@H]1O ZINC001570922187 948637374 /nfs/dbraw/zinc/63/73/74/948637374.db2.gz WRRPCFVXEJDLBI-NXEZZACHSA-N 0 2 318.337 0.309 20 0 DCADLN Nc1cnnc(NNS(=O)(=O)C[C@@H]2CCCOC2)c1Cl ZINC001327853823 948645416 /nfs/dbraw/zinc/64/54/16/948645416.db2.gz KBVYWIJLNHBIJI-SSDOTTSWSA-N 0 2 321.790 0.385 20 0 DCADLN COCc1nnc(CNC(=O)Cc2csc3nccn23)[nH]1 ZINC001328391910 948872731 /nfs/dbraw/zinc/87/27/31/948872731.db2.gz DXPGDUVEPGOOQJ-UHFFFAOYSA-N 0 2 306.351 0.519 20 0 DCADLN CCOC(=O)c1n[nH]c(CNC(=O)[C@H]2CCc3nc[nH]c3C2)n1 ZINC001364508821 949453143 /nfs/dbraw/zinc/45/31/43/949453143.db2.gz SDHDQQUXTSJFKP-QMMMGPOBSA-N 0 2 318.337 0.126 20 0 DCADLN CCOC(=O)c1n[nH]c(CNC(=O)[C@H]2CCc3[nH]cnc3C2)n1 ZINC001364508821 949453161 /nfs/dbraw/zinc/45/31/61/949453161.db2.gz SDHDQQUXTSJFKP-QMMMGPOBSA-N 0 2 318.337 0.126 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1CCCNC1=O ZINC001329258061 949466300 /nfs/dbraw/zinc/46/63/00/949466300.db2.gz MKRLIUAWYWGNOH-QMMMGPOBSA-N 0 2 320.374 0.882 20 0 DCADLN CCn1ccc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001364678497 949746762 /nfs/dbraw/zinc/74/67/62/949746762.db2.gz NVBQSHPXDJEUGM-JTQLQIEISA-N 0 2 319.369 0.816 20 0 DCADLN COCCCCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001329969536 950019627 /nfs/dbraw/zinc/01/96/27/950019627.db2.gz BRUUJXRZYVVXKO-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1nnc([C@H](C)NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)[nH]1 ZINC001364854752 950083274 /nfs/dbraw/zinc/08/32/74/950083274.db2.gz DULOIRKECDENNW-ZDVLTJLQSA-N 0 2 323.444 0.905 20 0 DCADLN Cc1nc([C@H](C)NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)n[nH]1 ZINC001364854752 950083286 /nfs/dbraw/zinc/08/32/86/950083286.db2.gz DULOIRKECDENNW-ZDVLTJLQSA-N 0 2 323.444 0.905 20 0 DCADLN CCN(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)[C@H](C)C(=O)[O-] ZINC001589696862 950172249 /nfs/dbraw/zinc/17/22/49/950172249.db2.gz DZYCCQJPOIMRDD-SECBINFHSA-N 0 2 304.306 0.594 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2cccs2)[nH]1 ZINC001364998578 950325699 /nfs/dbraw/zinc/32/56/99/950325699.db2.gz VSJGZOUYXTXLRU-UHFFFAOYSA-N 0 2 300.365 0.341 20 0 DCADLN CCOC(=O)c1n[nH]c(NC(=O)CCCc2nn[nH]n2)c1C ZINC001365004829 950341387 /nfs/dbraw/zinc/34/13/87/950341387.db2.gz PXOGBVDSVVXIBL-UHFFFAOYSA-N 0 2 307.314 0.369 20 0 DCADLN CCOC(=O)c1[nH]nc(NC(=O)CCCc2nn[nH]n2)c1C ZINC001365004829 950341409 /nfs/dbraw/zinc/34/14/09/950341409.db2.gz PXOGBVDSVVXIBL-UHFFFAOYSA-N 0 2 307.314 0.369 20 0 DCADLN COc1nnccc1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365061315 950438973 /nfs/dbraw/zinc/43/89/73/950438973.db2.gz MVYVYGJOTIFILG-MRVPVSSYSA-N 0 2 319.325 0.720 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)N[C@H](C)c1cnccc1C ZINC001365083897 950485773 /nfs/dbraw/zinc/48/57/73/950485773.db2.gz ZQBGXQLNKCJLGS-MRVPVSSYSA-N 0 2 303.326 0.750 20 0 DCADLN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)[C@@H]2CCCC[N@H+]2C)n[nH]1 ZINC001365156177 950627433 /nfs/dbraw/zinc/62/74/33/950627433.db2.gz VILICJLPRTUPQI-WOPDTQHZSA-N 0 2 307.398 0.486 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001490560835 950881271 /nfs/dbraw/zinc/88/12/71/950881271.db2.gz WYHJOXHMEBTEQM-MNOVXSKESA-N 0 2 311.386 0.262 20 0 DCADLN C[C@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)Nc1ccccc1C(N)=O ZINC000387069637 951311957 /nfs/dbraw/zinc/31/19/57/951311957.db2.gz LVYLRGNQEFYBRG-OAHLLOKOSA-N 0 2 305.334 0.663 20 0 DCADLN C[C@]1(C(=O)[O-])CCC[N@H+]1CC(=O)Nc1ccccc1C(N)=O ZINC000387069637 951311967 /nfs/dbraw/zinc/31/19/67/951311967.db2.gz LVYLRGNQEFYBRG-OAHLLOKOSA-N 0 2 305.334 0.663 20 0 DCADLN CCC1(C)CN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001365670755 951412993 /nfs/dbraw/zinc/41/29/93/951412993.db2.gz NSBWIOGRXXTUTA-UHFFFAOYSA-N 0 2 315.399 0.291 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H](C2CCC2)C1 ZINC001411445509 952152221 /nfs/dbraw/zinc/15/22/21/952152221.db2.gz YNTPOHXQDVFUCJ-LLVKDONJSA-N 0 2 301.350 0.720 20 0 DCADLN CN(C)C(=O)c1[nH]nc2c1CCN(C(=O)c1cncc(O)c1)C2 ZINC001275623636 952319696 /nfs/dbraw/zinc/31/96/96/952319696.db2.gz ODFNWBDTXAVENW-UHFFFAOYSA-N 0 2 315.333 0.411 20 0 DCADLN CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)[N@H+]2Cc1ccc(C(=O)[O-])cc1 ZINC001275905840 952515799 /nfs/dbraw/zinc/51/57/99/952515799.db2.gz PVFBAQRDGUEVIC-GASCZTMLSA-N 0 2 317.389 0.732 20 0 DCADLN CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)[N@@H+]2Cc1ccc(C(=O)[O-])cc1 ZINC001275905840 952515802 /nfs/dbraw/zinc/51/58/02/952515802.db2.gz PVFBAQRDGUEVIC-GASCZTMLSA-N 0 2 317.389 0.732 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CCCNC(=O)[C@@H]1CCOC1 ZINC001336251712 952667080 /nfs/dbraw/zinc/66/70/80/952667080.db2.gz YHKJPXIYIOXFSK-DGCLKSJQSA-N 0 2 322.409 0.698 20 0 DCADLN C[C@H](CCCNC(=O)[C@@H]1CCC[N@@H+]1C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001336305879 952675548 /nfs/dbraw/zinc/67/55/48/952675548.db2.gz DTYFUULVAXQKIC-OLZOCXBDSA-N 0 2 321.425 0.448 20 0 DCADLN Cc1nn(C)c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1Cl ZINC001412197541 952690321 /nfs/dbraw/zinc/69/03/21/952690321.db2.gz FHEZPXFXFBTIED-UHFFFAOYSA-N 0 2 321.728 0.405 20 0 DCADLN CSc1n[nH]c(NC(=O)Cc2nnc(C3CCOCC3)[nH]2)n1 ZINC001412476402 952831764 /nfs/dbraw/zinc/83/17/64/952831764.db2.gz CSMQOTBSRKIJTO-UHFFFAOYSA-N 0 2 323.382 0.720 20 0 DCADLN C[C@H]1CC(=O)Nc2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2N1 ZINC001412639044 952959311 /nfs/dbraw/zinc/95/93/11/952959311.db2.gz XJRLMCJNDMNZGX-ZETCQYMHSA-N 0 2 316.321 0.583 20 0 DCADLN Cc1ccc(C)c(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001412686174 952994199 /nfs/dbraw/zinc/99/41/99/952994199.db2.gz KVOBZHQEPQVPJL-UHFFFAOYSA-N 0 2 322.390 0.896 20 0 DCADLN O=C(NC1CCN([C@@H]2CCOC2=O)CC1)C(F)C(F)(F)F ZINC001412725323 953022866 /nfs/dbraw/zinc/02/28/66/953022866.db2.gz ILCCWDDKIACGKD-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN C[C@H](NC(=O)C1(S(C)(=O)=O)CCCC1)c1nn(C)cc1O ZINC001412760667 953076660 /nfs/dbraw/zinc/07/66/60/953076660.db2.gz ZDPTVULSFGGFBD-VIFPVBQESA-N 0 2 315.395 0.660 20 0 DCADLN O=C([O-])C1(CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CCC1 ZINC001594742126 953365919 /nfs/dbraw/zinc/36/59/19/953365919.db2.gz GUEHOLORVJRVDU-LBPRGKRZSA-N 0 2 311.382 0.357 20 0 DCADLN COCC1(CC(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001365893279 953368554 /nfs/dbraw/zinc/36/85/54/953368554.db2.gz YWOUOECQWUZCKF-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN COCC1(CC(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001365893279 953368568 /nfs/dbraw/zinc/36/85/68/953368568.db2.gz YWOUOECQWUZCKF-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(C)OC)n2C[C@@H]2CCOC2)c1=O ZINC001344034373 953407573 /nfs/dbraw/zinc/40/75/73/953407573.db2.gz FYEDXFZBPIMXST-JTQLQIEISA-N 0 2 322.369 0.901 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc([C@@H]2CCC(=O)N2)c1 ZINC001365917150 953409087 /nfs/dbraw/zinc/40/90/87/953409087.db2.gz VJHPOESQCWZVRE-JTQLQIEISA-N 0 2 316.321 0.783 20 0 DCADLN Cn1nnnc1CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001365933304 953426921 /nfs/dbraw/zinc/42/69/21/953426921.db2.gz CZVYPVBFZJRZHO-APPZFPTMSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CCC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001365933304 953426933 /nfs/dbraw/zinc/42/69/33/953426933.db2.gz CZVYPVBFZJRZHO-APPZFPTMSA-N 0 2 324.282 0.191 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)NCC[N@@H+]2CCO[C@H](C)C2)C1 ZINC001589274549 953451002 /nfs/dbraw/zinc/45/10/02/953451002.db2.gz UJTFHEDLSMASHM-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)NCC[N@H+]2CCO[C@H](C)C2)C1 ZINC001589274549 953451010 /nfs/dbraw/zinc/45/10/10/953451010.db2.gz UJTFHEDLSMASHM-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN CCCC[NH+]1CCN(CCNC(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001365953471 953464264 /nfs/dbraw/zinc/46/42/64/953464264.db2.gz KJHHCHJWQUMXRS-UHFFFAOYSA-N 0 2 309.414 0.571 20 0 DCADLN O=C([O-])C1(CNC(=O)NCCNc2cccc[nH+]2)CCOCC1 ZINC001594758819 953494167 /nfs/dbraw/zinc/49/41/67/953494167.db2.gz JTLZSCYTHYKXKP-UHFFFAOYSA-N 0 2 322.365 0.674 20 0 DCADLN C[C@@H](CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001365985852 953523999 /nfs/dbraw/zinc/52/39/99/953523999.db2.gz QFODCICLKXSOOY-JGVFFNPUSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1Cn1c2cnccc2n(C)c1=O ZINC001589307749 953758080 /nfs/dbraw/zinc/75/80/80/953758080.db2.gz CMSAXVRJPJWBGU-WDEREUQCSA-N 0 2 304.350 0.878 20 0 DCADLN C[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cn1c2cnccc2n(C)c1=O ZINC001589307749 953758090 /nfs/dbraw/zinc/75/80/90/953758090.db2.gz CMSAXVRJPJWBGU-WDEREUQCSA-N 0 2 304.350 0.878 20 0 DCADLN CCO[C@@H](CC)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001282936968 953791466 /nfs/dbraw/zinc/79/14/66/953791466.db2.gz NYRZWKZLDSTSGK-YUMQZZPRSA-N 0 2 302.268 0.934 20 0 DCADLN CCO[C@@H](CC)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001282936968 953791476 /nfs/dbraw/zinc/79/14/76/953791476.db2.gz NYRZWKZLDSTSGK-YUMQZZPRSA-N 0 2 302.268 0.934 20 0 DCADLN CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@](C)(C(=O)[O-])C1 ZINC001593661388 953807615 /nfs/dbraw/zinc/80/76/15/953807615.db2.gz YUSWVLQIHJNIIC-ZIBATOQPSA-N 0 2 323.397 0.337 20 0 DCADLN CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@](C)(C(=O)[O-])C1 ZINC001593661388 953807622 /nfs/dbraw/zinc/80/76/22/953807622.db2.gz YUSWVLQIHJNIIC-ZIBATOQPSA-N 0 2 323.397 0.337 20 0 DCADLN C[C@H]1CCN(C(=O)C(=O)N(C)CCC(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC001589332791 953957465 /nfs/dbraw/zinc/95/74/65/953957465.db2.gz QKNZQTZAHABQBU-NWDGAFQWSA-N 0 2 322.365 0.226 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cc2n(n1)CCC2 ZINC001283059812 953965795 /nfs/dbraw/zinc/96/57/95/953965795.db2.gz REJXSFKUAYHNOB-SECBINFHSA-N 0 2 322.262 0.576 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cc2n(n1)CCC2 ZINC001283059812 953965804 /nfs/dbraw/zinc/96/58/04/953965804.db2.gz REJXSFKUAYHNOB-SECBINFHSA-N 0 2 322.262 0.576 20 0 DCADLN COc1ncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001283059291 953965860 /nfs/dbraw/zinc/96/58/60/953965860.db2.gz OVZFUFQUUAMESQ-SSDOTTSWSA-N 0 2 324.234 0.232 20 0 DCADLN COc1ncc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001283059291 953965865 /nfs/dbraw/zinc/96/58/65/953965865.db2.gz OVZFUFQUUAMESQ-SSDOTTSWSA-N 0 2 324.234 0.232 20 0 DCADLN COc1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001283060681 953967745 /nfs/dbraw/zinc/96/77/45/953967745.db2.gz YJCVYMMDQOAECP-SECBINFHSA-N 0 2 323.246 0.837 20 0 DCADLN COc1ccc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001283060681 953967757 /nfs/dbraw/zinc/96/77/57/953967757.db2.gz YJCVYMMDQOAECP-SECBINFHSA-N 0 2 323.246 0.837 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1n[nH]cc1F ZINC001283273224 954206109 /nfs/dbraw/zinc/20/61/09/954206109.db2.gz IBVKDDFFIDQMLB-SSDOTTSWSA-N 0 2 314.214 0.685 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1n[nH]cc1F ZINC001283273224 954206120 /nfs/dbraw/zinc/20/61/20/954206120.db2.gz IBVKDDFFIDQMLB-SSDOTTSWSA-N 0 2 314.214 0.685 20 0 DCADLN CN(CCCNC(=O)Cc1cc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001283513981 954414322 /nfs/dbraw/zinc/41/43/22/954414322.db2.gz XJWQJCHRAAUVAH-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCCNC(=O)Cc1cc[nH]n1)C(=O)[C@@H](F)C(F)(F)F ZINC001283513981 954414328 /nfs/dbraw/zinc/41/43/28/954414328.db2.gz XJWQJCHRAAUVAH-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN Cn1ncc2c1CCC[C@H]2[N@H+](C)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001593756707 954457334 /nfs/dbraw/zinc/45/73/34/954457334.db2.gz DQVDCGCSNOSRCT-CMPLNLGQSA-N 0 2 306.366 0.620 20 0 DCADLN Cn1ncc2c1CCC[C@H]2[N@@H+](C)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001593756707 954457338 /nfs/dbraw/zinc/45/73/38/954457338.db2.gz DQVDCGCSNOSRCT-CMPLNLGQSA-N 0 2 306.366 0.620 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C)sn1)Cc1n[nH]c(=O)[n-]1 ZINC001366605986 954502226 /nfs/dbraw/zinc/50/22/26/954502226.db2.gz SFNVDGUALAUXSO-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C)sn1)Cc1n[nH]c(=O)[n-]1 ZINC001366605986 954502232 /nfs/dbraw/zinc/50/22/32/954502232.db2.gz SFNVDGUALAUXSO-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001367010808 955192982 /nfs/dbraw/zinc/19/29/82/955192982.db2.gz PVCQBHLPLUXXHK-IUCAKERBSA-N 0 2 317.340 0.882 20 0 DCADLN CCC[C@H](OCC)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001367033275 955236887 /nfs/dbraw/zinc/23/68/87/955236887.db2.gz FCDASOPCPDHCCS-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN COC(=O)COc1ccccc1C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC001593852259 955470377 /nfs/dbraw/zinc/47/03/77/955470377.db2.gz NEPOOIOQLFNFDG-ZDUSSCGKSA-N 0 2 309.318 0.524 20 0 DCADLN COC(=O)COc1ccccc1C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC001593852259 955470385 /nfs/dbraw/zinc/47/03/85/955470385.db2.gz NEPOOIOQLFNFDG-ZDUSSCGKSA-N 0 2 309.318 0.524 20 0 DCADLN C[C@@H](CNC(=O)NCCCCC(=O)[O-])[NH+]1CCN(C)CC1 ZINC001589053426 955678950 /nfs/dbraw/zinc/67/89/50/955678950.db2.gz FXNIPHHIMLHQHW-LBPRGKRZSA-N 0 2 300.403 0.176 20 0 DCADLN C[C@H](CNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1)[NH+]1CCN(C)CC1 ZINC001589056500 955699485 /nfs/dbraw/zinc/69/94/85/955699485.db2.gz IQBVKJPMHXANEQ-UPJWGTAASA-N 0 2 312.414 0.175 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cn[nH]c1 ZINC001285488818 955720675 /nfs/dbraw/zinc/72/06/75/955720675.db2.gz XHXWHPSNQGYWSJ-CMLYIYFCSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1cn[nH]c1 ZINC001285488818 955720685 /nfs/dbraw/zinc/72/06/85/955720685.db2.gz XHXWHPSNQGYWSJ-CMLYIYFCSA-N 0 2 308.235 0.712 20 0 DCADLN CCOC(=O)c1n[nH]c(CNC(=O)c2c(O)cccc2F)n1 ZINC001413850777 955741285 /nfs/dbraw/zinc/74/12/85/955741285.db2.gz PGSJTXBSVJUEND-UHFFFAOYSA-N 0 2 308.269 0.756 20 0 DCADLN C[C@H](CNC(=O)c1ccc2[nH]nnc2c1)NC(=O)c1ccn[nH]1 ZINC001285626090 955849105 /nfs/dbraw/zinc/84/91/05/955849105.db2.gz BQDABQJWMHJGAM-MRVPVSSYSA-N 0 2 313.321 0.229 20 0 DCADLN Cc1cnn(C)c1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001416819491 956148029 /nfs/dbraw/zinc/14/80/29/956148029.db2.gz RDRYRSVTMXDDRT-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cnn(C)c1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001416819491 956148038 /nfs/dbraw/zinc/14/80/38/956148038.db2.gz RDRYRSVTMXDDRT-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@H]1CCN(C(=O)NCc2n[nH]c(=O)n2C2CC2)C[C@@H]1CO ZINC001413923462 956204966 /nfs/dbraw/zinc/20/49/66/956204966.db2.gz VYPLALOCSNLSPC-VHSXEESVSA-N 0 2 309.370 0.479 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)C2(C(=O)[O-])CCSCC2)CCO1 ZINC001353915882 956230683 /nfs/dbraw/zinc/23/06/83/956230683.db2.gz OTUYKJIHMOKXOU-NSHDSACASA-N 0 2 316.423 0.421 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)C2(C(=O)[O-])CCSCC2)CCO1 ZINC001353915882 956230695 /nfs/dbraw/zinc/23/06/95/956230695.db2.gz OTUYKJIHMOKXOU-NSHDSACASA-N 0 2 316.423 0.421 20 0 DCADLN COC[C@H](C[N@@H+]1CCO[C@H](C)C1)OC(=O)[C@@H]1CC[C@@H]1C(=O)[O-] ZINC001593957157 956442624 /nfs/dbraw/zinc/44/26/24/956442624.db2.gz AZCJCLSGOFDARP-MROQNXINSA-N 0 2 315.366 0.376 20 0 DCADLN COC[C@H](C[N@H+]1CCO[C@H](C)C1)OC(=O)[C@@H]1CC[C@@H]1C(=O)[O-] ZINC001593957157 956442634 /nfs/dbraw/zinc/44/26/34/956442634.db2.gz AZCJCLSGOFDARP-MROQNXINSA-N 0 2 315.366 0.376 20 0 DCADLN CO[C@@H](C)C(=O)N1CC=C(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001288417831 956642830 /nfs/dbraw/zinc/64/28/30/956642830.db2.gz AIPMCPWFMLTGLZ-LBPRGKRZSA-N 0 2 320.393 0.570 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2ccc(C)c(OC)n2)CCO1 ZINC001593983408 956730448 /nfs/dbraw/zinc/73/04/48/956730448.db2.gz HRKVKKIWNMBZOE-OAHLLOKOSA-N 0 2 310.350 0.701 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2ccc(C)c(OC)n2)CCO1 ZINC001593983408 956730453 /nfs/dbraw/zinc/73/04/53/956730453.db2.gz HRKVKKIWNMBZOE-OAHLLOKOSA-N 0 2 310.350 0.701 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2cnn(CC(F)F)c2)CCO1 ZINC001593983550 956733975 /nfs/dbraw/zinc/73/39/75/956733975.db2.gz IOONSMWVFSNVGA-ZDUSSCGKSA-N 0 2 319.308 0.450 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2cnn(CC(F)F)c2)CCO1 ZINC001593983550 956733982 /nfs/dbraw/zinc/73/39/82/956733982.db2.gz IOONSMWVFSNVGA-ZDUSSCGKSA-N 0 2 319.308 0.450 20 0 DCADLN CC[C@H](C)[N@H+](CC(=O)[O-])CC(=O)NNC(=O)c1cccs1 ZINC000037599099 957315913 /nfs/dbraw/zinc/31/59/13/957315913.db2.gz IKMDMYCSLSRZKW-VIFPVBQESA-N 0 2 313.379 0.694 20 0 DCADLN CC[C@H](C)[N@@H+](CC(=O)[O-])CC(=O)NNC(=O)c1cccs1 ZINC000037599099 957315922 /nfs/dbraw/zinc/31/59/22/957315922.db2.gz IKMDMYCSLSRZKW-VIFPVBQESA-N 0 2 313.379 0.694 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cnc[nH]c1=O ZINC001293375705 957356381 /nfs/dbraw/zinc/35/63/81/957356381.db2.gz AEOIOQYCKFFALS-SSDOTTSWSA-N 0 2 324.234 0.319 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1cnc[nH]c1=O ZINC001293375705 957356387 /nfs/dbraw/zinc/35/63/87/957356387.db2.gz AEOIOQYCKFFALS-SSDOTTSWSA-N 0 2 324.234 0.319 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccnc(C(=O)[O-])c1 ZINC001603159240 972261481 /nfs/dbraw/zinc/26/14/81/972261481.db2.gz UUQFYNCRZQMBIH-NSHDSACASA-N 0 2 322.365 0.964 20 0 DCADLN Cc1csc(C[NH2+]C2(C(=O)[O-])CCS(=O)(=O)CC2)n1 ZINC000042333637 957410348 /nfs/dbraw/zinc/41/03/48/957410348.db2.gz OJAGJVWBCCAFDZ-UHFFFAOYSA-N 0 2 304.393 0.573 20 0 DCADLN Cc1n[nH]c(C(=O)NCCCN(C)C(=O)[C@@H]2CCC[N@@H+]2C)c1C ZINC001294002442 957461882 /nfs/dbraw/zinc/46/18/82/957461882.db2.gz UNMOWLWXTPESFV-ZDUSSCGKSA-N 0 2 321.425 0.699 20 0 DCADLN COC(=O)c1cc(CN2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)on1 ZINC001414003766 957594925 /nfs/dbraw/zinc/59/49/25/957594925.db2.gz WYIDQSXQHBWZLX-QMMMGPOBSA-N 0 2 307.310 0.665 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)on1 ZINC001414003766 957594933 /nfs/dbraw/zinc/59/49/33/957594933.db2.gz WYIDQSXQHBWZLX-QMMMGPOBSA-N 0 2 307.310 0.665 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)on1 ZINC001414003766 957594941 /nfs/dbraw/zinc/59/49/41/957594941.db2.gz WYIDQSXQHBWZLX-QMMMGPOBSA-N 0 2 307.310 0.665 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001361399158 957929073 /nfs/dbraw/zinc/92/90/73/957929073.db2.gz DXPYPKCPFPZTLP-GHMZBOCLSA-N 0 2 310.737 0.775 20 0 DCADLN Cc1nc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(C)o1 ZINC001361410167 957942114 /nfs/dbraw/zinc/94/21/14/957942114.db2.gz CVJCLLLCCUNEST-UHFFFAOYSA-N 0 2 303.278 0.419 20 0 DCADLN CO[C@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C12CCC2 ZINC001361495766 958025592 /nfs/dbraw/zinc/02/55/92/958025592.db2.gz FVTVWUAGNAGLMV-MNOVXSKESA-N 0 2 317.349 0.145 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC001603207301 972316041 /nfs/dbraw/zinc/31/60/41/972316041.db2.gz OJOVWHMFHXFZSQ-JQWIXIFHSA-N 0 2 322.365 0.170 20 0 DCADLN NC(=O)Cn1cc(NC(=O)c2cccc(Cl)c2O)ccc1=O ZINC001361658540 958183475 /nfs/dbraw/zinc/18/34/75/958183475.db2.gz FLLGZYOHLMJHQL-UHFFFAOYSA-N 0 2 321.720 0.945 20 0 DCADLN CSCC(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001446989723 1013168299 /nfs/dbraw/zinc/16/82/99/1013168299.db2.gz WGPNGHAFOIWATC-XPUUQOCRSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001446989723 1013168303 /nfs/dbraw/zinc/16/83/03/1013168303.db2.gz WGPNGHAFOIWATC-XPUUQOCRSA-N 0 2 302.293 0.967 20 0 DCADLN CC(C)c1cncnc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361669551 958199358 /nfs/dbraw/zinc/19/93/58/958199358.db2.gz UKAZKXGMRFDSIA-UHFFFAOYSA-N 0 2 313.321 0.623 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn3c2NCCC3)C1=O ZINC001297273134 958208859 /nfs/dbraw/zinc/20/88/59/958208859.db2.gz HTJCQIVWPWECSK-CYBMUJFWSA-N 0 2 306.326 0.064 20 0 DCADLN Cc1noc([C@H](C)[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001367665493 958310700 /nfs/dbraw/zinc/31/07/00/958310700.db2.gz DZBAJGHGBDKLDB-SVRRBLITSA-N 0 2 308.342 0.584 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ncc(F)cc1Cl ZINC001361819403 958368503 /nfs/dbraw/zinc/36/85/03/958368503.db2.gz AUOPOJZNXVYVNV-UHFFFAOYSA-N 0 2 322.687 0.897 20 0 DCADLN CCO[C@@H]1CCCC[C@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361867574 958427199 /nfs/dbraw/zinc/42/71/99/958427199.db2.gz CAKORQWFXWGALN-VXGBXAGGSA-N 0 2 319.365 0.535 20 0 DCADLN NS(=O)(=O)c1sc(Cl)cc1C(=O)NCc1cnc[nH]1 ZINC001361984015 958571154 /nfs/dbraw/zinc/57/11/54/958571154.db2.gz VUQQWTALZGFGPC-UHFFFAOYSA-N 0 2 320.783 0.702 20 0 DCADLN C[C@@H](CN(C)Cc1cnnn1C)NC(=O)C(F)C(F)(F)F ZINC001367803447 958617264 /nfs/dbraw/zinc/61/72/64/958617264.db2.gz HHLQJHWTMBUIIP-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN CC(C)(CCO)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362087272 958716107 /nfs/dbraw/zinc/71/61/07/958716107.db2.gz KLSXULQKINCGHF-UHFFFAOYSA-N 0 2 320.349 0.405 20 0 DCADLN CC(=O)NCCN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@H](C)C(=O)[O-] ZINC001588508881 958755698 /nfs/dbraw/zinc/75/56/98/958755698.db2.gz VZIJMFSYTWQJQB-SECBINFHSA-N 0 2 318.333 0.614 20 0 DCADLN O=C(CCCn1c(=O)[n-][nH]c1=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001362169775 958866932 /nfs/dbraw/zinc/86/69/32/958866932.db2.gz HTKCOXONSQNOQP-UHFFFAOYSA-N 0 2 320.353 0.530 20 0 DCADLN CC(C)(C)C(=O)Nc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC001362213632 958938041 /nfs/dbraw/zinc/93/80/41/958938041.db2.gz CRTXDJLHRJBFSQ-UHFFFAOYSA-N 0 2 318.337 0.820 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H](F)c1ccccc1 ZINC001367968730 958981232 /nfs/dbraw/zinc/98/12/32/958981232.db2.gz HQZSLGIDCCUXHD-DGCLKSJQSA-N 0 2 319.340 0.912 20 0 DCADLN CC[C@@](C)(CCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362274284 959048961 /nfs/dbraw/zinc/04/89/61/959048961.db2.gz BCMUMFJHGFBIFB-HNNXBMFYSA-N 0 2 320.349 0.547 20 0 DCADLN Cc1cccn2cc(CCNC(=O)[C@H]3CC(C(=O)[O-])=NO3)[nH+]c12 ZINC001594420132 959053106 /nfs/dbraw/zinc/05/31/06/959053106.db2.gz HIFUQVFTZYFGLM-GFCCVEGCSA-N 0 2 316.317 0.531 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cn[nH]c(=O)c1 ZINC001491725817 959148745 /nfs/dbraw/zinc/14/87/45/959148745.db2.gz LCWKECGDGMFNDX-MRVPVSSYSA-N 0 2 324.234 0.319 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1cn[nH]c(=O)c1 ZINC001491725817 959148753 /nfs/dbraw/zinc/14/87/53/959148753.db2.gz LCWKECGDGMFNDX-MRVPVSSYSA-N 0 2 324.234 0.319 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)NCCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001491732207 959159085 /nfs/dbraw/zinc/15/90/85/959159085.db2.gz VNNAUDIEMQVFRU-ZDUSSCGKSA-N 0 2 321.425 0.449 20 0 DCADLN CO[C@@H]1CCC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362489789 959457093 /nfs/dbraw/zinc/45/70/93/959457093.db2.gz HMFYTBDXYSYRRL-VXGBXAGGSA-N 0 2 318.333 0.564 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)NCC[C@@H](C)[NH2+]Cc2ncc(C)o2)C1 ZINC001377103096 959473140 /nfs/dbraw/zinc/47/31/40/959473140.db2.gz BULIOGXQQZOJEL-OCCSQVGLSA-N 0 2 324.425 0.688 20 0 DCADLN O=C(CCC1CCC1)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368259280 959543244 /nfs/dbraw/zinc/54/32/44/959543244.db2.gz YTGVCOCDZRPSCG-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(CCC1CCC1)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368259280 959543254 /nfs/dbraw/zinc/54/32/54/959543254.db2.gz YTGVCOCDZRPSCG-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc(=O)c(Cl)c[nH]2)S1 ZINC001362564984 959607097 /nfs/dbraw/zinc/60/70/97/959607097.db2.gz YDNUBQCSUFKHME-ZCFIWIBFSA-N 0 2 300.727 0.936 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)Nc2cc(=O)c(Cl)c[nH]2)S1 ZINC001362564984 959607111 /nfs/dbraw/zinc/60/71/11/959607111.db2.gz YDNUBQCSUFKHME-ZCFIWIBFSA-N 0 2 300.727 0.936 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@H]1CCCNC(=O)CCc1c[nH]c[nH+]1 ZINC001492154682 959640419 /nfs/dbraw/zinc/64/04/19/959640419.db2.gz RLKNVMOOTWYWGT-CYBMUJFWSA-N 0 2 307.398 0.188 20 0 DCADLN Cc1noc([C@@H](C)[NH+]2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)n1 ZINC001594495961 959756960 /nfs/dbraw/zinc/75/69/60/959756960.db2.gz OADMLSSWHVKVGJ-MWLCHTKSSA-N 0 2 322.365 0.837 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC[C@H](O)[C@H](C)O)=N2)cc1 ZINC001362718620 959883244 /nfs/dbraw/zinc/88/32/44/959883244.db2.gz BQVWKBBSOITXGL-CABZTGNLSA-N 0 2 321.333 0.058 20 0 DCADLN Cn1cnnc1CN1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001377384295 960003786 /nfs/dbraw/zinc/00/37/86/960003786.db2.gz CTQNPCBRMGRUFB-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CCC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001377384295 960003792 /nfs/dbraw/zinc/00/37/92/960003792.db2.gz CTQNPCBRMGRUFB-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN CC1(C(=O)NC[C@@H]2CCC3(CN(Cc4n[nH]c(=O)[nH]4)C3)O2)CC1 ZINC001368571789 960044068 /nfs/dbraw/zinc/04/40/68/960044068.db2.gz BQCSUXPQZZQFRY-JTQLQIEISA-N 0 2 321.381 0.160 20 0 DCADLN Cc1nc(SCCC(=O)N(Cc2nn[nH]n2)CC(C)C)n[nH]1 ZINC001362830188 960053740 /nfs/dbraw/zinc/05/37/40/960053740.db2.gz KPWBWJGSUXUKDI-UHFFFAOYSA-N 0 2 324.414 0.793 20 0 DCADLN O=C(c1cc(F)cc(Cl)c1O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001306845829 960133934 /nfs/dbraw/zinc/13/39/34/960133934.db2.gz PEZXBPMNEXMHRY-OCAPTIKFSA-N 0 2 312.684 0.529 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2[C@H]2CCC[C@@H]2O)S1 ZINC001362898529 960148808 /nfs/dbraw/zinc/14/88/08/960148808.db2.gz JDAOQYFZBSPUQH-ZNSHCXBVSA-N 0 2 311.407 0.695 20 0 DCADLN O=C([O-])[C@@H]1CCCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)C1 ZINC001605776568 972485116 /nfs/dbraw/zinc/48/51/16/972485116.db2.gz GCFNWCKXDXZXEC-GFCCVEGCSA-N 0 2 322.365 0.103 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1cnn(C)n1 ZINC001368763762 960241018 /nfs/dbraw/zinc/24/10/18/960241018.db2.gz KJVGUSHISNSXAV-JEZHCXPESA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CCN1Cc1cnn(C)n1 ZINC001368763762 960241028 /nfs/dbraw/zinc/24/10/28/960241028.db2.gz KJVGUSHISNSXAV-JEZHCXPESA-N 0 2 323.294 0.795 20 0 DCADLN CCC(=O)N[C@H](C)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001362974345 960246469 /nfs/dbraw/zinc/24/64/69/960246469.db2.gz VLTALENZWHFFHF-SECBINFHSA-N 0 2 310.354 0.112 20 0 DCADLN O=C([O-])c1cncc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001605780437 972490770 /nfs/dbraw/zinc/49/07/70/972490770.db2.gz KFDDNMPCNOIGBT-BETUJISGSA-N 0 2 320.349 0.765 20 0 DCADLN O=C([O-])c1cncc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001605780437 972490773 /nfs/dbraw/zinc/49/07/73/972490773.db2.gz KFDDNMPCNOIGBT-BETUJISGSA-N 0 2 320.349 0.765 20 0 DCADLN C[C@@H](CNC(=O)c1cccc(=O)[nH]1)NC(=O)C(F)C(F)(F)F ZINC001377689619 960439112 /nfs/dbraw/zinc/43/91/12/960439112.db2.gz RWZAYLKEZKDFJU-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)c1cccc(=O)[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001377689619 960439119 /nfs/dbraw/zinc/43/91/19/960439119.db2.gz RWZAYLKEZKDFJU-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN CN(C)c1ncc(C(=O)NCC2=NC(=O)CC(=O)N2)cc1Cl ZINC001363148804 960483901 /nfs/dbraw/zinc/48/39/01/960483901.db2.gz DOZCAGBONZIMFR-UHFFFAOYSA-N 0 2 323.740 0.932 20 0 DCADLN CN(C)c1ncc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1Cl ZINC001363148804 960483907 /nfs/dbraw/zinc/48/39/07/960483907.db2.gz DOZCAGBONZIMFR-UHFFFAOYSA-N 0 2 323.740 0.932 20 0 DCADLN O=C(NC[C@]1(O)CCS(=O)(=O)C1)c1cccc(Cl)c1O ZINC001363253214 960708058 /nfs/dbraw/zinc/70/80/58/960708058.db2.gz MEVXXVBDIDHORY-GFCCVEGCSA-N 0 2 319.766 0.325 20 0 DCADLN CC[C@H](CNC(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC001573800657 960820543 /nfs/dbraw/zinc/82/05/43/960820543.db2.gz UKVXGYUDEAMCEB-IJLUTSLNSA-N 0 2 301.387 0.506 20 0 DCADLN Cc1c(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])nnn1C(C)C ZINC001571091845 961110809 /nfs/dbraw/zinc/11/08/09/961110809.db2.gz KYJNPOOYNPQAJM-JTQLQIEISA-N 0 2 306.326 0.247 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2C[C@@H](O)C[C@H]2c2ccccc2)S1 ZINC001363443040 961162577 /nfs/dbraw/zinc/16/25/77/961162577.db2.gz HFSZBDNCKWYYPT-SRVKXCTJSA-N 0 2 319.386 0.877 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(F)cn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019524 961199772 /nfs/dbraw/zinc/19/97/72/961199772.db2.gz FLDNDDFPPDLHCX-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(F)cn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019524 961199786 /nfs/dbraw/zinc/19/97/86/961199786.db2.gz FLDNDDFPPDLHCX-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN CC(C)CC(=O)NCCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571106047 961269912 /nfs/dbraw/zinc/26/99/12/961269912.db2.gz GRUPXNLCPCNYEG-LLVKDONJSA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)CC(=O)NCCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571106047 961269938 /nfs/dbraw/zinc/26/99/38/961269938.db2.gz GRUPXNLCPCNYEG-LLVKDONJSA-N 0 2 310.354 0.074 20 0 DCADLN O=C(CCc1cscn1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378084913 961405471 /nfs/dbraw/zinc/40/54/71/961405471.db2.gz DCJZMKFGKFWWEQ-VIFPVBQESA-N 0 2 322.394 0.290 20 0 DCADLN CC(C)CCC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001447099282 1013254112 /nfs/dbraw/zinc/25/41/12/1013254112.db2.gz DJBULWGBFDKUQV-LLVKDONJSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(NC1CN(C(=O)c2n[nH]cc2F)C1)C(F)C(F)(F)F ZINC001430223167 1013260946 /nfs/dbraw/zinc/26/09/46/1013260946.db2.gz TUKIZDMQKPOSAF-SSDOTTSWSA-N 0 2 312.198 0.390 20 0 DCADLN O=C(NC1CN(C(=O)c2n[nH]cc2F)C1)[C@@H](F)C(F)(F)F ZINC001430223167 1013260955 /nfs/dbraw/zinc/26/09/55/1013260955.db2.gz TUKIZDMQKPOSAF-SSDOTTSWSA-N 0 2 312.198 0.390 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1)C(F)C(F)(F)F ZINC001430221702 1013261801 /nfs/dbraw/zinc/26/18/01/1013261801.db2.gz RYBOVUFUGQXWKK-DOLQZWNJSA-N 0 2 324.274 0.781 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1)[C@@H](F)C(F)(F)F ZINC001430221702 1013261805 /nfs/dbraw/zinc/26/18/05/1013261805.db2.gz RYBOVUFUGQXWKK-DOLQZWNJSA-N 0 2 324.274 0.781 20 0 DCADLN COC(=O)[C@@H]1O[C@@H](C(=O)N[C@H](C)c2nn(C)cc2O)C[C@H]1C ZINC001363669320 961662689 /nfs/dbraw/zinc/66/26/89/961662689.db2.gz VZTHUUNXAVHYMV-FWSPBBIJSA-N 0 2 311.338 0.270 20 0 DCADLN C/C(=C\C(=O)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1)C[NH+]1CCOCC1 ZINC001334199508 961778795 /nfs/dbraw/zinc/77/87/95/961778795.db2.gz VECZKAJMODMDIJ-PPHUUTPLSA-N 0 2 322.405 0.834 20 0 DCADLN COC(=O)c1cc(C)c(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])o1 ZINC001571149841 961782113 /nfs/dbraw/zinc/78/21/13/961782113.db2.gz NADDMNCCYWBWRF-VIFPVBQESA-N 0 2 321.289 0.454 20 0 DCADLN C[C@H](CN(C)[C@@H](C)c1nc(C2CC2)no1)NC(=O)c1cnn[nH]1 ZINC001379713997 961835972 /nfs/dbraw/zinc/83/59/72/961835972.db2.gz WJWOWDJPMCQVDE-BDAKNGLRSA-N 0 2 319.369 0.876 20 0 DCADLN Cc1nonc1C[NH+]1CCC(N(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001571155966 961866263 /nfs/dbraw/zinc/86/62/63/961866263.db2.gz IXSUPLLLSLQRCL-VXGBXAGGSA-N 0 2 322.365 0.522 20 0 DCADLN CC[C@H](NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001571164626 961978911 /nfs/dbraw/zinc/97/89/11/961978911.db2.gz CYJUCSZRPTWHTQ-RYUDHWBXSA-N 0 2 324.381 0.463 20 0 DCADLN CC[C@H](NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001571164626 961978922 /nfs/dbraw/zinc/97/89/22/961978922.db2.gz CYJUCSZRPTWHTQ-RYUDHWBXSA-N 0 2 324.381 0.463 20 0 DCADLN C[C@H](NC(=O)C[C@H]1CCCS(=O)(=O)C1)c1nn(C)cc1O ZINC001363839032 961993048 /nfs/dbraw/zinc/99/30/48/961993048.db2.gz OCEZKGDFGZSNDO-VHSXEESVSA-N 0 2 315.395 0.518 20 0 DCADLN C[C@@H](NC(=O)CCCn1ccccc1=O)c1nn(C)cc1O ZINC001363837293 961995159 /nfs/dbraw/zinc/99/51/59/961995159.db2.gz YJQBIEBDAWMBTF-LLVKDONJSA-N 0 2 304.350 0.945 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NC1(c2nc[nH]n2)CCC1 ZINC001363863024 962042819 /nfs/dbraw/zinc/04/28/19/962042819.db2.gz QNBIQSWCJWWQRS-UHFFFAOYSA-N 0 2 319.412 0.280 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(C1CC1)C1CC1 ZINC001379118721 962144679 /nfs/dbraw/zinc/14/46/79/962144679.db2.gz LLHMKYIYILXHOG-UHFFFAOYSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(C1CC1)C1CC1 ZINC001379118721 962144682 /nfs/dbraw/zinc/14/46/82/962144682.db2.gz LLHMKYIYILXHOG-UHFFFAOYSA-N 0 2 307.398 0.837 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@H](O)c1ccco1 ZINC001363951166 962181793 /nfs/dbraw/zinc/18/17/93/962181793.db2.gz WOODJMRWNPWUSP-VIFPVBQESA-N 0 2 310.397 0.655 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CC[C@H]2CCCCO2)[nH]1 ZINC001364004121 962273353 /nfs/dbraw/zinc/27/33/53/962273353.db2.gz ZTLJRQIVRLJZDB-SNVBAGLBSA-N 0 2 318.399 0.330 20 0 DCADLN CC[C@H](C)[C@H](NS(=O)(=O)N=S(=O)(CC)CC)C(N)=O ZINC001364080920 962430372 /nfs/dbraw/zinc/43/03/72/962430372.db2.gz FYWNCSJOXDSBHI-IUCAKERBSA-N 0 2 313.445 0.229 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](c3ncon3)C2)S1 ZINC001364180104 962624400 /nfs/dbraw/zinc/62/44/00/962624400.db2.gz YBCRJKDCDIIUIY-HTQZYQBOSA-N 0 2 309.351 0.332 20 0 DCADLN COC(=O)[C@H]1C[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001364314746 962879543 /nfs/dbraw/zinc/87/95/43/962879543.db2.gz DVGDITCISPNDQA-GUBZILKMSA-N 0 2 323.353 0.351 20 0 DCADLN CC[N@@H+]1CCCN(S(=O)(=O)c2scnc2C(=O)[O-])CC1 ZINC000307829111 963229741 /nfs/dbraw/zinc/22/97/41/963229741.db2.gz YMOBCLPLZLMYDF-UHFFFAOYSA-N 0 2 319.408 0.558 20 0 DCADLN CC[N@H+]1CCCN(S(=O)(=O)c2scnc2C(=O)[O-])CC1 ZINC000307829111 963229749 /nfs/dbraw/zinc/22/97/49/963229749.db2.gz YMOBCLPLZLMYDF-UHFFFAOYSA-N 0 2 319.408 0.558 20 0 DCADLN Cc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cn1 ZINC001381074032 963796060 /nfs/dbraw/zinc/79/60/60/963796060.db2.gz OKYXGJPTFSPFEB-UHFFFAOYSA-N 0 2 302.338 0.172 20 0 DCADLN CO[C@@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1ccccc1 ZINC000315010635 963842966 /nfs/dbraw/zinc/84/29/66/963842966.db2.gz FZIMPPHDLYNDMR-CHWSQXEVSA-N 0 2 303.318 0.909 20 0 DCADLN CO[C@@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1ccccc1 ZINC000315010635 963842972 /nfs/dbraw/zinc/84/29/72/963842972.db2.gz FZIMPPHDLYNDMR-CHWSQXEVSA-N 0 2 303.318 0.909 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC(CC(=O)[O-])CC1 ZINC000316198744 963989512 /nfs/dbraw/zinc/98/95/12/963989512.db2.gz RPAYTDMFMBBKKJ-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H](CNC(=O)CC1CCOCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368924722 964133454 /nfs/dbraw/zinc/13/34/54/964133454.db2.gz BQAINIFWQPEVHS-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)CC1CCOCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368924722 964133461 /nfs/dbraw/zinc/13/34/61/964133461.db2.gz BQAINIFWQPEVHS-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CCCc1n[nH]cc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368961774 964197518 /nfs/dbraw/zinc/19/75/18/964197518.db2.gz KMQBLKNPXWQUPW-VIFPVBQESA-N 0 2 321.385 0.436 20 0 DCADLN CCCc1n[nH]cc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368961774 964197522 /nfs/dbraw/zinc/19/75/22/964197522.db2.gz KMQBLKNPXWQUPW-VIFPVBQESA-N 0 2 321.385 0.436 20 0 DCADLN CCN(C(=O)CC(C)(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373710655 964510269 /nfs/dbraw/zinc/51/02/69/964510269.db2.gz YCDOULWFDSLLDE-SECBINFHSA-N 0 2 317.340 0.978 20 0 DCADLN CCN(C(=O)CC(C)(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373710655 964510276 /nfs/dbraw/zinc/51/02/76/964510276.db2.gz YCDOULWFDSLLDE-SECBINFHSA-N 0 2 317.340 0.978 20 0 DCADLN CCN(C(=O)CC(C)(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373710655 964510281 /nfs/dbraw/zinc/51/02/81/964510281.db2.gz YCDOULWFDSLLDE-SECBINFHSA-N 0 2 317.340 0.978 20 0 DCADLN C[C@@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@H]1CO ZINC001447740580 1013517754 /nfs/dbraw/zinc/51/77/54/1013517754.db2.gz AQPJDBZDVAYKAE-SKDRFNHKSA-N 0 2 318.333 0.109 20 0 DCADLN O=C(NC[C@@H](CO)NCc1csnn1)C(F)C(F)(F)F ZINC001369327976 964750501 /nfs/dbraw/zinc/75/05/01/964750501.db2.gz UPDZQIINNCTVHE-FSPLSTOPSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@@H](CO)NCc1csnn1)[C@H](F)C(F)(F)F ZINC001369327976 964750504 /nfs/dbraw/zinc/75/05/04/964750504.db2.gz UPDZQIINNCTVHE-FSPLSTOPSA-N 0 2 316.280 0.005 20 0 DCADLN CCC[NH+](C)CC(=O)N[C@@H]1CC[N@@H+](Cc2c(C)nnn2CC)C1 ZINC001376153355 965299140 /nfs/dbraw/zinc/29/91/40/965299140.db2.gz MKFOHJBQGZXZDW-CQSZACIVSA-N 0 2 322.457 0.639 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccccc1O ZINC001374470190 965423290 /nfs/dbraw/zinc/42/32/90/965423290.db2.gz ZJKUPKUAROQXFK-UHFFFAOYSA-N 0 2 305.338 0.420 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccccc1O ZINC001374470190 965423294 /nfs/dbraw/zinc/42/32/94/965423294.db2.gz ZJKUPKUAROQXFK-UHFFFAOYSA-N 0 2 305.338 0.420 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N(C)CCN(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001376373723 965584908 /nfs/dbraw/zinc/58/49/08/965584908.db2.gz WEVZLPDRTDTXCS-CYBMUJFWSA-N 0 2 321.425 0.211 20 0 DCADLN C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)N(C)C(=O)[C@H]1CCC[N@@H+]1C ZINC001369942465 965595576 /nfs/dbraw/zinc/59/55/76/965595576.db2.gz YJAZPJOSIJVMEF-NWDGAFQWSA-N 0 2 307.398 0.010 20 0 DCADLN O=C(NC1CN(C(=O)c2nccs2)C1)C(F)C(F)(F)F ZINC001374665521 965724227 /nfs/dbraw/zinc/72/42/27/965724227.db2.gz MHFWDCFANBVYKT-LURJTMIESA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)c2nccs2)C1)[C@H](F)C(F)(F)F ZINC001374665521 965724234 /nfs/dbraw/zinc/72/42/34/965724234.db2.gz MHFWDCFANBVYKT-LURJTMIESA-N 0 2 311.260 0.984 20 0 DCADLN CCn1ncc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001374664663 965725233 /nfs/dbraw/zinc/72/52/33/965725233.db2.gz FTVMRXLDGVAEKD-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1ncc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001374664663 965725242 /nfs/dbraw/zinc/72/52/42/965725242.db2.gz FTVMRXLDGVAEKD-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN O=C(CCCn1cccc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001370060035 965733423 /nfs/dbraw/zinc/73/34/23/965733423.db2.gz ILJQEXDPMNSOPM-LBPRGKRZSA-N 0 2 318.381 0.483 20 0 DCADLN Cc1ncn(C)c1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001430583562 1013606555 /nfs/dbraw/zinc/60/65/55/1013606555.db2.gz MJAVBMWWTPHUDL-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncn(C)c1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001430583562 1013606563 /nfs/dbraw/zinc/60/65/63/1013606563.db2.gz MJAVBMWWTPHUDL-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN CCc1nnc([C@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001376717067 966054631 /nfs/dbraw/zinc/05/46/31/966054631.db2.gz WWRMRIXUNRIULX-APPZFPTMSA-N 0 2 321.385 0.573 20 0 DCADLN CC[C@@H](CNC(=O)CNC(C)=O)NC(=O)C(F)C(F)(F)F ZINC001374985168 966198649 /nfs/dbraw/zinc/19/86/49/966198649.db2.gz OEFDXNMQLFUMFM-CBAPKCEASA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@@H](CNC(=O)CNC(C)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001374985168 966198654 /nfs/dbraw/zinc/19/86/54/966198654.db2.gz OEFDXNMQLFUMFM-CBAPKCEASA-N 0 2 315.267 0.034 20 0 DCADLN CC(C)CCC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370643626 966321551 /nfs/dbraw/zinc/32/15/51/966321551.db2.gz BCCVHEUVLYRTAZ-PSASIEDQSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001370643626 966321560 /nfs/dbraw/zinc/32/15/60/966321560.db2.gz BCCVHEUVLYRTAZ-PSASIEDQSA-N 0 2 316.295 0.916 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001375108210 966363407 /nfs/dbraw/zinc/36/34/07/966363407.db2.gz QXCGENTZWYRAGI-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001375108210 966363415 /nfs/dbraw/zinc/36/34/15/966363415.db2.gz QXCGENTZWYRAGI-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001370715652 966409710 /nfs/dbraw/zinc/40/97/10/966409710.db2.gz WFRTYOXPBLUXEO-HTRCEHHLSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccco1 ZINC001370715652 966409713 /nfs/dbraw/zinc/40/97/13/966409713.db2.gz WFRTYOXPBLUXEO-HTRCEHHLSA-N 0 2 312.219 0.387 20 0 DCADLN CC1(CC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001370764331 966453525 /nfs/dbraw/zinc/45/35/25/966453525.db2.gz YCKHXIRMHYWGHT-VXNVDRBHSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001370764331 966453531 /nfs/dbraw/zinc/45/35/31/966453531.db2.gz YCKHXIRMHYWGHT-VXNVDRBHSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001381489280 966455422 /nfs/dbraw/zinc/45/54/22/966455422.db2.gz AJZBNUOQBWWUSR-HAFWLYHUSA-N 0 2 323.250 0.330 20 0 DCADLN CC[C@@H](SC)C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508021 966476511 /nfs/dbraw/zinc/47/65/11/966476511.db2.gz MIADKQWZAGGTFY-RKDXNWHRSA-N 0 2 301.416 0.588 20 0 DCADLN CC[C@@H](SC)C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508021 966476518 /nfs/dbraw/zinc/47/65/18/966476518.db2.gz MIADKQWZAGGTFY-RKDXNWHRSA-N 0 2 301.416 0.588 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCc1ncc[nH]1 ZINC001447977122 1013679086 /nfs/dbraw/zinc/67/90/86/1013679086.db2.gz GFPSDBWJKTWNIU-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN Cc1ncncc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001416875390 967362901 /nfs/dbraw/zinc/36/29/01/967362901.db2.gz FYFAEAFZFJERJX-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ncncc1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001416875390 967362912 /nfs/dbraw/zinc/36/29/12/967362912.db2.gz FYFAEAFZFJERJX-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CCCOCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027763 1013718053 /nfs/dbraw/zinc/71/80/53/1013718053.db2.gz XVZNTJPDDYRUGY-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CCCOCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027763 1013718063 /nfs/dbraw/zinc/71/80/63/1013718063.db2.gz XVZNTJPDDYRUGY-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc(C2CC2)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001372203582 967741089 /nfs/dbraw/zinc/74/10/89/967741089.db2.gz LESOYGWAPDMYCD-UHFFFAOYSA-N 0 2 316.365 0.645 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc(C2CC2)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001372203582 967741096 /nfs/dbraw/zinc/74/10/96/967741096.db2.gz LESOYGWAPDMYCD-UHFFFAOYSA-N 0 2 316.365 0.645 20 0 DCADLN CN(CCNC(=O)[C@@H](F)Cc1ccccc1)Cc1n[nH]c(=O)[nH]1 ZINC001372243118 967785322 /nfs/dbraw/zinc/78/53/22/967785322.db2.gz RETLLCZSPXOFGV-LBPRGKRZSA-N 0 2 321.356 0.639 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H](F)Cc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001372243118 967785335 /nfs/dbraw/zinc/78/53/35/967785335.db2.gz RETLLCZSPXOFGV-LBPRGKRZSA-N 0 2 321.356 0.639 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H](F)Cc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001372243118 967785345 /nfs/dbraw/zinc/78/53/45/967785345.db2.gz RETLLCZSPXOFGV-LBPRGKRZSA-N 0 2 321.356 0.639 20 0 DCADLN COC[C@H](C)[NH+]1CCN(CCN(C)C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001373268212 968875268 /nfs/dbraw/zinc/87/52/68/968875268.db2.gz XOXSNOVRDZHBJQ-AWEZNQCLSA-N 0 2 323.441 0.063 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)CNC(=O)C(F)C(F)(F)F ZINC001430973872 1013838620 /nfs/dbraw/zinc/83/86/20/1013838620.db2.gz XSGWJGGFQJXDCC-PSASIEDQSA-N 0 2 324.278 0.652 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001430973872 1013838621 /nfs/dbraw/zinc/83/86/21/1013838621.db2.gz XSGWJGGFQJXDCC-PSASIEDQSA-N 0 2 324.278 0.652 20 0 DCADLN CN(CCNC(=O)c1cnsn1)C(=O)C(F)C(F)(F)F ZINC001373361190 968979402 /nfs/dbraw/zinc/97/94/02/968979402.db2.gz BGBFOTNFFKEKOY-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)c1cnsn1)C(=O)[C@H](F)C(F)(F)F ZINC001373361190 968979409 /nfs/dbraw/zinc/97/94/09/968979409.db2.gz BGBFOTNFFKEKOY-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+]1Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000318510652 970441435 /nfs/dbraw/zinc/44/14/35/970441435.db2.gz YCBLCONKSFUZFX-WDEREUQCSA-N 0 2 323.736 0.368 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+]1Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000318510652 970441440 /nfs/dbraw/zinc/44/14/40/970441440.db2.gz YCBLCONKSFUZFX-WDEREUQCSA-N 0 2 323.736 0.368 20 0 DCADLN O=C([O-])[C@H](C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1)C1CC1 ZINC001605900700 972727595 /nfs/dbraw/zinc/72/75/95/972727595.db2.gz HGQIYPRUVATHQI-ZDUSSCGKSA-N 0 2 322.365 0.265 20 0 DCADLN O=C([O-])[C@]1(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)CCCO1 ZINC001605929456 972850977 /nfs/dbraw/zinc/85/09/77/972850977.db2.gz FYXKPWUOOTUEFO-MRXNPFEDSA-N 0 2 319.361 0.932 20 0 DCADLN Cc1ccc2c(c1)C[N@H+]([C@H]1CCN(CC(=O)[O-])C1=O)CCO2 ZINC001605314425 973138797 /nfs/dbraw/zinc/13/87/97/973138797.db2.gz KIAPTWATDTYGSW-ZDUSSCGKSA-N 0 2 304.346 0.875 20 0 DCADLN Cc1ccc2c(c1)C[N@@H+]([C@H]1CCN(CC(=O)[O-])C1=O)CCO2 ZINC001605314425 973138803 /nfs/dbraw/zinc/13/88/03/973138803.db2.gz KIAPTWATDTYGSW-ZDUSSCGKSA-N 0 2 304.346 0.875 20 0 DCADLN CO[C@]1(C(F)(F)F)CCC[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001604480166 973370191 /nfs/dbraw/zinc/37/01/91/973370191.db2.gz GBNDJNNMKMOKEA-BXKDBHETSA-N 0 2 324.299 0.715 20 0 DCADLN CO[C@]1(C(F)(F)F)CCC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001604480166 973370194 /nfs/dbraw/zinc/37/01/94/973370194.db2.gz GBNDJNNMKMOKEA-BXKDBHETSA-N 0 2 324.299 0.715 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])C2 ZINC001605416818 973428929 /nfs/dbraw/zinc/42/89/29/973428929.db2.gz NXDFWLKDQRQEOU-UTUOFQBUSA-N 0 2 321.377 0.268 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCOc3ccccc3[C@H]2CO)C1=O ZINC001606231236 973492025 /nfs/dbraw/zinc/49/20/25/973492025.db2.gz GMTPYLMLMNTMTP-CHWSQXEVSA-N 0 2 320.345 0.100 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCOc3ccccc3[C@H]2CO)C1=O ZINC001606231236 973492031 /nfs/dbraw/zinc/49/20/31/973492031.db2.gz GMTPYLMLMNTMTP-CHWSQXEVSA-N 0 2 320.345 0.100 20 0 DCADLN Cc1nonc1C[NH+]1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001605455468 973546120 /nfs/dbraw/zinc/54/61/20/973546120.db2.gz VRJPUYHPLMIFTQ-JTQLQIEISA-N 0 2 308.338 0.276 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+](CCCO)Cc1ccccc1 ZINC001606291691 973698369 /nfs/dbraw/zinc/69/83/69/973698369.db2.gz XTBPLWXCCPIKEJ-UHFFFAOYSA-N 0 2 315.391 0.370 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+](CCCO)Cc1ccccc1 ZINC001606291691 973698374 /nfs/dbraw/zinc/69/83/74/973698374.db2.gz XTBPLWXCCPIKEJ-UHFFFAOYSA-N 0 2 315.391 0.370 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N(CCC(=O)[O-])C1CCOCC1 ZINC001605512691 973699728 /nfs/dbraw/zinc/69/97/28/973699728.db2.gz ZTCPVZADYXUAII-UHFFFAOYSA-N 0 2 309.366 0.835 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[NH2+][C@H](C(=O)[O-])c1cccc(C)c1 ZINC001603796578 974264316 /nfs/dbraw/zinc/26/43/16/974264316.db2.gz NWADSGHJFHAKOU-HNNXBMFYSA-N 0 2 322.361 0.732 20 0 DCADLN CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@](C)(C(=O)[O-])C1 ZINC001604008809 974519618 /nfs/dbraw/zinc/51/96/18/974519618.db2.gz UEAAJVNUSRWRTP-HNNXBMFYSA-N 0 2 318.381 0.923 20 0 DCADLN CC(C)OC(=O)C[C@H]1CCC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001591676352 975447140 /nfs/dbraw/zinc/44/71/40/975447140.db2.gz BNCLEZFJAPNGAY-LLVKDONJSA-N 0 2 310.354 0.988 20 0 DCADLN CC(C)OC(=O)C[C@H]1CCC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001591676352 975447147 /nfs/dbraw/zinc/44/71/47/975447147.db2.gz BNCLEZFJAPNGAY-LLVKDONJSA-N 0 2 310.354 0.988 20 0 DCADLN CC(C)OC(=O)C1CC[NH+](CN2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001591679161 975470114 /nfs/dbraw/zinc/47/01/14/975470114.db2.gz UWFFSZDWXVRGNM-LBPRGKRZSA-N 0 2 312.366 0.541 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cnn2cc[nH]c12 ZINC001522689439 1014300378 /nfs/dbraw/zinc/30/03/78/1014300378.db2.gz VEIRRYZCWWATMI-ZETCQYMHSA-N 0 2 321.234 0.409 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cnn2cc[nH]c12 ZINC001522689439 1014300391 /nfs/dbraw/zinc/30/03/91/1014300391.db2.gz VEIRRYZCWWATMI-ZETCQYMHSA-N 0 2 321.234 0.409 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCCC[C@@H]1C1OCCO1)C(=O)[O-] ZINC001591866693 976174895 /nfs/dbraw/zinc/17/48/95/976174895.db2.gz KRLGYQHCXVVBMM-YPMHNXCESA-N 0 2 314.382 0.439 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCCC[C@@H]1C1OCCO1)C(=O)[O-] ZINC001591866693 976174902 /nfs/dbraw/zinc/17/49/02/976174902.db2.gz KRLGYQHCXVVBMM-YPMHNXCESA-N 0 2 314.382 0.439 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccnn1C ZINC001449254448 1014357068 /nfs/dbraw/zinc/35/70/68/1014357068.db2.gz OSUXMYDTSMYXKY-UHFFFAOYSA-N 0 2 321.385 0.226 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccnn1C ZINC001449254448 1014357078 /nfs/dbraw/zinc/35/70/78/1014357078.db2.gz OSUXMYDTSMYXKY-UHFFFAOYSA-N 0 2 321.385 0.226 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCNC(=O)C[N@H+]1CCC(C)(C)C1 ZINC001522784311 1014371865 /nfs/dbraw/zinc/37/18/65/1014371865.db2.gz XIUBEANSFNMLDC-UHFFFAOYSA-N 0 2 321.425 0.225 20 0 DCADLN O=C([O-])c1ncsc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000707278425 977380858 /nfs/dbraw/zinc/38/08/58/977380858.db2.gz YGKLMBYUAZBAID-UHFFFAOYSA-N 0 2 314.348 0.283 20 0 DCADLN C[C@H](CC(=O)N1CC[C@@]2(CC(C(=O)[O-])=NO2)C1)n1cc[nH+]c1 ZINC001592233234 977430993 /nfs/dbraw/zinc/43/09/93/977430993.db2.gz DQXONDVXAQSSNG-QMTHXVAHSA-N 0 2 306.322 0.666 20 0 DCADLN CC[N@@H+]1CC[C@H]1CNS(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000710169220 977489216 /nfs/dbraw/zinc/48/92/16/977489216.db2.gz GNBGMFSBQGLRAU-QMMMGPOBSA-N 0 2 304.393 0.819 20 0 DCADLN CC[N@H+]1CC[C@H]1CNS(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000710169220 977489221 /nfs/dbraw/zinc/48/92/21/977489221.db2.gz GNBGMFSBQGLRAU-QMMMGPOBSA-N 0 2 304.393 0.819 20 0 DCADLN C[C@H](CC(=O)[O-])C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC001592341960 977793584 /nfs/dbraw/zinc/79/35/84/977793584.db2.gz LDKWQFJLZMSFFF-CHWSQXEVSA-N 0 2 314.382 0.047 20 0 DCADLN C[C@H](CC(=O)OCc1noc(CCC(=O)[O-])n1)n1cc[nH+]c1 ZINC001592433595 978068096 /nfs/dbraw/zinc/06/80/96/978068096.db2.gz LYWAEYRQLUKTAL-SECBINFHSA-N 0 2 308.294 0.978 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C(=O)[O-])cn1 ZINC001592624539 978600007 /nfs/dbraw/zinc/60/00/07/978600007.db2.gz KGPLYNHHOVWYRV-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1cnon1)C(F)C(F)(F)F ZINC001529312699 1014535835 /nfs/dbraw/zinc/53/58/35/1014535835.db2.gz RMWXRUXWWWPBLZ-XPUUQOCRSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1cnon1)[C@H](F)C(F)(F)F ZINC001529312699 1014535848 /nfs/dbraw/zinc/53/58/48/1014535848.db2.gz RMWXRUXWWWPBLZ-XPUUQOCRSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@H](CNC(=O)NC1CC(CC(=O)[O-])C1)[NH+]1CCN(C)CC1 ZINC001592665389 979068948 /nfs/dbraw/zinc/06/89/48/979068948.db2.gz LKHDYNVWFRFPSD-PNESKVBLSA-N 0 2 312.414 0.175 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)Nc1ncnc(C(=O)[O-])c1OC ZINC001595131606 979554881 /nfs/dbraw/zinc/55/48/81/979554881.db2.gz YHBYWJQRPKRZQU-JTQLQIEISA-N 0 2 310.354 0.706 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCc2cc[nH]c(=O)c2C1)C(=O)[O-] ZINC001594894192 982316427 /nfs/dbraw/zinc/31/64/27/982316427.db2.gz NJXSFXDCWRTBAC-HZMBPMFUSA-N 0 2 321.377 0.761 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCc2cc[nH]c(=O)c2C1)C(=O)[O-] ZINC001594894192 982316438 /nfs/dbraw/zinc/31/64/38/982316438.db2.gz NJXSFXDCWRTBAC-HZMBPMFUSA-N 0 2 321.377 0.761 20 0 DCADLN C[C@H](CNC(=O)c1cnon1)N(C)C(=O)C(F)C(F)(F)F ZINC001450069413 1014884627 /nfs/dbraw/zinc/88/46/27/1014884627.db2.gz SVBTWSPFYOGURQ-IYSWYEEDSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CNC(=O)c1cnon1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001450069413 1014884639 /nfs/dbraw/zinc/88/46/39/1014884639.db2.gz SVBTWSPFYOGURQ-IYSWYEEDSA-N 0 2 312.223 0.547 20 0 DCADLN CCC[N@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1nccc(C)n1 ZINC001595883177 982901164 /nfs/dbraw/zinc/90/11/64/982901164.db2.gz TXLLYKWNNAKDOF-OLZOCXBDSA-N 0 2 320.393 0.834 20 0 DCADLN CCC[N@@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1nccc(C)n1 ZINC001595883177 982901167 /nfs/dbraw/zinc/90/11/67/982901167.db2.gz TXLLYKWNNAKDOF-OLZOCXBDSA-N 0 2 320.393 0.834 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](C(=O)[O-])C1CCOCC1 ZINC001574220407 983196820 /nfs/dbraw/zinc/19/68/20/983196820.db2.gz CRBKMYGRLCERMO-NQBHXWOUSA-N 0 2 307.350 0.520 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1cc(OC)no1 ZINC001596332098 983769584 /nfs/dbraw/zinc/76/95/84/983769584.db2.gz GHLMPVLPARQKFW-MNOVXSKESA-N 0 2 311.338 0.342 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1cc(OC)no1 ZINC001596332098 983769587 /nfs/dbraw/zinc/76/95/87/983769587.db2.gz GHLMPVLPARQKFW-MNOVXSKESA-N 0 2 311.338 0.342 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C2CC2)no1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001596339990 983785917 /nfs/dbraw/zinc/78/59/17/983785917.db2.gz TVWJHLWUDHPHIP-GHMZBOCLSA-N 0 2 322.365 0.606 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C)c(C)o1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001596340392 983787166 /nfs/dbraw/zinc/78/71/66/983787166.db2.gz ZUDJRWBKMRLZCB-RYUDHWBXSA-N 0 2 309.366 0.950 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(C(=O)[O-])n2C)C1 ZINC001596495923 984240819 /nfs/dbraw/zinc/24/08/19/984240819.db2.gz NEHJDZWWIOIYKB-NSHDSACASA-N 0 2 315.395 0.237 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(C(=O)[O-])n2C)C1 ZINC001596495923 984240823 /nfs/dbraw/zinc/24/08/23/984240823.db2.gz NEHJDZWWIOIYKB-NSHDSACASA-N 0 2 315.395 0.237 20 0 DCADLN CC(=CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1cnccn1 ZINC001588943763 984641360 /nfs/dbraw/zinc/64/13/60/984641360.db2.gz CBSNFLNOEAGWLZ-KSHIFHCESA-N 0 2 301.306 0.415 20 0 DCADLN CC(=CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1cnccn1 ZINC001588943763 984641364 /nfs/dbraw/zinc/64/13/64/984641364.db2.gz CBSNFLNOEAGWLZ-KSHIFHCESA-N 0 2 301.306 0.415 20 0 DCADLN C/C(=C/C(=O)Nc1nn(C)cc1C(=O)[O-])C[NH+]1CCOCC1 ZINC001588945892 984655435 /nfs/dbraw/zinc/65/54/35/984655435.db2.gz OAWFVFHDVZYCQL-YFHOEESVSA-N 0 2 308.338 0.335 20 0 DCADLN C/C(=C\C(=O)[O-])C[N@@H+]1CCc2c(cccc2S(N)(=O)=O)C1 ZINC001588949779 984675065 /nfs/dbraw/zinc/67/50/65/984675065.db2.gz VBZWSQYQOCXFCV-JXMROGBWSA-N 0 2 310.375 0.723 20 0 DCADLN C/C(=C\C(=O)[O-])C[N@H+]1CCc2c(cccc2S(N)(=O)=O)C1 ZINC001588949779 984675067 /nfs/dbraw/zinc/67/50/67/984675067.db2.gz VBZWSQYQOCXFCV-JXMROGBWSA-N 0 2 310.375 0.723 20 0 DCADLN CCOC(=O)c1cc(C[NH2+][C@](CC)(COC)C(=O)[O-])on1 ZINC001596707054 984913784 /nfs/dbraw/zinc/91/37/84/984913784.db2.gz OPHHOMCQQDGZFC-CYBMUJFWSA-N 0 2 300.311 0.821 20 0 DCADLN O=C(C[C@H]1CCOC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450374000 1015098033 /nfs/dbraw/zinc/09/80/33/1015098033.db2.gz KVSDXBSPDRDMFX-GMSGAONNSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(C[C@H]1CCOC1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450374000 1015098040 /nfs/dbraw/zinc/09/80/40/1015098040.db2.gz KVSDXBSPDRDMFX-GMSGAONNSA-N 0 2 312.263 0.640 20 0 DCADLN CC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC001589120820 985387870 /nfs/dbraw/zinc/38/78/70/985387870.db2.gz AGFDVLZDZIZVMP-ZDUSSCGKSA-N 0 2 319.361 0.842 20 0 DCADLN CC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC001589120820 985387882 /nfs/dbraw/zinc/38/78/82/985387882.db2.gz AGFDVLZDZIZVMP-ZDUSSCGKSA-N 0 2 319.361 0.842 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+]2CC[C@@H](O)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945418 985519391 /nfs/dbraw/zinc/51/93/91/985519391.db2.gz PVUUQAFQIJHXNF-QJPTWQEYSA-N 0 2 324.377 0.576 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+]2CC[C@@H](O)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945418 985519398 /nfs/dbraw/zinc/51/93/98/985519398.db2.gz PVUUQAFQIJHXNF-QJPTWQEYSA-N 0 2 324.377 0.576 20 0 DCADLN CC(=O)Nc1cccc2c1C[N@H+]([C@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001589216393 985715306 /nfs/dbraw/zinc/71/53/06/985715306.db2.gz YGGHCKWHBZFHSA-SNVBAGLBSA-N 0 2 319.361 0.592 20 0 DCADLN CC(=O)Nc1cccc2c1C[N@@H+]([C@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001589216393 985715316 /nfs/dbraw/zinc/71/53/16/985715316.db2.gz YGGHCKWHBZFHSA-SNVBAGLBSA-N 0 2 319.361 0.592 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2CCC(=O)C2)n[nH]1 ZINC001551659030 1015165216 /nfs/dbraw/zinc/16/52/16/1015165216.db2.gz KYTFHLZKTRZAGH-RAIGVLPGSA-N 0 2 306.366 0.813 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2ccc(C)nn2)n[nH]1 ZINC001551661580 1015167019 /nfs/dbraw/zinc/16/70/19/1015167019.db2.gz SZAWNSBHQTYOIG-DGCLKSJQSA-N 0 2 316.365 0.856 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)Cc2ccn(C)n2)n[nH]1 ZINC001551663399 1015168681 /nfs/dbraw/zinc/16/86/81/1015168681.db2.gz YIDYJTCGUFABDN-STQMWFEESA-N 0 2 318.381 0.420 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)n[nH]1 ZINC001551662347 1015169299 /nfs/dbraw/zinc/16/92/99/1015169299.db2.gz VMBODANEMCJVMD-BNDIWNMDSA-N 0 2 306.366 0.336 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)Cc2ccon2)n[nH]1 ZINC001551676409 1015177202 /nfs/dbraw/zinc/17/72/02/1015177202.db2.gz SZNNUPMUXISCAV-NEPJUHHUSA-N 0 2 305.338 0.675 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@H]2C[C@@H]3C[C@]3(C(=O)[O-])C2)CCO1 ZINC001594528408 986100466 /nfs/dbraw/zinc/10/04/66/986100466.db2.gz UPAQULZJUCCTOX-FJJYHAOUSA-N 0 2 311.382 0.260 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N[C@H]2C[C@@H]3C[C@]3(C(=O)[O-])C2)CCO1 ZINC001594528408 986100472 /nfs/dbraw/zinc/10/04/72/986100472.db2.gz UPAQULZJUCCTOX-FJJYHAOUSA-N 0 2 311.382 0.260 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[N@H+](C)C[C@H]2CCS(=O)(=O)C2)n1C ZINC001599986392 986230749 /nfs/dbraw/zinc/23/07/49/986230749.db2.gz ANABILKFECBSMC-LLVKDONJSA-N 0 2 314.407 0.898 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[N@@H+](C)C[C@H]2CCS(=O)(=O)C2)n1C ZINC001599986392 986230762 /nfs/dbraw/zinc/23/07/62/986230762.db2.gz ANABILKFECBSMC-LLVKDONJSA-N 0 2 314.407 0.898 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cnc(C(=O)[O-])cn2)C[C@@]2(CCCOC2)O1 ZINC001594548073 986235354 /nfs/dbraw/zinc/23/53/54/986235354.db2.gz QGEGMTAHHOPICG-IAQYHMDHSA-N 0 2 307.350 0.945 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cnc(C(=O)[O-])cn2)C[C@@]2(CCCOC2)O1 ZINC001594548073 986235377 /nfs/dbraw/zinc/23/53/77/986235377.db2.gz QGEGMTAHHOPICG-IAQYHMDHSA-N 0 2 307.350 0.945 20 0 DCADLN C[C@@H](C(=O)N[C@H](CC(F)(F)F)C(=O)[O-])[NH+]1CCSCC1 ZINC001589385996 986483279 /nfs/dbraw/zinc/48/32/79/986483279.db2.gz RKZWKYCNTNNMKM-JGVFFNPUSA-N 0 2 314.329 0.946 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)C[C@]1(C)C(=O)[O-] ZINC001594607323 986578541 /nfs/dbraw/zinc/57/85/41/986578541.db2.gz HQDQYZKDNVHDJX-HUBLWGQQSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCOC[C@@H]2C)C[C@]1(C)C(=O)[O-] ZINC001594607323 986578549 /nfs/dbraw/zinc/57/85/49/986578549.db2.gz HQDQYZKDNVHDJX-HUBLWGQQSA-N 0 2 313.398 0.459 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386162600 986903400 /nfs/dbraw/zinc/90/34/00/986903400.db2.gz FAIPLUCBEIIRHC-JBDRJPRFSA-N 0 2 314.279 0.933 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001386162600 986903407 /nfs/dbraw/zinc/90/34/07/986903407.db2.gz FAIPLUCBEIIRHC-JBDRJPRFSA-N 0 2 314.279 0.933 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001386298661 987051932 /nfs/dbraw/zinc/05/19/32/987051932.db2.gz RSSRLADHCHXFMA-OFPUPOEVSA-N 0 2 312.263 0.400 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001386298661 987051944 /nfs/dbraw/zinc/05/19/44/987051944.db2.gz RSSRLADHCHXFMA-OFPUPOEVSA-N 0 2 312.263 0.400 20 0 DCADLN C[C@@H](CNC(=O)c1cnon1)CNC(=O)C(F)C(F)(F)F ZINC001388458833 988332030 /nfs/dbraw/zinc/33/20/30/988332030.db2.gz UKUOOPNKPAFOGC-FSPLSTOPSA-N 0 2 312.223 0.452 20 0 DCADLN C[C@@H](CNC(=O)c1cnon1)CNC(=O)[C@H](F)C(F)(F)F ZINC001388458833 988332040 /nfs/dbraw/zinc/33/20/40/988332040.db2.gz UKUOOPNKPAFOGC-FSPLSTOPSA-N 0 2 312.223 0.452 20 0 DCADLN Cc1nccc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001389188179 988965537 /nfs/dbraw/zinc/96/55/37/988965537.db2.gz BNFFZUPYRXMVHU-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nccc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001389188179 988965546 /nfs/dbraw/zinc/96/55/46/988965546.db2.gz BNFFZUPYRXMVHU-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001389385147 989186382 /nfs/dbraw/zinc/18/63/82/989186382.db2.gz WULPKGJDMUDZPM-YLWLKBPMSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001389385147 989186388 /nfs/dbraw/zinc/18/63/88/989186388.db2.gz WULPKGJDMUDZPM-YLWLKBPMSA-N 0 2 324.234 0.317 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cncnc1 ZINC001532952878 1015476424 /nfs/dbraw/zinc/47/64/24/1015476424.db2.gz QJQIAYFEWFQLKP-VIFPVBQESA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1cncnc1 ZINC001532952878 1015476434 /nfs/dbraw/zinc/47/64/34/1015476434.db2.gz QJQIAYFEWFQLKP-VIFPVBQESA-N 0 2 322.262 0.907 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cc[nH]n1)C(F)C(F)(F)F ZINC001389676255 989481865 /nfs/dbraw/zinc/48/18/65/989481865.db2.gz SRYIWSBFQXZQPH-POYBYMJQSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccn[nH]1)C(F)C(F)(F)F ZINC001389676255 989481871 /nfs/dbraw/zinc/48/18/71/989481871.db2.gz SRYIWSBFQXZQPH-POYBYMJQSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(CCc1cnn[nH]1)N[C@H]1C[C@H]([NH2+]Cc2cscn2)C1 ZINC001389884127 989660885 /nfs/dbraw/zinc/66/08/85/989660885.db2.gz KWVHJIUQCPIHFM-XYPYZODXSA-N 0 2 306.395 0.631 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnco2)C1 ZINC001390075267 989797595 /nfs/dbraw/zinc/79/75/95/989797595.db2.gz LTANLGPJTDDHEI-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cnco2)C1 ZINC001390075267 989797598 /nfs/dbraw/zinc/79/75/98/989797598.db2.gz LTANLGPJTDDHEI-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001390103565 989823671 /nfs/dbraw/zinc/82/36/71/989823671.db2.gz GKCPCWKHLJKSES-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001390103565 989823678 /nfs/dbraw/zinc/82/36/78/989823678.db2.gz GKCPCWKHLJKSES-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN CCOCC(=O)N[C@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001527590501 1015507026 /nfs/dbraw/zinc/50/70/26/1015507026.db2.gz NHSMQPHDMIEHNG-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)N[C@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001527590501 1015507033 /nfs/dbraw/zinc/50/70/33/1015507033.db2.gz NHSMQPHDMIEHNG-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN C[NH+](CCCO)CCNC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC001390770784 990372474 /nfs/dbraw/zinc/37/24/74/990372474.db2.gz QRISFPDHKRLJDP-AWEZNQCLSA-N 0 2 309.414 0.220 20 0 DCADLN COC1(CC(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001391044201 990536458 /nfs/dbraw/zinc/53/64/58/990536458.db2.gz KGHBOSVXLSYNDD-LLVKDONJSA-N 0 2 323.397 0.550 20 0 DCADLN COC1(CC(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001391044201 990536467 /nfs/dbraw/zinc/53/64/67/990536467.db2.gz KGHBOSVXLSYNDD-LLVKDONJSA-N 0 2 323.397 0.550 20 0 DCADLN CC(C)SCC(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001391150274 990622210 /nfs/dbraw/zinc/62/22/10/990622210.db2.gz PWMOIMZGRURSLG-UHFFFAOYSA-N 0 2 313.427 0.733 20 0 DCADLN CC(C)SCC(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001391150274 990622214 /nfs/dbraw/zinc/62/22/14/990622214.db2.gz PWMOIMZGRURSLG-UHFFFAOYSA-N 0 2 313.427 0.733 20 0 DCADLN CN(C)[C@H](C(=O)Nc1cc(C(=O)[O-])n(C)c1)c1c[nH+]cn1C ZINC001598164768 990676626 /nfs/dbraw/zinc/67/66/26/990676626.db2.gz FSRRCMGCRYQUPY-LBPRGKRZSA-N 0 2 305.338 0.698 20 0 DCADLN O=C(CCc1ccccc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391594193 990996372 /nfs/dbraw/zinc/99/63/72/990996372.db2.gz REVRXUJHDNCXGB-CYBMUJFWSA-N 0 2 315.377 0.834 20 0 DCADLN CN(C(=O)CCc1ccon1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391616344 991019921 /nfs/dbraw/zinc/01/99/21/991019921.db2.gz VKSDGMOEYXRGAF-LLVKDONJSA-N 0 2 320.353 0.164 20 0 DCADLN CN(C(=O)CCc1ccon1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391616344 991019926 /nfs/dbraw/zinc/01/99/26/991019926.db2.gz VKSDGMOEYXRGAF-LLVKDONJSA-N 0 2 320.353 0.164 20 0 DCADLN O=C(CC1CCC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001391983875 991363879 /nfs/dbraw/zinc/36/38/79/991363879.db2.gz PQKFYSWIXOGFFR-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN COc1ncc(C=CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001599736484 991782504 /nfs/dbraw/zinc/78/25/04/991782504.db2.gz WGSJCDHWLGBRFT-OTDNITJGSA-N 0 2 317.305 0.034 20 0 DCADLN COc1ncc(C=CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001599736484 991782511 /nfs/dbraw/zinc/78/25/11/991782511.db2.gz WGSJCDHWLGBRFT-OTDNITJGSA-N 0 2 317.305 0.034 20 0 DCADLN Cc1conc1C[NH2+]C[C@H](C)NC(=O)[C@H](C)C[NH+]1CCOCC1 ZINC001392614108 992161062 /nfs/dbraw/zinc/16/10/62/992161062.db2.gz GJBUPITUSDDKEL-OCCSQVGLSA-N 0 2 324.425 0.546 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCCCO1 ZINC001392656121 992215347 /nfs/dbraw/zinc/21/53/47/992215347.db2.gz YPAWXRGFZRYJMD-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCCCO1 ZINC001392656121 992215353 /nfs/dbraw/zinc/21/53/53/992215353.db2.gz YPAWXRGFZRYJMD-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN O=C(Cn1cccn1)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001393248960 992789018 /nfs/dbraw/zinc/78/90/18/992789018.db2.gz FYOMLIJNKOQPTP-WPRPVWTQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001393248960 992789028 /nfs/dbraw/zinc/78/90/28/992789028.db2.gz FYOMLIJNKOQPTP-WPRPVWTQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cncnc1)C(F)C(F)(F)F ZINC001393351425 992905742 /nfs/dbraw/zinc/90/57/42/992905742.db2.gz YVVJOQMCUSPSGW-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cncnc1)[C@H](F)C(F)(F)F ZINC001393351425 992905747 /nfs/dbraw/zinc/90/57/47/992905747.db2.gz YVVJOQMCUSPSGW-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)[C@H]2CCCC[C@H]2C(=O)[O-])CC1 ZINC001591633294 992936518 /nfs/dbraw/zinc/93/65/18/992936518.db2.gz CYBMVYWNJFAGGT-OLZOCXBDSA-N 0 2 318.439 0.986 20 0 DCADLN CC1(CC(=O)N[C@@]2(CO)CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001393441480 992991546 /nfs/dbraw/zinc/99/15/46/992991546.db2.gz SSGFDZQKCZNGSJ-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN CCCCC(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001393669121 993167613 /nfs/dbraw/zinc/16/76/13/993167613.db2.gz QDQJJAWOTAWPFH-JTQLQIEISA-N 0 2 309.370 0.160 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccc(CC(=O)[O-])c1 ZINC001598574039 993246850 /nfs/dbraw/zinc/24/68/50/993246850.db2.gz UIFPBNJCINAQFO-BETUJISGSA-N 0 2 324.402 0.781 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccc(CC(=O)[O-])c1 ZINC001598574039 993246854 /nfs/dbraw/zinc/24/68/54/993246854.db2.gz UIFPBNJCINAQFO-BETUJISGSA-N 0 2 324.402 0.781 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2sccc2-n2cnnn2)C[C@@H]1C(=O)[O-] ZINC001598574316 993250898 /nfs/dbraw/zinc/25/08/98/993250898.db2.gz JZNBJOLDIHYORW-VXNVDRBHSA-N 0 2 323.334 0.038 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2sccc2-n2cnnn2)C[C@@H]1C(=O)[O-] ZINC001598574316 993250903 /nfs/dbraw/zinc/25/09/03/993250903.db2.gz JZNBJOLDIHYORW-VXNVDRBHSA-N 0 2 323.334 0.038 20 0 DCADLN COCC(=O)N[C@@]1(C)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001394227309 993552900 /nfs/dbraw/zinc/55/29/00/993552900.db2.gz UAJAYESGMSCMRG-WPRPVWTQSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N[C@@]1(C)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001394227309 993552904 /nfs/dbraw/zinc/55/29/04/993552904.db2.gz UAJAYESGMSCMRG-WPRPVWTQSA-N 0 2 300.252 0.640 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCC(F)F ZINC001394279874 993589566 /nfs/dbraw/zinc/58/95/66/993589566.db2.gz CTXNWDKFQNHMEZ-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCC(F)F ZINC001394279874 993589572 /nfs/dbraw/zinc/58/95/72/993589572.db2.gz CTXNWDKFQNHMEZ-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CNC(=O)CN1C[C@H](NC(=O)C(F)C(F)(F)F)C(C)(C)C1 ZINC001394289032 993597662 /nfs/dbraw/zinc/59/76/62/993597662.db2.gz QMKSOWKHRMWMHX-CBAPKCEASA-N 0 2 313.295 0.459 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001399816244 993807464 /nfs/dbraw/zinc/80/74/64/993807464.db2.gz AZYCSXSTGXOWTA-GHMZBOCLSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001399816244 993807468 /nfs/dbraw/zinc/80/74/68/993807468.db2.gz AZYCSXSTGXOWTA-GHMZBOCLSA-N 0 2 319.369 0.049 20 0 DCADLN COc1ccc(OCC[N@H+](C)CN2C[C@@H](C(=O)[O-])OC2=O)cc1 ZINC001599605708 993881523 /nfs/dbraw/zinc/88/15/23/993881523.db2.gz GCVBHMQBESRZJG-ZDUSSCGKSA-N 0 2 324.333 0.869 20 0 DCADLN COc1ccc(OCC[N@@H+](C)CN2C[C@@H](C(=O)[O-])OC2=O)cc1 ZINC001599605708 993881528 /nfs/dbraw/zinc/88/15/28/993881528.db2.gz GCVBHMQBESRZJG-ZDUSSCGKSA-N 0 2 324.333 0.869 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CC(O)(C(=O)[O-])C1 ZINC001598629995 994159409 /nfs/dbraw/zinc/15/94/09/994159409.db2.gz CZSGIFOJCNVNMG-GFCCVEGCSA-N 0 2 305.334 0.014 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CC(O)(C(=O)[O-])C1 ZINC001598629995 994159412 /nfs/dbraw/zinc/15/94/12/994159412.db2.gz CZSGIFOJCNVNMG-GFCCVEGCSA-N 0 2 305.334 0.014 20 0 DCADLN COC[C@H](O)C[N@H+]1CCC[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001400303418 994402928 /nfs/dbraw/zinc/40/29/28/994402928.db2.gz WCXITJSQDLDNJS-SOUVJXGZSA-N 0 2 324.425 0.422 20 0 DCADLN Cc1ccccc1CCC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400385711 994463824 /nfs/dbraw/zinc/46/38/24/994463824.db2.gz CNRSCPIJRVCESA-UHFFFAOYSA-N 0 2 315.377 0.752 20 0 DCADLN C[C@@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001400388052 994465444 /nfs/dbraw/zinc/46/54/44/994465444.db2.gz AARBQLMSURAVRH-LURJTMIESA-N 0 2 307.276 0.399 20 0 DCADLN O=C(Cc1cnoc1)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400492087 994581804 /nfs/dbraw/zinc/58/18/04/994581804.db2.gz ODMGVUNNVMYSAY-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001400492087 994581811 /nfs/dbraw/zinc/58/18/11/994581811.db2.gz ODMGVUNNVMYSAY-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN CC(F)(F)CC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395737638 994951737 /nfs/dbraw/zinc/95/17/37/994951737.db2.gz CEHWZXKLNRLCKD-CAHLUQPWSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001395737638 994951745 /nfs/dbraw/zinc/95/17/45/994951745.db2.gz CEHWZXKLNRLCKD-CAHLUQPWSA-N 0 2 324.221 0.525 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1CCC[C@H](c2nc(C)no2)C1 ZINC001598698099 995174864 /nfs/dbraw/zinc/17/48/64/995174864.db2.gz JBBNXYJNZDPDIK-WDEREUQCSA-N 0 2 311.338 0.964 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1CCC[C@H](c2nc(C)no2)C1 ZINC001598698099 995174870 /nfs/dbraw/zinc/17/48/70/995174870.db2.gz JBBNXYJNZDPDIK-WDEREUQCSA-N 0 2 311.338 0.964 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1CCCN(C(=O)C(C)C)CC1 ZINC001598699729 995201773 /nfs/dbraw/zinc/20/17/73/995201773.db2.gz QHXZSQFGFWPIFP-LBPRGKRZSA-N 0 2 314.382 0.583 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1CCCN(C(=O)C(C)C)CC1 ZINC001598699729 995201783 /nfs/dbraw/zinc/20/17/83/995201783.db2.gz QHXZSQFGFWPIFP-LBPRGKRZSA-N 0 2 314.382 0.583 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1CCCN(C(=O)C(C)C)CC1 ZINC001598699725 995201999 /nfs/dbraw/zinc/20/19/99/995201999.db2.gz QHXZSQFGFWPIFP-GFCCVEGCSA-N 0 2 314.382 0.583 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1CCCN(C(=O)C(C)C)CC1 ZINC001598699725 995202005 /nfs/dbraw/zinc/20/20/05/995202005.db2.gz QHXZSQFGFWPIFP-GFCCVEGCSA-N 0 2 314.382 0.583 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC001598703432 995254074 /nfs/dbraw/zinc/25/40/74/995254074.db2.gz VHCGIGVNHOHDRA-VIFPVBQESA-N 0 2 321.289 0.454 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001396743759 995577760 /nfs/dbraw/zinc/57/77/60/995577760.db2.gz QZVXHVWNDDHJJX-RQJHMYQMSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001396743759 995577766 /nfs/dbraw/zinc/57/77/66/995577766.db2.gz QZVXHVWNDDHJJX-RQJHMYQMSA-N 0 2 323.250 0.046 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCc1[nH+]ccn1C ZINC001598747808 995612518 /nfs/dbraw/zinc/61/25/18/995612518.db2.gz BMGHQXLVEJDRJK-QWRGUYRKSA-N 0 2 323.349 0.218 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397164826 995835220 /nfs/dbraw/zinc/83/52/20/995835220.db2.gz AFURRBUKLGUWNP-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397164826 995835230 /nfs/dbraw/zinc/83/52/30/995835230.db2.gz AFURRBUKLGUWNP-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])N(C(=O)CCc2[nH+]ccn2C)C1 ZINC001598772602 995897902 /nfs/dbraw/zinc/89/79/02/995897902.db2.gz GJQBQSNRGYCDNK-GHMZBOCLSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])N(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001598776994 995939884 /nfs/dbraw/zinc/93/98/84/995939884.db2.gz XVQLSPBFXUHDBP-BFLSOPEQSA-N 0 2 321.333 0.378 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])N(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001598776994 995939890 /nfs/dbraw/zinc/93/98/90/995939890.db2.gz XVQLSPBFXUHDBP-BFLSOPEQSA-N 0 2 321.333 0.378 20 0 DCADLN C[C@H](Oc1ccccc1C[NH2+]Cc1cc(C(N)=O)no1)C(=O)[O-] ZINC001593647885 995994302 /nfs/dbraw/zinc/99/43/02/995994302.db2.gz CYAFCVAOYMEQKY-VIFPVBQESA-N 0 2 319.317 0.915 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccon1 ZINC001397462784 996012748 /nfs/dbraw/zinc/01/27/48/996012748.db2.gz USPRZRPATZMEOI-HZGVNTEJSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1ccon1 ZINC001397462784 996012755 /nfs/dbraw/zinc/01/27/55/996012755.db2.gz USPRZRPATZMEOI-HZGVNTEJSA-N 0 2 311.235 0.738 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCc2[nH+]ccn2C)[C@H](C(=O)[O-])C1 ZINC001598793777 996096215 /nfs/dbraw/zinc/09/62/15/996096215.db2.gz QURLUVVORMHODZ-QWRGUYRKSA-N 0 2 323.349 0.218 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(CCF)CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001397807947 996186601 /nfs/dbraw/zinc/18/66/01/996186601.db2.gz YCNCSFSRCNYLFV-ZJUUUORDSA-N 0 2 311.361 0.729 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(CCF)CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001397807947 996186603 /nfs/dbraw/zinc/18/66/03/996186603.db2.gz YCNCSFSRCNYLFV-ZJUUUORDSA-N 0 2 311.361 0.729 20 0 DCADLN COC(=O)CCCc1nc(C[N@@H+]2CC[C@@H](C(=O)[O-])[C@@H]2C)no1 ZINC001598852874 996619339 /nfs/dbraw/zinc/61/93/39/996619339.db2.gz LGCDKASQTOOJSA-VHSXEESVSA-N 0 2 311.338 0.860 20 0 DCADLN COC(=O)CCCc1nc(C[N@H+]2CC[C@@H](C(=O)[O-])[C@@H]2C)no1 ZINC001598852874 996619342 /nfs/dbraw/zinc/61/93/42/996619342.db2.gz LGCDKASQTOOJSA-VHSXEESVSA-N 0 2 311.338 0.860 20 0 DCADLN CC1(C)C[C@@H](O)C[N@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001593861707 996655641 /nfs/dbraw/zinc/65/56/41/996655641.db2.gz FTLYDRMQYFTEQQ-SNVBAGLBSA-N 0 2 307.350 0.811 20 0 DCADLN CC1(C)C[C@@H](O)C[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001593861707 996655644 /nfs/dbraw/zinc/65/56/44/996655644.db2.gz FTLYDRMQYFTEQQ-SNVBAGLBSA-N 0 2 307.350 0.811 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(C(=O)[O-])ccn1 ZINC001598894357 997052138 /nfs/dbraw/zinc/05/21/38/997052138.db2.gz JDZHVNQSMMZHCD-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(C(=O)[O-])ccn1 ZINC001598894357 997052148 /nfs/dbraw/zinc/05/21/48/997052148.db2.gz JDZHVNQSMMZHCD-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC[C@H](C)[N@H+](C)[C@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001399294122 997169366 /nfs/dbraw/zinc/16/93/66/997169366.db2.gz ISQRGXOGHOPQFD-JQWIXIFHSA-N 0 2 310.398 0.995 20 0 DCADLN COC[C@H](C)[N@@H+](C)[C@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001399294122 997169375 /nfs/dbraw/zinc/16/93/75/997169375.db2.gz ISQRGXOGHOPQFD-JQWIXIFHSA-N 0 2 310.398 0.995 20 0 DCADLN O=C(NOCC(F)F)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001466797386 1016111260 /nfs/dbraw/zinc/11/12/60/1016111260.db2.gz ACQMSTKPDAJZQB-UHFFFAOYSA-N 0 2 300.221 0.193 20 0 DCADLN C[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C1CN(C(=O)[C@@H]2CCC[N@H+]2C)C1 ZINC001404677076 997369950 /nfs/dbraw/zinc/36/99/50/997369950.db2.gz KMSCNLQUVRPBBS-YPMHNXCESA-N 0 2 319.409 0.010 20 0 DCADLN COc1ccc([C@H](NC(=O)Cc2c[nH]c[nH+]2)C(=O)[O-])cc1O ZINC001599519023 997445179 /nfs/dbraw/zinc/44/51/79/997445179.db2.gz LDQZDFCAWJJBGS-ZDUSSCGKSA-N 0 2 305.290 0.609 20 0 DCADLN CO[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001404931099 997603292 /nfs/dbraw/zinc/60/32/92/997603292.db2.gz MRJNVEDNVXVWOG-ZDUSSCGKSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(CCc1nccs1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404935113 997606730 /nfs/dbraw/zinc/60/67/30/997606730.db2.gz VUSGEIGNSDZCSC-UHFFFAOYSA-N 0 2 322.394 0.148 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CC[C@H]2COC[C@@H]2C1 ZINC001598925777 997645809 /nfs/dbraw/zinc/64/58/09/997645809.db2.gz YUYOJDZSLLJCGP-UWVGGRQHSA-N 0 2 309.322 0.294 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CC[C@H]2COC[C@@H]2C1 ZINC001598925777 997645819 /nfs/dbraw/zinc/64/58/19/997645819.db2.gz YUYOJDZSLLJCGP-UWVGGRQHSA-N 0 2 309.322 0.294 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405090727 997775884 /nfs/dbraw/zinc/77/58/84/997775884.db2.gz PIAFIZDORLQCCY-ZYHUDNBSSA-N 0 2 323.397 0.358 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c(C)o1 ZINC001598932542 997792976 /nfs/dbraw/zinc/79/29/76/997792976.db2.gz KZMOVBNGPVKMRN-NSHDSACASA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c(C)o1 ZINC001598932542 997792982 /nfs/dbraw/zinc/79/29/82/997792982.db2.gz KZMOVBNGPVKMRN-NSHDSACASA-N 0 2 324.333 0.540 20 0 DCADLN COc1c(NCC(C)(C)[NH+]2CCOCC2)ncnc1C(=O)[O-] ZINC001599342509 997945653 /nfs/dbraw/zinc/94/56/53/997945653.db2.gz FPOKGOXFLSSVDW-UHFFFAOYSA-N 0 2 310.354 0.706 20 0 DCADLN COc1c(NCC[N@@H+]2CCOCC2(C)C)ncnc1C(=O)[O-] ZINC001599344596 997980693 /nfs/dbraw/zinc/98/06/93/997980693.db2.gz LAZONRDWEFKKBW-UHFFFAOYSA-N 0 2 310.354 0.706 20 0 DCADLN COc1c(NCC[N@H+]2CCOCC2(C)C)ncnc1C(=O)[O-] ZINC001599344596 997980702 /nfs/dbraw/zinc/98/07/02/997980702.db2.gz LAZONRDWEFKKBW-UHFFFAOYSA-N 0 2 310.354 0.706 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@@H+]2C[C@@H](O)C[C@H]2CO)c1 ZINC001599452848 998136152 /nfs/dbraw/zinc/13/61/52/998136152.db2.gz KZTLXNJFRJZILB-MJVIPROJSA-N 0 2 311.334 0.257 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@H+]2C[C@@H](O)C[C@H]2CO)c1 ZINC001599452848 998136160 /nfs/dbraw/zinc/13/61/60/998136160.db2.gz KZTLXNJFRJZILB-MJVIPROJSA-N 0 2 311.334 0.257 20 0 DCADLN CCN(CCOC)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001467888960 1016207304 /nfs/dbraw/zinc/20/73/04/1016207304.db2.gz LPGDGWXPONDSBZ-UHFFFAOYSA-N 0 2 306.322 0.375 20 0 DCADLN COCCn1nnc2c1CC[N@H+](Cc1cncc(C(=O)[O-])c1)C2 ZINC001599273063 998570997 /nfs/dbraw/zinc/57/09/97/998570997.db2.gz DZHMETABBHLDHZ-UHFFFAOYSA-N 0 2 317.349 0.576 20 0 DCADLN COCCn1nnc2c1CC[N@@H+](Cc1cncc(C(=O)[O-])c1)C2 ZINC001599273063 998571006 /nfs/dbraw/zinc/57/10/06/998571006.db2.gz DZHMETABBHLDHZ-UHFFFAOYSA-N 0 2 317.349 0.576 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@@H+]2CCc3nn[nH]c3C2)c1 ZINC001598995495 998775922 /nfs/dbraw/zinc/77/59/22/998775922.db2.gz LMQOKJPLVIMSOF-CYBMUJFWSA-N 0 2 316.317 0.775 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@H+]2CCc3nn[nH]c3C2)c1 ZINC001598995495 998775926 /nfs/dbraw/zinc/77/59/26/998775926.db2.gz LMQOKJPLVIMSOF-CYBMUJFWSA-N 0 2 316.317 0.775 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NCC(=O)NC(C)(C)C)[C@@H](C(=O)[O-])C1 ZINC001594415217 999554342 /nfs/dbraw/zinc/55/43/42/999554342.db2.gz YGZMPPSRRQCCGN-WDEREUQCSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)[C@@H](C(=O)[O-])C1 ZINC001594415217 999554344 /nfs/dbraw/zinc/55/43/44/999554344.db2.gz YGZMPPSRRQCCGN-WDEREUQCSA-N 0 2 313.398 0.202 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)CCCF ZINC001511592256 1016365722 /nfs/dbraw/zinc/36/57/22/1016365722.db2.gz NMEOGCWSMQOAKI-IONNQARKSA-N 0 2 320.258 0.572 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)CCCF ZINC001511592256 1016365733 /nfs/dbraw/zinc/36/57/33/1016365733.db2.gz NMEOGCWSMQOAKI-IONNQARKSA-N 0 2 320.258 0.572 20 0 DCADLN CN(CC[N@H+](C)CCO[C@H]1CC1(F)F)C(=O)Cc1c[nH+]c[nH]1 ZINC001401395862 1000406066 /nfs/dbraw/zinc/40/60/66/1000406066.db2.gz MVIUBQYGVTUZDU-LBPRGKRZSA-N 0 2 316.352 0.767 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NC[C@H](O)[C@H](C)O)=N1 ZINC001418669598 1000437800 /nfs/dbraw/zinc/43/78/00/1000437800.db2.gz AFYQBGLEPIVXAK-CABZTGNLSA-N 0 2 323.324 0.497 20 0 DCADLN CC(C)C[C@@H](C(=O)N1CCOC[C@H]1c1nn[nH]n1)n1ccnc1 ZINC001418863604 1000576113 /nfs/dbraw/zinc/57/61/13/1000576113.db2.gz MKJLZSBSDREXAE-RYUDHWBXSA-N 0 2 319.369 0.584 20 0 DCADLN O=C(CCCc1ccsc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001418977411 1000660618 /nfs/dbraw/zinc/66/06/18/1000660618.db2.gz HOGLFIZUQZWRQF-UHFFFAOYSA-N 0 2 321.406 0.895 20 0 DCADLN CCc1ccnc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001401758591 1000695064 /nfs/dbraw/zinc/69/50/64/1000695064.db2.gz NPFVEJNQCBJFMD-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CCc1ccnc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001401758591 1000695067 /nfs/dbraw/zinc/69/50/67/1000695067.db2.gz NPFVEJNQCBJFMD-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCC1C[NH+]([C@@H](C)c2cnccn2)C1 ZINC001419042866 1000714773 /nfs/dbraw/zinc/71/47/73/1000714773.db2.gz KXBYBROLOGZUHG-ZDUSSCGKSA-N 0 2 305.426 0.926 20 0 DCADLN Cc1c(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001401779007 1000718889 /nfs/dbraw/zinc/71/88/89/1000718889.db2.gz IHYWXWCJCPQJMH-SECBINFHSA-N 0 2 306.370 0.408 20 0 DCADLN Cc1c(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001401779007 1000718894 /nfs/dbraw/zinc/71/88/94/1000718894.db2.gz IHYWXWCJCPQJMH-SECBINFHSA-N 0 2 306.370 0.408 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001401782657 1000720047 /nfs/dbraw/zinc/72/00/47/1000720047.db2.gz SCWVXNKUOJRGKW-ZETCQYMHSA-N 0 2 307.358 0.101 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001401782657 1000720050 /nfs/dbraw/zinc/72/00/50/1000720050.db2.gz SCWVXNKUOJRGKW-ZETCQYMHSA-N 0 2 307.358 0.101 20 0 DCADLN O=C(CCc1ccon1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419092437 1000763476 /nfs/dbraw/zinc/76/34/76/1000763476.db2.gz GTTOSBIFZCAEEF-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN CC(=O)NCC(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001471065321 1016518250 /nfs/dbraw/zinc/51/82/50/1016518250.db2.gz XASXPDRSDBXEGS-NSHDSACASA-N 0 2 303.322 0.046 20 0 DCADLN COC[C@@H](OC)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001471073413 1016524713 /nfs/dbraw/zinc/52/47/13/1016524713.db2.gz ZDRHCUBMAAFAPS-NWDGAFQWSA-N 0 2 320.349 0.572 20 0 DCADLN CCCc1[nH]ccc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420000492 1001755322 /nfs/dbraw/zinc/75/53/22/1001755322.db2.gz AXDKTOQFHKMLMR-UHFFFAOYSA-N 0 2 320.397 0.995 20 0 DCADLN CCCc1[nH]ccc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420000492 1001755325 /nfs/dbraw/zinc/75/53/25/1001755325.db2.gz AXDKTOQFHKMLMR-UHFFFAOYSA-N 0 2 320.397 0.995 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1C[C@H]2CC[C@@H](C1)O2 ZINC001471099174 1016526271 /nfs/dbraw/zinc/52/62/71/1016526271.db2.gz OWPGXYHRZDVZET-TXEJJXNPSA-N 0 2 316.317 0.270 20 0 DCADLN C[C@H](NC(=O)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2)c1nn(C)cc1O ZINC001420438625 1002418098 /nfs/dbraw/zinc/41/80/98/1002418098.db2.gz KEZHHSDUNOVHSE-OPSLPJIFSA-N 0 2 321.333 0.024 20 0 DCADLN CC(C)SCC(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001420474867 1002470448 /nfs/dbraw/zinc/47/04/48/1002470448.db2.gz QKHOCFCKESPTTQ-JTQLQIEISA-N 0 2 313.427 0.733 20 0 DCADLN CO[C@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420492678 1002495475 /nfs/dbraw/zinc/49/54/75/1002495475.db2.gz ZMDQBKKORUUNFP-PWSUYJOCSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420492678 1002495480 /nfs/dbraw/zinc/49/54/80/1002495480.db2.gz ZMDQBKKORUUNFP-PWSUYJOCSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccncc1Cl ZINC001420635133 1002721868 /nfs/dbraw/zinc/72/18/68/1002721868.db2.gz NWKUPVXJQWNTLD-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccncc1Cl ZINC001420635133 1002721879 /nfs/dbraw/zinc/72/18/79/1002721879.db2.gz NWKUPVXJQWNTLD-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)Cc1ccco1 ZINC001420644957 1002737286 /nfs/dbraw/zinc/73/72/86/1002737286.db2.gz XHPBTYNTHWCYJG-WDEREUQCSA-N 0 2 321.381 0.919 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)Cc1ccco1 ZINC001420644957 1002737293 /nfs/dbraw/zinc/73/72/93/1002737293.db2.gz XHPBTYNTHWCYJG-WDEREUQCSA-N 0 2 321.381 0.919 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cnoc1 ZINC001403938518 1002770463 /nfs/dbraw/zinc/77/04/63/1002770463.db2.gz BMBNEJRQECZNET-UHFFFAOYSA-N 0 2 322.369 0.410 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cnoc1 ZINC001403938518 1002770473 /nfs/dbraw/zinc/77/04/73/1002770473.db2.gz BMBNEJRQECZNET-UHFFFAOYSA-N 0 2 322.369 0.410 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@@H](C)n1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403945904 1002780967 /nfs/dbraw/zinc/78/09/67/1002780967.db2.gz SQMPKGSAICAJTO-GFCCVEGCSA-N 0 2 320.397 0.901 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@@H](C)n1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403945904 1002780972 /nfs/dbraw/zinc/78/09/72/1002780972.db2.gz SQMPKGSAICAJTO-GFCCVEGCSA-N 0 2 320.397 0.901 20 0 DCADLN CC(=C(F)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001472085115 1016724579 /nfs/dbraw/zinc/72/45/79/1016724579.db2.gz KZFRKVMSAKEAOC-YRNVUSSQSA-N 0 2 316.358 0.619 20 0 DCADLN CC(C)C(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001425812892 1003124471 /nfs/dbraw/zinc/12/44/71/1003124471.db2.gz MPYOVXVMHLAISI-YUMQZZPRSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)C(=O)NC[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001425812892 1003124476 /nfs/dbraw/zinc/12/44/76/1003124476.db2.gz MPYOVXVMHLAISI-YUMQZZPRSA-N 0 2 302.268 0.478 20 0 DCADLN CCO[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)C ZINC001472085806 1016728196 /nfs/dbraw/zinc/72/81/96/1016728196.db2.gz XWYALZQIENYRDC-NSHDSACASA-N 0 2 318.399 0.027 20 0 DCADLN CN(C(=O)c1nc[nH]n1)C1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001405849076 1003138841 /nfs/dbraw/zinc/13/88/41/1003138841.db2.gz CXWFZUYLTWTBHJ-KPGICGJXSA-N 0 2 323.250 0.424 20 0 DCADLN CN(C(=O)c1nc[nH]n1)C1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001405849076 1003138851 /nfs/dbraw/zinc/13/88/51/1003138851.db2.gz CXWFZUYLTWTBHJ-KPGICGJXSA-N 0 2 323.250 0.424 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@@H]1CCCC[C@@H]1O ZINC001472156222 1016751152 /nfs/dbraw/zinc/75/11/52/1016751152.db2.gz LLPZAPVKYFVJMT-JQWIXIFHSA-N 0 2 319.365 0.129 20 0 DCADLN CN(C(=O)COC1CCCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421069842 1003288588 /nfs/dbraw/zinc/28/85/88/1003288588.db2.gz YLHJBXHBIONMGY-UHFFFAOYSA-N 0 2 323.397 0.502 20 0 DCADLN C[C@@H](NC(=O)c1ccccc1OCC(N)=O)c1nn(C)cc1O ZINC001472236339 1016764673 /nfs/dbraw/zinc/76/46/73/1016764673.db2.gz JKQROZTXKAMJJA-SECBINFHSA-N 0 2 318.333 0.481 20 0 DCADLN O=C(NC1CC(CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001508343978 1016765771 /nfs/dbraw/zinc/76/57/71/1016765771.db2.gz SMOCGOQCRHQYGN-KPIFQKDSSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001508343978 1016765787 /nfs/dbraw/zinc/76/57/87/1016765787.db2.gz SMOCGOQCRHQYGN-KPIFQKDSSA-N 0 2 322.262 0.935 20 0 DCADLN CCC(=O)N1CCC[C@@H](C(=O)N[C@H](C)c2nn(C)cc2O)C1 ZINC001472237491 1016766447 /nfs/dbraw/zinc/76/64/47/1016766447.db2.gz SSKNAYUVUPGOLV-GHMZBOCLSA-N 0 2 308.382 0.952 20 0 DCADLN Cc1cc(CC(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)no1 ZINC001421181592 1003412566 /nfs/dbraw/zinc/41/25/66/1003412566.db2.gz BPRMRVCAVAMHBY-CQSZACIVSA-N 0 2 320.353 0.130 20 0 DCADLN Cc1cc(CC(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)no1 ZINC001421181592 1003412574 /nfs/dbraw/zinc/41/25/74/1003412574.db2.gz BPRMRVCAVAMHBY-CQSZACIVSA-N 0 2 320.353 0.130 20 0 DCADLN C[C@H](CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001406153605 1003421589 /nfs/dbraw/zinc/42/15/89/1003421589.db2.gz BROGAERBFZQJTI-LLVKDONJSA-N 0 2 321.385 0.248 20 0 DCADLN C[C@H](CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001406153605 1003421595 /nfs/dbraw/zinc/42/15/95/1003421595.db2.gz BROGAERBFZQJTI-LLVKDONJSA-N 0 2 321.385 0.248 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421226847 1003463844 /nfs/dbraw/zinc/46/38/44/1003463844.db2.gz XMZWGXCGDHLSIQ-QMTHXVAHSA-N 0 2 311.386 0.406 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421226847 1003463846 /nfs/dbraw/zinc/46/38/46/1003463846.db2.gz XMZWGXCGDHLSIQ-QMTHXVAHSA-N 0 2 311.386 0.406 20 0 DCADLN CC(F)(F)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001406253809 1003531302 /nfs/dbraw/zinc/53/13/02/1003531302.db2.gz OKGXXVGSBNHMSV-PBXRRBTRSA-N 0 2 322.205 0.230 20 0 DCADLN CC(F)(F)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001406253809 1003531309 /nfs/dbraw/zinc/53/13/09/1003531309.db2.gz OKGXXVGSBNHMSV-PBXRRBTRSA-N 0 2 322.205 0.230 20 0 DCADLN C[C@H]1CCc2n[nH]cc2[C@@H]1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001472519125 1016816391 /nfs/dbraw/zinc/81/63/91/1016816391.db2.gz OMKVUFLOWKNPFW-QPUJVOFHSA-N 0 2 304.354 0.648 20 0 DCADLN Cc1cnoc1C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001426222876 1003663170 /nfs/dbraw/zinc/66/31/70/1003663170.db2.gz YTTWVFCLPGNYES-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN Cc1cnoc1C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001426222876 1003663174 /nfs/dbraw/zinc/66/31/74/1003663174.db2.gz YTTWVFCLPGNYES-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN O=C(NCCN1C(=O)CCCC1=O)c1c(O)cc(F)cc1F ZINC001472517214 1016811716 /nfs/dbraw/zinc/81/17/16/1016811716.db2.gz FJFYRKHMCBATAR-UHFFFAOYSA-N 0 2 312.272 0.939 20 0 DCADLN Cc1ccc(CNC(=O)Nc2nn[nH]c2C(=O)NC2CC2)cn1 ZINC001426384789 1003741986 /nfs/dbraw/zinc/74/19/86/1003741986.db2.gz GBEKGGOOOLLRNK-UHFFFAOYSA-N 0 2 315.337 0.722 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1coc2c1C(=O)CCC2 ZINC001472518757 1016817418 /nfs/dbraw/zinc/81/74/18/1016817418.db2.gz BBMIXWXPOBVCSD-UHFFFAOYSA-N 0 2 304.306 0.985 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]C[C@H](C)NC(=O)CCc2cn[nH]n2)s1 ZINC001406531990 1003794547 /nfs/dbraw/zinc/79/45/47/1003794547.db2.gz WCAICSDQOSXVIH-IUCAKERBSA-N 0 2 323.426 0.753 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccco1 ZINC001406586774 1003884807 /nfs/dbraw/zinc/88/48/07/1003884807.db2.gz QUTGCJJHJJSJMT-SNVBAGLBSA-N 0 2 307.354 0.673 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccco1 ZINC001406586774 1003884824 /nfs/dbraw/zinc/88/48/24/1003884824.db2.gz QUTGCJJHJJSJMT-SNVBAGLBSA-N 0 2 307.354 0.673 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cccnn1 ZINC001426688198 1003965872 /nfs/dbraw/zinc/96/58/72/1003965872.db2.gz SEJXRYCOEGPXSB-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cccnn1 ZINC001426688198 1003965882 /nfs/dbraw/zinc/96/58/82/1003965882.db2.gz SEJXRYCOEGPXSB-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cccnn1 ZINC001426688194 1003966330 /nfs/dbraw/zinc/96/63/30/1003966330.db2.gz SEJXRYCOEGPXSB-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cccnn1 ZINC001426688194 1003966341 /nfs/dbraw/zinc/96/63/41/1003966341.db2.gz SEJXRYCOEGPXSB-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN CCOCC(=O)N(C)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001426691379 1003969000 /nfs/dbraw/zinc/96/90/00/1003969000.db2.gz YVIDHEXNGARRQS-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N(C)[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001426691379 1003969007 /nfs/dbraw/zinc/96/90/07/1003969007.db2.gz YVIDHEXNGARRQS-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CC(C)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428798476 1004182079 /nfs/dbraw/zinc/18/20/79/1004182079.db2.gz PSXZDNHSWBQPEG-BWZBUEFSSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001428798476 1004182081 /nfs/dbraw/zinc/18/20/81/1004182081.db2.gz PSXZDNHSWBQPEG-BWZBUEFSSA-N 0 2 300.252 0.231 20 0 DCADLN C[C@@H](CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)n1cc[nH+]c1 ZINC001406887025 1004188296 /nfs/dbraw/zinc/18/82/96/1004188296.db2.gz ZWEOPKGUFWKMQV-QWRGUYRKSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@H](CNC(=O)c1ccc(=O)[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001428810746 1004190060 /nfs/dbraw/zinc/19/00/60/1004190060.db2.gz BMDGFUUBQUNNLN-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)c1ccc(=O)[nH]c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001428810746 1004190064 /nfs/dbraw/zinc/19/00/64/1004190064.db2.gz BMDGFUUBQUNNLN-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001407078964 1004359153 /nfs/dbraw/zinc/35/91/53/1004359153.db2.gz MJXDETZRSKTAFA-ZYHUDNBSSA-N 0 2 323.397 0.501 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)CCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001429272934 1004497214 /nfs/dbraw/zinc/49/72/14/1004497214.db2.gz VDLFFVIDEVHPGQ-AWEZNQCLSA-N 0 2 323.441 0.749 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN([C@@H]2CCCNC2=O)CC1 ZINC001472960529 1016892840 /nfs/dbraw/zinc/89/28/40/1016892840.db2.gz QFJQDOUSDINCQX-BDAKNGLRSA-N 0 2 311.279 0.310 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCN([C@@H]2CCCNC2=O)CC1 ZINC001472960529 1016892858 /nfs/dbraw/zinc/89/28/58/1016892858.db2.gz QFJQDOUSDINCQX-BDAKNGLRSA-N 0 2 311.279 0.310 20 0 DCADLN CCN(CCNC(=O)c1cnccn1)C(=O)C(F)C(F)(F)F ZINC001429528516 1004650171 /nfs/dbraw/zinc/65/01/71/1004650171.db2.gz ZBGHKQQQJYDRNU-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1cnccn1)C(=O)[C@H](F)C(F)(F)F ZINC001429528516 1004650174 /nfs/dbraw/zinc/65/01/74/1004650174.db2.gz ZBGHKQQQJYDRNU-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCNC(=O)C[NH2+]C[C@H]1CCCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001407750536 1004835429 /nfs/dbraw/zinc/83/54/29/1004835429.db2.gz KDDCBGQFKPMJDH-CQSZACIVSA-N 0 2 321.425 0.449 20 0 DCADLN C[C@H](CNC(=O)c1ccc(=O)[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001408068330 1005015238 /nfs/dbraw/zinc/01/52/38/1005015238.db2.gz WULPKGJDMUDZPM-XRGYYRRGSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)c1ccc(=O)[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001408068330 1005015245 /nfs/dbraw/zinc/01/52/45/1005015245.db2.gz WULPKGJDMUDZPM-XRGYYRRGSA-N 0 2 324.234 0.317 20 0 DCADLN CC(=O)NCC(=O)NCC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001408438594 1005199643 /nfs/dbraw/zinc/19/96/43/1005199643.db2.gz JRIGZDFNUDUCRF-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NCC(=O)NCC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001408438594 1005199646 /nfs/dbraw/zinc/19/96/46/1005199646.db2.gz JRIGZDFNUDUCRF-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN COCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001414462710 1005334277 /nfs/dbraw/zinc/33/42/77/1005334277.db2.gz HSUGGSZOQOEKNM-BDNRQGISSA-N 0 2 312.263 0.781 20 0 DCADLN COCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2 ZINC001414462710 1005334284 /nfs/dbraw/zinc/33/42/84/1005334284.db2.gz HSUGGSZOQOEKNM-BDNRQGISSA-N 0 2 312.263 0.781 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC001414959138 1005432713 /nfs/dbraw/zinc/43/27/13/1005432713.db2.gz GEUYGYFPXQCGJY-GUBZILKMSA-N 0 2 324.274 0.997 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC001414959138 1005432715 /nfs/dbraw/zinc/43/27/15/1005432715.db2.gz GEUYGYFPXQCGJY-GUBZILKMSA-N 0 2 324.274 0.997 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001414988227 1005436651 /nfs/dbraw/zinc/43/66/51/1005436651.db2.gz IFOFRWVBJBBNIS-GKROBHDKSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001414988227 1005436652 /nfs/dbraw/zinc/43/66/52/1005436652.db2.gz IFOFRWVBJBBNIS-GKROBHDKSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CC2(F)F)C1)C(F)C(F)(F)F ZINC001416025246 1005648167 /nfs/dbraw/zinc/64/81/67/1005648167.db2.gz APWQBTZKUGAGIM-WDSKDSINSA-N 0 2 304.190 0.869 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CC2(F)F)C1)[C@H](F)C(F)(F)F ZINC001416025246 1005648169 /nfs/dbraw/zinc/64/81/69/1005648169.db2.gz APWQBTZKUGAGIM-WDSKDSINSA-N 0 2 304.190 0.869 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CC2(F)F)C1)C(F)C(F)(F)F ZINC001416025236 1005651330 /nfs/dbraw/zinc/65/13/30/1005651330.db2.gz APWQBTZKUGAGIM-PHDIDXHHSA-N 0 2 304.190 0.869 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CC2(F)F)C1)[C@@H](F)C(F)(F)F ZINC001416025236 1005651331 /nfs/dbraw/zinc/65/13/31/1005651331.db2.gz APWQBTZKUGAGIM-PHDIDXHHSA-N 0 2 304.190 0.869 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@H](O)[C@H](CO)C1 ZINC001417934825 1005931387 /nfs/dbraw/zinc/93/13/87/1005931387.db2.gz VJBGSACIDBZQIE-CABZTGNLSA-N 0 2 321.308 0.142 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cncs1 ZINC001439367059 1006764579 /nfs/dbraw/zinc/76/45/79/1006764579.db2.gz DQSKPUHXFNKYGJ-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cncs1 ZINC001439367059 1006764592 /nfs/dbraw/zinc/76/45/92/1006764592.db2.gz DQSKPUHXFNKYGJ-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN C[N@H+](CCNC(=O)CC(C1CC1)C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001437570632 1007137754 /nfs/dbraw/zinc/13/77/54/1007137754.db2.gz REMCTFNYPIXVBV-UHFFFAOYSA-N 0 2 307.398 0.885 20 0 DCADLN C[N@@H+](CCNC(=O)CC(C1CC1)C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001437570632 1007137763 /nfs/dbraw/zinc/13/77/63/1007137763.db2.gz REMCTFNYPIXVBV-UHFFFAOYSA-N 0 2 307.398 0.885 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001437781075 1007391938 /nfs/dbraw/zinc/39/19/38/1007391938.db2.gz RGYFSHFZNMGLOB-PJXYFTJBSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001437781075 1007391954 /nfs/dbraw/zinc/39/19/54/1007391954.db2.gz RGYFSHFZNMGLOB-PJXYFTJBSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1cocc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001437802708 1007416152 /nfs/dbraw/zinc/41/61/52/1007416152.db2.gz BRWQXHZDNHIMSN-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1cocc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001437802708 1007416157 /nfs/dbraw/zinc/41/61/57/1007416157.db2.gz BRWQXHZDNHIMSN-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC(C)(F)F ZINC001440242493 1007603952 /nfs/dbraw/zinc/60/39/52/1007603952.db2.gz MILSLGAVCLQVJB-QMMMGPOBSA-N 0 2 303.313 0.588 20 0 DCADLN C[C@@H](NC(=O)Cc1cccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441134932 1008234379 /nfs/dbraw/zinc/23/43/79/1008234379.db2.gz OATQAJHIBXQKOX-SECBINFHSA-N 0 2 321.406 0.751 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@H]2CCOC2)C1 ZINC001453680648 1008662463 /nfs/dbraw/zinc/66/24/63/1008662463.db2.gz USKKLYWJXUPNRY-IONNQARKSA-N 0 2 312.263 0.593 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)[C@H]2CCOC2)C1 ZINC001453680648 1008662468 /nfs/dbraw/zinc/66/24/68/1008662468.db2.gz USKKLYWJXUPNRY-IONNQARKSA-N 0 2 312.263 0.593 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C2=CCOCC2)C1)C(F)C(F)(F)F ZINC001454261161 1009026500 /nfs/dbraw/zinc/02/65/00/1009026500.db2.gz CHDVXAIYGMPDPZ-VHSXEESVSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C2=CCOCC2)C1)[C@@H](F)C(F)(F)F ZINC001454261161 1009026511 /nfs/dbraw/zinc/02/65/11/1009026511.db2.gz CHDVXAIYGMPDPZ-VHSXEESVSA-N 0 2 324.274 0.951 20 0 DCADLN CCc1noc(CNC[C@H](NC(=O)c2nnc[nH]2)C(C)(C)C)n1 ZINC001442158980 1009034365 /nfs/dbraw/zinc/03/43/65/1009034365.db2.gz BOJFOKKEUXZENF-VIFPVBQESA-N 0 2 321.385 0.684 20 0 DCADLN C[C@H]1CCC[N@@H+]1CC(=O)N1CC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001433760007 1009154471 /nfs/dbraw/zinc/15/44/71/1009154471.db2.gz UYMFUJZOOWBENW-KBPBESRZSA-N 0 2 319.409 0.085 20 0 DCADLN CCC[C@H](C)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001421940698 1009286260 /nfs/dbraw/zinc/28/62/60/1009286260.db2.gz JJQILEZLFFOCMC-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1nnc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)s1 ZINC001433942529 1009379968 /nfs/dbraw/zinc/37/99/68/1009379968.db2.gz HRFFWAQGTQQXOJ-SSDOTTSWSA-N 0 2 308.367 0.944 20 0 DCADLN CN(C(=O)c1cc(S(N)(=O)=O)ccc1O)c1nccn1C ZINC001433952975 1009393548 /nfs/dbraw/zinc/39/35/48/1009393548.db2.gz VBZCSJVCUKOZCP-UHFFFAOYSA-N 0 2 310.335 0.050 20 0 DCADLN COCC(C)(C)N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434040495 1009482300 /nfs/dbraw/zinc/48/23/00/1009482300.db2.gz MLFOEDJMOHJRQN-UHFFFAOYSA-N 0 2 320.349 0.763 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1csnn1 ZINC001455281594 1009629085 /nfs/dbraw/zinc/62/90/85/1009629085.db2.gz NCRFDHAPPHQWOQ-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN C[C@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CO1 ZINC001434149938 1009612892 /nfs/dbraw/zinc/61/28/92/1009612892.db2.gz BJKZBIHWBDWRSE-WPRPVWTQSA-N 0 2 304.306 0.173 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1csnn1 ZINC001455281594 1009629079 /nfs/dbraw/zinc/62/90/79/1009629079.db2.gz NCRFDHAPPHQWOQ-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2c2ncccn2)S1 ZINC001434203986 1009659089 /nfs/dbraw/zinc/65/90/89/1009659089.db2.gz JJSGVKRLPUJWOY-BDAKNGLRSA-N 0 2 305.363 0.697 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)n1cccc1 ZINC001442999933 1010036810 /nfs/dbraw/zinc/03/68/10/1010036810.db2.gz QNYVBICNPLQYPC-NSHDSACASA-N 0 2 318.381 0.654 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)n1cccc1 ZINC001442999933 1010036827 /nfs/dbraw/zinc/03/68/27/1010036827.db2.gz QNYVBICNPLQYPC-NSHDSACASA-N 0 2 318.381 0.654 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C[C@@H]2CC=CCC2)[nH]1 ZINC001456308708 1010186190 /nfs/dbraw/zinc/18/61/90/1010186190.db2.gz SXQMCGZDUZOYSY-SNVBAGLBSA-N 0 2 312.395 0.712 20 0 DCADLN Cc1conc1C[N@H+](C)[C@@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001422502702 1010194194 /nfs/dbraw/zinc/19/41/94/1010194194.db2.gz SDCNCELXYCMLPH-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN Cc1conc1C[N@@H+](C)[C@@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001422502702 1010194190 /nfs/dbraw/zinc/19/41/90/1010194190.db2.gz SDCNCELXYCMLPH-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN CC[C@H](C)OCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001443662633 1010569624 /nfs/dbraw/zinc/56/96/24/1010569624.db2.gz HLRNUHRITQMIHS-DCAQKATOSA-N 0 2 309.370 0.111 20 0 DCADLN CCc1ncsc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422836637 1010657758 /nfs/dbraw/zinc/65/77/58/1010657758.db2.gz QJZHSVFPZRIOFQ-QMMMGPOBSA-N 0 2 324.410 0.780 20 0 DCADLN CCc1ncsc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422836637 1010657764 /nfs/dbraw/zinc/65/77/64/1010657764.db2.gz QJZHSVFPZRIOFQ-QMMMGPOBSA-N 0 2 324.410 0.780 20 0 DCADLN CNC(=O)[C@H](C)[N@H+](C)C[C@@H](C)NC(=O)CCCn1cc[nH+]c1C ZINC001422903790 1010724096 /nfs/dbraw/zinc/72/40/96/1010724096.db2.gz LQZYPENZHFUQNN-OLZOCXBDSA-N 0 2 323.441 0.543 20 0 DCADLN C[C@H](CN(C)C(=O)c1nc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001443958134 1010776857 /nfs/dbraw/zinc/77/68/57/1010776857.db2.gz WOHQZRBRGTVFAS-RITPCOANSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@H](CN(C)C(=O)c1nc[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001443958134 1010776860 /nfs/dbraw/zinc/77/68/60/1010776860.db2.gz WOHQZRBRGTVFAS-RITPCOANSA-N 0 2 311.239 0.282 20 0 DCADLN CCO[C@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001423099199 1010887796 /nfs/dbraw/zinc/88/77/96/1010887796.db2.gz UIOFVFRSANTGFU-JQWIXIFHSA-N 0 2 309.370 0.016 20 0 DCADLN Cn1cnnc1CNC1(CNC(=O)c2ncccc2F)CC1 ZINC001423282942 1011045951 /nfs/dbraw/zinc/04/59/51/1011045951.db2.gz VZNQNHBGAKQCKO-UHFFFAOYSA-N 0 2 304.329 0.401 20 0 DCADLN CCC[NH+](C)CC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC ZINC001423353206 1011097161 /nfs/dbraw/zinc/09/71/61/1011097161.db2.gz MHHGWEGNUPLOFO-SOUVJXGZSA-N 0 2 324.469 0.528 20 0 DCADLN C[C@H](NCc1nnc(CS(C)(=O)=O)[nH]1)C(=O)OC(C)(C)C ZINC001423488756 1011181019 /nfs/dbraw/zinc/18/10/19/1011181019.db2.gz APYSNAVGLUVIOI-QMMMGPOBSA-N 0 2 318.399 0.169 20 0 DCADLN C[C@]1(NC(=O)Cc2cccs2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423550661 1011251583 /nfs/dbraw/zinc/25/15/83/1011251583.db2.gz JPUPYCXOTLTUGW-AWEZNQCLSA-N 0 2 321.406 0.895 20 0 DCADLN C[C@]1(NC(=O)Cc2cccs2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423550661 1011251600 /nfs/dbraw/zinc/25/16/00/1011251600.db2.gz JPUPYCXOTLTUGW-AWEZNQCLSA-N 0 2 321.406 0.895 20 0 DCADLN C[C@]1(NC(=O)Cc2cncs2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423567583 1011281171 /nfs/dbraw/zinc/28/11/71/1011281171.db2.gz IBDIOLLWJWYOQZ-ZDUSSCGKSA-N 0 2 322.394 0.290 20 0 DCADLN C[C@]1(NC(=O)Cc2cncs2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423567583 1011281181 /nfs/dbraw/zinc/28/11/81/1011281181.db2.gz IBDIOLLWJWYOQZ-ZDUSSCGKSA-N 0 2 322.394 0.290 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cncs1 ZINC001445004958 1011306033 /nfs/dbraw/zinc/30/60/33/1011306033.db2.gz VEHWAWNWKKRPQU-UHFFFAOYSA-N 0 2 310.383 0.100 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cncs1 ZINC001445004958 1011306042 /nfs/dbraw/zinc/30/60/42/1011306042.db2.gz VEHWAWNWKKRPQU-UHFFFAOYSA-N 0 2 310.383 0.100 20 0 DCADLN O=C(NCC=CCNC(=O)c1ccccc1O)c1[nH]ncc1F ZINC001533382195 1011346857 /nfs/dbraw/zinc/34/68/57/1011346857.db2.gz LJDFSXZOGLSVLS-ONEGZZNKSA-N 0 2 318.308 0.970 20 0 DCADLN CC[C@H](CNC(=O)c1[nH]nc(C)c1C)NC(=O)C[N@H+](C)C(C)C ZINC001456744781 1011432074 /nfs/dbraw/zinc/43/20/74/1011432074.db2.gz NZQJQGNEEWRFRW-CYBMUJFWSA-N 0 2 323.441 0.991 20 0 DCADLN CCC(=O)NCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001431656272 1011508696 /nfs/dbraw/zinc/50/86/96/1011508696.db2.gz SDKFTGYAKZDNNV-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)NCC(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001431656272 1011508704 /nfs/dbraw/zinc/50/87/04/1011508704.db2.gz SDKFTGYAKZDNNV-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cnn(C)c1 ZINC001431772860 1011633847 /nfs/dbraw/zinc/63/38/47/1011633847.db2.gz XRJBIHZUAQTMMR-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cnn(C)c1 ZINC001431772860 1011633853 /nfs/dbraw/zinc/63/38/53/1011633853.db2.gz XRJBIHZUAQTMMR-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001534851670 1011699929 /nfs/dbraw/zinc/69/99/29/1011699929.db2.gz ZURUECZQINNQPM-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001534851670 1011699938 /nfs/dbraw/zinc/69/99/38/1011699938.db2.gz ZURUECZQINNQPM-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)c1 ZINC001535521151 1011917655 /nfs/dbraw/zinc/91/76/55/1011917655.db2.gz POQDIQCXPSUWJI-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cnc(C(=O)NC2(CNC(=O)[C@H](F)C(F)(F)F)CC2)c1 ZINC001535521151 1011917666 /nfs/dbraw/zinc/91/76/66/1011917666.db2.gz POQDIQCXPSUWJI-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN COCC(=O)N1CC[C@H](CN(C)C(=O)C(F)C(F)(F)F)C1 ZINC001432062191 1011922286 /nfs/dbraw/zinc/92/22/86/1011922286.db2.gz MDUZMQPZOBBZIX-SCZZXKLOSA-N 0 2 314.279 0.840 20 0 DCADLN COCC(=O)N1CC[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432062191 1011922300 /nfs/dbraw/zinc/92/23/00/1011922300.db2.gz MDUZMQPZOBBZIX-SCZZXKLOSA-N 0 2 314.279 0.840 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cc[nH]n1 ZINC001424161467 1012105409 /nfs/dbraw/zinc/10/54/09/1012105409.db2.gz FFIGTMAUFDHHOH-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccn[nH]1 ZINC001424161467 1012105424 /nfs/dbraw/zinc/10/54/24/1012105424.db2.gz FFIGTMAUFDHHOH-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C[C@H]1CCOC1 ZINC001458292270 1012130977 /nfs/dbraw/zinc/13/09/77/1012130977.db2.gz QIBWCWNMBSZLPR-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C[C@H]1CCOC1 ZINC001458292270 1012130986 /nfs/dbraw/zinc/13/09/86/1012130986.db2.gz QIBWCWNMBSZLPR-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ncccn1 ZINC001424185593 1012140350 /nfs/dbraw/zinc/14/03/50/1012140350.db2.gz VZEPNJDBLIJVLR-SFYZADRCSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ncccn1 ZINC001424185593 1012140363 /nfs/dbraw/zinc/14/03/63/1012140363.db2.gz VZEPNJDBLIJVLR-SFYZADRCSA-N 0 2 322.262 0.954 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n(C)n1 ZINC001432260843 1012218967 /nfs/dbraw/zinc/21/89/67/1012218967.db2.gz QFGRRAAVSBUDDG-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n(C)n1 ZINC001432260843 1012218985 /nfs/dbraw/zinc/21/89/85/1012218985.db2.gz QFGRRAAVSBUDDG-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1n[nH]c(SCC(=O)NCc2cc(=O)[nH]c(=S)[nH]2)n1 ZINC001557320193 1012274459 /nfs/dbraw/zinc/27/44/59/1012274459.db2.gz HHWUXDDEQNHDHD-UHFFFAOYSA-N 0 2 312.380 0.306 20 0 DCADLN CCc1noc2ncc(C(=O)NN3C(=O)[C@H](C)N(C)C3=O)cc12 ZINC001557577316 1012281794 /nfs/dbraw/zinc/28/17/94/1012281794.db2.gz JHRNDNLDCHTPGU-ZETCQYMHSA-N 0 2 317.305 0.713 20 0 DCADLN CC(C)[C@H](C(=O)N1CC(NC(=O)CCn2cc[nH+]c2)C1)[NH+](C)C ZINC001432306845 1012296775 /nfs/dbraw/zinc/29/67/75/1012296775.db2.gz QQCRWLKJLIDOBV-OAHLLOKOSA-N 0 2 321.425 0.186 20 0 DCADLN C[C@H](NC(=O)c1ccnc2[nH]c(=O)[nH]c21)c1nn(C)cc1O ZINC001558683924 1012377737 /nfs/dbraw/zinc/37/77/37/1012377737.db2.gz QBUUHWDHHODHJW-LURJTMIESA-N 0 2 302.294 0.594 20 0 DCADLN CC[C@@H](C)C(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001424350283 1012416365 /nfs/dbraw/zinc/41/63/65/1012416365.db2.gz RVTWLIOJEHHMLN-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN Nn1c(Cc2ccccc2)nnc1SCc1n[nH]c(=O)[nH]1 ZINC001559443211 1012424926 /nfs/dbraw/zinc/42/49/26/1012424926.db2.gz QVMWKMWCHQADDA-UHFFFAOYSA-N 0 2 303.351 0.699 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2CCOC2)CC1)C(F)C(F)(F)F ZINC001458969208 1012500409 /nfs/dbraw/zinc/50/04/09/1012500409.db2.gz DKNNRWIIZLHWHD-YUMQZZPRSA-N 0 2 312.263 0.688 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2CCOC2)CC1)[C@H](F)C(F)(F)F ZINC001458969208 1012500421 /nfs/dbraw/zinc/50/04/21/1012500421.db2.gz DKNNRWIIZLHWHD-YUMQZZPRSA-N 0 2 312.263 0.688 20 0 DCADLN Cc1nc[nH]c1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001458973800 1012504591 /nfs/dbraw/zinc/50/45/91/1012504591.db2.gz UZGDOZZONBVQIX-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1nc[nH]c1C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001458973800 1012504609 /nfs/dbraw/zinc/50/46/09/1012504609.db2.gz UZGDOZZONBVQIX-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN CCCCC(=O)N1CCN(C2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001424522016 1012609405 /nfs/dbraw/zinc/60/94/05/1012609405.db2.gz PZEKRAWRNLMEMH-UHFFFAOYSA-N 0 2 322.413 0.029 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cccc3c2OC(C)(C)C3)n1 ZINC001548425745 1012617305 /nfs/dbraw/zinc/61/73/05/1012617305.db2.gz GLIVKZINSWKMSD-UHFFFAOYSA-N 0 2 309.351 0.724 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001432711727 1012795197 /nfs/dbraw/zinc/79/51/97/1012795197.db2.gz JAMMDQSVUBVUBV-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001432711727 1012795209 /nfs/dbraw/zinc/79/52/09/1012795209.db2.gz JAMMDQSVUBVUBV-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN Cc1c(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cnn1C ZINC001478359924 1017343533 /nfs/dbraw/zinc/34/35/33/1017343533.db2.gz WTLWPDKPINAWRO-SNVBAGLBSA-N 0 2 319.369 0.641 20 0 DCADLN C[C@@H]1COCC[C@@H]1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478428902 1017346935 /nfs/dbraw/zinc/34/69/35/1017346935.db2.gz DNDUBGJHIAXFTD-MXWKQRLJSA-N 0 2 309.370 0.824 20 0 DCADLN O=C(CC(C1CC1)C1CC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001494632974 1017886275 /nfs/dbraw/zinc/88/62/75/1017886275.db2.gz MQAQMADQYDKBRE-UHFFFAOYSA-N 0 2 319.409 0.885 20 0 DCADLN CN(C(=O)CC(F)(F)F)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001479883835 1017934450 /nfs/dbraw/zinc/93/44/50/1017934450.db2.gz YMTIZQLPUGTYQE-UHFFFAOYSA-N 0 2 321.303 0.886 20 0 DCADLN COc1cc(C[N@H+](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001498258842 1018849983 /nfs/dbraw/zinc/84/99/83/1018849983.db2.gz KVZPLUQNNFDKKJ-MRVPVSSYSA-N 0 2 323.353 0.671 20 0 DCADLN COc1cc(C[N@@H+](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001498258842 1018850001 /nfs/dbraw/zinc/85/00/01/1018850001.db2.gz KVZPLUQNNFDKKJ-MRVPVSSYSA-N 0 2 323.353 0.671 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001501059178 1018851813 /nfs/dbraw/zinc/85/18/13/1018851813.db2.gz BVVLQDUTGYXMII-BDAKNGLRSA-N 0 2 314.279 0.840 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001501059178 1018851824 /nfs/dbraw/zinc/85/18/24/1018851824.db2.gz BVVLQDUTGYXMII-BDAKNGLRSA-N 0 2 314.279 0.840 20 0 DCADLN Cc1nccc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001496122992 1019087313 /nfs/dbraw/zinc/08/73/13/1019087313.db2.gz IATDEFQUDJLIOF-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1nccc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001496122992 1019087347 /nfs/dbraw/zinc/08/73/47/1019087347.db2.gz IATDEFQUDJLIOF-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001496122808 1019088086 /nfs/dbraw/zinc/08/80/86/1019088086.db2.gz FKKSKOVGKMUNHY-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001496122808 1019088103 /nfs/dbraw/zinc/08/81/03/1019088103.db2.gz FKKSKOVGKMUNHY-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN Cc1nc(CC(=O)NC[C@@H]2CCC[N@H+]2Cc2ocnc2C)n[nH]1 ZINC001493095884 1019094886 /nfs/dbraw/zinc/09/48/86/1019094886.db2.gz FKLGBEHWXMJPTQ-LBPRGKRZSA-N 0 2 318.381 0.733 20 0 DCADLN CCN(CCNC(=O)c1cncnc1)C(=O)[C@H](F)C(F)(F)F ZINC001496724818 1019579977 /nfs/dbraw/zinc/57/99/77/1019579977.db2.gz LIGVVEXKDXZHSK-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1cncnc1)C(=O)C(F)C(F)(F)F ZINC001496724818 1019579965 /nfs/dbraw/zinc/57/99/65/1019579965.db2.gz LIGVVEXKDXZHSK-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC001494205096 1020074103 /nfs/dbraw/zinc/07/41/03/1020074103.db2.gz GECMUWIYGQNARC-HRDYMLBCSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cncnc1 ZINC001494205096 1020074106 /nfs/dbraw/zinc/07/41/06/1020074106.db2.gz GECMUWIYGQNARC-HRDYMLBCSA-N 0 2 320.246 0.754 20 0 DCADLN CCC(CC)(CNC(=O)N[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000336855245 282106779 /nfs/dbraw/zinc/10/67/79/282106779.db2.gz VLFKQNTVSPKARX-GFCCVEGCSA-N 0 2 315.414 0.897 20 0 DCADLN CN(Cc1cc(Br)cn1C)Cc1n[nH]c(=O)[nH]1 ZINC000092619100 185329979 /nfs/dbraw/zinc/32/99/79/185329979.db2.gz ZVPYIOWVOJTTGS-UHFFFAOYSA-N 0 2 300.160 0.831 20 0 DCADLN Cc1cccc(NC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000092642996 185330748 /nfs/dbraw/zinc/33/07/48/185330748.db2.gz AJAYANRIXFBSJJ-UHFFFAOYSA-N 0 2 316.365 0.756 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)C[C@@H]1C ZINC000330780728 232062543 /nfs/dbraw/zinc/06/25/43/232062543.db2.gz NVRCJHVTXYPOQV-JGVFFNPUSA-N 0 2 314.367 0.260 20 0 DCADLN C[C@@H](C(=O)N1CC[C@@H](CO)C1)n1nnc(-c2ccccc2)n1 ZINC000179701563 186207320 /nfs/dbraw/zinc/20/73/20/186207320.db2.gz PTLBNERSKRDPFR-NWDGAFQWSA-N 0 2 301.350 0.742 20 0 DCADLN COC[C@H](CO)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000280097381 215372200 /nfs/dbraw/zinc/37/22/00/215372200.db2.gz UHSAFQYHKNCMRP-JTQLQIEISA-N 0 2 309.297 0.454 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](F)C[C@@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000331897587 234251663 /nfs/dbraw/zinc/25/16/63/234251663.db2.gz BECASMIZSSGAPA-HTQZYQBOSA-N 0 2 322.296 0.289 20 0 DCADLN Cc1cc(C(=O)N(C)CC(F)(F)F)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000174232739 198309149 /nfs/dbraw/zinc/30/91/49/198309149.db2.gz QTTWXRMVPIWHIZ-UHFFFAOYSA-N 0 2 316.239 0.966 20 0 DCADLN CC(C)NC(=O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000073053552 191274667 /nfs/dbraw/zinc/27/46/67/191274667.db2.gz YLQSXJXWGJZSIH-UHFFFAOYSA-N 0 2 302.334 0.832 20 0 DCADLN O=C(CNC(=O)CSCc1ccncc1)NCC(F)F ZINC000280280817 215507765 /nfs/dbraw/zinc/50/77/65/215507765.db2.gz SHIHFMRSUDYODG-UHFFFAOYSA-N 0 2 303.334 0.812 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cccc(C(=O)N(C)C)c2)no1 ZINC000180187748 199128521 /nfs/dbraw/zinc/12/85/21/199128521.db2.gz DBYMWCVFEPOVFU-UHFFFAOYSA-N 0 2 310.335 0.881 20 0 DCADLN Cc1c(/C=C/C(=O)NS(=O)(=O)c2cccnc2)cnn1C ZINC000156195252 291223914 /nfs/dbraw/zinc/22/39/14/291223914.db2.gz MTOMAZYMELMKDH-AATRIKPKSA-N 0 2 306.347 0.642 20 0 DCADLN C[C@H]1CO[C@@H](CO)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000157218450 291227655 /nfs/dbraw/zinc/22/76/55/291227655.db2.gz SRRKOKINQDQABZ-WCQYABFASA-N 0 2 317.345 0.800 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C/c2cnn(C)c2C)cn1 ZINC000157867715 291229659 /nfs/dbraw/zinc/22/96/59/291229659.db2.gz QEZRFPIWZNLNSQ-AATRIKPKSA-N 0 2 323.378 0.463 20 0 DCADLN C[C@H](O)CNc1cc(N2CCC3(C[C@@H]3C(=O)[O-])CC2)nc[nH+]1 ZINC000566072000 291267423 /nfs/dbraw/zinc/26/74/23/291267423.db2.gz SOXXAWZPRBVDFM-WDEREUQCSA-N 0 2 306.366 0.960 20 0 DCADLN C[C@H](O)CNc1cc(N2CCC3(C[C@@H]3C(=O)[O-])CC2)[nH+]cn1 ZINC000566072000 291267425 /nfs/dbraw/zinc/26/74/25/291267425.db2.gz SOXXAWZPRBVDFM-WDEREUQCSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])[C@@H]1CCCC[N@@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000262330529 304105055 /nfs/dbraw/zinc/10/50/55/304105055.db2.gz XWLLGLUTLOUHLP-ZDUSSCGKSA-N 0 2 317.345 0.911 20 0 DCADLN O=C([O-])[C@@H]1CCCC[N@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000262330529 304105056 /nfs/dbraw/zinc/10/50/56/304105056.db2.gz XWLLGLUTLOUHLP-ZDUSSCGKSA-N 0 2 317.345 0.911 20 0 DCADLN Cn1nc(C2CC2)nc1CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000566162728 291275369 /nfs/dbraw/zinc/27/53/69/291275369.db2.gz FONYKDIWBFPQNR-SNVBAGLBSA-N 0 2 303.370 0.896 20 0 DCADLN O=C(CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)Nc1ccncc1 ZINC000566642976 291316657 /nfs/dbraw/zinc/31/66/57/291316657.db2.gz UCQRIYZPGPACJB-SNVBAGLBSA-N 0 2 302.338 0.145 20 0 DCADLN COC(=O)C1(C)CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000425153796 240293308 /nfs/dbraw/zinc/29/33/08/240293308.db2.gz SOZNIZHYVUGCBF-UHFFFAOYSA-N 0 2 318.289 0.071 20 0 DCADLN O=C(c1cnc2cccnn21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000567172293 291340940 /nfs/dbraw/zinc/34/09/40/291340940.db2.gz HWUOFNDMOATOSV-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN C[C@H](NC(=O)C=Cc1ccccc1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000493767953 241153168 /nfs/dbraw/zinc/15/31/68/241153168.db2.gz CCMTUWPLOMQXKV-JARNTUPDSA-N 0 2 316.317 0.772 20 0 DCADLN COc1ccc(Cl)cc1CC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000567829857 291382105 /nfs/dbraw/zinc/38/21/05/291382105.db2.gz WZDCIIKFOQBIEN-ZDUSSCGKSA-N 0 2 311.813 0.992 20 0 DCADLN CCc1noc(CC)c1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275093763 212115493 /nfs/dbraw/zinc/11/54/93/212115493.db2.gz HKTWZDKZAUENAV-UHFFFAOYSA-N 0 2 311.367 0.619 20 0 DCADLN CCC[C@H]1C(=O)NCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000093256583 193220934 /nfs/dbraw/zinc/22/09/34/193220934.db2.gz VBXREWYMJHTMGG-AWEZNQCLSA-N 0 2 305.378 0.835 20 0 DCADLN CCC[C@H]1C(=O)NCC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000093256583 193220936 /nfs/dbraw/zinc/22/09/36/193220936.db2.gz VBXREWYMJHTMGG-AWEZNQCLSA-N 0 2 305.378 0.835 20 0 DCADLN CCC[C@H]1C(=O)NCCN1CC(=O)NOCc1ccccc1 ZINC000093256583 193220937 /nfs/dbraw/zinc/22/09/37/193220937.db2.gz VBXREWYMJHTMGG-AWEZNQCLSA-N 0 2 305.378 0.835 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H](O)c1ccccc1F ZINC000274962897 212044841 /nfs/dbraw/zinc/04/48/41/212044841.db2.gz LMLJMSSFVGFOPW-VIFPVBQESA-N 0 2 312.326 0.179 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H](O)c1ccc(F)cc1 ZINC000274909872 212026798 /nfs/dbraw/zinc/02/67/98/212026798.db2.gz TWRPNFPEITZNOA-VIFPVBQESA-N 0 2 312.326 0.179 20 0 DCADLN C[C@H]1CN(C(=O)C2=NN(c3ccccc3)CC2=O)CC(=O)N1C ZINC000282462148 217013467 /nfs/dbraw/zinc/01/34/67/217013467.db2.gz UBDQTQZACWPDEW-NSHDSACASA-N 0 2 314.345 0.881 20 0 DCADLN NC(=O)NCCC(=O)NCCc1nc(-c2ccccn2)cs1 ZINC000271680529 209120487 /nfs/dbraw/zinc/12/04/87/209120487.db2.gz BPYCEHXGYQUHHI-UHFFFAOYSA-N 0 2 319.390 0.922 20 0 DCADLN CC(=O)Nc1ncc(S(=O)(=O)NCC(F)(F)F)s1 ZINC000288501054 220183140 /nfs/dbraw/zinc/18/31/40/220183140.db2.gz DYDXLJMOPZQPIQ-UHFFFAOYSA-N 0 2 303.287 0.942 20 0 DCADLN O=C(NCCN1CCN(C(=O)C(F)(F)F)CC1)C(F)(F)F ZINC000020075642 182185238 /nfs/dbraw/zinc/18/52/38/182185238.db2.gz DCKXSEJJSWAVFP-UHFFFAOYSA-N 0 2 321.221 0.371 20 0 DCADLN C[C@@H]1C(=O)N(C)CCN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000429500053 296255917 /nfs/dbraw/zinc/25/59/17/296255917.db2.gz FDCPXSMRTVWXNV-SECBINFHSA-N 0 2 316.317 0.333 20 0 DCADLN Cc1nnc(NC(=O)c2sccc2S(=O)(=O)N(C)C)[nH]1 ZINC000110711177 194353296 /nfs/dbraw/zinc/35/32/96/194353296.db2.gz VZXTUJLXLOCQDQ-UHFFFAOYSA-N 0 2 315.380 0.677 20 0 DCADLN Cc1n[nH]c(NC(=O)c2sccc2S(=O)(=O)N(C)C)n1 ZINC000110711177 194353298 /nfs/dbraw/zinc/35/32/98/194353298.db2.gz VZXTUJLXLOCQDQ-UHFFFAOYSA-N 0 2 315.380 0.677 20 0 DCADLN NC(=O)NC(=O)c1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000339096521 253030572 /nfs/dbraw/zinc/03/05/72/253030572.db2.gz QEKXTQDPGUWEJP-UHFFFAOYSA-N 0 2 309.307 0.019 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nncs2)cc1C(N)=O ZINC000339408753 253080295 /nfs/dbraw/zinc/08/02/95/253080295.db2.gz DJHVWKDFNUJIER-UHFFFAOYSA-N 0 2 314.348 0.446 20 0 DCADLN C[C@@H](C(=O)N=c1nc(-c2cccnc2)[nH]s1)n1cncn1 ZINC000339597763 253111386 /nfs/dbraw/zinc/11/13/86/253111386.db2.gz FAIAMVHGIAQCDY-QMMMGPOBSA-N 0 2 301.335 0.813 20 0 DCADLN C[NH+](C)CCO[C@H]1CCN(c2ncnc3c2C[N@H+](C)CC3)C1 ZINC000339684773 253126287 /nfs/dbraw/zinc/12/62/87/253126287.db2.gz WIVVTSCZLFUJDZ-ZDUSSCGKSA-N 0 2 305.426 0.621 20 0 DCADLN CN(CC(=O)NC1CC1)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000339915476 253163658 /nfs/dbraw/zinc/16/36/58/253163658.db2.gz MFBGFNVGYYARQX-UHFFFAOYSA-N 0 2 316.317 0.381 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC000340043734 253190103 /nfs/dbraw/zinc/19/01/03/253190103.db2.gz VDGLHPCNHIMOOL-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccccc1-n1cnnn1 ZINC000340079941 253197570 /nfs/dbraw/zinc/19/75/70/253197570.db2.gz KXIIFBBIMUDLAA-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN CN(C)C(=O)NCCNC(=O)Nc1cccc2c1COC2=O ZINC000288650107 220321783 /nfs/dbraw/zinc/32/17/83/220321783.db2.gz ADMYAJQGJHBKAU-UHFFFAOYSA-N 0 2 306.322 0.750 20 0 DCADLN CCc1nc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)co1 ZINC000347016145 254122003 /nfs/dbraw/zinc/12/20/03/254122003.db2.gz DOJXMVWVXUSQEY-UHFFFAOYSA-N 0 2 317.352 0.712 20 0 DCADLN COCCOc1ccnc(NS(=O)(=O)c2ccn(C)n2)n1 ZINC000351111728 254333346 /nfs/dbraw/zinc/33/33/46/254333346.db2.gz SSQBLLBTRVQJMZ-UHFFFAOYSA-N 0 2 313.339 0.036 20 0 DCADLN NS(=O)(=O)c1c(F)cccc1NS(=O)(=O)c1ccoc1 ZINC000351451018 254336863 /nfs/dbraw/zinc/33/68/63/254336863.db2.gz JOJLSNRYPVKDTH-UHFFFAOYSA-N 0 2 320.323 0.867 20 0 DCADLN CCc1nc(NS(=O)(=O)c2csnc2C(=O)OC)no1 ZINC000350648824 254322657 /nfs/dbraw/zinc/32/26/57/254322657.db2.gz YRQKNPAQGVRMTK-UHFFFAOYSA-N 0 2 318.336 0.676 20 0 DCADLN COC(=O)[C@H]1COCCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC000273804110 291913038 /nfs/dbraw/zinc/91/30/38/291913038.db2.gz BOPSXMFTZWMVLL-SNVBAGLBSA-N 0 2 301.245 0.684 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2ncnn2CC(C)C)n[nH]1 ZINC001647132184 1172693323 /nfs/dbraw/zinc/69/33/23/1172693323.db2.gz RIAMUMCZVNBLJN-UHFFFAOYSA-N 0 2 314.371 0.173 20 0 DCADLN CNC(=O)C(C)(C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275929410 130412773 /nfs/dbraw/zinc/41/27/73/130412773.db2.gz HSLOLNZBWZONPQ-UHFFFAOYSA-N 0 2 304.354 0.312 20 0 DCADLN O=C([O-])NCC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000285609436 131185978 /nfs/dbraw/zinc/18/59/78/131185978.db2.gz JBFQOPCEFDHQKI-UHFFFAOYSA-N 0 2 307.350 0.477 20 0 DCADLN O=C(c1ccc(Cl)cc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000091514971 395725995 /nfs/dbraw/zinc/72/59/95/395725995.db2.gz QDZAQDMDSHNXRH-UHFFFAOYSA-N 0 2 321.768 0.709 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1nccs1 ZINC000037490399 395727767 /nfs/dbraw/zinc/72/77/67/395727767.db2.gz VZMFQOBAAWRDNI-UHFFFAOYSA-N 0 2 302.337 0.844 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(OCC(N)=O)cc1 ZINC000040809975 395734677 /nfs/dbraw/zinc/73/46/77/395734677.db2.gz NHSCMLBBFYLNSH-UHFFFAOYSA-N 0 2 310.335 0.383 20 0 DCADLN COc1cc(F)c([C@H](C)NC(=O)c2nc(=O)[nH][nH]2)cc1OC ZINC000114539758 395835770 /nfs/dbraw/zinc/83/57/70/395835770.db2.gz XUECCUJPTSRTBS-LURJTMIESA-N 0 2 310.285 0.745 20 0 DCADLN C[C@H]1C[C@H](CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000271310401 395857129 /nfs/dbraw/zinc/85/71/29/395857129.db2.gz INDBWEIZRCNVOW-DTWKUNHWSA-N 0 2 318.333 0.981 20 0 DCADLN O=C(Nc1ccc(-n2nn[n-]c2=O)cc1)c1ccc2[nH+]ccn2c1 ZINC000194308614 395793512 /nfs/dbraw/zinc/79/35/12/395793512.db2.gz JQWZOZMSOWNGOE-UHFFFAOYSA-N 0 2 321.300 0.856 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(Br)c(O)c1 ZINC000133565993 395929862 /nfs/dbraw/zinc/92/98/62/395929862.db2.gz NTOWEGHSZPOECE-UHFFFAOYSA-N 0 2 313.111 0.496 20 0 DCADLN CN(C)C(=O)c1cccc(NS(=O)(=O)c2cnn(C)c2)c1 ZINC000055580414 395920133 /nfs/dbraw/zinc/92/01/33/395920133.db2.gz XQPXDWUBDSQCES-UHFFFAOYSA-N 0 2 308.363 0.923 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccccc2-n2cnnn2)cn1 ZINC000059777426 395960060 /nfs/dbraw/zinc/96/00/60/395960060.db2.gz AJWZWEIPDUANKQ-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN CS(=O)(=O)N1CC[NH+](Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC000071265127 396110828 /nfs/dbraw/zinc/11/08/28/396110828.db2.gz GQMGOEAWLGROQU-UHFFFAOYSA-N 0 2 316.354 0.601 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])Cc1cnn(-c2ccccc2)c1 ZINC000262238161 396113585 /nfs/dbraw/zinc/11/35/85/396113585.db2.gz OHQZWEAMYRBHJM-UHFFFAOYSA-N 0 2 302.334 0.505 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])Cc1cnn(-c2ccccc2)c1 ZINC000262238161 396113591 /nfs/dbraw/zinc/11/35/91/396113591.db2.gz OHQZWEAMYRBHJM-UHFFFAOYSA-N 0 2 302.334 0.505 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C(=O)OC)cc2)c1O ZINC000278243561 396114820 /nfs/dbraw/zinc/11/48/20/396114820.db2.gz WDTSQQXMFJWPCK-JTQLQIEISA-N 0 2 306.274 0.461 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CC(=O)N1CC(=O)Nc2ccccc21 ZINC000262412721 396137522 /nfs/dbraw/zinc/13/75/22/396137522.db2.gz YDKALVBGEIFGMY-UHFFFAOYSA-N 0 2 305.334 0.768 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CC(=O)N1CC(=O)Nc2ccccc21 ZINC000262412721 396137524 /nfs/dbraw/zinc/13/75/24/396137524.db2.gz YDKALVBGEIFGMY-UHFFFAOYSA-N 0 2 305.334 0.768 20 0 DCADLN C[C@@H](N(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1(C)CC1 ZINC000176251858 396153038 /nfs/dbraw/zinc/15/30/38/396153038.db2.gz ZXLHFXHGLQLCCY-MRVPVSSYSA-N 0 2 302.334 0.872 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1C[C@H](O)Cc2ccccc21 ZINC000279584778 396185894 /nfs/dbraw/zinc/18/58/94/396185894.db2.gz SWQPOONBLVTYCZ-SECBINFHSA-N 0 2 306.347 0.140 20 0 DCADLN COC[C@@H](CO)NC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000279833943 396187286 /nfs/dbraw/zinc/18/72/86/396187286.db2.gz BEWCOUHNNCXIBD-SNVBAGLBSA-N 0 2 321.333 0.324 20 0 DCADLN Cc1n[nH]cc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000264115875 396256461 /nfs/dbraw/zinc/25/64/61/396256461.db2.gz CASUSCPKVAUHCO-UHFFFAOYSA-N 0 2 302.341 0.193 20 0 DCADLN Cc1[nH+]c2ccccc2n1CCCNC(=O)c1n[nH]c(=O)[n-]1 ZINC000080325951 396278691 /nfs/dbraw/zinc/27/86/91/396278691.db2.gz SNGGJPBPUOTXKH-UHFFFAOYSA-N 0 2 300.322 0.576 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc3c(cc2C)OCO3)c1O ZINC000285912070 396362217 /nfs/dbraw/zinc/36/22/17/396362217.db2.gz IERKPGWKRJJPKJ-LLVKDONJSA-N 0 2 306.274 0.712 20 0 DCADLN COc1ncnc(N(C)C)c1NS(=O)(=O)c1cccnc1 ZINC000269166810 396391495 /nfs/dbraw/zinc/39/14/95/396391495.db2.gz WAGCYBICCMFVTE-UHFFFAOYSA-N 0 2 309.351 0.747 20 0 DCADLN NS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCc3cn[nH]c32)o1 ZINC000268965247 396378930 /nfs/dbraw/zinc/37/89/30/396378930.db2.gz KKYLHWNVDVPQHR-MRVPVSSYSA-N 0 2 310.335 0.458 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCC[C@](O)(Cn2ccnn2)C1 ZINC000290765623 396471809 /nfs/dbraw/zinc/47/18/09/396471809.db2.gz BTVBAXSEZODYIT-PSASIEDQSA-N 0 2 310.251 0.532 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCC[C@](O)(Cn2ccnn2)C1 ZINC000290765623 396471810 /nfs/dbraw/zinc/47/18/10/396471810.db2.gz BTVBAXSEZODYIT-PSASIEDQSA-N 0 2 310.251 0.532 20 0 DCADLN CCOC(=O)C(NC(=O)[C@H](F)C(F)(F)F)C(=O)OCC ZINC000292889098 396574386 /nfs/dbraw/zinc/57/43/86/396574386.db2.gz WMJCXRJXAUKBCY-LURJTMIESA-N 0 2 303.208 0.498 20 0 DCADLN CCOC(=O)C(NC(=O)C(F)C(F)(F)F)C(=O)OCC ZINC000292889098 396574389 /nfs/dbraw/zinc/57/43/89/396574389.db2.gz WMJCXRJXAUKBCY-LURJTMIESA-N 0 2 303.208 0.498 20 0 DCADLN CCOCC(C)(C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000293803960 396633956 /nfs/dbraw/zinc/63/39/56/396633956.db2.gz DROGDXCSJMAGQS-UHFFFAOYSA-N 0 2 306.322 0.981 20 0 DCADLN C[NH+](CC(=O)N1CCC1)C[C@]1(O)CC[N@H+](Cc2ccccc2)C1 ZINC000375300231 396725376 /nfs/dbraw/zinc/72/53/76/396725376.db2.gz XEQGJRCYMLNCCR-GOSISDBHSA-N 0 2 317.433 0.788 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNc2cc(C(C)(C)C)nc(C(=O)[O-])n2)C1 ZINC000563206133 396713962 /nfs/dbraw/zinc/71/39/62/396713962.db2.gz KJGNWJUNTDNCOF-JTQLQIEISA-N 0 2 308.382 0.637 20 0 DCADLN C[N@H+]1CCO[C@@H](CNc2cc(C(C)(C)C)nc(C(=O)[O-])n2)C1 ZINC000563206133 396713965 /nfs/dbraw/zinc/71/39/65/396713965.db2.gz KJGNWJUNTDNCOF-JTQLQIEISA-N 0 2 308.382 0.637 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@@H+]2CCC[C@@H]2C(=O)[O-])c1 ZINC000563217889 396714969 /nfs/dbraw/zinc/71/49/69/396714969.db2.gz UEVVYXRRFGMPNJ-GFCCVEGCSA-N 0 2 306.318 0.961 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@H+]2CCC[C@@H]2C(=O)[O-])c1 ZINC000563217889 396714974 /nfs/dbraw/zinc/71/49/74/396714974.db2.gz UEVVYXRRFGMPNJ-GFCCVEGCSA-N 0 2 306.318 0.961 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@@H](C)CO1 ZINC000617722601 396794595 /nfs/dbraw/zinc/79/45/95/396794595.db2.gz BBKUWWRKMOZKAW-VHSXEESVSA-N 0 2 320.349 0.767 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@@H](C)CO1 ZINC000617722601 396794603 /nfs/dbraw/zinc/79/46/03/396794603.db2.gz BBKUWWRKMOZKAW-VHSXEESVSA-N 0 2 320.349 0.767 20 0 DCADLN [NH3+][C@@H]1C[C@@H]2C[N@H+](Cc3cnc([C@@H]4CCCO4)s3)CCN2C1=O ZINC000563430424 396732869 /nfs/dbraw/zinc/73/28/69/396732869.db2.gz BHJSTISEIRBCSR-RTXFEEFZSA-N 0 2 322.434 0.738 20 0 DCADLN Cn1[n-]c(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)cc1=O ZINC000633899072 396737933 /nfs/dbraw/zinc/73/79/33/396737933.db2.gz VHVKDKWWCKBXIQ-UHFFFAOYSA-N 0 2 316.361 0.789 20 0 DCADLN CCOC(=O)c1nnc(NS(=O)(=O)c2cccnc2)s1 ZINC000610732525 396757333 /nfs/dbraw/zinc/75/73/33/396757333.db2.gz KJBFQDFPSDBMRD-UHFFFAOYSA-N 0 2 314.348 0.911 20 0 DCADLN CCN1CCOC[C@H]1C(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000634151811 396797553 /nfs/dbraw/zinc/79/75/53/396797553.db2.gz LRHJFXBHHUUPKW-VIFPVBQESA-N 0 2 314.411 0.501 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC000629770630 396826985 /nfs/dbraw/zinc/82/69/85/396826985.db2.gz ADOVYESMZPHEMB-SNVBAGLBSA-N 0 2 313.379 0.545 20 0 DCADLN CC(C)C[C@@H](C(N)=O)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000564658780 396853153 /nfs/dbraw/zinc/85/31/53/396853153.db2.gz LYMPPFAVQWJKTC-UWVGGRQHSA-N 0 2 309.370 0.364 20 0 DCADLN COC(=O)[C@@H](C)CN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597228470 396917434 /nfs/dbraw/zinc/91/74/34/396917434.db2.gz WPMXKKVACXDGAS-VIFPVBQESA-N 0 2 319.317 0.911 20 0 DCADLN CO[C@H](C)CS(=O)(=O)Nc1ccccc1-c1nnnn1C ZINC000634797862 396918761 /nfs/dbraw/zinc/91/87/61/396918761.db2.gz YMSNMAIZOXMWDN-SECBINFHSA-N 0 2 311.367 0.654 20 0 DCADLN CCS(=O)(=O)NCCC[N@H+]1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000376428639 396926898 /nfs/dbraw/zinc/92/68/98/396926898.db2.gz RRODBXKAGTVXKH-CYBMUJFWSA-N 0 2 314.455 0.929 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000377896451 397160735 /nfs/dbraw/zinc/16/07/35/397160735.db2.gz CBFSDDXFGOOHHT-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000377896451 397160747 /nfs/dbraw/zinc/16/07/47/397160747.db2.gz CBFSDDXFGOOHHT-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN CN(C)C(=O)NCCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613205924 397218090 /nfs/dbraw/zinc/21/80/90/397218090.db2.gz GTXQXQGIPZCABX-UHFFFAOYSA-N 0 2 319.321 0.031 20 0 DCADLN CCCC[C@H](NC(=O)C[N@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000574276416 397251169 /nfs/dbraw/zinc/25/11/69/397251169.db2.gz ASIZTFUOTYIXSM-NSHDSACASA-N 0 2 301.387 0.202 20 0 DCADLN CCCC[C@H](NC(=O)C[N@@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000574276416 397251171 /nfs/dbraw/zinc/25/11/71/397251171.db2.gz ASIZTFUOTYIXSM-NSHDSACASA-N 0 2 301.387 0.202 20 0 DCADLN CO[C@@]1(CNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCOC1 ZINC000613535208 397275981 /nfs/dbraw/zinc/27/59/81/397275981.db2.gz BJUURJKOYPREFR-OAHLLOKOSA-N 0 2 319.317 0.565 20 0 DCADLN C[C@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC[C@@H]1O ZINC000613684432 397300747 /nfs/dbraw/zinc/30/07/47/397300747.db2.gz GJUGMIDLKSFXML-CABZTGNLSA-N 0 2 303.318 0.873 20 0 DCADLN COC(=O)c1ccccc1OCC[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000592987844 397309528 /nfs/dbraw/zinc/30/95/28/397309528.db2.gz BEFDDLKYHFMCJU-CYBMUJFWSA-N 0 2 309.318 0.637 20 0 DCADLN COC(=O)c1ccccc1OCC[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000592987844 397309532 /nfs/dbraw/zinc/30/95/32/397309532.db2.gz BEFDDLKYHFMCJU-CYBMUJFWSA-N 0 2 309.318 0.637 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCCC[C@H]1C(=O)[O-])C(=O)OC ZINC000592987915 397310002 /nfs/dbraw/zinc/31/00/02/397310002.db2.gz FIDCTGZREPXBQF-GVXVVHGQSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCCC[C@H]1C(=O)[O-])C(=O)OC ZINC000592987915 397310007 /nfs/dbraw/zinc/31/00/07/397310007.db2.gz FIDCTGZREPXBQF-GVXVVHGQSA-N 0 2 314.382 0.629 20 0 DCADLN C[C@@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)[C@H]1CO ZINC000613834282 397332908 /nfs/dbraw/zinc/33/29/08/397332908.db2.gz JSIPCUOXSRUCNV-SKDRFNHKSA-N 0 2 303.318 0.873 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[NH+](C)CC1 ZINC000578067246 397385423 /nfs/dbraw/zinc/38/54/23/397385423.db2.gz BWNSZHAQXWFJDF-UHFFFAOYSA-N 0 2 302.352 0.476 20 0 DCADLN NC(=O)N1CCC[C@H](CNC(=O)c2cccc3[nH]nnc32)C1 ZINC000577928659 397370472 /nfs/dbraw/zinc/37/04/72/397370472.db2.gz RESCBUZTPJWWNF-SECBINFHSA-N 0 2 302.338 0.478 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)c1cnn(C)c1 ZINC000492498088 397522324 /nfs/dbraw/zinc/52/23/24/397522324.db2.gz CXSVFBSCFQBHEH-PLNGDYQASA-N 0 2 309.351 0.155 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnn(-c2ccccc2F)c1 ZINC000119597883 158189857 /nfs/dbraw/zinc/18/98/57/158189857.db2.gz DIYJQXNJKKECEP-UHFFFAOYSA-N 0 2 317.284 0.745 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCC(C)(CO)CC1)c2=O ZINC000131942758 158306506 /nfs/dbraw/zinc/30/65/06/158306506.db2.gz KHVKPKZISYHGJO-UHFFFAOYSA-N 0 2 304.350 0.909 20 0 DCADLN C[C@H](Cn1cncn1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000132623973 158313277 /nfs/dbraw/zinc/31/32/77/158313277.db2.gz SGELAJXFFNVIBW-MRVPVSSYSA-N 0 2 301.310 0.084 20 0 DCADLN Cc1cccc(OCCNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000186785853 158533991 /nfs/dbraw/zinc/53/39/91/158533991.db2.gz OWGPRKOJPVCUPB-UHFFFAOYSA-N 0 2 308.363 0.694 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)C[C@@H]3CCCO3)CC2)[nH]1 ZINC000328846607 159033951 /nfs/dbraw/zinc/03/39/51/159033951.db2.gz QMYCHUCULLXULB-JTQLQIEISA-N 0 2 316.383 0.199 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1C[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000329664884 159109844 /nfs/dbraw/zinc/10/98/44/159109844.db2.gz QOQGIGOICPLFRJ-GWCFXTLKSA-N 0 2 310.379 0.772 20 0 DCADLN CCOCCS(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330418955 159170844 /nfs/dbraw/zinc/17/08/44/159170844.db2.gz FPGWCFYARDJWOC-VIFPVBQESA-N 0 2 304.372 0.056 20 0 DCADLN COCC[C@H](C)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330442529 159174407 /nfs/dbraw/zinc/17/44/07/159174407.db2.gz VMVSIXVCHAVQII-VHSXEESVSA-N 0 2 318.399 0.445 20 0 DCADLN COc1ccc2c(c1)C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)CO2 ZINC000359270536 159258360 /nfs/dbraw/zinc/25/83/60/159258360.db2.gz KNDBQCCBVNLHIZ-VIFPVBQESA-N 0 2 304.306 0.386 20 0 DCADLN CCN(CC)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000407991526 160005162 /nfs/dbraw/zinc/00/51/62/160005162.db2.gz VUZYHIRFIUVODR-VIFPVBQESA-N 0 2 303.388 0.276 20 0 DCADLN C[C@@H]1OCC[C@@H]1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000408084484 160032850 /nfs/dbraw/zinc/03/28/50/160032850.db2.gz QIMMJPIYFGIZBZ-WDSKDSINSA-N 0 2 306.369 0.273 20 0 DCADLN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)c1nc(=O)[nH][nH]1 ZINC000122192795 286957351 /nfs/dbraw/zinc/95/73/51/286957351.db2.gz SVDOQSIEHIXDMI-VIFPVBQESA-N 0 2 324.362 0.080 20 0 DCADLN CSc1nc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n[nH]1 ZINC000354773496 287169945 /nfs/dbraw/zinc/16/99/45/287169945.db2.gz QKKADEMKYNEGPQ-UHFFFAOYSA-N 0 2 319.306 0.528 20 0 DCADLN C[C@H]1CC[N@@H+](C)CCN1C(=O)NCC[NH+]1CCOCC1(C)C ZINC000355397830 287181718 /nfs/dbraw/zinc/18/17/18/287181718.db2.gz AEEZINBHNJIWAI-AWEZNQCLSA-N 0 2 312.458 0.833 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1c(F)cc(F)cc1F ZINC000355995968 287191528 /nfs/dbraw/zinc/19/15/28/287191528.db2.gz KVEBWNWGDOBEGP-UHFFFAOYSA-N 0 2 315.211 0.183 20 0 DCADLN CC[C@@H](C)[C@@H](O)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268550210 415239697 /nfs/dbraw/zinc/23/96/97/415239697.db2.gz DHFQRYWVTCRTAS-XCBNKYQSSA-N 0 2 320.349 0.881 20 0 DCADLN COCCN(CC(=O)OC)C(=O)c1cc(F)c(O)c(F)c1 ZINC000272604020 415294260 /nfs/dbraw/zinc/29/42/60/415294260.db2.gz LVPWQDMALTULST-UHFFFAOYSA-N 0 2 303.261 0.932 20 0 DCADLN NC(=O)Cn1ccc(NS(=O)(=O)c2ccc(Cl)cc2)n1 ZINC000342783478 415295993 /nfs/dbraw/zinc/29/59/93/415295993.db2.gz HPSSMLPWXXFCEA-UHFFFAOYSA-N 0 2 314.754 0.823 20 0 DCADLN O=C([O-])C(=O)NC1CC[NH+](CC(=O)Nc2ccccc2)CC1 ZINC000274149709 415327435 /nfs/dbraw/zinc/32/74/35/415327435.db2.gz IMAPHICELUGKLU-UHFFFAOYSA-N 0 2 305.334 0.290 20 0 DCADLN CC(C)[C@@H]1C(=O)NCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000066498724 415360840 /nfs/dbraw/zinc/36/08/40/415360840.db2.gz PGKQHRPTGYYYCA-LLVKDONJSA-N 0 2 306.391 0.941 20 0 DCADLN Cc1nn(CC(=O)NCCCc2n[nH]c(=O)[nH]2)c(C)c1Cl ZINC000080974221 415480931 /nfs/dbraw/zinc/48/09/31/415480931.db2.gz CICFFGVVLDNZLR-UHFFFAOYSA-N 0 2 312.761 0.314 20 0 DCADLN CS(=O)(=O)c1ccc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000343154884 415476395 /nfs/dbraw/zinc/47/63/95/415476395.db2.gz SZMRCVJIPAXDNL-SECBINFHSA-N 0 2 323.378 0.693 20 0 DCADLN CC(=O)Nc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000080974314 415480151 /nfs/dbraw/zinc/48/01/51/415480151.db2.gz IPYURHPAPSBEKW-UHFFFAOYSA-N 0 2 303.322 0.419 20 0 DCADLN CO[C@]1(C)CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000280154344 415523908 /nfs/dbraw/zinc/52/39/08/415523908.db2.gz YDSZMECMUBOCNL-GFCCVEGCSA-N 0 2 300.384 0.608 20 0 DCADLN Cn1cnc(-c2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc2)n1 ZINC000355128776 415851770 /nfs/dbraw/zinc/85/17/70/415851770.db2.gz HVWRBCYWZONABA-UHFFFAOYSA-N 0 2 314.309 0.628 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(O[C@H]2CCOC2)cc1 ZINC000354160719 415778888 /nfs/dbraw/zinc/77/88/88/415778888.db2.gz YGPSRBWMUITHSQ-NSHDSACASA-N 0 2 319.321 1.000 20 0 DCADLN Cc1c(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)nnn1C ZINC000356438759 415921107 /nfs/dbraw/zinc/92/11/07/415921107.db2.gz CNFIADBEFQOXJF-UHFFFAOYSA-N 0 2 300.278 0.719 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)Nc1nnc(-c2cnn(C)c2)o1 ZINC000356507543 415936662 /nfs/dbraw/zinc/93/66/62/415936662.db2.gz NGBGIDASJFURRO-UHFFFAOYSA-N 0 2 324.322 0.876 20 0 DCADLN CNC(=O)NC1CN(C(=O)N=c2nc(C(C)(C)C)[nH]s2)C1 ZINC000345023835 416029476 /nfs/dbraw/zinc/02/94/76/416029476.db2.gz YJAXWXMUHDFLNW-UHFFFAOYSA-N 0 2 312.399 0.403 20 0 DCADLN Cn1nc(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)ccc1=O ZINC000170748287 416030281 /nfs/dbraw/zinc/03/02/81/416030281.db2.gz MLTJBBVHKMOZAR-UHFFFAOYSA-N 0 2 313.273 0.376 20 0 DCADLN COC(=O)Cc1cccc(S(=O)(=O)Nc2cncnc2)c1 ZINC000357072223 416030858 /nfs/dbraw/zinc/03/08/58/416030858.db2.gz HAPRJSHUUPJEQV-UHFFFAOYSA-N 0 2 307.331 0.993 20 0 DCADLN Cn1c2cccc(NC(=O)NCc3n[nH]c(=O)[nH]3)c2oc1=O ZINC000338027343 416035345 /nfs/dbraw/zinc/03/53/45/416035345.db2.gz HYFKXLCPQAUZOA-UHFFFAOYSA-N 0 2 304.266 0.277 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cc([C@H]2CCCOC2)[nH]n1 ZINC000357464909 416084693 /nfs/dbraw/zinc/08/46/93/416084693.db2.gz CQAMQNRKDFTKSO-ZETCQYMHSA-N 0 2 324.366 0.858 20 0 DCADLN Cc1ccn(C2CCN(C(=O)CSc3n[nH]c(=O)[nH]3)CC2)n1 ZINC000357484938 416088198 /nfs/dbraw/zinc/08/81/98/416088198.db2.gz LRJUSSNDSKUTPM-UHFFFAOYSA-N 0 2 322.394 0.971 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)NCCCn2cc[nH+]c2)C1 ZINC000319470955 416119864 /nfs/dbraw/zinc/11/98/64/416119864.db2.gz NELMNXDZRODYKS-CQSZACIVSA-N 0 2 310.354 0.406 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000321575738 416121199 /nfs/dbraw/zinc/12/11/99/416121199.db2.gz OKSJFROZBOAKCS-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)[C@@H]2CCCC(=O)N2)[nH]1 ZINC000357999265 416170218 /nfs/dbraw/zinc/17/02/18/416170218.db2.gz GENDXSURAMFKRR-QWRGUYRKSA-N 0 2 321.381 0.673 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCc1nnc3ccccn31)c2=O ZINC000179392261 416186274 /nfs/dbraw/zinc/18/62/74/416186274.db2.gz UICWEXOKZSQHTI-UHFFFAOYSA-N 0 2 323.316 0.647 20 0 DCADLN O=C(C[N@@H+]1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1)N1CCNC1=O ZINC000179514017 416188498 /nfs/dbraw/zinc/18/84/98/416188498.db2.gz IMRVAXONROPNEX-LLVKDONJSA-N 0 2 318.381 0.413 20 0 DCADLN O=C(C[N@H+]1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1)N1CCNC1=O ZINC000179514017 416188500 /nfs/dbraw/zinc/18/85/00/416188500.db2.gz IMRVAXONROPNEX-LLVKDONJSA-N 0 2 318.381 0.413 20 0 DCADLN O=C(C[N@@H+]1CCC[C@H](c2nc(C3CC3)n[nH]2)C1)N1CCNC1=O ZINC000179514003 416189309 /nfs/dbraw/zinc/18/93/09/416189309.db2.gz IMRVAXONROPNEX-NSHDSACASA-N 0 2 318.381 0.413 20 0 DCADLN O=C(C[N@H+]1CCC[C@H](c2nc(C3CC3)n[nH]2)C1)N1CCNC1=O ZINC000179514003 416189315 /nfs/dbraw/zinc/18/93/15/416189315.db2.gz IMRVAXONROPNEX-NSHDSACASA-N 0 2 318.381 0.413 20 0 DCADLN CC(=O)c1cccc(O[C@H](C)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000358353438 416225821 /nfs/dbraw/zinc/22/58/21/416225821.db2.gz JCOJQTQERMVFRX-SECBINFHSA-N 0 2 304.306 0.797 20 0 DCADLN COc1cccc(CO[C@H](C)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000358354305 416227727 /nfs/dbraw/zinc/22/77/27/416227727.db2.gz JVWDCGNMUKYOGB-SECBINFHSA-N 0 2 306.322 0.740 20 0 DCADLN COC(=O)CSCCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358356436 416228500 /nfs/dbraw/zinc/22/85/00/416228500.db2.gz YXPUPNLWILJMCK-UHFFFAOYSA-N 0 2 324.362 0.310 20 0 DCADLN O=C(CCc1cnn(-c2ccccc2)c1)NCc1n[nH]c(=O)[nH]1 ZINC000358364599 416229166 /nfs/dbraw/zinc/22/91/66/416229166.db2.gz ZRFNIXHOGUFCGX-UHFFFAOYSA-N 0 2 312.333 0.945 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[C@@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000341916112 416251257 /nfs/dbraw/zinc/25/12/57/416251257.db2.gz APTBZKUDPNRNEL-JGVFFNPUSA-N 0 2 306.322 0.634 20 0 DCADLN Nc1ncc(Br)cc1CNC(=O)N=c1cccn[nH]1 ZINC000349897475 416262218 /nfs/dbraw/zinc/26/22/18/416262218.db2.gz YTQFHTGJOXLBCM-UHFFFAOYSA-N 0 2 323.154 0.960 20 0 DCADLN NC(=O)CCNC(=O)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000194185269 416322151 /nfs/dbraw/zinc/32/21/51/416322151.db2.gz QEPKRRWGSYMFAB-UHFFFAOYSA-N 0 2 308.725 0.837 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2ccc3nncn3c2)cn1 ZINC000359081105 416305434 /nfs/dbraw/zinc/30/54/34/416305434.db2.gz HXOREWMULYAWCQ-UHFFFAOYSA-N 0 2 322.350 0.373 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cc(C(C)C)n(C)n2)C1=O ZINC000193167932 416313247 /nfs/dbraw/zinc/31/32/47/416313247.db2.gz LKOGKIKVDVUINL-AWEZNQCLSA-N 0 2 307.354 0.909 20 0 DCADLN O=C(CSc1nnnn1C1CCCC1)NCc1n[nH]c(=O)[nH]1 ZINC000358947557 416296891 /nfs/dbraw/zinc/29/68/91/416296891.db2.gz HQPHGMCSDBWBGU-UHFFFAOYSA-N 0 2 324.370 0.020 20 0 DCADLN CC(C)[C@@H](O)CNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000359436880 416345493 /nfs/dbraw/zinc/34/54/93/416345493.db2.gz PPKGTTFWQRDILV-QMMMGPOBSA-N 0 2 314.411 0.329 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cnc2ccccc2c1O ZINC000359523859 416349453 /nfs/dbraw/zinc/34/94/53/416349453.db2.gz IRLQVDHECMOBGF-UHFFFAOYSA-N 0 2 313.317 0.714 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1c[nH]c2ccccc2c1=O ZINC000359523859 416349455 /nfs/dbraw/zinc/34/94/55/416349455.db2.gz IRLQVDHECMOBGF-UHFFFAOYSA-N 0 2 313.317 0.714 20 0 DCADLN CN(C[C@H](O)C(F)(F)F)S(=O)(=O)NCC(F)(F)F ZINC000195444749 416326276 /nfs/dbraw/zinc/32/62/76/416326276.db2.gz WUJRUDWQEJMFOV-BYPYZUCNSA-N 0 2 304.212 0.238 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2C[C@H](O)C[C@@H]2CO)s[nH]1 ZINC000359964702 416366993 /nfs/dbraw/zinc/36/69/93/416366993.db2.gz WUUOVJSROKBXBH-HTQZYQBOSA-N 0 2 300.384 0.217 20 0 DCADLN CCCc1nsc(NCCS(=O)(=O)N(CC)CC)n1 ZINC000360047945 416368410 /nfs/dbraw/zinc/36/84/10/416368410.db2.gz OMYCGAITQSCMNN-UHFFFAOYSA-N 0 2 306.457 0.996 20 0 DCADLN COc1cc2nc(N[C@@H](C)CC(=O)[O-])[nH+]c(N)c2cc1OC ZINC000416429000 416369344 /nfs/dbraw/zinc/36/93/44/416369344.db2.gz WPFRVZHPJIBJMG-ZETCQYMHSA-N 0 2 306.322 0.926 20 0 DCADLN CCc1nc(C(=O)NCc2n[nH]c(=O)[nH]2)nn1-c1ccccc1 ZINC000359812945 416360391 /nfs/dbraw/zinc/36/03/91/416360391.db2.gz HREGXSOIYZUVEQ-UHFFFAOYSA-N 0 2 313.321 0.583 20 0 DCADLN CSCC[C@H](CO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000361694035 416477616 /nfs/dbraw/zinc/47/76/16/416477616.db2.gz WFHOMHOJGGOKBY-LLVKDONJSA-N 0 2 323.374 0.874 20 0 DCADLN CC(=O)Nc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1F ZINC000434494616 416482477 /nfs/dbraw/zinc/48/24/77/416482477.db2.gz YFSZZOSKLKCZBX-UHFFFAOYSA-N 0 2 321.312 0.970 20 0 DCADLN CN1CC[C@@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)CC1=O ZINC000533730429 416487527 /nfs/dbraw/zinc/48/75/27/416487527.db2.gz CZVMQRYTCLJFDP-SECBINFHSA-N 0 2 317.374 0.829 20 0 DCADLN COC(=O)c1ccc(N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)s1 ZINC000459524754 416546079 /nfs/dbraw/zinc/54/60/79/416546079.db2.gz ZQESQTISQRWKLE-UHFFFAOYSA-N 0 2 323.378 0.681 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)C[C@@H](C)OC)c1O ZINC000436520618 416562442 /nfs/dbraw/zinc/56/24/42/416562442.db2.gz HYFWLABGPXATJO-MRVPVSSYSA-N 0 2 303.336 0.955 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2cnn(C(F)F)c2)cn1 ZINC000361776558 416493794 /nfs/dbraw/zinc/49/37/94/416493794.db2.gz NFPIPVBIRHLFKT-UHFFFAOYSA-N 0 2 321.309 0.922 20 0 DCADLN CNC(=O)CNC(=O)c1cc(F)cc(Br)c1O ZINC000436848721 416571939 /nfs/dbraw/zinc/57/19/39/416571939.db2.gz PPNVHRYFQYDZIR-UHFFFAOYSA-N 0 2 305.103 0.770 20 0 DCADLN Cc1nnc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)s1 ZINC000541885628 416617138 /nfs/dbraw/zinc/61/71/38/416617138.db2.gz IMUAVXBSZNINFW-UHFFFAOYSA-N 0 2 318.318 0.526 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2ccn(C)n2)cn1C ZINC000439570227 416618283 /nfs/dbraw/zinc/61/82/83/416618283.db2.gz MJWMAYYUPHDAQX-UHFFFAOYSA-N 0 2 312.351 0.736 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)CCCCF)n1 ZINC000424224645 416630397 /nfs/dbraw/zinc/63/03/97/416630397.db2.gz SQENOQUOOLSRHK-UHFFFAOYSA-N 0 2 308.335 0.333 20 0 DCADLN CCCC[C@@H](NC(N)=O)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000514937420 416683969 /nfs/dbraw/zinc/68/39/69/416683969.db2.gz AYSAEUQRMMEIRA-VHSXEESVSA-N 0 2 324.385 0.443 20 0 DCADLN Cc1nccnc1CC[NH2+]C[C@@H](O)C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000515467676 416702012 /nfs/dbraw/zinc/70/20/12/416702012.db2.gz IQYMFRYJHVTSDE-VNHYZAJKSA-N 0 2 308.426 0.387 20 0 DCADLN Cc1nccnc1CC[NH2+]C[C@H](O)C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000515467674 416703143 /nfs/dbraw/zinc/70/31/43/416703143.db2.gz IQYMFRYJHVTSDE-GUTXKFCHSA-N 0 2 308.426 0.387 20 0 DCADLN COCCN([C@H]1CCOC1)S(=O)(=O)NCC(F)(F)F ZINC000443197812 416734844 /nfs/dbraw/zinc/73/48/44/416734844.db2.gz NVARGGQGUWVUOE-QMMMGPOBSA-N 0 2 306.306 0.120 20 0 DCADLN CCOc1cccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC000443400851 416756405 /nfs/dbraw/zinc/75/64/05/416756405.db2.gz SBFNVLKJJGTQQZ-UHFFFAOYSA-N 0 2 324.362 0.568 20 0 DCADLN CCN(C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)[C@H]1CCNC1=O ZINC000443378878 416756737 /nfs/dbraw/zinc/75/67/37/416756737.db2.gz DWVBAIJPRNFVEA-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN CN(C)c1[nH+]cccc1CNC(=O)NCC[N@@H+]1CC[C@H](O)C1 ZINC000636553253 416765198 /nfs/dbraw/zinc/76/51/98/416765198.db2.gz RUQGYWXWIWENIN-ZDUSSCGKSA-N 0 2 307.398 0.013 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(C)c(C(N)=O)c2)cnn1C ZINC000427209610 416809933 /nfs/dbraw/zinc/80/99/33/416809933.db2.gz DIESACVEUDLXES-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN COC[C@H](C)n1ccc(=NC(=O)N=c2cc(C)c(C)n[nH]2)[nH]1 ZINC000446155646 416915350 /nfs/dbraw/zinc/91/53/50/416915350.db2.gz GPAKKZQOGHUGIW-JTQLQIEISA-N 0 2 304.354 0.985 20 0 DCADLN CC[C@H]1C[NH+](CCNC(=O)N[C@@H]2CC[N@@H+](C3CC3)C2)CCO1 ZINC000565751534 417014326 /nfs/dbraw/zinc/01/43/26/417014326.db2.gz BHDSZVHZMSBIGW-HIFRSBDPSA-N 0 2 310.442 0.633 20 0 DCADLN COc1cc(NS(=O)(=O)[C@@H]2CCCC[C@@H]2OC)nn1C ZINC000641685707 416975443 /nfs/dbraw/zinc/97/54/43/416975443.db2.gz RYGWHYUXBUEWEJ-VHSXEESVSA-N 0 2 303.384 1.128 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N[C@@H](CO)[C@H]2CCCO2)s[nH]1 ZINC000448019467 417058515 /nfs/dbraw/zinc/05/85/15/417058515.db2.gz WAHKDKOKLJNHHV-DTWKUNHWSA-N 0 2 314.411 0.919 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C1(CO)COC1 ZINC000568217382 417104222 /nfs/dbraw/zinc/10/42/22/417104222.db2.gz PVPZHBRHKFSASM-UHFFFAOYSA-N 0 2 304.306 0.433 20 0 DCADLN Cc1ccccc1[C@H]([NH3+])C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000568260813 417111573 /nfs/dbraw/zinc/11/15/73/417111573.db2.gz CXNNSYDKJCNFGB-VIFPVBQESA-N 0 2 309.351 0.155 20 0 DCADLN Cc1ccccc1[C@H]([NH3+])C(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC000568260813 417111581 /nfs/dbraw/zinc/11/15/81/417111581.db2.gz CXNNSYDKJCNFGB-VIFPVBQESA-N 0 2 309.351 0.155 20 0 DCADLN Cc1ccccc1[C@H]([NH3+])C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000568260813 417111583 /nfs/dbraw/zinc/11/15/83/417111583.db2.gz CXNNSYDKJCNFGB-VIFPVBQESA-N 0 2 309.351 0.155 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cc2c(cc1F)NC(=O)CC2 ZINC000432546780 417187854 /nfs/dbraw/zinc/18/78/54/417187854.db2.gz PLYOSHIBGREGLW-UHFFFAOYSA-N 0 2 320.284 0.856 20 0 DCADLN Cc1ncc(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)c(N)n1 ZINC000527195492 417223828 /nfs/dbraw/zinc/22/38/28/417223828.db2.gz JCJDCWNSOBYRSF-UHFFFAOYSA-N 0 2 312.289 0.963 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000568714364 417167420 /nfs/dbraw/zinc/16/74/20/417167420.db2.gz BZYLHRKCJHHQPP-UHFFFAOYSA-N 0 2 324.362 1.068 20 0 DCADLN CNC(=O)NC(=O)[C@H](C)S(=O)(=O)c1nc2ccccc2[nH]1 ZINC000414215447 417267109 /nfs/dbraw/zinc/26/71/09/417267109.db2.gz XXGOUKXYVKUYRQ-ZETCQYMHSA-N 0 2 310.335 0.181 20 0 DCADLN COc1cccc([C@H](OC)C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000621894539 417338231 /nfs/dbraw/zinc/33/82/31/417338231.db2.gz SQWPHKFMDGXBKK-ZDUSSCGKSA-N 0 2 320.349 0.955 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000621894241 417338714 /nfs/dbraw/zinc/33/87/14/417338714.db2.gz ITMBPPVOPCUAJK-GARJFASQSA-N 0 2 321.381 0.254 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)Cc1cn2ccccc2[nH+]1 ZINC000643852734 417399198 /nfs/dbraw/zinc/39/91/98/417399198.db2.gz KJOYCTPOOSRQQO-INIZCTEOSA-N 0 2 317.345 0.969 20 0 DCADLN Cn1cc(Cl)c(CNS(=O)(=O)NCC(F)(F)F)n1 ZINC000451799620 417401586 /nfs/dbraw/zinc/40/15/86/417401586.db2.gz HDCMHGVODVCTHE-UHFFFAOYSA-N 0 2 306.697 0.560 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCCC[C@H]2C(=O)N2CCOCC2)c1O ZINC000622349580 417431879 /nfs/dbraw/zinc/43/18/79/417431879.db2.gz DATUBBWJNHKDEP-NSHDSACASA-N 0 2 322.365 0.277 20 0 DCADLN CC[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)[C@@H](O)C(F)F ZINC000452481002 417486120 /nfs/dbraw/zinc/48/61/20/417486120.db2.gz MVXSVFBAJKCQOE-WCBMZHEXSA-N 0 2 314.292 0.808 20 0 DCADLN O=C(Nc1ccc(-c2nc(=O)o[nH]2)cc1)c1ccc2nnnn2c1 ZINC000530327110 417566782 /nfs/dbraw/zinc/56/67/82/417566782.db2.gz XJTHUTSRHBFKIY-UHFFFAOYSA-N 0 2 323.272 0.720 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC1(CF)CCOCC1)c2=O ZINC000456064733 417624253 /nfs/dbraw/zinc/62/42/53/417624253.db2.gz WYPODAAWNXJYSA-UHFFFAOYSA-N 0 2 308.313 0.922 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N[C@]2(CCO)CCOC2)s[nH]1 ZINC000576814183 417640112 /nfs/dbraw/zinc/64/01/12/417640112.db2.gz LPFZEQUMUNSZKK-CYBMUJFWSA-N 0 2 314.411 0.921 20 0 DCADLN CN(C)c1[nH+]cc(CN2CC[NH+](C[C@@H]3CCCO3)CC2)n1C ZINC000644900320 417676617 /nfs/dbraw/zinc/67/66/17/417676617.db2.gz JFVGNILREMQNJO-HNNXBMFYSA-N 0 2 307.442 0.783 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCN[C@H](c2ccccc2)C1 ZINC000636010524 417832390 /nfs/dbraw/zinc/83/23/90/417832390.db2.gz UBYREEKKDNBVQL-ZDUSSCGKSA-N 0 2 300.366 0.696 20 0 DCADLN CCNC(=O)Nc1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000629290159 417772126 /nfs/dbraw/zinc/77/21/26/417772126.db2.gz VZXGCHHHSMTDBH-UHFFFAOYSA-N 0 2 324.366 0.757 20 0 DCADLN CNS(=O)(=O)CCCNC(=O)c1cc(F)cc(Cl)c1O ZINC000629341796 417783279 /nfs/dbraw/zinc/78/32/79/417783279.db2.gz HUWHIKGDIVVJSO-UHFFFAOYSA-N 0 2 324.761 0.854 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@H]2CCOC(C)(C)C2)[nH]1 ZINC000651846549 417796209 /nfs/dbraw/zinc/79/62/09/417796209.db2.gz VPAMEXPNFJBMQT-LLVKDONJSA-N 0 2 323.397 0.541 20 0 DCADLN COCC1CCC(NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651851215 417799065 /nfs/dbraw/zinc/79/90/65/417799065.db2.gz KDTOUAKTNWOJCE-UHFFFAOYSA-N 0 2 323.397 0.541 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2c[nH]c(C(C)C)n2)[nH]1 ZINC000657103292 417812804 /nfs/dbraw/zinc/81/28/04/417812804.db2.gz DUNDPSFUZRBJAI-UHFFFAOYSA-N 0 2 314.371 0.276 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H](C)COCC2CC2)[nH]1 ZINC000652154490 417853207 /nfs/dbraw/zinc/85/32/07/417853207.db2.gz GVXUEPDPZXYFDJ-JTQLQIEISA-N 0 2 309.370 0.151 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC([C@@H](C)CO)CC2)[nH]1 ZINC000652077824 417844502 /nfs/dbraw/zinc/84/45/02/417844502.db2.gz SYYBLQKXUDGWIO-NSHDSACASA-N 0 2 323.397 0.086 20 0 DCADLN Cc1cc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@H]2O)nc(-c2ccncc2)[nH+]1 ZINC000662894651 417939930 /nfs/dbraw/zinc/93/99/30/417939930.db2.gz BQARHOJEDPJCPP-JHJVBQTASA-N 0 2 314.345 0.905 20 0 DCADLN Cc1cc(C(=O)N(C)[C@H](C)CCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000652961664 418005230 /nfs/dbraw/zinc/00/52/30/418005230.db2.gz WIFPSPVIPZUOKV-MRVPVSSYSA-N 0 2 306.322 0.587 20 0 DCADLN Cn1nc(C(F)(F)F)cc1NS(=O)(=O)c1ccnn1C ZINC000663299711 418008270 /nfs/dbraw/zinc/00/82/70/418008270.db2.gz JQZKEOYBYFUTAF-UHFFFAOYSA-N 0 2 309.273 0.973 20 0 DCADLN COc1ccnc(N2CCN(c3[nH+]cccc3C(=O)[O-])CC2)n1 ZINC000647449226 418010625 /nfs/dbraw/zinc/01/06/25/418010625.db2.gz WAUQFKZTJHDABP-UHFFFAOYSA-N 0 2 315.333 0.905 20 0 DCADLN CC(C)(C)[C@@H](C(=O)[O-])C(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000663065978 417985550 /nfs/dbraw/zinc/98/55/50/417985550.db2.gz HLCVNDQDPJATIL-SNVBAGLBSA-N 0 2 313.398 0.141 20 0 DCADLN CC(C)(C)[C@@H](C(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000663116318 417991876 /nfs/dbraw/zinc/99/18/76/417991876.db2.gz ZDUMWWRRWHPMOQ-LLVKDONJSA-N 0 2 300.399 0.960 20 0 DCADLN CC(C)(C)[C@@H](C(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000663116318 417991877 /nfs/dbraw/zinc/99/18/77/417991877.db2.gz ZDUMWWRRWHPMOQ-LLVKDONJSA-N 0 2 300.399 0.960 20 0 DCADLN O=c1cc(C[N@@H+]2CCC[C@@H]([C@H]3CC[NH2+]C3)C2)nc2cc[nH]n21 ZINC000647986835 418083911 /nfs/dbraw/zinc/08/39/11/418083911.db2.gz APSVJNKGILTKNB-QWHCGFSZSA-N 0 2 301.394 0.844 20 0 DCADLN CCCC[C@@H](NC(N)=O)C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000648888624 418169843 /nfs/dbraw/zinc/16/98/43/418169843.db2.gz DJIVUGNSTMDIMO-SNVBAGLBSA-N 0 2 324.385 0.443 20 0 DCADLN C[C@@]1(CCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC(=O)N1 ZINC000648893710 418171396 /nfs/dbraw/zinc/17/13/96/418171396.db2.gz URCGBJFVZYLYAP-BMIGLBTASA-N 0 2 321.381 0.665 20 0 DCADLN COCC1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000655252941 418244871 /nfs/dbraw/zinc/24/48/71/418244871.db2.gz DUXTVIMQSNKTAQ-UHFFFAOYSA-N 0 2 304.306 0.592 20 0 DCADLN NC(=O)[C@@H]1CCCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000665348283 418199837 /nfs/dbraw/zinc/19/98/37/418199837.db2.gz DJYVXWFRDGUSAV-LLVKDONJSA-N 0 2 316.317 0.367 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000655860667 418292865 /nfs/dbraw/zinc/29/28/65/418292865.db2.gz WCNUVNYYEBNPJA-LBPRGKRZSA-N 0 2 315.414 0.753 20 0 DCADLN C[N@@H+]1CCC[C@H](CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000660846824 418295410 /nfs/dbraw/zinc/29/54/10/418295410.db2.gz JHIJXPRLMMTEDL-HUUCEWRRSA-N 0 2 310.442 0.444 20 0 DCADLN Cc1nc(=NC(=O)N[C@@H]2CCCc3c2ccc(=O)n3C)[nH]n1C ZINC000650214779 418298017 /nfs/dbraw/zinc/29/80/17/418298017.db2.gz HFZBZMHTWMAFOP-LLVKDONJSA-N 0 2 316.365 0.443 20 0 DCADLN C[C@@H]1CN(c2cc[nH+]c(C(=O)[O-])c2)C[C@H]1C(=O)N1CCOCC1 ZINC000656091304 418327067 /nfs/dbraw/zinc/32/70/67/418327067.db2.gz BUJAVBCLCAJWGE-DGCLKSJQSA-N 0 2 319.361 0.711 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000650764983 418323581 /nfs/dbraw/zinc/32/35/81/418323581.db2.gz VKMCUQGJTSGWQG-JTQLQIEISA-N 0 2 313.379 0.545 20 0 DCADLN Cc1cc(N2CC[C@@H](Nc3cc[nH+]c(C(=O)[O-])c3)C2=O)n(C)n1 ZINC000650765358 418323832 /nfs/dbraw/zinc/32/38/32/418323832.db2.gz KZDDRAGZXOPTDV-LLVKDONJSA-N 0 2 315.333 0.461 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)Cc2cccc(COC)c2)[nH]n1 ZINC000650818288 418326343 /nfs/dbraw/zinc/32/63/43/418326343.db2.gz DTCOGBFDTSVNDH-UHFFFAOYSA-N 0 2 314.345 0.586 20 0 DCADLN COCCC1(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCC1 ZINC000651621148 418398026 /nfs/dbraw/zinc/39/80/26/418398026.db2.gz DXUSIKNXUVOPLM-UHFFFAOYSA-N 0 2 323.397 0.542 20 0 DCADLN O=C(Cc1cn2c(n1)CCCC2)NC1(c2nn[nH]n2)CCCC1 ZINC000651353670 418370106 /nfs/dbraw/zinc/37/01/06/418370106.db2.gz RISZVLQCTFOOBW-UHFFFAOYSA-N 0 2 315.381 0.861 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000651431580 418376574 /nfs/dbraw/zinc/37/65/74/418376574.db2.gz LVOPHHAJAHRNNV-BQBZGAKWSA-N 0 2 308.260 0.874 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H](C[C@H]1CCCO1)C(F)(F)F ZINC000651431582 418376660 /nfs/dbraw/zinc/37/66/60/418376660.db2.gz LVOPHHAJAHRNNV-RNFRBKRXSA-N 0 2 308.260 0.874 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](c3cccs3)C2=O)[nH]1 ZINC000656832649 418377927 /nfs/dbraw/zinc/37/79/27/418377927.db2.gz MWBFIWAPBCENSH-SNVBAGLBSA-N 0 2 307.335 0.806 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@H]2Cc3ccccc32)[nH]1 ZINC000651529578 418388370 /nfs/dbraw/zinc/38/83/70/418388370.db2.gz PFFIGPQJPUARNF-GFCCVEGCSA-N 0 2 313.361 0.676 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC(C)(C)C(F)(F)F)[nH]1 ZINC000651774291 418410292 /nfs/dbraw/zinc/41/02/92/418410292.db2.gz VAEKEEMLICZNRY-UHFFFAOYSA-N 0 2 321.303 0.924 20 0 DCADLN CO[C@H]1CCC[C@H]1CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651653950 418401054 /nfs/dbraw/zinc/40/10/54/418401054.db2.gz YJLXWEBNYOPGKG-QWRGUYRKSA-N 0 2 309.370 0.151 20 0 DCADLN CC[N@@H+]1C[C@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@H]1C ZINC000651716808 418406257 /nfs/dbraw/zinc/40/62/57/418406257.db2.gz ITCOOZCSZJRQIX-NEPJUHHUSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@H+]1C[C@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@H]1C ZINC000651716808 418406258 /nfs/dbraw/zinc/40/62/58/418406258.db2.gz ITCOOZCSZJRQIX-NEPJUHHUSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@@H+]1C[C@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[n-]2)C[C@H]1C ZINC000651716808 418406260 /nfs/dbraw/zinc/40/62/60/418406260.db2.gz ITCOOZCSZJRQIX-NEPJUHHUSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@H+]1C[C@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[n-]2)C[C@H]1C ZINC000651716808 418406262 /nfs/dbraw/zinc/40/62/62/418406262.db2.gz ITCOOZCSZJRQIX-NEPJUHHUSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1CNC(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000111456297 261108507 /nfs/dbraw/zinc/10/85/07/261108507.db2.gz CDOAEKLEZREPCW-LSDHHAIUSA-N 0 2 312.458 0.881 20 0 DCADLN COC(=O)CC1(NS(=O)(=O)NCC(F)(F)F)CCCC1 ZINC000195474780 261152287 /nfs/dbraw/zinc/15/22/87/261152287.db2.gz KKFPTLDBMHMJCX-UHFFFAOYSA-N 0 2 318.317 0.849 20 0 DCADLN CCNC(=O)[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(C)C ZINC000354231272 261193435 /nfs/dbraw/zinc/19/34/35/261193435.db2.gz SBPKXKNLGVTXCW-LLVKDONJSA-N 0 2 319.365 0.564 20 0 DCADLN C[C@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)N(C)CC(F)(F)F ZINC000354337188 261205537 /nfs/dbraw/zinc/20/55/37/261205537.db2.gz SVKPHFCWBAGDFT-SSDOTTSWSA-N 0 2 309.292 0.442 20 0 DCADLN Cn1cccc(NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)c1=O ZINC000354627359 261247977 /nfs/dbraw/zinc/24/79/77/261247977.db2.gz AYPZDSBXDQLMPL-UHFFFAOYSA-N 0 2 312.285 0.981 20 0 DCADLN CC[C@@H](C)[C@@H](OC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000355038793 261300074 /nfs/dbraw/zinc/30/00/74/261300074.db2.gz NOZHDKWJZANCAJ-RNFRBKRXSA-N 0 2 322.412 0.909 20 0 DCADLN CC(=O)N[C@H]1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000355700303 261357398 /nfs/dbraw/zinc/35/73/98/261357398.db2.gz HVPWKSLXSYVYIZ-JTQLQIEISA-N 0 2 317.349 0.415 20 0 DCADLN Cc1ccc(C(N)=O)cc1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000362339685 262023865 /nfs/dbraw/zinc/02/38/65/262023865.db2.gz MNCMCOAGDCRKQH-UHFFFAOYSA-N 0 2 307.335 0.648 20 0 DCADLN CC(C)(NC(=O)C1=NN(c2ccccc2)CC1=O)c1nn[nH]n1 ZINC000362817258 262075832 /nfs/dbraw/zinc/07/58/32/262075832.db2.gz JTUADDMAGQUYDL-UHFFFAOYSA-N 0 2 313.321 0.756 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000420600293 262378569 /nfs/dbraw/zinc/37/85/69/262378569.db2.gz ZRJVCETZWHYAKK-GFCCVEGCSA-N 0 2 321.333 0.042 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cncnc2)cnc1C ZINC000427335709 262565481 /nfs/dbraw/zinc/56/54/81/262565481.db2.gz OLRYPERABRNZGQ-UHFFFAOYSA-N 0 2 308.319 0.767 20 0 DCADLN CN1CCC(=O)Nc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccc21 ZINC000355455707 271011166 /nfs/dbraw/zinc/01/11/66/271011166.db2.gz LXIKFDKAOBLVTM-UHFFFAOYSA-N 0 2 316.321 0.219 20 0 DCADLN NC(=O)CSCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000355852821 271028344 /nfs/dbraw/zinc/02/83/44/271028344.db2.gz MVTSVWNHHYWJGY-UHFFFAOYSA-N 0 2 307.335 0.334 20 0 DCADLN CC(C)Oc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000356009827 271031964 /nfs/dbraw/zinc/03/19/64/271031964.db2.gz VZTHAAQASZDWQP-UHFFFAOYSA-N 0 2 319.321 0.552 20 0 DCADLN C[N@@H+]1CC[C@@H]2CN(C(=O)NC[C@H]3CC[NH2+]CC3(F)F)C[C@@H]21 ZINC000418729133 271252828 /nfs/dbraw/zinc/25/28/28/271252828.db2.gz NZYWVJXIUICVHR-UTUOFQBUSA-N 0 2 302.369 0.577 20 0 DCADLN Cc1cc(C(=O)NCCn2cccc2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000487552360 272041810 /nfs/dbraw/zinc/04/18/10/272041810.db2.gz PZERDBNBVJEWJQ-UHFFFAOYSA-N 0 2 313.317 0.976 20 0 DCADLN O=C(/C=C/c1cccnc1)NCC(=O)NOC1CCOCC1 ZINC000492738337 272146507 /nfs/dbraw/zinc/14/65/07/272146507.db2.gz PBMJQASJHHSPED-ONEGZZNKSA-N 0 2 305.334 0.438 20 0 DCADLN CC(C)(C)OC(=O)NCCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000492863173 272155164 /nfs/dbraw/zinc/15/51/64/272155164.db2.gz FUOUSFHMPUVXSR-UHFFFAOYSA-N 0 2 313.358 0.474 20 0 DCADLN CC(C)OCCONC(=O)CNC(=O)/C=C\c1cccnc1 ZINC000493718002 272205459 /nfs/dbraw/zinc/20/54/59/272205459.db2.gz NNSZLPFZCGHDHD-WAYWQWQTSA-N 0 2 307.350 0.684 20 0 DCADLN O=C(COCc1cccnc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000516294959 272434635 /nfs/dbraw/zinc/43/46/35/272434635.db2.gz GFCUPGJWYXCYGU-GFCCVEGCSA-N 0 2 317.349 0.828 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)nn1)C(=O)[O-] ZINC000534420142 287816003 /nfs/dbraw/zinc/81/60/03/287816003.db2.gz FUEDXWXXLVLYPL-CABZTGNLSA-N 0 2 303.322 0.891 20 0 DCADLN O=C(Cc1ccc2c(c1)COC2)NCCCc1n[nH]c(=O)[nH]1 ZINC000544632024 287979288 /nfs/dbraw/zinc/97/92/88/287979288.db2.gz JSJYSEYELFGFGU-UHFFFAOYSA-N 0 2 302.334 0.832 20 0 DCADLN CN1CCOC[C@@H]1C(=O)N=c1nc(-c2ccsc2)[nH]s1 ZINC000547323698 288038862 /nfs/dbraw/zinc/03/88/62/288038862.db2.gz SQALNSFNZKUUOX-SECBINFHSA-N 0 2 310.404 0.958 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)c1ccc2nnnn2c1 ZINC000552601451 288277765 /nfs/dbraw/zinc/27/77/65/288277765.db2.gz WBQFHGJNYCEPJU-UHFFFAOYSA-N 0 2 322.288 0.867 20 0 DCADLN CS(=O)(=O)[C@@H]1CCC[C@@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC000555344968 288349532 /nfs/dbraw/zinc/34/95/32/288349532.db2.gz WGXFGPCDVTXCIR-HTQZYQBOSA-N 0 2 323.358 0.824 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000564955502 288796724 /nfs/dbraw/zinc/79/67/24/288796724.db2.gz YFGGFOIWYVQYLM-ZDUSSCGKSA-N 0 2 306.362 0.930 20 0 DCADLN O=C([C@H]1CC(=O)N(C2CC2)C1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000565835320 288881869 /nfs/dbraw/zinc/88/18/69/288881869.db2.gz HDOLBESOFABZSG-ZJUUUORDSA-N 0 2 319.365 0.227 20 0 DCADLN COCC[C@H]1COCCN1S(=O)(=O)NCC(F)(F)F ZINC000366127330 292719212 /nfs/dbraw/zinc/71/92/12/292719212.db2.gz KSEQADKZTHTAFP-QMMMGPOBSA-N 0 2 306.306 0.120 20 0 DCADLN CS(=O)(=O)NCCCNC(=O)c1cc(F)cc(Cl)c1O ZINC000162386299 295526097 /nfs/dbraw/zinc/52/60/97/295526097.db2.gz LFKVSTDANICTAA-UHFFFAOYSA-N 0 2 324.761 0.854 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCC[C@@H]2O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000270253164 301137897 /nfs/dbraw/zinc/13/78/97/301137897.db2.gz JANNIGLYROTDQA-SCZZXKLOSA-N 0 2 318.333 0.778 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000339613833 301149807 /nfs/dbraw/zinc/14/98/07/301149807.db2.gz SWWKYSGPHFOMHS-CQSZACIVSA-N 0 2 304.306 0.653 20 0 DCADLN C[C@H](CC(=O)c1ccccc1)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000185838391 533705526 /nfs/dbraw/zinc/70/55/26/533705526.db2.gz OWLWOOMNSGMCHF-SECBINFHSA-N 0 2 320.374 0.968 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC[C@@H](CO)[C@H](O)C1 ZINC000574950977 332863536 /nfs/dbraw/zinc/86/35/36/332863536.db2.gz RPXFSUGJYGPFNL-WCQYABFASA-N 0 2 317.345 0.393 20 0 DCADLN Cc1[nH+]c2cc(NC(=O)C(=O)NCCCC(=O)[O-])ccc2n1C ZINC000576874248 341867337 /nfs/dbraw/zinc/86/73/37/341867337.db2.gz KSSKMQNTFLBFGW-UHFFFAOYSA-N 0 2 318.333 0.801 20 0 DCADLN CC(C)n1cc(S(=O)(=O)Nc2ncc(C(N)=O)s2)cn1 ZINC000266324234 519971770 /nfs/dbraw/zinc/97/17/70/519971770.db2.gz IOCWCSPMJHJQAH-UHFFFAOYSA-N 0 2 315.380 0.820 20 0 DCADLN COCCS(=O)(=O)Nc1cccc(N2CCC[NH+]=C2[O-])c1 ZINC000266529182 521770231 /nfs/dbraw/zinc/77/02/31/521770231.db2.gz FBDFCWBNLKPZJP-UHFFFAOYSA-N 0 2 313.379 0.994 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2c(C)c(C)nn2C)c[nH]1 ZINC000266340258 521914915 /nfs/dbraw/zinc/91/49/15/521914915.db2.gz MRBKOWQEOKJDNN-UHFFFAOYSA-N 0 2 312.351 0.952 20 0 DCADLN CCc1ncc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)cn1 ZINC000161896155 522574406 /nfs/dbraw/zinc/57/44/06/522574406.db2.gz LSRUDMIYFJNNCO-UHFFFAOYSA-N 0 2 309.351 0.277 20 0 DCADLN CN(CCN1C(=O)CCC1=O)Cc1nc(=O)c2sccc2[nH]1 ZINC000131645623 522820543 /nfs/dbraw/zinc/82/05/43/522820543.db2.gz GSMWTVUFFVMNKW-UHFFFAOYSA-N 0 2 320.374 0.565 20 0 DCADLN CCn1cc(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)cn1 ZINC000131909559 523436543 /nfs/dbraw/zinc/43/65/43/523436543.db2.gz QJNLGLQCFMVADA-LLVKDONJSA-N 0 2 311.367 0.862 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)[C@H]2CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266902718 524120949 /nfs/dbraw/zinc/12/09/49/524120949.db2.gz LXHFJVOCYQKGFE-IUCAKERBSA-N 0 2 318.333 0.899 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C2(O)CCCCC2)s1 ZINC000267916487 525228778 /nfs/dbraw/zinc/22/87/78/525228778.db2.gz HIIRJNDJJBGBPS-UHFFFAOYSA-N 0 2 320.396 0.543 20 0 DCADLN C[C@@H]1C[C@H]1CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267659163 525486133 /nfs/dbraw/zinc/48/61/33/525486133.db2.gz MHTLWRIUEQJADW-RQJHMYQMSA-N 0 2 310.335 0.370 20 0 DCADLN O=C(CNC(=O)c1ccc(F)c(F)c1)NOC[C@@H]1CCOC1 ZINC000187919994 526856052 /nfs/dbraw/zinc/85/60/52/526856052.db2.gz VBFOWDGPTLRQTQ-SECBINFHSA-N 0 2 314.288 0.779 20 0 DCADLN Cn1cc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)cc1C(=O)[O-] ZINC000037838966 545647729 /nfs/dbraw/zinc/64/77/29/545647729.db2.gz IMAHZYRZAZHVDQ-UHFFFAOYSA-N 0 2 313.379 0.192 20 0 DCADLN CN(CC1CCC1)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000083992210 545697811 /nfs/dbraw/zinc/69/78/11/545697811.db2.gz DDVICKDYYVHUBJ-UHFFFAOYSA-N 0 2 324.362 0.444 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCO[C@@]2(CCc3ccccc32)C1 ZINC000119458541 545738748 /nfs/dbraw/zinc/73/87/48/545738748.db2.gz XPCQZKUXMCLTKK-HNNXBMFYSA-N 0 2 300.318 0.412 20 0 DCADLN Cc1cc(C(=O)NCc2ccoc2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000174270120 545884385 /nfs/dbraw/zinc/88/43/85/545884385.db2.gz NFXCVXOAWZVJOV-UHFFFAOYSA-N 0 2 300.274 0.855 20 0 DCADLN O=C([O-])C[C@H]1COCCN1C(=O)Cc1cn2ccccc2[nH+]1 ZINC000262321060 545983679 /nfs/dbraw/zinc/98/36/79/545983679.db2.gz QYZPCSRRJIBHJM-LBPRGKRZSA-N 0 2 303.318 0.579 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@]1(O)CCSC1 ZINC000289092339 546006594 /nfs/dbraw/zinc/00/65/94/546006594.db2.gz QTVXTYONAYKEIK-ZDUSSCGKSA-N 0 2 306.347 0.572 20 0 DCADLN O=c1cc(CN2CCO[C@@H]([C@H]3CCCO3)C2)nc2cc[nH]n21 ZINC000588150243 546304093 /nfs/dbraw/zinc/30/40/93/546304093.db2.gz GEGFABNLLDTKAV-CHWSQXEVSA-N 0 2 304.350 0.402 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]1CN(C)C(=O)N1 ZINC000665862872 546408815 /nfs/dbraw/zinc/40/88/15/546408815.db2.gz OETVGTYNNWWPIN-QMMMGPOBSA-N 0 2 321.362 0.379 20 0 DCADLN O=C(C[C@H]1CCC(=O)NC1)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000667992870 546679369 /nfs/dbraw/zinc/67/93/69/546679369.db2.gz HBVYDXUZEQBVPS-SECBINFHSA-N 0 2 316.317 0.885 20 0 DCADLN O=C(NC[C@H]1CCCNC1=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000668443748 546762622 /nfs/dbraw/zinc/76/26/22/546762622.db2.gz FFBFVEJXOWIQAE-LLVKDONJSA-N 0 2 316.317 0.286 20 0 DCADLN CCN1CC[C@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1=O ZINC000670289422 547069648 /nfs/dbraw/zinc/06/96/48/547069648.db2.gz ZHDUDDKQQIWAPQ-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)c1cn(Cc2cccs2)nn1 ZINC000673479144 547483576 /nfs/dbraw/zinc/48/35/76/547483576.db2.gz NBUYCMNTAYSNOV-UHFFFAOYSA-N 0 2 320.334 0.749 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCCCN1C(=O)c1ccco1 ZINC000673928380 547542155 /nfs/dbraw/zinc/54/21/55/547542155.db2.gz JINRQZBMDZJICI-SECBINFHSA-N 0 2 319.321 0.414 20 0 DCADLN CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000674718664 547619569 /nfs/dbraw/zinc/61/95/69/547619569.db2.gz BAYCHOAGYSGMAB-GFCCVEGCSA-N 0 2 315.333 0.100 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NC[C@@H]1Cc2ccccc2O1 ZINC000676230309 547747242 /nfs/dbraw/zinc/74/72/42/547747242.db2.gz XWMHTRLFUKSTCH-ZDUSSCGKSA-N 0 2 322.361 0.139 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NC[C@@H]1Cc2ccccc2O1 ZINC000676230309 547747246 /nfs/dbraw/zinc/74/72/46/547747246.db2.gz XWMHTRLFUKSTCH-ZDUSSCGKSA-N 0 2 322.361 0.139 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N[C@@H]1CCOc2ccccc21 ZINC000676230188 547747292 /nfs/dbraw/zinc/74/72/92/547747292.db2.gz QJWNMNVQLSBNGB-CYBMUJFWSA-N 0 2 322.361 0.659 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@H]1CCOc2ccccc21 ZINC000676230188 547747296 /nfs/dbraw/zinc/74/72/96/547747296.db2.gz QJWNMNVQLSBNGB-CYBMUJFWSA-N 0 2 322.361 0.659 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000676230463 547747388 /nfs/dbraw/zinc/74/73/88/547747388.db2.gz JAPUIIVDMFPJAI-QWHCGFSZSA-N 0 2 314.382 0.189 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000676230463 547747394 /nfs/dbraw/zinc/74/73/94/547747394.db2.gz JAPUIIVDMFPJAI-QWHCGFSZSA-N 0 2 314.382 0.189 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCc1cccc(Cl)c1 ZINC000676230193 547747511 /nfs/dbraw/zinc/74/75/11/547747511.db2.gz QRQCBRBFGZCUAF-UHFFFAOYSA-N 0 2 314.769 0.989 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCc1cccc(Cl)c1 ZINC000676230193 547747514 /nfs/dbraw/zinc/74/75/14/547747514.db2.gz QRQCBRBFGZCUAF-UHFFFAOYSA-N 0 2 314.769 0.989 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1O)[C@@H](C)CS(=O)(=O)CC ZINC000676698527 547805553 /nfs/dbraw/zinc/80/55/53/547805553.db2.gz FQGBJSUATHJECC-QMMMGPOBSA-N 0 2 303.384 0.709 20 0 DCADLN Cc1[nH]nc(C(=O)N2CSC[C@H]2C(=O)N(C)C(C)C)c1O ZINC000676706901 547805946 /nfs/dbraw/zinc/80/59/46/547805946.db2.gz LKHXKIDVHCOFFN-VIFPVBQESA-N 0 2 312.395 0.806 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC(C)(C)C[NH+]2CCOCC2)c1[O-] ZINC000676955729 547835316 /nfs/dbraw/zinc/83/53/16/547835316.db2.gz ZEGQJYQEIWRQRI-UHFFFAOYSA-N 0 2 310.398 0.854 20 0 DCADLN CN1CCOC[C@@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000678351491 547967427 /nfs/dbraw/zinc/96/74/27/547967427.db2.gz JGUPUYJQTIBUKN-LLVKDONJSA-N 0 2 304.306 0.299 20 0 DCADLN NC(=O)c1cc(NC(=O)c2cc(F)c(F)c(O)c2F)n[nH]1 ZINC000680448502 548141442 /nfs/dbraw/zinc/14/14/42/548141442.db2.gz DRXYGAPKPDLGHJ-UHFFFAOYSA-N 0 2 300.196 0.884 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCS(=O)(=O)c3ccccc3C2)c1O ZINC000681488976 548255134 /nfs/dbraw/zinc/25/51/34/548255134.db2.gz WCAMHXNELLIHGO-UHFFFAOYSA-N 0 2 321.358 0.853 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@@H]1CCN(C)C(=O)C1)c2=O ZINC000682690286 548419746 /nfs/dbraw/zinc/41/97/46/548419746.db2.gz CXMJQDCGCOSPRZ-SNVBAGLBSA-N 0 2 314.345 0.994 20 0 DCADLN O=S(=O)(Nc1ccc(-n2cncn2)cn1)c1cccnc1 ZINC000682901775 548437838 /nfs/dbraw/zinc/43/78/38/548437838.db2.gz LLPMCMHZCYLEEW-UHFFFAOYSA-N 0 2 302.319 0.858 20 0 DCADLN O=C(NCc1nn[nH]n1)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000684049223 548559365 /nfs/dbraw/zinc/55/93/65/548559365.db2.gz VCYROGRPNLMMHU-UHFFFAOYSA-N 0 2 319.712 0.674 20 0 DCADLN Cc1cc(-c2nn[nH]n2)ccc1S(=O)(=O)Nc1ccnn1C ZINC000737225656 599029818 /nfs/dbraw/zinc/02/98/18/599029818.db2.gz FVEUZIQZTIJIDI-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1nn(CCOC[C@@H]2CCCO2)c(=O)c(-c2nn[nH]n2)c1C ZINC000822501087 599124128 /nfs/dbraw/zinc/12/41/28/599124128.db2.gz VCANZFKGCOMWTG-NSHDSACASA-N 0 2 320.353 0.236 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2cccc(CC(=O)[O-])c2)C1 ZINC000316845784 597183659 /nfs/dbraw/zinc/18/36/59/597183659.db2.gz RJXDCDNMQFWOFS-ZDUSSCGKSA-N 0 2 307.350 0.766 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2cccc(CC(=O)[O-])c2)C1 ZINC000316845784 597183662 /nfs/dbraw/zinc/18/36/62/597183662.db2.gz RJXDCDNMQFWOFS-ZDUSSCGKSA-N 0 2 307.350 0.766 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000263257703 597384912 /nfs/dbraw/zinc/38/49/12/597384912.db2.gz PVKKHIJEQVKQSM-NWDGAFQWSA-N 0 2 304.350 0.776 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000263257702 597385161 /nfs/dbraw/zinc/38/51/61/597385161.db2.gz PVKKHIJEQVKQSM-NEPJUHHUSA-N 0 2 304.350 0.776 20 0 DCADLN C[C@H](C(=O)[O-])N(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000817750212 597463102 /nfs/dbraw/zinc/46/31/02/597463102.db2.gz KCYCLTTXUQWFAT-LLVKDONJSA-N 0 2 308.382 0.564 20 0 DCADLN CC(C)[N@H+](CC(=O)[O-])CC(=O)N1CC(=O)Nc2ccccc21 ZINC000820009648 598078149 /nfs/dbraw/zinc/07/81/49/598078149.db2.gz VNDFZFYCSXQLRE-UHFFFAOYSA-N 0 2 305.334 0.767 20 0 DCADLN CC(C)[N@@H+](CC(=O)[O-])CC(=O)N1CC(=O)Nc2ccccc21 ZINC000820009648 598078153 /nfs/dbraw/zinc/07/81/53/598078153.db2.gz VNDFZFYCSXQLRE-UHFFFAOYSA-N 0 2 305.334 0.767 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(F)c(-c2nn[nH]n2)c1 ZINC000737627007 598593875 /nfs/dbraw/zinc/59/38/75/598593875.db2.gz HJEMTPTYNCQIKE-UHFFFAOYSA-N 0 2 323.313 0.540 20 0 DCADLN Cc1ncccc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)nc1 ZINC000822478095 599691748 /nfs/dbraw/zinc/69/17/48/599691748.db2.gz QMKKRNSLUYJWCH-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C/C(Cl)=C/Cl)c1=O ZINC000821565361 599697093 /nfs/dbraw/zinc/69/70/93/599697093.db2.gz PGFOCSYLSJVKNE-UTCJRWHESA-N 0 2 317.136 0.529 20 0 DCADLN CC(C)(C)OC(=O)C1CC[NH+](CC(=O)NCC(=O)[O-])CC1 ZINC000736263065 599709002 /nfs/dbraw/zinc/70/90/02/599709002.db2.gz WQTUUSWHCSLXJI-UHFFFAOYSA-N 0 2 300.355 0.241 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)c2cccc(OCC(=O)[O-])c2)C1 ZINC000737530319 599741165 /nfs/dbraw/zinc/74/11/65/599741165.db2.gz TWEOWXCARAERGI-CYBMUJFWSA-N 0 2 308.334 0.210 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)c2cccc(OCC(=O)[O-])c2)C1 ZINC000737530319 599741167 /nfs/dbraw/zinc/74/11/67/599741167.db2.gz TWEOWXCARAERGI-CYBMUJFWSA-N 0 2 308.334 0.210 20 0 DCADLN CC(C)Nc1ncnc2c1ncn2C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000736484238 599778215 /nfs/dbraw/zinc/77/82/15/599778215.db2.gz XHEUNCWFZRDCJO-JTQLQIEISA-N 0 2 320.353 0.390 20 0 DCADLN CC(C)Nc1ncnc2c1ncn2C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000736484238 599778219 /nfs/dbraw/zinc/77/82/19/599778219.db2.gz XHEUNCWFZRDCJO-JTQLQIEISA-N 0 2 320.353 0.390 20 0 DCADLN CC(C)(C)NC(=O)CNC(=O)C[NH2+][C@H](C(=O)[O-])c1ccccc1 ZINC000736260195 599781429 /nfs/dbraw/zinc/78/14/29/599781429.db2.gz BPYVJNHXKJDQPU-AWEZNQCLSA-N 0 2 321.377 0.433 20 0 DCADLN COC[C@H](C)NC(=O)NC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccccc1 ZINC000737746691 599784547 /nfs/dbraw/zinc/78/45/47/599784547.db2.gz CSQQUDQRNNUXGM-GXFFZTMASA-N 0 2 323.349 0.263 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC[NH+](C[C@H](O)c2ccccc2)CC1 ZINC000739545917 599916215 /nfs/dbraw/zinc/91/62/15/599916215.db2.gz ZBBKFOYLQSATRT-ZNMIVQPWSA-N 0 2 318.373 0.585 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)CCc2ccc(C(=O)[O-])cc2)C1 ZINC000737530757 600066963 /nfs/dbraw/zinc/06/69/63/600066963.db2.gz WONFGTVYMPQCLP-CQSZACIVSA-N 0 2 306.362 0.764 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)CCc2ccc(C(=O)[O-])cc2)C1 ZINC000737530757 600066966 /nfs/dbraw/zinc/06/69/66/600066966.db2.gz WONFGTVYMPQCLP-CQSZACIVSA-N 0 2 306.362 0.764 20 0 DCADLN COC(=O)[C@@H](c1ccccc1)[N@H+](C)CCC(=O)N(C)CC(=O)[O-] ZINC000737581605 600213123 /nfs/dbraw/zinc/21/31/23/600213123.db2.gz UEUMJZMCVLVHOW-OAHLLOKOSA-N 0 2 322.361 0.766 20 0 DCADLN COC(=O)[C@@H](c1ccccc1)[N@@H+](C)CCC(=O)N(C)CC(=O)[O-] ZINC000737581605 600213124 /nfs/dbraw/zinc/21/31/24/600213124.db2.gz UEUMJZMCVLVHOW-OAHLLOKOSA-N 0 2 322.361 0.766 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])cn2)CC1 ZINC000737177657 600269178 /nfs/dbraw/zinc/26/91/78/600269178.db2.gz ZAIXXTYPUXXEMK-UHFFFAOYSA-N 0 2 307.350 0.574 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)Cc1cn2ccsc2n1 ZINC000739792124 600317198 /nfs/dbraw/zinc/31/71/98/600317198.db2.gz SWTQHIFGSIQILT-UHFFFAOYSA-N 0 2 324.406 0.615 20 0 DCADLN Cc1[nH]nc(S(=O)(=O)N2CC[NH+](C(C)C)CC2)c1C(=O)[O-] ZINC000070446502 600340071 /nfs/dbraw/zinc/34/00/71/600340071.db2.gz KLGZGKIIPBSUAT-UHFFFAOYSA-N 0 2 316.383 0.131 20 0 DCADLN C[C@@H](CNC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(=O)[O-] ZINC000736589238 600346395 /nfs/dbraw/zinc/34/63/95/600346395.db2.gz XTWOYOYQXOQXQP-JTQLQIEISA-N 0 2 316.317 0.648 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736242820 600539512 /nfs/dbraw/zinc/53/95/12/600539512.db2.gz ZKOQMDNZPNBGBI-NSHDSACASA-N 0 2 323.397 0.808 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736242820 600539515 /nfs/dbraw/zinc/53/95/15/600539515.db2.gz ZKOQMDNZPNBGBI-NSHDSACASA-N 0 2 323.397 0.808 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736880004 600546784 /nfs/dbraw/zinc/54/67/84/600546784.db2.gz SREXETWKPMTGKK-RISCZKNCSA-N 0 2 313.398 0.156 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736880004 600546786 /nfs/dbraw/zinc/54/67/86/600546786.db2.gz SREXETWKPMTGKK-RISCZKNCSA-N 0 2 313.398 0.156 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1 ZINC000736580979 600668668 /nfs/dbraw/zinc/66/86/68/600668668.db2.gz GRLJTIYLWCIWOJ-MGPQQGTHSA-N 0 2 311.426 0.630 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N2C[C@@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000830960591 600881288 /nfs/dbraw/zinc/88/12/88/600881288.db2.gz SWIZYEOLYGGMQO-OLZOCXBDSA-N 0 2 306.318 0.196 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2C[C@@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000830960591 600881290 /nfs/dbraw/zinc/88/12/90/600881290.db2.gz SWIZYEOLYGGMQO-OLZOCXBDSA-N 0 2 306.318 0.196 20 0 DCADLN O=C([O-])c1cn(CC(=O)NCCCCNc2cccc[nH+]2)nn1 ZINC000833120637 601199762 /nfs/dbraw/zinc/19/97/62/601199762.db2.gz IRYYPJJJRXKQSP-UHFFFAOYSA-N 0 2 318.337 0.380 20 0 DCADLN O=C([O-])c1cn(CC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)nn1 ZINC000833340859 601279705 /nfs/dbraw/zinc/27/97/05/601279705.db2.gz XKSLPWWCMQZZFM-UHFFFAOYSA-N 0 2 304.310 0.106 20 0 DCADLN CN(C(=O)OC(C)(C)C)[C@@H]1CC[N@H+](CC(=O)NCC(=O)[O-])C1 ZINC000820524234 601376627 /nfs/dbraw/zinc/37/66/27/601376627.db2.gz LZNXKFSUVNOXJE-SNVBAGLBSA-N 0 2 315.370 0.128 20 0 DCADLN CN(C(=O)OC(C)(C)C)[C@@H]1CC[N@@H+](CC(=O)NCC(=O)[O-])C1 ZINC000820524234 601376629 /nfs/dbraw/zinc/37/66/29/601376629.db2.gz LZNXKFSUVNOXJE-SNVBAGLBSA-N 0 2 315.370 0.128 20 0 DCADLN O=C([O-])c1ncn(C[NH+]2CCC(OC[C@@H]3CCCCO3)CC2)n1 ZINC000833345235 601400867 /nfs/dbraw/zinc/40/08/67/601400867.db2.gz TYBVSYGTSLOTRR-ZDUSSCGKSA-N 0 2 324.381 0.984 20 0 DCADLN CCc1oc(C(=O)[O-])cc1C[N@H+](C)CC(=O)N1CCOCC1 ZINC000830355514 601418101 /nfs/dbraw/zinc/41/81/01/601418101.db2.gz OGWRQSDQPOEVDB-UHFFFAOYSA-N 0 2 310.350 0.831 20 0 DCADLN CCc1oc(C(=O)[O-])cc1C[N@@H+](C)CC(=O)N1CCOCC1 ZINC000830355514 601418102 /nfs/dbraw/zinc/41/81/02/601418102.db2.gz OGWRQSDQPOEVDB-UHFFFAOYSA-N 0 2 310.350 0.831 20 0 DCADLN CCC1CCC([NH2+]CCC(=O)NCC(=O)[O-])(C(=O)OC)CC1 ZINC000829107911 601497473 /nfs/dbraw/zinc/49/74/73/601497473.db2.gz SSFILSJZJRSVNK-UHFFFAOYSA-N 0 2 314.382 0.679 20 0 DCADLN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828433267 601541141 /nfs/dbraw/zinc/54/11/41/601541141.db2.gz SZJXZRBBJSZINZ-NQBHXWOUSA-N 0 2 320.393 0.867 20 0 DCADLN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828433267 601541142 /nfs/dbraw/zinc/54/11/42/601541142.db2.gz SZJXZRBBJSZINZ-NQBHXWOUSA-N 0 2 320.393 0.867 20 0 DCADLN COCc1cccc(CNC(=O)C[N@@H+]2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000831817150 601549880 /nfs/dbraw/zinc/54/98/80/601549880.db2.gz CWUNVSBAXAHQSF-INIZCTEOSA-N 0 2 324.352 0.948 20 0 DCADLN COCc1cccc(CNC(=O)C[N@H+]2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000831817150 601549882 /nfs/dbraw/zinc/54/98/82/601549882.db2.gz CWUNVSBAXAHQSF-INIZCTEOSA-N 0 2 324.352 0.948 20 0 DCADLN CC[C@@H]1CN(S(=O)(=O)C[C@H](C)C(=O)[O-])CC[N@@H+]1CCOC ZINC000829152640 601792539 /nfs/dbraw/zinc/79/25/39/601792539.db2.gz YCCIQMLVAXHQGS-NWDGAFQWSA-N 0 2 322.427 0.080 20 0 DCADLN CC[C@@H]1CN(S(=O)(=O)C[C@H](C)C(=O)[O-])CC[N@H+]1CCOC ZINC000829152640 601792542 /nfs/dbraw/zinc/79/25/42/601792542.db2.gz YCCIQMLVAXHQGS-NWDGAFQWSA-N 0 2 322.427 0.080 20 0 DCADLN C[C@H](C(=O)N1CCC(CCC(=O)[O-])CC1)[NH+]1CCN(C)CC1 ZINC000825983388 601819006 /nfs/dbraw/zinc/81/90/06/601819006.db2.gz XFSLIHLDTBABLT-CYBMUJFWSA-N 0 2 311.426 0.726 20 0 DCADLN CC(C)[C@@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000736370830 601855833 /nfs/dbraw/zinc/85/58/33/601855833.db2.gz QOFSGQJJXZOBTK-LLVKDONJSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)[C@@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000736370830 601855834 /nfs/dbraw/zinc/85/58/34/601855834.db2.gz QOFSGQJJXZOBTK-LLVKDONJSA-N 0 2 301.387 0.506 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)NCc2ccn3cc[nH+]c3c2)CCO1 ZINC000819314925 602401835 /nfs/dbraw/zinc/40/18/35/602401835.db2.gz WARPCGXOXQKGHC-NSHDSACASA-N 0 2 304.306 0.329 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2ccc(CNC(=O)[O-])o2)CC1 ZINC000828993199 602924336 /nfs/dbraw/zinc/92/43/36/602924336.db2.gz YUKLYKSKNYDZGX-UHFFFAOYSA-N 0 2 311.338 0.451 20 0 DCADLN O=C([O-])N1CC[NH+](CCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000738645373 603151169 /nfs/dbraw/zinc/15/11/69/603151169.db2.gz SDIVQFOHUFRIAC-UHFFFAOYSA-N 0 2 310.354 0.147 20 0 DCADLN CC(C)(CNC(=O)N1CC[C@H]([NH+]2CCOCC2)C1)NC(=O)[O-] ZINC000823917113 603455568 /nfs/dbraw/zinc/45/55/68/603455568.db2.gz BSNHVYRDKJGVBY-NSHDSACASA-N 0 2 314.386 0.149 20 0 DCADLN CCCCO[C@@H](C)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826842904 603506498 /nfs/dbraw/zinc/50/64/98/603506498.db2.gz ZLKAYHILADPLMA-NWDGAFQWSA-N 0 2 301.387 0.602 20 0 DCADLN CCCCO[C@@H](C)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826842904 603506499 /nfs/dbraw/zinc/50/64/99/603506499.db2.gz ZLKAYHILADPLMA-NWDGAFQWSA-N 0 2 301.387 0.602 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2cccnc2)[C@H](CNC(=O)[O-])C1 ZINC000828501083 603508205 /nfs/dbraw/zinc/50/82/05/603508205.db2.gz VDLHMZFSWKTACO-CYBMUJFWSA-N 0 2 306.366 0.424 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2cccnc2)[C@H](CNC(=O)[O-])C1 ZINC000828501083 603508208 /nfs/dbraw/zinc/50/82/08/603508208.db2.gz VDLHMZFSWKTACO-CYBMUJFWSA-N 0 2 306.366 0.424 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2cscn2)[C@@H](CNC(=O)[O-])C1 ZINC000828500493 603516192 /nfs/dbraw/zinc/51/61/92/603516192.db2.gz LIIPDHKMBDCBAB-NSHDSACASA-N 0 2 312.395 0.486 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2cscn2)[C@@H](CNC(=O)[O-])C1 ZINC000828500493 603516196 /nfs/dbraw/zinc/51/61/96/603516196.db2.gz LIIPDHKMBDCBAB-NSHDSACASA-N 0 2 312.395 0.486 20 0 DCADLN Cc1noc(C)c1CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830909396 603519175 /nfs/dbraw/zinc/51/91/75/603519175.db2.gz KAEMMNAIJGJSIU-NSHDSACASA-N 0 2 310.354 0.244 20 0 DCADLN Cc1noc(C)c1CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830909396 603519181 /nfs/dbraw/zinc/51/91/81/603519181.db2.gz KAEMMNAIJGJSIU-NSHDSACASA-N 0 2 310.354 0.244 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H]1CC[C@@H](NC(=O)[O-])C1 ZINC000824908218 603578103 /nfs/dbraw/zinc/57/81/03/603578103.db2.gz RHOYEKNIBFURHJ-JHJVBQTASA-N 0 2 312.414 0.175 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)NC[C@@H]2CCCS2)CC1 ZINC000831689140 603688093 /nfs/dbraw/zinc/68/80/93/603688093.db2.gz LADCLWIBFRKLCE-NSHDSACASA-N 0 2 316.427 0.477 20 0 DCADLN C[C@H](C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1)c1cccnc1 ZINC000823654913 603752847 /nfs/dbraw/zinc/75/28/47/603752847.db2.gz NNCBVWZYLNEHNH-ZDUSSCGKSA-N 0 2 320.393 0.939 20 0 DCADLN O=C([O-])N1CC[C@](F)(C(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000831895424 603813220 /nfs/dbraw/zinc/81/32/20/603813220.db2.gz NIDQECQSRKHWMM-OAHLLOKOSA-N 0 2 322.340 0.822 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)[C@]1(F)CCN(C(=O)[O-])C1)C2 ZINC000830799767 603903123 /nfs/dbraw/zinc/90/31/23/603903123.db2.gz PWHOGAFPVOKUIS-AWEZNQCLSA-N 0 2 310.329 0.934 20 0 DCADLN Cc1ccc(CCC(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)o1 ZINC000830296986 603937213 /nfs/dbraw/zinc/93/72/13/603937213.db2.gz UTIKXFJZYCOICZ-UHFFFAOYSA-N 0 2 309.366 0.932 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@@H+]3CCC[C@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831018436 604035185 /nfs/dbraw/zinc/03/51/85/604035185.db2.gz HJPOLOURCHLWHG-NWDGAFQWSA-N 0 2 321.381 0.648 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@H+]3CCC[C@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831018436 604035192 /nfs/dbraw/zinc/03/51/92/604035192.db2.gz HJPOLOURCHLWHG-NWDGAFQWSA-N 0 2 321.381 0.648 20 0 DCADLN CCC[C@@](C)(CO)NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000826711606 604140109 /nfs/dbraw/zinc/14/01/09/604140109.db2.gz CYOHGFRZHMWNSC-AWEZNQCLSA-N 0 2 316.402 0.132 20 0 DCADLN O=C([O-])N1CCC[C@H](C(=O)N[C@H]2CCC[N@H+](CCF)C2)C1 ZINC000831593094 604318268 /nfs/dbraw/zinc/31/82/68/604318268.db2.gz VAQPABCENXSSGF-RYUDHWBXSA-N 0 2 301.362 0.927 20 0 DCADLN O=C([O-])N1CCC[C@H](C(=O)N[C@H]2CCC[N@@H+](CCF)C2)C1 ZINC000831593094 604318272 /nfs/dbraw/zinc/31/82/72/604318272.db2.gz VAQPABCENXSSGF-RYUDHWBXSA-N 0 2 301.362 0.927 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@@H+]3CC[C@@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831019083 604378690 /nfs/dbraw/zinc/37/86/90/604378690.db2.gz WNPYKTCDWZLYDB-MNOVXSKESA-N 0 2 307.354 0.257 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@H+]3CC[C@@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831019083 604378694 /nfs/dbraw/zinc/37/86/94/604378694.db2.gz WNPYKTCDWZLYDB-MNOVXSKESA-N 0 2 307.354 0.257 20 0 DCADLN CCCCSCC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826848186 604399260 /nfs/dbraw/zinc/39/92/60/604399260.db2.gz UIVGQCNKBRBMSY-NSHDSACASA-N 0 2 303.428 0.930 20 0 DCADLN CCCCSCC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826848186 604399264 /nfs/dbraw/zinc/39/92/64/604399264.db2.gz UIVGQCNKBRBMSY-NSHDSACASA-N 0 2 303.428 0.930 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1CN(c2ncnc3c2C[N@H+](C)CC3)CCO1 ZINC000825371646 604453947 /nfs/dbraw/zinc/45/39/47/604453947.db2.gz HHYLQHMAZKUSAR-ZWNOBZJWSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1CN(c2ncnc3c2C[N@@H+](C)CC3)CCO1 ZINC000825371646 604453949 /nfs/dbraw/zinc/45/39/49/604453949.db2.gz HHYLQHMAZKUSAR-ZWNOBZJWSA-N 0 2 321.381 0.326 20 0 DCADLN CC(C)(CNC(=O)N1CCC[C@@H]1CC(=O)[O-])[NH+]1CCOCC1 ZINC000826567978 604477231 /nfs/dbraw/zinc/47/72/31/604477231.db2.gz NQINEOKTXSBYJY-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CC[N@H+](CC(=O)NC(=O)NC(C)(C)C)C1CN(C(=O)[O-])C1 ZINC000827002483 604557761 /nfs/dbraw/zinc/55/77/61/604557761.db2.gz NAFKGIFZGADKCB-UHFFFAOYSA-N 0 2 300.359 0.295 20 0 DCADLN CC[N@@H+](CC(=O)NC(=O)NC(C)(C)C)C1CN(C(=O)[O-])C1 ZINC000827002483 604557763 /nfs/dbraw/zinc/55/77/63/604557763.db2.gz NAFKGIFZGADKCB-UHFFFAOYSA-N 0 2 300.359 0.295 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@H+](CCOC[C@@H]2CCCO2)CCO1 ZINC000825353851 604624807 /nfs/dbraw/zinc/62/48/07/604624807.db2.gz YFCGHQOQZYCNDR-AVGNSLFASA-N 0 2 302.371 0.539 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@@H+](CCOC[C@@H]2CCCO2)CCO1 ZINC000825353851 604624809 /nfs/dbraw/zinc/62/48/09/604624809.db2.gz YFCGHQOQZYCNDR-AVGNSLFASA-N 0 2 302.371 0.539 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@H+](Cc2ccc(C(N)=O)cc2)CCO1 ZINC000825351198 604627932 /nfs/dbraw/zinc/62/79/32/604627932.db2.gz NCAGGSOIERSQHZ-GWCFXTLKSA-N 0 2 307.350 0.642 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@@H+](Cc2ccc(C(N)=O)cc2)CCO1 ZINC000825351198 604627936 /nfs/dbraw/zinc/62/79/36/604627936.db2.gz NCAGGSOIERSQHZ-GWCFXTLKSA-N 0 2 307.350 0.642 20 0 DCADLN CC(C)(C)[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CN(C(=O)[O-])CCO1 ZINC000823760132 604744809 /nfs/dbraw/zinc/74/48/09/604744809.db2.gz OPFFWGSHKIKCTG-VXGBXAGGSA-N 0 2 324.381 0.793 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833589698 604801243 /nfs/dbraw/zinc/80/12/43/604801243.db2.gz XCSMIBNKHJLGJI-DTWKUNHWSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833589698 604801245 /nfs/dbraw/zinc/80/12/45/604801245.db2.gz XCSMIBNKHJLGJI-DTWKUNHWSA-N 0 2 302.352 0.691 20 0 DCADLN O=C(C[C@H]1CN(C(=O)[O-])CCO1)NCCCCn1cc[nH+]c1 ZINC000831380542 604908659 /nfs/dbraw/zinc/90/86/59/604908659.db2.gz FDYSACQPRPKTGX-LBPRGKRZSA-N 0 2 310.354 0.548 20 0 DCADLN CC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833397931 605309548 /nfs/dbraw/zinc/30/95/48/605309548.db2.gz RCRNCVJOZSCXSG-RYUDHWBXSA-N 0 2 310.354 0.072 20 0 DCADLN CC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833397931 605309551 /nfs/dbraw/zinc/30/95/51/605309551.db2.gz RCRNCVJOZSCXSG-RYUDHWBXSA-N 0 2 310.354 0.072 20 0 DCADLN CC(C)(C)[C@H](NC(=O)[O-])C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000823843763 605392001 /nfs/dbraw/zinc/39/20/01/605392001.db2.gz PLTYRAQUKJGPFR-VXGBXAGGSA-N 0 2 313.398 0.602 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)NCC[C@@H]2CCOC2)CC1 ZINC000834036573 605398107 /nfs/dbraw/zinc/39/81/07/605398107.db2.gz CTWASYQQXHPXNN-GFCCVEGCSA-N 0 2 314.386 0.008 20 0 DCADLN C[N@@H+]1CCN(C(=O)COc2ccccc2O)[C@@H](CNC(=O)[O-])C1 ZINC000828500753 605444623 /nfs/dbraw/zinc/44/46/23/605444623.db2.gz OMXINLAIUVZSKW-NSHDSACASA-N 0 2 323.349 0.181 20 0 DCADLN C[N@H+]1CCN(C(=O)COc2ccccc2O)[C@@H](CNC(=O)[O-])C1 ZINC000828500753 605444627 /nfs/dbraw/zinc/44/46/27/605444627.db2.gz OMXINLAIUVZSKW-NSHDSACASA-N 0 2 323.349 0.181 20 0 DCADLN O=C([O-])N1CC[C@H]([NH+]2CCN(C(=O)c3cccnc3)CC2)C1 ZINC000834084275 605582275 /nfs/dbraw/zinc/58/22/75/605582275.db2.gz MHRUWDVALSNLCA-ZDUSSCGKSA-N 0 2 304.350 0.592 20 0 DCADLN CC(C)(C)[C@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000825733379 605686397 /nfs/dbraw/zinc/68/63/97/605686397.db2.gz AIAWKASQEHHRQI-LLVKDONJSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)(C)[C@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000825733379 605686402 /nfs/dbraw/zinc/68/64/02/605686402.db2.gz AIAWKASQEHHRQI-LLVKDONJSA-N 0 2 315.414 0.896 20 0 DCADLN O=C([O-])N1CCC[N@H+](Cn2cnc(-c3ccccn3)n2)CC1 ZINC000834108918 605725411 /nfs/dbraw/zinc/72/54/11/605725411.db2.gz DFSFTFVDUXWXFD-UHFFFAOYSA-N 0 2 302.338 0.983 20 0 DCADLN O=C([O-])N1CCC[N@@H+](Cn2cnc(-c3ccccn3)n2)CC1 ZINC000834108918 605725414 /nfs/dbraw/zinc/72/54/14/605725414.db2.gz DFSFTFVDUXWXFD-UHFFFAOYSA-N 0 2 302.338 0.983 20 0 DCADLN O=C([O-])N1CC(CNc2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000834069320 605731168 /nfs/dbraw/zinc/73/11/68/605731168.db2.gz VRVRAGXFLOGMIR-LLVKDONJSA-N 0 2 307.354 0.459 20 0 DCADLN O=C([O-])N1CC(CNc2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000834069320 605731171 /nfs/dbraw/zinc/73/11/71/605731171.db2.gz VRVRAGXFLOGMIR-LLVKDONJSA-N 0 2 307.354 0.459 20 0 DCADLN C[C@H](C(=O)Nc1ccc(N2CCOCC2)cc1)[N@H+](C)CC(=O)[O-] ZINC000819882741 605807692 /nfs/dbraw/zinc/80/76/92/605807692.db2.gz IFRFYDMLIFCEBF-GFCCVEGCSA-N 0 2 321.377 0.867 20 0 DCADLN C[C@H](C(=O)Nc1ccc(N2CCOCC2)cc1)[N@@H+](C)CC(=O)[O-] ZINC000819882741 605807694 /nfs/dbraw/zinc/80/76/94/605807694.db2.gz IFRFYDMLIFCEBF-GFCCVEGCSA-N 0 2 321.377 0.867 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@@H](C)NC(=O)[O-])C2)o1 ZINC000830624417 605812421 /nfs/dbraw/zinc/81/24/21/605812421.db2.gz ILQJGZORBWWTJQ-MNOVXSKESA-N 0 2 310.354 0.672 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)[C@@H](C)NC(=O)[O-])C2)o1 ZINC000830624417 605812427 /nfs/dbraw/zinc/81/24/27/605812427.db2.gz ILQJGZORBWWTJQ-MNOVXSKESA-N 0 2 310.354 0.672 20 0 DCADLN Cc1cccnc1CNC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000833911133 605968783 /nfs/dbraw/zinc/96/87/83/605968783.db2.gz UGNSNKWRRGGKQD-GFCCVEGCSA-N 0 2 321.381 0.483 20 0 DCADLN Cc1cccnc1CNC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000833911133 605968785 /nfs/dbraw/zinc/96/87/85/605968785.db2.gz UGNSNKWRRGGKQD-GFCCVEGCSA-N 0 2 321.381 0.483 20 0 DCADLN O=C(CC1CN(C(=O)[O-])C1)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000833988832 605988222 /nfs/dbraw/zinc/98/82/22/605988222.db2.gz YXHKDMKBWWHQET-GFCCVEGCSA-N 0 2 304.350 0.776 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(CN1C[C@H](NC(=O)[O-])CC1=O)C2 ZINC000833915259 605995912 /nfs/dbraw/zinc/99/59/12/605995912.db2.gz LLHZFIHPMFDRRV-LLVKDONJSA-N 0 2 307.354 0.142 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)[C@@H]2CN(C(=O)[O-])CCO2)C1 ZINC000833955848 606082414 /nfs/dbraw/zinc/08/24/14/606082414.db2.gz USNLLTIQMBZAHV-QWRGUYRKSA-N 0 2 308.338 0.115 20 0 DCADLN CS[C@H](C)CC(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000833893801 606101224 /nfs/dbraw/zinc/10/12/24/606101224.db2.gz SHZWVTIUYBOXRL-LLVKDONJSA-N 0 2 303.428 0.882 20 0 DCADLN Cc1nn(Cc2cnoc2C2CC2)c(=O)c(-c2nn[nH]n2)c1C ZINC000826334973 608291803 /nfs/dbraw/zinc/29/18/03/608291803.db2.gz RRVRCQSDTDIKPO-UHFFFAOYSA-N 0 2 313.321 0.954 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(N[C@@H]2CCC(C)(C)C2)n(C)c1=O ZINC000826355846 608556816 /nfs/dbraw/zinc/55/68/16/608556816.db2.gz PPTFPVRVIKGPJO-MRVPVSSYSA-N 0 2 319.369 0.255 20 0 DCADLN Cc1nn(CC(=O)Cc2ccccc2)c(=O)c(-c2nn[nH]n2)c1C ZINC000826334083 609486701 /nfs/dbraw/zinc/48/67/01/609486701.db2.gz HHZDDRZWLTYRLE-UHFFFAOYSA-N 0 2 324.344 0.852 20 0 DCADLN CN(C(=O)c1cn[nH]c1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646250 665405912 /nfs/dbraw/zinc/40/59/12/665405912.db2.gz BFFZGZOPGMOWRI-IUCAKERBSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1cn[nH]c1)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938646250 665405914 /nfs/dbraw/zinc/40/59/14/665405914.db2.gz BFFZGZOPGMOWRI-IUCAKERBSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001027736648 660675912 /nfs/dbraw/zinc/67/59/12/660675912.db2.gz FNUFRPCITKDTFM-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ccn(C)n2)c1[O-] ZINC001027833894 660810726 /nfs/dbraw/zinc/81/07/26/660810726.db2.gz UOKPNMOAUPLOGR-LBPRGKRZSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ccn(C)n2)c1[O-] ZINC001027833894 660810729 /nfs/dbraw/zinc/81/07/29/660810729.db2.gz UOKPNMOAUPLOGR-LBPRGKRZSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccn(C)c1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027845513 660827871 /nfs/dbraw/zinc/82/78/71/660827871.db2.gz AGQINYAIQKAHKJ-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccn(C)c1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027845513 660827872 /nfs/dbraw/zinc/82/78/72/660827872.db2.gz AGQINYAIQKAHKJ-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN Cc1cc[nH]c1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027858244 660850006 /nfs/dbraw/zinc/85/00/06/660850006.db2.gz USTBXXYPXOZPQX-SNVBAGLBSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1cc[nH]c1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027858244 660850009 /nfs/dbraw/zinc/85/00/09/660850009.db2.gz USTBXXYPXOZPQX-SNVBAGLBSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1noc(C)c1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980688930 660986036 /nfs/dbraw/zinc/98/60/36/660986036.db2.gz UZUWAZDKTJPKQK-UHFFFAOYSA-N 0 2 320.353 0.463 20 0 DCADLN Cn1cccc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006768916 661042673 /nfs/dbraw/zinc/04/26/73/661042673.db2.gz YKWDNAWGMXPFQU-SNVBAGLBSA-N 0 2 304.354 0.243 20 0 DCADLN Cc1nocc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028113882 661193337 /nfs/dbraw/zinc/19/33/37/661193337.db2.gz VRFNNAAMNQAECP-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN CCc1nocc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981902295 661244324 /nfs/dbraw/zinc/24/43/24/661244324.db2.gz HPOPLCKGZSYLLD-UHFFFAOYSA-N 0 2 320.353 0.409 20 0 DCADLN CNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCC[NH+]1C(C)C ZINC001028181678 661263840 /nfs/dbraw/zinc/26/38/40/661263840.db2.gz YAGTZWAKRRCDDE-CABCVRRESA-N 0 2 324.469 0.576 20 0 DCADLN COCCNC(=O)C(C#N)=C([O-])c1ccc(-n2cc[nH+]c2)cc1 ZINC000181036490 665471281 /nfs/dbraw/zinc/47/12/81/665471281.db2.gz JBIRLSZHXPJCQQ-CQSZACIVSA-N 0 2 312.329 0.957 20 0 DCADLN O=C(NCCn1cc[nH+]c1)N1CC[C@H]2[C@H]1CCC[N@H+]2CCO ZINC000891558295 657481534 /nfs/dbraw/zinc/48/15/34/657481534.db2.gz FDLLZAOMVPRNPQ-UONOGXRCSA-N 0 2 307.398 0.124 20 0 DCADLN O=C(c1cn[nH]c1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010453359 657586093 /nfs/dbraw/zinc/58/60/93/657586093.db2.gz HDGHKTJQLYDBQM-QMMMGPOBSA-N 0 2 308.235 0.595 20 0 DCADLN O=C(c1cn[nH]c1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010453359 657586098 /nfs/dbraw/zinc/58/60/98/657586098.db2.gz HDGHKTJQLYDBQM-QMMMGPOBSA-N 0 2 308.235 0.595 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969471518 657912373 /nfs/dbraw/zinc/91/23/73/657912373.db2.gz BVPNJQXAQUWMRY-MRVPVSSYSA-N 0 2 304.354 0.397 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)oc1C ZINC000969504257 657934730 /nfs/dbraw/zinc/93/47/30/657934730.db2.gz YJOQSTVCPDXJRP-VIFPVBQESA-N 0 2 319.365 0.970 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969942216 658278342 /nfs/dbraw/zinc/27/83/42/658278342.db2.gz WUSKLYQLHIOOQV-IONNQARKSA-N 0 2 315.324 0.492 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001011330506 658296508 /nfs/dbraw/zinc/29/65/08/658296508.db2.gz LQFKBHMZWCMOSQ-CQSZACIVSA-N 0 2 319.409 0.038 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cocn1 ZINC001001189867 666006348 /nfs/dbraw/zinc/00/63/48/666006348.db2.gz NOHPNXFGJPHCKM-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@H]1CCCO1 ZINC000972783469 658413049 /nfs/dbraw/zinc/41/30/49/658413049.db2.gz QADOOWXUTIKNJF-NXEZZACHSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1noc(C)c1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038044660 658569553 /nfs/dbraw/zinc/56/95/53/658569553.db2.gz MNJPYMGHNNOOEJ-VIFPVBQESA-N 0 2 306.326 0.119 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@@]3(C2)C[N@H+](C(C)C)CCO3)c1[O-] ZINC000972341026 658590264 /nfs/dbraw/zinc/59/02/64/658590264.db2.gz AIUFTDDPEJNYBF-HNNXBMFYSA-N 0 2 308.382 0.749 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@@]3(C2)C[N@@H+](C(C)C)CCO3)c1[O-] ZINC000972341026 658590269 /nfs/dbraw/zinc/59/02/69/658590269.db2.gz AIUFTDDPEJNYBF-HNNXBMFYSA-N 0 2 308.382 0.749 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC1(F)F ZINC001024432484 658618079 /nfs/dbraw/zinc/61/80/79/658618079.db2.gz YHVBUDACTFHNMP-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC1(F)F ZINC001024432484 658618082 /nfs/dbraw/zinc/61/80/82/658618082.db2.gz YHVBUDACTFHNMP-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC1(F)F ZINC001024432484 658618083 /nfs/dbraw/zinc/61/80/83/658618083.db2.gz YHVBUDACTFHNMP-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccns1 ZINC001024466338 658643564 /nfs/dbraw/zinc/64/35/64/658643564.db2.gz ATUJYDJISMGAIH-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN Cn1cnc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)c1 ZINC001011001034 658701896 /nfs/dbraw/zinc/70/18/96/658701896.db2.gz KBRKDYNHJCHDGU-VIFPVBQESA-N 0 2 322.262 0.605 20 0 DCADLN Cn1cnc(C(=O)N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)c1 ZINC001011001034 658701901 /nfs/dbraw/zinc/70/19/01/658701901.db2.gz KBRKDYNHJCHDGU-VIFPVBQESA-N 0 2 322.262 0.605 20 0 DCADLN C[C@@H](NC(=O)[C@]12C[C@H]1CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970186424 658711695 /nfs/dbraw/zinc/71/16/95/658711695.db2.gz BXTRMZVHGYXHTK-XDMRBOTDSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1ncc(C[N@@H+]2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001010636650 658773116 /nfs/dbraw/zinc/77/31/16/658773116.db2.gz HDSGDQKLSFIBTH-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN Cc1ncc(C[N@H+]2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001010636650 658773120 /nfs/dbraw/zinc/77/31/20/658773120.db2.gz HDSGDQKLSFIBTH-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN Cc1coc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC000970307918 658851729 /nfs/dbraw/zinc/85/17/29/658851729.db2.gz XSNRHCSYQPRVKP-SECBINFHSA-N 0 2 305.338 0.662 20 0 DCADLN O=C([O-])C1(NC(=O)[C@H]2CCn3c[nH+]cc3C2)CCSCC1 ZINC000909347811 659019099 /nfs/dbraw/zinc/01/90/99/659019099.db2.gz RBCMOOOLRLTINY-JTQLQIEISA-N 0 2 309.391 0.912 20 0 DCADLN C[C@@H](NC(=O)c1cnon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006691731 659107921 /nfs/dbraw/zinc/10/79/21/659107921.db2.gz KVTYKHSXEGLGDJ-SVGQVSJJSA-N 0 2 324.234 0.547 20 0 DCADLN C[C@@H](NC(=O)c1cnon1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001006691731 659107923 /nfs/dbraw/zinc/10/79/23/659107923.db2.gz KVTYKHSXEGLGDJ-SVGQVSJJSA-N 0 2 324.234 0.547 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1 ZINC001006617096 659191977 /nfs/dbraw/zinc/19/19/77/659191977.db2.gz VYUUHOMBJSTLOE-GFCCVEGCSA-N 0 2 301.350 0.905 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCC(F)(F)C1 ZINC001038325369 659228829 /nfs/dbraw/zinc/22/88/29/659228829.db2.gz YHFVJIWFKYWXRD-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1cocn1 ZINC000976265955 659261557 /nfs/dbraw/zinc/26/15/57/659261557.db2.gz JJZWHMLRBVJUBF-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)c1cocn1 ZINC000976265955 659261562 /nfs/dbraw/zinc/26/15/62/659261562.db2.gz JJZWHMLRBVJUBF-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN Cn1ccnc1C[N@H+](C)[C@@H]1CCCN(C(=O)[C@@H]2CCC[NH+]2C)C1 ZINC001027333151 659268935 /nfs/dbraw/zinc/26/89/35/659268935.db2.gz VMJLKFJFLQADCG-CABCVRRESA-N 0 2 319.453 0.937 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C(=O)[O-])CCSC1)[NH+]1CCSCC1 ZINC000909358612 659354379 /nfs/dbraw/zinc/35/43/79/659354379.db2.gz SIRCLDJJDVSMAO-CABZTGNLSA-N 0 2 304.437 0.500 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(Cl)c[nH]1 ZINC001006730719 659522105 /nfs/dbraw/zinc/52/21/05/659522105.db2.gz ZSOYKCMWSJPWAF-VIFPVBQESA-N 0 2 324.772 0.886 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000977307697 659637329 /nfs/dbraw/zinc/63/73/29/659637329.db2.gz DORCYKVSABQIGU-TUAOUCFPSA-N 0 2 319.409 0.883 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000977307697 659637332 /nfs/dbraw/zinc/63/73/32/659637332.db2.gz DORCYKVSABQIGU-TUAOUCFPSA-N 0 2 319.409 0.883 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cc(F)c[nH]1 ZINC000974835501 659687745 /nfs/dbraw/zinc/68/77/45/659687745.db2.gz MHXUZKFFHPRIAQ-JTQLQIEISA-N 0 2 322.344 0.618 20 0 DCADLN CC(C)c1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001031882571 665754092 /nfs/dbraw/zinc/75/40/92/665754092.db2.gz ZVFWGLRNRPQCNV-UHFFFAOYSA-N 0 2 320.353 0.484 20 0 DCADLN CCn1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001006952503 661613789 /nfs/dbraw/zinc/61/37/89/661613789.db2.gz SWNODGSRPGMSNR-NSHDSACASA-N 0 2 319.369 0.121 20 0 DCADLN C[N@@H+]1C[C@@H](O)C[C@H]1CNC(=O)CSc1n[n-]c(=S)s1 ZINC000924987140 661838476 /nfs/dbraw/zinc/83/84/76/661838476.db2.gz LBWQVQYDKPSMQK-BQBZGAKWSA-N 0 2 320.465 0.100 20 0 DCADLN C[N@H+]1C[C@@H](O)C[C@H]1CNC(=O)CSc1n[n-]c(=S)s1 ZINC000924987140 661838477 /nfs/dbraw/zinc/83/84/77/661838477.db2.gz LBWQVQYDKPSMQK-BQBZGAKWSA-N 0 2 320.465 0.100 20 0 DCADLN O=C(c1cscn1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029240677 662063861 /nfs/dbraw/zinc/06/38/61/662063861.db2.gz UVFLNSNJPYLJBT-DTORHVGOSA-N 0 2 320.378 0.456 20 0 DCADLN C[C@H](C(N)=O)[N@H+](C)C1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC000985403251 662430373 /nfs/dbraw/zinc/43/03/73/662430373.db2.gz SZPXFBOQMPDWLO-LLVKDONJSA-N 0 2 307.398 0.139 20 0 DCADLN Cn1ncnc1C[NH+](C)C[C@@H]1CCN(C(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001029672752 662447055 /nfs/dbraw/zinc/44/70/55/662447055.db2.gz NYWSUWFSLNLNKT-UONOGXRCSA-N 0 2 320.441 0.190 20 0 DCADLN CC[C@H](C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncn2C)C1)[NH+](C)C ZINC001029766550 662467530 /nfs/dbraw/zinc/46/75/30/662467530.db2.gz CTUVSBFDMFQRHX-UONOGXRCSA-N 0 2 322.457 0.436 20 0 DCADLN CC1(C)CN(C(=O)C[C@@H]2SC(=N)NC2=O)[C@@H]1[C@H]1CCCO1 ZINC000752973836 662645557 /nfs/dbraw/zinc/64/55/57/662645557.db2.gz ABHRTHAVDNZVCU-WCABBAIRSA-N 0 2 311.407 0.959 20 0 DCADLN CC(C)Nc1nc(N(C)C[C@H](C)C(=O)[O-])nc(NCCO)[nH+]1 ZINC000263552217 662717198 /nfs/dbraw/zinc/71/71/98/662717198.db2.gz LSIYUJDWUFTRFE-VIFPVBQESA-N 0 2 312.374 0.253 20 0 DCADLN CON(C)C(=O)c1ccc(NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000729246151 662749573 /nfs/dbraw/zinc/74/95/73/662749573.db2.gz DYPZRJVTUDQOBX-UHFFFAOYSA-N 0 2 324.362 0.854 20 0 DCADLN Cc1cccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001038058693 662769425 /nfs/dbraw/zinc/76/94/25/662769425.db2.gz PYLNQQPLKKWXTF-JTQLQIEISA-N 0 2 302.338 0.218 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccon1 ZINC000937718339 662810028 /nfs/dbraw/zinc/81/00/28/662810028.db2.gz ULBMYQVEFAHGKV-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccon1 ZINC000937718339 662810030 /nfs/dbraw/zinc/81/00/30/662810030.db2.gz ULBMYQVEFAHGKV-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN C[C@@H]1C[C@H]([NH2+]CC(N)=O)CN1C(=O)c1cccc2[nH+]ccn21 ZINC000989178077 662888618 /nfs/dbraw/zinc/88/86/18/662888618.db2.gz CVTOIHYJRMCAJN-MNOVXSKESA-N 0 2 301.350 0.012 20 0 DCADLN CO[C@]1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCSC1 ZINC000897677503 662919996 /nfs/dbraw/zinc/91/99/96/662919996.db2.gz QGGZVTNKAMSNQL-TVQRCGJNSA-N 0 2 312.395 0.738 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC001000727388 665917260 /nfs/dbraw/zinc/91/72/60/665917260.db2.gz YEPWELBNHUOIOU-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnccn1 ZINC001000727388 665917263 /nfs/dbraw/zinc/91/72/63/665917263.db2.gz YEPWELBNHUOIOU-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(N[C@@]12CCC[C@@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cocn1 ZINC000990044654 662998441 /nfs/dbraw/zinc/99/84/41/662998441.db2.gz YHMSHINMZAXJEK-IINYFYTJSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@@]12CCC[C@@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cocn1 ZINC000990044654 662998442 /nfs/dbraw/zinc/99/84/42/662998442.db2.gz YHMSHINMZAXJEK-IINYFYTJSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cscn1 ZINC000990480837 663055688 /nfs/dbraw/zinc/05/56/88/663055688.db2.gz ZJLLDACICVVWJJ-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cscn1 ZINC000990480837 663055689 /nfs/dbraw/zinc/05/56/89/663055689.db2.gz ZJLLDACICVVWJJ-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN Cc1ncc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC000990484331 663055999 /nfs/dbraw/zinc/05/59/99/663055999.db2.gz ATPNMWNDYNEMNW-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN C[NH+](C)Cc1ccc(C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898754460 663106443 /nfs/dbraw/zinc/10/64/43/663106443.db2.gz VZZGNOOGZLKQRQ-UHFFFAOYSA-N 0 2 302.334 0.879 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)C(F)(F)C2CCOCC2)C(=O)N1C ZINC000899030696 663119378 /nfs/dbraw/zinc/11/93/78/663119378.db2.gz BIWPJUDXDIWCIG-ZETCQYMHSA-N 0 2 305.281 0.362 20 0 DCADLN N#Cc1ccc(CS(=O)(=O)C=C(O)NC2=NCCS2)cc1 ZINC000042195187 663135884 /nfs/dbraw/zinc/13/58/84/663135884.db2.gz UFXHKOCOTZQPAL-UHFFFAOYSA-N 0 2 323.399 0.692 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000901572454 663353108 /nfs/dbraw/zinc/35/31/08/663353108.db2.gz OXEISTUJYANHFR-DTWKUNHWSA-N 0 2 321.255 0.689 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)Nc1ccn(CC(F)F)n1 ZINC000901638412 663358048 /nfs/dbraw/zinc/35/80/48/663358048.db2.gz ZRIYYCYCFIWJTH-UHFFFAOYSA-N 0 2 302.328 0.533 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000901883119 663371831 /nfs/dbraw/zinc/37/18/31/663371831.db2.gz OLWVKOJASCFZDK-UHFFFAOYSA-N 0 2 315.333 0.476 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]Cc2ccc3c(c2)OCCCO3)nn1 ZINC000902189379 663393720 /nfs/dbraw/zinc/39/37/20/663393720.db2.gz WVJRSNMFCZPLOR-UHFFFAOYSA-N 0 2 318.333 0.814 20 0 DCADLN O=C([O-])COCCNC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000902293409 663402885 /nfs/dbraw/zinc/40/28/85/663402885.db2.gz KMCOFUQGTCKYOF-UHFFFAOYSA-N 0 2 303.318 0.762 20 0 DCADLN C[C@H](ON=C(N)CN(C)C)C(=O)NCCc1ccc(F)cc1 ZINC000902612046 663422307 /nfs/dbraw/zinc/42/23/07/663422307.db2.gz IJTBTQZRMNHEDI-NSHDSACASA-N 0 2 310.373 0.933 20 0 DCADLN CN(C)CC(N)=NOCC(=O)Nc1ccc2c(c1)OCCO2 ZINC000902612149 663422384 /nfs/dbraw/zinc/42/23/84/663422384.db2.gz JRIZEFQXONWOAL-UHFFFAOYSA-N 0 2 308.338 0.456 20 0 DCADLN C[C@H]1CCCC[C@@H]1OCCNC(=O)CON=C(N)CN(C)C ZINC000902612966 663422395 /nfs/dbraw/zinc/42/23/95/663422395.db2.gz MAUCETVLGQDTIP-STQMWFEESA-N 0 2 314.430 0.758 20 0 DCADLN COCCS(=O)(=O)Nc1cc(C)c(C(=O)OC)c(C)n1 ZINC000903688358 663473446 /nfs/dbraw/zinc/47/34/46/663473446.db2.gz LZUXVQAWWYHXEM-UHFFFAOYSA-N 0 2 302.352 0.873 20 0 DCADLN CCn1cccc(NS(=O)(=O)N=S2(=O)CCCC2)c1=O ZINC000905102033 663531301 /nfs/dbraw/zinc/53/13/01/663531301.db2.gz FSIKOXXUVMLKLT-UHFFFAOYSA-N 0 2 319.408 0.787 20 0 DCADLN Cn1nccc1CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991072224 663575161 /nfs/dbraw/zinc/57/51/61/663575161.db2.gz YWSGKOLMCGNEHK-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN Cn1nccc1CC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000991072224 663575164 /nfs/dbraw/zinc/57/51/64/663575164.db2.gz YWSGKOLMCGNEHK-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN O=C(Cc1cncnc1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991082538 663606042 /nfs/dbraw/zinc/60/60/42/663606042.db2.gz BNJXTKLRJCDQGX-SNVBAGLBSA-N 0 2 320.246 0.247 20 0 DCADLN O=C(Cc1cncnc1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000991082538 663606044 /nfs/dbraw/zinc/60/60/44/663606044.db2.gz BNJXTKLRJCDQGX-SNVBAGLBSA-N 0 2 320.246 0.247 20 0 DCADLN CC[C@](C)(NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936404 663775245 /nfs/dbraw/zinc/77/52/45/663775245.db2.gz JYHVMGPHYXEJMN-AWEZNQCLSA-N 0 2 301.387 0.650 20 0 DCADLN CC[C@](C)(NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936404 663775247 /nfs/dbraw/zinc/77/52/47/663775247.db2.gz JYHVMGPHYXEJMN-AWEZNQCLSA-N 0 2 301.387 0.650 20 0 DCADLN O=C([O-])C[C@H]1CSCCN1C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000909011087 663777522 /nfs/dbraw/zinc/77/75/22/663777522.db2.gz NWQAGLGVODRMLY-MNOVXSKESA-N 0 2 309.391 0.864 20 0 DCADLN Cc1nnc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)o1 ZINC000991181343 663790764 /nfs/dbraw/zinc/79/07/64/663790764.db2.gz CUDWUQXPZUWAHA-SECBINFHSA-N 0 2 324.234 0.148 20 0 DCADLN Cc1nnc(CC(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)o1 ZINC000991181343 663790765 /nfs/dbraw/zinc/79/07/65/663790765.db2.gz CUDWUQXPZUWAHA-SECBINFHSA-N 0 2 324.234 0.148 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)[C@H]2CCc3[nH+]ccn3C2)CCCOC1 ZINC000909697314 663795191 /nfs/dbraw/zinc/79/51/91/663795191.db2.gz GSHIUHHGMSEGKK-NHYWBVRUSA-N 0 2 307.350 0.586 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000909709802 663795819 /nfs/dbraw/zinc/79/58/19/663795819.db2.gz ALMVWLDGFXBCDP-LRDDRELGSA-N 0 2 321.377 0.785 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000909710074 663795988 /nfs/dbraw/zinc/79/59/88/663795988.db2.gz DGIWKZIJMPRMHE-MLGOLLRUSA-N 0 2 321.377 0.785 20 0 DCADLN O=C([O-])c1csc(CCNC(=O)[C@H]2CCc3[nH+]ccn3C2)n1 ZINC000909796636 663802329 /nfs/dbraw/zinc/80/23/29/663802329.db2.gz QAZAFIQTSLZIAN-VIFPVBQESA-N 0 2 320.374 0.959 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@@H]32)C1 ZINC000909954565 663826224 /nfs/dbraw/zinc/82/62/24/663826224.db2.gz DXRJKAPCABTOMZ-XUXIUFHCSA-N 0 2 310.394 0.809 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@@H]32)C1 ZINC000909954565 663826225 /nfs/dbraw/zinc/82/62/25/663826225.db2.gz DXRJKAPCABTOMZ-XUXIUFHCSA-N 0 2 310.394 0.809 20 0 DCADLN C[C@H](C(=O)N1CC(F)(F)C[C@H]1C(=O)[O-])[NH+]1CCSCC1 ZINC000910436466 663882308 /nfs/dbraw/zinc/88/23/08/663882308.db2.gz QKLCJFVJPNEPDY-BDAKNGLRSA-N 0 2 308.350 0.745 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCOCC3)nc[nH+]2)C[C@H]1CC(=O)[O-] ZINC000910490549 663892772 /nfs/dbraw/zinc/89/27/72/663892772.db2.gz LREKFJVRCZIBEF-VXGBXAGGSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCOCC3)[nH+]cn2)C[C@H]1CC(=O)[O-] ZINC000910490549 663892774 /nfs/dbraw/zinc/89/27/74/663892774.db2.gz LREKFJVRCZIBEF-VXGBXAGGSA-N 0 2 306.366 0.860 20 0 DCADLN O=C([O-])[C@H](C[C@H]1CCCO1)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000910594579 663910856 /nfs/dbraw/zinc/91/08/56/663910856.db2.gz IFXHQOFEQNGNIH-OLZOCXBDSA-N 0 2 321.377 0.900 20 0 DCADLN Cc1cncc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038125262 663921638 /nfs/dbraw/zinc/92/16/38/663921638.db2.gz ILTWYDNKMNPFMD-NSHDSACASA-N 0 2 302.338 0.218 20 0 DCADLN C[C@](O)(C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1)C1CC1 ZINC000911168831 664000834 /nfs/dbraw/zinc/00/08/34/664000834.db2.gz BCTTVVJHISYIDH-MRXNPFEDSA-N 0 2 319.361 0.589 20 0 DCADLN O=C([O-])c1cnn(CC(=O)N2CCC(n3cc[nH+]c3)CC2)c1 ZINC000911313416 664031646 /nfs/dbraw/zinc/03/16/46/664031646.db2.gz INSNEGFOUIYBLV-UHFFFAOYSA-N 0 2 303.322 0.642 20 0 DCADLN Cc1nc(N2CCN(C(=O)CCSCC(=O)[O-])CC2)cc[nH+]1 ZINC000911459263 664060740 /nfs/dbraw/zinc/06/07/40/664060740.db2.gz SSKJOLNBTLERCH-UHFFFAOYSA-N 0 2 324.406 0.642 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)nc1 ZINC000911732595 664106412 /nfs/dbraw/zinc/10/64/12/664106412.db2.gz WFEJKHGJIPUTHC-LLVKDONJSA-N 0 2 300.318 0.855 20 0 DCADLN COc1ccc(C=O)cc1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC000912816540 664233846 /nfs/dbraw/zinc/23/38/46/664233846.db2.gz BIWUNUDSSLZWAC-MRVPVSSYSA-N 0 2 305.290 0.435 20 0 DCADLN CN1CC[C@H](C(=O)Nc2nccc(Br)c2O)C1=O ZINC000913026692 664255940 /nfs/dbraw/zinc/25/59/40/664255940.db2.gz ZQALEJVTKPCLQQ-ZCFIWIBFSA-N 0 2 314.139 0.967 20 0 DCADLN CCc1ccc(F)cc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030608283 664602791 /nfs/dbraw/zinc/60/27/91/664602791.db2.gz CEICEBSDQCCEJE-UHFFFAOYSA-N 0 2 319.340 0.826 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ncc(Cl)s1 ZINC001030674454 664627779 /nfs/dbraw/zinc/62/77/79/664627779.db2.gz HZGSXNVUYKGDDE-UHFFFAOYSA-N 0 2 314.758 0.234 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(C2CC2)CCOCC1 ZINC001030739731 664651734 /nfs/dbraw/zinc/65/17/34/664651734.db2.gz MXQYIRKZAMXJEN-UHFFFAOYSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948977125 664712348 /nfs/dbraw/zinc/71/23/48/664712348.db2.gz BFHZLRRAJXLMJA-WOPDTQHZSA-N 0 2 305.382 0.591 20 0 DCADLN Cc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(F)c1 ZINC001031005161 664754006 /nfs/dbraw/zinc/75/40/06/664754006.db2.gz OPWWNJCYNQBUMB-UHFFFAOYSA-N 0 2 305.313 0.572 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCc2sccc2C1 ZINC000730520427 664784785 /nfs/dbraw/zinc/78/47/85/664784785.db2.gz GXYICDWNXMUMRQ-UHFFFAOYSA-N 0 2 315.358 0.718 20 0 DCADLN Cc1ccc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000730527785 664785315 /nfs/dbraw/zinc/78/53/15/664785315.db2.gz LTZDADJMSKPJPM-UHFFFAOYSA-N 0 2 303.347 0.758 20 0 DCADLN COc1ccc(N(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000730535253 664790311 /nfs/dbraw/zinc/79/03/11/664790311.db2.gz LNPQKIGEAMQDIH-UHFFFAOYSA-N 0 2 314.305 0.138 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C1CC1)C1CC1 ZINC000730541769 664791427 /nfs/dbraw/zinc/79/14/27/664791427.db2.gz XIWUOLHVYPJNFX-UHFFFAOYSA-N 0 2 301.350 0.718 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCC(=O)c1ccccc1 ZINC000730659517 664799659 /nfs/dbraw/zinc/79/96/59/664799659.db2.gz WXMGXGKABRBKKW-UHFFFAOYSA-N 0 2 312.285 0.497 20 0 DCADLN Cc1cc(C)n(CCOC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000730690621 664800424 /nfs/dbraw/zinc/80/04/24/664800424.db2.gz LXDAPPUTAXBCTL-UHFFFAOYSA-N 0 2 316.321 0.128 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C)[nH]n1 ZINC000993101711 664808419 /nfs/dbraw/zinc/80/84/19/664808419.db2.gz BVXDWEAVIYAGKK-UWVGGRQHSA-N 0 2 319.369 0.325 20 0 DCADLN CC(C)[C@@H]1C[C@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031208219 664882823 /nfs/dbraw/zinc/88/28/23/664882823.db2.gz VZHVENWTVXYLDT-PWSUYJOCSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(Nc1nc(C[NH+]2CCCCC2)cs1)c1cc(=O)[nH][n-]1 ZINC000731866402 664888470 /nfs/dbraw/zinc/88/84/70/664888470.db2.gz HQTMYQYDLYRCMI-UHFFFAOYSA-N 0 2 307.379 0.943 20 0 DCADLN CC(C)N(CC(F)(F)F)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000731972973 664895084 /nfs/dbraw/zinc/89/50/84/664895084.db2.gz TUBHZVHUHNDYNE-UHFFFAOYSA-N 0 2 317.271 0.871 20 0 DCADLN CC[C@H]1C[C@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031218541 664899687 /nfs/dbraw/zinc/89/96/87/664899687.db2.gz YPAMESCFIJDQLN-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001031273113 664962662 /nfs/dbraw/zinc/96/26/62/664962662.db2.gz KNIGGAYYUFSJQN-LLVKDONJSA-N 0 2 315.377 0.923 20 0 DCADLN Cc1cnc(C[N@@H+]2CC[C@H](NC(=O)c3n[nH]cc3F)C2)cn1 ZINC001015665350 664966393 /nfs/dbraw/zinc/96/63/93/664966393.db2.gz IIPXDEXPYCOSOA-JTQLQIEISA-N 0 2 304.329 0.652 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c(=O)c1 ZINC000994044552 665031077 /nfs/dbraw/zinc/03/10/77/665031077.db2.gz MJZXAUICIJGHSQ-QMMMGPOBSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c(=O)c1 ZINC000994044552 665031080 /nfs/dbraw/zinc/03/10/80/665031080.db2.gz MJZXAUICIJGHSQ-QMMMGPOBSA-N 0 2 322.218 0.023 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2ccco2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994049728 665031847 /nfs/dbraw/zinc/03/18/47/665031847.db2.gz HXBFOULKSOUMPY-JQWIXIFHSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2ccco2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994049728 665031848 /nfs/dbraw/zinc/03/18/48/665031848.db2.gz HXBFOULKSOUMPY-JQWIXIFHSA-N 0 2 319.365 0.815 20 0 DCADLN COc1cccc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000733013586 665050587 /nfs/dbraw/zinc/05/05/87/665050587.db2.gz MBCTVWKYYSYOEI-UHFFFAOYSA-N 0 2 315.289 0.218 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)CC3(F)CCC3)CC2)[nH]1 ZINC000915922541 665053836 /nfs/dbraw/zinc/05/38/36/665053836.db2.gz WYYWHQDBUAXDRI-UHFFFAOYSA-N 0 2 318.374 0.912 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000920953642 665285269 /nfs/dbraw/zinc/28/52/69/665285269.db2.gz MDUJPFOCENTSSJ-JTQLQIEISA-N 0 2 320.374 0.931 20 0 DCADLN O=C(NNC(=O)c1cc2ccccc2c(=O)[nH]1)c1ccccn1 ZINC000029855029 665313544 /nfs/dbraw/zinc/31/35/44/665313544.db2.gz OQLZBZFBSANRBW-UHFFFAOYSA-N 0 2 308.297 0.998 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001031708770 665318459 /nfs/dbraw/zinc/31/84/59/665318459.db2.gz RIYUCFBXWMHBQG-MNOVXSKESA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cocn1 ZINC001001189867 666006347 /nfs/dbraw/zinc/00/63/47/666006347.db2.gz NOHPNXFGJPHCKM-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnco1 ZINC000941770897 666056587 /nfs/dbraw/zinc/05/65/87/666056587.db2.gz IIBOWIQMCCHTTC-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnco1 ZINC000941770897 666056589 /nfs/dbraw/zinc/05/65/89/666056589.db2.gz IIBOWIQMCCHTTC-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN Cc1noc(C)c1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002321003 666155451 /nfs/dbraw/zinc/15/54/51/666155451.db2.gz NBBAYVFQXWKUPV-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCOC1 ZINC001002431146 666170988 /nfs/dbraw/zinc/17/09/88/666170988.db2.gz GYUGMQSPPABMGU-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN Cc1cc(CC(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)no1 ZINC001002483337 666180402 /nfs/dbraw/zinc/18/04/02/666180402.db2.gz YPYOEGQOSKPDFY-UHFFFAOYSA-N 0 2 320.353 0.130 20 0 DCADLN Cc1ncc(CC(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)o1 ZINC001003003916 666259602 /nfs/dbraw/zinc/25/96/02/666259602.db2.gz ITHDIJNZJZSFBR-UHFFFAOYSA-N 0 2 320.353 0.130 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NC2CC[NH+](Cc3ncccn3)CC2)C1 ZINC001003626736 666335244 /nfs/dbraw/zinc/33/52/44/666335244.db2.gz VZNQKJMWOGOZBY-AWEZNQCLSA-N 0 2 317.437 0.899 20 0 DCADLN CN(C(=O)C[C@@H]1CCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032919119 666388867 /nfs/dbraw/zinc/38/88/67/666388867.db2.gz LDVMJSXDKOTKSN-MNOVXSKESA-N 0 2 309.370 0.112 20 0 DCADLN CN(C(=O)C[C@@H]1CCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032919119 666388869 /nfs/dbraw/zinc/38/88/69/666388869.db2.gz LDVMJSXDKOTKSN-MNOVXSKESA-N 0 2 309.370 0.112 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H]2CC[N@@H+]([C@@H](C)C(=O)N(C)C)C2)c1[O-] ZINC001033014465 666427622 /nfs/dbraw/zinc/42/76/22/666427622.db2.gz LCBZPCPYVIWSPF-WDEREUQCSA-N 0 2 323.397 0.047 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H]2CC[N@H+]([C@@H](C)C(=O)N(C)C)C2)c1[O-] ZINC001033014465 666427623 /nfs/dbraw/zinc/42/76/23/666427623.db2.gz LCBZPCPYVIWSPF-WDEREUQCSA-N 0 2 323.397 0.047 20 0 DCADLN CCc1n[nH]cc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033223778 666635353 /nfs/dbraw/zinc/63/53/53/666635353.db2.gz UNUGUVHGMGNJPD-VIFPVBQESA-N 0 2 319.369 0.142 20 0 DCADLN Cc1noc(C)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032273179 666646678 /nfs/dbraw/zinc/64/66/78/666646678.db2.gz WRLVSLKYPUJCAM-UWVGGRQHSA-N 0 2 318.337 0.214 20 0 DCADLN CN(C(=O)[C@@H]1CC1(C)C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005262584 666782884 /nfs/dbraw/zinc/78/28/84/666782884.db2.gz CRXHMCIZUXZIBC-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)c1ocnc1C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033792831 666830739 /nfs/dbraw/zinc/83/07/39/666830739.db2.gz VHDZYOIFQAMPOT-SNVBAGLBSA-N 0 2 320.353 0.543 20 0 DCADLN Cc1c[nH]nc1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005366570 666832128 /nfs/dbraw/zinc/83/21/28/666832128.db2.gz WCJVADZYAMKGGT-UHFFFAOYSA-N 0 2 319.369 0.278 20 0 DCADLN CC(F)(F)C(=O)N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034071175 666911235 /nfs/dbraw/zinc/91/12/35/666911235.db2.gz AVMVPAUXQDMJCN-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001034073539 666913996 /nfs/dbraw/zinc/91/39/96/666913996.db2.gz KLDNNYVHEKAWCF-JTQLQIEISA-N 0 2 305.338 0.888 20 0 DCADLN Cc1cccnc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032342619 666987914 /nfs/dbraw/zinc/98/79/14/666987914.db2.gz XCFWBTWMDBYTPE-QWRGUYRKSA-N 0 2 314.349 0.313 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(c3ncco3)CC2)S1 ZINC000862110777 667018694 /nfs/dbraw/zinc/01/86/94/667018694.db2.gz VPTRXDOUAQUAQA-VIFPVBQESA-N 0 2 308.363 0.937 20 0 DCADLN C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001032360531 667037335 /nfs/dbraw/zinc/03/73/35/667037335.db2.gz RTYCIHBCQCJGNN-SRVKXCTJSA-N 0 2 316.365 0.358 20 0 DCADLN Cc1n[nH]cc1C(=O)NN1C(=O)N[C@@](C)(c2ccccc2)C1=O ZINC000735840732 667048455 /nfs/dbraw/zinc/04/84/55/667048455.db2.gz BIMVGKNZLVPGPX-HNNXBMFYSA-N 0 2 313.317 0.830 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034528668 667058023 /nfs/dbraw/zinc/05/80/23/667058023.db2.gz SYZDEIUOCVPENC-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034528668 667058025 /nfs/dbraw/zinc/05/80/25/667058025.db2.gz SYZDEIUOCVPENC-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN CN(C(=O)c1ccsn1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005563312 667068408 /nfs/dbraw/zinc/06/84/08/667068408.db2.gz WMXCJBBYOJVCMW-UHFFFAOYSA-N 0 2 322.394 0.703 20 0 DCADLN CCCC[C@@H](COC)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000736049189 667085510 /nfs/dbraw/zinc/08/55/10/667085510.db2.gz HQRXJIRMGMHNBF-JTQLQIEISA-N 0 2 307.354 0.393 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H]2CC[C@H](NC(=O)c3cnn[nH]3)CC2)o1 ZINC001034683677 667105940 /nfs/dbraw/zinc/10/59/40/667105940.db2.gz XSPSIRZAMSHRFL-LSJOCFKGSA-N 0 2 319.369 0.888 20 0 DCADLN CC(=O)NC[C@@H]1CCCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000863815211 667185322 /nfs/dbraw/zinc/18/53/22/667185322.db2.gz GHQNZIGNMPMRMF-VHSXEESVSA-N 0 2 312.395 0.060 20 0 DCADLN Cc1noc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)c1C ZINC001032465801 667281446 /nfs/dbraw/zinc/28/14/46/667281446.db2.gz VVLVYXHWEABYKF-UWVGGRQHSA-N 0 2 318.337 0.214 20 0 DCADLN Cc1ccc(N2CCN(C(=O)c3nc(=O)[nH][nH]3)CC2)cc1C ZINC000120551884 667324574 /nfs/dbraw/zinc/32/45/74/667324574.db2.gz IKRRZSLVBOTGCW-UHFFFAOYSA-N 0 2 301.350 0.677 20 0 DCADLN CC[C@H](C)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035656220 667394719 /nfs/dbraw/zinc/39/47/19/667394719.db2.gz XIJRDFSNKSDFQZ-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@@H](C)[C@H](O)C(F)(F)F ZINC000867646320 667480011 /nfs/dbraw/zinc/48/00/11/667480011.db2.gz DYTKKGANCLQVLL-ICOGYPEOSA-N 0 2 312.335 0.250 20 0 DCADLN O=C(/C=C\CN1CCOCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000868093283 667533587 /nfs/dbraw/zinc/53/35/87/667533587.db2.gz DKAUNYRORMNWQX-DTRQGZQOSA-N 0 2 321.381 0.105 20 0 DCADLN O=C(c1cc(Cl)ccc1O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000738247915 667662389 /nfs/dbraw/zinc/66/23/89/667662389.db2.gz UFXDFJODVPEKAA-JTQLQIEISA-N 0 2 309.713 0.772 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](C2CC2)[C@@H]2CCCOC2)S1 ZINC000885458703 667676974 /nfs/dbraw/zinc/67/69/74/667676974.db2.gz MHJQGVPKMSQPAS-SCVCMEIPSA-N 0 2 311.407 0.864 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(-n2nccn2)cc1 ZINC000137093553 667734614 /nfs/dbraw/zinc/73/46/14/667734614.db2.gz KFWCHTYVQCUACB-UHFFFAOYSA-N 0 2 300.282 0.000 20 0 DCADLN CCc1nn(C)cc1S(=O)(=O)Nc1nc2ccccn2n1 ZINC000886402361 667762573 /nfs/dbraw/zinc/76/25/73/667762573.db2.gz GENKRVSPXYSRQQ-UHFFFAOYSA-N 0 2 306.351 0.826 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cccc3c2OCC3)n1 ZINC000886422289 667765811 /nfs/dbraw/zinc/76/58/11/667765811.db2.gz KIRHQMKIGXSHHO-UHFFFAOYSA-N 0 2 309.351 0.990 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2CCCc3[nH]c(=O)ccc32)S1 ZINC000742512435 667792859 /nfs/dbraw/zinc/79/28/59/667792859.db2.gz HABMOQHWZHSWCO-NXEZZACHSA-N 0 2 320.374 0.837 20 0 DCADLN COc1cccc(CNC(=O)C[C@H]2SC(=N)NC2=O)c1OC ZINC000742524364 667793217 /nfs/dbraw/zinc/79/32/17/667793217.db2.gz MGNKZYDXCWPUGN-SNVBAGLBSA-N 0 2 323.374 0.876 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(CNC(N)=O)cc2)S1 ZINC000742589914 667794584 /nfs/dbraw/zinc/79/45/84/667794584.db2.gz MZEPKNBRHUBUGY-SECBINFHSA-N 0 2 321.362 0.350 20 0 DCADLN Cn1c2cc(NC(=O)CC3SC(=N)NC3=O)ccc2oc1=O ZINC000742617629 667795171 /nfs/dbraw/zinc/79/51/71/667795171.db2.gz NXAXVWVRRNQQTH-SECBINFHSA-N 0 2 320.330 0.626 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC2CCN(CC(F)F)CC2)S1 ZINC000742599508 667795236 /nfs/dbraw/zinc/79/52/36/667795236.db2.gz XDPKRPWENCSQFM-QMMMGPOBSA-N 0 2 320.365 0.389 20 0 DCADLN Cc1cc(NC(=O)CC2SC(=N)NC2=O)ccc1C(N)=O ZINC000742636525 667795858 /nfs/dbraw/zinc/79/58/58/667795858.db2.gz MTOUPVREQAVDTM-SECBINFHSA-N 0 2 306.347 0.589 20 0 DCADLN Cc1cccc(NC(=O)CC2SC(=N)NC2=O)c1C(N)=O ZINC000742691662 667797508 /nfs/dbraw/zinc/79/75/08/667797508.db2.gz SBJNDXAJCLBWTD-MRVPVSSYSA-N 0 2 306.347 0.589 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](O)[C@H]3CCCC[C@@H]32)S1 ZINC000871197037 667800732 /nfs/dbraw/zinc/80/07/32/667800732.db2.gz FHPLQAMDXNXWHC-NAKRPEOUSA-N 0 2 311.407 0.695 20 0 DCADLN CCSCC[C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000742959621 667801211 /nfs/dbraw/zinc/80/12/11/667801211.db2.gz SGFZMDDFBUNCSS-VIFPVBQESA-N 0 2 309.395 0.719 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NCCC(N)=O)cc2[nH]c1=S ZINC000743307796 667805848 /nfs/dbraw/zinc/80/58/48/667805848.db2.gz UOGHSQJUFGWESN-UHFFFAOYSA-N 0 2 320.374 0.310 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](C2CCC2)[C@@H]2CCOC2)S1 ZINC000871295023 667806620 /nfs/dbraw/zinc/80/66/20/667806620.db2.gz MOYGVFSPZGZTHF-SCVCMEIPSA-N 0 2 311.407 0.864 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(NC(N)=O)cc2)S1 ZINC000745421051 667847172 /nfs/dbraw/zinc/84/71/72/667847172.db2.gz ILOABUREKHQEAS-MRVPVSSYSA-N 0 2 307.335 0.672 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3cccn3)nc2)S1 ZINC000745421412 667847207 /nfs/dbraw/zinc/84/72/07/667847207.db2.gz LVPPGAMWQBEDQX-VIFPVBQESA-N 0 2 316.346 0.762 20 0 DCADLN CCc1ccc(S(=O)(=O)NCC[NH+]2CCC2)cc1C(=O)[O-] ZINC000871923853 667857302 /nfs/dbraw/zinc/85/73/02/667857302.db2.gz NQUGHUVLZNECGR-UHFFFAOYSA-N 0 2 312.391 0.931 20 0 DCADLN Cc1cc(S(=O)(=O)NCC[NH+]2CCC2)cc(C(=O)[O-])c1F ZINC000871923934 667857480 /nfs/dbraw/zinc/85/74/80/667857480.db2.gz VKWRRAAFVPYWTM-UHFFFAOYSA-N 0 2 316.354 0.816 20 0 DCADLN COC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccco1 ZINC000746546396 667881882 /nfs/dbraw/zinc/88/18/82/667881882.db2.gz CZVJQXRQGSTWEK-SNVBAGLBSA-N 0 2 317.305 0.168 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@]3(CCCOC3)C2)S1 ZINC000746874268 667888521 /nfs/dbraw/zinc/88/85/21/667888521.db2.gz GSZQRGVJEBJSPT-IINYFYTJSA-N 0 2 311.407 0.962 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(NC3CC(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873075894 667969451 /nfs/dbraw/zinc/96/94/51/667969451.db2.gz JHOIWAISEGTCHS-QYNFOATHSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(NC3CC(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873075894 667969454 /nfs/dbraw/zinc/96/94/54/667969454.db2.gz JHOIWAISEGTCHS-QYNFOATHSA-N 0 2 306.366 0.959 20 0 DCADLN CC(C)(C)N1C[C@@H](NC(=O)C[C@@H]2SC(=N)NC2=O)CC1=O ZINC000752676296 668020736 /nfs/dbraw/zinc/02/07/36/668020736.db2.gz ITUOIYBFCVPZOG-YUMQZZPRSA-N 0 2 312.395 0.058 20 0 DCADLN C[C@H]1COC(C)(C)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000754495992 668046495 /nfs/dbraw/zinc/04/64/95/668046495.db2.gz XDPCBVOHDKUNRT-VIFPVBQESA-N 0 2 305.338 0.097 20 0 DCADLN CC(C)(C)OCCCOC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000757068815 668110961 /nfs/dbraw/zinc/11/09/61/668110961.db2.gz QKQIZVUWTGDURR-UHFFFAOYSA-N 0 2 308.338 0.820 20 0 DCADLN CN(C)C(=O)O[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC000874041864 668141984 /nfs/dbraw/zinc/14/19/84/668141984.db2.gz KKLPETWGOQTLCJ-LLVKDONJSA-N 0 2 310.354 0.781 20 0 DCADLN CN(C)C(=O)O[C@@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC000874041864 668141986 /nfs/dbraw/zinc/14/19/86/668141986.db2.gz KKLPETWGOQTLCJ-LLVKDONJSA-N 0 2 310.354 0.781 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCCc1cnccn1 ZINC000759027916 668204364 /nfs/dbraw/zinc/20/43/64/668204364.db2.gz PRZZWNFNYCNRRN-UHFFFAOYSA-N 0 2 304.272 0.592 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCC(=O)[C@H]1CCOC1 ZINC000759119480 668207831 /nfs/dbraw/zinc/20/78/31/668207831.db2.gz ZJJSEQVJDDFIRM-ZETCQYMHSA-N 0 2 311.256 0.592 20 0 DCADLN Cn1nccc1CCOC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000759579958 668227213 /nfs/dbraw/zinc/22/72/13/668227213.db2.gz NSUXSQNTLWIHLP-UHFFFAOYSA-N 0 2 307.272 0.963 20 0 DCADLN COc1ccc([C@H](O)CNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000762347531 668357839 /nfs/dbraw/zinc/35/78/39/668357839.db2.gz JLDVZDDZYRVWGM-GHMZBOCLSA-N 0 2 323.374 0.401 20 0 DCADLN CCS(=O)(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC000764287919 668442747 /nfs/dbraw/zinc/44/27/47/668442747.db2.gz LXUHXTFSPKAJLW-SSDOTTSWSA-N 0 2 308.297 0.675 20 0 DCADLN CCS(=O)(=O)N(C)CCCNC(=O)[C@@H](F)C(F)(F)F ZINC000764287919 668442752 /nfs/dbraw/zinc/44/27/52/668442752.db2.gz LXUHXTFSPKAJLW-SSDOTTSWSA-N 0 2 308.297 0.675 20 0 DCADLN C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCN1S(C)(=O)=O ZINC000764646808 668456914 /nfs/dbraw/zinc/45/69/14/668456914.db2.gz FGNLFIGBGAKKKQ-NKWVEPMBSA-N 0 2 306.281 0.379 20 0 DCADLN C[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCN1S(C)(=O)=O ZINC000764646808 668456916 /nfs/dbraw/zinc/45/69/16/668456916.db2.gz FGNLFIGBGAKKKQ-NKWVEPMBSA-N 0 2 306.281 0.379 20 0 DCADLN C[C@@H]1C[C@H](C)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000928185786 668565034 /nfs/dbraw/zinc/56/50/34/668565034.db2.gz VPJCHUSFQWBBKE-GHMZBOCLSA-N 0 2 303.366 0.966 20 0 DCADLN Cn1nccc1[C@@H]1CCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000928376305 668578460 /nfs/dbraw/zinc/57/84/60/668578460.db2.gz ZPDAUPBPTBUSFM-UWVGGRQHSA-N 0 2 307.379 0.640 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(F)cc1O ZINC000768256739 668604091 /nfs/dbraw/zinc/60/40/91/668604091.db2.gz ABEHUFROMAMCFZ-UHFFFAOYSA-N 0 2 303.253 0.555 20 0 DCADLN C[C@]1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCO[C@@H]1C1CC1 ZINC000768659385 668617857 /nfs/dbraw/zinc/61/78/57/668617857.db2.gz YPBIOGYUAXAUPB-DRCTWCGVSA-N 0 2 311.407 0.864 20 0 DCADLN Cc1cnc([C@@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)o1 ZINC000770971739 668724249 /nfs/dbraw/zinc/72/42/49/668724249.db2.gz GJUGFUUYQIRBKD-MRVPVSSYSA-N 0 2 303.278 0.672 20 0 DCADLN Cc1cc(NC(=O)CC2SC(=N)NC2=O)cc(OCCO)c1 ZINC000773729445 668812240 /nfs/dbraw/zinc/81/22/40/668812240.db2.gz DAFDPYJYLPKOBZ-NSHDSACASA-N 0 2 323.374 0.861 20 0 DCADLN O=C(CNC(=O)c1ccc(F)cc1F)OCc1n[nH]c(=O)[nH]1 ZINC000774893914 668849858 /nfs/dbraw/zinc/84/98/58/668849858.db2.gz IUDSKHKBKNZRNL-UHFFFAOYSA-N 0 2 312.232 0.262 20 0 DCADLN C[C@@H](C(=O)OCc1n[nH]c(=O)[nH]1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000774903713 668850489 /nfs/dbraw/zinc/85/04/89/668850489.db2.gz ZEGWPYZRKWNONV-CIUDSAMLSA-N 0 2 322.321 0.117 20 0 DCADLN O=C(Cc1csc(N2CCCC2=O)n1)OCc1n[nH]c(=O)[nH]1 ZINC000774909675 668851127 /nfs/dbraw/zinc/85/11/27/668851127.db2.gz UAJHZJFCRIPUPU-UHFFFAOYSA-N 0 2 323.334 0.380 20 0 DCADLN O=C(CCCNC(=O)c1ccc(F)cc1)OCc1n[nH]c(=O)[nH]1 ZINC000774926484 668851482 /nfs/dbraw/zinc/85/14/82/668851482.db2.gz NZOBXYCPNNOTEI-UHFFFAOYSA-N 0 2 322.296 0.903 20 0 DCADLN CO[C@@H]1CCC[C@@H]1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000775515274 668864521 /nfs/dbraw/zinc/86/45/21/668864521.db2.gz BVEGGIAQDUGQOE-MWLCHTKSSA-N 0 2 305.338 0.003 20 0 DCADLN O=C(NC[C@@H]1C[N@@H+]2CCC[C@H]2CO1)N[C@H]1CCn2cc[nH+]c2C1 ZINC000777508746 668888115 /nfs/dbraw/zinc/88/81/15/668888115.db2.gz QWLRQMCJHFRMDX-MELADBBJSA-N 0 2 319.409 0.360 20 0 DCADLN CCCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000778649633 668902414 /nfs/dbraw/zinc/90/24/14/668902414.db2.gz VGKKQZKGBFEDRW-AVGNSLFASA-N 0 2 320.455 0.976 20 0 DCADLN C[N@H+]1CCC[C@@H]1C(=O)N1CC[NH+](C[C@@H]2C[C@@H]3COC[C@@H]3O2)CC1 ZINC000929849190 668917239 /nfs/dbraw/zinc/91/72/39/668917239.db2.gz SWDDKZWSUMRFKP-QXSJWSMHSA-N 0 2 323.437 0.029 20 0 DCADLN COc1ccc2c(c1)CC[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)C2 ZINC000879069157 668978466 /nfs/dbraw/zinc/97/84/66/668978466.db2.gz APTSAVOUDSVNSI-NSHDSACASA-N 0 2 302.334 0.940 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N1CCCC[C@H]1C(=O)[O-] ZINC000780543647 668992701 /nfs/dbraw/zinc/99/27/01/668992701.db2.gz SDVUHMYXBSTQBM-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N1CCCC[C@H]1C(=O)[O-] ZINC000780543647 668992706 /nfs/dbraw/zinc/99/27/06/668992706.db2.gz SDVUHMYXBSTQBM-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CC(C)[C@@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543563 668993190 /nfs/dbraw/zinc/99/31/90/668993190.db2.gz QSLURMKYGPLYFE-LLVKDONJSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)[C@@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543563 668993192 /nfs/dbraw/zinc/99/31/92/668993192.db2.gz QSLURMKYGPLYFE-LLVKDONJSA-N 0 2 301.387 0.506 20 0 DCADLN CO[C@@H]1C[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)Cc3cccnc3N2C1 ZINC000879270831 669006718 /nfs/dbraw/zinc/00/67/18/669006718.db2.gz ULRHKLDGFRFPID-VXGBXAGGSA-N 0 2 316.365 0.515 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H](C)[C@@H](C)O1 ZINC000782905385 669131399 /nfs/dbraw/zinc/13/13/99/669131399.db2.gz JFFTWIWTUOYHAG-KXUCPTDWSA-N 0 2 305.338 0.096 20 0 DCADLN CN(C)C(=O)C[NH+]1CCC(NC(=O)c2cccc(F)c2[O-])CC1 ZINC000932458773 669368512 /nfs/dbraw/zinc/36/85/12/669368512.db2.gz OQGOQIISFSKUGI-UHFFFAOYSA-N 0 2 323.368 0.814 20 0 DCADLN O=C(CSCC(=O)N1CCCCC1)NOC[C@@H]1CCOC1 ZINC000788269609 669425236 /nfs/dbraw/zinc/42/52/36/669425236.db2.gz BSADRZBQALHATR-GFCCVEGCSA-N 0 2 316.423 0.816 20 0 DCADLN COCCOCCCOC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000791947238 669642189 /nfs/dbraw/zinc/64/21/89/669642189.db2.gz VLDKYKWXFGACFZ-UHFFFAOYSA-N 0 2 323.349 0.470 20 0 DCADLN CC1(C)CC[C@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)O1 ZINC000792027190 669646749 /nfs/dbraw/zinc/64/67/49/669646749.db2.gz YUOBPLNZQNDGQH-SECBINFHSA-N 0 2 306.322 0.572 20 0 DCADLN COCC(=O)Nc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000040810176 669821817 /nfs/dbraw/zinc/82/18/17/669821817.db2.gz OZXJDUJCZQLXKL-UHFFFAOYSA-N 0 2 310.335 0.795 20 0 DCADLN CC(C)CN(C)C(=O)N[C@H](CCC(=O)[O-])C[NH+]1CCOCC1 ZINC000797428777 669968210 /nfs/dbraw/zinc/96/82/10/669968210.db2.gz GNTMWOJIVGMVHR-CYBMUJFWSA-N 0 2 315.414 0.849 20 0 DCADLN CCn1ncc2cc(C(=O)OCc3n[nH]c(=O)[nH]3)c(C)nc21 ZINC000799574554 670065567 /nfs/dbraw/zinc/06/55/67/670065567.db2.gz MNWYKNNHPPDOIP-UHFFFAOYSA-N 0 2 302.294 0.940 20 0 DCADLN CCN(C(=O)[C@@H]1CC[C@H]2C[C@H]2C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950673115 670090562 /nfs/dbraw/zinc/09/05/62/670090562.db2.gz REZOAKIVKFAHMJ-TUAOUCFPSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000951048303 670183131 /nfs/dbraw/zinc/18/31/31/670183131.db2.gz XNAXSIGXWALREX-XPUUQOCRSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC000951048303 670183133 /nfs/dbraw/zinc/18/31/33/670183133.db2.gz XNAXSIGXWALREX-XPUUQOCRSA-N 0 2 324.234 0.691 20 0 DCADLN Cn1cnc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)c1 ZINC000949408710 670335671 /nfs/dbraw/zinc/33/56/71/670335671.db2.gz ZTQACZRDLBSZDX-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)NC[C@H]2CCN2C(=O)[C@H](F)C(F)(F)F)c1 ZINC000949408710 670335672 /nfs/dbraw/zinc/33/56/72/670335672.db2.gz ZTQACZRDLBSZDX-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(Cn1c(=O)c2ccccc2[nH]c1=S)Nc1cn[nH]n1 ZINC000807923483 670381829 /nfs/dbraw/zinc/38/18/29/670381829.db2.gz RYSRITGHGPFFFW-UHFFFAOYSA-N 0 2 302.319 0.442 20 0 DCADLN O=C(Cn1c(=O)c2ccccc2[nH]c1=S)Nc1c[nH]nn1 ZINC000807923483 670381833 /nfs/dbraw/zinc/38/18/33/670381833.db2.gz RYSRITGHGPFFFW-UHFFFAOYSA-N 0 2 302.319 0.442 20 0 DCADLN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000810113912 670476782 /nfs/dbraw/zinc/47/67/82/670476782.db2.gz HVTMQOMTTZBIOU-NKWVEPMBSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000810113912 670476785 /nfs/dbraw/zinc/47/67/85/670476785.db2.gz HVTMQOMTTZBIOU-NKWVEPMBSA-N 0 2 306.281 0.427 20 0 DCADLN CC(C)(CNC(=O)C(F)C(F)(F)F)N1CCS(=O)CC1 ZINC000810397936 670483907 /nfs/dbraw/zinc/48/39/07/670483907.db2.gz CMRQKILFIKNCLO-QMMMGPOBSA-N 0 2 318.336 0.846 20 0 DCADLN CC(C)(CNC(=O)[C@H](F)C(F)(F)F)N1CCS(=O)CC1 ZINC000810397936 670483910 /nfs/dbraw/zinc/48/39/10/670483910.db2.gz CMRQKILFIKNCLO-QMMMGPOBSA-N 0 2 318.336 0.846 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[N-]OCC(F)F ZINC000811053046 670504484 /nfs/dbraw/zinc/50/44/84/670504484.db2.gz GTDYOWJVOLCYRE-SNVBAGLBSA-N 0 2 318.324 0.796 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[N-]OCC(F)F ZINC000811053046 670504485 /nfs/dbraw/zinc/50/44/85/670504485.db2.gz GTDYOWJVOLCYRE-SNVBAGLBSA-N 0 2 318.324 0.796 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@H]1CCCCO1 ZINC000847250519 670527630 /nfs/dbraw/zinc/52/76/30/670527630.db2.gz NBJTZGQKOKXMSY-NWDGAFQWSA-N 0 2 319.365 0.535 20 0 DCADLN CCOC(=O)CC(=O)C(O)n1[nH]c(=O)c2ccc(OC)cc21 ZINC000854506186 670579813 /nfs/dbraw/zinc/57/98/13/670579813.db2.gz GKPXKZPXMLHQDK-LLVKDONJSA-N 0 2 308.290 0.705 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCO[C@H]2CCCCO2)S1 ZINC000854552124 670587956 /nfs/dbraw/zinc/58/79/56/670587956.db2.gz PMRZMLYLCWFYBO-SCZZXKLOSA-N 0 2 301.368 0.202 20 0 DCADLN CCn1ncn(NC(=O)c2cccc3c2OC(F)(F)O3)c1=O ZINC000854627041 670600178 /nfs/dbraw/zinc/60/01/78/670600178.db2.gz LGDUODSXVBTOGO-UHFFFAOYSA-N 0 2 312.232 0.770 20 0 DCADLN C[C@H](NC(N)=O)c1noc(C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000813339074 670622064 /nfs/dbraw/zinc/62/20/64/670622064.db2.gz AFEWEHVZUBIILD-BYPYZUCNSA-N 0 2 309.248 0.713 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@@H](C(=O)N3CCCC3)C2)S1 ZINC000813659140 670642921 /nfs/dbraw/zinc/64/29/21/670642921.db2.gz DBQGURMZAPBVDM-NXEZZACHSA-N 0 2 324.406 0.014 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2COc3ccccc32)S1 ZINC000813891767 670658169 /nfs/dbraw/zinc/65/81/69/670658169.db2.gz NQDWPMYCWFHVIP-KWQFWETISA-N 0 2 305.359 0.835 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000848309582 670666810 /nfs/dbraw/zinc/66/68/10/670666810.db2.gz PRWCGEYPFUOZEL-HTQZYQBOSA-N 0 2 315.395 0.637 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000855776795 670754312 /nfs/dbraw/zinc/75/43/12/670754312.db2.gz UNJWFQGNVYLIFW-VWYCJHECSA-N 0 2 301.350 0.624 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)[C@H](F)C(F)(F)F ZINC000815836549 670766189 /nfs/dbraw/zinc/76/61/89/670766189.db2.gz HZUWOPDZEAPYIB-BQBZGAKWSA-N 0 2 306.281 0.556 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000815836549 670766186 /nfs/dbraw/zinc/76/61/86/670766186.db2.gz HZUWOPDZEAPYIB-BQBZGAKWSA-N 0 2 306.281 0.556 20 0 DCADLN COC(=O)C[C@@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)C1CC1 ZINC000855910747 670767251 /nfs/dbraw/zinc/76/72/51/670767251.db2.gz RGYDSOIVEUUQIK-IUCAKERBSA-N 0 2 313.379 0.248 20 0 DCADLN Cc1cncc(CCNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000855951154 670773705 /nfs/dbraw/zinc/77/37/05/670773705.db2.gz NWBGFHDVEMLXPQ-UHFFFAOYSA-N 0 2 318.299 0.901 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOc3ccc(O)cc3C2)S1 ZINC000856203514 670794334 /nfs/dbraw/zinc/79/43/34/670794334.db2.gz BEGYQFDLVXKZJV-LLVKDONJSA-N 0 2 321.358 0.670 20 0 DCADLN C[C@]1(C(F)F)CN(C(=O)C[C@@H]2SC(=N)NC2=O)CCO1 ZINC000856502195 670826487 /nfs/dbraw/zinc/82/64/87/670826487.db2.gz YQXATIUPBCYDAH-UPONEAKYSA-N 0 2 307.322 0.425 20 0 DCADLN CCc1nnc([C@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)[nH]1 ZINC000849726838 670843962 /nfs/dbraw/zinc/84/39/62/670843962.db2.gz PKGSLDJVQRVPKQ-ZETCQYMHSA-N 0 2 317.309 0.056 20 0 DCADLN CCc1nc([C@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]1 ZINC000849726838 670843967 /nfs/dbraw/zinc/84/39/67/670843967.db2.gz PKGSLDJVQRVPKQ-ZETCQYMHSA-N 0 2 317.309 0.056 20 0 DCADLN CN(C[C@@](C)(O)c1ccccc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000819706831 671133771 /nfs/dbraw/zinc/13/37/71/671133771.db2.gz LDHWWHDMXVTFLX-XHDPSFHLSA-N 0 2 321.402 0.909 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CCCN1c1ncccn1 ZINC000822042994 671303911 /nfs/dbraw/zinc/30/39/11/671303911.db2.gz BHHUFEKITHZZRW-SECBINFHSA-N 0 2 320.378 0.476 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1C[N@H+](Cc2ccc3c(c2)nnn3C)CCO1 ZINC000825359139 671457720 /nfs/dbraw/zinc/45/77/20/671457720.db2.gz JTSQWZDQSGCEHT-YGRLFVJLSA-N 0 2 319.365 0.825 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1C[N@@H+](Cc2ccc3c(c2)nnn3C)CCO1 ZINC000825359139 671457722 /nfs/dbraw/zinc/45/77/22/671457722.db2.gz JTSQWZDQSGCEHT-YGRLFVJLSA-N 0 2 319.365 0.825 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCC[C@@H]1CCOC1 ZINC000828838076 671562152 /nfs/dbraw/zinc/56/21/52/671562152.db2.gz UYNRRLWHLGIHFU-SNVBAGLBSA-N 0 2 306.322 0.431 20 0 DCADLN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2cc(CO)on2)C1 ZINC000830802570 671641365 /nfs/dbraw/zinc/64/13/65/671641365.db2.gz XJHHLWYFBOANAL-NSHDSACASA-N 0 2 321.255 0.450 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000832362809 671716254 /nfs/dbraw/zinc/71/62/54/671716254.db2.gz FFUVAOQZMRPUFH-KXUCPTDWSA-N 0 2 324.406 0.712 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1ccc(F)cc1 ZINC000832762401 671740091 /nfs/dbraw/zinc/74/00/91/671740091.db2.gz QPSQLDDXNOKXJA-CYBMUJFWSA-N 0 2 309.341 0.584 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1ccc(F)cc1 ZINC000832762401 671740092 /nfs/dbraw/zinc/74/00/92/671740092.db2.gz QPSQLDDXNOKXJA-CYBMUJFWSA-N 0 2 309.341 0.584 20 0 DCADLN CCO[C@@H]1COCC[C@H]1NS(=O)(=O)N=[S@](C)(=O)CC ZINC000867104762 671751530 /nfs/dbraw/zinc/75/15/30/671751530.db2.gz GAZZXMMUIVNXCG-CLFVBBPSSA-N 0 2 314.429 0.133 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000834679197 671776093 /nfs/dbraw/zinc/77/60/93/671776093.db2.gz XQJSPKSXUCLHGV-RKDXNWHRSA-N 0 2 307.379 0.472 20 0 DCADLN CC[C@H](C)N(CC(=O)OC)C(=O)C[C@H]1SC(=N)NC1=O ZINC000836788599 671832322 /nfs/dbraw/zinc/83/23/22/671832322.db2.gz SMAUDOBTIFJQBD-JGVFFNPUSA-N 0 2 301.368 0.343 20 0 DCADLN CC(C)(C)O[C@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000843697393 672116837 /nfs/dbraw/zinc/11/68/37/672116837.db2.gz CXRTWUNKKHDZFI-AOOOYVTPSA-N 0 2 319.365 0.534 20 0 DCADLN Cc1cc(OCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC000843878366 672126847 /nfs/dbraw/zinc/12/68/47/672126847.db2.gz NAZNJHKBOQDVIM-SECBINFHSA-N 0 2 307.310 0.592 20 0 DCADLN O=C([O-])COCCNC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000902291293 685454886 /nfs/dbraw/zinc/45/48/86/685454886.db2.gz FIZNQDJIPIFZSV-UHFFFAOYSA-N 0 2 317.345 0.691 20 0 DCADLN Cc1nocc1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024630413 693814421 /nfs/dbraw/zinc/81/44/21/693814421.db2.gz PIMOXVVTHMOPBB-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN Cc1ccc(C(=O)NNC(=O)c2n[nH]c(=O)c3ccccc32)o1 ZINC000017194071 685050735 /nfs/dbraw/zinc/05/07/35/685050735.db2.gz SCVTUDXOWVMTSJ-UHFFFAOYSA-N 0 2 312.285 0.899 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(c2cccc(F)c2)=NO1 ZINC000085382988 685089094 /nfs/dbraw/zinc/08/90/94/685089094.db2.gz JMFZOAJPSJSWLZ-JTQLQIEISA-N 0 2 305.269 0.047 20 0 DCADLN O=C([O-])CN(CC(F)(F)F)C(=O)NCCCn1cc[nH+]c1 ZINC000092443949 685107685 /nfs/dbraw/zinc/10/76/85/685107685.db2.gz YDRFTRBWNPJLHK-UHFFFAOYSA-N 0 2 308.260 0.932 20 0 DCADLN CCN(C(=O)c1cccn1CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957396989 687050586 /nfs/dbraw/zinc/05/05/86/687050586.db2.gz IOLRVZFZGGNAAX-UHFFFAOYSA-N 0 2 318.381 0.678 20 0 DCADLN CCc1nnc([C@H](C)N2CC[C@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001038448713 694084654 /nfs/dbraw/zinc/08/46/54/694084654.db2.gz HAIPMLVTHOELIX-IUCAKERBSA-N 0 2 304.358 0.051 20 0 DCADLN CC(=O)NC[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@H]1O ZINC000957789583 687189467 /nfs/dbraw/zinc/18/94/67/687189467.db2.gz WDPFIQQOARMEEV-MWLCHTKSSA-N 0 2 322.390 0.326 20 0 DCADLN CC(C)c1[nH]ccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038613476 694130998 /nfs/dbraw/zinc/13/09/98/694130998.db2.gz LVDLYBFSEPOIHW-SNVBAGLBSA-N 0 2 318.381 0.966 20 0 DCADLN CC(C)C(=O)N(C)[C@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000958629523 687810837 /nfs/dbraw/zinc/81/08/37/687810837.db2.gz OPTZDODZSNVJNZ-CIUDSAMLSA-N 0 2 314.279 0.573 20 0 DCADLN CC(C)C(=O)N(C)[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC000958629523 687810841 /nfs/dbraw/zinc/81/08/41/687810841.db2.gz OPTZDODZSNVJNZ-CIUDSAMLSA-N 0 2 314.279 0.573 20 0 DCADLN CC(C)c1oncc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038874921 694226343 /nfs/dbraw/zinc/22/63/43/694226343.db2.gz SWMWSKGWJPCHBS-VIFPVBQESA-N 0 2 320.353 0.626 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000962211706 688849124 /nfs/dbraw/zinc/84/91/24/688849124.db2.gz FUDLJPNGWTZTHJ-WCABBAIRSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC000962211706 688849126 /nfs/dbraw/zinc/84/91/26/688849126.db2.gz FUDLJPNGWTZTHJ-WCABBAIRSA-N 0 2 324.274 0.685 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000966009575 689606905 /nfs/dbraw/zinc/60/69/05/689606905.db2.gz HULAHDBKONUIBK-WCBMZHEXSA-N 0 2 319.369 0.182 20 0 DCADLN CCc1ocnc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006961155 690612421 /nfs/dbraw/zinc/61/24/21/690612421.db2.gz ZQLOWBUJFPWELN-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007753056 690739883 /nfs/dbraw/zinc/73/98/83/690739883.db2.gz CKNRZMMRQOMSLZ-SECBINFHSA-N 0 2 319.369 0.190 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001008262372 690829758 /nfs/dbraw/zinc/82/97/58/690829758.db2.gz UHTLBMBGHQDLNS-NOOOWODRSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001008966935 690964236 /nfs/dbraw/zinc/96/42/36/690964236.db2.gz QNFJEDBSEBNIRP-JTQLQIEISA-N 0 2 320.353 0.510 20 0 DCADLN O=C(c1cocn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010695698 691351267 /nfs/dbraw/zinc/35/12/67/691351267.db2.gz YDQZOEVONRRIMT-QMMMGPOBSA-N 0 2 309.219 0.859 20 0 DCADLN O=C(c1cocn1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010695698 691351270 /nfs/dbraw/zinc/35/12/70/691351270.db2.gz YDQZOEVONRRIMT-QMMMGPOBSA-N 0 2 309.219 0.859 20 0 DCADLN O=C(c1cnco1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240070 691492081 /nfs/dbraw/zinc/49/20/81/691492081.db2.gz NLSLISMUWFXIFC-MRVPVSSYSA-N 0 2 309.219 0.859 20 0 DCADLN O=C(c1cnco1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011240070 691492083 /nfs/dbraw/zinc/49/20/83/691492083.db2.gz NLSLISMUWFXIFC-MRVPVSSYSA-N 0 2 309.219 0.859 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)C(C)(C)[NH+]2CCCCC2)C1 ZINC001014445867 692021597 /nfs/dbraw/zinc/02/15/97/692021597.db2.gz BPSYQFZQUGMOLU-KBPBESRZSA-N 0 2 324.469 0.576 20 0 DCADLN CCc1ncsc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014646955 692063649 /nfs/dbraw/zinc/06/36/49/692063649.db2.gz YXYOYJJIZOLQHY-MRVPVSSYSA-N 0 2 322.394 0.534 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014799805 692097065 /nfs/dbraw/zinc/09/70/65/692097065.db2.gz DKPGHFUBDKRSAX-FOGDFJRCSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(C[C@@H]1C=CCCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014909897 692128568 /nfs/dbraw/zinc/12/85/68/692128568.db2.gz OOASMENHSHBMSU-NEPJUHHUSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001014957913 692142162 /nfs/dbraw/zinc/14/21/62/692142162.db2.gz SEFYXWCMYOXDHP-JTQLQIEISA-N 0 2 304.354 0.460 20 0 DCADLN O=C(C[C@H]1CC=CCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015536917 692336289 /nfs/dbraw/zinc/33/62/89/692336289.db2.gz PWYFMXZUAMQCEG-NWDGAFQWSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H](F)c1ccccc1 ZINC001015768793 692435518 /nfs/dbraw/zinc/43/55/18/692435518.db2.gz HFUDENDRHSTEJX-YPMHNXCESA-N 0 2 319.340 0.912 20 0 DCADLN Cc1cc(F)ccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016044238 692556784 /nfs/dbraw/zinc/55/67/84/692556784.db2.gz ISZVXNABZAJWQP-NSHDSACASA-N 0 2 319.340 0.962 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H]3CN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)no1 ZINC001075523925 694956426 /nfs/dbraw/zinc/95/64/26/694956426.db2.gz GPQGDVIPIQTERL-GXSJLCMTSA-N 0 2 318.337 0.153 20 0 DCADLN C[C@@H](CCNC(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001075739360 694972490 /nfs/dbraw/zinc/97/24/90/694972490.db2.gz VJPPFHQCMFIMSM-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)c1cn[nH]c1)NC(=O)[C@H](F)C(F)(F)F ZINC001075739360 694972491 /nfs/dbraw/zinc/97/24/91/694972491.db2.gz VJPPFHQCMFIMSM-XPUUQOCRSA-N 0 2 310.251 0.935 20 0 DCADLN NC(=O)C(=O)NCCCCCCNC(=O)C(F)C(F)(F)F ZINC001687131183 1157364244 /nfs/dbraw/zinc/36/42/44/1157364244.db2.gz GYAQMGMLUIMJMC-SSDOTTSWSA-N 0 2 315.267 0.165 20 0 DCADLN NC(=O)C(=O)NCCCCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001687131183 1157364251 /nfs/dbraw/zinc/36/42/51/1157364251.db2.gz GYAQMGMLUIMJMC-SSDOTTSWSA-N 0 2 315.267 0.165 20 0 DCADLN O=C(CO[C@H]1CCOC1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001771703004 1157893623 /nfs/dbraw/zinc/89/36/23/1157893623.db2.gz CTIHKIRLUKBBHY-NSHDSACASA-N 0 2 304.306 0.921 20 0 DCADLN CC(C)NC(=O)C(=O)NNC(=O)c1csc(-c2ccco2)n1 ZINC000044008147 1158649551 /nfs/dbraw/zinc/64/95/51/1158649551.db2.gz CPQOVFGBHAIQNC-UHFFFAOYSA-N 0 2 322.346 0.689 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)on1 ZINC001720070315 1158796453 /nfs/dbraw/zinc/79/64/53/1158796453.db2.gz XKIUIQTYWOPLPR-SSDOTTSWSA-N 0 2 313.207 0.430 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)on1 ZINC001720070315 1158796459 /nfs/dbraw/zinc/79/64/59/1158796459.db2.gz XKIUIQTYWOPLPR-SSDOTTSWSA-N 0 2 313.207 0.430 20 0 DCADLN COCC(=O)NCC1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001446809084 1159549965 /nfs/dbraw/zinc/54/99/65/1159549965.db2.gz BHWBTWREQVZQHY-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NCC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001446809084 1159549959 /nfs/dbraw/zinc/54/99/59/1159549959.db2.gz BHWBTWREQVZQHY-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CCc2nncn2CC1 ZINC001448076900 1159715390 /nfs/dbraw/zinc/71/53/90/1159715390.db2.gz PIJYTFOEDKNLKE-MRVPVSSYSA-N 0 2 308.367 0.261 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCCN(C(=O)C2CC2)C1)c1nn(C)cc1O ZINC001456797797 1159762947 /nfs/dbraw/zinc/76/29/47/1159762947.db2.gz SMVFJKBWYZDZSS-PWSUYJOCSA-N 0 2 320.393 0.952 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@@H+](C)Cc2nonc2C)c1[O-] ZINC001566444258 1159977633 /nfs/dbraw/zinc/97/76/33/1159977633.db2.gz DOLMPCOQEPYELI-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@H+](C)Cc2nonc2C)c1[O-] ZINC001566444258 1159977637 /nfs/dbraw/zinc/97/76/37/1159977637.db2.gz DOLMPCOQEPYELI-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN CC(C)(CC(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1)C(=O)[O-] ZINC001573476726 1163446327 /nfs/dbraw/zinc/44/63/27/1163446327.db2.gz MCKHJMQCLABNJT-NSHDSACASA-N 0 2 312.366 0.383 20 0 DCADLN Cc1onc(C[N@@H+]2CC[C@H](CNS(C)(=O)=O)C2)c1C(=O)[O-] ZINC001574208269 1163664684 /nfs/dbraw/zinc/66/46/84/1163664684.db2.gz YLWXHDDIFGUSQR-SECBINFHSA-N 0 2 317.367 0.052 20 0 DCADLN Cc1onc(C[N@H+]2CC[C@H](CNS(C)(=O)=O)C2)c1C(=O)[O-] ZINC001574208269 1163664687 /nfs/dbraw/zinc/66/46/87/1163664687.db2.gz YLWXHDDIFGUSQR-SECBINFHSA-N 0 2 317.367 0.052 20 0 DCADLN O=C([O-])c1c[nH]nc1[C@@H]1CC[N@H+](CC(=O)Nc2cnccn2)C1 ZINC001574299696 1163689677 /nfs/dbraw/zinc/68/96/77/1163689677.db2.gz QAKDOBYQPMOJHY-SECBINFHSA-N 0 2 316.321 0.326 20 0 DCADLN O=C([O-])c1c[nH]nc1[C@@H]1CC[N@@H+](CC(=O)Nc2cnccn2)C1 ZINC001574299696 1163689680 /nfs/dbraw/zinc/68/96/80/1163689680.db2.gz QAKDOBYQPMOJHY-SECBINFHSA-N 0 2 316.321 0.326 20 0 DCADLN CC(C)(O)[C@H]([NH3+])C(=O)N1CC[NH2+][C@H](c2ccc(Cl)cc2)C1 ZINC001575387869 1163996454 /nfs/dbraw/zinc/99/64/54/1163996454.db2.gz RYVWKCGOYABGQC-QWHCGFSZSA-N 0 2 311.813 0.911 20 0 DCADLN CCC(O)(CC)CCNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001576998621 1164577631 /nfs/dbraw/zinc/57/76/31/1164577631.db2.gz DZUBLQLYKSZLCJ-UHFFFAOYSA-N 0 2 323.357 0.019 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCC[N@H+](CCc3c[nH]nn3)C2)ncn1 ZINC001577293942 1164661778 /nfs/dbraw/zinc/66/17/78/1164661778.db2.gz MESBXOAJINEDRY-ZDUSSCGKSA-N 0 2 315.381 0.340 20 0 DCADLN CCOC(=O)N1CC[C@H](NC(=O)c2cc3n(n2)C[C@H](C)NC3)C1 ZINC001577967045 1164865394 /nfs/dbraw/zinc/86/53/94/1164865394.db2.gz GGCPJYVZJPFUAT-QWRGUYRKSA-N 0 2 321.381 0.335 20 0 DCADLN CSC1(CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)CC1 ZINC001580206279 1165536282 /nfs/dbraw/zinc/53/62/82/1165536282.db2.gz ZVNTWVMSSIKAEC-UHFFFAOYSA-N 0 2 320.378 0.901 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@]12CCOC2 ZINC001580597669 1165649298 /nfs/dbraw/zinc/64/92/98/1165649298.db2.gz LANQWNQFQLAVDA-AWEZNQCLSA-N 0 2 316.321 0.281 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1CCC(=O)CC1 ZINC001580601739 1165651442 /nfs/dbraw/zinc/65/14/42/1165651442.db2.gz NDMLETSLXGGSRB-UHFFFAOYSA-N 0 2 316.321 0.517 20 0 DCADLN Cc1nc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)cs1 ZINC001581454439 1165830603 /nfs/dbraw/zinc/83/06/03/1165830603.db2.gz ZRBGGSFVEGMPBN-UHFFFAOYSA-N 0 2 320.338 0.043 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccc(F)c(F)c1 ZINC001582198408 1165981945 /nfs/dbraw/zinc/98/19/45/1165981945.db2.gz ZZFSYYCOAUIXLO-UHFFFAOYSA-N 0 2 318.243 0.585 20 0 DCADLN O=C(NCc1nc(-c2nn[nH]n2)cs1)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001582558547 1166044064 /nfs/dbraw/zinc/04/40/64/1166044064.db2.gz CPKUQZABQBRGHB-RNFRBKRXSA-N 0 2 316.350 0.466 20 0 DCADLN C[C@@H](C(=O)NC[C@](C)(NC(=O)C(N)=O)C1CC1)C(F)(F)F ZINC001582658514 1166062918 /nfs/dbraw/zinc/06/29/18/1166062918.db2.gz FXTAIERMHISXNC-KGFZYKRKSA-N 0 2 309.288 0.071 20 0 DCADLN Cc1ccc(C(=O)NC[C@](C)(NC(=O)C(N)=O)C2CC2)c(C)c1 ZINC001582656750 1166063021 /nfs/dbraw/zinc/06/30/21/1166063021.db2.gz TUGLSFFSERVICI-KRWDZBQOSA-N 0 2 317.389 0.803 20 0 DCADLN C[C@@H](C(=O)NC[C@](C)(NC(=O)C(N)=O)C1CC1)c1ccccc1 ZINC001582655820 1166063321 /nfs/dbraw/zinc/06/33/21/1166063321.db2.gz IWFXOBNWNSIOFK-DIFFPNOSSA-N 0 2 317.389 0.677 20 0 DCADLN C[C@@](CNC(=O)C(N)=O)(NC(=O)c1ccccc1Cl)C1CC1 ZINC001582659841 1166063568 /nfs/dbraw/zinc/06/35/68/1166063568.db2.gz RWTNZIRFBNGKET-HNNXBMFYSA-N 0 2 323.780 0.840 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@@H]1CCC[C@@]2(CCOC2)O1 ZINC001582806669 1166086235 /nfs/dbraw/zinc/08/62/35/1166086235.db2.gz UKGLDRHSAJDWSI-HZMBPMFUSA-N 0 2 318.337 0.152 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cc(Cl)ccc1F ZINC001582806020 1166086250 /nfs/dbraw/zinc/08/62/50/1166086250.db2.gz CPANYNWAKPWGKW-UHFFFAOYSA-N 0 2 322.687 0.970 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1Cn1cc(Cl)cn1)CCC2 ZINC001582806583 1166086762 /nfs/dbraw/zinc/08/67/62/1166086762.db2.gz QRCJUSDESPRFHM-UHFFFAOYSA-N 0 2 317.740 0.873 20 0 DCADLN CC(C)[C@@H](Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C1CC1 ZINC001589475188 1166661449 /nfs/dbraw/zinc/66/14/49/1166661449.db2.gz LCEVCXVNYKLXBJ-SNVBAGLBSA-N 0 2 319.369 0.111 20 0 DCADLN C[C@@H]1CC[C@@H]([NH2+]Cc2ccc(-c3nn[nH]n3)o2)CS1(=O)=O ZINC001590236424 1166823158 /nfs/dbraw/zinc/82/31/58/1166823158.db2.gz JFKVXWIUBDEHTF-RKDXNWHRSA-N 0 2 311.367 0.515 20 0 DCADLN C[C@@H]1CCC[C@H]1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590247397 1166827341 /nfs/dbraw/zinc/82/73/41/1166827341.db2.gz JVCXGIRPPBNSAU-SFYZADRCSA-N 0 2 305.342 0.514 20 0 DCADLN C[C@H]1CCSCC[N@@H+]1Cn1cccc(-c2nnn[n-]2)c1=O ZINC001590277105 1166837248 /nfs/dbraw/zinc/83/72/48/1166837248.db2.gz GMPXBOQXVXBBPZ-JTQLQIEISA-N 0 2 306.395 0.813 20 0 DCADLN C[C@H]1CCSCC[N@H+]1Cn1cccc(-c2nnn[n-]2)c1=O ZINC001590277105 1166837253 /nfs/dbraw/zinc/83/72/53/1166837253.db2.gz GMPXBOQXVXBBPZ-JTQLQIEISA-N 0 2 306.395 0.813 20 0 DCADLN C[C@H]1CN(CCCOC(=O)c2ccc(-c3nn[nH]n3)o2)CCO1 ZINC001590305672 1166850827 /nfs/dbraw/zinc/85/08/27/1166850827.db2.gz WUPUMEOJQNHTDH-JTQLQIEISA-N 0 2 321.337 0.727 20 0 DCADLN CCN(CC)C(=O)OCn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001590684007 1166973830 /nfs/dbraw/zinc/97/38/30/1166973830.db2.gz LZTPUQRUNOQPFJ-UHFFFAOYSA-N 0 2 321.341 0.476 20 0 DCADLN CCc1nc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cs1 ZINC001590914055 1167049307 /nfs/dbraw/zinc/04/93/07/1167049307.db2.gz ZOPGYRKGXWXGAO-UHFFFAOYSA-N 0 2 305.323 0.196 20 0 DCADLN COC(=O)CCCCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001591261839 1167183162 /nfs/dbraw/zinc/18/31/62/1167183162.db2.gz CETOKAPOPCFOCG-UHFFFAOYSA-N 0 2 317.349 0.860 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC2(O)CCCC2)c1 ZINC001591286662 1167200696 /nfs/dbraw/zinc/20/06/96/1167200696.db2.gz ONNKHCLRYVAZDB-UHFFFAOYSA-N 0 2 319.321 0.120 20 0 DCADLN CO[C@H]1CC[N@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CC1(C)C ZINC001591358936 1167234745 /nfs/dbraw/zinc/23/47/45/1167234745.db2.gz SSMWUMZYEVFDJA-LBPRGKRZSA-N 0 2 318.381 0.733 20 0 DCADLN CO[C@H]1CC[N@@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CC1(C)C ZINC001591358936 1167234748 /nfs/dbraw/zinc/23/47/48/1167234748.db2.gz SSMWUMZYEVFDJA-LBPRGKRZSA-N 0 2 318.381 0.733 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)N2CCCC[C@@H]2C)c1 ZINC001591836328 1167389916 /nfs/dbraw/zinc/38/99/16/1167389916.db2.gz MLHVXTBTIFIVKN-NSHDSACASA-N 0 2 316.365 0.738 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@@H]2C[C@@H]21 ZINC001591835242 1167390539 /nfs/dbraw/zinc/39/05/39/1167390539.db2.gz QWXFAEIZTUHDIF-SCZZXKLOSA-N 0 2 300.322 0.900 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@]1(C)CCCO1 ZINC001591835514 1167391349 /nfs/dbraw/zinc/39/13/49/1167391349.db2.gz CJEVUYHHYHAMAE-CQSZACIVSA-N 0 2 318.337 0.575 20 0 DCADLN Cc1ccn(CN(C)Cc2ccns2)c(=O)c1-c1nn[nH]n1 ZINC001592267626 1167480061 /nfs/dbraw/zinc/48/00/61/1167480061.db2.gz SJLRMMHXNBOCHR-UHFFFAOYSA-N 0 2 317.378 0.883 20 0 DCADLN Cc1noc(C)c1C(=O)Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001592266246 1167480846 /nfs/dbraw/zinc/48/08/46/1167480846.db2.gz SDZYVJDQZOPNKI-UHFFFAOYSA-N 0 2 314.305 0.825 20 0 DCADLN Cc1nn(CCc2ccns2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437069 1167522488 /nfs/dbraw/zinc/52/24/88/1167522488.db2.gz KASDJVARRDCZBR-UHFFFAOYSA-N 0 2 303.351 0.739 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H](C)Cn1cncn1 ZINC001592498632 1167539670 /nfs/dbraw/zinc/53/96/70/1167539670.db2.gz BDGZBDBYDJTXKJ-ZETCQYMHSA-N 0 2 302.298 0.178 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC(=O)N(CC2CC2)C1 ZINC001592496264 1167539966 /nfs/dbraw/zinc/53/99/66/1167539966.db2.gz CJSAQPBRNZMJRW-UHFFFAOYSA-N 0 2 316.321 0.420 20 0 DCADLN Cn1c(=O)[nH]c(NCCC2(F)CCC2)c(-c2nn[nH]n2)c1=O ZINC001592546151 1167549601 /nfs/dbraw/zinc/54/96/01/1167549601.db2.gz KRYVLEDVJWLXLU-UHFFFAOYSA-N 0 2 309.305 0.360 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@@]2(C)CC2(F)F)c(-c2nn[nH]n2)c1=O ZINC001592545617 1167551465 /nfs/dbraw/zinc/55/14/65/1167551465.db2.gz HADVLPLHNSFOPR-SNVBAGLBSA-N 0 2 313.268 0.123 20 0 DCADLN O=C(Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2)c1ccoc1 ZINC001592937202 1167667427 /nfs/dbraw/zinc/66/74/27/1167667427.db2.gz MLPHEAOSQYXEMD-UHFFFAOYSA-N 0 2 311.301 0.993 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cccc(F)c1F ZINC001593489631 1167871401 /nfs/dbraw/zinc/87/14/01/1167871401.db2.gz RYIZETMKSMICJC-UHFFFAOYSA-N 0 2 306.232 0.456 20 0 DCADLN O=c1c(-c2nnn[n-]2)cccn1C[N@@H+]1CC[C@@H]2OCC[C@@H]2C1 ZINC001593492261 1167876164 /nfs/dbraw/zinc/87/61/64/1167876164.db2.gz DWUNPQRZJJQJPQ-PWSUYJOCSA-N 0 2 302.338 0.097 20 0 DCADLN O=c1c(-c2nnn[n-]2)cccn1C[N@H+]1CC[C@@H]2OCC[C@@H]2C1 ZINC001593492261 1167876177 /nfs/dbraw/zinc/87/61/77/1167876177.db2.gz DWUNPQRZJJQJPQ-PWSUYJOCSA-N 0 2 302.338 0.097 20 0 DCADLN O=c1[nH]nc(C2CCN(c3cccc(-c4nn[nH]n4)n3)CC2)[nH]1 ZINC001593497372 1167880260 /nfs/dbraw/zinc/88/02/60/1167880260.db2.gz AFBAYQWBOSODRY-UHFFFAOYSA-N 0 2 313.325 0.469 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(c3ccc(-c4nn[nH]n4)cn3)C2)[nH]1 ZINC001593498048 1167880276 /nfs/dbraw/zinc/88/02/76/1167880276.db2.gz PWSNAOLUUDHGKZ-SECBINFHSA-N 0 2 313.325 0.469 20 0 DCADLN c1cc(-c2nn[nH]n2)nnc1NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001593551848 1167932506 /nfs/dbraw/zinc/93/25/06/1167932506.db2.gz SJBUIQGCCMNOHI-MRVPVSSYSA-N 0 2 314.313 0.238 20 0 DCADLN c1nc(NCc2n[nH]c([C@H]3CCCO3)n2)cc(-c2nn[nH]n2)n1 ZINC001593591126 1167953501 /nfs/dbraw/zinc/95/35/01/1167953501.db2.gz LVBTZTDGSODWQJ-MRVPVSSYSA-N 0 2 314.313 0.238 20 0 DCADLN Cc1cc(C(=O)C[NH+]2CCC([C@@H](O)C(=O)[O-])CC2)c(C)n1C ZINC001600076460 1168112398 /nfs/dbraw/zinc/11/23/98/1168112398.db2.gz AYRNGCGEEIAFQZ-OAHLLOKOSA-N 0 2 308.378 0.982 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)N2CC[NH+](CC(=O)[O-])CC2)c1 ZINC001600185233 1168131988 /nfs/dbraw/zinc/13/19/88/1168131988.db2.gz HECVUSHZAHLJBX-UHFFFAOYSA-N 0 2 306.318 0.356 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@@H+](C)C[C@@H](C(=O)[O-])C2)sn1 ZINC001600293632 1168156962 /nfs/dbraw/zinc/15/69/62/1168156962.db2.gz OEEFUFHDHWLBDF-SECBINFHSA-N 0 2 319.408 0.088 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@H+](C)C[C@@H](C(=O)[O-])C2)sn1 ZINC001600293632 1168156965 /nfs/dbraw/zinc/15/69/65/1168156965.db2.gz OEEFUFHDHWLBDF-SECBINFHSA-N 0 2 319.408 0.088 20 0 DCADLN Cc1ccc(NCCNS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)[nH+]c1 ZINC001600460307 1168188171 /nfs/dbraw/zinc/18/81/71/1168188171.db2.gz SFWWZWWMAUQSFL-UHFFFAOYSA-N 0 2 324.362 0.807 20 0 DCADLN Cc1ccc2[nH+]c(CSCC(=O)N[C@@H](CO)C(=O)[O-])cn2c1 ZINC001600502653 1168192755 /nfs/dbraw/zinc/19/27/55/1168192755.db2.gz QZRHRJYCETXSOL-NSHDSACASA-N 0 2 323.374 0.438 20 0 DCADLN Cc1ccnc(C[N@H+](C)CCCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600600086 1168208396 /nfs/dbraw/zinc/20/83/96/1168208396.db2.gz SAXZZLGAOFCHEJ-NEPJUHHUSA-N 0 2 306.366 0.444 20 0 DCADLN Cc1ccnc(C[N@@H+](C)CCCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600600086 1168208399 /nfs/dbraw/zinc/20/83/99/1168208399.db2.gz SAXZZLGAOFCHEJ-NEPJUHHUSA-N 0 2 306.366 0.444 20 0 DCADLN Cc1nc(C[N@@H+](C)CCCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])co1 ZINC001600698818 1168256381 /nfs/dbraw/zinc/25/63/81/1168256381.db2.gz JOLYWDNAZFJEPG-OLZOCXBDSA-N 0 2 309.366 0.984 20 0 DCADLN Cc1nc(C[N@H+](C)CCCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])co1 ZINC001600698818 1168256389 /nfs/dbraw/zinc/25/63/89/1168256389.db2.gz JOLYWDNAZFJEPG-OLZOCXBDSA-N 0 2 309.366 0.984 20 0 DCADLN Cc1nc(CC2CC[NH+](Cc3cn(CC(=O)[O-])nn3)CC2)no1 ZINC001600699328 1168256774 /nfs/dbraw/zinc/25/67/74/1168256774.db2.gz VNYQKUUZHOTNIZ-UHFFFAOYSA-N 0 2 320.353 0.509 20 0 DCADLN Cc1nc(C[NH2+]C2(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)oc1C ZINC001600702119 1168258963 /nfs/dbraw/zinc/25/89/63/1168258963.db2.gz JZXFGSWNTNUINN-MNOVXSKESA-N 0 2 307.350 0.751 20 0 DCADLN Cc1[nH+]cc(CN2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1C ZINC001600735721 1168268125 /nfs/dbraw/zinc/26/81/25/1168268125.db2.gz CUKLLLRMWBTXMY-LLVKDONJSA-N 0 2 304.354 0.855 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1CN1C[C@@H](C(=O)[O-])CC1=O ZINC001600778853 1168290671 /nfs/dbraw/zinc/29/06/71/1168290671.db2.gz YEEMAFZZEJRJLG-AAEUAGOBSA-N 0 2 306.366 0.756 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCC[N@H+]1CN1C[C@@H](C(=O)[O-])CC1=O ZINC001600778853 1168290684 /nfs/dbraw/zinc/29/06/84/1168290684.db2.gz YEEMAFZZEJRJLG-AAEUAGOBSA-N 0 2 306.366 0.756 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001600905564 1168502002 /nfs/dbraw/zinc/50/20/02/1168502002.db2.gz NXNWABAWCQZNOX-SNVBAGLBSA-N 0 2 303.322 0.233 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)c3ccccc3C(=O)[O-])CC[NH2+]2)cn1 ZINC001600943321 1168522960 /nfs/dbraw/zinc/52/29/60/1168522960.db2.gz FHBMRWGWIVUHFL-CQSZACIVSA-N 0 2 314.345 0.905 20 0 DCADLN Cn1cnc2ccc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])nc21 ZINC001600993278 1168550602 /nfs/dbraw/zinc/55/06/02/1168550602.db2.gz LFWZENDSHDHDCV-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN Cn1cnc2ccc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])nc21 ZINC001600993278 1168550605 /nfs/dbraw/zinc/55/06/05/1168550605.db2.gz LFWZENDSHDHDCV-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ccc(/C=C\C(=O)[O-])o1 ZINC001600993774 1168550979 /nfs/dbraw/zinc/55/09/79/1168550979.db2.gz VXSDOAHSXHKGRZ-TZGMSPROSA-N 0 2 305.290 0.574 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)CC1(CC(=O)[O-])CCCC1 ZINC001600992920 1168551046 /nfs/dbraw/zinc/55/10/46/1168551046.db2.gz GJFPBYNHRJVYMR-LLVKDONJSA-N 0 2 309.366 0.995 20 0 DCADLN Cn1c[nH+]cc1CCNS(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001600993801 1168551155 /nfs/dbraw/zinc/55/11/55/1168551155.db2.gz YGAGSEXHBAYVLT-UHFFFAOYSA-N 0 2 310.335 0.034 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001600994485 1168552465 /nfs/dbraw/zinc/55/24/65/1168552465.db2.gz UUPZPGZLMHSFPQ-IQMDTDKHSA-N 0 2 318.333 0.356 20 0 DCADLN Cn1cnn(C[N@@H+]2CC[C@@](C(=O)[O-])(c3ccccc3)C2)c1=O ZINC001600994858 1168552574 /nfs/dbraw/zinc/55/25/74/1168552574.db2.gz ZITJIKOWVVAFST-HNNXBMFYSA-N 0 2 302.334 0.268 20 0 DCADLN Cn1cnn(C[N@H+]2CC[C@@](C(=O)[O-])(c3ccccc3)C2)c1=O ZINC001600994858 1168552578 /nfs/dbraw/zinc/55/25/78/1168552578.db2.gz ZITJIKOWVVAFST-HNNXBMFYSA-N 0 2 302.334 0.268 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](CC(=O)N2CCOC[C@H]2C2CC2)C1 ZINC001601306264 1168698605 /nfs/dbraw/zinc/69/86/05/1168698605.db2.gz WTKCBEMRGZXRCE-SMDDNHRTSA-N 0 2 300.330 0.122 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](CC(=O)N2CCOC[C@H]2C2CC2)C1 ZINC001601306264 1168698607 /nfs/dbraw/zinc/69/86/07/1168698607.db2.gz WTKCBEMRGZXRCE-SMDDNHRTSA-N 0 2 300.330 0.122 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cn1ncc2ccccc21 ZINC001601390780 1168730960 /nfs/dbraw/zinc/73/09/60/1168730960.db2.gz BFOSVDMCKXRGQC-GFCCVEGCSA-N 0 2 313.317 0.572 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)Cn1ncc2ccccc21 ZINC001601390780 1168730965 /nfs/dbraw/zinc/73/09/65/1168730965.db2.gz BFOSVDMCKXRGQC-GFCCVEGCSA-N 0 2 313.317 0.572 20 0 DCADLN O=C([O-])[C@@H](CO)NC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC001601420095 1168739305 /nfs/dbraw/zinc/73/93/05/1168739305.db2.gz QBRQQNDJDZZVIC-CYBMUJFWSA-N 0 2 318.333 0.171 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cnc2n1CCCC2 ZINC001601426471 1168743158 /nfs/dbraw/zinc/74/31/58/1168743158.db2.gz ZNXAWRMUAIPDJS-SNVBAGLBSA-N 0 2 303.322 0.299 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCCC12OCCO2 ZINC001601566073 1168783144 /nfs/dbraw/zinc/78/31/44/1168783144.db2.gz TURYGCDZTJHOOT-MNOVXSKESA-N 0 2 309.322 0.065 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCCC12OCCO2 ZINC001601566073 1168783151 /nfs/dbraw/zinc/78/31/51/1168783151.db2.gz TURYGCDZTJHOOT-MNOVXSKESA-N 0 2 309.322 0.065 20 0 DCADLN O=C([O-])[C@H](C[C@@H]1CCCO1)[NH2+]Cc1cc(=O)n2[nH]ccc2n1 ZINC001601641584 1168799617 /nfs/dbraw/zinc/79/96/17/1168799617.db2.gz MHQKCADXCWPWNW-QWRGUYRKSA-N 0 2 306.322 0.135 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCc2cccnc21 ZINC001601663411 1168810782 /nfs/dbraw/zinc/81/07/82/1168810782.db2.gz TXHBXGAJZUEQOG-RYUDHWBXSA-N 0 2 300.318 0.578 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001601776622 1168875810 /nfs/dbraw/zinc/87/58/10/1168875810.db2.gz CEBGNFUHPVECFI-ZIAGYGMSSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001601776622 1168875821 /nfs/dbraw/zinc/87/58/21/1168875821.db2.gz CEBGNFUHPVECFI-ZIAGYGMSSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2cncc(F)c2)C1 ZINC001601778263 1168882426 /nfs/dbraw/zinc/88/24/26/1168882426.db2.gz GJKPAAOWQFAJGJ-CHWSQXEVSA-N 0 2 307.325 0.489 20 0 DCADLN O=C([O-])[C@@H]1Cc2ccc(O)cc2CN1C(=O)Cn1cc[nH+]c1 ZINC001601867905 1168920286 /nfs/dbraw/zinc/92/02/86/1168920286.db2.gz YEUPOFAXOAHFNI-ZDUSSCGKSA-N 0 2 301.302 0.627 20 0 DCADLN O=C([O-])CC1(CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CCOCC1 ZINC001601917818 1168934553 /nfs/dbraw/zinc/93/45/53/1168934553.db2.gz DSNUMFWZGDJIJO-GHMZBOCLSA-N 0 2 307.350 0.901 20 0 DCADLN O=C([O-])CC1(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CCOCC1 ZINC001601917818 1168934561 /nfs/dbraw/zinc/93/45/61/1168934561.db2.gz DSNUMFWZGDJIJO-GHMZBOCLSA-N 0 2 307.350 0.901 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1Cc1cccc2c1CCOC2 ZINC001601941382 1168942738 /nfs/dbraw/zinc/94/27/38/1168942738.db2.gz ZSMXZMZGGLACQO-AWEZNQCLSA-N 0 2 304.346 0.534 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1Cc1cccc2c1CCOC2 ZINC001601941382 1168942740 /nfs/dbraw/zinc/94/27/40/1168942740.db2.gz ZSMXZMZGGLACQO-AWEZNQCLSA-N 0 2 304.346 0.534 20 0 DCADLN O=C([O-])CNC(=O)c1ccc(NC(=O)CCc2[nH]cc[nH+]2)cc1 ZINC001602073301 1168987263 /nfs/dbraw/zinc/98/72/63/1168987263.db2.gz BNIADQHCRQSCOF-UHFFFAOYSA-N 0 2 316.317 0.795 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CCC[C@H](c3ccncn3)C2)nn1 ZINC001602162421 1169010125 /nfs/dbraw/zinc/01/01/25/1169010125.db2.gz CKRVCLYBGFBWIU-NSHDSACASA-N 0 2 302.338 0.532 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CCC[C@H](c3ccncn3)C2)nn1 ZINC001602162421 1169010132 /nfs/dbraw/zinc/01/01/32/1169010132.db2.gz CKRVCLYBGFBWIU-NSHDSACASA-N 0 2 302.338 0.532 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@@H+]3CC[C@H](O)[C@@H](CO)C3)nc2c1 ZINC001602407271 1169073578 /nfs/dbraw/zinc/07/35/78/1169073578.db2.gz PYDCWAQWKPTMTC-MFKMUULPSA-N 0 2 305.334 0.436 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@H+]3CC[C@H](O)[C@@H](CO)C3)nc2c1 ZINC001602407271 1169073585 /nfs/dbraw/zinc/07/35/85/1169073585.db2.gz PYDCWAQWKPTMTC-MFKMUULPSA-N 0 2 305.334 0.436 20 0 DCADLN CC(=O)c1[nH]c(CC(=O)NC2C[NH+](C(C)C)C2)c(C(=O)[O-])c1C ZINC001603093890 1169246389 /nfs/dbraw/zinc/24/63/89/1169246389.db2.gz WDOAPYKLOJUGLL-UHFFFAOYSA-N 0 2 321.377 0.975 20 0 DCADLN CC(=O)c1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001603123205 1169248605 /nfs/dbraw/zinc/24/86/05/1169248605.db2.gz AMRLBCUPBWTYMJ-HWPZZCPQSA-N 0 2 320.345 0.738 20 0 DCADLN CC(=O)c1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001603123205 1169248616 /nfs/dbraw/zinc/24/86/16/1169248616.db2.gz AMRLBCUPBWTYMJ-HWPZZCPQSA-N 0 2 320.345 0.738 20 0 DCADLN C[C@H](NC(=O)c1ccc2[nH]c(=O)[nH]c2n1)c1nn(C)cc1O ZINC001603116583 1169249581 /nfs/dbraw/zinc/24/95/81/1169249581.db2.gz PENSYSFHJWTGSO-LURJTMIESA-N 0 2 302.294 0.594 20 0 DCADLN CC(C)(C)c1nnc(Cn2cnc(-c3nn[nH]n3)cc2=O)o1 ZINC001603125128 1169250749 /nfs/dbraw/zinc/25/07/49/1169250749.db2.gz DTWSPSIVILXJHP-UHFFFAOYSA-N 0 2 302.298 0.152 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(F)cc1F)[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001603178775 1169267859 /nfs/dbraw/zinc/26/78/59/1169267859.db2.gz CVZABTFMLMDILE-RMLUDKJBSA-N 0 2 314.288 0.813 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(F)cc1F)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001603178775 1169267865 /nfs/dbraw/zinc/26/78/65/1169267865.db2.gz CVZABTFMLMDILE-RMLUDKJBSA-N 0 2 314.288 0.813 20 0 DCADLN C[C@H](CNc1nccnc1-c1nn[nH]n1)N1C[C@@H](C)O[C@@H](C)C1 ZINC001603565152 1169323914 /nfs/dbraw/zinc/32/39/14/1169323914.db2.gz KQAMXUNHCPNQHQ-MXWKQRLJSA-N 0 2 318.385 0.566 20 0 DCADLN C[C@@H]1CCCC[C@H]1N(C)c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603891477 1169424018 /nfs/dbraw/zinc/42/40/18/1169424018.db2.gz RVLLJBPCMRAXSY-RKDXNWHRSA-N 0 2 319.369 0.269 20 0 DCADLN C[C@@H](CC(=O)N(CCN1CCOCC1)CC(=O)[O-])n1cc[nH+]c1 ZINC001604064593 1169483712 /nfs/dbraw/zinc/48/37/12/1169483712.db2.gz LSQQFPZHXNPXPW-ZDUSSCGKSA-N 0 2 324.381 0.080 20 0 DCADLN C[C@](CC(=O)[O-])([NH2+]Cc1nnc2n1CCOC2)c1ccccn1 ZINC001604091465 1169489481 /nfs/dbraw/zinc/48/94/81/1169489481.db2.gz ZAYVFTYWDWBBCS-OAHLLOKOSA-N 0 2 317.349 0.683 20 0 DCADLN C[C@H](CN(C1CC1)S(=O)(=O)CCn1cc[nH+]c1)C(=O)[O-] ZINC001604159057 1169509311 /nfs/dbraw/zinc/50/93/11/1169509311.db2.gz KCVBKBDEDVYNTP-SNVBAGLBSA-N 0 2 301.368 0.398 20 0 DCADLN C[C@H](c1nccc(N)n1)[N@@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001604482511 1169600009 /nfs/dbraw/zinc/60/00/09/1169600009.db2.gz UICKKGFVQLEAHM-ZJUUUORDSA-N 0 2 317.353 0.747 20 0 DCADLN C[C@H](c1nccc(N)n1)[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001604482511 1169600011 /nfs/dbraw/zinc/60/00/11/1169600011.db2.gz UICKKGFVQLEAHM-ZJUUUORDSA-N 0 2 317.353 0.747 20 0 DCADLN COC(=O)c1oc(Cn2cnc(-c3nn[nH]n3)cc2=O)cc1C ZINC001604990433 1169771656 /nfs/dbraw/zinc/77/16/56/1169771656.db2.gz HNFIXYSTWPFGRL-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN COC(C)(C)C[N@H+](C)Cn1ccc(C)c(-c2nnn[n-]2)c1=O ZINC001604998089 1169774470 /nfs/dbraw/zinc/77/44/70/1169774470.db2.gz RGFLMWVDQSIZDT-UHFFFAOYSA-N 0 2 306.370 0.651 20 0 DCADLN COC(C)(C)C[N@@H+](C)Cn1ccc(C)c(-c2nnn[n-]2)c1=O ZINC001604998089 1169774477 /nfs/dbraw/zinc/77/44/77/1169774477.db2.gz RGFLMWVDQSIZDT-UHFFFAOYSA-N 0 2 306.370 0.651 20 0 DCADLN CC[C@@](COC)(NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC001605049713 1169795702 /nfs/dbraw/zinc/79/57/02/1169795702.db2.gz RFWQWKIVYQNDDN-GWCFXTLKSA-N 0 2 304.412 0.420 20 0 DCADLN COC[C@H](Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2)OC ZINC001605055568 1169797901 /nfs/dbraw/zinc/79/79/01/1169797901.db2.gz LOTQDCCZUBUWQG-NSHDSACASA-N 0 2 319.365 0.569 20 0 DCADLN COC[C@@]1(C)CC[N@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001605065328 1169802228 /nfs/dbraw/zinc/80/22/28/1169802228.db2.gz QEXDGLPSZQMPSF-HNNXBMFYSA-N 0 2 318.381 0.653 20 0 DCADLN COC[C@@]1(C)CC[N@@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001605065328 1169802238 /nfs/dbraw/zinc/80/22/38/1169802238.db2.gz QEXDGLPSZQMPSF-HNNXBMFYSA-N 0 2 318.381 0.653 20 0 DCADLN CCC[C@H](C)NC(=O)[C@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001605208079 1169868111 /nfs/dbraw/zinc/86/81/11/1169868111.db2.gz FMVOXGHMRKGILC-RYUDHWBXSA-N 0 2 323.397 0.951 20 0 DCADLN CCC[C@H](C)NC(=O)[C@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001605208079 1169868126 /nfs/dbraw/zinc/86/81/26/1169868126.db2.gz FMVOXGHMRKGILC-RYUDHWBXSA-N 0 2 323.397 0.951 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)[C@@H](C)C1 ZINC001605482032 1169935400 /nfs/dbraw/zinc/93/54/00/1169935400.db2.gz NIALLWYVAYDATQ-NSHDSACASA-N 0 2 304.412 0.597 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)[C@@H](C)C1 ZINC001605482032 1169935405 /nfs/dbraw/zinc/93/54/05/1169935405.db2.gz NIALLWYVAYDATQ-NSHDSACASA-N 0 2 304.412 0.597 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[NH2+]C(C)(C)c1nocc1C(=O)[O-] ZINC001605494389 1169939122 /nfs/dbraw/zinc/93/91/22/1169939122.db2.gz BNRARHFCOJLULK-SSDOTTSWSA-N 0 2 312.326 0.432 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)CC1(C)COC1 ZINC001605504957 1169942258 /nfs/dbraw/zinc/94/22/58/1169942258.db2.gz PHMQWDDEVHBTMI-UHFFFAOYSA-N 0 2 318.337 0.384 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H](O)C1CCC1 ZINC001605510676 1169942831 /nfs/dbraw/zinc/94/28/31/1169942831.db2.gz VYUSBEMVLONFOV-SNVBAGLBSA-N 0 2 318.337 0.167 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)c1ccc(/C=C/C(=O)[O-])o1 ZINC001605530806 1169949399 /nfs/dbraw/zinc/94/93/99/1169949399.db2.gz GBGJMOKAMYDKKQ-MALLOTDXSA-N 0 2 322.317 0.355 20 0 DCADLN CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@](F)(C(=O)[O-])C1 ZINC001605912471 1170026003 /nfs/dbraw/zinc/02/60/03/1170026003.db2.gz ULRCUURUPBZUNP-CQSZACIVSA-N 0 2 322.344 0.625 20 0 DCADLN Cc1ccn(CN2CCOC[C@H](C)C2)c(=O)c1-c1nn[nH]n1 ZINC001605953069 1170035374 /nfs/dbraw/zinc/03/53/74/1170035374.db2.gz XEVWKGONZOLHFY-SNVBAGLBSA-N 0 2 304.354 0.263 20 0 DCADLN Cc1nc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)oc1C ZINC001606044894 1170064722 /nfs/dbraw/zinc/06/47/22/1170064722.db2.gz RVELHVHKOXORHP-UHFFFAOYSA-N 0 2 301.310 0.693 20 0 DCADLN C[N@H+](CCN1CCCC1=O)CC(=O)Nc1cccc(C(=O)[O-])n1 ZINC001606127148 1170087406 /nfs/dbraw/zinc/08/74/06/1170087406.db2.gz JPURZGZGBWAKST-UHFFFAOYSA-N 0 2 320.349 0.273 20 0 DCADLN C[N@@H+](CCN1CCCC1=O)CC(=O)Nc1cccc(C(=O)[O-])n1 ZINC001606127148 1170087413 /nfs/dbraw/zinc/08/74/13/1170087413.db2.gz JPURZGZGBWAKST-UHFFFAOYSA-N 0 2 320.349 0.273 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)O[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001606224980 1170122741 /nfs/dbraw/zinc/12/27/41/1170122741.db2.gz RPTZXROSKRNVTA-NEPJUHHUSA-N 0 2 312.366 0.480 20 0 DCADLN C[N@H+]1CCCC[C@@H]1C(=O)O[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001606224980 1170122742 /nfs/dbraw/zinc/12/27/42/1170122742.db2.gz RPTZXROSKRNVTA-NEPJUHHUSA-N 0 2 312.366 0.480 20 0 DCADLN COC(=O)[C@@H](CC(=O)[O-])NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001606324182 1170159390 /nfs/dbraw/zinc/15/93/90/1170159390.db2.gz QUTIGSRJGXTHTL-GFCCVEGCSA-N 0 2 317.301 0.618 20 0 DCADLN COC(=O)[C@]1(C)C[C@H](OC)C[N@@H+]1C[C@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606347641 1170166771 /nfs/dbraw/zinc/16/67/71/1170166771.db2.gz JIPMUABUHNKQNR-ZETOZRRWSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@]1(C)C[C@H](OC)C[N@H+]1C[C@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606347641 1170166776 /nfs/dbraw/zinc/16/67/76/1170166776.db2.gz JIPMUABUHNKQNR-ZETOZRRWSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1C[C@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606378633 1170175085 /nfs/dbraw/zinc/17/50/85/1170175085.db2.gz SXIPFFJXFNEAKN-LOWVWBTDSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1C[C@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606378633 1170175088 /nfs/dbraw/zinc/17/50/88/1170175088.db2.gz SXIPFFJXFNEAKN-LOWVWBTDSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1CCCc1nc(C)no1 ZINC001606384980 1170176925 /nfs/dbraw/zinc/17/69/25/1170176925.db2.gz HIOVSQZHGKYAQS-GHMZBOCLSA-N 0 2 311.338 0.649 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1CCCc1nc(C)no1 ZINC001606384980 1170176928 /nfs/dbraw/zinc/17/69/28/1170176928.db2.gz HIOVSQZHGKYAQS-GHMZBOCLSA-N 0 2 311.338 0.649 20 0 DCADLN COC(=O)c1cccc([C@H]([NH2+][C@@H]2CCN(C)C2=O)C(=O)[O-])c1 ZINC001606612297 1170242124 /nfs/dbraw/zinc/24/21/24/1170242124.db2.gz XTBLHNXPMABKAL-NEPJUHHUSA-N 0 2 306.318 0.419 20 0 DCADLN COC(=O)c1cccc(C[N@H+]2C[C@@H](OC)C[C@]2(C)C(=O)[O-])n1 ZINC001606616415 1170243377 /nfs/dbraw/zinc/24/33/77/1170243377.db2.gz JOIDWTMKNBEMEA-XHDPSFHLSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc(C[N@@H+]2C[C@@H](OC)C[C@]2(C)C(=O)[O-])n1 ZINC001606616415 1170243382 /nfs/dbraw/zinc/24/33/82/1170243382.db2.gz JOIDWTMKNBEMEA-XHDPSFHLSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1oc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1C ZINC001606637150 1170251299 /nfs/dbraw/zinc/25/12/99/1170251299.db2.gz XFOKOUFYGNQRFK-VIFPVBQESA-N 0 2 321.289 0.523 20 0 DCADLN COC(=O)c1oc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1C ZINC001606637150 1170251301 /nfs/dbraw/zinc/25/13/01/1170251301.db2.gz XFOKOUFYGNQRFK-VIFPVBQESA-N 0 2 321.289 0.523 20 0 DCADLN COCc1cccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001606963738 1170339727 /nfs/dbraw/zinc/33/97/27/1170339727.db2.gz TYDGFSFYLWGLTR-CYBMUJFWSA-N 0 2 303.318 0.913 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@@H]1CCCC2(CCC2)O1 ZINC001607089692 1170387254 /nfs/dbraw/zinc/38/72/54/1170387254.db2.gz IMXYFTGEKMKLSO-JTQLQIEISA-N 0 2 302.338 0.915 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@@H+]1CCN2C(=O)NC[C@@H]2C1 ZINC001607216051 1170414976 /nfs/dbraw/zinc/41/49/76/1170414976.db2.gz SQKTTZQXXSSOIZ-GFCCVEGCSA-N 0 2 305.334 0.603 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@H+]1CCN2C(=O)NC[C@@H]2C1 ZINC001607216051 1170414980 /nfs/dbraw/zinc/41/49/80/1170414980.db2.gz SQKTTZQXXSSOIZ-GFCCVEGCSA-N 0 2 305.334 0.603 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1)C(=O)[O-] ZINC001607423967 1170449785 /nfs/dbraw/zinc/44/97/85/1170449785.db2.gz JSPCTFZQQJXZAP-XBFCOCLRSA-N 0 2 321.377 0.386 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1)C(=O)[O-] ZINC001607423967 1170449786 /nfs/dbraw/zinc/44/97/86/1170449786.db2.gz JSPCTFZQQJXZAP-XBFCOCLRSA-N 0 2 321.377 0.386 20 0 DCADLN Cc1cc(C(=O)[O-])cc(C(=O)N2CCC[N@H+](CC(N)=O)CC2)c1 ZINC001607588648 1170481290 /nfs/dbraw/zinc/48/12/90/1170481290.db2.gz LUZSGVAOYNHIHV-UHFFFAOYSA-N 0 2 319.361 0.326 20 0 DCADLN Cc1cc(C(=O)[O-])cc(C(=O)N2CCC[N@@H+](CC(N)=O)CC2)c1 ZINC001607588648 1170481295 /nfs/dbraw/zinc/48/12/95/1170481295.db2.gz LUZSGVAOYNHIHV-UHFFFAOYSA-N 0 2 319.361 0.326 20 0 DCADLN Cc1cc(CNC(=O)N2C[C@H](O)C[C@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001607642701 1170488949 /nfs/dbraw/zinc/48/89/49/1170488949.db2.gz ZDWBAOIJUUTPQQ-QWHCGFSZSA-N 0 2 307.350 0.675 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)N[C@H](C(=O)[O-])c1ccccn1 ZINC001608282231 1170641640 /nfs/dbraw/zinc/64/16/40/1170641640.db2.gz SAHMGTBHJNRBIN-KQIUPUNMSA-N 0 2 305.334 0.212 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2oc(=O)[nH]c2c1 ZINC001608402627 1170670307 /nfs/dbraw/zinc/67/03/07/1170670307.db2.gz JHMDZMJMIUCHQL-SNVBAGLBSA-N 0 2 316.273 0.613 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](C[NH+]2CCN(S(=O)(=O)C3CC3)CC2)C1(F)F ZINC001608599244 1170712405 /nfs/dbraw/zinc/71/24/05/1170712405.db2.gz RNONSMKCRSRECW-ZJUUUORDSA-N 0 2 324.349 0.062 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC001608675398 1170735036 /nfs/dbraw/zinc/73/50/36/1170735036.db2.gz GBCIBCRXWIODHY-CHWSQXEVSA-N 0 2 317.345 0.884 20 0 DCADLN O=C([O-])CSCCC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001608766731 1170752676 /nfs/dbraw/zinc/75/26/76/1170752676.db2.gz BJEDWBFNSNVJJI-PHIMTYICSA-N 0 2 302.396 0.174 20 0 DCADLN O=C([O-])CSCCC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001608766731 1170752677 /nfs/dbraw/zinc/75/26/77/1170752677.db2.gz BJEDWBFNSNVJJI-PHIMTYICSA-N 0 2 302.396 0.174 20 0 DCADLN O=C([O-])c1cncc(S(=O)(=O)N2CCn3c[nH+]cc3C2)c1 ZINC001608982797 1170780374 /nfs/dbraw/zinc/78/03/74/1170780374.db2.gz YPJIYTFCWHFXIY-UHFFFAOYSA-N 0 2 308.319 0.181 20 0 DCADLN O=C([O-])c1sccc1C[NH2+]Cc1nnc2n1CCNC2=O ZINC001609009139 1170784731 /nfs/dbraw/zinc/78/47/31/1170784731.db2.gz DYAUJGKBZZDAGX-UHFFFAOYSA-N 0 2 307.335 0.071 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CCn1cncn1)CCCC2 ZINC001609604251 1171039085 /nfs/dbraw/zinc/03/90/85/1171039085.db2.gz CVVJAYVZYSGPRV-UHFFFAOYSA-N 0 2 312.337 0.199 20 0 DCADLN C[C@@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])N(C)CC(F)(F)F ZINC001609636611 1171057694 /nfs/dbraw/zinc/05/76/94/1171057694.db2.gz YJMWZZOQTSWONJ-CBAPKCEASA-N 0 2 322.287 0.404 20 0 DCADLN C[C@@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])N(C)CC(F)(F)F ZINC001609636611 1171057688 /nfs/dbraw/zinc/05/76/88/1171057688.db2.gz YJMWZZOQTSWONJ-CBAPKCEASA-N 0 2 322.287 0.404 20 0 DCADLN CC(C)Cn1nccc1C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001609703828 1171069964 /nfs/dbraw/zinc/06/99/64/1171069964.db2.gz NWFZSURDPULJGR-CYBMUJFWSA-N 0 2 308.382 0.704 20 0 DCADLN CC(C)Cn1nccc1C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001609703828 1171069970 /nfs/dbraw/zinc/06/99/70/1171069970.db2.gz NWFZSURDPULJGR-CYBMUJFWSA-N 0 2 308.382 0.704 20 0 DCADLN C[C@](CC(=O)[O-])([NH2+]Cc1nc(N)nc(N)n1)c1cccc(F)c1 ZINC001609731329 1171075705 /nfs/dbraw/zinc/07/57/05/1171075705.db2.gz YEVIXTNRRYEDKF-CQSZACIVSA-N 0 2 320.328 0.655 20 0 DCADLN C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC001609825436 1171096542 /nfs/dbraw/zinc/09/65/42/1171096542.db2.gz NGKAWAWDPZNOJC-ZDUSSCGKSA-N 0 2 316.354 0.707 20 0 DCADLN CCN(CC)C(=O)CCC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001609995005 1171133108 /nfs/dbraw/zinc/13/31/08/1171133108.db2.gz UPHJJMYPYVQKGQ-NSHDSACASA-N 0 2 310.354 0.101 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@@H](C(=O)[O-])C1 ZINC001610165650 1171183877 /nfs/dbraw/zinc/18/38/77/1171183877.db2.gz HGUDFOGZENKUTP-GHMZBOCLSA-N 0 2 309.322 0.138 20 0 DCADLN COc1ccc(CN(C)C(=O)C[N@@H+]2C[C@@H](O)C[C@H]2C(=O)[O-])cc1 ZINC001610330882 1171220836 /nfs/dbraw/zinc/22/08/36/1171220836.db2.gz XFHZGUDPOSDDGG-JSGCOSHPSA-N 0 2 322.361 0.173 20 0 DCADLN COc1ccc(CN(C)C(=O)C[N@H+]2C[C@@H](O)C[C@H]2C(=O)[O-])cc1 ZINC001610330882 1171220839 /nfs/dbraw/zinc/22/08/39/1171220839.db2.gz XFHZGUDPOSDDGG-JSGCOSHPSA-N 0 2 322.361 0.173 20 0 DCADLN COc1cccc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c1F ZINC001610357112 1171223196 /nfs/dbraw/zinc/22/31/96/1171223196.db2.gz CBAMFMODVKHABK-LLVKDONJSA-N 0 2 310.325 1.000 20 0 DCADLN COc1cccc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c1F ZINC001610357112 1171223200 /nfs/dbraw/zinc/22/32/00/1171223200.db2.gz CBAMFMODVKHABK-LLVKDONJSA-N 0 2 310.325 1.000 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)Nc1nnc([C@@H]2CCCO2)o1 ZINC001610552604 1171253341 /nfs/dbraw/zinc/25/33/41/1171253341.db2.gz XFCMWQNKCQBNJH-VIFPVBQESA-N 0 2 321.293 0.763 20 0 DCADLN Cn1nccc1[C@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(=O)[O-] ZINC001610616152 1171269708 /nfs/dbraw/zinc/26/97/08/1171269708.db2.gz SQNJMHFVELEASA-CABZTGNLSA-N 0 2 303.322 0.121 20 0 DCADLN O=C([O-])c1ccc(CC(=O)NC[C@@H]2C[NH+]3CCN2CC3)cc1 ZINC001610673767 1171287775 /nfs/dbraw/zinc/28/77/75/1171287775.db2.gz PNMVDGBNOLXLBT-CQSZACIVSA-N 0 2 303.362 0.043 20 0 DCADLN O=C([O-])[C@H](CC(F)F)NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC001610715358 1171298051 /nfs/dbraw/zinc/29/80/51/1171298051.db2.gz JYDZGIKHMPWXEP-QWRGUYRKSA-N 0 2 320.336 0.854 20 0 DCADLN O=C([O-])[C@H](CC(F)F)NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC001610715358 1171298059 /nfs/dbraw/zinc/29/80/59/1171298059.db2.gz JYDZGIKHMPWXEP-QWRGUYRKSA-N 0 2 320.336 0.854 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](Cc2nc(CC(F)(F)F)no2)CC1 ZINC001610722456 1171298931 /nfs/dbraw/zinc/29/89/31/1171298931.db2.gz BMXYWZCLZIEBRO-SNVBAGLBSA-N 0 2 323.271 0.832 20 0 DCADLN O=C([O-])COc1ccc(C[NH2+]Cc2nnc3n2CCOC3)cc1 ZINC001610786886 1171324883 /nfs/dbraw/zinc/32/48/83/1171324883.db2.gz UOTZBBCNCFZKGB-UHFFFAOYSA-N 0 2 318.333 0.562 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@H]2CC(=O)N(c3ccccc3)C2)nn1 ZINC001610800328 1171328562 /nfs/dbraw/zinc/32/85/62/1171328562.db2.gz QTBAYYWSPZEKET-NSHDSACASA-N 0 2 315.333 0.258 20 0 DCADLN Cc1nnc2n1C[C@H](C(=O)NCCc1n[nH]c(=S)o1)CC2 ZINC001617078961 1171384110 /nfs/dbraw/zinc/38/41/10/1171384110.db2.gz ANOZCJAVAAVZMS-MRVPVSSYSA-N 0 2 308.367 0.180 20 0 DCADLN O=C(Nc1nnc2nc[nH]n21)c1ncc(Br)cc1O ZINC001625540604 1171474352 /nfs/dbraw/zinc/47/43/52/1171474352.db2.gz DDKGODDYLBVSFA-UHFFFAOYSA-N 0 2 324.098 0.568 20 0 DCADLN O=C(Nc1n[nH]c2ncnn12)c1ncc(Br)cc1O ZINC001625540604 1171474353 /nfs/dbraw/zinc/47/43/53/1171474353.db2.gz DDKGODDYLBVSFA-UHFFFAOYSA-N 0 2 324.098 0.568 20 0 DCADLN CCCC[C@H](NC(N)=O)C(=O)NCCc1n[nH]c(=S)o1 ZINC001625685005 1171477292 /nfs/dbraw/zinc/47/72/92/1171477292.db2.gz UMBABQGWGCFPFP-ZETCQYMHSA-N 0 2 301.372 0.244 20 0 DCADLN COCc1nnc(CNC(=O)c2ccc3[nH]c(=O)oc3c2)[nH]1 ZINC001632233365 1171612869 /nfs/dbraw/zinc/61/28/69/1171612869.db2.gz AZQWYNQVFFVRTH-UHFFFAOYSA-N 0 2 303.278 0.728 20 0 DCADLN O=C(c1cccc2n[nH]nc21)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001638182261 1171791650 /nfs/dbraw/zinc/79/16/50/1171791650.db2.gz PMFSJXDBGFYZGB-VIFPVBQESA-N 0 2 312.337 0.566 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(C)C[C@@H]3COCCO3)n2C)c1=O ZINC001647692290 1173037452 /nfs/dbraw/zinc/03/74/52/1173037452.db2.gz WLBHCNXEQDEATR-SNVBAGLBSA-N 0 2 322.369 0.256 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC001647721251 1173050659 /nfs/dbraw/zinc/05/06/59/1173050659.db2.gz OJCCJIAVODYNNS-OLZOCXBDSA-N 0 2 313.398 0.603 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCC1)c1cc(F)cc2n[nH]nc21 ZINC001651218331 1173744880 /nfs/dbraw/zinc/74/48/80/1173744880.db2.gz JRFVALDMGVNOLF-UHFFFAOYSA-N 0 2 302.273 0.419 20 0 DCADLN O=C(c1ccc2n[nH]nc2c1)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001651874914 1173757597 /nfs/dbraw/zinc/75/75/97/1173757597.db2.gz SQSJGAPTCHCOBD-VIFPVBQESA-N 0 2 312.337 0.566 20 0 DCADLN COCCn1ncc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1C ZINC001654366217 1173803849 /nfs/dbraw/zinc/80/38/49/1173803849.db2.gz RWOJCNLYHFCPHP-UHFFFAOYSA-N 0 2 308.342 0.024 20 0 DCADLN C[N@H+](CCNC(=O)c1c[nH]c2ccccc12)Cc1n[nH]c(=O)[n-]1 ZINC001663221687 1174278447 /nfs/dbraw/zinc/27/84/47/1174278447.db2.gz BMYSJGRQHMZJND-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN C[N@@H+](CCNC(=O)c1c[nH]c2ccccc12)Cc1n[nH]c(=O)[n-]1 ZINC001663221687 1174278454 /nfs/dbraw/zinc/27/84/54/1174278454.db2.gz BMYSJGRQHMZJND-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CCOCC1CCC1 ZINC001666544794 1174609940 /nfs/dbraw/zinc/60/99/40/1174609940.db2.gz YUKGYRZWUDLABB-UHFFFAOYSA-N 0 2 315.338 0.531 20 0 DCADLN O=S(=O)(NCCCOCCO)c1onc(C2CC2)c1Cl ZINC001668210638 1174769280 /nfs/dbraw/zinc/76/92/80/1174769280.db2.gz KVMDYTJVPPKMHF-UHFFFAOYSA-N 0 2 324.786 0.883 20 0 DCADLN COCCCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001677537617 1175578570 /nfs/dbraw/zinc/57/85/70/1175578570.db2.gz JMEBGAOOEYDTQX-UHFFFAOYSA-N 0 2 309.370 0.185 20 0 DCADLN COCCCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001677537617 1175578575 /nfs/dbraw/zinc/57/85/75/1175578575.db2.gz JMEBGAOOEYDTQX-UHFFFAOYSA-N 0 2 309.370 0.185 20 0 DCADLN Cn1cc(CCC(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001686689558 1176193100 /nfs/dbraw/zinc/19/31/00/1176193100.db2.gz RVASOGIJZKNQFS-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN Cn1cc(CCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001686689558 1176193102 /nfs/dbraw/zinc/19/31/02/1176193102.db2.gz RVASOGIJZKNQFS-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN C[C@@H](c1nnnn1C)[N@H+](C)CCCNC(=O)C[NH+]1CC[C@@H](C)C1 ZINC001707411486 1180494068 /nfs/dbraw/zinc/49/40/68/1180494068.db2.gz WNDKATBFJGUUAG-OLZOCXBDSA-N 0 2 323.445 0.051 20 0 DCADLN O=C(CSc1nc2ccccc2[nH]1)NCc1n[nH]c(=O)[nH]1 ZINC000155700239 1180554810 /nfs/dbraw/zinc/55/48/10/1180554810.db2.gz ZTLMBTASGWNFLI-UHFFFAOYSA-N 0 2 304.335 0.383 20 0 DCADLN CCCCNC(=O)C[N@@H+]1CC[C@H](NC(=O)Cn2c[nH+]cc2C)C1 ZINC001713826758 1181101355 /nfs/dbraw/zinc/10/13/55/1181101355.db2.gz XZAQZMGOZNRJTG-AWEZNQCLSA-N 0 2 321.425 0.298 20 0 DCADLN Cc1cnc(C[NH2+]CCN(C)C(=O)CCc2nc[nH]n2)cn1 ZINC001714697892 1181488131 /nfs/dbraw/zinc/48/81/31/1181488131.db2.gz OJCYIKCFXWQALP-UHFFFAOYSA-N 0 2 303.370 0.084 20 0 DCADLN COCCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001724142217 1184386078 /nfs/dbraw/zinc/38/60/78/1184386078.db2.gz XCTCDPRAQPEPRA-AWEZNQCLSA-N 0 2 311.386 0.408 20 0 DCADLN COCCCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001724142217 1184386084 /nfs/dbraw/zinc/38/60/84/1184386084.db2.gz XCTCDPRAQPEPRA-AWEZNQCLSA-N 0 2 311.386 0.408 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[NH2+]Cc2cn(C(C)C)nn2)c1[O-] ZINC001731903199 1185561700 /nfs/dbraw/zinc/56/17/00/1185561700.db2.gz MQKPFYSRTMMSIN-UHFFFAOYSA-N 0 2 321.385 0.458 20 0 DCADLN CCc1c[nH]c(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001742496907 1187995198 /nfs/dbraw/zinc/99/51/98/1187995198.db2.gz HGOLOSLNPQTUCT-SNVBAGLBSA-N 0 2 324.278 0.647 20 0 DCADLN CCc1c[nH]c(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001742496907 1187995202 /nfs/dbraw/zinc/99/52/02/1187995202.db2.gz HGOLOSLNPQTUCT-SNVBAGLBSA-N 0 2 324.278 0.647 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cccnn1 ZINC001743500412 1188139476 /nfs/dbraw/zinc/13/94/76/1188139476.db2.gz PNSQJELKGBLIBD-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cccnn1 ZINC001743500412 1188139480 /nfs/dbraw/zinc/13/94/80/1188139480.db2.gz PNSQJELKGBLIBD-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN C[C@@H]1CCN(C(=O)CSc2n[nH]c(=O)[n-]2)C[C@@H]1n1cc[nH+]c1 ZINC000288284550 1188226744 /nfs/dbraw/zinc/22/67/44/1188226744.db2.gz GYRICXFCGZLZFM-ZJUUUORDSA-N 0 2 322.394 0.496 20 0 DCADLN Cn1cncc1C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001747244614 1188255283 /nfs/dbraw/zinc/25/52/83/1188255283.db2.gz RYCJZLMISGLLQN-HPOULIHZSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cncc1C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001747244614 1188255285 /nfs/dbraw/zinc/25/52/85/1188255285.db2.gz RYCJZLMISGLLQN-HPOULIHZSA-N 0 2 322.262 0.723 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCOC1)C(F)C(F)(F)F ZINC001757936472 1189616562 /nfs/dbraw/zinc/61/65/62/1189616562.db2.gz JYFLLZAIHYIMRC-SFYZADRCSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCOC1)[C@H](F)C(F)(F)F ZINC001757936472 1189616567 /nfs/dbraw/zinc/61/65/67/1189616567.db2.gz JYFLLZAIHYIMRC-SFYZADRCSA-N 0 2 300.252 0.546 20 0 DCADLN CCn1nccc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001757969432 1189647396 /nfs/dbraw/zinc/64/73/96/1189647396.db2.gz FDDHYAAITSVZHT-MRVPVSSYSA-N 0 2 310.251 0.649 20 0 DCADLN CCn1nccc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001757969432 1189647399 /nfs/dbraw/zinc/64/73/99/1189647399.db2.gz FDDHYAAITSVZHT-MRVPVSSYSA-N 0 2 310.251 0.649 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cnn2c1CCC2 ZINC001758027410 1189686111 /nfs/dbraw/zinc/68/61/11/1189686111.db2.gz GZRLHHHMJAIZHX-VIFPVBQESA-N 0 2 322.262 0.576 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cnn2c1CCC2 ZINC001758027410 1189686115 /nfs/dbraw/zinc/68/61/15/1189686115.db2.gz GZRLHHHMJAIZHX-VIFPVBQESA-N 0 2 322.262 0.576 20 0 DCADLN C[C@@H]1C[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC001771641239 1190388535 /nfs/dbraw/zinc/38/85/35/1190388535.db2.gz IUADWMUODCGZSS-ZJUUUORDSA-N 0 2 305.338 0.003 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1[NH2+]CCc2occc21)C[NH+]1CCN(C)CC1 ZINC001772226598 1190635842 /nfs/dbraw/zinc/63/58/42/1190635842.db2.gz JTFHBPOZYOYTST-XJKSGUPXSA-N 0 2 320.437 0.466 20 0 DCADLN Cc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1F ZINC001043264119 752155047 /nfs/dbraw/zinc/15/50/47/752155047.db2.gz HERPYYPJFZKIAZ-UHFFFAOYSA-N 0 2 319.340 0.914 20 0 DCADLN CCc1nnc([C@H](C)[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001125744601 747546015 /nfs/dbraw/zinc/54/60/15/747546015.db2.gz CXWKGGLLNWYQKE-QMMMGPOBSA-N 0 2 308.342 0.450 20 0 DCADLN CCc1cncc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001043351065 752191835 /nfs/dbraw/zinc/19/18/35/752191835.db2.gz ARRCSAGSMXRBGV-UHFFFAOYSA-N 0 2 316.365 0.424 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2cc[nH]c2)C1)C(F)C(F)(F)F ZINC001043376502 752202584 /nfs/dbraw/zinc/20/25/84/752202584.db2.gz QMAKGAUFUUDBEB-QMMMGPOBSA-N 0 2 323.246 0.218 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2cc[nH]c2)C1)[C@H](F)C(F)(F)F ZINC001043376502 752202587 /nfs/dbraw/zinc/20/25/87/752202587.db2.gz QMAKGAUFUUDBEB-QMMMGPOBSA-N 0 2 323.246 0.218 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)c1ncnc2nc[nH]c21 ZINC001100366575 748455050 /nfs/dbraw/zinc/45/50/50/748455050.db2.gz ASHVRZCTJCVHMX-ZETCQYMHSA-N 0 2 320.250 0.806 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)c1ncnc2nc[nH]c21 ZINC001100366575 748455056 /nfs/dbraw/zinc/45/50/56/748455056.db2.gz ASHVRZCTJCVHMX-ZETCQYMHSA-N 0 2 320.250 0.806 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)C(C)(F)F)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001087483784 748839000 /nfs/dbraw/zinc/83/90/00/748839000.db2.gz XTYQZSAITYAWIE-JGVFFNPUSA-N 0 2 303.313 0.635 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nocc2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087786586 749049542 /nfs/dbraw/zinc/04/95/42/749049542.db2.gz ISEYDUUPRZWFNP-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nocc2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087786586 749049544 /nfs/dbraw/zinc/04/95/44/749049544.db2.gz ISEYDUUPRZWFNP-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN CC(C)(C(=O)N1CCCCC[C@H]([NH2+]CC(N)=O)C1)c1c[nH+]c[nH]1 ZINC001088413336 749427442 /nfs/dbraw/zinc/42/74/42/749427442.db2.gz DALPHBOWMXSFKD-LBPRGKRZSA-N 0 2 321.425 0.533 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cccn2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088472344 749478784 /nfs/dbraw/zinc/47/87/84/749478784.db2.gz HKEWYULJEZQRTM-ZJUUUORDSA-N 0 2 304.354 0.242 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cccn2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088472344 749478788 /nfs/dbraw/zinc/47/87/88/749478788.db2.gz HKEWYULJEZQRTM-ZJUUUORDSA-N 0 2 304.354 0.242 20 0 DCADLN CCO[C@@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C(C)C ZINC001112839620 761959833 /nfs/dbraw/zinc/95/98/33/761959833.db2.gz PIIUWEHLUCPLNR-GFCCVEGCSA-N 0 2 311.386 0.216 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)cnn1 ZINC001088542102 749566419 /nfs/dbraw/zinc/56/64/19/749566419.db2.gz MWYPDTNRDYASEG-KOLCDFICSA-N 0 2 317.353 0.002 20 0 DCADLN O=C(N[C@@H]1C[N@H+](CC2CC2)C[C@@H]1O)[C@H]1CCn2cc[nH+]c2C1 ZINC001083583362 750414727 /nfs/dbraw/zinc/41/47/27/750414727.db2.gz CQTGNUDIYJJCJP-MJBXVCDLSA-N 0 2 304.394 0.017 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2csnn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071713129 762083171 /nfs/dbraw/zinc/08/31/71/762083171.db2.gz ZBZIQCCFUPWJRN-SFYZADRCSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cnco2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071717313 762084819 /nfs/dbraw/zinc/08/48/19/762084819.db2.gz CPDLLHRGILNWQN-IUCAKERBSA-N 0 2 306.326 0.281 20 0 DCADLN O=C(NC[C@H](CO)Nc1nccnc1F)C(F)C(F)(F)F ZINC001122761392 751155708 /nfs/dbraw/zinc/15/57/08/751155708.db2.gz RVKOQCXXDNMWRT-RITPCOANSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](CO)Nc1nccnc1F)[C@H](F)C(F)(F)F ZINC001122761392 751155711 /nfs/dbraw/zinc/15/57/11/751155711.db2.gz RVKOQCXXDNMWRT-RITPCOANSA-N 0 2 314.214 0.405 20 0 DCADLN CN(C(=O)c1cc(C2CC2)no1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043992831 752509001 /nfs/dbraw/zinc/50/90/01/752509001.db2.gz VHEBKJRXFYZVRV-UHFFFAOYSA-N 0 2 318.337 0.332 20 0 DCADLN CC(C)c1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001044001149 752512250 /nfs/dbraw/zinc/51/22/50/752512250.db2.gz SAOLNDYUDNONIB-UHFFFAOYSA-N 0 2 320.353 0.578 20 0 DCADLN CCc1nc(C)c(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001044139965 752591462 /nfs/dbraw/zinc/59/14/62/752591462.db2.gz TUDLPUZUBPMNRN-UHFFFAOYSA-N 0 2 320.353 0.326 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H]2C[NH2+]Cc2nncs2)c1[O-] ZINC001044999487 752985614 /nfs/dbraw/zinc/98/56/14/752985614.db2.gz XMORHUINKSFKIR-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN CCc1ncoc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046605485 753824454 /nfs/dbraw/zinc/82/44/54/753824454.db2.gz RYCJIHJXTQTUCF-CQSZACIVSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1conc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046761396 753931744 /nfs/dbraw/zinc/93/17/44/753931744.db2.gz YMRMJDNMELMQPM-ZDUSSCGKSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC[C@H]1C[C@H](Nc2[nH+]cnc3nc[nH]c32)C1)c1nnc[n-]1 ZINC001046872022 753987366 /nfs/dbraw/zinc/98/73/66/753987366.db2.gz ROPMKDFCBLOGOP-ZKCHVHJHSA-N 0 2 313.325 0.092 20 0 DCADLN CC1(CC(=O)NC[C@]2(C)CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001108081379 754023717 /nfs/dbraw/zinc/02/37/17/754023717.db2.gz VVFCXAQRUXHVBP-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CC12CC2 ZINC001047153380 754108732 /nfs/dbraw/zinc/10/87/32/754108732.db2.gz FCADJLNYMQZTFS-JGVFFNPUSA-N 0 2 324.274 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CC12CC2 ZINC001047153380 754108736 /nfs/dbraw/zinc/10/87/36/754108736.db2.gz FCADJLNYMQZTFS-JGVFFNPUSA-N 0 2 324.274 0.376 20 0 DCADLN CC(C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O)=C1CCC1 ZINC001090253865 754463439 /nfs/dbraw/zinc/46/34/39/754463439.db2.gz FZCLJNIUMIAQIE-RYUDHWBXSA-N 0 2 321.381 0.062 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccncc1 ZINC001079355653 755795522 /nfs/dbraw/zinc/79/55/22/755795522.db2.gz GAZDUSFKHXYLRA-MWLCHTKSSA-N 0 2 302.338 0.156 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccncc1 ZINC001079355653 755795525 /nfs/dbraw/zinc/79/55/25/755795525.db2.gz GAZDUSFKHXYLRA-MWLCHTKSSA-N 0 2 302.338 0.156 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)o1 ZINC001079528084 755884838 /nfs/dbraw/zinc/88/48/38/755884838.db2.gz SLLIXAPVPSSTSA-GMSGAONNSA-N 0 2 320.353 0.365 20 0 DCADLN CC1(CC(=O)N2CCOC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)CC1 ZINC001053343372 756010717 /nfs/dbraw/zinc/01/07/17/756010717.db2.gz KDBKZJCBODLRBN-UHFFFAOYSA-N 0 2 321.381 0.114 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(C)CC=CC1 ZINC001080388682 756187809 /nfs/dbraw/zinc/18/78/09/756187809.db2.gz ZCBRENZGRSKXCL-GHMZBOCLSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(C)CC=CC1 ZINC001080388682 756187811 /nfs/dbraw/zinc/18/78/11/756187811.db2.gz ZCBRENZGRSKXCL-GHMZBOCLSA-N 0 2 305.382 0.803 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(c3cc(C)[nH+]c(C)n3)CC2)c1[O-] ZINC001055775279 756913151 /nfs/dbraw/zinc/91/31/51/756913151.db2.gz BJCWEIRLJNLDME-UHFFFAOYSA-N 0 2 316.365 0.793 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@H]1CC12CCC2 ZINC001082183538 756957134 /nfs/dbraw/zinc/95/71/34/756957134.db2.gz IVGBDKOONALMCY-GMTAPVOTSA-N 0 2 321.381 0.016 20 0 DCADLN Cc1ocnc1C(=O)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072528719 762546549 /nfs/dbraw/zinc/54/65/49/762546549.db2.gz YSLMJMLDFCATJC-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN Cc1ocnc1C(=O)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072528719 762546551 /nfs/dbraw/zinc/54/65/51/762546551.db2.gz YSLMJMLDFCATJC-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN CC(C)[C@H](C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084697807 757976326 /nfs/dbraw/zinc/97/63/26/757976326.db2.gz AATOSNQISLMGQO-QJPTWQEYSA-N 0 2 307.398 0.835 20 0 DCADLN Cc1occc1C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001050806175 758091892 /nfs/dbraw/zinc/09/18/92/758091892.db2.gz RTWUQBIYUJYRSC-JTQLQIEISA-N 0 2 321.337 0.043 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC2(CC2)C1 ZINC001051106237 758438368 /nfs/dbraw/zinc/43/83/68/758438368.db2.gz LHDNJYNZUSGKGY-NSHDSACASA-N 0 2 321.381 0.018 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CC=CCC1 ZINC001085479829 758931749 /nfs/dbraw/zinc/93/17/49/758931749.db2.gz AUPVAQNUEJOHEA-NEPJUHHUSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1C ZINC001085486404 758932719 /nfs/dbraw/zinc/93/27/19/758932719.db2.gz ZQWOJSNQIAZTQK-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001098517803 762685189 /nfs/dbraw/zinc/68/51/89/762685189.db2.gz XOPKCPOIQRRRTK-BBBLOLIVSA-N 0 2 317.353 0.077 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001085564992 759056836 /nfs/dbraw/zinc/05/68/36/759056836.db2.gz ZQCZIFIEKROHFX-LLVKDONJSA-N 0 2 305.338 0.758 20 0 DCADLN Cc1ccnc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122762243 767871450 /nfs/dbraw/zinc/87/14/50/767871450.db2.gz XDVOLYVGVZITIX-SFYZADRCSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccnc(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001122762243 767871459 /nfs/dbraw/zinc/87/14/59/767871459.db2.gz XDVOLYVGVZITIX-SFYZADRCSA-N 0 2 310.251 0.574 20 0 DCADLN CCc1nnc(CN[C@H]2C[C@H](CNC(=O)c3nnc[nH]3)C2)o1 ZINC001086508287 760274800 /nfs/dbraw/zinc/27/48/00/760274800.db2.gz LOGYIDUVOJJIDG-KYZUINATSA-N 0 2 305.342 0.048 20 0 DCADLN Cc1[nH+]ccn1CCCNC(=O)NC[C@H](C)N1CC[NH+](C)CC1 ZINC001123486624 767985893 /nfs/dbraw/zinc/98/58/93/767985893.db2.gz GMROKXQAJUTQEC-AWEZNQCLSA-N 0 2 322.457 0.517 20 0 DCADLN CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001108963506 761172118 /nfs/dbraw/zinc/17/21/18/761172118.db2.gz JJXAXUYHLAVYOW-VLEAKVRGSA-N 0 2 309.370 0.157 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001071158344 761667330 /nfs/dbraw/zinc/66/73/30/761667330.db2.gz KPOXOUJRXLFWGD-HTRCEHHLSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2cnon2)C1)[C@@H](F)C(F)(F)F ZINC001071158344 761667333 /nfs/dbraw/zinc/66/73/33/761667333.db2.gz KPOXOUJRXLFWGD-HTRCEHHLSA-N 0 2 324.234 0.691 20 0 DCADLN COC(=O)c1sccc1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC001123839902 768063876 /nfs/dbraw/zinc/06/38/76/768063876.db2.gz OVTKQSCVNSTKKM-LURJTMIESA-N 0 2 311.319 0.462 20 0 DCADLN CC(C)COCCC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112641970 761744382 /nfs/dbraw/zinc/74/43/82/761744382.db2.gz PZAKGTSATVUJLQ-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cncs2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071376522 761806709 /nfs/dbraw/zinc/80/67/09/761806709.db2.gz VLCJKMASGRRTRX-IUCAKERBSA-N 0 2 322.394 0.750 20 0 DCADLN CSCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131781297 764027896 /nfs/dbraw/zinc/02/78/96/764027896.db2.gz XOVDUUIFJAWVHN-UWVGGRQHSA-N 0 2 313.427 0.733 20 0 DCADLN CSCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131781297 764027907 /nfs/dbraw/zinc/02/79/07/764027907.db2.gz XOVDUUIFJAWVHN-UWVGGRQHSA-N 0 2 313.427 0.733 20 0 DCADLN COCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001132172792 764284701 /nfs/dbraw/zinc/28/47/01/764284701.db2.gz BIXJVUZXHZLQJX-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN COCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001132172792 764284705 /nfs/dbraw/zinc/28/47/05/764284705.db2.gz BIXJVUZXHZLQJX-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1ccnc(NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001124808739 768307158 /nfs/dbraw/zinc/30/71/58/768307158.db2.gz KOFAXIWFHNFSBZ-IUCAKERBSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1ccnc(NC[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F)n1 ZINC001124808739 768307165 /nfs/dbraw/zinc/30/71/65/768307165.db2.gz KOFAXIWFHNFSBZ-IUCAKERBSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1nccc(NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001124808868 768307428 /nfs/dbraw/zinc/30/74/28/768307428.db2.gz NCSVFHIYJINLGZ-SCZZXKLOSA-N 0 2 324.278 0.917 20 0 DCADLN O=S(=O)(Cc1nnc2n1CCOC2)c1nc2ccccc2[nH]1 ZINC001116888344 765924427 /nfs/dbraw/zinc/92/44/27/765924427.db2.gz ACGIPGMBELLVGL-UHFFFAOYSA-N 0 2 319.346 0.659 20 0 DCADLN COCc1nnc(CN2C(=O)[C@@H]3c4ccoc4CCN3C2=O)[nH]1 ZINC001118960226 766485523 /nfs/dbraw/zinc/48/55/23/766485523.db2.gz WGVJBGYQEVPBBG-LBPRGKRZSA-N 0 2 317.305 0.606 20 0 DCADLN C/C(=C/C(=O)NCc1ccoc1C(=O)[O-])C[NH+]1CCOCC1 ZINC001119582267 766739344 /nfs/dbraw/zinc/73/93/44/766739344.db2.gz UVJZQSIPJBBGFK-FLIBITNWSA-N 0 2 308.334 0.873 20 0 DCADLN Nc1nnn(CC(=O)Nc2cc(Cl)cc(Cl)c2O)n1 ZINC001137572673 768383735 /nfs/dbraw/zinc/38/37/35/768383735.db2.gz DMJDKMNSAGKGNM-UHFFFAOYSA-N 0 2 303.109 0.906 20 0 DCADLN CNC(=O)N1CC[NH+](Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC001138930755 768446401 /nfs/dbraw/zinc/44/64/01/768446401.db2.gz QUYXVEQTNCYJEA-UHFFFAOYSA-N 0 2 307.350 0.607 20 0 DCADLN CONC(=O)C1(C2(O)CN(C(=O)OC(C)(C)C)C2)CCC1 ZINC001141422957 768579035 /nfs/dbraw/zinc/57/90/35/768579035.db2.gz FIFYMUZXFPDFFU-UHFFFAOYSA-N 0 2 300.355 0.816 20 0 DCADLN O=C(CC1CCC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149490725 768765845 /nfs/dbraw/zinc/76/58/45/768765845.db2.gz VRYYUFPNSDZCJC-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(CC(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001234235152 769597715 /nfs/dbraw/zinc/59/77/15/769597715.db2.gz MFOUSQKZHMKGHY-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C)C(=O)CN1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426077 769653184 /nfs/dbraw/zinc/65/31/84/769653184.db2.gz RWSCFALTVOVLIJ-PSASIEDQSA-N 0 2 313.295 0.508 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cocn1 ZINC001095236409 769689472 /nfs/dbraw/zinc/68/94/72/769689472.db2.gz JPWQLBLQBFPJHA-WEDXCCLWSA-N 0 2 304.310 0.034 20 0 DCADLN CCCCNC(=O)[C@@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153356562 769796204 /nfs/dbraw/zinc/79/62/04/769796204.db2.gz RICWPRXMIQJNDW-CQSZACIVSA-N 0 2 323.441 0.674 20 0 DCADLN CCCNC(=O)[C@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153356091 769796384 /nfs/dbraw/zinc/79/63/84/769796384.db2.gz JDYAMGXKVXSMLT-ZDUSSCGKSA-N 0 2 309.414 0.284 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc2c(c1)[nH]c(=O)[nH]c2=O ZINC001153798284 769940901 /nfs/dbraw/zinc/94/09/01/769940901.db2.gz BHGVESKHJNSSES-SNVBAGLBSA-N 0 2 320.286 0.080 20 0 DCADLN O=C(N=c1[nH]cnn2cccc12)c1cnc2cccnc2c1O ZINC001153850449 769960526 /nfs/dbraw/zinc/96/05/26/769960526.db2.gz BWWZQSYRCCAHML-UHFFFAOYSA-N 0 2 306.285 0.640 20 0 DCADLN CN1CCO[C@H]2CN(C(=O)c3c[nH]c4cccnc4c3=O)C[C@H]21 ZINC001153859183 769964784 /nfs/dbraw/zinc/96/47/84/769964784.db2.gz XRHYAMXTWPNXEL-OLZOCXBDSA-N 0 2 314.345 0.078 20 0 DCADLN O=C(CNC(=O)c1c[nH]c2cccnc2c1=O)NC1CCCC1 ZINC001153859992 769966525 /nfs/dbraw/zinc/96/65/25/769966525.db2.gz FFGTXEKXNCFDNI-UHFFFAOYSA-N 0 2 314.345 0.712 20 0 DCADLN Cn1ccc(CNC(=O)c2c[nH]c3cccnc3c2=O)cc1=O ZINC001153862109 769971955 /nfs/dbraw/zinc/97/19/55/769971955.db2.gz QBFQLCIEGOYHMW-UHFFFAOYSA-N 0 2 310.313 0.552 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H]1CCCN(c2ccncn2)C1 ZINC001178133308 770659155 /nfs/dbraw/zinc/65/91/55/770659155.db2.gz LIEFOXHXDQLRHP-PRHODGIISA-N 0 2 321.362 0.118 20 0 DCADLN NC(=O)c1c(Cl)ncnc1NCCNC(=O)C(F)(F)F ZINC001156202991 770747412 /nfs/dbraw/zinc/74/74/12/770747412.db2.gz BSNFUIXIDOHZAS-UHFFFAOYSA-N 0 2 311.651 0.319 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CC2=CCCCC2)[nH]1 ZINC001157027200 771519896 /nfs/dbraw/zinc/51/98/96/771519896.db2.gz LGLIFHHTIAEZGF-UHFFFAOYSA-N 0 2 312.395 0.856 20 0 DCADLN O=C(COc1nc2ccccc2s1)NCc1n[nH]c(=O)[nH]1 ZINC001182700113 771536553 /nfs/dbraw/zinc/53/65/53/771536553.db2.gz NGNAFGMNALRYQG-UHFFFAOYSA-N 0 2 305.319 0.815 20 0 DCADLN O=C(Cc1c[nH]c2ccccc12)C(=O)N[C@@H]1SC(=O)NC1=O ZINC001183096287 771607674 /nfs/dbraw/zinc/60/76/74/771607674.db2.gz BZLNNAMOMYSOLI-CYBMUJFWSA-N 0 2 317.326 0.705 20 0 DCADLN Cc1cc(N2CCCC2)nc(NC(=[NH2+])N2CC[NH+](C)CC2)n1 ZINC001157910629 771691198 /nfs/dbraw/zinc/69/11/98/771691198.db2.gz OLBSDXJQWHJSCL-UHFFFAOYSA-N 0 2 303.414 0.979 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3n[nH]cc3c2)n(CCO)n1 ZINC001185945022 771973713 /nfs/dbraw/zinc/97/37/13/771973713.db2.gz AOHZEBGUTWODFF-UHFFFAOYSA-N 0 2 321.362 0.861 20 0 DCADLN CSCCC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001186771562 772088921 /nfs/dbraw/zinc/08/89/21/772088921.db2.gz QUQSDKAYTZQCJV-VHSXEESVSA-N 0 2 311.411 0.296 20 0 DCADLN CSCCC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001186771562 772088927 /nfs/dbraw/zinc/08/89/27/772088927.db2.gz QUQSDKAYTZQCJV-VHSXEESVSA-N 0 2 311.411 0.296 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001187291432 772161717 /nfs/dbraw/zinc/16/17/17/772161717.db2.gz SGVGAUPJPFZOCK-GFCCVEGCSA-N 0 2 324.429 0.168 20 0 DCADLN O=S(=O)(CC1CC1)Nc1ncc(Br)nc1CO ZINC001188938690 772385645 /nfs/dbraw/zinc/38/56/45/772385645.db2.gz QITZXWHYSHOERC-UHFFFAOYSA-N 0 2 322.184 0.883 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)Cc2cc(F)ccc2F)S1 ZINC001189699365 772519368 /nfs/dbraw/zinc/51/93/68/772519368.db2.gz BCCYGGUYKPBPHI-VIFPVBQESA-N 0 2 322.314 0.693 20 0 DCADLN COC(=O)c1ccc(OC)c(NS(=O)(=O)CCCF)n1 ZINC001189870473 772548001 /nfs/dbraw/zinc/54/80/01/772548001.db2.gz VJSJRPQUTPJOIL-UHFFFAOYSA-N 0 2 306.315 0.978 20 0 DCADLN Cn1cccc(NS(=O)(=O)c2ncc(F)cc2F)c1=O ZINC001190190888 772598330 /nfs/dbraw/zinc/59/83/30/772598330.db2.gz FBYGHWWIWHGXFC-UHFFFAOYSA-N 0 2 301.274 0.859 20 0 DCADLN O=S(=O)(NC[C@@H](c1nc[nH]n1)c1ccccc1)c1ncc[nH]1 ZINC001190284556 772616787 /nfs/dbraw/zinc/61/67/87/772616787.db2.gz HBNASIMTNZVDAI-LLVKDONJSA-N 0 2 318.362 0.638 20 0 DCADLN COCc1nnc(CNC(=O)c2cnn(-c3cccnc3)c2)[nH]1 ZINC001165515171 772744190 /nfs/dbraw/zinc/74/41/90/772744190.db2.gz LCUUOGZPMURNJL-UHFFFAOYSA-N 0 2 313.321 0.462 20 0 DCADLN CN(C)S(=O)(=O)CCNC(=O)c1c(F)ccc(F)c1O ZINC001192721142 772953071 /nfs/dbraw/zinc/95/30/71/772953071.db2.gz YZGDPJZVUMFEIL-UHFFFAOYSA-N 0 2 308.306 0.292 20 0 DCADLN O=C(c1ccc(F)c(F)c1O)N1CCN2C(=O)COC[C@@H]2C1 ZINC001192806712 772962592 /nfs/dbraw/zinc/96/25/92/772962592.db2.gz JBQYSJVGZSESSX-QMMMGPOBSA-N 0 2 312.272 0.354 20 0 DCADLN O=C(N[C@H]1COCCC12OCCO2)c1ccc(F)c(F)c1O ZINC001192812006 772963358 /nfs/dbraw/zinc/96/33/58/772963358.db2.gz YYPIQUZREBKECQ-JTQLQIEISA-N 0 2 315.272 0.932 20 0 DCADLN C[C@]1(CO)CN(C(=O)c2ccc(O)c(F)c2F)CC[C@@H]1O ZINC001192831247 772965770 /nfs/dbraw/zinc/96/57/70/772965770.db2.gz DVLDHQLWKBXGQF-IINYFYTJSA-N 0 2 301.289 0.876 20 0 DCADLN O=C(N[C@@H]1CN(C/C=C/Cl)C[C@H]1O)C(F)C(F)(F)F ZINC001193124055 773009699 /nfs/dbraw/zinc/00/96/99/773009699.db2.gz XJCMMHFXRZMVPP-GMCWOFSTSA-N 0 2 304.671 0.801 20 0 DCADLN CC(C)[N@H+](C)Cc1n[nH]c2c1CCN(C(=O)c1cc(=O)[nH][n-]1)C2 ZINC001193466437 773067365 /nfs/dbraw/zinc/06/73/65/773067365.db2.gz YJNKCPBDJPWQSW-UHFFFAOYSA-N 0 2 318.381 0.877 20 0 DCADLN CC(C)[N@@H+](C)Cc1n[nH]c2c1CCN(C(=O)c1cc(=O)[nH][n-]1)C2 ZINC001193466437 773067368 /nfs/dbraw/zinc/06/73/68/773067368.db2.gz YJNKCPBDJPWQSW-UHFFFAOYSA-N 0 2 318.381 0.877 20 0 DCADLN COCCC1(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001207461723 773212932 /nfs/dbraw/zinc/21/29/32/773212932.db2.gz LATQBNVPTPJJJV-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN COCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001207461723 773212936 /nfs/dbraw/zinc/21/29/36/773212936.db2.gz LATQBNVPTPJJJV-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc(Cl)cn2)S1 ZINC001196255493 773564524 /nfs/dbraw/zinc/56/45/24/773564524.db2.gz UNCSNXGEKZDKPM-ZETCQYMHSA-N 0 2 307.740 0.322 20 0 DCADLN Cc1nnc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(F)C(F)(F)F)[nH]1 ZINC001114311823 773688740 /nfs/dbraw/zinc/68/87/40/773688740.db2.gz UWKCAPHOGGNUJO-WDQPUEAGSA-N 0 2 321.278 0.560 20 0 DCADLN COCC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001211163091 773776784 /nfs/dbraw/zinc/77/67/84/773776784.db2.gz DNUMWHYVUABVRX-HBNTYKKESA-N 0 2 311.386 0.119 20 0 DCADLN COCC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001211163091 773776789 /nfs/dbraw/zinc/77/67/89/773776789.db2.gz DNUMWHYVUABVRX-HBNTYKKESA-N 0 2 311.386 0.119 20 0 DCADLN CCOC(=O)c1ncncc1NS(=O)(=O)Cc1ccccn1 ZINC001197789281 773801157 /nfs/dbraw/zinc/80/11/57/773801157.db2.gz RPLPPKNLCSTCKU-UHFFFAOYSA-N 0 2 322.346 0.990 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1cccc(Cl)n1 ZINC001198199139 773873202 /nfs/dbraw/zinc/87/32/02/773873202.db2.gz JVLTZVHYUVEAEM-UHFFFAOYSA-N 0 2 302.699 0.737 20 0 DCADLN COC(=O)CCCS(=O)(=O)Nc1c(OC)ncnc1OC ZINC001201905532 774575324 /nfs/dbraw/zinc/57/53/24/774575324.db2.gz VNUKPFOVUIKWBD-UHFFFAOYSA-N 0 2 319.339 0.189 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccccc1-n1nccn1 ZINC001220038768 774677499 /nfs/dbraw/zinc/67/74/99/774677499.db2.gz ZJHLJPWLYLJHJM-UHFFFAOYSA-N 0 2 300.282 0.413 20 0 DCADLN CCCCC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CC1 ZINC001220286986 774758868 /nfs/dbraw/zinc/75/88/68/774758868.db2.gz RCMVKCZWJMRQKE-MNOVXSKESA-N 0 2 323.397 0.142 20 0 DCADLN Cc1nc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)co1 ZINC001095350997 775010578 /nfs/dbraw/zinc/01/05/78/775010578.db2.gz RMBQTBDKRQNDNC-YWVKMMECSA-N 0 2 318.337 0.342 20 0 DCADLN CC[C@@H](C(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1)[NH+](C)C ZINC001224582969 775585767 /nfs/dbraw/zinc/58/57/67/775585767.db2.gz FRFJJUIPBFMPRP-AWEZNQCLSA-N 0 2 322.457 0.782 20 0 DCADLN CC[C@@H](C(N)=O)[NH+]1CCC(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001224672074 775595131 /nfs/dbraw/zinc/59/51/31/775595131.db2.gz BKQOAMLVUJTDQC-ZDUSSCGKSA-N 0 2 307.398 0.044 20 0 DCADLN Cc1ccccc1O[C@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001225218820 775656107 /nfs/dbraw/zinc/65/61/07/775656107.db2.gz HQYOVEKQXJPXNS-CYBMUJFWSA-N 0 2 315.391 0.962 20 0 DCADLN CC(=O)OC[C@H](COc1nc(C)[nH]c(=O)c1F)OC(C)=O ZINC001226419873 775814046 /nfs/dbraw/zinc/81/40/46/775814046.db2.gz HKEBUKYTUNGTBN-SECBINFHSA-N 0 2 302.258 0.503 20 0 DCADLN CC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001095877984 775849603 /nfs/dbraw/zinc/84/96/03/775849603.db2.gz RLRCGRQGKQKCMD-VWYCJHECSA-N 0 2 305.382 0.922 20 0 DCADLN O=C(CCC(F)F)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001228316367 776070298 /nfs/dbraw/zinc/07/02/98/776070298.db2.gz OEHNPPHPHZVZAR-UHFFFAOYSA-N 0 2 303.313 0.636 20 0 DCADLN CN(Cc1c[nH+]cn1C)S(=O)(=O)[C@@H]1CCCC[C@H]1C(=O)[O-] ZINC001465112534 804123341 /nfs/dbraw/zinc/12/33/41/804123341.db2.gz BOHOEQRKAUPNFP-VXGBXAGGSA-N 0 2 315.395 0.825 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1C[C@H](C)[N@@H+](C)C[C@@H]1C ZINC001600832922 1168478862 /nfs/dbraw/zinc/47/88/62/1168478862.db2.gz YZSNENARSWASMB-IUCAKERBSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1C[C@H](C)[N@H+](C)C[C@@H]1C ZINC001600832922 1168478868 /nfs/dbraw/zinc/47/88/68/1168478868.db2.gz YZSNENARSWASMB-IUCAKERBSA-N 0 2 316.379 0.999 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC001601910376 1168930773 /nfs/dbraw/zinc/93/07/73/1168930773.db2.gz MUWHRDXYYXGLHK-JGVFFNPUSA-N 0 2 309.244 0.328 20 0 DCADLN CCn1nccc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001408589987 939395025 /nfs/dbraw/zinc/39/50/25/939395025.db2.gz DMOXHQYKHZPLHO-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1nccc1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001408589987 939395029 /nfs/dbraw/zinc/39/50/29/939395029.db2.gz DMOXHQYKHZPLHO-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN O=C(NC1CN(CCOCc2ccccc2)C1)c1cnn[nH]1 ZINC001318043473 945249296 /nfs/dbraw/zinc/24/92/96/945249296.db2.gz WMPDCTOCZGCDMM-UHFFFAOYSA-N 0 2 301.350 0.436 20 0 DCADLN O=C(NC1C[NH+](CCOCc2ccccc2)C1)c1cnn[n-]1 ZINC001318043473 945249306 /nfs/dbraw/zinc/24/93/06/945249306.db2.gz WMPDCTOCZGCDMM-UHFFFAOYSA-N 0 2 301.350 0.436 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cccnn2)C1)C(F)C(F)(F)F ZINC001493303917 939413401 /nfs/dbraw/zinc/41/34/01/939413401.db2.gz RLYKESYOLGZXSH-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cccnn2)C1)[C@H](F)C(F)(F)F ZINC001493303917 939413403 /nfs/dbraw/zinc/41/34/03/939413403.db2.gz RLYKESYOLGZXSH-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C)cc(C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001480984878 939511094 /nfs/dbraw/zinc/51/10/94/939511094.db2.gz DFWYSLFBAPDBRG-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C)cc(C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001480984878 939511096 /nfs/dbraw/zinc/51/10/96/939511096.db2.gz DFWYSLFBAPDBRG-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN CCCN(CCNC(=O)c1cnn[nH]1)Cc1nc(C2CC2)no1 ZINC001481141342 939583180 /nfs/dbraw/zinc/58/31/80/939583180.db2.gz PFDPXKRRQSNIDO-UHFFFAOYSA-N 0 2 319.369 0.707 20 0 DCADLN CCCCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001318191072 945284832 /nfs/dbraw/zinc/28/48/32/945284832.db2.gz WMPULIZXIWVVDV-WOPDTQHZSA-N 0 2 323.397 0.501 20 0 DCADLN CCN(C(=O)C[C@@H]1CCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481759350 940116089 /nfs/dbraw/zinc/11/60/89/940116089.db2.gz KJESNGIUBWNLAF-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)C[C@@H]1CCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481759350 940116091 /nfs/dbraw/zinc/11/60/91/940116091.db2.gz KJESNGIUBWNLAF-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(NC12CC(C(=O)Nc3nnco3)(C1)C2)C(F)(F)Cl ZINC001272025248 941531550 /nfs/dbraw/zinc/53/15/50/941531550.db2.gz MEPJFQJPVAMKPZ-UHFFFAOYSA-N 0 2 306.656 0.879 20 0 DCADLN O=C(NCC1CN(C(=O)c2cc[nH]n2)C1)C(F)C(F)(F)F ZINC001409147120 941551759 /nfs/dbraw/zinc/55/17/59/941551759.db2.gz NQYVTAPQZDQGDE-QMMMGPOBSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccn[nH]2)C1)C(F)C(F)(F)F ZINC001409147120 941551761 /nfs/dbraw/zinc/55/17/61/941551761.db2.gz NQYVTAPQZDQGDE-QMMMGPOBSA-N 0 2 308.235 0.498 20 0 DCADLN CCN(CC[NH2+]Cc1cc(C)on1)C(=O)c1n[nH]c(C)c1[O-] ZINC001482919475 941708235 /nfs/dbraw/zinc/70/82/35/941708235.db2.gz CDCOJYNYDQWTLM-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1Cc2ccccc21)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409643818 942110850 /nfs/dbraw/zinc/11/08/50/942110850.db2.gz ZUODGBLWCBZPKG-ZWNOBZJWSA-N 0 2 315.377 0.787 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1Cc2ccccc21)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409643818 942110853 /nfs/dbraw/zinc/11/08/53/942110853.db2.gz ZUODGBLWCBZPKG-ZWNOBZJWSA-N 0 2 315.377 0.787 20 0 DCADLN Cn1cc([C@@H]2C[C@H]2C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001412879924 942121336 /nfs/dbraw/zinc/12/13/36/942121336.db2.gz BNQVFZCOVUSKBI-ZMLRMANQSA-N 0 2 316.365 0.754 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC001412885484 942124408 /nfs/dbraw/zinc/12/44/08/942124408.db2.gz PHSSXZZCLYFYRO-GMTAPVOTSA-N 0 2 316.365 0.754 20 0 DCADLN Cc1ccc2c(c1)NC(=O)N(Cc1n[nH]c(=O)[nH]1)S2(=O)=O ZINC001412928244 942142885 /nfs/dbraw/zinc/14/28/85/942142885.db2.gz SJKWCNBQCHDZAI-UHFFFAOYSA-N 0 2 309.307 0.555 20 0 DCADLN O=C(CCCF)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001412957508 942156044 /nfs/dbraw/zinc/15/60/44/942156044.db2.gz IPLZMXQAYQUEPW-HTRCEHHLSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001412957508 942156047 /nfs/dbraw/zinc/15/60/47/942156047.db2.gz IPLZMXQAYQUEPW-HTRCEHHLSA-N 0 2 306.231 0.230 20 0 DCADLN COC(=O)[C@H](NCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)CCO2 ZINC001412984477 942175376 /nfs/dbraw/zinc/17/53/76/942175376.db2.gz SSYFDQLLKBXDNT-GFCCVEGCSA-N 0 2 304.306 0.449 20 0 DCADLN C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)CNC(=O)C[N@@H+]1CC[C@@H](C)C1 ZINC001409738509 942178682 /nfs/dbraw/zinc/17/86/82/942178682.db2.gz ODESZCGUCGEZAS-OLZOCXBDSA-N 0 2 321.425 0.163 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(Cc3noc4c3COCC4)C2)[nH]1 ZINC001412995758 942184352 /nfs/dbraw/zinc/18/43/52/942184352.db2.gz DVEOKFAIGHWJSA-VIFPVBQESA-N 0 2 305.338 0.951 20 0 DCADLN COC[C@H](OC)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001429480712 942192447 /nfs/dbraw/zinc/19/24/47/942192447.db2.gz UKZPYJUERQIWOZ-YUMQZZPRSA-N 0 2 318.267 0.123 20 0 DCADLN COC[C@H](OC)C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001429480712 942192449 /nfs/dbraw/zinc/19/24/49/942192449.db2.gz UKZPYJUERQIWOZ-YUMQZZPRSA-N 0 2 318.267 0.123 20 0 DCADLN CCc1nn(C)cc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483350780 942290851 /nfs/dbraw/zinc/29/08/51/942290851.db2.gz IAWPYOKQGPOBPO-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN CCc1nn(C)cc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483350780 942290853 /nfs/dbraw/zinc/29/08/53/942290853.db2.gz IAWPYOKQGPOBPO-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN Cc1nonc1CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001483866104 942990765 /nfs/dbraw/zinc/99/07/65/942990765.db2.gz YICOUJFQNAVURU-VIFPVBQESA-N 0 2 310.251 0.921 20 0 DCADLN Cc1nonc1CN1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001483866104 942990770 /nfs/dbraw/zinc/99/07/70/942990770.db2.gz YICOUJFQNAVURU-VIFPVBQESA-N 0 2 310.251 0.921 20 0 DCADLN Cc1nonc1CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001483866103 942991006 /nfs/dbraw/zinc/99/10/06/942991006.db2.gz YICOUJFQNAVURU-SECBINFHSA-N 0 2 310.251 0.921 20 0 DCADLN Cc1nonc1CN1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001483866103 942991009 /nfs/dbraw/zinc/99/10/09/942991009.db2.gz YICOUJFQNAVURU-SECBINFHSA-N 0 2 310.251 0.921 20 0 DCADLN CC(C)=CC(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056880734 943465595 /nfs/dbraw/zinc/46/55/95/943465595.db2.gz QTNNYNKFWFJGTJ-JTQLQIEISA-N 0 2 314.279 0.790 20 0 DCADLN CC(C)=CC(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001056880734 943465602 /nfs/dbraw/zinc/46/56/02/943465602.db2.gz QTNNYNKFWFJGTJ-JTQLQIEISA-N 0 2 314.279 0.790 20 0 DCADLN Cc1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)ncn1 ZINC001413662343 943556170 /nfs/dbraw/zinc/55/61/70/943556170.db2.gz JEUUTDOJMRPHJO-UHFFFAOYSA-N 0 2 303.322 0.609 20 0 DCADLN Cc1cc(O)cc(=O)n1CC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001413767843 943675511 /nfs/dbraw/zinc/67/55/11/943675511.db2.gz VCQWRBYUMHMKGI-VIFPVBQESA-N 0 2 306.322 0.179 20 0 DCADLN CO[C@H](C)CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491241552 943865512 /nfs/dbraw/zinc/86/55/12/943865512.db2.gz BNSKLFROENLRFZ-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CO[C@H](C)CCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491241552 943865520 /nfs/dbraw/zinc/86/55/20/943865520.db2.gz BNSKLFROENLRFZ-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@H](C(=O)NC1CCCC1)[N@H+](C)[C@@H](C)CNC(=O)c1nnc[nH]1 ZINC001485366183 943879946 /nfs/dbraw/zinc/87/99/46/943879946.db2.gz RACPZJTUZGPOCN-WDEREUQCSA-N 0 2 322.413 0.302 20 0 DCADLN C[C@H](C(=O)NC1CCCC1)[N@@H+](C)[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001485366183 943879952 /nfs/dbraw/zinc/87/99/52/943879952.db2.gz RACPZJTUZGPOCN-WDEREUQCSA-N 0 2 322.413 0.302 20 0 DCADLN C[C@H](C(=O)NC1CCCC1)[N@H+](C)[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001485366183 943879957 /nfs/dbraw/zinc/87/99/57/943879957.db2.gz RACPZJTUZGPOCN-WDEREUQCSA-N 0 2 322.413 0.302 20 0 DCADLN Cc1nc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001485386275 943913852 /nfs/dbraw/zinc/91/38/52/943913852.db2.gz FAFMIJWOXSZMFK-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001485386275 943913856 /nfs/dbraw/zinc/91/38/56/943913856.db2.gz FAFMIJWOXSZMFK-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN O=C(CCc1cnn[nH]1)NC1CCC([NH2+]Cc2cnon2)CC1 ZINC001491363834 943938597 /nfs/dbraw/zinc/93/85/97/943938597.db2.gz GDYWOYWWJYTCIV-UHFFFAOYSA-N 0 2 319.369 0.338 20 0 DCADLN C[C@@H](CCC[NH2+]Cc1nccn1C)NC(=O)[C@H]1C[N@@H+](C)CCO1 ZINC001485715838 944095380 /nfs/dbraw/zinc/09/53/80/944095380.db2.gz HQBQFEOZWOXJOF-UONOGXRCSA-N 0 2 323.441 0.125 20 0 DCADLN O=C([O-])[C@H]1CCN(C[C@H](O)C[NH+]2CCOCC2)c2ccccc21 ZINC000323951410 971064721 /nfs/dbraw/zinc/06/47/21/971064721.db2.gz DBMCXQNOLYLPIT-HIFRSBDPSA-N 0 2 320.389 0.758 20 0 DCADLN NC(=O)c1[nH]nc2c1CCN(C(=O)c1cc(F)c(O)cc1F)C2 ZINC001276858735 944330599 /nfs/dbraw/zinc/33/05/99/944330599.db2.gz NELJWDPBJHVDBJ-UHFFFAOYSA-N 0 2 322.271 0.691 20 0 DCADLN COCCN(CCNC(=O)[C@H]1CC=CCC1)Cc1n[nH]c(=O)[nH]1 ZINC001486426567 944873432 /nfs/dbraw/zinc/87/34/32/944873432.db2.gz RHYSIUGVKDKHKO-LBPRGKRZSA-N 0 2 323.397 0.431 20 0 DCADLN O=C(NCCNS(=O)(=O)CC(F)(F)F)c1ccncc1 ZINC001253210405 946095745 /nfs/dbraw/zinc/09/57/45/946095745.db2.gz MOVBBDKYPHAYEB-UHFFFAOYSA-N 0 2 311.285 0.293 20 0 DCADLN CCOC(=O)c1nsc(C(=O)OC)c1NS(=O)(=O)CC ZINC001253284665 946105040 /nfs/dbraw/zinc/10/50/40/946105040.db2.gz FWAWQPJZDJTMIV-UHFFFAOYSA-N 0 2 322.364 0.868 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001410319577 946181882 /nfs/dbraw/zinc/18/18/82/946181882.db2.gz KMDKJRMGECWQRB-POYBYMJQSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)[nH]n1 ZINC001410319577 946181887 /nfs/dbraw/zinc/18/18/87/946181887.db2.gz KMDKJRMGECWQRB-POYBYMJQSA-N 0 2 310.251 0.853 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc2nnc(C(F)F)n2c1 ZINC001254139800 946321048 /nfs/dbraw/zinc/32/10/48/946321048.db2.gz OFPCBIDTNJKODK-UHFFFAOYSA-N 0 2 310.220 0.848 20 0 DCADLN Cc1onc(-c2ccncc2)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001322641258 946756314 /nfs/dbraw/zinc/75/63/14/946756314.db2.gz ABOMFGLXEGAYLA-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN CC(=O)NCCCCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001322791781 946807214 /nfs/dbraw/zinc/80/72/14/946807214.db2.gz RIZBNFXWCOWWOB-GFCCVEGCSA-N 0 2 323.397 0.913 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc(F)c(C(=O)OC)c1F ZINC001259025811 946900643 /nfs/dbraw/zinc/90/06/43/946900643.db2.gz AOFQQMLEIVMZNP-UHFFFAOYSA-N 0 2 323.273 0.666 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(-n3nccn3)c2)cn1 ZINC001259826584 946991610 /nfs/dbraw/zinc/99/16/10/946991610.db2.gz BEKGETJNGCBPLN-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN O=C([N-]OC1CCOCC1)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001323245220 946993979 /nfs/dbraw/zinc/99/39/79/946993979.db2.gz ZHMAVKBXKPDTSF-UHFFFAOYSA-N 0 2 318.333 0.177 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc3c(c2)CNC(=O)C3)cn1 ZINC001259839315 946996839 /nfs/dbraw/zinc/99/68/39/946996839.db2.gz ALNSUOMFXLXDOE-UHFFFAOYSA-N 0 2 320.374 0.876 20 0 DCADLN COCCC[C@H](C)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001323334525 947039585 /nfs/dbraw/zinc/03/95/85/947039585.db2.gz HXZOBBMFSXZMTI-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CCOC(=O)[C@@H](Cc1ccccn1)NS(=O)(=O)C(F)F ZINC001259961293 947040897 /nfs/dbraw/zinc/04/08/97/947040897.db2.gz DPICNLPSMCYJBI-SECBINFHSA-N 0 2 308.306 0.698 20 0 DCADLN COCn1cc(NS(=O)(=O)c2cccc3nonc32)cn1 ZINC001260436042 947102294 /nfs/dbraw/zinc/10/22/94/947102294.db2.gz JKPDXUGEGGGVII-UHFFFAOYSA-N 0 2 309.307 0.824 20 0 DCADLN CS(=O)(=O)c1ccccc1S(=O)(=O)Nc1nnco1 ZINC001260514953 947105515 /nfs/dbraw/zinc/10/55/15/947105515.db2.gz RUYRJUKQCOFVRP-UHFFFAOYSA-N 0 2 303.321 0.274 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)Cc2ccccc2F)S1 ZINC001260629119 947130195 /nfs/dbraw/zinc/13/01/95/947130195.db2.gz PXGAAMQGBNCUAY-VIFPVBQESA-N 0 2 304.324 0.554 20 0 DCADLN CN1C(=O)NC2(CCN(C(=O)C(F)C(F)(F)F)CC2)C1=O ZINC001323907994 947248418 /nfs/dbraw/zinc/24/84/18/947248418.db2.gz WRYQTSUWEDYBTC-ZCFIWIBFSA-N 0 2 311.235 0.430 20 0 DCADLN CN1C(=O)NC2(CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)C1=O ZINC001323907994 947248428 /nfs/dbraw/zinc/24/84/28/947248428.db2.gz WRYQTSUWEDYBTC-ZCFIWIBFSA-N 0 2 311.235 0.430 20 0 DCADLN CS(=O)(=O)CC1(CC(=O)NCCc2n[nH]c(=S)o2)CC1 ZINC001324179396 947343188 /nfs/dbraw/zinc/34/31/88/947343188.db2.gz WACQGJIEJJOVKY-UHFFFAOYSA-N 0 2 319.408 0.232 20 0 DCADLN CN(C(=O)CCc1nnc[nH]1)C1CC([NH2+]Cc2nncs2)C1 ZINC001411230573 947516790 /nfs/dbraw/zinc/51/67/90/947516790.db2.gz ATZFXYGIWUVLDB-UHFFFAOYSA-N 0 2 321.410 0.368 20 0 DCADLN CCc1nc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)sc1C ZINC001324885050 947566272 /nfs/dbraw/zinc/56/62/72/947566272.db2.gz YYPZYRCDTNRPAF-UHFFFAOYSA-N 0 2 310.339 0.093 20 0 DCADLN Cn1nnc2c1ncnc2NCCNC(=O)C(F)C(F)(F)F ZINC001094182716 947801467 /nfs/dbraw/zinc/80/14/67/947801467.db2.gz KYGZZJLSXDYUNS-LURJTMIESA-N 0 2 321.238 0.187 20 0 DCADLN Cn1nnc2c1ncnc2NCCNC(=O)[C@H](F)C(F)(F)F ZINC001094182716 947801469 /nfs/dbraw/zinc/80/14/69/947801469.db2.gz KYGZZJLSXDYUNS-LURJTMIESA-N 0 2 321.238 0.187 20 0 DCADLN CC(C)(C)c1nc(CNC(=O)c2coc(-c3nn[nH]n3)c2)n[nH]1 ZINC001570917507 948560400 /nfs/dbraw/zinc/56/04/00/948560400.db2.gz AHEUZIQCSDILSP-UHFFFAOYSA-N 0 2 316.325 0.805 20 0 DCADLN C[C@H](NC(=O)C1C[C@@H]2COC[C@H](C1)C2=O)c1nn(C)cc1O ZINC001364393696 949180081 /nfs/dbraw/zinc/18/00/81/949180081.db2.gz BCKNTPUEPXEZPW-OFLUOSHYSA-N 0 2 307.350 0.545 20 0 DCADLN CC[C@@H]1C[N@H+](Cc2cc(C(=O)[O-])nn2C)C[C@@]2(CCOC2)O1 ZINC001589626915 949494549 /nfs/dbraw/zinc/49/45/49/949494549.db2.gz SVPPNBITMRWJQG-IUODEOHRSA-N 0 2 309.366 0.888 20 0 DCADLN CC[C@@H]1C[N@@H+](Cc2cc(C(=O)[O-])nn2C)C[C@@]2(CCOC2)O1 ZINC001589626915 949494565 /nfs/dbraw/zinc/49/45/65/949494565.db2.gz SVPPNBITMRWJQG-IUODEOHRSA-N 0 2 309.366 0.888 20 0 DCADLN COC[C@@H](CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)OC ZINC001364593337 949605581 /nfs/dbraw/zinc/60/55/81/949605581.db2.gz BNPQDPFJCLZLJM-NXEZZACHSA-N 0 2 313.358 0.061 20 0 DCADLN Cc1cc(C(=O)[O-])ccc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC000392265776 949660475 /nfs/dbraw/zinc/66/04/75/949660475.db2.gz WSLXOXCOAZNXSO-UHFFFAOYSA-N 0 2 310.375 0.843 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H](C(=O)[O-])C1CCCC1 ZINC001329962280 950015400 /nfs/dbraw/zinc/01/54/00/950015400.db2.gz CPIRFCOBVASUAQ-OCCSQVGLSA-N 0 2 311.426 0.630 20 0 DCADLN CCC[N@H+](CCN1CCCC1)CCS(=O)(=O)CC(=O)[O-] ZINC001589679611 950023041 /nfs/dbraw/zinc/02/30/41/950023041.db2.gz DSYOJSVDFFJKHN-UHFFFAOYSA-N 0 2 306.428 0.294 20 0 DCADLN CCC[N@@H+](CCN1CCCC1)CCS(=O)(=O)CC(=O)[O-] ZINC001589679611 950023061 /nfs/dbraw/zinc/02/30/61/950023061.db2.gz DSYOJSVDFFJKHN-UHFFFAOYSA-N 0 2 306.428 0.294 20 0 DCADLN CC[C@@](COC)(NS(=O)(=O)Cc1nocc1C)C(=O)OC ZINC001364858799 950091370 /nfs/dbraw/zinc/09/13/70/950091370.db2.gz WJBXWUKBOPSGIE-LBPRGKRZSA-N 0 2 320.367 0.371 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](CO)CCc2ccccc2)S1 ZINC001364878288 950123853 /nfs/dbraw/zinc/12/38/53/950123853.db2.gz KNUSZXIYJADIMA-NWDGAFQWSA-N 0 2 321.402 0.653 20 0 DCADLN O=C(C1CC(F)(F)C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001364934907 950209374 /nfs/dbraw/zinc/20/93/74/950209374.db2.gz ANGWKKYRNFKHCD-UHFFFAOYSA-N 0 2 301.297 0.200 20 0 DCADLN CC/C=C(\C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001281090983 950292854 /nfs/dbraw/zinc/29/28/54/950292854.db2.gz OHCSCKCSRIPOSL-DTHNXCEOSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(\C)C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001281090983 950292865 /nfs/dbraw/zinc/29/28/65/950292865.db2.gz OHCSCKCSRIPOSL-DTHNXCEOSA-N 0 2 314.279 0.836 20 0 DCADLN CCN(C)C(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001589713665 950352464 /nfs/dbraw/zinc/35/24/64/950352464.db2.gz DMVARXDPMIXPOJ-NSHDSACASA-N 0 2 315.370 0.130 20 0 DCADLN CCN(C)C(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001589713665 950352483 /nfs/dbraw/zinc/35/24/83/950352483.db2.gz DMVARXDPMIXPOJ-NSHDSACASA-N 0 2 315.370 0.130 20 0 DCADLN C[C@@]1(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCOC1 ZINC001365340581 950993932 /nfs/dbraw/zinc/99/39/32/950993932.db2.gz KSURMIJNVHLEEG-YGRLFVJLSA-N 0 2 309.370 0.826 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C(=O)O1 ZINC001365433116 951123875 /nfs/dbraw/zinc/12/38/75/951123875.db2.gz STGCIQGNNJCYKU-IWSPIJDZSA-N 0 2 309.326 0.103 20 0 DCADLN COCc1nc(CNS(=O)(=O)c2cc(O)cc(F)c2)n[nH]1 ZINC001365435261 951125398 /nfs/dbraw/zinc/12/53/98/951125398.db2.gz OBBGMVYMAFWYHK-UHFFFAOYSA-N 0 2 316.314 0.274 20 0 DCADLN CCCN(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001365671004 951412786 /nfs/dbraw/zinc/41/27/86/951412786.db2.gz STILDTAQILHHBX-UHFFFAOYSA-N 0 2 315.399 0.433 20 0 DCADLN CN1C(=O)C[C@]2(CCC[N@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001274569431 951706341 /nfs/dbraw/zinc/70/63/41/951706341.db2.gz AGZORMGIPKQFBH-INIZCTEOSA-N 0 2 302.378 0.869 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@@]2(C)CCC(=O)N2)cn1 ZINC001412207475 952693978 /nfs/dbraw/zinc/69/39/78/952693978.db2.gz UEORAMOJBMYCAW-CQSZACIVSA-N 0 2 302.338 0.209 20 0 DCADLN C[C@H](O)CCN(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412639023 952959184 /nfs/dbraw/zinc/95/91/84/952959184.db2.gz XICLDJGRDDMPKS-VIFPVBQESA-N 0 2 306.322 0.109 20 0 DCADLN Cc1ccsc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412682898 952990252 /nfs/dbraw/zinc/99/02/52/952990252.db2.gz CTTALWDJQVXBLU-UHFFFAOYSA-N 0 2 314.392 0.649 20 0 DCADLN C[C@@H]1CCC[C@]1(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412681811 952990463 /nfs/dbraw/zinc/99/04/63/952990463.db2.gz AAXMXQZHGVMQRI-RNCFNFMXSA-N 0 2 314.411 0.792 20 0 DCADLN CCNC(=O)CCC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001412704031 953007788 /nfs/dbraw/zinc/00/77/88/953007788.db2.gz UHFNDHBPZJCOCH-UHFFFAOYSA-N 0 2 310.354 0.113 20 0 DCADLN C[C@H]1OCC[C@]1(C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412817435 953110708 /nfs/dbraw/zinc/11/07/08/953110708.db2.gz QYZNJDDBKBBYDH-PSLIRLAXSA-N 0 2 318.333 0.564 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C(=O)[O-])n[nH]1 ZINC001589288152 953582772 /nfs/dbraw/zinc/58/27/72/953582772.db2.gz BNCXFAFBXWBMGC-UWVGGRQHSA-N 0 2 308.338 0.043 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)C[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001589293647 953622285 /nfs/dbraw/zinc/62/22/85/953622285.db2.gz PDIFLJDEBDYZLJ-NXEZZACHSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)C[N@H+]1CC(=O)NCC(=O)[O-] ZINC001589293647 953622294 /nfs/dbraw/zinc/62/22/94/953622294.db2.gz PDIFLJDEBDYZLJ-NXEZZACHSA-N 0 2 315.370 0.175 20 0 DCADLN O=C(NCCNC(=O)[C@]1(F)CCOC1)C(F)C(F)(F)F ZINC001283056714 953961864 /nfs/dbraw/zinc/96/18/64/953961864.db2.gz FDUPYHFHJITJBG-RCOVLWMOSA-N 0 2 304.215 0.248 20 0 DCADLN O=C(NCCNC(=O)[C@]1(F)CCOC1)[C@H](F)C(F)(F)F ZINC001283056714 953961878 /nfs/dbraw/zinc/96/18/78/953961878.db2.gz FDUPYHFHJITJBG-RCOVLWMOSA-N 0 2 304.215 0.248 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)ncn1 ZINC001283226677 954162481 /nfs/dbraw/zinc/16/24/81/954162481.db2.gz PDWFHPPAESJIIJ-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001283226677 954162491 /nfs/dbraw/zinc/16/24/91/954162491.db2.gz PDWFHPPAESJIIJ-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)Cc1nncs1 ZINC001366532364 954359221 /nfs/dbraw/zinc/35/92/21/954359221.db2.gz UHASAXJTQFCJDG-SSDOTTSWSA-N 0 2 300.281 0.987 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1nncs1 ZINC001366532364 954359235 /nfs/dbraw/zinc/35/92/35/954359235.db2.gz UHASAXJTQFCJDG-SSDOTTSWSA-N 0 2 300.281 0.987 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])CCO1 ZINC001589398988 954394393 /nfs/dbraw/zinc/39/43/93/954394393.db2.gz BEIOPXCOEDHKMC-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])CCO1 ZINC001589398988 954394407 /nfs/dbraw/zinc/39/44/07/954394407.db2.gz BEIOPXCOEDHKMC-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CC[C@H](C(=O)[O-])[C@H](C)C2)CCO1 ZINC001589399487 954402633 /nfs/dbraw/zinc/40/26/33/954402633.db2.gz GERJPQIVNUUPCC-UPJWGTAASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CC[C@H](C(=O)[O-])[C@H](C)C2)CCO1 ZINC001589399487 954402636 /nfs/dbraw/zinc/40/26/36/954402636.db2.gz GERJPQIVNUUPCC-UPJWGTAASA-N 0 2 313.398 0.459 20 0 DCADLN O=C([O-])C1=NO[C@H](C(=O)N2CCC(Cc3c[nH]c[nH+]3)CC2)C1 ZINC001594885129 954457079 /nfs/dbraw/zinc/45/70/79/954457079.db2.gz GNYLDSIGEIRKEM-LBPRGKRZSA-N 0 2 306.322 0.420 20 0 DCADLN C[C@@](O)(CC(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001348810675 954477819 /nfs/dbraw/zinc/47/78/19/954477819.db2.gz KZKGXNLBLBGQIW-GXSJLCMTSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@@](O)(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001348810675 954477835 /nfs/dbraw/zinc/47/78/35/954477835.db2.gz KZKGXNLBLBGQIW-GXSJLCMTSA-N 0 2 314.279 0.670 20 0 DCADLN O=C([O-])C1=NO[C@@H](C(=O)N2CC[NH+](Cc3cccs3)CC2)C1 ZINC001594889605 954504299 /nfs/dbraw/zinc/50/42/99/954504299.db2.gz IYWPRIVRNGCHPB-GFCCVEGCSA-N 0 2 323.374 0.622 20 0 DCADLN C[C@@]1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCOC1 ZINC001349163961 954623739 /nfs/dbraw/zinc/62/37/39/954623739.db2.gz VLBWEBRRFBQEAO-GMSGAONNSA-N 0 2 300.252 0.546 20 0 DCADLN C[C@@]1(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)CCOC1 ZINC001349163961 954623743 /nfs/dbraw/zinc/62/37/43/954623743.db2.gz VLBWEBRRFBQEAO-GMSGAONNSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NC[C@@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1)C(F)F ZINC001366793639 954850931 /nfs/dbraw/zinc/85/09/31/954850931.db2.gz TZGBLOJLKFDPEO-SECBINFHSA-N 0 2 311.292 0.723 20 0 DCADLN O=C(NC[C@@H](NCc1n[nH]c(=O)[nH]1)c1ccccc1)C(F)F ZINC001366793639 954850944 /nfs/dbraw/zinc/85/09/44/954850944.db2.gz TZGBLOJLKFDPEO-SECBINFHSA-N 0 2 311.292 0.723 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)NCC1(C(=O)[O-])CCC1 ZINC001589515336 955065320 /nfs/dbraw/zinc/06/53/20/955065320.db2.gz YWXISWVPWZWWMZ-LBPRGKRZSA-N 0 2 313.398 0.651 20 0 DCADLN C[C@@H]1CCC[C@@H](OCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001366948162 955104371 /nfs/dbraw/zinc/10/43/71/955104371.db2.gz VFACJMCUKJEGIG-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001285259656 955542196 /nfs/dbraw/zinc/54/21/96/955542196.db2.gz BMUMNPLGKZLHCG-RQJHMYQMSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001285259656 955542207 /nfs/dbraw/zinc/54/22/07/955542207.db2.gz BMUMNPLGKZLHCG-RQJHMYQMSA-N 0 2 315.267 0.019 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594558634 955789022 /nfs/dbraw/zinc/78/90/22/955789022.db2.gz QHVDRNGTHCNEJY-ULKWEWGCSA-N 0 2 321.377 0.881 20 0 DCADLN CCC(=O)N[C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001416777899 955812565 /nfs/dbraw/zinc/81/25/65/955812565.db2.gz ITRABLAMMTYRHY-SECBINFHSA-N 0 2 304.354 0.455 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)[NH2+]Cc2nc(C(C)C)no2)c1[O-] ZINC001480446636 955927681 /nfs/dbraw/zinc/92/76/81/955927681.db2.gz IRTFENRUVKRGBT-MRVPVSSYSA-N 0 2 322.369 0.838 20 0 DCADLN CO[C@H]1CCN(C(=O)c2cn(-c3cc[nH+]c(C(=O)[O-])c3)nn2)C1 ZINC001593950925 956382263 /nfs/dbraw/zinc/38/22/63/956382263.db2.gz FYKBWHMJHWTQRR-JTQLQIEISA-N 0 2 317.305 0.221 20 0 DCADLN CC[C@@H](OC)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416071718 956389200 /nfs/dbraw/zinc/38/92/00/956389200.db2.gz KBWWGEGUSPHJKO-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN CC[C@@H](OC)C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001416071718 956389213 /nfs/dbraw/zinc/38/92/13/956389213.db2.gz KBWWGEGUSPHJKO-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCC(O)(COCCO)CC1 ZINC001333980432 956862027 /nfs/dbraw/zinc/86/20/27/956862027.db2.gz RTMMGSQWGVPWTA-QMMMGPOBSA-N 0 2 303.252 0.249 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCC(O)(COCCO)CC1 ZINC001333980432 956862050 /nfs/dbraw/zinc/86/20/50/956862050.db2.gz RTMMGSQWGVPWTA-QMMMGPOBSA-N 0 2 303.252 0.249 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)C1=COCCO1 ZINC001292470662 956969311 /nfs/dbraw/zinc/96/93/11/956969311.db2.gz CLMXOAMDZIBFGS-SSDOTTSWSA-N 0 2 300.208 0.007 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)C1=COCCO1 ZINC001292470662 956969320 /nfs/dbraw/zinc/96/93/20/956969320.db2.gz CLMXOAMDZIBFGS-SSDOTTSWSA-N 0 2 300.208 0.007 20 0 DCADLN COCCOc1ccc(CNC(=O)C[N@H+](C)CC(=O)[O-])cc1 ZINC001594020598 957079747 /nfs/dbraw/zinc/07/97/47/957079747.db2.gz YRWGSMAIHNEARS-UHFFFAOYSA-N 0 2 310.350 0.344 20 0 DCADLN COCCOc1ccc(CNC(=O)C[N@@H+](C)CC(=O)[O-])cc1 ZINC001594020598 957079756 /nfs/dbraw/zinc/07/97/56/957079756.db2.gz YRWGSMAIHNEARS-UHFFFAOYSA-N 0 2 310.350 0.344 20 0 DCADLN CCc1ccccc1-n1cc(C(=O)NCc2n[nH]c(=O)[nH]2)nn1 ZINC001294475351 957534499 /nfs/dbraw/zinc/53/44/99/957534499.db2.gz IMVIVGYVTKMYIB-UHFFFAOYSA-N 0 2 313.321 0.583 20 0 DCADLN C/C=C/[C@H](O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001296664378 958031778 /nfs/dbraw/zinc/03/17/78/958031778.db2.gz VSZJQMHMSUFBEI-QSLRECBCSA-N 0 2 302.334 0.937 20 0 DCADLN CO[C@H](C(=O)NCCc1n[nH]c(=S)o1)C(=O)OC(C)(C)C ZINC001296734060 958042542 /nfs/dbraw/zinc/04/25/42/958042542.db2.gz KIRSIQNWPIKENK-MRVPVSSYSA-N 0 2 317.367 0.374 20 0 DCADLN COCc1ccnc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC001361547287 958071101 /nfs/dbraw/zinc/07/11/01/958071101.db2.gz PKGJAHKTSNUDFX-UHFFFAOYSA-N 0 2 314.305 0.251 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCSCC1 ZINC001361856674 958411655 /nfs/dbraw/zinc/41/16/55/958411655.db2.gz SWYLRUKSCYPTHE-UHFFFAOYSA-N 0 2 306.347 0.455 20 0 DCADLN CC[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001361872035 958433285 /nfs/dbraw/zinc/43/32/85/958433285.db2.gz TZVBSTLXYAZKMB-GFCCVEGCSA-N 0 2 318.333 0.517 20 0 DCADLN C/C(=C/C(=O)N1C[C@H](C)C[C@@H](C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001588480653 958589668 /nfs/dbraw/zinc/58/96/68/958589668.db2.gz SOEDYEDYGQTNTC-LRZCHELBSA-N 0 2 310.394 0.834 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cncc(F)c1 ZINC001367792824 958595455 /nfs/dbraw/zinc/59/54/55/958595455.db2.gz IVKODXMPXXQIBH-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cncc(F)c1 ZINC001367792824 958595467 /nfs/dbraw/zinc/59/54/67/958595467.db2.gz IVKODXMPXXQIBH-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN CC[N@@H+]1CCc2nc(NC(=O)Cn3c(=O)[n-][nH]c3=O)sc2C1 ZINC001362030760 958631363 /nfs/dbraw/zinc/63/13/63/958631363.db2.gz OMNZQIHAJDPOMC-UHFFFAOYSA-N 0 2 324.366 0.163 20 0 DCADLN CC[N@H+]1CCc2nc(NC(=O)Cn3c(=O)[n-][nH]c3=O)sc2C1 ZINC001362030760 958631371 /nfs/dbraw/zinc/63/13/71/958631371.db2.gz OMNZQIHAJDPOMC-UHFFFAOYSA-N 0 2 324.366 0.163 20 0 DCADLN O=C(Nc1ccc2nn[nH]c2c1)C1CC2(C1)CS(=O)(=O)C2 ZINC001362036419 958638266 /nfs/dbraw/zinc/63/82/66/958638266.db2.gz UEYLKFRAJDVEIO-UHFFFAOYSA-N 0 2 306.347 0.721 20 0 DCADLN O=C(c1ccc(Cl)c(O)c1)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001362120999 958774278 /nfs/dbraw/zinc/77/42/78/958774278.db2.gz CESBDJUJPWEMDQ-SNVBAGLBSA-N 0 2 309.713 0.772 20 0 DCADLN O=C(c1[nH]ncc1C(F)(F)F)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001362128348 958788575 /nfs/dbraw/zinc/78/85/75/958788575.db2.gz YRUBTUWAXZQJAZ-ZCFIWIBFSA-N 0 2 317.231 0.155 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnsn1 ZINC001376778035 958813039 /nfs/dbraw/zinc/81/30/39/958813039.db2.gz IXACQYNCUVPBOB-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnsn1 ZINC001376778035 958813055 /nfs/dbraw/zinc/81/30/55/958813055.db2.gz IXACQYNCUVPBOB-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001376826108 958927157 /nfs/dbraw/zinc/92/71/57/958927157.db2.gz WVMUGMUCVCWYKD-HZGVNTEJSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001376826108 958927169 /nfs/dbraw/zinc/92/71/69/958927169.db2.gz WVMUGMUCVCWYKD-HZGVNTEJSA-N 0 2 310.251 0.474 20 0 DCADLN COC[C@@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362323192 959142682 /nfs/dbraw/zinc/14/26/82/959142682.db2.gz BPRDLGWXVFDPLV-SNVBAGLBSA-N 0 2 318.333 0.375 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N[C@H](C(=O)[O-])c3ccnn3C)ccn12 ZINC001594432349 959189871 /nfs/dbraw/zinc/18/98/71/959189871.db2.gz NMDLWYSTLVZEKY-ZDUSSCGKSA-N 0 2 313.317 0.932 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368150444 959332380 /nfs/dbraw/zinc/33/23/80/959332380.db2.gz CLPNVRXHTOSBDH-BONVTDFDSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368150444 959332394 /nfs/dbraw/zinc/33/23/94/959332394.db2.gz CLPNVRXHTOSBDH-BONVTDFDSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)c1nc(C(=O)N2CCC(O)(c3nn[nH]n3)CC2)c[nH]1 ZINC001362439458 959358692 /nfs/dbraw/zinc/35/86/92/959358692.db2.gz XGUIPBOCOYDUPI-UHFFFAOYSA-N 0 2 305.342 0.170 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001368181782 959417317 /nfs/dbraw/zinc/41/73/17/959417317.db2.gz RWXCMCGINFQHBJ-PELKAZGASA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001368181782 959417322 /nfs/dbraw/zinc/41/73/22/959417322.db2.gz RWXCMCGINFQHBJ-PELKAZGASA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001368181782 959417329 /nfs/dbraw/zinc/41/73/29/959417329.db2.gz RWXCMCGINFQHBJ-PELKAZGASA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)(C(=O)[O-])C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC001588618477 959530905 /nfs/dbraw/zinc/53/09/05/959530905.db2.gz LETAAJJORSYDMK-UHFFFAOYSA-N 0 2 310.354 0.265 20 0 DCADLN C[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@H]1O ZINC001362576545 959632242 /nfs/dbraw/zinc/63/22/42/959632242.db2.gz LJISHLZBGCCJQU-JOYOIKCWSA-N 0 2 318.333 0.109 20 0 DCADLN C[N@H+](CCNC(=O)c1ccnn1C1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001492256687 959760723 /nfs/dbraw/zinc/76/07/23/959760723.db2.gz FJSQCAGTUPCZRI-UHFFFAOYSA-N 0 2 319.369 0.294 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccnn1C1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001492256687 959760742 /nfs/dbraw/zinc/76/07/42/959760742.db2.gz FJSQCAGTUPCZRI-UHFFFAOYSA-N 0 2 319.369 0.294 20 0 DCADLN O=C(CC1CCC1)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001368422869 959839047 /nfs/dbraw/zinc/83/90/47/959839047.db2.gz ARFBDSUVWAFNMY-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN CCC(CC)C[N@@H+]1CCOC[C@@H]1CNC(=O)CCc1nc[nH]n1 ZINC001368438393 959862120 /nfs/dbraw/zinc/86/21/20/959862120.db2.gz YLFHEOSPITXZRH-AWEZNQCLSA-N 0 2 323.441 0.991 20 0 DCADLN CCC(CC)C[N@H+]1CCOC[C@@H]1CNC(=O)CCc1nc[nH]n1 ZINC001368438393 959862127 /nfs/dbraw/zinc/86/21/27/959862127.db2.gz YLFHEOSPITXZRH-AWEZNQCLSA-N 0 2 323.441 0.991 20 0 DCADLN CN(CCNC(=O)C[C@@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001495926232 959873418 /nfs/dbraw/zinc/87/34/18/959873418.db2.gz KZTTXYCNJQQZNY-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)C[C@@H]1CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001495926232 959873431 /nfs/dbraw/zinc/87/34/31/959873431.db2.gz KZTTXYCNJQQZNY-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc2c(cn1)OCCC2 ZINC001362719820 959884096 /nfs/dbraw/zinc/88/40/96/959884096.db2.gz NPFGONBHBSVFDC-UHFFFAOYSA-N 0 2 302.290 0.538 20 0 DCADLN Cn1nc(Cl)c(C(=O)NCC2=NC(=O)CC(=O)N2)c1Cl ZINC001362720843 959887133 /nfs/dbraw/zinc/88/71/33/959887133.db2.gz CZJBQAOEBLTFTE-UHFFFAOYSA-N 0 2 318.120 0.858 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc(C(F)(F)F)ncn1 ZINC001362721874 959890682 /nfs/dbraw/zinc/89/06/82/959890682.db2.gz HKGKWZPRFALQFQ-UHFFFAOYSA-N 0 2 315.211 0.627 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cc(C(F)(F)F)ncn1 ZINC001362721874 959890694 /nfs/dbraw/zinc/89/06/94/959890694.db2.gz HKGKWZPRFALQFQ-UHFFFAOYSA-N 0 2 315.211 0.627 20 0 DCADLN Cn1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)ccc1=O ZINC001362743808 959920235 /nfs/dbraw/zinc/92/02/35/959920235.db2.gz UUPSBPHVAGMGJR-VIFPVBQESA-N 0 2 303.322 0.229 20 0 DCADLN Cc1nnc(CC(=O)N2CCC(N3CC[NH+](C)CC3)CC2)s1 ZINC001362793479 959995294 /nfs/dbraw/zinc/99/52/94/959995294.db2.gz ZGQPYCPVGZHDGI-UHFFFAOYSA-N 0 2 323.466 0.627 20 0 DCADLN CC(C)(C)OCC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377378105 959997888 /nfs/dbraw/zinc/99/78/88/959997888.db2.gz GGOKQLLJWQSMMO-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)(C)OCC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377378105 959997891 /nfs/dbraw/zinc/99/78/91/959997891.db2.gz GGOKQLLJWQSMMO-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN CON1CCC(N(C)C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC001362810930 960023729 /nfs/dbraw/zinc/02/37/29/960023729.db2.gz JSJZWUVIDKTFOW-SECBINFHSA-N 0 2 300.384 0.027 20 0 DCADLN O=C(NCc1[nH]c2c([nH+]1)CCCC2)c1c[n-]n2c1nccc2=O ZINC001304057181 960074846 /nfs/dbraw/zinc/07/48/46/960074846.db2.gz ZQKKMRNBDGWLHH-UHFFFAOYSA-N 0 2 312.333 0.555 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N[C@H]1CC[NH+](Cc2cn(C)nn2)[C@H](C)C1 ZINC001368696236 960165035 /nfs/dbraw/zinc/16/50/35/960165035.db2.gz NJMCGQJEQAMDTG-KGLIPLIRSA-N 0 2 322.457 0.624 20 0 DCADLN CC(C)[C@@H]1C[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362988291 960262209 /nfs/dbraw/zinc/26/22/09/960262209.db2.gz RRPPFRKEGDEDLB-DTWKUNHWSA-N 0 2 300.384 0.258 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CCc2ccccc2)[nH]1 ZINC001362988348 960262599 /nfs/dbraw/zinc/26/25/99/960262599.db2.gz SLACNBZYWIDCQF-UHFFFAOYSA-N 0 2 322.390 0.598 20 0 DCADLN CSCCONC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001312634870 960280426 /nfs/dbraw/zinc/28/04/26/960280426.db2.gz BBHIFTKCWFNJCK-QMMMGPOBSA-N 0 2 301.372 0.694 20 0 DCADLN CCCN(C(=O)[C@H]1C[N@@H+](C)CCO1)[C@@H]1CC[NH+](CCCF)C1 ZINC001377651424 960390418 /nfs/dbraw/zinc/39/04/18/960390418.db2.gz WYNPGMXZCPDTNF-HUUCEWRRSA-N 0 2 315.433 0.990 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)N2CCOC[C@@H]2c2nn[nH]n2)C1 ZINC001363115387 960418266 /nfs/dbraw/zinc/41/82/66/960418266.db2.gz AUQAFFYDVMRLOQ-FBIMIBRVSA-N 0 2 317.353 0.189 20 0 DCADLN O=C(Cc1ccc(O)c(Cl)c1)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001363117413 960422660 /nfs/dbraw/zinc/42/26/60/960422660.db2.gz WNMASFFSNKEHGT-SNVBAGLBSA-N 0 2 323.740 0.701 20 0 DCADLN COc1cc(C(=O)Nc2csc(S(N)(=O)=O)c2)on1 ZINC001363184147 960552645 /nfs/dbraw/zinc/55/26/45/960552645.db2.gz HLOBLMHRFMUYET-UHFFFAOYSA-N 0 2 303.321 0.644 20 0 DCADLN C[C@@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)Cc2cncnc21 ZINC001363221029 960634137 /nfs/dbraw/zinc/63/41/37/960634137.db2.gz IDHRXJJVFXYQRT-APPZFPTMSA-N 0 2 305.363 0.479 20 0 DCADLN O=C(N[C@@H]1CCCOC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363382704 961035216 /nfs/dbraw/zinc/03/52/16/961035216.db2.gz KEDGCMNIQCDBIZ-SNVBAGLBSA-N 0 2 304.306 0.175 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc2c(c1)COC(=O)N2 ZINC001363482595 961245495 /nfs/dbraw/zinc/24/54/95/961245495.db2.gz ASCSXCXXKNRZRM-UHFFFAOYSA-N 0 2 316.273 0.880 20 0 DCADLN C[C@@H]1CNc2c(C(=O)NCc3nc(O)cc(=O)[nH]3)cnn2C1 ZINC001363485715 961257853 /nfs/dbraw/zinc/25/78/53/961257853.db2.gz MYXGIACZFQVMEA-SSDOTTSWSA-N 0 2 304.310 0.076 20 0 DCADLN Cn1cc([C@@H]2CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@@H]2C(N)=O)cn1 ZINC001549038475 1013241498 /nfs/dbraw/zinc/24/14/98/1013241498.db2.gz XQBFMYTUODVELA-RYUDHWBXSA-N 0 2 315.333 0.219 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)N2CC[C@H](c3nn[nH]n3)C2)C1 ZINC001363523734 961356820 /nfs/dbraw/zinc/35/68/20/961356820.db2.gz LIQHXELZNMINSU-YWVKMMECSA-N 0 2 301.354 0.605 20 0 DCADLN Cc1nonc1C[NH2+]C[C@@H](C)N(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001378760532 961424134 /nfs/dbraw/zinc/42/41/34/961424134.db2.gz UIGXYIOVPKGZKA-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378101618 961429639 /nfs/dbraw/zinc/42/96/39/961429639.db2.gz QIMKYOQAULNFJG-AXFHLTTASA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378101618 961429642 /nfs/dbraw/zinc/42/96/42/961429642.db2.gz QIMKYOQAULNFJG-AXFHLTTASA-N 0 2 311.386 0.262 20 0 DCADLN CCCC[C@](C)(F)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363625745 961570055 /nfs/dbraw/zinc/57/00/55/961570055.db2.gz BKTSBZYFWPFPRX-LBPRGKRZSA-N 0 2 320.390 0.884 20 0 DCADLN C[C@H]([C@@H](C)C(=O)N[C@@H](C)c1nn(C)cc1O)S(C)(=O)=O ZINC001363664173 961651654 /nfs/dbraw/zinc/65/16/54/961651654.db2.gz JRGNDOMJNVRLCX-HRDYMLBCSA-N 0 2 303.384 0.372 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H](C)n1cccc1 ZINC001379767673 961983158 /nfs/dbraw/zinc/98/31/58/961983158.db2.gz KJOASYIGHSLLIS-NEPJUHHUSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H](C)n1cccc1 ZINC001379767673 961983160 /nfs/dbraw/zinc/98/31/60/961983160.db2.gz KJOASYIGHSLLIS-NEPJUHHUSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@@](Cn1cccn1)(NC(=O)c1cc(F)c(O)c(F)c1)C(N)=O ZINC001363893085 962093821 /nfs/dbraw/zinc/09/38/21/962093821.db2.gz BLQVXZOCVITKGW-AWEZNQCLSA-N 0 2 324.287 0.541 20 0 DCADLN COC[C@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001379365090 962740871 /nfs/dbraw/zinc/74/08/71/962740871.db2.gz JPZWIFQJVCTTFD-CBAPKCEASA-N 0 2 314.279 0.839 20 0 DCADLN COC[C@H](C)C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001379365090 962740893 /nfs/dbraw/zinc/74/08/93/962740893.db2.gz JPZWIFQJVCTTFD-CBAPKCEASA-N 0 2 314.279 0.839 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C=Cc1ccc(O)cc1 ZINC000314105189 963712489 /nfs/dbraw/zinc/71/24/89/963712489.db2.gz JLCHYZKXYMKAEG-RJNRQISESA-N 0 2 301.302 0.941 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C=Cc1ccc(O)cc1 ZINC000314105189 963712502 /nfs/dbraw/zinc/71/25/02/963712502.db2.gz JLCHYZKXYMKAEG-RJNRQISESA-N 0 2 301.302 0.941 20 0 DCADLN COCc1cccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000314127964 963715028 /nfs/dbraw/zinc/71/50/28/963715028.db2.gz MZCBBEAKJJTOFX-CYBMUJFWSA-N 0 2 303.318 0.982 20 0 DCADLN COCc1cccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000314127964 963715034 /nfs/dbraw/zinc/71/50/34/963715034.db2.gz MZCBBEAKJJTOFX-CYBMUJFWSA-N 0 2 303.318 0.982 20 0 DCADLN CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1CC ZINC001375607925 964603908 /nfs/dbraw/zinc/60/39/08/964603908.db2.gz YIPLVGGIDBEANH-OUAUKWLOSA-N 0 2 311.386 0.404 20 0 DCADLN CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1CC ZINC001375607925 964603913 /nfs/dbraw/zinc/60/39/13/964603913.db2.gz YIPLVGGIDBEANH-OUAUKWLOSA-N 0 2 311.386 0.404 20 0 DCADLN CN(C(=O)CCc1ccoc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001375664446 964684180 /nfs/dbraw/zinc/68/41/80/964684180.db2.gz AWUVFBXALBLZGQ-UHFFFAOYSA-N 0 2 305.338 0.379 20 0 DCADLN CCN(CCNC(=O)c1ccncn1)C(=O)C(F)C(F)(F)F ZINC001373924463 964786640 /nfs/dbraw/zinc/78/66/40/964786640.db2.gz QFWFVQROSDHTNU-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1ccncn1)C(=O)[C@@H](F)C(F)(F)F ZINC001373924463 964786652 /nfs/dbraw/zinc/78/66/52/964786652.db2.gz QFWFVQROSDHTNU-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN COCCC1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001376055745 965143140 /nfs/dbraw/zinc/14/31/40/965143140.db2.gz DENDKBDBJHWZMD-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](C[C@@H]3C[C@]34CCOC4)CC2)c1[O-] ZINC001374278558 965214536 /nfs/dbraw/zinc/21/45/36/965214536.db2.gz AQKMZXDHORDCCU-LRDDRELGSA-N 0 2 320.393 0.608 20 0 DCADLN Cn1nnc(CN[C@@H](CNC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001376213595 965391101 /nfs/dbraw/zinc/39/11/01/965391101.db2.gz DWUCVRXJYVLPLB-CBAPKCEASA-N 0 2 324.282 0.095 20 0 DCADLN O=C(CC(F)(F)F)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369794443 965409898 /nfs/dbraw/zinc/40/98/98/965409898.db2.gz JVMYSVKPUUPVTR-SSDOTTSWSA-N 0 2 307.276 0.543 20 0 DCADLN CCc1oncc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374541685 965504199 /nfs/dbraw/zinc/50/41/99/965504199.db2.gz ZAHGFUIIAZMVNJ-UHFFFAOYSA-N 0 2 308.342 0.265 20 0 DCADLN CCc1oncc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374541685 965504207 /nfs/dbraw/zinc/50/42/07/965504207.db2.gz ZAHGFUIIAZMVNJ-UHFFFAOYSA-N 0 2 308.342 0.265 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2cnn(C)c2)C1)[NH+](C)C ZINC001370038707 965717950 /nfs/dbraw/zinc/71/79/50/965717950.db2.gz BFHHPTDWNRTFGV-GJZGRUSLSA-N 0 2 307.442 0.697 20 0 DCADLN CO[C@H](C)c1nc(C[NH2+]C[C@@H](C)NC(=O)c2nnc[nH]2)cs1 ZINC001374823376 965983621 /nfs/dbraw/zinc/98/36/21/965983621.db2.gz SDCPLSJCZLJMBW-RKDXNWHRSA-N 0 2 324.410 0.877 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001374845851 966022728 /nfs/dbraw/zinc/02/27/28/966022728.db2.gz HFDKAERWMCHNNL-VXNVDRBHSA-N 0 2 314.279 0.744 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374845851 966022738 /nfs/dbraw/zinc/02/27/38/966022738.db2.gz HFDKAERWMCHNNL-VXNVDRBHSA-N 0 2 314.279 0.744 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001370509686 966196933 /nfs/dbraw/zinc/19/69/33/966196933.db2.gz BMSMSDHCCWEFKB-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001370509686 966196944 /nfs/dbraw/zinc/19/69/44/966196944.db2.gz BMSMSDHCCWEFKB-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])NC(=O)Cc1[nH]cc[nH+]1 ZINC001375045205 966279340 /nfs/dbraw/zinc/27/93/40/966279340.db2.gz GQJCANNXYVSNHS-VIFPVBQESA-N 0 2 320.353 0.014 20 0 DCADLN CCn1nncc1C[N@H+](C)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001381503941 966470897 /nfs/dbraw/zinc/47/08/97/966470897.db2.gz ACQOIOVHOIUJHQ-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCn1nncc1C[N@@H+](C)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001381503941 966470904 /nfs/dbraw/zinc/47/09/04/966470904.db2.gz ACQOIOVHOIUJHQ-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN C[C@@H](CNC(=O)Cc1cccs1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001447991366 1013690401 /nfs/dbraw/zinc/69/04/01/1013690401.db2.gz SOUZHKLCVZQBCA-VIFPVBQESA-N 0 2 309.395 0.751 20 0 DCADLN C[C@@H](CNC(=O)Cc1cccs1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001447991366 1013690408 /nfs/dbraw/zinc/69/04/08/1013690408.db2.gz SOUZHKLCVZQBCA-VIFPVBQESA-N 0 2 309.395 0.751 20 0 DCADLN Cc1conc1C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001382501338 967449746 /nfs/dbraw/zinc/44/97/46/967449746.db2.gz GIMUKPMOLJRZJA-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN Cc1conc1C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001382501338 967449751 /nfs/dbraw/zinc/44/97/51/967449751.db2.gz GIMUKPMOLJRZJA-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nnnn1C ZINC001448034429 1013726099 /nfs/dbraw/zinc/72/60/99/1013726099.db2.gz IJGSQBMTVRLEQM-SVRRBLITSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1nnnn1C ZINC001448034429 1013726107 /nfs/dbraw/zinc/72/61/07/1013726107.db2.gz IJGSQBMTVRLEQM-SVRRBLITSA-N 0 2 312.271 0.047 20 0 DCADLN CCCC(=O)N(C)C[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001382896954 967731664 /nfs/dbraw/zinc/73/16/64/967731664.db2.gz ASUYNFGYGZXUGY-CBAPKCEASA-N 0 2 302.268 0.622 20 0 DCADLN CCCC(=O)N(C)C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001382896954 967731671 /nfs/dbraw/zinc/73/16/71/967731671.db2.gz ASUYNFGYGZXUGY-CBAPKCEASA-N 0 2 302.268 0.622 20 0 DCADLN C[N@H+](CCNC(=O)COc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001372194147 967733611 /nfs/dbraw/zinc/73/36/11/967733611.db2.gz ZXQDIMAWKVOEDR-UHFFFAOYSA-N 0 2 305.338 0.137 20 0 DCADLN C[N@@H+](CCNC(=O)COc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001372194147 967733619 /nfs/dbraw/zinc/73/36/19/967733619.db2.gz ZXQDIMAWKVOEDR-UHFFFAOYSA-N 0 2 305.338 0.137 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001372564029 968125880 /nfs/dbraw/zinc/12/58/80/968125880.db2.gz LGQWZSFEXHDRKP-VPLCAKHXSA-N 0 2 310.207 0.347 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC001372564029 968125885 /nfs/dbraw/zinc/12/58/85/968125885.db2.gz LGQWZSFEXHDRKP-VPLCAKHXSA-N 0 2 310.207 0.347 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)NC(=O)C(F)C(F)(F)F ZINC001372749189 968320374 /nfs/dbraw/zinc/32/03/74/968320374.db2.gz AXLDLLWDUGAJTA-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001372749189 968320382 /nfs/dbraw/zinc/32/03/82/968320382.db2.gz AXLDLLWDUGAJTA-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)nn1 ZINC001372878496 968490546 /nfs/dbraw/zinc/49/05/46/968490546.db2.gz YZAKPZYQNXYECT-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001372878496 968490549 /nfs/dbraw/zinc/49/05/49/968490549.db2.gz YZAKPZYQNXYECT-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001372905765 968519700 /nfs/dbraw/zinc/51/97/00/968519700.db2.gz NIERLRHIEBLKJM-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001372905765 968519702 /nfs/dbraw/zinc/51/97/02/968519702.db2.gz NIERLRHIEBLKJM-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnc[nH]c1=O ZINC001372911379 968523326 /nfs/dbraw/zinc/52/33/26/968523326.db2.gz VJADKFZLPHDFPZ-IYSWYEEDSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnc[nH]c1=O ZINC001372911379 968523331 /nfs/dbraw/zinc/52/33/31/968523331.db2.gz VJADKFZLPHDFPZ-IYSWYEEDSA-N 0 2 324.234 0.317 20 0 DCADLN CO[C@H](C)C(=O)NC[C@@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001373018305 968631990 /nfs/dbraw/zinc/63/19/90/968631990.db2.gz CEYBKDNOPHFRAW-ZYHUDNBSSA-N 0 2 319.365 0.492 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)CNC(=O)C(F)C(F)(F)F ZINC001430973884 1013837909 /nfs/dbraw/zinc/83/79/09/1013837909.db2.gz XSGWJGGFQJXDCC-WPRPVWTQSA-N 0 2 324.278 0.652 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001430973884 1013837914 /nfs/dbraw/zinc/83/79/14/1013837914.db2.gz XSGWJGGFQJXDCC-WPRPVWTQSA-N 0 2 324.278 0.652 20 0 DCADLN Cc1noc(C)c1CCC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373476328 969137944 /nfs/dbraw/zinc/13/79/44/969137944.db2.gz MZAIUZQSZHCXSR-UHFFFAOYSA-N 0 2 320.353 0.048 20 0 DCADLN O=C(NCC1CN(Cc2csnn2)C1)C(F)C(F)(F)F ZINC001373509231 969173243 /nfs/dbraw/zinc/17/32/43/969173243.db2.gz UNFSAHPDGHYUII-MRVPVSSYSA-N 0 2 312.292 0.987 20 0 DCADLN O=C(NCC1CN(Cc2csnn2)C1)[C@@H](F)C(F)(F)F ZINC001373509231 969173247 /nfs/dbraw/zinc/17/32/47/969173247.db2.gz UNFSAHPDGHYUII-MRVPVSSYSA-N 0 2 312.292 0.987 20 0 DCADLN COC1(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001373590222 969270952 /nfs/dbraw/zinc/27/09/52/969270952.db2.gz MHCUQLXTFCOEDN-JTQLQIEISA-N 0 2 309.370 0.160 20 0 DCADLN CCOCC(=O)NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001448309738 1013868984 /nfs/dbraw/zinc/86/89/84/1013868984.db2.gz IIAIWFTYRAZOQD-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN CCOCC(=O)NC[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001448309738 1013868988 /nfs/dbraw/zinc/86/89/88/1013868988.db2.gz IIAIWFTYRAZOQD-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN CN1CCCC[C@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001448450673 1013916059 /nfs/dbraw/zinc/91/60/59/1013916059.db2.gz FICADEWMOADNGJ-QWRGUYRKSA-N 0 2 321.381 0.475 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cn1cnc2ccccc21 ZINC000320625412 970686306 /nfs/dbraw/zinc/68/63/06/970686306.db2.gz FGOLDMQPNOYEHQ-LBPRGKRZSA-N 0 2 313.317 0.572 20 0 DCADLN C[C@H]1CN(c2nnc(Cc3[nH+]ccn3C)n2C)CC[C@H]1C(=O)[O-] ZINC001603470221 973334297 /nfs/dbraw/zinc/33/42/97/973334297.db2.gz FNPDGGAKQPJIEH-WDEREUQCSA-N 0 2 318.381 0.686 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)[C@@H](C(=O)[O-])C(C)C)C2 ZINC001605417141 973432371 /nfs/dbraw/zinc/43/23/71/973432371.db2.gz XDGPLKSTWOYIKU-RISCZKNCSA-N 0 2 323.393 0.514 20 0 DCADLN CC[C@H]1C[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CCN1CC(F)F ZINC001603625530 973936426 /nfs/dbraw/zinc/93/64/26/973936426.db2.gz YEKSXQHMMCYMFP-QWRGUYRKSA-N 0 2 319.352 0.333 20 0 DCADLN CC[C@H]1C[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CCN1CC(F)F ZINC001603625530 973936436 /nfs/dbraw/zinc/93/64/36/973936436.db2.gz YEKSXQHMMCYMFP-QWRGUYRKSA-N 0 2 319.352 0.333 20 0 DCADLN CC(C)OC(=O)[C@@H]([NH2+]CCC(=O)N(C)CC(=O)[O-])C(C)C ZINC001591686150 975492596 /nfs/dbraw/zinc/49/25/96/975492596.db2.gz ZBISFPXOBPNRJJ-ZDUSSCGKSA-N 0 2 302.371 0.485 20 0 DCADLN CCc1oncc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001522642852 1014269728 /nfs/dbraw/zinc/26/97/28/1014269728.db2.gz XNOMNFUHJAXOFB-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1oncc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522642852 1014269735 /nfs/dbraw/zinc/26/97/35/1014269735.db2.gz XNOMNFUHJAXOFB-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN CC(C)OC1CC([NH+]2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)C1 ZINC001591695862 975527368 /nfs/dbraw/zinc/52/73/68/975527368.db2.gz MVYOIDHACGNAMR-XUJLQICISA-N 0 2 312.410 0.804 20 0 DCADLN CC(C)[C@H](NC(=O)CN1CC[NH+](Cc2ccco2)CC1)C(=O)[O-] ZINC001591870081 976197923 /nfs/dbraw/zinc/19/79/23/976197923.db2.gz IGABCBXVLDNRFA-HNNXBMFYSA-N 0 2 323.393 0.623 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nc[nH]n2)C[C@@H]1O)c1ccc(F)cc1O ZINC001549715169 1014419093 /nfs/dbraw/zinc/41/90/93/1014419093.db2.gz GYAXSASSPVUYPC-AUTRQRHGSA-N 0 2 320.324 0.934 20 0 DCADLN C[C@H](CC(=O)[O-])CC(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC001592374486 977901219 /nfs/dbraw/zinc/90/12/19/977901219.db2.gz ANWGJUMKYVCNJE-ZDUSSCGKSA-N 0 2 311.426 0.726 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1cc(C(=O)[O-])ccn1 ZINC001592615333 978575244 /nfs/dbraw/zinc/57/52/44/978575244.db2.gz JIJVHKCEGFYVJD-LLVKDONJSA-N 0 2 321.381 0.537 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccnc(C(=O)[O-])c1 ZINC001592624833 978601399 /nfs/dbraw/zinc/60/13/99/978601399.db2.gz SLQKMXMDVDYIAB-NSHDSACASA-N 0 2 307.350 0.573 20 0 DCADLN C[C@H](CS(=O)(=O)NCc1[nH]c2c([nH+]1)CCCC2)C(=O)[O-] ZINC001592814721 979504299 /nfs/dbraw/zinc/50/42/99/979504299.db2.gz ARLUWDGSVHTEIZ-MRVPVSSYSA-N 0 2 301.368 0.429 20 0 DCADLN COCCCC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530360498 1014700998 /nfs/dbraw/zinc/70/09/98/1014700998.db2.gz LAUUYXMRDRSARR-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN COCCCC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001530360498 1014701004 /nfs/dbraw/zinc/70/10/04/1014701004.db2.gz LAUUYXMRDRSARR-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)[C@H]1C[C@@H](C)[C@H](C(=O)[O-])O1 ZINC001595500532 981273289 /nfs/dbraw/zinc/27/32/89/981273289.db2.gz OPNBHCBTSSFNJB-FDYHWXHSSA-N 0 2 314.382 0.092 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)[C@H]1C[C@@H](C)[C@H](C(=O)[O-])O1 ZINC001595500532 981273295 /nfs/dbraw/zinc/27/32/95/981273295.db2.gz OPNBHCBTSSFNJB-FDYHWXHSSA-N 0 2 314.382 0.092 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CC[C@@](O)(C(F)F)C1)C(=O)[O-] ZINC001594893940 982314057 /nfs/dbraw/zinc/31/40/57/982314057.db2.gz XUIHJWVOBRXXMJ-FWDPORAESA-N 0 2 308.325 0.304 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CC[C@@](O)(C(F)F)C1)C(=O)[O-] ZINC001594893940 982314064 /nfs/dbraw/zinc/31/40/64/982314064.db2.gz XUIHJWVOBRXXMJ-FWDPORAESA-N 0 2 308.325 0.304 20 0 DCADLN CCCCNC(=O)C1CC[NH+](Cn2cc(C(=O)[O-])nn2)CC1 ZINC001595756275 982456895 /nfs/dbraw/zinc/45/68/95/982456895.db2.gz YOECUQSFJPHSBQ-UHFFFAOYSA-N 0 2 309.370 0.562 20 0 DCADLN CCO[C@@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530697274 1014884523 /nfs/dbraw/zinc/88/45/23/1014884523.db2.gz PIBVPESWFLOCJR-IONNQARKSA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@@H](C)C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530697274 1014884534 /nfs/dbraw/zinc/88/45/34/1014884534.db2.gz PIBVPESWFLOCJR-IONNQARKSA-N 0 2 314.279 0.886 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@H]3CC[C@H]3C(=O)[O-])CC2)CC1 ZINC001573884477 983133375 /nfs/dbraw/zinc/13/33/75/983133375.db2.gz HAQJHQPQZAQTPO-UONOGXRCSA-N 0 2 309.410 0.336 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)c1c[nH+]ccc1Nc1ccccc1 ZINC001574308619 983213410 /nfs/dbraw/zinc/21/34/10/983213410.db2.gz MNSJUJYNBPFFQX-ZDUSSCGKSA-N 0 2 301.302 0.422 20 0 DCADLN CC[N@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])CC(=O)N(C)C ZINC001596202916 983589641 /nfs/dbraw/zinc/58/96/41/983589641.db2.gz CNYBBLJRAHBINY-LLVKDONJSA-N 0 2 301.387 0.012 20 0 DCADLN CC[N@@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])CC(=O)N(C)C ZINC001596202916 983589643 /nfs/dbraw/zinc/58/96/43/983589643.db2.gz CNYBBLJRAHBINY-LLVKDONJSA-N 0 2 301.387 0.012 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)NCc2cc(C(=O)[O-])c(C)o2)CC1 ZINC001596427557 984084622 /nfs/dbraw/zinc/08/46/22/984084622.db2.gz HJILAYGEWHYMCW-UHFFFAOYSA-N 0 2 323.349 0.067 20 0 DCADLN CCNc1cc(CNC(=O)N2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)cc[nH+]1 ZINC001596499263 984248175 /nfs/dbraw/zinc/24/81/75/984248175.db2.gz HUJXCMGNUMEGIQ-PJXYFTJBSA-N 0 2 304.350 0.985 20 0 DCADLN C/C(=C/C(=O)N[C@]1(CC(=O)[O-])CCOC1)C[NH+]1CCOCC1 ZINC001588938296 984620848 /nfs/dbraw/zinc/62/08/48/984620848.db2.gz AKXGQECGJARBRE-PINLSGDHSA-N 0 2 312.366 0.015 20 0 DCADLN C/C(=C\C(=O)[O-])C[N@@H+]1CCn2c(nnc2-c2cn(C)cn2)C1 ZINC001588948455 984664854 /nfs/dbraw/zinc/66/48/54/984664854.db2.gz FUHYWIBPUIZFLE-BJMVGYQFSA-N 0 2 302.338 0.525 20 0 DCADLN C/C(=C\C(=O)[O-])C[N@H+]1CCn2c(nnc2-c2cn(C)cn2)C1 ZINC001588948455 984664857 /nfs/dbraw/zinc/66/48/57/984664857.db2.gz FUHYWIBPUIZFLE-BJMVGYQFSA-N 0 2 302.338 0.525 20 0 DCADLN C[C@H](CNc1ncc(C(=O)[O-])cn1)NC(=O)Cc1c[nH+]cn1C ZINC001599891342 984697598 /nfs/dbraw/zinc/69/75/98/984697598.db2.gz LIEUOXLTOTXYKX-SECBINFHSA-N 0 2 318.337 0.068 20 0 DCADLN CCOC(=O)c1cc2n(n1)C[C@@H](C)[N@H+](C[C@@](C)(O)C(=O)[O-])C2 ZINC001596713483 984935624 /nfs/dbraw/zinc/93/56/24/984935624.db2.gz BQCPDYMSXXGUAQ-YMTOWFKASA-N 0 2 311.338 0.100 20 0 DCADLN CCOC(=O)c1cc2n(n1)C[C@@H](C)[N@@H+](C[C@@](C)(O)C(=O)[O-])C2 ZINC001596713483 984935631 /nfs/dbraw/zinc/93/56/31/984935631.db2.gz BQCPDYMSXXGUAQ-YMTOWFKASA-N 0 2 311.338 0.100 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC001524984172 1015084677 /nfs/dbraw/zinc/08/46/77/1015084677.db2.gz GECMUWIYGQNARC-CIUDSAMLSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cncnc1 ZINC001524984172 1015084684 /nfs/dbraw/zinc/08/46/84/1015084684.db2.gz GECMUWIYGQNARC-CIUDSAMLSA-N 0 2 320.246 0.754 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)CC2(O)CCC2)n[nH]1 ZINC001551658476 1015164760 /nfs/dbraw/zinc/16/47/60/1015164760.db2.gz JSONNZGJHGDBRK-RYUDHWBXSA-N 0 2 308.382 0.749 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@]2(F)CCOC2)n[nH]1 ZINC001551660382 1015166983 /nfs/dbraw/zinc/16/69/83/1015166983.db2.gz PCPKNHNPHIBEGU-UHIISALHSA-N 0 2 312.345 0.573 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@H](O)C(C)(C)C)n[nH]1 ZINC001551666468 1015170904 /nfs/dbraw/zinc/17/09/04/1015170904.db2.gz GEDNEXMZTJJRRC-WOPDTQHZSA-N 0 2 310.398 0.851 20 0 DCADLN C[C@H]1Cc2c[nH+]ccc2N1S(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC001594627427 986699516 /nfs/dbraw/zinc/69/95/16/986699516.db2.gz URNPQSXASYLRFU-VIFPVBQESA-N 0 2 322.346 0.503 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386282186 987031872 /nfs/dbraw/zinc/03/18/72/987031872.db2.gz MWSWUESKAVUHTJ-HSNKUXOKSA-N 0 2 300.252 0.685 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001386282186 987031882 /nfs/dbraw/zinc/03/18/82/987031882.db2.gz MWSWUESKAVUHTJ-HSNKUXOKSA-N 0 2 300.252 0.685 20 0 DCADLN CN(CCNC(=O)C[C@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001387089455 987625201 /nfs/dbraw/zinc/62/52/01/987625201.db2.gz KZTTXYCNJQQZNY-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)C[C@H]1CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001387089455 987625203 /nfs/dbraw/zinc/62/52/03/987625203.db2.gz KZTTXYCNJQQZNY-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NCCN(C(=O)c1cn[nH]n1)C1CC1)C(F)C(F)(F)F ZINC001387494167 987868214 /nfs/dbraw/zinc/86/82/14/987868214.db2.gz CWMAPKKHLANDQB-MRVPVSSYSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NCCN(C(=O)c1cn[nH]n1)C1CC1)[C@@H](F)C(F)(F)F ZINC001387494167 987868221 /nfs/dbraw/zinc/86/82/21/987868221.db2.gz CWMAPKKHLANDQB-MRVPVSSYSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCCn2c(=O)[n-][nH]c2=O)CC[N@@H+]1CCF ZINC001388799273 988603717 /nfs/dbraw/zinc/60/37/17/988603717.db2.gz NROZUKJNBDJEPK-ZJUUUORDSA-N 0 2 315.349 0.018 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCCn2c(=O)[n-][nH]c2=O)CC[N@H+]1CCF ZINC001388799273 988603718 /nfs/dbraw/zinc/60/37/18/988603718.db2.gz NROZUKJNBDJEPK-ZJUUUORDSA-N 0 2 315.349 0.018 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCn1cccn1 ZINC001389143494 988902213 /nfs/dbraw/zinc/90/22/13/988902213.db2.gz SXHHXICKOGISJB-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CCn1cccn1 ZINC001389143494 988902221 /nfs/dbraw/zinc/90/22/21/988902221.db2.gz SXHHXICKOGISJB-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c1C ZINC001389232009 989006940 /nfs/dbraw/zinc/00/69/40/989006940.db2.gz GHVAMDAFGZBZNY-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)c1C ZINC001389232009 989006947 /nfs/dbraw/zinc/00/69/47/989006947.db2.gz GHVAMDAFGZBZNY-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN C[C@@H](CNC(=O)COCC(F)F)NC(=O)C(F)C(F)(F)F ZINC001389385057 989184028 /nfs/dbraw/zinc/18/40/28/989184028.db2.gz VNCYYLLNFGNUAN-XNCJUZBTSA-N 0 2 324.221 0.789 20 0 DCADLN C[C@@H](CNC(=O)COCC(F)F)NC(=O)[C@H](F)C(F)(F)F ZINC001389385057 989184033 /nfs/dbraw/zinc/18/40/33/989184033.db2.gz VNCYYLLNFGNUAN-XNCJUZBTSA-N 0 2 324.221 0.789 20 0 DCADLN O=C(CCCC(F)(F)F)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389481017 989309583 /nfs/dbraw/zinc/30/95/83/989309583.db2.gz AMBADYMYOHPSDJ-QMMMGPOBSA-N 0 2 321.303 0.933 20 0 DCADLN CCc1oc(C[N@H+]2CC[C@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597796410 989354611 /nfs/dbraw/zinc/35/46/11/989354611.db2.gz OICFPTZBKDYIMV-VIFPVBQESA-N 0 2 302.352 0.403 20 0 DCADLN CCc1oc(C[N@@H+]2CC[C@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597796410 989354603 /nfs/dbraw/zinc/35/46/03/989354603.db2.gz OICFPTZBKDYIMV-VIFPVBQESA-N 0 2 302.352 0.403 20 0 DCADLN C[C@H]([NH2+]C[C@H]1CCCCN1C(=O)CCc1[nH+]ccn1C)C(N)=O ZINC001390148711 989867591 /nfs/dbraw/zinc/86/75/91/989867591.db2.gz UUHJKIWJJVPZBZ-QWHCGFSZSA-N 0 2 321.425 0.197 20 0 DCADLN COc1ncccc1C[N@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001390754802 990354170 /nfs/dbraw/zinc/35/41/70/990354170.db2.gz SAJPVEGHHWFSNF-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN COc1ncccc1C[N@@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001390754802 990354177 /nfs/dbraw/zinc/35/41/77/990354177.db2.gz SAJPVEGHHWFSNF-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN CC[N@H+](CCNC(=O)CCc1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001390945915 990485218 /nfs/dbraw/zinc/48/52/18/990485218.db2.gz NIKIPRHJXYGUKG-UHFFFAOYSA-N 0 2 318.381 0.476 20 0 DCADLN CC[N@@H+](CCNC(=O)CCc1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001390945915 990485220 /nfs/dbraw/zinc/48/52/20/990485220.db2.gz NIKIPRHJXYGUKG-UHFFFAOYSA-N 0 2 318.381 0.476 20 0 DCADLN CCCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001391373084 990787302 /nfs/dbraw/zinc/78/73/02/990787302.db2.gz MAYGATXOFPUOLQ-LLVKDONJSA-N 0 2 323.397 0.144 20 0 DCADLN CCCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001391373084 990787309 /nfs/dbraw/zinc/78/73/09/990787309.db2.gz MAYGATXOFPUOLQ-LLVKDONJSA-N 0 2 323.397 0.144 20 0 DCADLN CN(C(=O)CCc1ccon1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391616349 991021183 /nfs/dbraw/zinc/02/11/83/991021183.db2.gz VKSDGMOEYXRGAF-NSHDSACASA-N 0 2 320.353 0.164 20 0 DCADLN CN(C(=O)CCc1ccon1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391616349 991021190 /nfs/dbraw/zinc/02/11/90/991021190.db2.gz VKSDGMOEYXRGAF-NSHDSACASA-N 0 2 320.353 0.164 20 0 DCADLN CN(C1CC[NH+](Cc2cc(C(=O)[O-])no2)CC1)S(C)(=O)=O ZINC001598373188 991550485 /nfs/dbraw/zinc/55/04/85/991550485.db2.gz QUTPWSUNRIRUQD-UHFFFAOYSA-N 0 2 317.367 0.229 20 0 DCADLN C[N@H+](CC(=O)N1CCCC1)[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598380692 991586583 /nfs/dbraw/zinc/58/65/83/991586583.db2.gz NSSOQTALGUTOTC-LBPRGKRZSA-N 0 2 311.382 0.006 20 0 DCADLN C[N@@H+](CC(=O)N1CCCC1)[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598380692 991586596 /nfs/dbraw/zinc/58/65/96/991586596.db2.gz NSSOQTALGUTOTC-LBPRGKRZSA-N 0 2 311.382 0.006 20 0 DCADLN C[S@](=O)CC[NH+]1CCN([C@@H](C(=O)[O-])c2ccsc2)CC1 ZINC001599777087 992316598 /nfs/dbraw/zinc/31/65/98/992316598.db2.gz OYWRQHSODSGKQZ-ODXCJYRJSA-N 0 2 316.448 0.870 20 0 DCADLN CN1C(=O)CN(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C2)C1=O ZINC001598551019 993003325 /nfs/dbraw/zinc/00/33/25/993003325.db2.gz KAIZLBRRDWSBPT-SFYZADRCSA-N 0 2 323.271 0.423 20 0 DCADLN CN1C(=O)CN(C[N@H+]2C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C2)C1=O ZINC001598551019 993003328 /nfs/dbraw/zinc/00/33/28/993003328.db2.gz KAIZLBRRDWSBPT-SFYZADRCSA-N 0 2 323.271 0.423 20 0 DCADLN CN1c2ccccc2C[N@H+](CCC(=O)NCC(=O)[O-])CC1=O ZINC001598551540 993009072 /nfs/dbraw/zinc/00/90/72/993009072.db2.gz SCIJYXCDXYWDBL-UHFFFAOYSA-N 0 2 305.334 0.056 20 0 DCADLN CN1c2ccccc2C[N@@H+](CCC(=O)NCC(=O)[O-])CC1=O ZINC001598551540 993009078 /nfs/dbraw/zinc/00/90/78/993009078.db2.gz SCIJYXCDXYWDBL-UHFFFAOYSA-N 0 2 305.334 0.056 20 0 DCADLN C[C@H]1COCC[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400023950 994066282 /nfs/dbraw/zinc/06/62/82/994066282.db2.gz AUWDJYJTEQNONU-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1COCC[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400023950 994066289 /nfs/dbraw/zinc/06/62/89/994066289.db2.gz AUWDJYJTEQNONU-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)COCC(F)F ZINC001400025615 994068387 /nfs/dbraw/zinc/06/83/87/994068387.db2.gz QMWAUVIBUDIEER-XRGYYRRGSA-N 0 2 324.221 0.789 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)COCC(F)F ZINC001400025615 994068394 /nfs/dbraw/zinc/06/83/94/994068394.db2.gz QMWAUVIBUDIEER-XRGYYRRGSA-N 0 2 324.221 0.789 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@@](C)(CC(=O)[O-])c2ccncc2)C1 ZINC001598626028 994094858 /nfs/dbraw/zinc/09/48/58/994094858.db2.gz RABPATPCFXZIQC-WFASDCNBSA-N 0 2 307.350 0.218 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N[C@@](C)(CC(=O)[O-])c2ccncc2)C1 ZINC001598626028 994094871 /nfs/dbraw/zinc/09/48/71/994094871.db2.gz RABPATPCFXZIQC-WFASDCNBSA-N 0 2 307.350 0.218 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001394805620 994173728 /nfs/dbraw/zinc/17/37/28/994173728.db2.gz OHJZOZNXWZXIOX-PJXYFTJBSA-N 0 2 323.397 0.072 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001394805620 994173733 /nfs/dbraw/zinc/17/37/33/994173733.db2.gz OHJZOZNXWZXIOX-PJXYFTJBSA-N 0 2 323.397 0.072 20 0 DCADLN Cc1nc(C)n(CCN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001400344604 994429211 /nfs/dbraw/zinc/42/92/11/994429211.db2.gz CQVVMXNPRFOMEK-SNVBAGLBSA-N 0 2 323.294 0.596 20 0 DCADLN Cc1nc(C)n(CCN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001400344604 994429216 /nfs/dbraw/zinc/42/92/16/994429216.db2.gz CQVVMXNPRFOMEK-SNVBAGLBSA-N 0 2 323.294 0.596 20 0 DCADLN COCC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001400581142 994675225 /nfs/dbraw/zinc/67/52/25/994675225.db2.gz VSKUIJSXEBPMMX-QWRGUYRKSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)[C@@H](C)COC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400748263 994877077 /nfs/dbraw/zinc/87/70/77/994877077.db2.gz BHJLKYVFABOBTQ-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN CCN(C(=O)[C@@H](C)COC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400748263 994877084 /nfs/dbraw/zinc/87/70/84/994877084.db2.gz BHJLKYVFABOBTQ-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H](O)c1ncc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])s1 ZINC001593482184 995432020 /nfs/dbraw/zinc/43/20/20/995432020.db2.gz PSSONBIVAYIVIR-WPRPVWTQSA-N 0 2 313.379 0.362 20 0 DCADLN C[C@H](O)c1ncc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])s1 ZINC001593482184 995432024 /nfs/dbraw/zinc/43/20/24/995432024.db2.gz PSSONBIVAYIVIR-WPRPVWTQSA-N 0 2 313.379 0.362 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397268384 995898122 /nfs/dbraw/zinc/89/81/22/995898122.db2.gz CFTVQNZIHSBQPW-OOZYFLPDSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397268384 995898125 /nfs/dbraw/zinc/89/81/25/995898125.db2.gz CFTVQNZIHSBQPW-OOZYFLPDSA-N 0 2 322.262 0.996 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccon1 ZINC001397462819 996013158 /nfs/dbraw/zinc/01/31/58/996013158.db2.gz USPRZRPATZMEOI-RCOVLWMOSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1ccon1 ZINC001397462819 996013161 /nfs/dbraw/zinc/01/31/61/996013161.db2.gz USPRZRPATZMEOI-RCOVLWMOSA-N 0 2 311.235 0.738 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])[N@H+](Cc2cccc(OC)n2)C1 ZINC001598782313 995998977 /nfs/dbraw/zinc/99/89/77/995998977.db2.gz ZTGVDZDYJHTIBO-CMPLNLGQSA-N 0 2 308.334 0.928 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])[N@@H+](Cc2cccc(OC)n2)C1 ZINC001598782313 995998980 /nfs/dbraw/zinc/99/89/80/995998980.db2.gz ZTGVDZDYJHTIBO-CMPLNLGQSA-N 0 2 308.334 0.928 20 0 DCADLN COC(=O)CNC(=O)[C@@H](C)[N@@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC001598864012 996699290 /nfs/dbraw/zinc/69/92/90/996699290.db2.gz VRDZBLYDUOTTRW-SNVBAGLBSA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)CNC(=O)[C@@H](C)[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC001598864012 996699293 /nfs/dbraw/zinc/69/92/93/996699293.db2.gz VRDZBLYDUOTTRW-SNVBAGLBSA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)Cc1cc[nH+]c(N2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)c1 ZINC001598873117 996747101 /nfs/dbraw/zinc/74/71/01/996747101.db2.gz JLTHMKLNSOZASM-OAHLLOKOSA-N 0 2 319.317 0.607 20 0 DCADLN COC(=O)Cc1ccccc1C[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001598873290 996748306 /nfs/dbraw/zinc/74/83/06/996748306.db2.gz MZKLYNUKJDWSMP-CYBMUJFWSA-N 0 2 320.345 0.177 20 0 DCADLN COC(=O)Cc1ccccc1C[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001598873290 996748310 /nfs/dbraw/zinc/74/83/10/996748310.db2.gz MZKLYNUKJDWSMP-CYBMUJFWSA-N 0 2 320.345 0.177 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001510919491 1016067935 /nfs/dbraw/zinc/06/79/35/1016067935.db2.gz BHKLCYSIEQMZKR-BOIGAUSXSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001510919491 1016067945 /nfs/dbraw/zinc/06/79/45/1016067945.db2.gz BHKLCYSIEQMZKR-BOIGAUSXSA-N 0 2 314.279 0.836 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(c2nnc(C[NH+]3CCCCC3)n2C)C1 ZINC001599080050 996977115 /nfs/dbraw/zinc/97/71/15/996977115.db2.gz FUEOXRDAXOFGDV-HNNXBMFYSA-N 0 2 323.397 0.481 20 0 DCADLN CO[C@]1(CO)CCC[N@H+](CC(=O)c2cc(C(=O)[O-])[nH]c2C)C1 ZINC001599091546 997201346 /nfs/dbraw/zinc/20/13/46/997201346.db2.gz FAOGETXRJLPSIX-OAHLLOKOSA-N 0 2 310.350 0.677 20 0 DCADLN CO[C@]1(CO)CCC[N@@H+](CC(=O)c2cc(C(=O)[O-])[nH]c2C)C1 ZINC001599091546 997201353 /nfs/dbraw/zinc/20/13/53/997201353.db2.gz FAOGETXRJLPSIX-OAHLLOKOSA-N 0 2 310.350 0.677 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001598903681 997222482 /nfs/dbraw/zinc/22/24/82/997222482.db2.gz MQJJCNJNVFVPQG-UMNHJUIQSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001598903681 997222495 /nfs/dbraw/zinc/22/24/95/997222495.db2.gz MQJJCNJNVFVPQG-UMNHJUIQSA-N 0 2 323.349 0.501 20 0 DCADLN COCc1nc(NC(=O)Cc2c[nH+]cn2C)sc1C(=O)[O-] ZINC001599302680 997259970 /nfs/dbraw/zinc/25/99/70/997259970.db2.gz HYIZHAMLJIDKFN-UHFFFAOYSA-N 0 2 310.335 0.902 20 0 DCADLN COC[C@H]1CCC[N@@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001599204107 997372492 /nfs/dbraw/zinc/37/24/92/997372492.db2.gz SALLYPCERFQSFS-SNVBAGLBSA-N 0 2 313.379 0.820 20 0 DCADLN COC[C@H]1CCC[N@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001599204107 997372503 /nfs/dbraw/zinc/37/25/03/997372503.db2.gz SALLYPCERFQSFS-SNVBAGLBSA-N 0 2 313.379 0.820 20 0 DCADLN COCc1noc(C[NH2+][C@H](C(=O)[O-])[C@H]2CCC[C@H](OC)C2)n1 ZINC001599308987 997380163 /nfs/dbraw/zinc/38/01/63/997380163.db2.gz ZFOVCSAJVFJOKG-KWBADKCTSA-N 0 2 313.354 0.964 20 0 DCADLN Cc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001399521572 997469225 /nfs/dbraw/zinc/46/92/25/997469225.db2.gz KSDYJFZTXMOARZ-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN Cc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001399521572 997469230 /nfs/dbraw/zinc/46/92/30/997469230.db2.gz KSDYJFZTXMOARZ-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN CCn1ncnc1CN1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001405005430 997674342 /nfs/dbraw/zinc/67/43/42/997674342.db2.gz WMOXYRBGDCBYMS-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncnc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001405005430 997674333 /nfs/dbraw/zinc/67/43/33/997674333.db2.gz WMOXYRBGDCBYMS-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H](C)NC(=O)CCc2cn[nH]n2)[nH]n1 ZINC001405009885 997681451 /nfs/dbraw/zinc/68/14/51/997681451.db2.gz NIGYTEMBJIAEDW-JTQLQIEISA-N 0 2 319.369 0.046 20 0 DCADLN C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)C1 ZINC001594046951 997708301 /nfs/dbraw/zinc/70/83/01/997708301.db2.gz IOEAEUGJTKDSCQ-LBPRGKRZSA-N 0 2 317.342 0.102 20 0 DCADLN CN(C(=O)CC(F)(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405094821 997783925 /nfs/dbraw/zinc/78/39/25/997783925.db2.gz UMPZENPNKAHQFM-SSDOTTSWSA-N 0 2 307.276 0.495 20 0 DCADLN CN(C(=O)CC(F)(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405094821 997783940 /nfs/dbraw/zinc/78/39/40/997783940.db2.gz UMPZENPNKAHQFM-SSDOTTSWSA-N 0 2 307.276 0.495 20 0 DCADLN CN(C(=O)CC(F)(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405094821 997783956 /nfs/dbraw/zinc/78/39/56/997783956.db2.gz UMPZENPNKAHQFM-SSDOTTSWSA-N 0 2 307.276 0.495 20 0 DCADLN CNC(=O)c1ccc(C(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC001467309739 1016157033 /nfs/dbraw/zinc/15/70/33/1016157033.db2.gz DPVHRCVXHPRYNO-UHFFFAOYSA-N 0 2 307.335 0.085 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001467507840 1016176417 /nfs/dbraw/zinc/17/64/17/1016176417.db2.gz FVBCDVIQPSQFNC-LPEHRKFASA-N 0 2 308.338 0.416 20 0 DCADLN O=C(CC1CC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405285306 998061570 /nfs/dbraw/zinc/06/15/70/998061570.db2.gz GESRYFUDAXIDSX-IONNQARKSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001405285306 998061583 /nfs/dbraw/zinc/06/15/83/998061583.db2.gz GESRYFUDAXIDSX-IONNQARKSA-N 0 2 300.252 0.280 20 0 DCADLN CC(C)C(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001405574548 998342073 /nfs/dbraw/zinc/34/20/73/998342073.db2.gz PRZYESFHTOZQMJ-SFYZADRCSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)C(=O)N(C)C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001405574548 998342082 /nfs/dbraw/zinc/34/20/82/998342082.db2.gz PRZYESFHTOZQMJ-SFYZADRCSA-N 0 2 302.268 0.478 20 0 DCADLN C[C@H]1CC[N@H+](Cc2cn(CC(=O)[O-])nn2)C[C@H]1n1ccnc1 ZINC001594425980 999591287 /nfs/dbraw/zinc/59/12/87/999591287.db2.gz FDAGJOMBRVURIQ-WCQYABFASA-N 0 2 304.354 0.642 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2cn(CC(=O)[O-])nn2)C[C@H]1n1ccnc1 ZINC001594425980 999591290 /nfs/dbraw/zinc/59/12/90/999591290.db2.gz FDAGJOMBRVURIQ-WCQYABFASA-N 0 2 304.354 0.642 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418501307 1000290238 /nfs/dbraw/zinc/29/02/38/1000290238.db2.gz IMWXFTKHBMINCF-SNVBAGLBSA-N 0 2 307.354 0.530 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418501307 1000290241 /nfs/dbraw/zinc/29/02/41/1000290241.db2.gz IMWXFTKHBMINCF-SNVBAGLBSA-N 0 2 307.354 0.530 20 0 DCADLN CCC[C@@H](OC)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001418582693 1000364100 /nfs/dbraw/zinc/36/41/00/1000364100.db2.gz QFLQNUWHSRKZMF-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN Cc1noc2ncc(C(=O)NN3C(=O)[C@@H](C)N(C)C3=O)cc12 ZINC001470307802 1016411807 /nfs/dbraw/zinc/41/18/07/1016411807.db2.gz IDUTVDDLFAYHMC-SSDOTTSWSA-N 0 2 303.278 0.459 20 0 DCADLN O=C(N[C@@H]1COC[C@@H]1O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001418863515 1000576153 /nfs/dbraw/zinc/57/61/53/1000576153.db2.gz YQSIMPJXCKXJQP-PWSUYJOCSA-N 0 2 307.281 0.207 20 0 DCADLN O=C(NCC1(O)CN(C/C=C\Cl)C1)C(F)C(F)(F)F ZINC001402334397 1001225267 /nfs/dbraw/zinc/22/52/67/1001225267.db2.gz NSAYTKUEKJVHIE-XRHZSYRXSA-N 0 2 304.671 0.802 20 0 DCADLN O=C(NCC1(O)CN(C/C=C\Cl)C1)[C@H](F)C(F)(F)F ZINC001402334397 1001225269 /nfs/dbraw/zinc/22/52/69/1001225269.db2.gz NSAYTKUEKJVHIE-XRHZSYRXSA-N 0 2 304.671 0.802 20 0 DCADLN COC[C@H](O)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnc[nH]1 ZINC001402408495 1001284906 /nfs/dbraw/zinc/28/49/06/1001284906.db2.gz SPMWSSSSVCANPI-QLFBSQMISA-N 0 2 322.409 0.025 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001403185199 1001713636 /nfs/dbraw/zinc/71/36/36/1001713636.db2.gz ICKRQCDBDHKBRK-SNVBAGLBSA-N 0 2 320.397 0.716 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001403185199 1001713643 /nfs/dbraw/zinc/71/36/43/1001713643.db2.gz ICKRQCDBDHKBRK-SNVBAGLBSA-N 0 2 320.397 0.716 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001505749095 1016519414 /nfs/dbraw/zinc/51/94/14/1016519414.db2.gz CDPVBPZMMWZFLJ-GMSGAONNSA-N 0 2 322.262 0.570 20 0 DCADLN CO[C@@H]1CCC[C@H](C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001403661990 1002298318 /nfs/dbraw/zinc/29/83/18/1002298318.db2.gz CRYLWLOZEZIGJE-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@@H]1CCC[C@H](C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001403661990 1002298326 /nfs/dbraw/zinc/29/83/26/1002298326.db2.gz CRYLWLOZEZIGJE-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@@H](SC)C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001420478701 1002476648 /nfs/dbraw/zinc/47/66/48/1002476648.db2.gz ULDLAVSVMGJSQL-VHSXEESVSA-N 0 2 313.427 0.733 20 0 DCADLN CC[C@H](SC)C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001420478699 1002477365 /nfs/dbraw/zinc/47/73/65/1002477365.db2.gz ULDLAVSVMGJSQL-UWVGGRQHSA-N 0 2 313.427 0.733 20 0 DCADLN Cc1ccc(OCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001403763345 1002487462 /nfs/dbraw/zinc/48/74/62/1002487462.db2.gz JIOURMJUCXAACC-UHFFFAOYSA-N 0 2 319.365 0.446 20 0 DCADLN Cc1ccc(OCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001403763345 1002487470 /nfs/dbraw/zinc/48/74/70/1002487470.db2.gz JIOURMJUCXAACC-UHFFFAOYSA-N 0 2 319.365 0.446 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC(F)(F)C1 ZINC001420584770 1002652079 /nfs/dbraw/zinc/65/20/79/1002652079.db2.gz VXYFSFSCSMBNGS-BDAKNGLRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC(F)(F)C1 ZINC001420584770 1002652080 /nfs/dbraw/zinc/65/20/80/1002652080.db2.gz VXYFSFSCSMBNGS-BDAKNGLRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cscn1 ZINC001420671898 1002786538 /nfs/dbraw/zinc/78/65/38/1002786538.db2.gz NXWXBEPFOQNGPR-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cscn1 ZINC001420671898 1002786548 /nfs/dbraw/zinc/78/65/48/1002786548.db2.gz NXWXBEPFOQNGPR-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN Cc1cccnc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505897009 1016674774 /nfs/dbraw/zinc/67/47/74/1016674774.db2.gz OUROINZNSXJZSG-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cccnc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505897009 1016674814 /nfs/dbraw/zinc/67/48/14/1016674814.db2.gz OUROINZNSXJZSG-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1ncnn1C ZINC001505922293 1016715373 /nfs/dbraw/zinc/71/53/73/1016715373.db2.gz LHYSZCKXOBWQNL-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1ncnn1C ZINC001505922293 1016715386 /nfs/dbraw/zinc/71/53/86/1016715386.db2.gz LHYSZCKXOBWQNL-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN CCOC1CC(CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001420874582 1003067777 /nfs/dbraw/zinc/06/77/77/1003067777.db2.gz GUJCGUZTOYCMSN-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN Cn1cc(CN2CCC[C@@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001420986032 1003205723 /nfs/dbraw/zinc/20/57/23/1003205723.db2.gz RDBZCQSKSQUORQ-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CCC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001420986032 1003205729 /nfs/dbraw/zinc/20/57/29/1003205729.db2.gz RDBZCQSKSQUORQ-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cc1cccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406076674 1003328071 /nfs/dbraw/zinc/32/80/71/1003328071.db2.gz PQVMWTKHFQFDPB-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN Cc1cccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406076674 1003328080 /nfs/dbraw/zinc/32/80/80/1003328080.db2.gz PQVMWTKHFQFDPB-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN C[C@H](NC(=O)CCC[C@@]1(C)NC(=O)NC1=O)c1nn(C)cc1O ZINC001472237963 1016766402 /nfs/dbraw/zinc/76/64/02/1016766402.db2.gz XFCOSDIAQPZDAC-RMLUDKJBSA-N 0 2 323.353 0.071 20 0 DCADLN CC(=O)NC[C@]1(O)CCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001421316106 1003532842 /nfs/dbraw/zinc/53/28/42/1003532842.db2.gz FJNHKSTZIJXQTQ-CQSZACIVSA-N 0 2 322.390 0.470 20 0 DCADLN O=C(NCC1CC(NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001508387099 1016789292 /nfs/dbraw/zinc/78/92/92/1016789292.db2.gz QHCKGHPJKKKNMI-DMBGQZSHSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NCC1CC(NC(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001508387099 1016789310 /nfs/dbraw/zinc/78/93/10/1016789310.db2.gz QHCKGHPJKKKNMI-DMBGQZSHSA-N 0 2 322.262 0.935 20 0 DCADLN CC(C)(F)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001406387590 1003668953 /nfs/dbraw/zinc/66/89/53/1003668953.db2.gz STFKLANAOOSSBF-VQVTYTSYSA-N 0 2 318.242 0.323 20 0 DCADLN CC(C)(F)C(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001406387590 1003668959 /nfs/dbraw/zinc/66/89/59/1003668959.db2.gz STFKLANAOOSSBF-VQVTYTSYSA-N 0 2 318.242 0.323 20 0 DCADLN Cc1cn2nc(C(=O)NCc3csc(O)n3)cc2c(=O)[nH]1 ZINC001472524996 1016818232 /nfs/dbraw/zinc/81/82/32/1016818232.db2.gz STPPACKIFWHMOC-UHFFFAOYSA-N 0 2 305.319 0.423 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001406538936 1003805451 /nfs/dbraw/zinc/80/54/51/1003805451.db2.gz CWZOTXFWKDGZCM-OOZYFLPDSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001406538936 1003805461 /nfs/dbraw/zinc/80/54/61/1003805461.db2.gz CWZOTXFWKDGZCM-OOZYFLPDSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001472576618 1016830295 /nfs/dbraw/zinc/83/02/95/1016830295.db2.gz WHOMEUHWSQILAD-MWLCHTKSSA-N 0 2 312.272 0.400 20 0 DCADLN CO[C@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001426834144 1004071759 /nfs/dbraw/zinc/07/17/59/1004071759.db2.gz AWBPUYCJNXZRLN-LURQLKTLSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001426834144 1004071768 /nfs/dbraw/zinc/07/17/68/1004071768.db2.gz AWBPUYCJNXZRLN-LURQLKTLSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001426834146 1004071806 /nfs/dbraw/zinc/07/18/06/1004071806.db2.gz AWBPUYCJNXZRLN-RBXMUDONSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001426834146 1004071816 /nfs/dbraw/zinc/07/18/16/1004071816.db2.gz AWBPUYCJNXZRLN-RBXMUDONSA-N 0 2 314.279 0.933 20 0 DCADLN CCC(=O)NC1(CCO)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001426854928 1004090092 /nfs/dbraw/zinc/09/00/92/1004090092.db2.gz GTRSZWPUXASCEF-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)NC1(CCO)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001426854928 1004090099 /nfs/dbraw/zinc/09/00/99/1004090099.db2.gz GTRSZWPUXASCEF-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN Cc1nnccc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001428656191 1004094012 /nfs/dbraw/zinc/09/40/12/1004094012.db2.gz XLYGIJXYKOAWAF-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nnccc1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001428656191 1004094023 /nfs/dbraw/zinc/09/40/23/1004094023.db2.gz XLYGIJXYKOAWAF-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN CO[C@@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)Cc1c[nH+]c[nH]1 ZINC001406993805 1004293735 /nfs/dbraw/zinc/29/37/35/1004293735.db2.gz YPIRQPVRQAVNTN-ASEORRQLSA-N 0 2 320.393 0.625 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001429100758 1004385584 /nfs/dbraw/zinc/38/55/84/1004385584.db2.gz QMALZNBWGSAAQP-BKPPORCPSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001429100758 1004385592 /nfs/dbraw/zinc/38/55/92/1004385592.db2.gz QMALZNBWGSAAQP-BKPPORCPSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@]1(NC(=O)Cc2ccccc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407162262 1004430824 /nfs/dbraw/zinc/43/08/24/1004430824.db2.gz KKICWICETISYMK-INIZCTEOSA-N 0 2 315.377 0.834 20 0 DCADLN C[C@]1(NC(=O)Cc2ccccc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407162262 1004430828 /nfs/dbraw/zinc/43/08/28/1004430828.db2.gz KKICWICETISYMK-INIZCTEOSA-N 0 2 315.377 0.834 20 0 DCADLN CCOCC(=O)N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001407996553 1004977487 /nfs/dbraw/zinc/97/74/87/1004977487.db2.gz QFYPMCXRBOFBRA-WDQPUEAGSA-N 0 2 312.263 0.496 20 0 DCADLN CCOCC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21 ZINC001407996553 1004977494 /nfs/dbraw/zinc/97/74/94/1004977494.db2.gz QFYPMCXRBOFBRA-WDQPUEAGSA-N 0 2 312.263 0.496 20 0 DCADLN CCOCC(=O)N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001407996543 1004977798 /nfs/dbraw/zinc/97/77/98/1004977798.db2.gz QFYPMCXRBOFBRA-GOZTYBTRSA-N 0 2 312.263 0.496 20 0 DCADLN CCOCC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21 ZINC001407996543 1004977800 /nfs/dbraw/zinc/97/78/00/1004977800.db2.gz QFYPMCXRBOFBRA-GOZTYBTRSA-N 0 2 312.263 0.496 20 0 DCADLN Cc1nn(C)cc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001408188147 1005099302 /nfs/dbraw/zinc/09/93/02/1005099302.db2.gz BSLJTZFEDCQNSG-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1nn(C)cc1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001408188147 1005099310 /nfs/dbraw/zinc/09/93/10/1005099310.db2.gz BSLJTZFEDCQNSG-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@H](CNC(=O)c1csnn1)NC(=O)C(F)C(F)(F)F ZINC001408271290 1005135062 /nfs/dbraw/zinc/13/50/62/1005135062.db2.gz VHSKCKGBCMYHBD-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)c1csnn1)NC(=O)[C@H](F)C(F)(F)F ZINC001408271290 1005135065 /nfs/dbraw/zinc/13/50/65/1005135065.db2.gz VHSKCKGBCMYHBD-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001408403030 1005191416 /nfs/dbraw/zinc/19/14/16/1005191416.db2.gz GTQWEVMJADUDLN-BQBZGAKWSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2nc[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001408403030 1005191418 /nfs/dbraw/zinc/19/14/18/1005191418.db2.gz GTQWEVMJADUDLN-BQBZGAKWSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CCC2)C[C@@H]1O)C(F)C(F)(F)F ZINC001414050147 1005238333 /nfs/dbraw/zinc/23/83/33/1005238333.db2.gz KWLWZIWVGFDSMN-VGMNWLOBSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CCC2)C[C@@H]1O)[C@H](F)C(F)(F)F ZINC001414050147 1005238336 /nfs/dbraw/zinc/23/83/36/1005238336.db2.gz KWLWZIWVGFDSMN-VGMNWLOBSA-N 0 2 312.263 0.375 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001414195029 1005252599 /nfs/dbraw/zinc/25/25/99/1005252599.db2.gz NTAHFBQUAKXDRR-BETUJISGSA-N 0 2 321.425 0.303 20 0 DCADLN CC(=O)N1CCO[C@@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001414422592 1005318844 /nfs/dbraw/zinc/31/88/44/1005318844.db2.gz FAMXFGWCBWMLQE-SCZZXKLOSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)N1CCO[C@@](C)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001414422592 1005318849 /nfs/dbraw/zinc/31/88/49/1005318849.db2.gz FAMXFGWCBWMLQE-SCZZXKLOSA-N 0 2 300.252 0.640 20 0 DCADLN Cn1nncc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001415837717 1005621223 /nfs/dbraw/zinc/62/12/23/1005621223.db2.gz YZMKHAIWEWMAGZ-VIFPVBQESA-N 0 2 309.267 0.360 20 0 DCADLN Cn1nncc1CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001415837717 1005621224 /nfs/dbraw/zinc/62/12/24/1005621224.db2.gz YZMKHAIWEWMAGZ-VIFPVBQESA-N 0 2 309.267 0.360 20 0 DCADLN C[C@@H](CNC(=O)C1CCOCC1)NC(=O)C(F)C(F)(F)F ZINC001416902653 1005756874 /nfs/dbraw/zinc/75/68/74/1005756874.db2.gz PVGXPHIFQRXXLP-CBAPKCEASA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)C1CCOCC1)NC(=O)[C@H](F)C(F)(F)F ZINC001416902653 1005756876 /nfs/dbraw/zinc/75/68/76/1005756876.db2.gz PVGXPHIFQRXXLP-CBAPKCEASA-N 0 2 314.279 0.934 20 0 DCADLN Cn1cc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)cn1 ZINC001417146787 1005792692 /nfs/dbraw/zinc/79/26/92/1005792692.db2.gz JWUSARDTKFQVTP-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cc(C(=O)NC2(CNC(=O)[C@H](F)C(F)(F)F)CC2)cn1 ZINC001417146787 1005792694 /nfs/dbraw/zinc/79/26/94/1005792694.db2.gz JWUSARDTKFQVTP-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN CO[C@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001417257477 1005812037 /nfs/dbraw/zinc/81/20/37/1005812037.db2.gz WQAOAVJOMTXOSB-HTRCEHHLSA-N 0 2 300.252 0.591 20 0 DCADLN CO[C@H](C)C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001417257477 1005812038 /nfs/dbraw/zinc/81/20/38/1005812038.db2.gz WQAOAVJOMTXOSB-HTRCEHHLSA-N 0 2 300.252 0.591 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)C ZINC001417850991 1005903874 /nfs/dbraw/zinc/90/38/74/1005903874.db2.gz YOXPCCHNRHOJOH-SCVCMEIPSA-N 0 2 311.386 0.260 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)C ZINC001417850991 1005903876 /nfs/dbraw/zinc/90/38/76/1005903876.db2.gz YOXPCCHNRHOJOH-SCVCMEIPSA-N 0 2 311.386 0.260 20 0 DCADLN C[C@H]1CC[C@@H](CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)O1 ZINC001417926842 1005927392 /nfs/dbraw/zinc/92/73/92/1005927392.db2.gz HWLODUIKNDXPOO-CABZTGNLSA-N 0 2 318.333 0.564 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@@H](O)[C@H](CO)C1 ZINC001417934979 1005931276 /nfs/dbraw/zinc/93/12/76/1005931276.db2.gz WCBKLUGLSQEAKI-CMPLNLGQSA-N 0 2 303.318 0.003 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1CCO)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001434796210 1005981376 /nfs/dbraw/zinc/98/13/76/1005981376.db2.gz KHVVYJZTDJCSOW-KGLIPLIRSA-N 0 2 321.425 0.344 20 0 DCADLN NC(=O)C[C@@H]1COCCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC001418092911 1006030547 /nfs/dbraw/zinc/03/05/47/1006030547.db2.gz VXWHOYGGEPSTFT-MRVPVSSYSA-N 0 2 300.261 0.387 20 0 DCADLN CS[C@@H](C)C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001418150469 1006106350 /nfs/dbraw/zinc/10/63/50/1006106350.db2.gz JBWYPRFOQZVDBH-UWVGGRQHSA-N 0 2 313.427 0.733 20 0 DCADLN CCC(CC)C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451352348 1006114051 /nfs/dbraw/zinc/11/40/51/1006114051.db2.gz SFZAIVSMMRGPLJ-IUCAKERBSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001451352348 1006114055 /nfs/dbraw/zinc/11/40/55/1006114055.db2.gz SFZAIVSMMRGPLJ-IUCAKERBSA-N 0 2 316.295 0.916 20 0 DCADLN Cc1ncc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001438916881 1006223423 /nfs/dbraw/zinc/22/34/23/1006223423.db2.gz DHJGBXODJCNIMZ-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN Cc1ncc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001438916881 1006223428 /nfs/dbraw/zinc/22/34/28/1006223428.db2.gz DHJGBXODJCNIMZ-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CC(F)(F)CC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451532764 1006246446 /nfs/dbraw/zinc/24/64/46/1006246446.db2.gz JVOBIYKBESTQSK-CAHLUQPWSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001451532764 1006246459 /nfs/dbraw/zinc/24/64/59/1006246459.db2.gz JVOBIYKBESTQSK-CAHLUQPWSA-N 0 2 324.221 0.525 20 0 DCADLN CC(C)[NH+](C)CC(=O)N[C@@H]1CCC[N@H+](Cc2cncn2C)C1 ZINC001436694039 1006264327 /nfs/dbraw/zinc/26/43/27/1006264327.db2.gz FFEXPEMXLAUJBJ-CQSZACIVSA-N 0 2 307.442 0.841 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CC(F)(F)C1 ZINC001439393479 1006805153 /nfs/dbraw/zinc/80/51/53/1006805153.db2.gz SWQRNPOSKXCSLU-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CC(F)(F)C1 ZINC001439393479 1006805164 /nfs/dbraw/zinc/80/51/64/1006805164.db2.gz SWQRNPOSKXCSLU-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)COc1ccccc1 ZINC001439394953 1006806323 /nfs/dbraw/zinc/80/63/23/1006806323.db2.gz GHOQTMADTNABIZ-NSHDSACASA-N 0 2 319.365 0.526 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)COc1ccccc1 ZINC001439394953 1006806337 /nfs/dbraw/zinc/80/63/37/1006806337.db2.gz GHOQTMADTNABIZ-NSHDSACASA-N 0 2 319.365 0.526 20 0 DCADLN CC(C)(C(=O)[N-]OCC(N)=O)[C@@H]1CCC[C@H](C(F)(F)F)[NH2+]1 ZINC001519855239 1017233753 /nfs/dbraw/zinc/23/37/53/1017233753.db2.gz MKQNQRWGESWORE-JGVFFNPUSA-N 0 2 311.304 0.619 20 0 DCADLN O=C(Cc1cccs1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437648400 1007212112 /nfs/dbraw/zinc/21/21/12/1007212112.db2.gz CCKQKSOIMLSGCC-JTQLQIEISA-N 0 2 321.406 0.895 20 0 DCADLN O=C(Cc1cccs1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437648400 1007212121 /nfs/dbraw/zinc/21/21/21/1007212121.db2.gz CCKQKSOIMLSGCC-JTQLQIEISA-N 0 2 321.406 0.895 20 0 DCADLN O=C(CCc1ccoc1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437662543 1007232501 /nfs/dbraw/zinc/23/25/01/1007232501.db2.gz MHMKWXGIWBCKHC-LBPRGKRZSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(CCc1ccoc1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437662543 1007232509 /nfs/dbraw/zinc/23/25/09/1007232509.db2.gz MHMKWXGIWBCKHC-LBPRGKRZSA-N 0 2 319.365 0.817 20 0 DCADLN CC(C)(C)OCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001437887249 1007481667 /nfs/dbraw/zinc/48/16/67/1007481667.db2.gz XYXOIHGNOCNFHB-PHIMTYICSA-N 0 2 323.397 0.501 20 0 DCADLN C[C@H](c1nnnn1C)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001438124351 1007652145 /nfs/dbraw/zinc/65/21/45/1007652145.db2.gz UVWNNEJIUKLDRK-HTRCEHHLSA-N 0 2 324.282 0.220 20 0 DCADLN C[C@H](c1nnnn1C)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001438124351 1007652152 /nfs/dbraw/zinc/65/21/52/1007652152.db2.gz UVWNNEJIUKLDRK-HTRCEHHLSA-N 0 2 324.282 0.220 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+](C)C[C@@H]1CCCCN1C(=O)c1[nH]nnc1C ZINC001440514982 1007859470 /nfs/dbraw/zinc/85/94/70/1007859470.db2.gz GXNDEISQRUSLMS-RYUDHWBXSA-N 0 2 322.413 0.174 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001433173171 1008578203 /nfs/dbraw/zinc/57/82/03/1008578203.db2.gz VZRRSQMVLOSPOQ-VIFPVBQESA-N 0 2 324.278 0.851 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001433173171 1008578220 /nfs/dbraw/zinc/57/82/20/1008578220.db2.gz VZRRSQMVLOSPOQ-VIFPVBQESA-N 0 2 324.278 0.851 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001433226177 1008618188 /nfs/dbraw/zinc/61/81/88/1008618188.db2.gz NBLNAYRRSJOIAN-IUCAKERBSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CCCC1)[C@H](F)C(F)(F)F ZINC001433226177 1008618193 /nfs/dbraw/zinc/61/81/93/1008618193.db2.gz NBLNAYRRSJOIAN-IUCAKERBSA-N 0 2 314.279 0.670 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)CNC(=O)c2cnc[nH]c2=O)c1C ZINC001433524781 1008897261 /nfs/dbraw/zinc/89/72/61/1008897261.db2.gz CZPHDQWANKCXIN-ZETCQYMHSA-N 0 2 318.337 0.070 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001454303600 1009055232 /nfs/dbraw/zinc/05/52/32/1009055232.db2.gz BKAWRLBKJJSCSX-FNCVBFRFSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC001454303600 1009055247 /nfs/dbraw/zinc/05/52/47/1009055247.db2.gz BKAWRLBKJJSCSX-FNCVBFRFSA-N 0 2 312.263 0.687 20 0 DCADLN C[C@H](CNC(=O)COCC1CC1)NC(=O)C(F)C(F)(F)F ZINC001454563177 1009191928 /nfs/dbraw/zinc/19/19/28/1009191928.db2.gz OINPZBIHAQMSBU-XCBNKYQSSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)COCC1CC1)NC(=O)[C@H](F)C(F)(F)F ZINC001454563177 1009191935 /nfs/dbraw/zinc/19/19/35/1009191935.db2.gz OINPZBIHAQMSBU-XCBNKYQSSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1n[nH]cc1F ZINC001454639806 1009238219 /nfs/dbraw/zinc/23/82/19/1009238219.db2.gz SHMQTGUFBLAEAY-FBCQKBJTSA-N 0 2 314.214 0.684 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1n[nH]cc1F ZINC001454639806 1009238231 /nfs/dbraw/zinc/23/82/31/1009238231.db2.gz SHMQTGUFBLAEAY-FBCQKBJTSA-N 0 2 314.214 0.684 20 0 DCADLN CCOC(=O)[C@@H]1C[C@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001433944836 1009384049 /nfs/dbraw/zinc/38/40/49/1009384049.db2.gz ZARBSKPLXHLKSY-IVZWLZJFSA-N 0 2 308.338 0.416 20 0 DCADLN CC(C)c1scnc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442577193 1009489592 /nfs/dbraw/zinc/48/95/92/1009489592.db2.gz SUFWXFBSJHCCFZ-UHFFFAOYSA-N 0 2 324.410 0.952 20 0 DCADLN CC(C)c1scnc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442577193 1009489599 /nfs/dbraw/zinc/48/95/99/1009489599.db2.gz SUFWXFBSJHCCFZ-UHFFFAOYSA-N 0 2 324.410 0.952 20 0 DCADLN CC[N@H+](CCNC(=O)c1c(C)noc1C)Cc1n[nH]c(=O)[n-]1 ZINC001442637923 1009556418 /nfs/dbraw/zinc/55/64/18/1009556418.db2.gz XFPQDKYFFBGGNB-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN CC[N@@H+](CCNC(=O)c1c(C)noc1C)Cc1n[nH]c(=O)[n-]1 ZINC001442637923 1009556426 /nfs/dbraw/zinc/55/64/26/1009556426.db2.gz XFPQDKYFFBGGNB-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)N(C)C(=O)C(F)C(F)(F)F ZINC001442702407 1009644563 /nfs/dbraw/zinc/64/45/63/1009644563.db2.gz IHCCGBMVYVNDJH-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001442702407 1009644577 /nfs/dbraw/zinc/64/45/77/1009644577.db2.gz IHCCGBMVYVNDJH-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](NC(=O)[C@@H]1COCCN1CC(F)F)c1nn(C)cc1O ZINC001434405955 1009912431 /nfs/dbraw/zinc/91/24/31/1009912431.db2.gz DFUKSBRJLNCGLQ-IUCAKERBSA-N 0 2 318.324 0.269 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)N(C)C(=O)C(F)C(F)(F)F ZINC001442910196 1009925773 /nfs/dbraw/zinc/92/57/73/1009925773.db2.gz RDBUWLHYCSSPLQ-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001442910196 1009925779 /nfs/dbraw/zinc/92/57/79/1009925779.db2.gz RDBUWLHYCSSPLQ-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN Cc1ncoc1C[NH2+][C@H](C)[C@@H](C)NC(=O)CCc1cnn[nH]1 ZINC001422377241 1009979813 /nfs/dbraw/zinc/97/98/13/1009979813.db2.gz MVQLHPZDYGVULH-NXEZZACHSA-N 0 2 306.370 0.717 20 0 DCADLN CCn1nncc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001456237659 1010139146 /nfs/dbraw/zinc/13/91/46/1010139146.db2.gz BNPOFSVJYWSBRO-UHFFFAOYSA-N 0 2 306.326 0.122 20 0 DCADLN CC(C)OC(=O)C(C)(C)NS(=O)(=O)N=S(C)(C)=O ZINC001434653050 1010162162 /nfs/dbraw/zinc/16/21/62/1010162162.db2.gz HEVXCBGSLRLYAI-UHFFFAOYSA-N 0 2 300.402 0.279 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422529471 1010249694 /nfs/dbraw/zinc/24/96/94/1010249694.db2.gz SFHWQAAPNKDELI-UMNHJUIQSA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422529471 1010249702 /nfs/dbraw/zinc/24/97/02/1010249702.db2.gz SFHWQAAPNKDELI-UMNHJUIQSA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)[C@@H](CF)NS(=O)(=O)C[C@@H]1CCC2(CCC2)O1 ZINC001475349854 1017094903 /nfs/dbraw/zinc/09/49/03/1017094903.db2.gz WSGJTYCKQQCPII-VHSXEESVSA-N 0 2 309.359 0.519 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1O ZINC001422779033 1010600055 /nfs/dbraw/zinc/60/00/55/1010600055.db2.gz JTQZGPLCSUMVPP-SECBINFHSA-N 0 2 305.338 0.466 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1O ZINC001422779033 1010600062 /nfs/dbraw/zinc/60/00/62/1010600062.db2.gz JTQZGPLCSUMVPP-SECBINFHSA-N 0 2 305.338 0.466 20 0 DCADLN COC[C@H](C)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443828976 1010687616 /nfs/dbraw/zinc/68/76/16/1010687616.db2.gz BPVHONRPGIRZLN-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN COC[C@H](C)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443828976 1010687624 /nfs/dbraw/zinc/68/76/24/1010687624.db2.gz BPVHONRPGIRZLN-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN O=C(CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F)NC1CC1 ZINC001423105830 1010893083 /nfs/dbraw/zinc/89/30/83/1010893083.db2.gz ZEQQGSLHBZMFNU-WCBMZHEXSA-N 0 2 311.279 0.356 20 0 DCADLN O=C(CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F)NC1CC1 ZINC001423105830 1010893089 /nfs/dbraw/zinc/89/30/89/1010893089.db2.gz ZEQQGSLHBZMFNU-WCBMZHEXSA-N 0 2 311.279 0.356 20 0 DCADLN Cc1conc1CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001423214637 1010985294 /nfs/dbraw/zinc/98/52/94/1010985294.db2.gz NWTDIWVJXXJBHS-APPZFPTMSA-N 0 2 313.251 0.450 20 0 DCADLN C[C@H](CNC(=O)c1cnns1)NC(=O)C(F)C(F)(F)F ZINC001431654975 1011509415 /nfs/dbraw/zinc/50/94/15/1011509415.db2.gz JWGGBPOGLUJBIE-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)c1cnns1)NC(=O)[C@@H](F)C(F)(F)F ZINC001431654975 1011509429 /nfs/dbraw/zinc/50/94/29/1011509429.db2.gz JWGGBPOGLUJBIE-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN CCc1cc(=O)n2nc(NC(=O)c3nn(C)cc3Cl)[nH]c2n1 ZINC001534148240 1011550968 /nfs/dbraw/zinc/55/09/68/1011550968.db2.gz GOLTTYQQWVPAIC-UHFFFAOYSA-N 0 2 321.728 0.619 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)/C=C\C2CC2)CC1 ZINC001535697089 1011962366 /nfs/dbraw/zinc/96/23/66/1011962366.db2.gz NLPVEQLBKGWOQC-PLNGDYQASA-N 0 2 302.378 0.992 20 0 DCADLN O=C(Cc1ncc[nH]1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001506186925 1017106226 /nfs/dbraw/zinc/10/62/26/1017106226.db2.gz XAQJEKDJIUYTHD-VIFPVBQESA-N 0 2 322.262 0.618 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)C1=CCOCC1 ZINC001432167213 1012070701 /nfs/dbraw/zinc/07/07/01/1012070701.db2.gz ZRQHLZXOVAGEOD-VIFPVBQESA-N 0 2 324.274 0.999 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)C1=CCOCC1 ZINC001432167213 1012070717 /nfs/dbraw/zinc/07/07/17/1012070717.db2.gz ZRQHLZXOVAGEOD-VIFPVBQESA-N 0 2 324.274 0.999 20 0 DCADLN C[C@H](C[N@H+](C)CCn1cncn1)NC(=O)CCc1c[nH+]cn1C ZINC001445849548 1012352544 /nfs/dbraw/zinc/35/25/44/1012352544.db2.gz WJWLRQKAZMBTAO-CYBMUJFWSA-N 0 2 319.413 0.081 20 0 DCADLN Cc1nnc(C[NH2+]C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C2CC2)o1 ZINC001445930471 1012436673 /nfs/dbraw/zinc/43/66/73/1012436673.db2.gz VWXUDSCBXMYRLU-SNVBAGLBSA-N 0 2 320.353 0.413 20 0 DCADLN CCN(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)C ZINC001475727025 1017143809 /nfs/dbraw/zinc/14/38/09/1017143809.db2.gz PPSBKMGBZPMXAD-UHFFFAOYSA-N 0 2 303.388 0.289 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccncn2)C1 ZINC001506294614 1017186839 /nfs/dbraw/zinc/18/68/39/1017186839.db2.gz MYXIBNYNSPULRO-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2ccncn2)C1 ZINC001506294614 1017186847 /nfs/dbraw/zinc/18/68/47/1017186847.db2.gz MYXIBNYNSPULRO-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN Cc1ocnc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478334912 1017342842 /nfs/dbraw/zinc/34/28/42/1017342842.db2.gz KKJYEXMINFUHDG-SECBINFHSA-N 0 2 306.326 0.896 20 0 DCADLN C[C@H](NC(=O)[C@]1(C)CCCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506665396 1017451978 /nfs/dbraw/zinc/45/19/78/1017451978.db2.gz AQCPRNGVZFTUSK-ZUZCIYMTSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(NCC1CN(Cc2cnsn2)C1)C(F)C(F)(F)F ZINC001494556493 1017784315 /nfs/dbraw/zinc/78/43/15/1017784315.db2.gz NDHAVZFHMOFXGL-QMMMGPOBSA-N 0 2 312.292 0.987 20 0 DCADLN O=C(NCC1CN(Cc2cnsn2)C1)[C@H](F)C(F)(F)F ZINC001494556493 1017784331 /nfs/dbraw/zinc/78/43/31/1017784331.db2.gz NDHAVZFHMOFXGL-QMMMGPOBSA-N 0 2 312.292 0.987 20 0 DCADLN C[C@]1(NC(=O)CC(F)(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500190733 1018291073 /nfs/dbraw/zinc/29/10/73/1018291073.db2.gz IAMQIBVAJDQCFN-JTQLQIEISA-N 0 2 307.276 0.543 20 0 DCADLN C[C@]1(NC(=O)CC(F)(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500190733 1018291101 /nfs/dbraw/zinc/29/11/01/1018291101.db2.gz IAMQIBVAJDQCFN-JTQLQIEISA-N 0 2 307.276 0.543 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001498388182 1019042355 /nfs/dbraw/zinc/04/23/55/1019042355.db2.gz FQFDRZCLNQQIPO-SECBINFHSA-N 0 2 320.353 0.170 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001498388182 1019042368 /nfs/dbraw/zinc/04/23/68/1019042368.db2.gz FQFDRZCLNQQIPO-SECBINFHSA-N 0 2 320.353 0.170 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493084078 1019084607 /nfs/dbraw/zinc/08/46/07/1019084607.db2.gz HCMTUVUFPJXKIS-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493084078 1019084622 /nfs/dbraw/zinc/08/46/22/1019084622.db2.gz HCMTUVUFPJXKIS-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001493126226 1019124325 /nfs/dbraw/zinc/12/43/25/1019124325.db2.gz RZRJODSAOXYEHR-DGCLKSJQSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001493126226 1019124333 /nfs/dbraw/zinc/12/43/33/1019124333.db2.gz RZRJODSAOXYEHR-DGCLKSJQSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CCC[C@H]1CNC(=O)Cn1cc[nH+]c1C ZINC001493162303 1019155469 /nfs/dbraw/zinc/15/54/69/1019155469.db2.gz YOWHVFUZTMFHDH-QWHCGFSZSA-N 0 2 307.398 0.036 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001501546615 1019285318 /nfs/dbraw/zinc/28/53/18/1019285318.db2.gz LTLLBOUHABCZFM-NKWVEPMBSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001501546615 1019285326 /nfs/dbraw/zinc/28/53/26/1019285326.db2.gz LTLLBOUHABCZFM-NKWVEPMBSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)OCC(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493364924 1019362709 /nfs/dbraw/zinc/36/27/09/1019362709.db2.gz WAOWWVNVLXBFAN-UHFFFAOYSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)(C)OCC(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493364924 1019362720 /nfs/dbraw/zinc/36/27/20/1019362720.db2.gz WAOWWVNVLXBFAN-UHFFFAOYSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(Cn1cccn1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001501685742 1019383810 /nfs/dbraw/zinc/38/38/10/1019383810.db2.gz NXOJBQVYVNPTQY-YOLVWIGZSA-N 0 2 322.262 0.572 20 0 DCADLN O=C(Cn1cccn1)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001501685742 1019383829 /nfs/dbraw/zinc/38/38/29/1019383829.db2.gz NXOJBQVYVNPTQY-YOLVWIGZSA-N 0 2 322.262 0.572 20 0 DCADLN O=C(C[C@H]1C=CCC1)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001501755205 1019447093 /nfs/dbraw/zinc/44/70/93/1019447093.db2.gz RZEMFBUUAHBRFU-NWDGAFQWSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cnon1 ZINC001493663690 1019605334 /nfs/dbraw/zinc/60/53/34/1019605334.db2.gz KAGLLCUSKFGLMX-XPUUQOCRSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1)c1cnon1 ZINC001493663690 1019605345 /nfs/dbraw/zinc/60/53/45/1019605345.db2.gz KAGLLCUSKFGLMX-XPUUQOCRSA-N 0 2 324.234 0.595 20 0 DCADLN CC[C@H](C)OCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001493915386 1019813039 /nfs/dbraw/zinc/81/30/39/1019813039.db2.gz ZZXMOUSNLXZKFD-SDDRHHMPSA-N 0 2 323.397 0.501 20 0 DCADLN CS[C@H](C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001494345699 1020141243 /nfs/dbraw/zinc/14/12/43/1020141243.db2.gz FJYMDGFBQALPIK-SECBINFHSA-N 0 2 321.406 0.075 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CCc1ccc(S(N)(=O)=O)cc1 ZINC001607948683 1170531863 /nfs/dbraw/zinc/53/18/63/1170531863.db2.gz DRQLJBBKWSNCBY-UHFFFAOYSA-N 0 2 309.347 0.780 20 0 DCADLN O=C([O-])CCN1CC[C@H]([NH+]2CCC(O)(C(F)(F)F)CC2)C1=O ZINC001608723413 1170744558 /nfs/dbraw/zinc/74/45/58/1170744558.db2.gz OQSRIRGSJQWOIW-VIFPVBQESA-N 0 2 324.299 0.451 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc(CCOC)ccn2)c1O ZINC000286546333 219047547 /nfs/dbraw/zinc/04/75/47/219047547.db2.gz UHULUUNVACWHTM-LLVKDONJSA-N 0 2 307.306 0.258 20 0 DCADLN CC(C)[C@H](NC(=O)C1=NN(c2ccc(F)cc2)CC1=O)C(N)=O ZINC000081959026 192345607 /nfs/dbraw/zinc/34/56/07/192345607.db2.gz NAIBDSSDZPVRKY-LBPRGKRZSA-N 0 2 320.324 0.957 20 0 DCADLN Cc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c(C)o1 ZINC000092617994 185329429 /nfs/dbraw/zinc/32/94/29/185329429.db2.gz NIONJZFUOKLFHA-UHFFFAOYSA-N 0 2 305.338 0.266 20 0 DCADLN CC(C)(C)[C@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)CCO1 ZINC000279917152 215233967 /nfs/dbraw/zinc/23/39/67/215233967.db2.gz VTYZIQUXBZPSFF-MRVPVSSYSA-N 0 2 300.384 0.464 20 0 DCADLN CSCCONC(=O)CNC(=O)Cc1cccc(F)c1 ZINC000279979139 215286589 /nfs/dbraw/zinc/28/65/89/215286589.db2.gz KXNFRSSMYXYKCH-UHFFFAOYSA-N 0 2 300.355 0.895 20 0 DCADLN C[C@]1(C(N)=O)CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000280128936 215396640 /nfs/dbraw/zinc/39/66/40/215396640.db2.gz RJBXEOYFMOKDQK-INIZCTEOSA-N 0 2 314.345 0.915 20 0 DCADLN CCOC(=O)CCc1ccc(NC(=O)c2nc(=O)[nH][nH]2)cc1 ZINC000155724525 291221886 /nfs/dbraw/zinc/22/18/86/291221886.db2.gz SLWJZSYRRFBCKG-UHFFFAOYSA-N 0 2 304.306 0.846 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CCC[C@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000566297403 291292400 /nfs/dbraw/zinc/29/24/00/291292400.db2.gz JVNUYFDABDJXLG-UPJWGTAASA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CCC[C@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000566297403 291292401 /nfs/dbraw/zinc/29/24/01/291292401.db2.gz JVNUYFDABDJXLG-UPJWGTAASA-N 0 2 320.393 0.985 20 0 DCADLN CC(=O)NC[C@H]1C[N@@H+]([C@H](C(=O)[O-])c2ccccc2C)CCO1 ZINC000566359324 291296605 /nfs/dbraw/zinc/29/66/05/291296605.db2.gz HTYFEYPKIBCMNW-ZFWWWQNUSA-N 0 2 306.362 0.958 20 0 DCADLN CC(=O)NC[C@H]1C[N@H+]([C@H](C(=O)[O-])c2ccccc2C)CCO1 ZINC000566359324 291296606 /nfs/dbraw/zinc/29/66/06/291296606.db2.gz HTYFEYPKIBCMNW-ZFWWWQNUSA-N 0 2 306.362 0.958 20 0 DCADLN CC[N@H+](CCCNC(=O)C(=O)NCCC(=O)[O-])c1ccccc1 ZINC000420540505 240044118 /nfs/dbraw/zinc/04/41/18/240044118.db2.gz WNOQDFIEMISELQ-UHFFFAOYSA-N 0 2 321.377 0.610 20 0 DCADLN CC[N@@H+](CCCNC(=O)C(=O)NCCC(=O)[O-])c1ccccc1 ZINC000420540505 240044122 /nfs/dbraw/zinc/04/41/22/240044122.db2.gz WNOQDFIEMISELQ-UHFFFAOYSA-N 0 2 321.377 0.610 20 0 DCADLN CCc1ccccc1S(=O)(=O)Nc1ccn(CC(N)=O)n1 ZINC000488227939 241089982 /nfs/dbraw/zinc/08/99/82/241089982.db2.gz HKJVXAYLPFEPPV-UHFFFAOYSA-N 0 2 308.363 0.732 20 0 DCADLN CCn1nccc1/C=C\C(=O)NS(=O)(=O)c1cnn(C)c1C ZINC000493700109 241150716 /nfs/dbraw/zinc/15/07/16/241150716.db2.gz ZNCALHJMCAMWSI-WAYWQWQTSA-N 0 2 323.378 0.463 20 0 DCADLN Cc1c(C=CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cnn1C ZINC000493845435 241166459 /nfs/dbraw/zinc/16/64/59/241166459.db2.gz AQAIJAQWYVLDCE-FYJFLYSWSA-N 0 2 316.365 0.972 20 0 DCADLN C[N@H+](C[C@@H](O)C[NH2+][C@@H]1CC(=O)N(c2ccccc2)C1)C1CC1 ZINC000567680736 291369003 /nfs/dbraw/zinc/36/90/03/291369003.db2.gz DEEBDXARTCHAKX-CJNGLKHVSA-N 0 2 303.406 0.837 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cncc(Br)c1 ZINC000126150675 545769773 /nfs/dbraw/zinc/76/97/73/545769773.db2.gz GRIOWFQRQXHJDS-UHFFFAOYSA-N 0 2 313.115 0.577 20 0 DCADLN COC(=O)CCN(CC(C)C)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275006714 212069714 /nfs/dbraw/zinc/06/97/14/212069714.db2.gz PDTFHGPLTPWGKG-UHFFFAOYSA-N 0 2 316.383 0.238 20 0 DCADLN COc1ccc(NC(=O)N2CCO[C@@H](CNC(C)=O)C2)cn1 ZINC000265440429 204613520 /nfs/dbraw/zinc/61/35/20/204613520.db2.gz UROSQYXGFJBZBJ-LBPRGKRZSA-N 0 2 308.338 0.459 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@H](CCO)CC1 ZINC000572060429 291546928 /nfs/dbraw/zinc/54/69/28/291546928.db2.gz VZZDLIFFALXPIF-VIFPVBQESA-N 0 2 304.334 0.868 20 0 DCADLN CC[C@H](CSC)NC(=O)NCCCN1C(=O)CNC1=O ZINC000271680174 209121406 /nfs/dbraw/zinc/12/14/06/209121406.db2.gz JRXQWKHTFZLKDA-SECBINFHSA-N 0 2 302.400 0.369 20 0 DCADLN COC[C@@](C)(NC(=O)c1cc(F)c(O)c(F)c1)C(=O)OC ZINC000273813982 211081521 /nfs/dbraw/zinc/08/15/21/211081521.db2.gz DPFVDSWJCRLFRF-CYBMUJFWSA-N 0 2 303.261 0.978 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc2oc(=O)n(C)c2c1 ZINC000110589879 194340037 /nfs/dbraw/zinc/34/00/37/194340037.db2.gz YHLWNDRJWCYRSU-UHFFFAOYSA-N 0 2 308.319 0.964 20 0 DCADLN CC[C@H](C)[C@@H](O)CNC(=O)[C@H]1CCCN(S(=O)(=O)CC)C1 ZINC000331043005 252648715 /nfs/dbraw/zinc/64/87/15/252648715.db2.gz DJZIGIKUGPJHSL-AVGNSLFASA-N 0 2 320.455 0.571 20 0 DCADLN C[C@H](CO)N(C)C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000338897380 253006341 /nfs/dbraw/zinc/00/63/41/253006341.db2.gz BOAXNUOZTOFCMR-SSDOTTSWSA-N 0 2 300.384 0.035 20 0 DCADLN CC[C@H](CCO)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000339449893 253086174 /nfs/dbraw/zinc/08/61/74/253086174.db2.gz VKNIVZIFDJOEHH-MRVPVSSYSA-N 0 2 306.322 0.635 20 0 DCADLN COCCn1cc(NS(=O)(=O)c2ccc(C(N)=O)cc2)cn1 ZINC000340235919 253222720 /nfs/dbraw/zinc/22/27/20/253222720.db2.gz LRMNEJAWUVDAFG-UHFFFAOYSA-N 0 2 324.362 0.429 20 0 DCADLN CCCCCS(=O)(=O)CC(=O)N1CCc2[nH]nnc2C1 ZINC000346026219 254000608 /nfs/dbraw/zinc/00/06/08/254000608.db2.gz AHNQNIDQMLHUCF-UHFFFAOYSA-N 0 2 300.384 0.294 20 0 DCADLN COC(=O)C[C@H]1CN(CC(=O)NOCc2ccccc2)CCO1 ZINC000271393136 208874267 /nfs/dbraw/zinc/87/42/67/208874267.db2.gz RQXSMKLYQXQUHM-AWEZNQCLSA-N 0 2 322.361 0.498 20 0 DCADLN CC(C)C[C@@H]1C[C@@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)CC(=O)N1 ZINC000350864627 254327294 /nfs/dbraw/zinc/32/72/94/254327294.db2.gz IXNKLRAHHPCVGB-GHMZBOCLSA-N 0 2 323.397 0.500 20 0 DCADLN CN(C)C(=O)COc1cccc(NC(=O)C(N)C(F)(F)F)c1 ZINC000353190681 254447432 /nfs/dbraw/zinc/44/74/32/254447432.db2.gz VWOAIVWHYPVBGP-LLVKDONJSA-N 0 2 319.283 0.982 20 0 DCADLN CN(C)C(=O)COc1cccc(NC(=O)[C@@H](N)C(F)(F)F)c1 ZINC000353190681 254447433 /nfs/dbraw/zinc/44/74/33/254447433.db2.gz VWOAIVWHYPVBGP-LLVKDONJSA-N 0 2 319.283 0.982 20 0 DCADLN CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(=O)NCCn1cc[nH+]c1 ZINC000284093043 131048574 /nfs/dbraw/zinc/04/85/74/131048574.db2.gz UXWLMHJMYCIDEB-KGLIPLIRSA-N 0 2 319.409 0.085 20 0 DCADLN CCOc1cccc(N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)n1 ZINC000091558734 395726101 /nfs/dbraw/zinc/72/61/01/395726101.db2.gz LXNZACIVESVTCE-UHFFFAOYSA-N 0 2 304.354 0.214 20 0 DCADLN CS(=O)(=O)Nc1ccccc1NS(=O)(=O)c1cn[nH]c1 ZINC000040829828 395735312 /nfs/dbraw/zinc/73/53/12/395735312.db2.gz PHOXYJSPUOMYPW-UHFFFAOYSA-N 0 2 316.364 0.582 20 0 DCADLN NC(=O)c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1Cl ZINC000040829295 395735372 /nfs/dbraw/zinc/73/53/72/395735372.db2.gz LJYXXQRXPWCWCE-UHFFFAOYSA-N 0 2 300.727 0.963 20 0 DCADLN COc1ccc(C(C)(C)CNC(=O)c2nc(=O)[nH][nH]2)cc1OC ZINC000106291708 395781688 /nfs/dbraw/zinc/78/16/88/395781688.db2.gz XVPZERIEBZNELV-UHFFFAOYSA-N 0 2 320.349 0.823 20 0 DCADLN Cn1c2ccc(S(=O)(=O)Nc3ccon3)cc2n(C)c1=O ZINC000047164027 395788359 /nfs/dbraw/zinc/78/83/59/395788359.db2.gz NAHUUXRFZCHHBW-UHFFFAOYSA-N 0 2 308.319 0.666 20 0 DCADLN CN1C[C@H](C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CC1=O ZINC000103522145 395774763 /nfs/dbraw/zinc/77/47/63/395774763.db2.gz MMJJMSXWQQSTTL-SECBINFHSA-N 0 2 302.290 0.447 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2noc(C)n2)c(C)o1 ZINC000270658259 395794825 /nfs/dbraw/zinc/79/48/25/395794825.db2.gz NGEKFWDXXLUHBU-UHFFFAOYSA-N 0 2 301.280 0.867 20 0 DCADLN NC(=O)[C@H]1CC[C@@H](CNC(=O)c2cc(F)c(F)c(O)c2F)O1 ZINC000273409430 395919267 /nfs/dbraw/zinc/91/92/67/395919267.db2.gz KGCQKHYGQILZHL-YLWLKBPMSA-N 0 2 318.251 0.572 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccccc2-n2cncn2)cn1 ZINC000055583577 395920295 /nfs/dbraw/zinc/92/02/95/395920295.db2.gz RNVFLKPKFJPFRX-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN Cc1nnc(NC(=O)c2sccc2S(N)(=O)=O)s1 ZINC000139871256 395955100 /nfs/dbraw/zinc/95/51/00/395955100.db2.gz JCPYCHWJVVFHIA-UHFFFAOYSA-N 0 2 304.378 0.808 20 0 DCADLN COC(=O)Cc1cccc(NS(=O)(=O)c2cnn(C)c2)c1 ZINC000150782078 395972875 /nfs/dbraw/zinc/97/28/75/395972875.db2.gz UKUWSHLSTUPTKY-UHFFFAOYSA-N 0 2 309.347 0.936 20 0 DCADLN Cc1n[nH]cc1CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000073075796 396141582 /nfs/dbraw/zinc/14/15/82/396141582.db2.gz MZPZLUSPYQBGOS-UHFFFAOYSA-N 0 2 314.305 0.294 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc([S@@](C)=O)c2)c1O ZINC000278005381 396099873 /nfs/dbraw/zinc/09/98/73/396099873.db2.gz GDILBPPNNQXBOO-CHNSCGDPSA-N 0 2 310.331 0.412 20 0 DCADLN O=C([O-])CNC(=O)C[NH+]1CCN(c2ccc(Cl)cc2)CC1 ZINC000262285289 396118182 /nfs/dbraw/zinc/11/81/82/396118182.db2.gz XKFVXOFMLGDLTR-UHFFFAOYSA-N 0 2 311.769 0.663 20 0 DCADLN NS(=O)(=O)c1ccc(CNC(=O)[C@@H](F)C(F)(F)F)s1 ZINC000278500175 396128054 /nfs/dbraw/zinc/12/80/54/396128054.db2.gz AHDOWVIKUVIZDS-ZCFIWIBFSA-N 0 2 320.289 0.912 20 0 DCADLN NS(=O)(=O)c1ccc(CNC(=O)C(F)C(F)(F)F)s1 ZINC000278500175 396128058 /nfs/dbraw/zinc/12/80/58/396128058.db2.gz AHDOWVIKUVIZDS-ZCFIWIBFSA-N 0 2 320.289 0.912 20 0 DCADLN CCCc1nnc(NS(=O)(=O)c2cnn(CCOC)c2)o1 ZINC000278516051 396128484 /nfs/dbraw/zinc/12/84/84/396128484.db2.gz QXEIVMQOLWKWMV-UHFFFAOYSA-N 0 2 315.355 0.666 20 0 DCADLN COc1cc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)ncn1 ZINC000277624706 396078735 /nfs/dbraw/zinc/07/87/35/396078735.db2.gz KHONJDOOECYIFD-UHFFFAOYSA-N 0 2 306.244 0.881 20 0 DCADLN CO[C@@H](CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)C ZINC000074020957 396155724 /nfs/dbraw/zinc/15/57/24/396155724.db2.gz OOBPLPYQANLSGA-JTQLQIEISA-N 0 2 306.322 0.425 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NCCOc1ccc2c(c1)OCO2 ZINC000262650896 396168656 /nfs/dbraw/zinc/16/86/56/396168656.db2.gz LJCPKONWKUIBLE-JTQLQIEISA-N 0 2 324.333 0.315 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NCCOc1ccc2c(c1)OCO2 ZINC000262650896 396168658 /nfs/dbraw/zinc/16/86/58/396168658.db2.gz LJCPKONWKUIBLE-JTQLQIEISA-N 0 2 324.333 0.315 20 0 DCADLN CCS(=O)(=O)N1CCC[C@H](C(=O)Nc2nc(C)n[nH]2)C1 ZINC000264195365 396261331 /nfs/dbraw/zinc/26/13/31/396261331.db2.gz PGZVIVGWEJIMQH-VIFPVBQESA-N 0 2 301.372 0.113 20 0 DCADLN O=C(CCNC(=O)c1cc(F)c(O)c(F)c1)N1CCOCC1 ZINC000183368469 396268285 /nfs/dbraw/zinc/26/82/85/396268285.db2.gz CXURTRSGACDCPA-UHFFFAOYSA-N 0 2 314.288 0.649 20 0 DCADLN Cn1nccc1[C@H]1[C@H](Nc2cccc(C(=O)[O-])[nH+]2)CC(=O)N1C ZINC000263403280 396216203 /nfs/dbraw/zinc/21/62/03/396216203.db2.gz JQZYRPXUEBTAJI-QMTHXVAHSA-N 0 2 315.333 0.897 20 0 DCADLN C[C@H](CO)N(CC1CCC1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000280763486 396219626 /nfs/dbraw/zinc/21/96/26/396219626.db2.gz IIIYZEZNYHJKFJ-MRVPVSSYSA-N 0 2 300.384 0.200 20 0 DCADLN C[C@@H](CNC(=O)c1c[nH]c2c(cnn2C)c1=O)Cn1cccn1 ZINC000179709857 396229415 /nfs/dbraw/zinc/22/94/15/396229415.db2.gz CBVMCHCCHPEFIB-JTQLQIEISA-N 0 2 314.349 0.937 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@H]1CC[S@@](=O)C1)c2=O ZINC000081790638 396308288 /nfs/dbraw/zinc/30/82/88/396308288.db2.gz MUCWIBCRSIWYIC-FPVGNUTFSA-N 0 2 305.359 0.895 20 0 DCADLN CCNc1nc(N2CCO[C@H](C(N)=O)C2)nc(NC(C)(C)C)[nH+]1 ZINC000286072389 396373488 /nfs/dbraw/zinc/37/34/88/396373488.db2.gz CYELBLWRWBFNJT-VIFPVBQESA-N 0 2 323.401 0.204 20 0 DCADLN CCN1CN(C(=O)c2c[nH]c3nc(C)ccc3c2=O)CC1=O ZINC000191829080 396425430 /nfs/dbraw/zinc/42/54/30/396425430.db2.gz JVXPCZNVCQMDDV-UHFFFAOYSA-N 0 2 300.318 0.906 20 0 DCADLN COC(=O)c1ccc(CNS(=O)(=O)c2c(N)noc2C)o1 ZINC000290875898 396474403 /nfs/dbraw/zinc/47/44/03/396474403.db2.gz YKNHJZJHGZLYEJ-UHFFFAOYSA-N 0 2 315.307 0.423 20 0 DCADLN CCCc1nnc(SCC(=O)NOC/C=C/Cl)n1N ZINC000291999915 396523933 /nfs/dbraw/zinc/52/39/33/396523933.db2.gz BPORJOYPFSCMNI-HWKANZROSA-N 0 2 305.791 0.837 20 0 DCADLN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000372968296 396557307 /nfs/dbraw/zinc/55/73/07/396557307.db2.gz RMIMDEYXKSHDFT-BQBZGAKWSA-N 0 2 306.281 0.427 20 0 DCADLN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000372968296 396557310 /nfs/dbraw/zinc/55/73/10/396557310.db2.gz RMIMDEYXKSHDFT-BQBZGAKWSA-N 0 2 306.281 0.427 20 0 DCADLN CC(C)CNC(=O)C[N@H+]1CC[C@@H](N2CC[NH+](C)CC2)[C@H](C)C1 ZINC000374322110 396655495 /nfs/dbraw/zinc/65/54/95/396655495.db2.gz BQJLYWZLLPMIOC-HZPDHXFCSA-N 0 2 310.486 0.716 20 0 DCADLN O=C([O-])[C@H]1CC[C@@H](C(=O)NCCc2cn3ccccc3[nH+]2)O1 ZINC000581943243 396595514 /nfs/dbraw/zinc/59/55/14/396595514.db2.gz VYGVFYPJKSMQDH-NWDGAFQWSA-N 0 2 303.318 0.625 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnc3c(c2)COCC3)c1O ZINC000586871875 396669736 /nfs/dbraw/zinc/66/97/36/396669736.db2.gz VHMFHAWHDYWFHA-NSHDSACASA-N 0 2 305.290 0.142 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000563144494 396707873 /nfs/dbraw/zinc/70/78/73/396707873.db2.gz RPJRVFHXRYAKRZ-UHFFFAOYSA-N 0 2 308.338 0.028 20 0 DCADLN CC(C)[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C1(CO)CCC1 ZINC000563402371 396728522 /nfs/dbraw/zinc/72/85/22/396728522.db2.gz OKDAZLMLKFDMKF-JTQLQIEISA-N 0 2 314.411 0.906 20 0 DCADLN O=C([O-])CN1CCCC[C@@H]([N@@H+]2CCO[C@@H](CCF)C2)C1=O ZINC000629500604 396771830 /nfs/dbraw/zinc/77/18/30/396771830.db2.gz FDXRHGWGOPNENN-NWDGAFQWSA-N 0 2 302.346 0.513 20 0 DCADLN O=C([O-])CN1CCCC[C@@H]([N@H+]2CCO[C@@H](CCF)C2)C1=O ZINC000629500604 396771835 /nfs/dbraw/zinc/77/18/35/396771835.db2.gz FDXRHGWGOPNENN-NWDGAFQWSA-N 0 2 302.346 0.513 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000629771090 396827063 /nfs/dbraw/zinc/82/70/63/396827063.db2.gz FOECCZYQIVSHHC-JTQLQIEISA-N 0 2 313.379 0.545 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCOCC12CC2 ZINC000328721072 396832554 /nfs/dbraw/zinc/83/25/54/396832554.db2.gz WOAHUKBWDJBCLV-UHFFFAOYSA-N 0 2 302.290 0.441 20 0 DCADLN COC(=O)C[C@H](O)CNC(=O)c1cc(F)cc(Cl)c1O ZINC000600455951 396846298 /nfs/dbraw/zinc/84/62/98/396846298.db2.gz BABUSCHOTZHDHO-ZETCQYMHSA-N 0 2 305.689 0.839 20 0 DCADLN CC(=O)NC[C@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000629859473 396859018 /nfs/dbraw/zinc/85/90/18/396859018.db2.gz SLWNBEIJEMEDFY-SECBINFHSA-N 0 2 313.383 0.120 20 0 DCADLN CCC(=O)N[C@@H](C)C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000590961376 396860216 /nfs/dbraw/zinc/86/02/16/396860216.db2.gz RKOYJPSKSRADCV-QMMMGPOBSA-N 0 2 304.306 0.883 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CCC(C(=O)[O-])CC3)[nH+]cn2)C1 ZINC000564089943 396808470 /nfs/dbraw/zinc/80/84/70/396808470.db2.gz KTUFGRPSDKJKPY-AAEUAGOBSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CCC(C(=O)[O-])CC3)nc[nH+]2)C1 ZINC000564089943 396808478 /nfs/dbraw/zinc/80/84/78/396808478.db2.gz KTUFGRPSDKJKPY-AAEUAGOBSA-N 0 2 320.393 0.985 20 0 DCADLN C[NH+](C)C[C@H]1CN(C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)CCO1 ZINC000332903040 396901239 /nfs/dbraw/zinc/90/12/39/396901239.db2.gz QHJTVJSOFIWWQB-RYUDHWBXSA-N 0 2 307.398 0.239 20 0 DCADLN COC[C@@H](C)n1[nH]c(CN2C(=O)c3ccccc3C2=O)cc1=O ZINC000634610945 396891557 /nfs/dbraw/zinc/89/15/57/396891557.db2.gz KJEXYJDBFGOTGF-SNVBAGLBSA-N 0 2 315.329 0.906 20 0 DCADLN CC(C)[C@@H](CNC(=O)C[N@H+](C)C1CCC1)N1CC[NH+](C)CC1 ZINC000629965313 396898967 /nfs/dbraw/zinc/89/89/67/396898967.db2.gz SOLCJDARKYNOIJ-MRXNPFEDSA-N 0 2 310.486 0.859 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCO[C@@H](OC)C2)c1 ZINC000597538926 397004831 /nfs/dbraw/zinc/00/48/31/397004831.db2.gz FQKMIZDSXUZQIW-SZNDQCEHSA-N 0 2 313.375 0.634 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(C(=O)Cc2cn3ccccc3[nH+]2)C1 ZINC000630251621 396970066 /nfs/dbraw/zinc/97/00/66/396970066.db2.gz YEODCOHEUONROY-HNNXBMFYSA-N 0 2 303.318 0.579 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H]1COc2ccccc2C1 ZINC000376791430 396978746 /nfs/dbraw/zinc/97/87/46/396978746.db2.gz GHSVKLQHNYVTKT-VIFPVBQESA-N 0 2 320.374 0.970 20 0 DCADLN C[C@@H]1CO[C@@H](CO)CN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612508348 397075685 /nfs/dbraw/zinc/07/56/85/397075685.db2.gz RYUPLMRFROHHKO-BXKDBHETSA-N 0 2 319.317 0.252 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1cnccc1-n1ccnn1 ZINC000377564575 397102652 /nfs/dbraw/zinc/10/26/52/397102652.db2.gz NZCNEYAHZQILCX-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN NC(=O)C1(NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCC1 ZINC000612780137 397137603 /nfs/dbraw/zinc/13/76/03/397137603.db2.gz KZYPVPGZMMVHAH-UHFFFAOYSA-N 0 2 302.290 0.168 20 0 DCADLN COC[C@@H](CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)OC ZINC000613374936 397249739 /nfs/dbraw/zinc/24/97/39/397249739.db2.gz PURJFPURLFJVKN-LLVKDONJSA-N 0 2 307.306 0.421 20 0 DCADLN O=C(CN1CCCS(=O)(=O)CC1)NOCc1ccccc1 ZINC000378385242 397236421 /nfs/dbraw/zinc/23/64/21/397236421.db2.gz QFNNCOTYRKPYSC-UHFFFAOYSA-N 0 2 312.391 0.355 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1CC(=O)N(C)C1 ZINC000574769337 397298294 /nfs/dbraw/zinc/29/82/94/397298294.db2.gz WHOLJYVRFMUWKY-SNVBAGLBSA-N 0 2 315.333 0.903 20 0 DCADLN C[C@H]1C(=O)N(C)CCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613685600 397300564 /nfs/dbraw/zinc/30/05/64/397300564.db2.gz PPARXCYPXXJKSP-VIFPVBQESA-N 0 2 316.317 0.333 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000592987913 397310040 /nfs/dbraw/zinc/31/00/40/397310040.db2.gz FIDCTGZREPXBQF-DMDPSCGWSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000592987913 397310044 /nfs/dbraw/zinc/31/00/44/397310044.db2.gz FIDCTGZREPXBQF-DMDPSCGWSA-N 0 2 314.382 0.629 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@H]3C[C@H](O)C3)=N2)cc1 ZINC000620874140 397312468 /nfs/dbraw/zinc/31/24/68/397312468.db2.gz WCLTYTPGVPPJIK-HOMQSWHASA-N 0 2 303.318 0.840 20 0 DCADLN O=C(NC[C@@H]1CC[C@H](O)C1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613891286 397343155 /nfs/dbraw/zinc/34/31/55/397343155.db2.gz ZZPZOJXTQKUKAE-SKDRFNHKSA-N 0 2 303.318 0.921 20 0 DCADLN COC(=O)c1cn([C@H]2CCN(c3cc[nH+]c(C(=O)[O-])c3)C2)nn1 ZINC000593326979 397352518 /nfs/dbraw/zinc/35/25/18/397352518.db2.gz LGDDBEHSWILVRV-JTQLQIEISA-N 0 2 317.305 0.609 20 0 DCADLN C[C@@H]1C[N@H+](C)CC[C@H]1N(C)C(=O)NC[C@H]1C[NH+](C2CC2)CCO1 ZINC000366551820 397374823 /nfs/dbraw/zinc/37/48/23/397374823.db2.gz ANCRJEIRTOGUFJ-VNQPRFMTSA-N 0 2 324.469 0.831 20 0 DCADLN COC(=O)C[C@@H](O)CNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000599735098 397518170 /nfs/dbraw/zinc/51/81/70/397518170.db2.gz HKWSKTYKKMBLTI-SSDOTTSWSA-N 0 2 316.383 0.303 20 0 DCADLN Cc1cnn(CC(=O)Nc2nnc(NS(C)(=O)=O)s2)c1 ZINC000049118111 158009860 /nfs/dbraw/zinc/00/98/60/158009860.db2.gz PCYKUVAXFPCPIS-UHFFFAOYSA-N 0 2 316.368 0.053 20 0 DCADLN O=C(NCCc1nccs1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000050772732 158012042 /nfs/dbraw/zinc/01/20/42/158012042.db2.gz ITBLIFBFXVMDTP-UHFFFAOYSA-N 0 2 317.330 0.453 20 0 DCADLN Cc1n[nH]cc1C(=O)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC000091751734 158109718 /nfs/dbraw/zinc/10/97/18/158109718.db2.gz JPHXPCPFIIDOCK-LBPRGKRZSA-N 0 2 313.317 0.721 20 0 DCADLN Cc1[nH]ncc1C(=O)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC000091751734 158109721 /nfs/dbraw/zinc/10/97/21/158109721.db2.gz JPHXPCPFIIDOCK-LBPRGKRZSA-N 0 2 313.317 0.721 20 0 DCADLN Cc1nc(CN(C)C(=O)c2c[nH]c3c(cnn3C)c2=O)no1 ZINC000119489514 158187385 /nfs/dbraw/zinc/18/73/85/158187385.db2.gz AXPZBCZPIRUADW-UHFFFAOYSA-N 0 2 302.294 0.638 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H](C)C(=O)N(C)C)c1 ZINC000133665761 158320365 /nfs/dbraw/zinc/32/03/65/158320365.db2.gz FMWILIBYZLVBMZ-CHNSCGDPSA-N 0 2 312.391 0.396 20 0 DCADLN CC(C)NC(=O)CCCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330593069 159199317 /nfs/dbraw/zinc/19/93/17/159199317.db2.gz AHBPSFVLMBCPKD-NSHDSACASA-N 0 2 323.397 0.911 20 0 DCADLN COc1ccc(C(=O)CCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000359273138 159259466 /nfs/dbraw/zinc/25/94/66/159259466.db2.gz RJFLEXQWGLAUII-UHFFFAOYSA-N 0 2 304.306 0.798 20 0 DCADLN O=C1C[C@H](NS(=O)(=O)NCC(F)(F)F)[C@H]2CCCCN12 ZINC000375713325 159812230 /nfs/dbraw/zinc/81/22/30/159812230.db2.gz XLLPWQADUHTYDP-JGVFFNPUSA-N 0 2 315.317 0.126 20 0 DCADLN CO[C@@H](C)C(=O)N1CCc2nc(NS(C)(=O)=O)sc2C1 ZINC000408080145 160031844 /nfs/dbraw/zinc/03/18/44/160031844.db2.gz LUUJGYZFWZCFFL-ZETCQYMHSA-N 0 2 319.408 0.434 20 0 DCADLN CC(=O)N1CCC[C@@H](C(=O)NS(=O)(=O)c2cccnc2)C1 ZINC000408194903 160057226 /nfs/dbraw/zinc/05/72/26/160057226.db2.gz ZZNBHXMUBQHTAO-LLVKDONJSA-N 0 2 311.363 0.145 20 0 DCADLN CC[C@@H]1C[C@@H](CC(=O)NS(=O)(=O)c2cnn(C)c2)CCO1 ZINC000408434493 160107802 /nfs/dbraw/zinc/10/78/02/160107802.db2.gz YRBFXTXWOINZQZ-WDEREUQCSA-N 0 2 315.395 0.820 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)CC[C@H]1CC[C@H](C)O1 ZINC000408446339 160109432 /nfs/dbraw/zinc/10/94/32/160109432.db2.gz JZXBBDREWIYMPP-GXSJLCMTSA-N 0 2 315.395 0.881 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N(C)[C@@H]1CC[N@@H+](C)C[C@H]1C ZINC000246366296 287082431 /nfs/dbraw/zinc/08/24/31/287082431.db2.gz QMSFRZLRDCRFQQ-RBSFLKMASA-N 0 2 312.458 0.689 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC1(CO)CCOCC1)c2=O ZINC000358394274 287208352 /nfs/dbraw/zinc/20/83/52/287208352.db2.gz GTLBHWKZAFGVHD-UHFFFAOYSA-N 0 2 320.349 0.193 20 0 DCADLN Cc1nc(C)c(C(=O)Nc2nnc(NS(C)(=O)=O)s2)o1 ZINC000359981236 287216019 /nfs/dbraw/zinc/21/60/19/287216019.db2.gz MKUKDRSUJAXPJE-UHFFFAOYSA-N 0 2 317.352 0.767 20 0 DCADLN CN1CC[N@@H+](C)C[C@@H]1CNc1cc[nH+]c(N2CCCCC2)n1 ZINC000352364776 415150694 /nfs/dbraw/zinc/15/06/94/415150694.db2.gz IIYSDHIEFHSUPK-AWEZNQCLSA-N 0 2 304.442 1.125 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)O1 ZINC000268100098 415157751 /nfs/dbraw/zinc/15/77/51/415157751.db2.gz HWKOUZVFOWTYPZ-NKWVEPMBSA-N 0 2 320.396 0.806 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@H]1CCC[C@@H]1O ZINC000331630789 415159948 /nfs/dbraw/zinc/15/99/48/415159948.db2.gz LGEARISZKBVVOR-PWSUYJOCSA-N 0 2 304.350 0.151 20 0 DCADLN CN(C(=O)c1ccc(Cn2cc[nH+]c2)cc1)[C@H]1COC[C@@H]1O ZINC000342517798 415196388 /nfs/dbraw/zinc/19/63/88/415196388.db2.gz XVXSDXTZDJZVGD-GJZGRUSLSA-N 0 2 301.346 0.763 20 0 DCADLN CCNc1nc(N(C)C[C@@H](C)C(=O)NC)nc(NC(C)(C)C)[nH+]1 ZINC000342839208 415309661 /nfs/dbraw/zinc/30/96/61/415309661.db2.gz AEWVVSUEHVVXFJ-SNVBAGLBSA-N 0 2 323.445 0.754 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1occc1Br ZINC000081794091 415489449 /nfs/dbraw/zinc/48/94/49/415489449.db2.gz DLMGSJYYWFFAQU-UHFFFAOYSA-N 0 2 315.127 0.816 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cccc2c1OCCO2 ZINC000080973618 415477720 /nfs/dbraw/zinc/47/77/20/415477720.db2.gz ZWRZSQFGOLXZCV-UHFFFAOYSA-N 0 2 304.306 0.232 20 0 DCADLN O=C(CNC(=O)c1nc(=O)[nH][nH]1)Nc1cccc2cccnc21 ZINC000101672394 415595737 /nfs/dbraw/zinc/59/57/37/415595737.db2.gz BQMMPASECVXJIL-UHFFFAOYSA-N 0 2 312.289 0.015 20 0 DCADLN COCc1nc(S(=O)(=O)[C@@H](C)C(=O)NC2CCCC2)n[nH]1 ZINC000353891492 415686558 /nfs/dbraw/zinc/68/65/58/415686558.db2.gz GDTCGXIMMCQQSG-QMMMGPOBSA-N 0 2 316.383 0.172 20 0 DCADLN COCc1nc(S(=O)(=O)CC(=O)N(C(C)C)C(C)C)n[nH]1 ZINC000353896282 415689543 /nfs/dbraw/zinc/68/95/43/415689543.db2.gz YCASJACVEDAGTP-UHFFFAOYSA-N 0 2 318.399 0.370 20 0 DCADLN COC(=O)C[C@@H](C)N1CCN(C(=O)c2c[nH]cc(C)c2=O)CC1 ZINC000334032250 415787981 /nfs/dbraw/zinc/78/79/81/415787981.db2.gz GPRNHZGHXLGGOS-GFCCVEGCSA-N 0 2 321.377 0.393 20 0 DCADLN CCN(CC)C(=O)N1CCN(C(=O)c2c[nH]cc(C)c2=O)CC1 ZINC000334033371 415788104 /nfs/dbraw/zinc/78/81/04/415788104.db2.gz XQDFWDDETHAKPX-UHFFFAOYSA-N 0 2 320.393 0.903 20 0 DCADLN CC1(C)C[C@@H]1NC(=O)N1CCC(N2CCCS2(=O)=O)CC1 ZINC000334033001 415789106 /nfs/dbraw/zinc/78/91/06/415789106.db2.gz MFLOEEBJDFFKGB-LBPRGKRZSA-N 0 2 315.439 0.994 20 0 DCADLN CCCN1C[C@@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC000334041033 415791123 /nfs/dbraw/zinc/79/11/23/415791123.db2.gz OKBIPCRTQPSIAW-MNOVXSKESA-N 0 2 321.381 0.475 20 0 DCADLN C[NH+](C)C[C@](C)(O)CNC(=O)c1ccnc(-n2cc[nH+]c2)c1 ZINC000152617381 415967151 /nfs/dbraw/zinc/96/71/51/415967151.db2.gz FTNKMPYOEVOYHI-OAHLLOKOSA-N 0 2 303.366 0.310 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2nc(C)cs2)cn1C ZINC000298262449 415999040 /nfs/dbraw/zinc/99/90/40/415999040.db2.gz ABIAQSJHHUKIIM-UHFFFAOYSA-N 0 2 314.392 0.950 20 0 DCADLN CCc1oncc1NS(=O)(=O)c1cnn(CC(=O)OC)c1 ZINC000337865190 416017694 /nfs/dbraw/zinc/01/76/94/416017694.db2.gz NXHIAERSPUGFTH-UHFFFAOYSA-N 0 2 314.323 0.407 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc(-n3cncn3)nc2)cn1C ZINC000357036027 416024157 /nfs/dbraw/zinc/02/41/57/416024157.db2.gz ILCUVHQEKHWTNC-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN CC(C)OC(=O)CC[C@H](C)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000357456091 416083466 /nfs/dbraw/zinc/08/34/66/416083466.db2.gz KJHKBBZRTDIMTP-QMMMGPOBSA-N 0 2 316.383 0.839 20 0 DCADLN O=C(N[C@@H]1CCCCNC1=O)C1=NN(c2ccccc2)CC1=O ZINC000175334483 416104629 /nfs/dbraw/zinc/10/46/29/416104629.db2.gz SSPYCHITTSSMFE-GFCCVEGCSA-N 0 2 314.345 0.976 20 0 DCADLN CC(=O)N1CC(NC(=O)c2c[nH]c3nc(C)ccc3c2=O)C1 ZINC000176633279 416131822 /nfs/dbraw/zinc/13/18/22/416131822.db2.gz VSJMCYGKODZMJV-UHFFFAOYSA-N 0 2 300.318 0.604 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2cc(F)c[nH]2)s1 ZINC000339079519 416151718 /nfs/dbraw/zinc/15/17/18/416151718.db2.gz NKWDBBMDOYBOST-UHFFFAOYSA-N 0 2 305.316 0.629 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC[C@@H]1CCCCO1 ZINC000182836404 416233226 /nfs/dbraw/zinc/23/32/26/416233226.db2.gz ZDXUUKOWBDKVDE-LBPRGKRZSA-N 0 2 318.377 0.949 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)NC(C)(C)C ZINC000179453670 416186209 /nfs/dbraw/zinc/18/62/09/416186209.db2.gz QFBRYQJLUSTPCM-QMMMGPOBSA-N 0 2 319.365 0.707 20 0 DCADLN CC(C)n1cnnc1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179482162 416189004 /nfs/dbraw/zinc/18/90/04/416189004.db2.gz JIXGNFJFYHPKPM-UHFFFAOYSA-N 0 2 315.337 0.776 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@]1(CCO)CCOC1)c2=O ZINC000358380804 416232571 /nfs/dbraw/zinc/23/25/71/416232571.db2.gz GCQGJOFWWQSJJA-HNNXBMFYSA-N 0 2 320.349 0.193 20 0 DCADLN O=C(NCCN1CCCS1(=O)=O)c1cc(F)c(O)c(F)c1 ZINC000183513631 416243581 /nfs/dbraw/zinc/24/35/81/416243581.db2.gz GAQHZDVNSSGAGS-UHFFFAOYSA-N 0 2 320.317 0.436 20 0 DCADLN CN(CC(C)(CO)CO)C(=O)c1cc(F)c(F)c(O)c1F ZINC000183526062 416243692 /nfs/dbraw/zinc/24/36/92/416243692.db2.gz IUMCEEHWRNRPID-UHFFFAOYSA-N 0 2 307.268 0.872 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2CCN(CCO)CC2)s[nH]1 ZINC000331083513 416261587 /nfs/dbraw/zinc/26/15/87/416261587.db2.gz PGYWORFFDCJLEL-UHFFFAOYSA-N 0 2 313.427 0.399 20 0 DCADLN CO[C@@H](C)c1nc(=NC(=O)NCc2ccc(N)[nH+]c2)s[n-]1 ZINC000350653186 416268030 /nfs/dbraw/zinc/26/80/30/416268030.db2.gz MNWPYMWSDWPCCK-ZETCQYMHSA-N 0 2 308.367 0.966 20 0 DCADLN O=S(=O)(Nc1nc2ncccn2n1)c1ccc2c(c1)OCO2 ZINC000351510008 416276914 /nfs/dbraw/zinc/27/69/14/416276914.db2.gz LGBBRPCEGLYDKH-UHFFFAOYSA-N 0 2 319.302 0.654 20 0 DCADLN CCn1cc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)cn1 ZINC000194196783 416322210 /nfs/dbraw/zinc/32/22/10/416322210.db2.gz QYPISMDDVLDCJC-UHFFFAOYSA-N 0 2 300.278 0.080 20 0 DCADLN O=C(Cc1ccc2c(c1)CCO2)NCCCc1n[nH]c(=O)[nH]1 ZINC000359524801 416349507 /nfs/dbraw/zinc/34/95/07/416349507.db2.gz QJGADZCYMPSYBI-UHFFFAOYSA-N 0 2 302.334 0.737 20 0 DCADLN CCOC(=O)[C@H](NS(=O)(=O)NCC(F)(F)F)[C@@H](C)CC ZINC000195318029 416326222 /nfs/dbraw/zinc/32/62/22/416326222.db2.gz YAVRSFLXGLEOOU-JGVFFNPUSA-N 0 2 320.333 0.951 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2C[C@H](O)C[C@H]2CO)s[nH]1 ZINC000359964703 416366964 /nfs/dbraw/zinc/36/69/64/416366964.db2.gz WUUOVJSROKBXBH-JGVFFNPUSA-N 0 2 300.384 0.217 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H]1CCC[C@@H](CO)C1 ZINC000360652489 416401975 /nfs/dbraw/zinc/40/19/75/416401975.db2.gz SNUOWXJFEKMYAX-DTWKUNHWSA-N 0 2 300.384 0.517 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC000422659052 416445767 /nfs/dbraw/zinc/44/57/67/416445767.db2.gz WUYZSNSGDFYSNS-VIFPVBQESA-N 0 2 309.322 0.644 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000535620367 416522848 /nfs/dbraw/zinc/52/28/48/416522848.db2.gz HZZQGUQCFOEXMO-UHFFFAOYSA-N 0 2 316.364 0.166 20 0 DCADLN O=C1COc2ccc(S(=O)(=O)Nc3nncs3)cc2N1 ZINC000535140721 416517479 /nfs/dbraw/zinc/51/74/79/416517479.db2.gz GTKFRCUNQRHKRS-UHFFFAOYSA-N 0 2 312.332 0.670 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2CCC[C@@H](O)C2)s1 ZINC000439471075 416614427 /nfs/dbraw/zinc/61/44/27/416614427.db2.gz QPIMGKQNUMJVEB-NKWVEPMBSA-N 0 2 320.396 0.399 20 0 DCADLN CN1C(=O)CC[C@@H](NC(=O)c2cc(F)c(F)c(O)c2F)C1=O ZINC000425067362 416683187 /nfs/dbraw/zinc/68/31/87/416683187.db2.gz AZTUDGBTMDCGOJ-SSDOTTSWSA-N 0 2 316.235 0.687 20 0 DCADLN CC[C@H](C)[C@@](C)(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000439504533 416616462 /nfs/dbraw/zinc/61/64/62/416616462.db2.gz LSJZGJYRCCBEOF-AYVTZFPOSA-N 0 2 320.349 0.963 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H]1CCCOC1 ZINC000542816184 416631209 /nfs/dbraw/zinc/63/12/09/416631209.db2.gz NLXLOWPIRLNFFC-IUCAKERBSA-N 0 2 318.333 0.981 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCCNC(C)=O)c1 ZINC000424562730 416663437 /nfs/dbraw/zinc/66/34/37/416663437.db2.gz KKZIAISZQIAJQT-NRFANRHFSA-N 0 2 312.391 0.445 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCCC[C@@H]2C(N)=O)c1 ZINC000424581469 416664442 /nfs/dbraw/zinc/66/44/42/416664442.db2.gz HAXWUYXZWGRELD-DMZKTXOQSA-N 0 2 324.402 0.669 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCC2(CC(N)=O)CC2)c1 ZINC000424886735 416672316 /nfs/dbraw/zinc/67/23/16/416672316.db2.gz GDTFFEUWNMGDNA-JOCHJYFZSA-N 0 2 324.402 0.575 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@@H](NC(C)=O)C2)c1 ZINC000424899032 416672818 /nfs/dbraw/zinc/67/28/18/416672818.db2.gz YCMSDPFKAAWNSI-IPQOISQHSA-N 0 2 324.402 0.540 20 0 DCADLN CCc1cccc(OCC[NH+]2CCN(C(=O)C(=O)[O-])CC2)c1 ZINC000515352744 416698572 /nfs/dbraw/zinc/69/85/72/416698572.db2.gz NQFHVUOPPIHSHV-UHFFFAOYSA-N 0 2 306.362 0.857 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc3c(c2)OCO3)c1C(N)=O ZINC000442624013 416700353 /nfs/dbraw/zinc/70/03/53/416700353.db2.gz XYRNKFMGLSQZBX-UHFFFAOYSA-N 0 2 324.318 0.049 20 0 DCADLN NC(=O)[C@@H](NS(=O)(=O)NCC(F)(F)F)c1ccccc1 ZINC000442920669 416719729 /nfs/dbraw/zinc/71/97/29/416719729.db2.gz HGFUWWAKBHTZNK-QMMMGPOBSA-N 0 2 311.285 0.199 20 0 DCADLN CN(CCNS(=O)(=O)NCC(F)(F)F)CC(F)(F)F ZINC000442946462 416722887 /nfs/dbraw/zinc/72/28/87/416722887.db2.gz SQINANGDPWVKGU-UHFFFAOYSA-N 0 2 317.255 0.467 20 0 DCADLN O=S(=O)(NCc1cn2ccsc2n1)NCC(F)(F)F ZINC000443043115 416728184 /nfs/dbraw/zinc/72/81/84/416728184.db2.gz FIQPYIVBNLJBCZ-UHFFFAOYSA-N 0 2 314.314 0.882 20 0 DCADLN CCO[C@@H]1C[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@H]1OC ZINC000443051837 416728331 /nfs/dbraw/zinc/72/83/31/416728331.db2.gz UHZQWARRDUUSLG-BWZBUEFSSA-N 0 2 306.306 0.165 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@@H](C(=O)[O-])[C@@H]3C)nc[nH+]2)C1 ZINC000517154940 416782193 /nfs/dbraw/zinc/78/21/93/416782193.db2.gz UBGDUDBAVUFCNL-WUHRBBMRSA-N 0 2 320.393 0.983 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@@H](C(=O)[O-])[C@@H]3C)[nH+]cn2)C1 ZINC000517154940 416782197 /nfs/dbraw/zinc/78/21/97/416782197.db2.gz UBGDUDBAVUFCNL-WUHRBBMRSA-N 0 2 320.393 0.983 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(-n3cccn3)c2)c1O ZINC000445895208 416902626 /nfs/dbraw/zinc/90/26/26/416902626.db2.gz SVKCTXRBIHBYHC-GFCCVEGCSA-N 0 2 314.301 0.860 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@@H]2C(C)(C)C2(F)F)[nH]1 ZINC000641606110 416943408 /nfs/dbraw/zinc/94/34/08/416943408.db2.gz INSUVCRBSZNZIG-SSDOTTSWSA-N 0 2 324.353 0.662 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cc(C)c(C)nn2)cn1C ZINC000446824208 416951797 /nfs/dbraw/zinc/95/17/97/416951797.db2.gz SBVRPDOFDKGLNX-UHFFFAOYSA-N 0 2 323.378 0.592 20 0 DCADLN O=C([O-])[C@H]1COCC[N@H+]1C[C@@H](O)COCc1ccccc1F ZINC000565837764 417024860 /nfs/dbraw/zinc/02/48/60/417024860.db2.gz GTAAFGUFIGDVJY-TZMCWYRMSA-N 0 2 313.325 0.489 20 0 DCADLN O=C([O-])[C@H]1COCC[N@@H+]1C[C@@H](O)COCc1ccccc1F ZINC000565837764 417024866 /nfs/dbraw/zinc/02/48/66/417024866.db2.gz GTAAFGUFIGDVJY-TZMCWYRMSA-N 0 2 313.325 0.489 20 0 DCADLN CCN(CC)S(=O)(=O)Nc1c(C(N)=O)n[nH]c1C(C)C ZINC000451112628 417297915 /nfs/dbraw/zinc/29/79/15/417297915.db2.gz HABZRTMYZCLICU-UHFFFAOYSA-N 0 2 303.388 0.631 20 0 DCADLN O=C(N=c1nc(-c2cccnc2)[nH]s1)C1(n2cnnn2)CC1 ZINC000621932609 417348146 /nfs/dbraw/zinc/34/81/46/417348146.db2.gz HSXYJOQJKULMPJ-UHFFFAOYSA-N 0 2 314.334 0.136 20 0 DCADLN CO[C@@H]1COCC[C@H]1CNS(=O)(=O)NCC(F)(F)F ZINC000451795376 417400359 /nfs/dbraw/zinc/40/03/59/417400359.db2.gz ZDOOAEGGLXERNN-JGVFFNPUSA-N 0 2 306.306 0.024 20 0 DCADLN CO[C@@H]1COCC[C@@H]1CNS(=O)(=O)NCC(F)(F)F ZINC000451795352 417401434 /nfs/dbraw/zinc/40/14/34/417401434.db2.gz ZDOOAEGGLXERNN-HTQZYQBOSA-N 0 2 306.306 0.024 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCc2n[nH]cc2C1 ZINC000622294870 417423867 /nfs/dbraw/zinc/42/38/67/417423867.db2.gz FQAXDSMHAKBREG-UHFFFAOYSA-N 0 2 311.301 0.952 20 0 DCADLN CN(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N(C)Cc2ccco2)C1 ZINC000451994714 417429293 /nfs/dbraw/zinc/42/92/93/417429293.db2.gz OIQNMTKIKROYNB-CYBMUJFWSA-N 0 2 322.409 0.974 20 0 DCADLN CN(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC[C@@H]2CCCO2)C1 ZINC000451998522 417429352 /nfs/dbraw/zinc/42/93/52/417429352.db2.gz SGPPHVXTBWKSCV-OLZOCXBDSA-N 0 2 312.414 0.017 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCn2cnnc2C1 ZINC000622357815 417434692 /nfs/dbraw/zinc/43/46/92/417434692.db2.gz QEKBVLOGOWSJCI-UHFFFAOYSA-N 0 2 312.289 0.277 20 0 DCADLN CCC[C@@H]1CN(C(=O)c2cnc(C[NH3+])nc2)CC[N@@H+]1CCOC ZINC000638379270 417438271 /nfs/dbraw/zinc/43/82/71/417438271.db2.gz YANMNPVIBDLTIR-CQSZACIVSA-N 0 2 321.425 0.508 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000634948316 417548912 /nfs/dbraw/zinc/54/89/12/417548912.db2.gz KIRZSJXDMXOFKB-VXGBXAGGSA-N 0 2 321.402 0.972 20 0 DCADLN [NH3+][C@H]1C[C@H]2C[N@H+](CCOCC(F)(F)C(F)F)CCN2C1=O ZINC000576173583 417587599 /nfs/dbraw/zinc/58/75/99/417587599.db2.gz VUTYVTAYYHFEIB-IUCAKERBSA-N 0 2 313.295 0.147 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1ncnn1-c1ccccc1)OC ZINC000576470316 417611731 /nfs/dbraw/zinc/61/17/31/417611731.db2.gz WNZGONSWEZJLAZ-GFCCVEGCSA-N 0 2 310.379 0.856 20 0 DCADLN O=C(C1=NN(c2ccc(Cl)cc2)CC1=O)N1C[C@H](O)[C@@H](O)C1 ZINC000628525425 417632195 /nfs/dbraw/zinc/63/21/95/417632195.db2.gz HQQHQPZIFGYJIJ-QWRGUYRKSA-N 0 2 323.736 0.409 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N[C@@]2(CCO)CCOC2)s[nH]1 ZINC000576814184 417639056 /nfs/dbraw/zinc/63/90/56/417639056.db2.gz LPFZEQUMUNSZKK-ZDUSSCGKSA-N 0 2 314.411 0.921 20 0 DCADLN COc1cc(CNc2nc(N(C)C)nc(C)[nH+]2)ccc1C(=O)[O-] ZINC000580080968 417695521 /nfs/dbraw/zinc/69/55/21/417695521.db2.gz YUOJGDBSCVUEES-UHFFFAOYSA-N 0 2 317.349 1.565 20 0 DCADLN COc1cc(CNc2nc(C)[nH+]c(N(C)C)n2)ccc1C(=O)[O-] ZINC000580080968 417695526 /nfs/dbraw/zinc/69/55/26/417695526.db2.gz YUOJGDBSCVUEES-UHFFFAOYSA-N 0 2 317.349 1.565 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H]1CCCN1CC(F)F ZINC000628619601 417672418 /nfs/dbraw/zinc/67/24/18/417672418.db2.gz CSMJTCBHENWWFM-SSDOTTSWSA-N 0 2 321.353 0.448 20 0 DCADLN CC(C)n1cncc1C[N@H+]1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000644900545 417675186 /nfs/dbraw/zinc/67/51/86/417675186.db2.gz MSNDDIIOKBKKJZ-GFCCVEGCSA-N 0 2 300.428 0.978 20 0 DCADLN Cc1[nH+]ccn1CCC[NH+]1CCN(CC(F)(F)CO)CC1 ZINC000639738455 417731942 /nfs/dbraw/zinc/73/19/42/417731942.db2.gz UPXBYVNWMHXHPZ-UHFFFAOYSA-N 0 2 302.369 0.827 20 0 DCADLN Cc1nc(=NC(=O)C(=O)N2CC3(CCCC3)[C@@H]2C(C)C)[nH]n1C ZINC000651948003 417824318 /nfs/dbraw/zinc/82/43/18/417824318.db2.gz CLSRMCRYUBZIHZ-LBPRGKRZSA-N 0 2 319.409 0.911 20 0 DCADLN CCN(C(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@H]1CCCOC1 ZINC000652026602 417836834 /nfs/dbraw/zinc/83/68/34/417836834.db2.gz RQXGQNBAPLJQEZ-NSHDSACASA-N 0 2 309.370 0.247 20 0 DCADLN CCNC(=O)c1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000629287405 417771391 /nfs/dbraw/zinc/77/13/91/417771391.db2.gz SFAUJMWMYPXPNE-UHFFFAOYSA-N 0 2 309.351 0.366 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc(N2CCOCC2)c1 ZINC000629287743 417771545 /nfs/dbraw/zinc/77/15/45/417771545.db2.gz VRCVZFBQMFZPAW-UHFFFAOYSA-N 0 2 323.378 0.453 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2cc(OC)n[nH]2)cn1 ZINC000629314008 417779222 /nfs/dbraw/zinc/77/92/22/417779222.db2.gz ARSVGCQYTSWVRR-UHFFFAOYSA-N 0 2 301.328 0.062 20 0 DCADLN CO[C@@H](C)c1nc(=NC(=O)N[C@H]2Cc3c[nH+]cn3C2)s[n-]1 ZINC000640138192 417790311 /nfs/dbraw/zinc/79/03/11/417790311.db2.gz CDTURHMCKVXKMM-YUMQZZPRSA-N 0 2 308.367 0.610 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@H]2C[C@H]2C2CCC2)[nH]1 ZINC000651857809 417800247 /nfs/dbraw/zinc/80/02/47/417800247.db2.gz PZFXFXLYSASKBI-RYUDHWBXSA-N 0 2 305.382 0.772 20 0 DCADLN CCCC[C@@](C)(CO)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651868146 417804577 /nfs/dbraw/zinc/80/45/77/417804577.db2.gz GGCZIKVDUJVWQR-AWEZNQCLSA-N 0 2 311.386 0.277 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@H](CC(C)C)OC)[nH]1 ZINC000657105134 417812578 /nfs/dbraw/zinc/81/25/78/417812578.db2.gz SULYORLHTFYSDW-JTQLQIEISA-N 0 2 320.415 0.432 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cccc(C(C)=O)c2)[nH]1 ZINC000657104339 417812877 /nfs/dbraw/zinc/81/28/77/417812877.db2.gz NSGQVUPLPMMIPJ-UHFFFAOYSA-N 0 2 324.362 0.632 20 0 DCADLN Cc1cn2cc(CC(=O)N[C@H](C)c3nnc(O)n3C)nc2s1 ZINC000640587331 417878882 /nfs/dbraw/zinc/87/88/82/417878882.db2.gz BJCGIZPTNFXAHW-MRVPVSSYSA-N 0 2 320.378 0.958 20 0 DCADLN C[C@@H]1CN(C(=O)N=c2ncn(Cc3ccccc3)[nH]2)CC(=O)N1 ZINC000652407773 417902122 /nfs/dbraw/zinc/90/21/22/417902122.db2.gz MWBVQWPGNBJKGU-LLVKDONJSA-N 0 2 314.349 0.101 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)N[C@H](C(=O)[O-])[C@H]1CCCOC1 ZINC000652067307 417842475 /nfs/dbraw/zinc/84/24/75/417842475.db2.gz SUOZXVFQVQNYJG-NHYWBVRUSA-N 0 2 321.377 0.758 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)[C@H](Nc2cc(N3CCSCC3)[nH+]cn2)C1 ZINC000662897811 417940621 /nfs/dbraw/zinc/94/06/21/417940621.db2.gz XCBUQEKJPRRLEL-HBNTYKKESA-N 0 2 324.406 0.666 20 0 DCADLN CC(C)c1nc(N(C)C)nc(N[C@@H]2C[C@H](C(=O)[O-])C[C@H]2O)[nH+]1 ZINC000662897077 417941202 /nfs/dbraw/zinc/94/12/02/417941202.db2.gz MYYXVMSBRHWWKR-IVZWLZJFSA-N 0 2 309.370 0.697 20 0 DCADLN CC(C)c1nc(N[C@@H]2C[C@H](C(=O)[O-])C[C@H]2O)nc(N(C)C)[nH+]1 ZINC000662897077 417941206 /nfs/dbraw/zinc/94/12/06/417941206.db2.gz MYYXVMSBRHWWKR-IVZWLZJFSA-N 0 2 309.370 0.697 20 0 DCADLN CS(=O)(=O)N1CC[C@@H](NC(=O)c2c(O)cc(F)cc2F)C1 ZINC000652766966 417965392 /nfs/dbraw/zinc/96/53/92/417965392.db2.gz VYYAFSGRMXJWKQ-MRVPVSSYSA-N 0 2 320.317 0.434 20 0 DCADLN Cc1oc(-c2cnn(C)c2)nc1C(=O)N(C)CC1N=NC(=O)O1 ZINC000647696198 418041359 /nfs/dbraw/zinc/04/13/59/418041359.db2.gz BMQLWGMTGZIUMS-UHFFFAOYSA-N 0 2 318.293 0.744 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2ccc(C(=O)[O-])nc2)[C@@H](C)CO1 ZINC000663126689 417993771 /nfs/dbraw/zinc/99/37/71/417993771.db2.gz JDKFKZIXXZKPBN-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2ccc(C(=O)[O-])nc2)[C@@H](C)CO1 ZINC000663126689 417993774 /nfs/dbraw/zinc/99/37/74/417993774.db2.gz JDKFKZIXXZKPBN-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H](Cn1cncn1)N=c1ccc(OCC(F)(F)F)n[nH]1 ZINC000647860870 418065823 /nfs/dbraw/zinc/06/58/23/418065823.db2.gz CNILHZDSKPOAJY-MRVPVSSYSA-N 0 2 302.260 0.932 20 0 DCADLN O=c1cc(C[N@@H+]2CCC[C@@H]([C@@H]3CC[NH2+]C3)C2)nc2cc[nH]n21 ZINC000647986833 418084043 /nfs/dbraw/zinc/08/40/43/418084043.db2.gz APSVJNKGILTKNB-CHWSQXEVSA-N 0 2 301.394 0.844 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)N[C@H]1C[C@H](O)C1 ZINC000664700450 418146408 /nfs/dbraw/zinc/14/64/08/418146408.db2.gz GHZWWUWKPWKANI-XYPYZODXSA-N 0 2 317.349 0.706 20 0 DCADLN COc1cc[nH+]cc1CNC(=O)N1CC[N@H+]2C[C@H](O)C[C@H]2C1 ZINC000654571463 418161344 /nfs/dbraw/zinc/16/13/44/418161344.db2.gz ASVSRTUMSLKBQY-QWHCGFSZSA-N 0 2 306.366 0.051 20 0 DCADLN CN(CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C(=O)C1CC1 ZINC000648893754 418171164 /nfs/dbraw/zinc/17/11/64/418171164.db2.gz YPUHKNPRUGTQDG-JTQLQIEISA-N 0 2 307.354 0.085 20 0 DCADLN O=C(NC[C@@H]1C[NH2+]CCO1)[C@@H]1CCC[N@@H+]1Cc1ccccn1 ZINC000649367747 418248138 /nfs/dbraw/zinc/24/81/38/418248138.db2.gz LMSVQRSQGPWIIQ-GJZGRUSLSA-N 0 2 304.394 0.151 20 0 DCADLN C[N@@H+]1CCO[C@H](CN(CC2CC2)c2cncc(C(=O)[O-])n2)C1 ZINC000649396764 418250345 /nfs/dbraw/zinc/25/03/45/418250345.db2.gz WKAYLBGXLUWJGW-LBPRGKRZSA-N 0 2 306.366 0.722 20 0 DCADLN C[N@H+]1CCO[C@H](CN(CC2CC2)c2cncc(C(=O)[O-])n2)C1 ZINC000649396764 418250347 /nfs/dbraw/zinc/25/03/47/418250347.db2.gz WKAYLBGXLUWJGW-LBPRGKRZSA-N 0 2 306.366 0.722 20 0 DCADLN CO[C@@H]1C[C@H](CC(=O)[O-])N(c2cc(NC[C@@H](C)O)[nH+]cn2)C1 ZINC000649406820 418251382 /nfs/dbraw/zinc/25/13/82/418251382.db2.gz REPQZKKGSWYGFS-GMTAPVOTSA-N 0 2 310.354 0.338 20 0 DCADLN CO[C@@H]1C[C@H](CC(=O)[O-])N(c2cc(NC[C@@H](C)O)nc[nH+]2)C1 ZINC000649406820 418251384 /nfs/dbraw/zinc/25/13/84/418251384.db2.gz REPQZKKGSWYGFS-GMTAPVOTSA-N 0 2 310.354 0.338 20 0 DCADLN NC(=O)[C@H]1CCCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000665348284 418200370 /nfs/dbraw/zinc/20/03/70/418200370.db2.gz DJYVXWFRDGUSAV-NSHDSACASA-N 0 2 316.317 0.367 20 0 DCADLN O=C(N=c1ncn(Cc2ccccc2)[nH]1)N[C@H](CO)CCF ZINC000650010792 418288017 /nfs/dbraw/zinc/28/80/17/418288017.db2.gz GNOQOHSCVZTTPY-LBPRGKRZSA-N 0 2 307.329 0.590 20 0 DCADLN CN(C)C(=O)Cn1cnc(=NC(=O)N[C@@H]2C[C@H]2CC(C)(C)C)[nH]1 ZINC000650054862 418290406 /nfs/dbraw/zinc/29/04/06/418290406.db2.gz RVDILEPRABKHFY-WDEREUQCSA-N 0 2 322.413 0.734 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000655867195 418293933 /nfs/dbraw/zinc/29/39/33/418293933.db2.gz VVZXOJMVOPRONO-LBPRGKRZSA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000655867195 418293935 /nfs/dbraw/zinc/29/39/35/418293935.db2.gz VVZXOJMVOPRONO-LBPRGKRZSA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@@H+]1CCOC[C@@H]1C)C(=O)[O-] ZINC000655869065 418294464 /nfs/dbraw/zinc/29/44/64/418294464.db2.gz ZLAYCCKXJFERAF-RYUDHWBXSA-N 0 2 301.387 0.363 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@H+]1CCOC[C@@H]1C)C(=O)[O-] ZINC000655869065 418294466 /nfs/dbraw/zinc/29/44/66/418294466.db2.gz ZLAYCCKXJFERAF-RYUDHWBXSA-N 0 2 301.387 0.363 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000650777354 418323724 /nfs/dbraw/zinc/32/37/24/418323724.db2.gz BUDNDJZSGPQFGU-SNVBAGLBSA-N 0 2 307.354 0.054 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000650777354 418323726 /nfs/dbraw/zinc/32/37/26/418323726.db2.gz BUDNDJZSGPQFGU-SNVBAGLBSA-N 0 2 307.354 0.054 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2c(n1)OCCO2 ZINC000655392478 418257886 /nfs/dbraw/zinc/25/78/86/418257886.db2.gz WDVKTBSMNAHBCT-UHFFFAOYSA-N 0 2 305.294 0.039 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2ccc(N3CCC3)nc2)[nH]n1 ZINC000650818898 418326121 /nfs/dbraw/zinc/32/61/21/418326121.db2.gz AOEFQQWPCGMZGR-UHFFFAOYSA-N 0 2 312.333 0.116 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H](C)OCc2ccccc2)[nH]n1 ZINC000650816161 418326215 /nfs/dbraw/zinc/32/62/15/418326215.db2.gz MHAKPTNRFOINJC-LLVKDONJSA-N 0 2 314.345 0.802 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C(C)(C)c2c(C)noc2C)[nH]n1 ZINC000650818270 418326252 /nfs/dbraw/zinc/32/62/52/418326252.db2.gz CRPYCHLGAJYWLO-UHFFFAOYSA-N 0 2 317.349 0.779 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H](C)Oc2ccc(C)cc2)[nH]n1 ZINC000650815090 418326429 /nfs/dbraw/zinc/32/64/29/418326429.db2.gz HSIWNCUDQWJAFK-LLVKDONJSA-N 0 2 314.345 0.973 20 0 DCADLN O=C(Cn1nc2n(c1=O)CCCC2)Nc1ccc2nn[nH]c2c1 ZINC000649839707 418277580 /nfs/dbraw/zinc/27/75/80/418277580.db2.gz RARBFIPPUNJWFU-UHFFFAOYSA-N 0 2 313.321 0.291 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cnn3c2C[C@@H](C)CC3)[nH]n1 ZINC000650818957 418326479 /nfs/dbraw/zinc/32/64/79/418326479.db2.gz CQDMJYUISNUQFP-VIFPVBQESA-N 0 2 314.349 0.289 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCOC3(CCCC3)C2)[nH]1 ZINC000651625720 418398417 /nfs/dbraw/zinc/39/84/17/418398417.db2.gz OMSBYHCNQNTPCJ-UHFFFAOYSA-N 0 2 321.381 0.391 20 0 DCADLN COc1cc(NS(=O)(=O)C[C@H](OC)[C@@H]2CCOC2)ncn1 ZINC000656731454 418374462 /nfs/dbraw/zinc/37/44/62/418374462.db2.gz PEHYJTIYCIWESD-ZJUUUORDSA-N 0 2 317.367 0.278 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](Cc3ccccc3)C2=O)[nH]1 ZINC000656833756 418378503 /nfs/dbraw/zinc/37/85/03/418378503.db2.gz ULZSPOKHKBSNMQ-LLVKDONJSA-N 0 2 315.333 0.614 20 0 DCADLN CC(C)COCCCNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651498492 418384773 /nfs/dbraw/zinc/38/47/73/418384773.db2.gz FBHOZLOKZJABPD-UHFFFAOYSA-N 0 2 311.386 0.398 20 0 DCADLN CC(C)N1C(=O)C[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CC1(C)C ZINC000662203402 418387764 /nfs/dbraw/zinc/38/77/64/418387764.db2.gz DKQZEMULLPCESV-LLVKDONJSA-N 0 2 311.382 0.003 20 0 DCADLN CC(C)N1C(=O)C[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CC1(C)C ZINC000662203402 418387767 /nfs/dbraw/zinc/38/77/67/418387767.db2.gz DKQZEMULLPCESV-LLVKDONJSA-N 0 2 311.382 0.003 20 0 DCADLN COc1cc[nH+]c(CN2Cc3nncn3C[C@@H]2C(=O)[O-])c1OC ZINC000662214595 418390393 /nfs/dbraw/zinc/39/03/93/418390393.db2.gz ITNYREYYORJENB-SNVBAGLBSA-N 0 2 319.321 0.159 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC(C2CC2)C2CC2)[nH]1 ZINC000651592234 418395869 /nfs/dbraw/zinc/39/58/69/418395869.db2.gz QNJJVCFIZVVNFS-UHFFFAOYSA-N 0 2 305.382 0.772 20 0 DCADLN CO[C@H]1C[C@@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651613801 418397045 /nfs/dbraw/zinc/39/70/45/418397045.db2.gz RJCMAMNEOLDZLU-ZJUUUORDSA-N 0 2 309.370 0.149 20 0 DCADLN CC[N@@H+]1C[C@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@@H]1C ZINC000651716810 418406298 /nfs/dbraw/zinc/40/62/98/418406298.db2.gz ITCOOZCSZJRQIX-RYUDHWBXSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@H+]1C[C@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@@H]1C ZINC000651716810 418406300 /nfs/dbraw/zinc/40/63/00/418406300.db2.gz ITCOOZCSZJRQIX-RYUDHWBXSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@@H+]1C[C@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[n-]2)C[C@@H]1C ZINC000651716810 418406302 /nfs/dbraw/zinc/40/63/02/418406302.db2.gz ITCOOZCSZJRQIX-RYUDHWBXSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@H+]1C[C@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[n-]2)C[C@@H]1C ZINC000651716810 418406305 /nfs/dbraw/zinc/40/63/05/418406305.db2.gz ITCOOZCSZJRQIX-RYUDHWBXSA-N 0 2 322.413 0.161 20 0 DCADLN CO[C@@]1(C)CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651762730 418409046 /nfs/dbraw/zinc/40/90/46/418409046.db2.gz MCDSOUQNIHYBCE-AWEZNQCLSA-N 0 2 309.370 0.247 20 0 DCADLN CO[C@@H]1[C@@H](C)[C@@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651654088 418400772 /nfs/dbraw/zinc/40/07/72/418400772.db2.gz BMVNKSBYVIPZQL-HBNTYKKESA-N 0 2 323.397 0.395 20 0 DCADLN CC(C)[C@@H](O)C1(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651654330 418400983 /nfs/dbraw/zinc/40/09/83/418400983.db2.gz LOEMVVXVCLKKIQ-LLVKDONJSA-N 0 2 323.397 0.133 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC[C@@H]2CCO[C@H](C)C2)[nH]1 ZINC000651660920 418401876 /nfs/dbraw/zinc/40/18/76/418401876.db2.gz FOYNCDMAJDCEOI-VXGBXAGGSA-N 0 2 323.397 0.541 20 0 DCADLN CCOC(=O)c1cc(S(=O)(=O)Nc2ccnn2C)cn1C ZINC000354560546 261237449 /nfs/dbraw/zinc/23/74/49/261237449.db2.gz DEYGHFJYPKUZCC-UHFFFAOYSA-N 0 2 312.351 0.736 20 0 DCADLN Cn1ccc(CCNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)n1 ZINC000355316680 261329946 /nfs/dbraw/zinc/32/99/46/261329946.db2.gz OSWLRRXZFYLVOZ-UHFFFAOYSA-N 0 2 313.317 0.736 20 0 DCADLN Cc1ccc(Cl)c(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000355990642 261382251 /nfs/dbraw/zinc/38/22/51/261382251.db2.gz AUJOADFNDNNSQA-UHFFFAOYSA-N 0 2 309.713 0.727 20 0 DCADLN CN1C(=O)Cc2cc(S(=O)(=O)Nc3cncnc3)ccc21 ZINC000362225800 262012484 /nfs/dbraw/zinc/01/24/84/262012484.db2.gz VXQLGWXNFXEAFG-UHFFFAOYSA-N 0 2 304.331 0.796 20 0 DCADLN NC(=O)CN1CCCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000363996242 262139206 /nfs/dbraw/zinc/13/92/06/262139206.db2.gz FKCOBUPKQBGWIJ-UHFFFAOYSA-N 0 2 313.304 0.304 20 0 DCADLN CS(=O)(=O)N1CC(CNc2nc(C3CCCC3)ns2)C1 ZINC000420610171 262378668 /nfs/dbraw/zinc/37/86/68/262378668.db2.gz JJHFYXMTLCPIRG-UHFFFAOYSA-N 0 2 316.452 0.921 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@@H]3CC[C@H]3O)=N2)cc1 ZINC000425043185 262515081 /nfs/dbraw/zinc/51/50/81/262515081.db2.gz FEHHNGWEZCFGKK-VXGBXAGGSA-N 0 2 303.318 0.840 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@@H](O)C1CCOCC1)c2=O ZINC000358388430 271051200 /nfs/dbraw/zinc/05/12/00/271051200.db2.gz VFYCDKYOCMUIEJ-GFCCVEGCSA-N 0 2 320.349 0.191 20 0 DCADLN CCC[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(=O)OC ZINC000359011984 271090285 /nfs/dbraw/zinc/09/02/85/271090285.db2.gz JYSYPNLRXGBSEC-SECBINFHSA-N 0 2 320.305 0.508 20 0 DCADLN CN(C(N)=O)c1cccc(NS(=O)(=O)c2ccnn2C)c1 ZINC000487376103 272041062 /nfs/dbraw/zinc/04/10/62/272041062.db2.gz IKVPPNALRHEDKV-UHFFFAOYSA-N 0 2 309.351 0.736 20 0 DCADLN Cn1nccc1C=CC(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000492360659 272125477 /nfs/dbraw/zinc/12/54/77/272125477.db2.gz AEFUWHFQHZWTAO-IHWYPQMZSA-N 0 2 302.338 0.663 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)Cc1ccon1 ZINC000492478605 272132168 /nfs/dbraw/zinc/13/21/68/272132168.db2.gz XAYUUDMRKJBTMZ-ONEGZZNKSA-N 0 2 310.335 0.550 20 0 DCADLN Cc1ncc(/C=C/C(=O)NS(=O)(=O)c2ccnn2C)s1 ZINC000492562577 272135186 /nfs/dbraw/zinc/13/51/86/272135186.db2.gz HDUMPGUEFRBOAG-ONEGZZNKSA-N 0 2 312.376 0.703 20 0 DCADLN CONC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC000493152867 272172596 /nfs/dbraw/zinc/17/25/96/272172596.db2.gz CEJBUHXKJABWFW-UHFFFAOYSA-N 0 2 307.350 0.618 20 0 DCADLN CC(C)n1cc(S(=O)(=O)NC(=O)/C=C\c2ccnn2C)cn1 ZINC000493191229 272174543 /nfs/dbraw/zinc/17/45/43/272174543.db2.gz PTCHNUYDSBOELW-PLNGDYQASA-N 0 2 323.378 0.716 20 0 DCADLN COc1c(S(=O)(=O)NC(=O)/C=C/c2ccc[nH]2)c(C)nn1C ZINC000493247078 272177042 /nfs/dbraw/zinc/17/70/42/272177042.db2.gz CKYQDDAGAVHEGH-VOTSOKGWSA-N 0 2 324.362 0.584 20 0 DCADLN CC[C@@H](CCO)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000493733174 272206316 /nfs/dbraw/zinc/20/63/16/272206316.db2.gz BANGIKMBLDJZHH-QMMMGPOBSA-N 0 2 314.411 0.473 20 0 DCADLN CC(=O)N[C@H]1CCCN(C(=O)C[N@@H+]2CCCC[C@H]2C(=O)[O-])C1 ZINC000262276793 278177149 /nfs/dbraw/zinc/17/71/49/278177149.db2.gz JRWBHEXFEAQOHI-STQMWFEESA-N 0 2 311.382 0.053 20 0 DCADLN CC(=O)N[C@H]1CCCN(C(=O)C[N@H+]2CCCC[C@H]2C(=O)[O-])C1 ZINC000262276793 278177150 /nfs/dbraw/zinc/17/71/50/278177150.db2.gz JRWBHEXFEAQOHI-STQMWFEESA-N 0 2 311.382 0.053 20 0 DCADLN COC1CCN(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)CC1 ZINC000549559077 288200478 /nfs/dbraw/zinc/20/04/78/288200478.db2.gz DNJWGERZGDIHTH-UHFFFAOYSA-N 0 2 309.370 0.921 20 0 DCADLN CN(C)C(=O)CCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000563479797 288679647 /nfs/dbraw/zinc/67/96/47/288679647.db2.gz LRNAVQZMZHPAFP-SNVBAGLBSA-N 0 2 309.370 0.475 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000563931956 288715636 /nfs/dbraw/zinc/71/56/36/288715636.db2.gz LHYDFJOOPRJKQT-BXKDBHETSA-N 0 2 303.318 0.873 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)c(F)c([O-])c2F)C1 ZINC000183514070 290109689 /nfs/dbraw/zinc/10/96/89/290109689.db2.gz ATNHFDRQGZXMCG-SSDOTTSWSA-N 0 2 304.268 0.870 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)c2cc(F)c(F)c([O-])c2F)C1 ZINC000183514070 290109691 /nfs/dbraw/zinc/10/96/91/290109691.db2.gz ATNHFDRQGZXMCG-SSDOTTSWSA-N 0 2 304.268 0.870 20 0 DCADLN CN1C[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)CCC1=O ZINC000174111268 290278078 /nfs/dbraw/zinc/27/80/78/290278078.db2.gz TZSKIZFHHMXKQK-NSHDSACASA-N 0 2 314.345 0.929 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000262674918 298212275 /nfs/dbraw/zinc/21/22/75/298212275.db2.gz TWEUCKVVBNGZNH-MRVPVSSYSA-N 0 2 319.317 0.256 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000262674918 298212280 /nfs/dbraw/zinc/21/22/80/298212280.db2.gz TWEUCKVVBNGZNH-MRVPVSSYSA-N 0 2 319.317 0.256 20 0 DCADLN C[C@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2)CCN1S(C)(=O)=O ZINC000580206166 333006619 /nfs/dbraw/zinc/00/66/19/333006619.db2.gz SJBGUQLHZOYQAI-NSHDSACASA-N 0 2 312.391 0.851 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2)CCN1S(C)(=O)=O ZINC000580206166 333006620 /nfs/dbraw/zinc/00/66/20/333006620.db2.gz SJBGUQLHZOYQAI-NSHDSACASA-N 0 2 312.391 0.851 20 0 DCADLN COC(=O)c1cn([C@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC000365686034 522330376 /nfs/dbraw/zinc/33/03/76/522330376.db2.gz IQHKBIHAQZCDRP-POYBYMJQSA-N 0 2 324.234 0.739 20 0 DCADLN COC(=O)c1cn([C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000365686034 522330388 /nfs/dbraw/zinc/33/03/88/522330388.db2.gz IQHKBIHAQZCDRP-POYBYMJQSA-N 0 2 324.234 0.739 20 0 DCADLN COC(=O)C(C)(C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000265793169 522801356 /nfs/dbraw/zinc/80/13/56/522801356.db2.gz UWDISWYCVYLKGV-UHFFFAOYSA-N 0 2 320.305 0.426 20 0 DCADLN COC(=O)Cn1ccc(NS(=O)(=O)C[C@@H]2CCCCO2)n1 ZINC000265932300 523410658 /nfs/dbraw/zinc/41/06/58/523410658.db2.gz SEFVOFGXVADRHV-JTQLQIEISA-N 0 2 317.367 0.367 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NCCOCCO)=N1 ZINC000158385976 524962746 /nfs/dbraw/zinc/96/27/46/524962746.db2.gz FODDEPHEPFDGNN-UHFFFAOYSA-N 0 2 323.324 0.764 20 0 DCADLN O=C(NCCCc1nc(=O)[nH][nH]1)c1cc(F)cc(Cl)c1O ZINC000155656734 534853879 /nfs/dbraw/zinc/85/38/79/534853879.db2.gz UJWYLEMKKFUEJK-UHFFFAOYSA-N 0 2 314.704 0.959 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000262227925 527611390 /nfs/dbraw/zinc/61/13/90/527611390.db2.gz MQZCPNORZUNUHT-GFCCVEGCSA-N 0 2 322.336 0.921 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000262227925 527611394 /nfs/dbraw/zinc/61/13/94/527611394.db2.gz MQZCPNORZUNUHT-GFCCVEGCSA-N 0 2 322.336 0.921 20 0 DCADLN CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1ccc2nn[nH]c2c1 ZINC000175831707 545886904 /nfs/dbraw/zinc/88/69/04/545886904.db2.gz JAEXOFYPZUQKOT-NSHDSACASA-N 0 2 309.351 0.320 20 0 DCADLN CN1C(=O)CC[C@@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000353083377 546172614 /nfs/dbraw/zinc/17/26/14/546172614.db2.gz LWWCGZOTJPRNEH-SNVBAGLBSA-N 0 2 302.290 0.589 20 0 DCADLN COCCOc1ccnc(NS(=O)(=O)c2cccn2C)n1 ZINC000414452864 546235498 /nfs/dbraw/zinc/23/54/98/546235498.db2.gz NWQJYBFGPKQJCA-UHFFFAOYSA-N 0 2 312.351 0.641 20 0 DCADLN COCCc1ccnc(NC(=O)NCc2nnc(COC)[nH]2)c1 ZINC000667077301 546554694 /nfs/dbraw/zinc/55/46/94/546554694.db2.gz PAAOVDSYRDSETJ-UHFFFAOYSA-N 0 2 320.353 0.857 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)CCO1 ZINC000667816331 546657878 /nfs/dbraw/zinc/65/78/78/546657878.db2.gz QDCBDYNMYNPVFK-VIFPVBQESA-N 0 2 306.322 0.379 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)CCO1 ZINC000667816331 546657885 /nfs/dbraw/zinc/65/78/85/546657885.db2.gz QDCBDYNMYNPVFK-VIFPVBQESA-N 0 2 306.322 0.379 20 0 DCADLN CN1CC[C@@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC1=O ZINC000670237773 547066040 /nfs/dbraw/zinc/06/60/40/547066040.db2.gz BLDFWOWLYAOLMO-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)c1ncn(-c2ccccc2)n1 ZINC000673478812 547483429 /nfs/dbraw/zinc/48/34/29/547483429.db2.gz CXRSKLIRURNLAS-UHFFFAOYSA-N 0 2 300.278 0.628 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C(C)(C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000673690086 547516559 /nfs/dbraw/zinc/51/65/59/547516559.db2.gz MWFZHZJPOUTLQF-SSDOTTSWSA-N 0 2 306.322 0.634 20 0 DCADLN CN(C)C(=O)COC1CN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC000674605857 547610800 /nfs/dbraw/zinc/61/08/00/547610800.db2.gz BUDVEYURTLEHOI-UHFFFAOYSA-N 0 2 314.288 0.600 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000676231598 547747282 /nfs/dbraw/zinc/74/72/82/547747282.db2.gz MNHHKSAYWQBLTI-OAHLLOKOSA-N 0 2 320.389 0.993 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000676231598 547747286 /nfs/dbraw/zinc/74/72/86/547747286.db2.gz MNHHKSAYWQBLTI-OAHLLOKOSA-N 0 2 320.389 0.993 20 0 DCADLN CN(CC(=O)NCCCc1n[nH]c(=O)[nH]1)c1ccc(Cl)cn1 ZINC000676448175 547778972 /nfs/dbraw/zinc/77/89/72/547778972.db2.gz CJEMPICBKNUOOF-UHFFFAOYSA-N 0 2 324.772 0.744 20 0 DCADLN Cc1[nH]nc(C(=O)N2CSC[C@H]2C(=O)N2CCCC2)c1O ZINC000676706892 547806101 /nfs/dbraw/zinc/80/61/01/547806101.db2.gz JNLNIJKVGUFIBV-VIFPVBQESA-N 0 2 310.379 0.561 20 0 DCADLN Cc1[nH]nc(C(=O)N2CSC[C@H]2C(=O)NCC(C)C)c1O ZINC000676706843 547806216 /nfs/dbraw/zinc/80/62/16/547806216.db2.gz DKFYVBRGSSQWEU-VIFPVBQESA-N 0 2 312.395 0.711 20 0 DCADLN Cc1[nH+]c2ccccc2n1CC(=O)N[C@]1(C(=O)[O-])CCOC1 ZINC000678987781 548020736 /nfs/dbraw/zinc/02/07/36/548020736.db2.gz CWDKJQPNFABBFF-OAHLLOKOSA-N 0 2 303.318 0.705 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cc(F)c(F)c(F)c2)[nH]n1 ZINC000679204894 548033634 /nfs/dbraw/zinc/03/36/34/548033634.db2.gz TZWRLYSHMHMDGK-UHFFFAOYSA-N 0 2 320.252 0.727 20 0 DCADLN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000679409338 548054776 /nfs/dbraw/zinc/05/47/76/548054776.db2.gz ZFWDAFNVBARPFR-ZANVPECISA-N 0 2 302.334 0.548 20 0 DCADLN C[C@@H](CNC(=O)NCc1ccc(C(=O)[O-])cc1)[NH+]1CCOCC1 ZINC000818008936 597213672 /nfs/dbraw/zinc/21/36/72/597213672.db2.gz NKPXABWJCFCMKT-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)NCCC(=O)[O-])CC1 ZINC000818071454 597227220 /nfs/dbraw/zinc/22/72/20/597227220.db2.gz RQZKMAMLSDPICW-LBPRGKRZSA-N 0 2 306.366 0.945 20 0 DCADLN CC[C@@H](C)NC(=O)CN1CCN(c2cc(C(=O)[O-])cc[nH+]2)CC1 ZINC000820266785 598218819 /nfs/dbraw/zinc/21/88/19/598218819.db2.gz RQAGWHZVCVXWJN-GFCCVEGCSA-N 0 2 320.393 0.817 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)cc1NS(=O)(=O)c1ccnn1C ZINC000737296560 598452451 /nfs/dbraw/zinc/45/24/51/598452451.db2.gz KWBXQPBDJCVQGM-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN CCc1nn(CCCNC(C)=O)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705506 598642284 /nfs/dbraw/zinc/64/22/84/598642284.db2.gz JOSJTRZPCNIPHB-UHFFFAOYSA-N 0 2 319.369 0.074 20 0 DCADLN CN(CCCC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000737421321 599724802 /nfs/dbraw/zinc/72/48/02/599724802.db2.gz FZCQHISYOBJYOM-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC[NH+](C[C@H](O)c2ccccc2)CC1 ZINC000739545914 599916411 /nfs/dbraw/zinc/91/64/11/599916411.db2.gz ZBBKFOYLQSATRT-KFWWJZLASA-N 0 2 318.373 0.585 20 0 DCADLN COc1ccc(CCNC(=O)C[N@H+](C)CC(=O)[O-])cc1OC ZINC000737991683 599997736 /nfs/dbraw/zinc/99/77/36/599997736.db2.gz FDJNBNOKCBAPCZ-UHFFFAOYSA-N 0 2 310.350 0.379 20 0 DCADLN COc1ccc(CCNC(=O)C[N@@H+](C)CC(=O)[O-])cc1OC ZINC000737991683 599997738 /nfs/dbraw/zinc/99/77/38/599997738.db2.gz FDJNBNOKCBAPCZ-UHFFFAOYSA-N 0 2 310.350 0.379 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000737440940 600028606 /nfs/dbraw/zinc/02/86/06/600028606.db2.gz JKOSUDBBNVDEAX-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN CNC(=O)[C@H](NC(=O)C[N@H+](C)C(C)(C)C(=O)[O-])c1ccccc1 ZINC000737536418 600309477 /nfs/dbraw/zinc/30/94/77/600309477.db2.gz XXHSBRVBKGVTHE-CYBMUJFWSA-N 0 2 321.377 0.385 20 0 DCADLN CNC(=O)[C@H](NC(=O)C[N@@H+](C)C(C)(C)C(=O)[O-])c1ccccc1 ZINC000737536418 600309480 /nfs/dbraw/zinc/30/94/80/600309480.db2.gz XXHSBRVBKGVTHE-CYBMUJFWSA-N 0 2 321.377 0.385 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCc2c([nH+]cn2C)C1 ZINC000736205674 600338061 /nfs/dbraw/zinc/33/80/61/600338061.db2.gz RGMRHVKZPPJJMV-NSHDSACASA-N 0 2 320.393 0.490 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736413317 600546928 /nfs/dbraw/zinc/54/69/28/600546928.db2.gz RVEYKOJGEICACK-GFCCVEGCSA-N 0 2 313.398 0.156 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736413317 600546930 /nfs/dbraw/zinc/54/69/30/600546930.db2.gz RVEYKOJGEICACK-GFCCVEGCSA-N 0 2 313.398 0.156 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1sccc1C(=O)[O-] ZINC000827597162 600870511 /nfs/dbraw/zinc/87/05/11/600870511.db2.gz MZRNEHJEZZYJKJ-MRVPVSSYSA-N 0 2 322.346 0.786 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)nc1 ZINC000833309198 600900829 /nfs/dbraw/zinc/90/08/29/600900829.db2.gz OLSRTLJCKSNRJO-CYBMUJFWSA-N 0 2 319.361 0.717 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000736206297 600955925 /nfs/dbraw/zinc/95/59/25/600955925.db2.gz DEUBVRVAKZXUMH-CHWSQXEVSA-N 0 2 320.393 0.350 20 0 DCADLN O=C([O-])CSCCC(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000739846126 601085552 /nfs/dbraw/zinc/08/55/52/601085552.db2.gz WXSINKWBKOERCA-LLVKDONJSA-N 0 2 302.396 0.127 20 0 DCADLN C[C@H]1CN(C(=O)c2ccnc(C(=O)[O-])c2)C[C@H]1[NH+]1CCOCC1 ZINC000828400746 601292062 /nfs/dbraw/zinc/29/20/62/601292062.db2.gz ATXMKJQASFDWBP-SMDDNHRTSA-N 0 2 319.361 0.573 20 0 DCADLN CN(C[C@H](O)C[NH+]1CCOCC1)C(=O)c1ccc(C(=O)[O-])cc1 ZINC000820574352 601294479 /nfs/dbraw/zinc/29/44/79/601294479.db2.gz SQZXKTSENPDTPM-AWEZNQCLSA-N 0 2 322.361 0.150 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000827541984 601786936 /nfs/dbraw/zinc/78/69/36/601786936.db2.gz QPUORGNHXWXMGL-QWRGUYRKSA-N 0 2 301.368 0.571 20 0 DCADLN COCCn1cnn(C[N@@H+]2CC[C@](C)(C(=O)[O-])C2)c1=S ZINC000831807382 601889689 /nfs/dbraw/zinc/88/96/89/601889689.db2.gz JNDPFLIECAMDDV-LBPRGKRZSA-N 0 2 300.384 0.815 20 0 DCADLN COCCn1cnn(C[N@H+]2CC[C@](C)(C(=O)[O-])C2)c1=S ZINC000831807382 601889691 /nfs/dbraw/zinc/88/96/91/601889691.db2.gz JNDPFLIECAMDDV-LBPRGKRZSA-N 0 2 300.384 0.815 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CCSC[C@H]1CCO)C(=O)[O-] ZINC000826785698 601959228 /nfs/dbraw/zinc/95/92/28/601959228.db2.gz BIBCSQZYZOVGPF-NEPJUHHUSA-N 0 2 318.439 0.402 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CCSC[C@H]1CCO)C(=O)[O-] ZINC000826785698 601959230 /nfs/dbraw/zinc/95/92/30/601959230.db2.gz BIBCSQZYZOVGPF-NEPJUHHUSA-N 0 2 318.439 0.402 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2ccc(C(=O)[O-])s2)CC1 ZINC000832731035 601960298 /nfs/dbraw/zinc/96/02/98/601960298.db2.gz WDANAAMRQRVNLQ-HXUWFJFHSA-N 0 2 316.448 0.942 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC000821543361 602298367 /nfs/dbraw/zinc/29/83/67/602298367.db2.gz LADDPFYSUBGDMR-CQSZACIVSA-N 0 2 304.346 0.932 20 0 DCADLN O=C(CN(C[C@@H]1CCCO1)C(=O)[O-])NCCNc1cccc[nH+]1 ZINC000831475034 603452938 /nfs/dbraw/zinc/45/29/38/603452938.db2.gz VSWABAUQJZULFQ-LBPRGKRZSA-N 0 2 322.365 0.769 20 0 DCADLN CN(C[C@H]1CCOC1)C(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000828267026 603467037 /nfs/dbraw/zinc/46/70/37/603467037.db2.gz NFKCLSDXNGYZTM-GFCCVEGCSA-N 0 2 313.398 0.556 20 0 DCADLN Cc1ccccc1CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830559569 603514426 /nfs/dbraw/zinc/51/44/26/603514426.db2.gz LPSLNCCEDFQKLK-CQSZACIVSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1ccccc1CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830559569 603514431 /nfs/dbraw/zinc/51/44/31/603514431.db2.gz LPSLNCCEDFQKLK-CQSZACIVSA-N 0 2 305.378 0.948 20 0 DCADLN C[N@H+](CN1C(=O)N[C@]2(CCCC[C@@H]2CNC(=O)[O-])C1=O)C1CC1 ZINC000828345767 603591910 /nfs/dbraw/zinc/59/19/10/603591910.db2.gz HWXJZFFKCUQIRL-BMIGLBTASA-N 0 2 324.381 0.787 20 0 DCADLN C[N@@H+](CN1C(=O)N[C@]2(CCCC[C@@H]2CNC(=O)[O-])C1=O)C1CC1 ZINC000828345767 603591915 /nfs/dbraw/zinc/59/19/15/603591915.db2.gz HWXJZFFKCUQIRL-BMIGLBTASA-N 0 2 324.381 0.787 20 0 DCADLN CN(C[C@H]1CCC[N@@H+]1CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)[O-] ZINC000828223008 603610944 /nfs/dbraw/zinc/61/09/44/603610944.db2.gz GSVVNFJPLITVLL-IAQYHMDHSA-N 0 2 324.381 0.739 20 0 DCADLN CN(C[C@H]1CCC[N@H+]1CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)[O-] ZINC000828223008 603610946 /nfs/dbraw/zinc/61/09/46/603610946.db2.gz GSVVNFJPLITVLL-IAQYHMDHSA-N 0 2 324.381 0.739 20 0 DCADLN CC(C)[C@]1(C)NC(=O)N(C[N@@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000824150699 603918844 /nfs/dbraw/zinc/91/88/44/603918844.db2.gz QLHBBBLSNJFRFO-HZMBPMFUSA-N 0 2 312.370 0.595 20 0 DCADLN CC(C)[C@]1(C)NC(=O)N(C[N@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000824150699 603918847 /nfs/dbraw/zinc/91/88/47/603918847.db2.gz QLHBBBLSNJFRFO-HZMBPMFUSA-N 0 2 312.370 0.595 20 0 DCADLN C[C@@H]1CCCCN1C(=O)C[NH+]1CCN(C2CN(C(=O)[O-])C2)CC1 ZINC000825902441 603918961 /nfs/dbraw/zinc/91/89/61/603918961.db2.gz XSBUSZHZLBWDBH-CYBMUJFWSA-N 0 2 324.425 0.367 20 0 DCADLN Cc1cc(N2CC[C@H]([N@@H+]3CCC[C@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073684 604034921 /nfs/dbraw/zinc/03/49/21/604034921.db2.gz VMHYGADNXWIKFF-RYUDHWBXSA-N 0 2 321.381 0.566 20 0 DCADLN Cc1cc(N2CC[C@H]([N@H+]3CCC[C@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073684 604034928 /nfs/dbraw/zinc/03/49/28/604034928.db2.gz VMHYGADNXWIKFF-RYUDHWBXSA-N 0 2 321.381 0.566 20 0 DCADLN C[C@H](NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)[C@@H]1CCOC1 ZINC000825165983 604075007 /nfs/dbraw/zinc/07/50/07/604075007.db2.gz LYNCNQHVGGKEDY-NWDGAFQWSA-N 0 2 314.386 0.006 20 0 DCADLN O=C([O-])NC[C@H]1CCC[N@@H+]1[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000832567651 604085809 /nfs/dbraw/zinc/08/58/09/604085809.db2.gz GIBWTZIFONWKJL-RKDXNWHRSA-N 0 2 309.288 0.882 20 0 DCADLN O=C([O-])NC[C@H]1CCC[N@H+]1[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000832567651 604085814 /nfs/dbraw/zinc/08/58/14/604085814.db2.gz GIBWTZIFONWKJL-RKDXNWHRSA-N 0 2 309.288 0.882 20 0 DCADLN C[C@@H](CN(C)C(=O)[O-])C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000824830807 604196068 /nfs/dbraw/zinc/19/60/68/604196068.db2.gz FIGGEQHJNMHBIT-STQMWFEESA-N 0 2 313.398 0.556 20 0 DCADLN C[C@H](CNC(=O)Nc1cncc(C(=O)[O-])c1)[NH+]1CCOCC1 ZINC000827493213 604322462 /nfs/dbraw/zinc/32/24/62/604322462.db2.gz ONGHYWCFZVRNGL-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCCn2cccn2)[C@H](CNC(=O)[O-])C1 ZINC000828493828 604400183 /nfs/dbraw/zinc/40/01/83/604400183.db2.gz DFSWMOUOPLKHHL-GFCCVEGCSA-N 0 2 309.370 0.074 20 0 DCADLN C[N@H+]1CCN(C(=O)CCCn2cccn2)[C@H](CNC(=O)[O-])C1 ZINC000828493828 604400186 /nfs/dbraw/zinc/40/01/86/604400186.db2.gz DFSWMOUOPLKHHL-GFCCVEGCSA-N 0 2 309.370 0.074 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])c1ccncc1 ZINC000824591550 604405578 /nfs/dbraw/zinc/40/55/78/604405578.db2.gz PJEGVBQKVOGASU-GXTWGEPZSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])c1ccncc1 ZINC000824591550 604405580 /nfs/dbraw/zinc/40/55/80/604405580.db2.gz PJEGVBQKVOGASU-GXTWGEPZSA-N 0 2 320.393 0.985 20 0 DCADLN CO[C@@H]1CCN(c2nc[nH+]c(N3CC[C@@H](OC)C3)c2C(=O)[O-])C1 ZINC000831841761 604460527 /nfs/dbraw/zinc/46/05/27/604460527.db2.gz PLGQWCFEZKTTDA-GHMZBOCLSA-N 0 2 322.365 0.625 20 0 DCADLN O=C(C[NH2+][C@]1(C(=O)[O-])CCOC1)Nc1nc2c(s1)CCC2 ZINC000833765090 604489728 /nfs/dbraw/zinc/48/97/28/604489728.db2.gz WNQRXOVJQVJKQT-CYBMUJFWSA-N 0 2 311.363 0.404 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C)C1 ZINC000826001298 604758677 /nfs/dbraw/zinc/75/86/77/604758677.db2.gz VLBNAPKERAKIMH-MNOVXSKESA-N 0 2 313.398 0.202 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@H](NC(=O)C(C)(C)C)C1 ZINC000826001298 604758678 /nfs/dbraw/zinc/75/86/78/604758678.db2.gz VLBNAPKERAKIMH-MNOVXSKESA-N 0 2 313.398 0.202 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000761330044 604800331 /nfs/dbraw/zinc/80/03/31/604800331.db2.gz HTHVENDTBSVIDU-IUCAKERBSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000761330044 604800332 /nfs/dbraw/zinc/80/03/32/604800332.db2.gz HTHVENDTBSVIDU-IUCAKERBSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833590057 604801223 /nfs/dbraw/zinc/80/12/23/604801223.db2.gz YQMVYLOBZBFFTC-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833590057 604801225 /nfs/dbraw/zinc/80/12/25/604801225.db2.gz YQMVYLOBZBFFTC-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN O=C([O-])N1CCO[C@H](CC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC000832060426 604822567 /nfs/dbraw/zinc/82/25/67/604822567.db2.gz LCQXHMPGURGZHJ-NWDGAFQWSA-N 0 2 322.365 0.885 20 0 DCADLN C[C@@H](C(=O)Nc1ncccn1)[N@@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000833412743 604918824 /nfs/dbraw/zinc/91/88/24/604918824.db2.gz XSMRSIBJBBSWOT-VHSXEESVSA-N 0 2 310.379 0.696 20 0 DCADLN C[C@@H](C(=O)Nc1ncccn1)[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000833412743 604918825 /nfs/dbraw/zinc/91/88/25/604918825.db2.gz XSMRSIBJBBSWOT-VHSXEESVSA-N 0 2 310.379 0.696 20 0 DCADLN O=C(C[C@H]1CN(C(=O)[O-])CCO1)NCCCNc1cccc[nH+]1 ZINC000831383876 604939151 /nfs/dbraw/zinc/93/91/51/604939151.db2.gz YASVIJBBOOIPMB-LBPRGKRZSA-N 0 2 322.365 0.769 20 0 DCADLN CC(C)(CNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C(=O)[O-] ZINC000833458940 605031554 /nfs/dbraw/zinc/03/15/54/605031554.db2.gz ZQODROUGLYGYCN-UHFFFAOYSA-N 0 2 304.306 0.500 20 0 DCADLN CC(=O)N1CC[N@H+](CC(=O)NCC(=O)[O-])CC12CCCCC2 ZINC000819736993 605141606 /nfs/dbraw/zinc/14/16/06/605141606.db2.gz AXKJYYPTBQPJOK-UHFFFAOYSA-N 0 2 311.382 0.054 20 0 DCADLN CC(=O)N1CC[N@@H+](CC(=O)NCC(=O)[O-])CC12CCCCC2 ZINC000819736993 605141608 /nfs/dbraw/zinc/14/16/08/605141608.db2.gz AXKJYYPTBQPJOK-UHFFFAOYSA-N 0 2 311.382 0.054 20 0 DCADLN CC(C)C(=O)NC1CC[NH+](CN2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC000833464118 605170322 /nfs/dbraw/zinc/17/03/22/605170322.db2.gz ZKLKJCWEOUPOAF-LLVKDONJSA-N 0 2 311.382 0.114 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C[C@H]1CN(C(=O)[O-])CCO1 ZINC000828312697 605421654 /nfs/dbraw/zinc/42/16/54/605421654.db2.gz QGFMRTWTJXRFNM-LBPRGKRZSA-N 0 2 310.354 0.501 20 0 DCADLN CC(C)(CNC(=O)[O-])NC(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000823986211 605596329 /nfs/dbraw/zinc/59/63/29/605596329.db2.gz NCMKTVCGKJDOJK-UHFFFAOYSA-N 0 2 316.402 0.443 20 0 DCADLN CC(C)(CNC(=O)[O-])NC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000823986211 605596332 /nfs/dbraw/zinc/59/63/32/605596332.db2.gz NCMKTVCGKJDOJK-UHFFFAOYSA-N 0 2 316.402 0.443 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000824292353 605633847 /nfs/dbraw/zinc/63/38/47/605633847.db2.gz UVHKNRDMVJXPPB-RYUDHWBXSA-N 0 2 301.387 0.506 20 0 DCADLN O=C([O-])N[C@@H](C(=O)NC[C@@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000834251781 605663771 /nfs/dbraw/zinc/66/37/71/605663771.db2.gz TZZQHRWWQLKTMV-ZIAGYGMSSA-N 0 2 318.377 0.111 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)[C@@H](NC(=O)[O-])C(C)(C)C)CCO1 ZINC000826059310 605686523 /nfs/dbraw/zinc/68/65/23/605686523.db2.gz SVZMBGDUAGGDER-WDEREUQCSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)[C@@H](NC(=O)[O-])C(C)(C)C)CCO1 ZINC000826059310 605686525 /nfs/dbraw/zinc/68/65/25/605686525.db2.gz SVZMBGDUAGGDER-WDEREUQCSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000736421795 605702053 /nfs/dbraw/zinc/70/20/53/605702053.db2.gz NBASMICCPZISFL-AVGNSLFASA-N 0 2 315.414 0.894 20 0 DCADLN C[C@](O)(CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1ccccc1 ZINC000833524719 605764143 /nfs/dbraw/zinc/76/41/43/605764143.db2.gz LZVWJBHCPXOIPO-BBRMVZONSA-N 0 2 317.345 0.819 20 0 DCADLN C[C@](O)(CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1ccccc1 ZINC000833524719 605764149 /nfs/dbraw/zinc/76/41/49/605764149.db2.gz LZVWJBHCPXOIPO-BBRMVZONSA-N 0 2 317.345 0.819 20 0 DCADLN O=C([O-])NCCCCC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000834234242 605899936 /nfs/dbraw/zinc/89/99/36/605899936.db2.gz LGSOWSWEWSJPNN-CYBMUJFWSA-N 0 2 313.398 0.748 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](CCN2CCCS2(=O)=O)CCN1C(=O)[O-] ZINC000833829511 606045112 /nfs/dbraw/zinc/04/51/12/606045112.db2.gz RWZXQMYAAMEREJ-GHMZBOCLSA-N 0 2 305.400 0.095 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](CCN2CCCS2(=O)=O)CCN1C(=O)[O-] ZINC000833829511 606045117 /nfs/dbraw/zinc/04/51/17/606045117.db2.gz RWZXQMYAAMEREJ-GHMZBOCLSA-N 0 2 305.400 0.095 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)n1)Nc1ccc(Cl)cc1O ZINC000826425546 607836089 /nfs/dbraw/zinc/83/60/89/607836089.db2.gz IZRBIPXSDVPONJ-UHFFFAOYSA-N 0 2 320.700 0.456 20 0 DCADLN CC(C)CSCCCn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC000824274460 608095538 /nfs/dbraw/zinc/09/55/38/608095538.db2.gz IEJNASJYOKRUMY-UHFFFAOYSA-N 0 2 324.410 0.506 20 0 DCADLN Cc1nn(Cc2cnc3ccccn23)c(=O)c(-c2nn[nH]n2)c1C ZINC000826334624 609174859 /nfs/dbraw/zinc/17/48/59/609174859.db2.gz CANMRQNNNSCGDL-UHFFFAOYSA-N 0 2 322.332 0.736 20 0 DCADLN Cc1ccnc(NCCCc2n[nH]c(=O)[nH]2)c1-c1nn[nH]n1 ZINC000826313898 609567499 /nfs/dbraw/zinc/56/74/99/609567499.db2.gz XRKBGMKOTOMKRY-UHFFFAOYSA-N 0 2 301.314 0.439 20 0 DCADLN COc1ccccc1C[C@@H](CNC(=O)Cn1cc[nH+]c1)C(=O)[O-] ZINC000909384838 660480859 /nfs/dbraw/zinc/48/08/59/660480859.db2.gz NRDKZARZHBFPTC-ZDUSSCGKSA-N 0 2 317.345 0.951 20 0 DCADLN Cn1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001027824691 660794361 /nfs/dbraw/zinc/79/43/61/660794361.db2.gz MTLXERDKHHKGNL-LLVKDONJSA-N 0 2 304.354 0.243 20 0 DCADLN Cn1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001027824691 660794362 /nfs/dbraw/zinc/79/43/62/660794362.db2.gz MTLXERDKHHKGNL-LLVKDONJSA-N 0 2 304.354 0.243 20 0 DCADLN Cc1nc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001027841958 660823429 /nfs/dbraw/zinc/82/34/29/660823429.db2.gz AIVGOJXIBXOFDT-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cncc(F)c1 ZINC001038149959 660889487 /nfs/dbraw/zinc/88/94/87/660889487.db2.gz MGHYXWCIZZWCHZ-JTQLQIEISA-N 0 2 306.301 0.049 20 0 DCADLN C[C@H]1C[C@H](C[NH2+]Cc2cnns2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC000980707896 660988806 /nfs/dbraw/zinc/98/88/06/660988806.db2.gz HSUOMDMYKXKUBL-WDEREUQCSA-N 0 2 320.422 0.831 20 0 DCADLN Cn1cccc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980715257 660990240 /nfs/dbraw/zinc/99/02/40/660990240.db2.gz JAIKKAXBYRNHPK-UHFFFAOYSA-N 0 2 304.354 0.197 20 0 DCADLN Cc1ncc(C(=O)N2CCC[N@@H+](CCn3cncn3)CC2)[nH]1 ZINC000980846035 661026608 /nfs/dbraw/zinc/02/66/08/661026608.db2.gz ZBOJQFVXRWFHQA-UHFFFAOYSA-N 0 2 303.370 0.158 20 0 DCADLN Cc1nc(C)c(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000980897268 661041926 /nfs/dbraw/zinc/04/19/26/661041926.db2.gz YLXGPNMGRJAMOT-UHFFFAOYSA-N 0 2 320.353 0.463 20 0 DCADLN Cc1nnccc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028000434 661036523 /nfs/dbraw/zinc/03/65/23/661036523.db2.gz FJPLBUGVZHWPDH-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@@H]1OCCC[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981053257 661067750 /nfs/dbraw/zinc/06/77/50/661067750.db2.gz YAJVTPCYUPDLOE-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CCc1[nH]ccc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981090627 661076598 /nfs/dbraw/zinc/07/65/98/661076598.db2.gz VQUIAAJGSPTTJU-UHFFFAOYSA-N 0 2 318.381 0.749 20 0 DCADLN O=C([C@@H]1CCC1(F)F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981418083 661150048 /nfs/dbraw/zinc/15/00/48/661150048.db2.gz YCYKYTGSGLYVBP-VIFPVBQESA-N 0 2 315.324 0.590 20 0 DCADLN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981494670 661160766 /nfs/dbraw/zinc/16/07/66/661160766.db2.gz LPEZVHWWPWXPFN-AGIUHOORSA-N 0 2 319.409 0.981 20 0 DCADLN CO[C@H](C)C[N@H+]1CCCN(C(=O)CCc2[nH+]ccn2C)CC1 ZINC000981668233 661192719 /nfs/dbraw/zinc/19/27/19/661192719.db2.gz IFKXECROYRCBFZ-CQSZACIVSA-N 0 2 308.426 0.922 20 0 DCADLN C[C@@H](C(N)=O)[N@@H+]1CCCN(C(=O)C[NH+]2CCC(C)(C)C2)CC1 ZINC000981962832 661258896 /nfs/dbraw/zinc/25/88/96/661258896.db2.gz YBNQDWYFSWWELX-ZDUSSCGKSA-N 0 2 310.442 0.126 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000982079018 661288685 /nfs/dbraw/zinc/28/86/85/661288685.db2.gz ZYWBROSOQQQQNN-VXGBXAGGSA-N 0 2 307.398 0.981 20 0 DCADLN O=C(Cc1cscn1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982095584 661289283 /nfs/dbraw/zinc/28/92/83/661289283.db2.gz AOOXUHRLJLPNGC-UHFFFAOYSA-N 0 2 322.394 0.244 20 0 DCADLN CC1(C)C(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1(C)C ZINC001038142415 657522289 /nfs/dbraw/zinc/52/22/89/657522289.db2.gz ZUWLFVCAZWIYIX-SECBINFHSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](NC(=O)c1cccc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969227553 657605026 /nfs/dbraw/zinc/60/50/26/657605026.db2.gz JCMXMTNIOICXJX-SECBINFHSA-N 0 2 319.340 0.900 20 0 DCADLN Cc1nc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)c[nH]1 ZINC001010716307 657708606 /nfs/dbraw/zinc/70/86/06/657708606.db2.gz LKRKRUFAGPINEM-VIFPVBQESA-N 0 2 322.262 0.903 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC000939199869 665515912 /nfs/dbraw/zinc/51/59/12/665515912.db2.gz CUWMXACYGKZOON-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnccn1 ZINC000939199869 665515913 /nfs/dbraw/zinc/51/59/13/665515913.db2.gz CUWMXACYGKZOON-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN CCN(CC)C(=O)CCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742542704 667793874 /nfs/dbraw/zinc/79/38/74/667793874.db2.gz ZEJDLOPEPYLWFA-VIFPVBQESA-N 0 2 314.411 0.308 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000742650112 657854505 /nfs/dbraw/zinc/85/45/05/657854505.db2.gz LKVKHRPOMRUTIR-NKWVEPMBSA-N 0 2 301.368 0.389 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969482261 657919831 /nfs/dbraw/zinc/91/98/31/657919831.db2.gz CLIIMHKXTLRBMN-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1nc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC000969529656 657953249 /nfs/dbraw/zinc/95/32/49/657953249.db2.gz MEVVXAHADQOIJN-ZETCQYMHSA-N 0 2 306.326 0.057 20 0 DCADLN O=C(C[C@H]1CCCO1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006828076 657967163 /nfs/dbraw/zinc/96/71/63/657967163.db2.gz XBILVFRCHFPUSO-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969594843 658013683 /nfs/dbraw/zinc/01/36/83/658013683.db2.gz NZIPCVYCPHBVJX-VIFPVBQESA-N 0 2 304.354 0.397 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969880811 658231373 /nfs/dbraw/zinc/23/13/73/658231373.db2.gz HMHOBSHAJJZQME-CWSCBRNRSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969942212 658278488 /nfs/dbraw/zinc/27/84/88/658278488.db2.gz WUSKLYQLHIOOQV-CBAPKCEASA-N 0 2 315.324 0.492 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@@]3(C2)C[N@H+](CCCO)CCO3)[nH]1 ZINC000972295652 658531729 /nfs/dbraw/zinc/53/17/29/658531729.db2.gz WOTVFFKIQUIFTD-HNNXBMFYSA-N 0 2 308.382 0.017 20 0 DCADLN COCC(=O)N1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001021860043 658590918 /nfs/dbraw/zinc/59/09/18/658590918.db2.gz QMCWBRSBESIDSJ-XKSSXDPKSA-N 0 2 312.263 0.593 20 0 DCADLN COCC(=O)N1C[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)[C@@H]2C1 ZINC001021860043 658590928 /nfs/dbraw/zinc/59/09/28/658590928.db2.gz QMCWBRSBESIDSJ-XKSSXDPKSA-N 0 2 312.263 0.593 20 0 DCADLN C[C@@H](O)C[N@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]cc2ncnc1-2 ZINC001024452584 658625093 /nfs/dbraw/zinc/62/50/93/658625093.db2.gz NNKIKLKCCAWYEJ-VXGBXAGGSA-N 0 2 317.393 0.923 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cnco1 ZINC001024582804 658734930 /nfs/dbraw/zinc/73/49/30/658734930.db2.gz FNNZCSSVQFDOAJ-VIFPVBQESA-N 0 2 306.326 0.283 20 0 DCADLN Cc1cocc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970286301 658815757 /nfs/dbraw/zinc/81/57/57/658815757.db2.gz ZURALSGQWGBCBY-VIFPVBQESA-N 0 2 305.338 0.662 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)N(C)C)C2)c1C ZINC001006944420 659032902 /nfs/dbraw/zinc/03/29/02/659032902.db2.gz IGTBDYSRZAVLIT-GFCCVEGCSA-N 0 2 307.398 0.309 20 0 DCADLN O=C(NNC(=O)c1cc(-c2ccccc2)on1)C(=O)NC1CC1 ZINC000053176779 659133813 /nfs/dbraw/zinc/13/38/13/659133813.db2.gz IIJIPQKAAYLWPL-UHFFFAOYSA-N 0 2 314.301 0.381 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)[C@H]1CCCO1 ZINC000976136186 659202532 /nfs/dbraw/zinc/20/25/32/659202532.db2.gz RHSFECDFOVFOKD-MQIGXGKASA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)[C@H]1CCCO1 ZINC000976136186 659202540 /nfs/dbraw/zinc/20/25/40/659202540.db2.gz RHSFECDFOVFOKD-MQIGXGKASA-N 0 2 324.274 0.639 20 0 DCADLN COC[C@@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)C ZINC000893455904 659345185 /nfs/dbraw/zinc/34/51/85/659345185.db2.gz KYBJLRGGOFDKSG-JTQLQIEISA-N 0 2 308.338 0.533 20 0 DCADLN O=C(/C=C\C1CC1)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001042329022 659434914 /nfs/dbraw/zinc/43/49/14/659434914.db2.gz CSQDACKPUFPUFJ-XOULXFPDSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(/C=C\C1CC1)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001042329022 659434921 /nfs/dbraw/zinc/43/49/21/659434921.db2.gz CSQDACKPUFPUFJ-XOULXFPDSA-N 0 2 324.274 0.543 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001025580007 659448624 /nfs/dbraw/zinc/44/86/24/659448624.db2.gz FPWBEAMJUJLBPE-PRJMDXOYSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001025580007 659448628 /nfs/dbraw/zinc/44/86/28/659448628.db2.gz FPWBEAMJUJLBPE-PRJMDXOYSA-N 0 2 314.279 0.621 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1cnco1 ZINC000976886093 659482507 /nfs/dbraw/zinc/48/25/07/659482507.db2.gz FHTDLTXVTOLLDO-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)c1cnco1 ZINC000976886093 659482512 /nfs/dbraw/zinc/48/25/12/659482512.db2.gz FHTDLTXVTOLLDO-LWIVVEGESA-N 0 2 321.230 0.762 20 0 DCADLN CC1(C)C[N@H+](CCO)C[C@H]1NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000974742121 659656200 /nfs/dbraw/zinc/65/62/00/659656200.db2.gz LUKMMOFDPFDZTA-CHWSQXEVSA-N 0 2 306.410 0.264 20 0 DCADLN COc1ccc(C[N@H+](C)CCS(=O)(=O)CC(=O)[O-])cc1 ZINC000312906556 659671176 /nfs/dbraw/zinc/67/11/76/659671176.db2.gz YZHMZGLAZMVQIM-UHFFFAOYSA-N 0 2 301.364 0.626 20 0 DCADLN COc1ccc(C[N@@H+](C)CCS(=O)(=O)CC(=O)[O-])cc1 ZINC000312906556 659671179 /nfs/dbraw/zinc/67/11/79/659671179.db2.gz YZHMZGLAZMVQIM-UHFFFAOYSA-N 0 2 301.364 0.626 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001031869531 665700606 /nfs/dbraw/zinc/70/06/06/665700606.db2.gz NZKCUUOWNTXTPQ-RWMBFGLXSA-N 0 2 319.409 0.885 20 0 DCADLN CO[C@@H](CS(=O)(=O)NCC(F)(F)CO)c1ccccc1 ZINC000295070632 659767064 /nfs/dbraw/zinc/76/70/64/659767064.db2.gz CYUQDLAHKQDRRC-NSHDSACASA-N 0 2 309.334 0.921 20 0 DCADLN Cc1cnc(C[NH2+]C[C@@H]2CCN(C(=O)CCc3cnn[nH]3)C2)o1 ZINC001027074341 659786970 /nfs/dbraw/zinc/78/69/70/659786970.db2.gz XQTBRIIVFOUHHG-LBPRGKRZSA-N 0 2 318.381 0.672 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1ncccc1F ZINC001028226572 661317979 /nfs/dbraw/zinc/31/79/79/661317979.db2.gz RIKXLYGATHRJJU-VIFPVBQESA-N 0 2 320.328 0.439 20 0 DCADLN Cn1c(Cl)cnc1C[N@@H+]1CC[C@H](CNC(=O)c2cnn[n-]2)C1 ZINC001028650014 661721692 /nfs/dbraw/zinc/72/16/92/661721692.db2.gz PUXJIBAWSFETAV-SECBINFHSA-N 0 2 323.788 0.444 20 0 DCADLN Cn1c(Cl)cnc1C[N@H+]1CC[C@H](CNC(=O)c2cnn[n-]2)C1 ZINC001028650014 661721696 /nfs/dbraw/zinc/72/16/96/661721696.db2.gz PUXJIBAWSFETAV-SECBINFHSA-N 0 2 323.788 0.444 20 0 DCADLN C[C@@H]1CCN(C(=O)c2ccn[nH]2)[C@H](CNC(=O)c2cn[nH]n2)C1 ZINC000983634456 661994000 /nfs/dbraw/zinc/99/40/00/661994000.db2.gz VHJPOFCIFUEXDX-ZJUUUORDSA-N 0 2 317.353 0.199 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccccn1 ZINC001000371687 665829223 /nfs/dbraw/zinc/82/92/23/665829223.db2.gz HRFMHOLHSYPKMV-UHFFFAOYSA-N 0 2 314.349 0.467 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccccn1 ZINC001000371687 665829224 /nfs/dbraw/zinc/82/92/24/665829224.db2.gz HRFMHOLHSYPKMV-UHFFFAOYSA-N 0 2 314.349 0.467 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cccnc1 ZINC001000376795 665832149 /nfs/dbraw/zinc/83/21/49/665832149.db2.gz ZQMMQQALKLHQFL-UHFFFAOYSA-N 0 2 314.349 0.467 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cccnc1 ZINC001000376795 665832151 /nfs/dbraw/zinc/83/21/51/665832151.db2.gz ZQMMQQALKLHQFL-UHFFFAOYSA-N 0 2 314.349 0.467 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)[C@H]3CCn4cc[nH+]c4C3)C[C@H]1COCC2 ZINC000909562720 662367210 /nfs/dbraw/zinc/36/72/10/662367210.db2.gz PESUYXYACDGERI-MQIPJXDCSA-N 0 2 319.361 0.395 20 0 DCADLN Cn1ncnc1C[NH+](C)C[C@H]1CCN(C(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001029672751 662447247 /nfs/dbraw/zinc/44/72/47/662447247.db2.gz NYWSUWFSLNLNKT-KGLIPLIRSA-N 0 2 320.441 0.190 20 0 DCADLN C[NH+](Cc1nncs1)C[C@H]1CCN(C(=O)[C@@H]2CCC[N@H+]2C)C1 ZINC001029675626 662448469 /nfs/dbraw/zinc/44/84/69/662448469.db2.gz RUCHETPWVGGLDF-OLZOCXBDSA-N 0 2 323.466 0.913 20 0 DCADLN C[N@H+](CC(N)=O)C1CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC000985535676 662475481 /nfs/dbraw/zinc/47/54/81/662475481.db2.gz WELYRXUPHKQCKR-UHFFFAOYSA-N 0 2 315.377 0.356 20 0 DCADLN CCO[C@H]1C[C@@](NC(=O)Cc2c[nH+]cn2C)(C(=O)[O-])C1(C)C ZINC000909603669 662475723 /nfs/dbraw/zinc/47/57/23/662475723.db2.gz PTYOQBAYZVVCOX-XHDPSFHLSA-N 0 2 309.366 0.737 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC=CC1 ZINC001000539518 665871582 /nfs/dbraw/zinc/87/15/82/665871582.db2.gz QNCGRANHGVSERF-UHFFFAOYSA-N 0 2 303.366 0.725 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC=CC1 ZINC001000539518 665871586 /nfs/dbraw/zinc/87/15/86/665871586.db2.gz QNCGRANHGVSERF-UHFFFAOYSA-N 0 2 303.366 0.725 20 0 DCADLN Cn1cncc1C[N@H+](C)C[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001029853877 662498969 /nfs/dbraw/zinc/49/89/69/662498969.db2.gz SFTGFDJHPQRXRX-CYBMUJFWSA-N 0 2 316.409 0.666 20 0 DCADLN CC(=O)N1CCN(C(=O)C[NH2+][C@@H](C(=O)[O-])c2ccccc2)CC1 ZINC000321282680 662638199 /nfs/dbraw/zinc/63/81/99/662638199.db2.gz GHWGXOJFXDZAPL-OAHLLOKOSA-N 0 2 319.361 0.093 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2=CC[N@H+](Cc3ccon3)CC2)c1[O-] ZINC001000587907 665888581 /nfs/dbraw/zinc/88/85/81/665888581.db2.gz OAEWKYDBLUCODC-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2=CC[N@@H+](Cc3ccon3)CC2)c1[O-] ZINC001000587907 665888583 /nfs/dbraw/zinc/88/85/83/665888583.db2.gz OAEWKYDBLUCODC-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N=c1cc[nH]cc1 ZINC000729811574 662910534 /nfs/dbraw/zinc/91/05/34/662910534.db2.gz RHUOETXAVSKSSN-UHFFFAOYSA-N 0 2 310.335 0.513 20 0 DCADLN COCCS(=O)(=O)NN=c1sc2ccccc2n1C ZINC000737756765 662916658 /nfs/dbraw/zinc/91/66/58/662916658.db2.gz PFKKYXRIHZBMIH-UHFFFAOYSA-N 0 2 301.393 0.621 20 0 DCADLN Cc1c(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cnn1C ZINC000990256606 663022206 /nfs/dbraw/zinc/02/22/06/663022206.db2.gz UATZCYIQONMBMP-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1c(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)cnn1C ZINC000990256606 663022207 /nfs/dbraw/zinc/02/22/07/663022207.db2.gz UATZCYIQONMBMP-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ocnc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990400477 663042584 /nfs/dbraw/zinc/04/25/84/663042584.db2.gz UGLXDVUOTMOKRE-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1ocnc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990400477 663042586 /nfs/dbraw/zinc/04/25/86/663042586.db2.gz UGLXDVUOTMOKRE-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nn(C)cc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990526203 663062846 /nfs/dbraw/zinc/06/28/46/663062846.db2.gz OFOUCFOKYJUXQP-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1nn(C)cc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990526203 663062847 /nfs/dbraw/zinc/06/28/47/663062847.db2.gz OFOUCFOKYJUXQP-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cnn3c2C[C@@H](C)CC3)C(=O)N1C ZINC000899039370 663120140 /nfs/dbraw/zinc/12/01/40/663120140.db2.gz DIDVXUAHYSQNAQ-IUCAKERBSA-N 0 2 305.338 0.393 20 0 DCADLN Cn1cc(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC001000865992 665945557 /nfs/dbraw/zinc/94/55/57/665945557.db2.gz CTMWAMGDBLRDKR-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cc(C(=O)NCC2CN(C(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001000865992 665945558 /nfs/dbraw/zinc/94/55/58/665945558.db2.gz CTMWAMGDBLRDKR-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N(CC(=O)[O-])CC3CC3)[nH+]cn2)C1 ZINC000900969640 663296543 /nfs/dbraw/zinc/29/65/43/663296543.db2.gz ARBNPFJVNAFKBL-WCQYABFASA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N(CC(=O)[O-])CC3CC3)nc[nH+]2)C1 ZINC000900969640 663296544 /nfs/dbraw/zinc/29/65/44/663296544.db2.gz ARBNPFJVNAFKBL-WCQYABFASA-N 0 2 320.393 0.985 20 0 DCADLN COCC[N@H+]1CC=C(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)CC1 ZINC001000880262 665949010 /nfs/dbraw/zinc/94/90/10/665949010.db2.gz UQVHKLOOOCOQIE-OAHLLOKOSA-N 0 2 318.421 0.840 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000938241404 663360683 /nfs/dbraw/zinc/36/06/83/663360683.db2.gz JIJRYRIQGBKYAB-CAHLUQPWSA-N 0 2 309.223 0.036 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000901667819 663361493 /nfs/dbraw/zinc/36/14/93/663361493.db2.gz RMQXGRNUVIKXBC-ABAIWWIYSA-N 0 2 307.350 0.538 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)Nc1c2c(ccc1F)OCO2 ZINC000901695801 663362809 /nfs/dbraw/zinc/36/28/09/663362809.db2.gz LDMUSKHDVPGJEV-UHFFFAOYSA-N 0 2 310.328 0.939 20 0 DCADLN C[C@@H]1CN(CN2CC(C)(C)OC2=O)C[C@H]1CS(N)(=O)=O ZINC000902614419 663422348 /nfs/dbraw/zinc/42/23/48/663422348.db2.gz GCSCRVYLDGPPNG-ZJUUUORDSA-N 0 2 305.400 0.031 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001001017731 665975853 /nfs/dbraw/zinc/97/58/53/665975853.db2.gz BAXFFLBFJASNCZ-QMMMGPOBSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001001017731 665975855 /nfs/dbraw/zinc/97/58/55/665975855.db2.gz BAXFFLBFJASNCZ-QMMMGPOBSA-N 0 2 308.235 0.498 20 0 DCADLN Cn1cncc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000941363822 665981489 /nfs/dbraw/zinc/98/14/89/665981489.db2.gz NHCZSWRKNNTSHF-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000941363822 665981491 /nfs/dbraw/zinc/98/14/91/665981491.db2.gz NHCZSWRKNNTSHF-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN CC(C)[N@@H+]1CCO[C@@H](C(=O)NCC2=CC[NH+](CCF)CC2)C1 ZINC001001074974 665989745 /nfs/dbraw/zinc/98/97/45/665989745.db2.gz BHBQLGFOJOUNCG-OAHLLOKOSA-N 0 2 313.417 0.813 20 0 DCADLN Cc1ncc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001038097381 663722543 /nfs/dbraw/zinc/72/25/43/663722543.db2.gz YKGAFPQZVDSOFB-MRVPVSSYSA-N 0 2 308.367 0.280 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](F)CN1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000909083761 663780625 /nfs/dbraw/zinc/78/06/25/663780625.db2.gz YWSNJEUIOWHXQL-TUAOUCFPSA-N 0 2 322.340 0.867 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000909936391 663821618 /nfs/dbraw/zinc/82/16/18/663821618.db2.gz DUVSMYZASMTISE-IAQYHMDHSA-N 0 2 307.350 0.538 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C1CCOCC1 ZINC000910016415 663835709 /nfs/dbraw/zinc/83/57/09/663835709.db2.gz TXCCVIRUSVVCCW-YPMHNXCESA-N 0 2 307.350 0.442 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000910235114 663856810 /nfs/dbraw/zinc/85/68/10/663856810.db2.gz CFEOYAHSAIKYKK-YNEHKIRRSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000910239836 663858774 /nfs/dbraw/zinc/85/87/74/663858774.db2.gz FIYBIQQFKLKHCS-OUCADQQQSA-N 0 2 321.377 0.832 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](C[C@H]1CCCOC1)C(=O)[O-] ZINC000910248891 663859237 /nfs/dbraw/zinc/85/92/37/663859237.db2.gz ZQRCDZSBGCXARV-FDYHWXHSSA-N 0 2 321.377 0.910 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@@H]3CCC[C@@H]3[C@H]2C(=O)[O-])nc[nH+]1 ZINC000910453729 663885589 /nfs/dbraw/zinc/88/55/89/663885589.db2.gz LYURULKIRNLTOG-RMIALFOJSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@@H]3CCC[C@@H]3[C@H]2C(=O)[O-])[nH+]cn1 ZINC000910453729 663885591 /nfs/dbraw/zinc/88/55/91/663885591.db2.gz LYURULKIRNLTOG-RMIALFOJSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(NC[C@@H]3C[C@@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000910470816 663888736 /nfs/dbraw/zinc/88/87/36/663888736.db2.gz VFPPJXLQWCEJLP-USZNOCQGSA-N 0 2 306.366 0.816 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(NC[C@@H]3C[C@@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000910470816 663888738 /nfs/dbraw/zinc/88/87/38/663888738.db2.gz VFPPJXLQWCEJLP-USZNOCQGSA-N 0 2 306.366 0.816 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(NC[C@@H]3C[C@@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000910470812 663888955 /nfs/dbraw/zinc/88/89/55/663888955.db2.gz VFPPJXLQWCEJLP-BJDJZHNGSA-N 0 2 306.366 0.816 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(NC[C@@H]3C[C@@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000910470812 663888957 /nfs/dbraw/zinc/88/89/57/663888957.db2.gz VFPPJXLQWCEJLP-BJDJZHNGSA-N 0 2 306.366 0.816 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@](C)(Cc2ccc(F)cc2)C(=O)[O-])C1 ZINC000910511247 663893159 /nfs/dbraw/zinc/89/31/59/663893159.db2.gz APMFRFISWHICQJ-CZUORRHYSA-N 0 2 324.352 0.658 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N[C@](C)(Cc2ccc(F)cc2)C(=O)[O-])C1 ZINC000910511247 663893162 /nfs/dbraw/zinc/89/31/62/663893162.db2.gz APMFRFISWHICQJ-CZUORRHYSA-N 0 2 324.352 0.658 20 0 DCADLN O=C([O-])c1cnc(CCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)s1 ZINC000911002881 663969842 /nfs/dbraw/zinc/96/98/42/663969842.db2.gz ZNFQNMXSNHOVSQ-SECBINFHSA-N 0 2 320.374 0.959 20 0 DCADLN COC1(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CCC1 ZINC000911170742 664000902 /nfs/dbraw/zinc/00/09/02/664000902.db2.gz ZCTQZPAVENXXKY-UHFFFAOYSA-N 0 2 319.361 0.998 20 0 DCADLN CO[C@@H](C)C(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911301677 664022672 /nfs/dbraw/zinc/02/26/72/664022672.db2.gz BGJHFUYYPPLEOM-NSHDSACASA-N 0 2 307.350 0.853 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)[C@@H]2CC[C@H](C(=O)[O-])O2)C1 ZINC000911588808 664082214 /nfs/dbraw/zinc/08/22/14/664082214.db2.gz PVFJGEXZNKBHMV-GRYCIOLGSA-N 0 2 307.350 0.758 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@](C)(CC(=O)[O-])c1ccccn1 ZINC000911615436 664089299 /nfs/dbraw/zinc/08/92/99/664089299.db2.gz AHJBCIACEGSJDT-OAHLLOKOSA-N 0 2 302.334 0.864 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)Nc1ncn(C(C)C)n1 ZINC000912562919 664185911 /nfs/dbraw/zinc/18/59/11/664185911.db2.gz MZCFDVDQKXOVKJ-UHFFFAOYSA-N 0 2 314.327 0.170 20 0 DCADLN O=C(Nc1nccc(Br)c1O)C(=O)NC1CC1 ZINC000913026124 664255886 /nfs/dbraw/zinc/25/58/86/664255886.db2.gz ILGYCFZWKFJXJQ-UHFFFAOYSA-N 0 2 300.112 0.767 20 0 DCADLN O=C(NC[C@]1(CO)COCCN1)c1ccc(Cl)cc1O ZINC000913191191 664287674 /nfs/dbraw/zinc/28/76/74/664287674.db2.gz ISLAQZWFPNKFRS-ZDUSSCGKSA-N 0 2 300.742 0.126 20 0 DCADLN Cc1ccc(C)c(OCC[NH+]2CC(NC(=O)c3cnn[n-]3)C2)c1 ZINC001030599560 664599062 /nfs/dbraw/zinc/59/90/62/664599062.db2.gz GXRMKLFLVSIINM-UHFFFAOYSA-N 0 2 315.377 0.915 20 0 DCADLN C[C@@H](c1ccccc1)[NH+]1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001030615224 664604955 /nfs/dbraw/zinc/60/49/55/664604955.db2.gz AYXQSCLFKCFKPB-VIFPVBQESA-N 0 2 315.333 0.458 20 0 DCADLN COc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(F)c1 ZINC001030622502 664608779 /nfs/dbraw/zinc/60/87/79/664608779.db2.gz BJTABYGYWDBBHY-UHFFFAOYSA-N 0 2 321.312 0.272 20 0 DCADLN Cc1nc(C)c(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001030706826 664642095 /nfs/dbraw/zinc/64/20/95/664642095.db2.gz TYJGYVMFJJLDPP-UHFFFAOYSA-N 0 2 322.394 0.127 20 0 DCADLN O=C(Cc1cccc(Cl)c1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030885144 664694248 /nfs/dbraw/zinc/69/42/48/664694248.db2.gz YBHQXPGJQQLDCL-UHFFFAOYSA-N 0 2 321.768 0.707 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2[nH]ccc21 ZINC001030995480 664751399 /nfs/dbraw/zinc/75/13/99/664751399.db2.gz KZTAZBCZERMDFI-UHFFFAOYSA-N 0 2 312.333 0.606 20 0 DCADLN Cc1cccc(C)c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030996550 664751793 /nfs/dbraw/zinc/75/17/93/664751793.db2.gz ZNMNRKKHHIHDST-UHFFFAOYSA-N 0 2 301.350 0.741 20 0 DCADLN O=C(Cc1ccc[nH]1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000992639949 664754201 /nfs/dbraw/zinc/75/42/01/664754201.db2.gz XRNNZTHHLHQCEC-JTQLQIEISA-N 0 2 307.247 0.785 20 0 DCADLN O=C(Cc1ccc[nH]1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000992639949 664754202 /nfs/dbraw/zinc/75/42/02/664754202.db2.gz XRNNZTHHLHQCEC-JTQLQIEISA-N 0 2 307.247 0.785 20 0 DCADLN Cc1nc(C)c(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000730694009 664800713 /nfs/dbraw/zinc/80/07/13/664800713.db2.gz VLPZIJNGYQPZAL-UHFFFAOYSA-N 0 2 319.346 0.888 20 0 DCADLN COCc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001031373059 665121743 /nfs/dbraw/zinc/12/17/43/665121743.db2.gz DKHIZNLRJQTZIG-UHFFFAOYSA-N 0 2 317.349 0.271 20 0 DCADLN Cc1cccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1F ZINC001031691837 665291779 /nfs/dbraw/zinc/29/17/79/665291779.db2.gz VMAZPYFGHNQXGG-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1c(F)cncc1F ZINC001031702600 665313568 /nfs/dbraw/zinc/31/35/68/665313568.db2.gz NAKDRFBYFUSDND-UHFFFAOYSA-N 0 2 324.291 0.045 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(F)ccc1F ZINC001031733128 665378677 /nfs/dbraw/zinc/37/86/77/665378677.db2.gz NHMHCRDOAGWENJ-UHFFFAOYSA-N 0 2 323.303 0.650 20 0 DCADLN C/C=C(\C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377692 666117138 /nfs/dbraw/zinc/11/71/38/666117138.db2.gz UPFUQVXHZLGBTM-DKCNVOGISA-N 0 2 312.263 0.543 20 0 DCADLN C/C=C(\C)C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043377692 666117139 /nfs/dbraw/zinc/11/71/39/666117139.db2.gz UPFUQVXHZLGBTM-DKCNVOGISA-N 0 2 312.263 0.543 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccccc1O ZINC001002363496 666161920 /nfs/dbraw/zinc/16/19/20/666161920.db2.gz DYUKRCDAMHSHEF-UHFFFAOYSA-N 0 2 317.349 0.610 20 0 DCADLN O=C(C[C@H]1CCCO1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002387534 666165585 /nfs/dbraw/zinc/16/55/85/666165585.db2.gz PWQBLPILZGWSNZ-LLVKDONJSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1cncc(F)c1 ZINC001002583653 666192569 /nfs/dbraw/zinc/19/25/69/666192569.db2.gz YOMZWMRBBUWTSA-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN C[C@H]1C[N@H+](Cc2nncn2C)CC[C@@H]1NC(=O)c1n[nH]cc1F ZINC000942599933 666198056 /nfs/dbraw/zinc/19/80/56/666198056.db2.gz HXHFHKAVHVGQGK-ONGXEEELSA-N 0 2 321.360 0.318 20 0 DCADLN Cc1nc[nH]c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000995263592 666204124 /nfs/dbraw/zinc/20/41/24/666204124.db2.gz SEUZPQNTTPQVBT-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1nc[nH]c1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000995263592 666204125 /nfs/dbraw/zinc/20/41/25/666204125.db2.gz SEUZPQNTTPQVBT-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN C[C@@]1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C=CCC1 ZINC001003447382 666313624 /nfs/dbraw/zinc/31/36/24/666313624.db2.gz DHLFNMVJXPEGEX-OAHLLOKOSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2c([nH]1)CCC2 ZINC001032121015 666346586 /nfs/dbraw/zinc/34/65/86/666346586.db2.gz OTIUGLUOOZOJPH-UHFFFAOYSA-N 0 2 316.365 0.189 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001033014980 666427574 /nfs/dbraw/zinc/42/75/74/666427574.db2.gz RRBMRKGCJOBJDT-NEPJUHHUSA-N 0 2 318.381 0.606 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001033014980 666427575 /nfs/dbraw/zinc/42/75/75/666427575.db2.gz RRBMRKGCJOBJDT-NEPJUHHUSA-N 0 2 318.381 0.606 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001033014981 666427651 /nfs/dbraw/zinc/42/76/51/666427651.db2.gz RRBMRKGCJOBJDT-NWDGAFQWSA-N 0 2 318.381 0.606 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001033014981 666427652 /nfs/dbraw/zinc/42/76/52/666427652.db2.gz RRBMRKGCJOBJDT-NWDGAFQWSA-N 0 2 318.381 0.606 20 0 DCADLN Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001033040556 666450890 /nfs/dbraw/zinc/45/08/90/666450890.db2.gz VSIHUFBUJVWKST-NSHDSACASA-N 0 2 305.338 0.758 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033287064 666662458 /nfs/dbraw/zinc/66/24/58/666662458.db2.gz PFWLVPRSELBMNO-TUAOUCFPSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033287064 666662459 /nfs/dbraw/zinc/66/24/59/666662459.db2.gz PFWLVPRSELBMNO-TUAOUCFPSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1ncccc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033371024 666694449 /nfs/dbraw/zinc/69/44/49/666694449.db2.gz XHEQVDXKHDUIKL-NSHDSACASA-N 0 2 316.365 0.560 20 0 DCADLN CCc1oncc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033467817 666721305 /nfs/dbraw/zinc/72/13/05/666721305.db2.gz SWGRQRWSMZEDDV-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN CNC(=O)C[NH+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCC[N@@H+]2C(C)C)C1 ZINC001033471516 666721880 /nfs/dbraw/zinc/72/18/80/666721880.db2.gz KLUVQOXWZFHZAN-CABCVRRESA-N 0 2 324.469 0.528 20 0 DCADLN CN(C(=O)c1ncccc1F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033532973 666745929 /nfs/dbraw/zinc/74/59/29/666745929.db2.gz WRMUMTCAQHBENC-VIFPVBQESA-N 0 2 320.328 0.391 20 0 DCADLN CCN(C(=O)[C@@H]1CCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033742796 666813917 /nfs/dbraw/zinc/81/39/17/666813917.db2.gz PGQDWKQJNIMEBX-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN CCN(C(=O)[C@@H]1CCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033742796 666813920 /nfs/dbraw/zinc/81/39/20/666813920.db2.gz PGQDWKQJNIMEBX-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN CCN(C(=O)c1cc(C)on1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033745778 666815330 /nfs/dbraw/zinc/81/53/30/666815330.db2.gz VAQYZSYVQLJYSS-SNVBAGLBSA-N 0 2 320.353 0.543 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CC[C@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794830 666831412 /nfs/dbraw/zinc/83/14/12/666831412.db2.gz HSESHPVZXTUIED-QWRGUYRKSA-N 0 2 323.397 0.224 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+]1CC[C@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794830 666831415 /nfs/dbraw/zinc/83/14/15/666831415.db2.gz HSESHPVZXTUIED-QWRGUYRKSA-N 0 2 323.397 0.224 20 0 DCADLN CCN(C(=O)[C@@H]1CCn2cc[nH+]c2C1)[C@H]1CC[N@H+](CCCO)C1 ZINC001033876582 666849802 /nfs/dbraw/zinc/84/98/02/666849802.db2.gz CLYDZRIMTPPFSF-CABCVRRESA-N 0 2 320.437 0.751 20 0 DCADLN CCN(C(=O)c1cnco1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033911919 666866385 /nfs/dbraw/zinc/86/63/85/666866385.db2.gz FGRJFHKSGPJDSI-SECBINFHSA-N 0 2 306.326 0.235 20 0 DCADLN Cn1cccc1C(=O)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034103257 666922362 /nfs/dbraw/zinc/92/23/62/666922362.db2.gz CNEWYCABWMFGGW-NSHDSACASA-N 0 2 318.381 0.633 20 0 DCADLN Cn1cccc1C(=O)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034103257 666922365 /nfs/dbraw/zinc/92/23/65/666922365.db2.gz CNEWYCABWMFGGW-NSHDSACASA-N 0 2 318.381 0.633 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cscn1 ZINC001034143587 666937681 /nfs/dbraw/zinc/93/76/81/666937681.db2.gz YUTUQOPEZKIDQI-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CC1(F)F ZINC001034196636 666951625 /nfs/dbraw/zinc/95/16/25/666951625.db2.gz DJJZTGTXEKRPAG-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CC1(F)F ZINC001034196636 666951628 /nfs/dbraw/zinc/95/16/28/666951628.db2.gz DJJZTGTXEKRPAG-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@H]1C[C@@H](C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001005611614 667106059 /nfs/dbraw/zinc/10/60/59/667106059.db2.gz MVWFRPJBVCODDR-WDEREUQCSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(Cc1ccoc1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032371668 667109844 /nfs/dbraw/zinc/10/98/44/667109844.db2.gz ZMTDQWZSXXFITN-QWRGUYRKSA-N 0 2 303.322 0.131 20 0 DCADLN O=C([C@H]1CCCOCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032377632 667123480 /nfs/dbraw/zinc/12/34/80/667123480.db2.gz FKENWWJFGQUNQD-SRVKXCTJSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)N(CC2CC2)C[C@@H]2C[NH+](C)CCO2)CC[N@H+]1C ZINC000925340430 667142853 /nfs/dbraw/zinc/14/28/53/667142853.db2.gz ZBFLKKQSFFGWCR-KBMXLJTQSA-N 0 2 324.469 0.831 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000863824484 667186123 /nfs/dbraw/zinc/18/61/23/667186123.db2.gz FDFZJCZVKSWZMJ-JGVFFNPUSA-N 0 2 320.308 0.675 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000863824484 667186125 /nfs/dbraw/zinc/18/61/25/667186125.db2.gz FDFZJCZVKSWZMJ-JGVFFNPUSA-N 0 2 320.308 0.675 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N1C[C@@H]3COC(=O)[C@@H]3C1)c2=O ZINC000863945724 667196292 /nfs/dbraw/zinc/19/62/92/667196292.db2.gz PAFOVXFVYOKJEQ-BXKDBHETSA-N 0 2 313.313 0.889 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N1CCC([NH2+]Cc2cnon2)CC1 ZINC000997311237 667245281 /nfs/dbraw/zinc/24/52/81/667245281.db2.gz YXADPIFRYONRCN-UHFFFAOYSA-N 0 2 304.354 0.355 20 0 DCADLN O=C([C@@H]1CCCC12CC2)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032474465 667297927 /nfs/dbraw/zinc/29/79/27/667297927.db2.gz ZAIZSNQKKBQLJJ-SRVKXCTJSA-N 0 2 317.393 0.876 20 0 DCADLN CC(F)(F)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035639086 667396501 /nfs/dbraw/zinc/39/65/01/667396501.db2.gz UUOHBTQAAHCXQT-UHFFFAOYSA-N 0 2 315.324 0.590 20 0 DCADLN CC1(C(=O)N2CCC3(C[NH+](Cc4n[nH]c(=O)[n-]4)C3)CC2)CC1 ZINC001035712596 667402889 /nfs/dbraw/zinc/40/28/89/667402889.db2.gz VUGJCANOHWJQQF-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN C[C@@H]1C[C@@H]1CC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035787248 667409719 /nfs/dbraw/zinc/40/97/19/667409719.db2.gz NOKPOUWCYVPPGW-VXGBXAGGSA-N 0 2 319.409 0.981 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@@H](C)[C@@H](O)C(F)(F)F ZINC000867646319 667480292 /nfs/dbraw/zinc/48/02/92/667480292.db2.gz DYTKKGANCLQVLL-CRRVBNTOSA-N 0 2 312.335 0.250 20 0 DCADLN COC(=O)[C@@H](CF)NS(=O)(=O)C[C@@H]1CCCC(C)(C)O1 ZINC000885189145 667650374 /nfs/dbraw/zinc/65/03/74/667650374.db2.gz LEMMOGNNDJIEAV-VHSXEESVSA-N 0 2 311.375 0.765 20 0 DCADLN Cc1nc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)ccc1C(=O)[O-] ZINC000738338499 667667520 /nfs/dbraw/zinc/66/75/20/667667520.db2.gz FKLNINNGBSPLGT-ZYHUDNBSSA-N 0 2 321.377 0.927 20 0 DCADLN CC(C)N(CCS(C)(=O)=O)Cc1cc(=O)n2[nH]ccc2n1 ZINC000137106439 667735152 /nfs/dbraw/zinc/73/51/52/667735152.db2.gz MUSQFCUZJVMTDI-UHFFFAOYSA-N 0 2 312.395 0.278 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NC3COC3)cc2[nH]c1=S ZINC000870865112 667767613 /nfs/dbraw/zinc/76/76/13/667767613.db2.gz BTEUEBKMPSXYTJ-UHFFFAOYSA-N 0 2 305.359 0.834 20 0 DCADLN COC(C)(C)C[C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000741971804 667779147 /nfs/dbraw/zinc/77/91/47/667779147.db2.gz OQPGQDGAILTNPN-SECBINFHSA-N 0 2 307.354 0.391 20 0 DCADLN COc1ccccc1OCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742477921 667792017 /nfs/dbraw/zinc/79/20/17/667792017.db2.gz LIOCMGRCGJNRTB-LLVKDONJSA-N 0 2 323.374 0.747 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCOc2cccc(F)c2)S1 ZINC000742536792 667793298 /nfs/dbraw/zinc/79/32/98/667793298.db2.gz QMDRWMXTFKRHTI-JTQLQIEISA-N 0 2 311.338 0.877 20 0 DCADLN COCCOCCCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742608066 667795362 /nfs/dbraw/zinc/79/53/62/667795362.db2.gz QXINRRBXHPFPCG-SECBINFHSA-N 0 2 303.384 0.102 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cccc3c2OCCO3)S1 ZINC000742621050 667795952 /nfs/dbraw/zinc/79/59/52/667795952.db2.gz IDUJNEGYNDIOOA-SNVBAGLBSA-N 0 2 321.358 0.630 20 0 DCADLN C[S@@](=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000742659682 667796063 /nfs/dbraw/zinc/79/60/63/667796063.db2.gz OGQXDFZLSBFTBP-GSDQLPOLSA-N 0 2 311.388 0.919 20 0 DCADLN CCc1cnc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000742806426 667799467 /nfs/dbraw/zinc/79/94/67/667799467.db2.gz LSQZZUNEBCYRKN-UHFFFAOYSA-N 0 2 304.335 0.729 20 0 DCADLN CNC(=O)NC[C@@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC000871219015 667801449 /nfs/dbraw/zinc/80/14/49/667801449.db2.gz ILTLVVKWZBBNQW-RQJHMYQMSA-N 0 2 301.240 0.043 20 0 DCADLN CNC(=O)NC[C@@H]1COCCN1C(=O)[C@H](F)C(F)(F)F ZINC000871219015 667801453 /nfs/dbraw/zinc/80/14/53/667801453.db2.gz ILTLVVKWZBBNQW-RQJHMYQMSA-N 0 2 301.240 0.043 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCOCC1CC1 ZINC000745024174 667838651 /nfs/dbraw/zinc/83/86/51/667838651.db2.gz OTZDDIAZDOHDQI-UHFFFAOYSA-N 0 2 305.334 0.843 20 0 DCADLN COC1(c2ccccc2)CN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000804913512 667843694 /nfs/dbraw/zinc/84/36/94/667843694.db2.gz KJGRTKAILDRPJL-LLVKDONJSA-N 0 2 319.386 0.927 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NC[C@H]1COCCO1 ZINC000872438547 667896156 /nfs/dbraw/zinc/89/61/56/667896156.db2.gz ANVLWURQVBFNEG-YYSFKGJASA-N 0 2 314.429 0.133 20 0 DCADLN CNC(=O)c1ccc(C(=O)NN2C(=O)c3ccccc3C2=O)cn1 ZINC000747293134 667899647 /nfs/dbraw/zinc/89/96/47/667899647.db2.gz BJSQDGMNXAADRB-UHFFFAOYSA-N 0 2 324.296 0.382 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000887913034 667928723 /nfs/dbraw/zinc/92/87/23/667928723.db2.gz ISYYKAXPDWSJTJ-OCAPTIKFSA-N 0 2 317.313 0.787 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCc3cc[nH]c(=O)c3C2)S1 ZINC000757647749 668144630 /nfs/dbraw/zinc/14/46/30/668144630.db2.gz PCVLKNBUFXBOCW-VIFPVBQESA-N 0 2 306.347 0.228 20 0 DCADLN Cn1cc(C(=O)COC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC000759116954 668207197 /nfs/dbraw/zinc/20/71/97/668207197.db2.gz NPEMIKVIDLYLBC-UHFFFAOYSA-N 0 2 321.255 0.603 20 0 DCADLN CCC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000760101223 668256532 /nfs/dbraw/zinc/25/65/32/668256532.db2.gz UFUCFCNACMSUCQ-SNVBAGLBSA-N 0 2 305.338 0.099 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2Cc3cccc(O)c3C2)S1 ZINC000760625432 668281536 /nfs/dbraw/zinc/28/15/36/668281536.db2.gz YVDNLGHGDGMQHF-GZMMTYOYSA-N 0 2 305.359 0.532 20 0 DCADLN COCCC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC000760697238 668284363 /nfs/dbraw/zinc/28/43/63/668284363.db2.gz NSUQQCNPPVFIGO-UHFFFAOYSA-N 0 2 319.365 0.394 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)N[C@@H]1CC[NH+](C2CC2)C1 ZINC000875295139 668295634 /nfs/dbraw/zinc/29/56/34/668295634.db2.gz HWGABMXSOIRSQK-ZIAGYGMSSA-N 0 2 310.442 0.633 20 0 DCADLN CCOC(=O)CC[C@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762257721 668353276 /nfs/dbraw/zinc/35/32/76/668353276.db2.gz OLDLHYCAXNHULQ-YUMQZZPRSA-N 0 2 301.368 0.391 20 0 DCADLN COc1ccccc1[C@H](O)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762335711 668356846 /nfs/dbraw/zinc/35/68/46/668356846.db2.gz VWAXEORDEJIDME-KOLCDFICSA-N 0 2 323.374 0.401 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1Cc2ccccc2C1 ZINC000762484630 668363107 /nfs/dbraw/zinc/36/31/07/668363107.db2.gz PYJBCPRFOMECEU-UHFFFAOYSA-N 0 2 309.329 0.355 20 0 DCADLN COCCN(C(=O)C(F)C(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000764499317 668450475 /nfs/dbraw/zinc/45/04/75/668450475.db2.gz MNJBUDCLKJUAMC-YUMQZZPRSA-N 0 2 321.292 0.549 20 0 DCADLN COCCN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000764499317 668450477 /nfs/dbraw/zinc/45/04/77/668450477.db2.gz MNJBUDCLKJUAMC-YUMQZZPRSA-N 0 2 321.292 0.549 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC[C@H]2CC(=O)N(C)C2)cn1 ZINC000927745673 668501029 /nfs/dbraw/zinc/50/10/29/668501029.db2.gz YULBQUKSGJXZJP-JTQLQIEISA-N 0 2 316.365 0.408 20 0 DCADLN O=C(CCCc1nn[n-]n1)N[C@@H]1CCC[N@@H+]2CCSC[C@@H]12 ZINC000928075453 668550271 /nfs/dbraw/zinc/55/02/71/668550271.db2.gz CUPAYYCVDNMNQJ-MNOVXSKESA-N 0 2 310.427 0.218 20 0 DCADLN O=C(CCCc1nn[n-]n1)N[C@@H]1CCC[N@H+]2CCSC[C@@H]12 ZINC000928075453 668550272 /nfs/dbraw/zinc/55/02/72/668550272.db2.gz CUPAYYCVDNMNQJ-MNOVXSKESA-N 0 2 310.427 0.218 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2c(c1)COC2 ZINC000768896056 668626827 /nfs/dbraw/zinc/62/68/27/668626827.db2.gz NNAFVNADJDUPEX-UHFFFAOYSA-N 0 2 311.301 0.740 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cc(F)cc(Cl)c2O)C1=O ZINC000770538452 668699295 /nfs/dbraw/zinc/69/92/95/668699295.db2.gz RXMGCFKAPATNDM-UHFFFAOYSA-N 0 2 301.661 0.724 20 0 DCADLN Cc1ccncc1[C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000771378474 668738740 /nfs/dbraw/zinc/73/87/40/668738740.db2.gz AYGOKARZFLIMRB-JTQLQIEISA-N 0 2 312.333 0.652 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2c3ccccc3C[C@@H]2O)S1 ZINC000771656915 668747638 /nfs/dbraw/zinc/74/76/38/668747638.db2.gz UPCWMGLUXDNOFT-NHCYSSNCSA-N 0 2 305.359 0.317 20 0 DCADLN O=C(CCOC[C@@H]1CCCO1)NCCc1n[nH]c(=S)o1 ZINC000773087909 668793035 /nfs/dbraw/zinc/79/30/35/668793035.db2.gz PFHSAYVPYFJUAL-VIFPVBQESA-N 0 2 301.368 0.603 20 0 DCADLN CCC(=O)[C@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000773536708 668806389 /nfs/dbraw/zinc/80/63/89/668806389.db2.gz CQBQBCAHSARDLQ-LLVKDONJSA-N 0 2 317.349 0.431 20 0 DCADLN C[C@H](CC(=O)OCc1n[nH]c(=O)[nH]1)NC(=O)c1ccccc1 ZINC000774896221 668850251 /nfs/dbraw/zinc/85/02/51/668850251.db2.gz KXYGZUXLVOSJPP-SECBINFHSA-N 0 2 304.306 0.762 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(=O)n(-c2ccccc2)n1 ZINC000774919245 668851339 /nfs/dbraw/zinc/85/13/39/668851339.db2.gz MNGUUEGJHVDUFS-UHFFFAOYSA-N 0 2 313.273 0.413 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CCCCN1C(=O)c1ccco1 ZINC000774933704 668851831 /nfs/dbraw/zinc/85/18/31/668851831.db2.gz SFDDSHGCAPCKSC-VIFPVBQESA-N 0 2 320.305 0.841 20 0 DCADLN Cc1oc(-c2cnn(C)c2)nc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774966362 668852731 /nfs/dbraw/zinc/85/27/31/668852731.db2.gz FJNKKUCEHWNSRI-UHFFFAOYSA-N 0 2 304.266 0.564 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1(Cc2ccccc2)CC1 ZINC000774995545 668853805 /nfs/dbraw/zinc/85/38/05/668853805.db2.gz KWPVJZPSPGMLMJ-UHFFFAOYSA-N 0 2 323.356 0.963 20 0 DCADLN CC(C)C[C@H](C)S(=O)(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000776471061 668876671 /nfs/dbraw/zinc/87/66/71/668876671.db2.gz MZFAEKVGQUMRLE-GXSJLCMTSA-N 0 2 303.384 0.759 20 0 DCADLN CC(C)C[C@H](C)S(=O)(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000776471061 668876672 /nfs/dbraw/zinc/87/66/72/668876672.db2.gz MZFAEKVGQUMRLE-GXSJLCMTSA-N 0 2 303.384 0.759 20 0 DCADLN C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000777396568 668887109 /nfs/dbraw/zinc/88/71/09/668887109.db2.gz CPBGGSZLPCJNHS-YUMQZZPRSA-N 0 2 314.411 0.304 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000780385506 668982822 /nfs/dbraw/zinc/98/28/22/668982822.db2.gz PRISXZHRJGPARV-LBPRGKRZSA-N 0 2 322.365 0.257 20 0 DCADLN Cn1cc(-c2ccc(F)c(C(=O)NN3CN=NC3=O)c2)cn1 ZINC000782852060 669125209 /nfs/dbraw/zinc/12/52/09/669125209.db2.gz ZOQYHNQPJZKLAT-UHFFFAOYSA-N 0 2 302.269 0.907 20 0 DCADLN CCC[C@@]1(C)C(=O)NCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000783874408 669178877 /nfs/dbraw/zinc/17/88/77/669178877.db2.gz ITKCEZNPFRZIFF-SDBXPKJASA-N 0 2 312.395 0.060 20 0 DCADLN COc1cnccc1[C@@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000783868685 669179207 /nfs/dbraw/zinc/17/92/07/669179207.db2.gz XWONKGFHAKYSAH-XCBNKYQSSA-N 0 2 308.363 0.824 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@@H](C)[N@@H+]2CC[C@@H](C3OCCO3)C2)CC1 ZINC000932008758 669297040 /nfs/dbraw/zinc/29/70/40/669297040.db2.gz DYKYCTNQAAYLCS-ZIAGYGMSSA-N 0 2 311.426 0.234 20 0 DCADLN CCOCC[C@H](O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881420985 669340751 /nfs/dbraw/zinc/34/07/51/669340751.db2.gz FPNAITJAUHEZON-VIFPVBQESA-N 0 2 310.276 0.244 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2cnns2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000947099810 669499097 /nfs/dbraw/zinc/49/90/97/669499097.db2.gz SGOUSBBKDYLKGB-SFYZADRCSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2cnns2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000947099810 669499103 /nfs/dbraw/zinc/49/91/03/669499103.db2.gz SGOUSBBKDYLKGB-SFYZADRCSA-N 0 2 323.382 0.145 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCC[S@](C)=O ZINC000789935332 669521838 /nfs/dbraw/zinc/52/18/38/669521838.db2.gz VNUMWMZSFIHGHD-IIYDVTGLSA-N 0 2 302.318 0.184 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCc2n[nH]nc2C1 ZINC000789961722 669524685 /nfs/dbraw/zinc/52/46/85/669524685.db2.gz NSLPPKKKLALXDS-VIFPVBQESA-N 0 2 319.287 0.393 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000790232581 669543698 /nfs/dbraw/zinc/54/36/98/669543698.db2.gz ACFIIGUHAPJJFY-AWEZNQCLSA-N 0 2 323.736 0.860 20 0 DCADLN COc1cnc([C@@H]2CCCN2C[C@@H](O)C2(O)CCC2)[nH]c1=O ZINC000934271024 669589357 /nfs/dbraw/zinc/58/93/57/669589357.db2.gz DMAKWCUWJUWABQ-CMPLNLGQSA-N 0 2 309.366 0.604 20 0 DCADLN CN(C)c1noc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000793852845 669746785 /nfs/dbraw/zinc/74/67/85/669746785.db2.gz RRVBGRPNHRFZIS-UHFFFAOYSA-N 0 2 323.275 0.209 20 0 DCADLN CC(C)(O)[C@@H](Oc1ccccc1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000795745265 669909783 /nfs/dbraw/zinc/90/97/83/669909783.db2.gz IFLIYUYRDZGCPM-NSHDSACASA-N 0 2 307.306 0.772 20 0 DCADLN CC(C)(C)C(=O)N1CCC[C@H]1C(=O)NNC(=O)c1ccccn1 ZINC000047454784 669927784 /nfs/dbraw/zinc/92/77/84/669927784.db2.gz JGGYUWJTGKUSLW-LBPRGKRZSA-N 0 2 318.377 0.880 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@H]1CC[C@H](C)O1 ZINC000158987967 669932121 /nfs/dbraw/zinc/93/21/21/669932121.db2.gz TXRGDKDQGNNEED-GXSJLCMTSA-N 0 2 305.334 0.984 20 0 DCADLN O=C(CCn1c(=O)c2ccccc2[nH]c1=S)Nc1cn[nH]n1 ZINC000798128380 669986491 /nfs/dbraw/zinc/98/64/91/669986491.db2.gz WGBYYGKPTCRSMF-UHFFFAOYSA-N 0 2 316.346 0.832 20 0 DCADLN O=C(CCn1c(=O)c2ccccc2[nH]c1=S)Nc1c[nH]nn1 ZINC000798128380 669986493 /nfs/dbraw/zinc/98/64/93/669986493.db2.gz WGBYYGKPTCRSMF-UHFFFAOYSA-N 0 2 316.346 0.832 20 0 DCADLN CN(C(=O)c1ccn[nH]1)[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000948207779 670076509 /nfs/dbraw/zinc/07/65/09/670076509.db2.gz AOQWUZQZZLTYAZ-SNVBAGLBSA-N 0 2 319.369 0.360 20 0 DCADLN CN(C(=O)c1ccn[nH]1)[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000948207779 670076512 /nfs/dbraw/zinc/07/65/12/670076512.db2.gz AOQWUZQZZLTYAZ-SNVBAGLBSA-N 0 2 319.369 0.360 20 0 DCADLN CCN(C(=O)[C@@H]1CCC[C@H]2C[C@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950677554 670091937 /nfs/dbraw/zinc/09/19/37/670091937.db2.gz LZFMPYCWFFLETO-CYZMBNFOSA-N 0 2 319.409 0.979 20 0 DCADLN Cn1cc([C@H](O)C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)cn1 ZINC000803410544 670246769 /nfs/dbraw/zinc/24/67/69/670246769.db2.gz NPKSVZVXTCJSFP-NSHDSACASA-N 0 2 314.305 0.583 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)NCC(F)(F)F ZINC000808565447 670415429 /nfs/dbraw/zinc/41/54/29/670415429.db2.gz ZNKANLJGWUKXAF-UHFFFAOYSA-N 0 2 301.246 0.345 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1C[C@@H](c2ccccc2)OC1=O ZINC000808588608 670416789 /nfs/dbraw/zinc/41/67/89/670416789.db2.gz ZLINPGFHAURGOO-UWVGGRQHSA-N 0 2 303.274 0.858 20 0 DCADLN CC(=O)N1CC[C@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000952193820 670457391 /nfs/dbraw/zinc/45/73/91/670457391.db2.gz HXMFSDLTFDAMLJ-NSHDSACASA-N 0 2 302.338 0.161 20 0 DCADLN CCN(C(=O)[C@H]1C[C@@H]1C(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950005069 670480298 /nfs/dbraw/zinc/48/02/98/670480298.db2.gz JMOPHYBLDFAWNF-IUCAKERBSA-N 0 2 315.324 0.444 20 0 DCADLN COCCO[N-]C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000810479727 670487281 /nfs/dbraw/zinc/48/72/81/670487281.db2.gz RICKRDCJXPVJHD-GFCCVEGCSA-N 0 2 312.370 0.177 20 0 DCADLN COCCO[N-]C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000810479727 670487284 /nfs/dbraw/zinc/48/72/84/670487284.db2.gz RICKRDCJXPVJHD-GFCCVEGCSA-N 0 2 312.370 0.177 20 0 DCADLN Cc1cc([C@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)no1 ZINC000810790535 670498369 /nfs/dbraw/zinc/49/83/69/670498369.db2.gz PDEBSQXLDNXUGX-QMMMGPOBSA-N 0 2 303.278 0.672 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@]1(c2ccccc2)CNC(=O)C1 ZINC000811232629 670518640 /nfs/dbraw/zinc/51/86/40/670518640.db2.gz GOWSCOCBNOVZQQ-AWEZNQCLSA-N 0 2 302.290 0.011 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ncc(CCO)s2)S1 ZINC000854483256 670575577 /nfs/dbraw/zinc/57/55/77/670575577.db2.gz SIHGMCFIOCATOQ-ZCFIWIBFSA-N 0 2 300.365 0.173 20 0 DCADLN CC(C)OCCONC(=O)CSCC(=O)N1CCOCC1 ZINC000812806751 670597426 /nfs/dbraw/zinc/59/74/26/670597426.db2.gz NSPJKQZYSIFCAI-UHFFFAOYSA-N 0 2 320.411 0.051 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H](c2ccncc2)C1 ZINC000848102036 670634962 /nfs/dbraw/zinc/63/49/62/670634962.db2.gz SUPGZIZFJYUHJA-LBPRGKRZSA-N 0 2 324.344 0.482 20 0 DCADLN COC[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C1CCOCC1 ZINC000855289930 670695713 /nfs/dbraw/zinc/69/57/13/670695713.db2.gz QOTNYYLVZHSFRU-ZJUUUORDSA-N 0 2 315.395 0.101 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000814960604 670709872 /nfs/dbraw/zinc/70/98/72/670709872.db2.gz QKLDIORSGWWRHK-JGVFFNPUSA-N 0 2 320.308 0.817 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000814960604 670709878 /nfs/dbraw/zinc/70/98/78/670709878.db2.gz QKLDIORSGWWRHK-JGVFFNPUSA-N 0 2 320.308 0.817 20 0 DCADLN C[C@@H]1CCC[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)O1 ZINC000855794881 670756968 /nfs/dbraw/zinc/75/69/68/670756968.db2.gz XEODDSKVMSGQDL-ZJUUUORDSA-N 0 2 305.338 0.145 20 0 DCADLN COC(=O)[C@H](CC(C)C)N(C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000817057380 670891614 /nfs/dbraw/zinc/89/16/14/670891614.db2.gz BRRZPZZXQDEWMP-IUCAKERBSA-N 0 2 315.395 0.589 20 0 DCADLN CCC(CC)(CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)OC ZINC000817056700 670891933 /nfs/dbraw/zinc/89/19/33/670891933.db2.gz QNKOLSBOQLALEO-UHFFFAOYSA-N 0 2 307.354 0.393 20 0 DCADLN COC1(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC000818012404 670962066 /nfs/dbraw/zinc/96/20/66/670962066.db2.gz AJHOGTDYAUDDSU-UHFFFAOYSA-N 0 2 305.338 0.147 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH+]1CCC(c2ccco2)CC1 ZINC000852736925 671154612 /nfs/dbraw/zinc/15/46/12/671154612.db2.gz SRYBHPRCKCBPJC-UHFFFAOYSA-N 0 2 301.364 0.958 20 0 DCADLN C[C@H](CO)N(C)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860389245 671203655 /nfs/dbraw/zinc/20/36/55/671203655.db2.gz KLBUHDUWDNJOID-SSDOTTSWSA-N 0 2 308.256 0.911 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(CNC(=O)C(F)(F)F)o2)C[C@H]1O ZINC000860530830 671216002 /nfs/dbraw/zinc/21/60/02/671216002.db2.gz BTEMVGIKWDIGOI-IONNQARKSA-N 0 2 320.267 0.911 20 0 DCADLN CCc1noc(NS(=O)(=O)c2scnc2C(=O)OC)n1 ZINC000820800784 671229495 /nfs/dbraw/zinc/22/94/95/671229495.db2.gz GTGBLEIXWNHPQA-UHFFFAOYSA-N 0 2 318.336 0.676 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H]2CSC[C@@H]2C1 ZINC000827163537 671517356 /nfs/dbraw/zinc/51/73/56/671517356.db2.gz VTYDIRWILXKIIO-ZJUUUORDSA-N 0 2 319.390 0.283 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000831271199 671662359 /nfs/dbraw/zinc/66/23/59/671662359.db2.gz AWLGDZMHPCQBRO-TUAOUCFPSA-N 0 2 308.338 0.160 20 0 DCADLN CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)C[C@H]1SC(=N)NC1=O ZINC000836972251 671841190 /nfs/dbraw/zinc/84/11/90/671841190.db2.gz UQKOBPYCCBWDDH-HRDYMLBCSA-N 0 2 313.379 0.391 20 0 DCADLN CN(C)S(=O)(=O)NCCNC(=O)c1cccc(Cl)c1O ZINC000837638539 671870594 /nfs/dbraw/zinc/87/05/94/671870594.db2.gz BLQKFUBNSSRGHI-UHFFFAOYSA-N 0 2 321.786 0.171 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc2c(cc1O)OCO2 ZINC000841426890 671931119 /nfs/dbraw/zinc/93/11/19/671931119.db2.gz OYYOYFXKOYXAGO-UHFFFAOYSA-N 0 2 309.303 0.765 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)C(=O)NCCCC(=O)[O-])c1C ZINC000843257326 672075512 /nfs/dbraw/zinc/07/55/12/672075512.db2.gz NYZQZOHSJBHFAS-UHFFFAOYSA-N 0 2 323.349 0.304 20 0 DCADLN CC[C@H](C)C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000843940328 672129984 /nfs/dbraw/zinc/12/99/84/672129984.db2.gz RHAUHYIZGPCDTC-XHNCKOQMSA-N 0 2 315.395 0.637 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@@H](c3cccnc3)C2)S1 ZINC000843968428 672131915 /nfs/dbraw/zinc/13/19/15/672131915.db2.gz SVJMCOZYNZPFLF-GHMZBOCLSA-N 0 2 304.375 0.954 20 0 DCADLN CCN(OC)C(=O)CNC(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000844149833 672153408 /nfs/dbraw/zinc/15/34/08/672153408.db2.gz BPKPKQDFUDUKMH-UHFFFAOYSA-N 0 2 318.333 0.784 20 0 DCADLN C[C@H](O)[C@@H]1CN(c2c3ccccc3[nH+]nc2C(=O)[O-])CCO1 ZINC000844210412 672163906 /nfs/dbraw/zinc/16/39/06/672163906.db2.gz WLYXZDDBUFHKHT-CABZTGNLSA-N 0 2 303.318 0.914 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@](C)(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000844218211 672164387 /nfs/dbraw/zinc/16/43/87/672164387.db2.gz ITMXTABIYJNLFY-OZVIIMIRSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@](C)(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000844218211 672164389 /nfs/dbraw/zinc/16/43/89/672164389.db2.gz ITMXTABIYJNLFY-OZVIIMIRSA-N 0 2 320.393 0.985 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)[C@@H](C)N2CCN(C)CC2)n1 ZINC000846649834 672333000 /nfs/dbraw/zinc/33/30/00/672333000.db2.gz ASTBKPQMNGBJGX-SNVBAGLBSA-N 0 2 309.370 0.161 20 0 DCADLN C[C@H](CC(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC000846703478 672339080 /nfs/dbraw/zinc/33/90/80/672339080.db2.gz KAZXOLNVHZDOMA-GHMZBOCLSA-N 0 2 318.337 0.598 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cn[nH]n2)CCN1C(=O)C(F)C(F)(F)F ZINC001020322333 693768312 /nfs/dbraw/zinc/76/83/12/693768312.db2.gz KMNBZEIMFUKSLV-HAFWLYHUSA-N 0 2 323.250 0.424 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccccc1F ZINC000091597815 685105937 /nfs/dbraw/zinc/10/59/37/685105937.db2.gz UZIIGSHQQMDVTP-UHFFFAOYSA-N 0 2 319.340 0.632 20 0 DCADLN O=C(c1ccc([N+](=O)[O-])cc1O)N1CC[C@@H]2COC(=O)N[C@H]2C1 ZINC000282178386 685200093 /nfs/dbraw/zinc/20/00/93/685200093.db2.gz RSRBYKUUEKYBPV-KCJUWKMLSA-N 0 2 321.289 0.871 20 0 DCADLN CN(C(=O)c1cnn(C)c1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953698108 685791959 /nfs/dbraw/zinc/79/19/59/685791959.db2.gz KRJSQKAKOUKJDZ-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1cnn(C)c1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953698108 685791963 /nfs/dbraw/zinc/79/19/63/685791963.db2.gz KRJSQKAKOUKJDZ-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)Cn1cccn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953737349 685812462 /nfs/dbraw/zinc/81/24/62/685812462.db2.gz ZZEXQFGUQTTYBY-SNVBAGLBSA-N 0 2 322.262 0.453 20 0 DCADLN CN(C(=O)Cn1cccn1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953737349 685812466 /nfs/dbraw/zinc/81/24/66/685812466.db2.gz ZZEXQFGUQTTYBY-SNVBAGLBSA-N 0 2 322.262 0.453 20 0 DCADLN C[C@@]1(NC(=O)c2cnon2)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000957409640 687055662 /nfs/dbraw/zinc/05/56/62/687055662.db2.gz MMRNUWXSGFHWHK-GMSGAONNSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@@]1(NC(=O)c2cnon2)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000957409640 687055665 /nfs/dbraw/zinc/05/56/65/687055665.db2.gz MMRNUWXSGFHWHK-GMSGAONNSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@@H](C(=O)NC1CCCC1)[N@@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001038447705 694084464 /nfs/dbraw/zinc/08/44/64/694084464.db2.gz ZYZQAIJABKRSOI-JQWIXIFHSA-N 0 2 320.397 0.056 20 0 DCADLN C[C@@H](C(=O)NC1CCCC1)[N@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001038447705 694084471 /nfs/dbraw/zinc/08/44/71/694084471.db2.gz ZYZQAIJABKRSOI-JQWIXIFHSA-N 0 2 320.397 0.056 20 0 DCADLN CCCc1[nH]ccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038617801 694132653 /nfs/dbraw/zinc/13/26/53/694132653.db2.gz VSRQCNKTUZAINO-JTQLQIEISA-N 0 2 318.381 0.795 20 0 DCADLN CCC(=O)N1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC000960490517 688394519 /nfs/dbraw/zinc/39/45/19/688394519.db2.gz DURTVYYJIUBDKE-QXFUBDJGSA-N 0 2 312.263 0.735 20 0 DCADLN CCC(=O)N1C[C@H]2OCCN(C(=O)[C@@H](F)C(F)(F)F)[C@H]2C1 ZINC000960490517 688394526 /nfs/dbraw/zinc/39/45/26/688394526.db2.gz DURTVYYJIUBDKE-QXFUBDJGSA-N 0 2 312.263 0.735 20 0 DCADLN CC(F)(F)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000961179564 688562887 /nfs/dbraw/zinc/56/28/87/688562887.db2.gz IOJYMMHJLWEERI-SRQIZXRXSA-N 0 2 322.205 0.230 20 0 DCADLN CC(C)c1oncc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038874920 694226532 /nfs/dbraw/zinc/22/65/32/694226532.db2.gz SWMWSKGWJPCHBS-SECBINFHSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cnns1 ZINC000965293529 689399526 /nfs/dbraw/zinc/39/95/26/689399526.db2.gz MMVGVBNYGYXCMX-SFYZADRCSA-N 0 2 323.382 0.002 20 0 DCADLN CCC(=O)N[C@H]1COC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000965581820 689487758 /nfs/dbraw/zinc/48/77/58/689487758.db2.gz XIYGTNZISIGIDF-VXNVDRBHSA-N 0 2 312.263 0.783 20 0 DCADLN CCC(=O)N[C@H]1COC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC000965581820 689487759 /nfs/dbraw/zinc/48/77/59/689487759.db2.gz XIYGTNZISIGIDF-VXNVDRBHSA-N 0 2 312.263 0.783 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC=CC1 ZINC000965766376 689547704 /nfs/dbraw/zinc/54/77/04/689547704.db2.gz SCCKESCRBIWSRG-CMPLNLGQSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC=CC1 ZINC000965766376 689547706 /nfs/dbraw/zinc/54/77/06/689547706.db2.gz SCCKESCRBIWSRG-CMPLNLGQSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=C1CCC1 ZINC000965891413 689571210 /nfs/dbraw/zinc/57/12/10/689571210.db2.gz VKZXSSYVRMEQRI-ZYHUDNBSSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=C1CCC1 ZINC000965891413 689571214 /nfs/dbraw/zinc/57/12/14/689571214.db2.gz VKZXSSYVRMEQRI-ZYHUDNBSSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000967967441 690137876 /nfs/dbraw/zinc/13/78/76/690137876.db2.gz MUMQUAMRQCQUOJ-BNDIWNMDSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000967967441 690137879 /nfs/dbraw/zinc/13/78/79/690137879.db2.gz MUMQUAMRQCQUOJ-BNDIWNMDSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1nccs1 ZINC000968463265 690281590 /nfs/dbraw/zinc/28/15/90/690281590.db2.gz AMJFKZQEHNEOFS-IUCAKERBSA-N 0 2 322.394 0.607 20 0 DCADLN Cc1ccoc1CC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008454603 690870348 /nfs/dbraw/zinc/87/03/48/690870348.db2.gz YOKBJRCMXGJEQM-LLVKDONJSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001008569811 690892071 /nfs/dbraw/zinc/89/20/71/690892071.db2.gz PWRHWKSGQCAUFQ-JTQLQIEISA-N 0 2 319.369 0.245 20 0 DCADLN Cc1ccccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009974796 691140264 /nfs/dbraw/zinc/14/02/64/691140264.db2.gz VQHIAXLZHGBCFT-LLVKDONJSA-N 0 2 301.350 0.823 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccnc(F)c1 ZINC001010358105 691236338 /nfs/dbraw/zinc/23/63/38/691236338.db2.gz OOJSWGDZBCRWLF-VIFPVBQESA-N 0 2 306.301 0.049 20 0 DCADLN CCc1occc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010813991 691388634 /nfs/dbraw/zinc/38/86/34/691388634.db2.gz NGCBQJRLOVCMMS-SECBINFHSA-N 0 2 305.338 0.670 20 0 DCADLN CC(C)[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014454692 692023992 /nfs/dbraw/zinc/02/39/92/692023992.db2.gz WUXRRTRXMPAZEY-MDZLAQPJSA-N 0 2 323.397 0.262 20 0 DCADLN Cc1c(F)cccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014541283 692040250 /nfs/dbraw/zinc/04/02/50/692040250.db2.gz PDCSQTRIQCUCOT-SNVBAGLBSA-N 0 2 319.340 0.962 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001015898024 692493297 /nfs/dbraw/zinc/49/32/97/692493297.db2.gz IURAHMMSKMKHQQ-VIFPVBQESA-N 0 2 306.326 0.119 20 0 DCADLN Cc1cccc(F)c1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016408575 692677139 /nfs/dbraw/zinc/67/71/39/692677139.db2.gz LPNKCZZQZXBQFH-JTQLQIEISA-N 0 2 319.340 0.962 20 0 DCADLN Cc1cccnc1C[NH2+][C@H]1CCN(C(=O)[C@@H]2C[N@@H+](C)CCO2)C1 ZINC001018663918 693186204 /nfs/dbraw/zinc/18/62/04/693186204.db2.gz TZVKREZGWJYEGH-HOCLYGCPSA-N 0 2 318.421 0.411 20 0 DCADLN CC(=O)NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001019502605 693496728 /nfs/dbraw/zinc/49/67/28/693496728.db2.gz JVOOIGIASDQRGC-RKDXNWHRSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)NC[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCCO1 ZINC001019502605 693496732 /nfs/dbraw/zinc/49/67/32/693496732.db2.gz JVOOIGIASDQRGC-RKDXNWHRSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)N1CCC(O)(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001074388728 694884376 /nfs/dbraw/zinc/88/43/76/694884376.db2.gz MLWPKDYGQQCMIA-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N1CCC(O)(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001074388728 694884377 /nfs/dbraw/zinc/88/43/77/694884377.db2.gz MLWPKDYGQQCMIA-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(CC1CCC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075648918 694966205 /nfs/dbraw/zinc/96/62/05/694966205.db2.gz JJSCHZPXQCNSDV-NWDGAFQWSA-N 0 2 305.382 0.733 20 0 DCADLN O=C(CC1CCC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075648918 694966206 /nfs/dbraw/zinc/96/62/06/694966206.db2.gz JJSCHZPXQCNSDV-NWDGAFQWSA-N 0 2 305.382 0.733 20 0 DCADLN Cc1nocc1C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001076202527 695005363 /nfs/dbraw/zinc/00/53/63/695005363.db2.gz OLAZUWPCNOLEPS-GXSJLCMTSA-N 0 2 318.337 0.153 20 0 DCADLN CNC(=O)CC(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001076258140 695009698 /nfs/dbraw/zinc/00/96/98/695009698.db2.gz YDXYPNPXMSIFHS-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CNC(=O)CC(=O)NCC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001076258140 695009699 /nfs/dbraw/zinc/00/96/99/695009699.db2.gz YDXYPNPXMSIFHS-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CN(CCOCCNC(=O)C(F)F)C(=O)C(F)C(F)(F)F ZINC001701529447 1158218144 /nfs/dbraw/zinc/21/81/44/1158218144.db2.gz IUJYWECCXFMJMM-LURJTMIESA-N 0 2 324.221 0.743 20 0 DCADLN CN(CCOCCNC(=O)C(F)F)C(=O)[C@H](F)C(F)(F)F ZINC001701529447 1158218149 /nfs/dbraw/zinc/21/81/49/1158218149.db2.gz IUJYWECCXFMJMM-LURJTMIESA-N 0 2 324.221 0.743 20 0 DCADLN COC(=O)c1ncsc1S(=O)(=O)NCCC(F)F ZINC000381175817 1158371529 /nfs/dbraw/zinc/37/15/29/1158371529.db2.gz NVPOBPAARVCEHW-UHFFFAOYSA-N 0 2 300.308 0.863 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCCO1)C(F)C(F)(F)F ZINC001720063715 1158790075 /nfs/dbraw/zinc/79/00/75/1158790075.db2.gz DYYMIMOTKRQEND-YUMQZZPRSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCCO1)[C@H](F)C(F)(F)F ZINC001720063715 1158790079 /nfs/dbraw/zinc/79/00/79/1158790079.db2.gz DYYMIMOTKRQEND-YUMQZZPRSA-N 0 2 300.252 0.688 20 0 DCADLN C[C@@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001446409711 1159405100 /nfs/dbraw/zinc/40/51/00/1159405100.db2.gz OJIRDKSHQRXMCE-NSHDSACASA-N 0 2 318.381 0.606 20 0 DCADLN CC(C)(C)N1C[C@@H](C(=O)NCCc2n[nH]c(=S)o2)CC1=O ZINC001567852197 1160500723 /nfs/dbraw/zinc/50/07/23/1160500723.db2.gz BFBASXRJEYCNGF-QMMMGPOBSA-N 0 2 312.395 0.664 20 0 DCADLN O=C([O-])[C@@H](NC(=O)CCCn1cc[nH+]c1)[C@@H](O)c1cccnc1 ZINC001574293259 1163685935 /nfs/dbraw/zinc/68/59/35/1163685935.db2.gz APWSDPFGPBCLAP-KBPBESRZSA-N 0 2 318.333 0.361 20 0 DCADLN O=C(C[C@H]1COC(=O)C1)NCCNC(=O)C(F)C(F)(F)F ZINC001575028185 1163855727 /nfs/dbraw/zinc/85/57/27/1163855727.db2.gz HCBPQYGMTAQRSI-MUWHJKNJSA-N 0 2 314.235 0.072 20 0 DCADLN O=C(C[C@H]1COC(=O)C1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001575028185 1163855735 /nfs/dbraw/zinc/85/57/35/1163855735.db2.gz HCBPQYGMTAQRSI-MUWHJKNJSA-N 0 2 314.235 0.072 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nn[nH]n2)o1)C1=NN(C)CC1=O ZINC001575331160 1163976965 /nfs/dbraw/zinc/97/69/65/1163976965.db2.gz OURQLMHCZHEQFN-LURJTMIESA-N 0 2 303.282 0.390 20 0 DCADLN C[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1ccccc1 ZINC001575416884 1164007195 /nfs/dbraw/zinc/00/71/95/1164007195.db2.gz HUPKIMXOVWHUFF-QMMMGPOBSA-N 0 2 313.321 0.839 20 0 DCADLN CC[C@H](NC(C)=O)C(=O)N[C@](C)(C[NH2+]Cc1c[nH]nn1)C1CC1 ZINC001576279607 1164289136 /nfs/dbraw/zinc/28/91/36/1164289136.db2.gz YBBDBTQQTOFDBH-DZGCQCFKSA-N 0 2 322.413 0.094 20 0 DCADLN CC[C@H](NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C(C)(C)O ZINC001576973118 1164566753 /nfs/dbraw/zinc/56/67/53/1164566753.db2.gz DGGAMLHQCUPZSX-VIFPVBQESA-N 0 2 320.353 0.555 20 0 DCADLN COc1cc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)ccc1C ZINC001579929321 1165440067 /nfs/dbraw/zinc/44/00/67/1165440067.db2.gz DVQIFDWBNDNESR-UHFFFAOYSA-N 0 2 314.305 0.494 20 0 DCADLN Nc1ccc(C(=O)NN2C(=O)NC3(CCCCC3)C2=O)nc1 ZINC001580440244 1165603618 /nfs/dbraw/zinc/60/36/18/1165603618.db2.gz ZYINRJREBLTJBA-UHFFFAOYSA-N 0 2 303.322 0.563 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC1(CO)CCC1 ZINC001580601426 1165651815 /nfs/dbraw/zinc/65/18/15/1165651815.db2.gz ABFOYXWODDRYCP-UHFFFAOYSA-N 0 2 318.337 0.168 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](CO)C1CCC1 ZINC001580604228 1165652630 /nfs/dbraw/zinc/65/26/30/1165652630.db2.gz ZXXKMECZFFRGNI-JTQLQIEISA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cccc(OCCn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)c1 ZINC001581246769 1165805416 /nfs/dbraw/zinc/80/54/16/1165805416.db2.gz IHSRZUAUVYMGIS-UHFFFAOYSA-N 0 2 314.305 0.516 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)N1CCc2ccccc21 ZINC001582198388 1165982582 /nfs/dbraw/zinc/98/25/82/1165982582.db2.gz ZAXWXBOOPQPCJN-UHFFFAOYSA-N 0 2 323.316 0.013 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1cccnc1C(F)(F)F ZINC001582806862 1166086973 /nfs/dbraw/zinc/08/69/73/1166086973.db2.gz WMOPLDYGGGDFNG-UHFFFAOYSA-N 0 2 323.238 0.886 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@H]1CCC[C@]2(CCOC2)O1 ZINC001582807197 1166087580 /nfs/dbraw/zinc/08/75/80/1166087580.db2.gz RJLNGCNNWUGAJS-QMTHXVAHSA-N 0 2 318.337 0.152 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1ccc2c(c1)OCCO2 ZINC001582807185 1166089374 /nfs/dbraw/zinc/08/93/74/1166089374.db2.gz RBDBDDPLQRNGOY-UHFFFAOYSA-N 0 2 312.289 0.243 20 0 DCADLN C[C@@H]1CC[C@@H](C(N)=O)CN1Cc1nc(-c2nn[nH]n2)cs1 ZINC001590225991 1166819829 /nfs/dbraw/zinc/81/98/29/1166819829.db2.gz ISXWXIGCDRPWII-HTQZYQBOSA-N 0 2 307.383 0.409 20 0 DCADLN C[C@@H]1CCC[C@H](C)N1C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001590242369 1166826999 /nfs/dbraw/zinc/82/69/99/1166826999.db2.gz GFYSMWYQDNRAIA-AOOOYVTPSA-N 0 2 317.353 0.213 20 0 DCADLN CCOC(=O)Cn1c(=O)c(-c2nn[nH]n2)ccc1C(F)(F)F ZINC001590765763 1167014046 /nfs/dbraw/zinc/01/40/46/1167014046.db2.gz GPBFYSZIIBSGOS-UHFFFAOYSA-N 0 2 317.227 0.610 20 0 DCADLN CCOCCOCCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001590817054 1167031037 /nfs/dbraw/zinc/03/10/37/1167031037.db2.gz XDQNJPVRAXXDKZ-UHFFFAOYSA-N 0 2 319.365 0.570 20 0 DCADLN CSCc1nnc(CNc2ccc(-c3nn[nH]n3)nc2)[nH]1 ZINC001591702123 1167359753 /nfs/dbraw/zinc/35/97/53/1167359753.db2.gz YAVUJVOBFNCMKS-UHFFFAOYSA-N 0 2 303.355 0.855 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@@H]2C[C@H]3C=C[C@@H]2C3)c(=O)n1C ZINC001591794084 1167382245 /nfs/dbraw/zinc/38/22/45/1167382245.db2.gz YALMUTKHIAHBNW-AXFHLTTASA-N 0 2 314.349 0.248 20 0 DCADLN Cc1ccc2[nH]ncc2c1C(=O)n1ncc(-c2nn[nH]n2)c1N ZINC001592200379 1167476375 /nfs/dbraw/zinc/47/63/75/1167476375.db2.gz PRVFNNSAYSQKCU-UHFFFAOYSA-N 0 2 309.293 0.519 20 0 DCADLN Cc1cncc(CCn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)n1 ZINC001592298527 1167484964 /nfs/dbraw/zinc/48/49/64/1167484964.db2.gz BKEALAXAIRZVGD-UHFFFAOYSA-N 0 2 312.337 0.381 20 0 DCADLN Cc1nnc(Cn2cc(-c3nn[nH]n3)c(=O)c3ccccc32)[nH]1 ZINC001592327182 1167488174 /nfs/dbraw/zinc/48/81/74/1167488174.db2.gz FCFXXIOJHTZAOI-UHFFFAOYSA-N 0 2 308.305 0.656 20 0 DCADLN Cc1nccn1CCCNC(=O)c1coc(-c2nn[nH]n2)c1 ZINC001592418707 1167517506 /nfs/dbraw/zinc/51/75/06/1167517506.db2.gz HPCFCSXYPRWQGZ-UHFFFAOYSA-N 0 2 301.310 0.785 20 0 DCADLN Cc1nc([C@H](C)NC(=O)c2cccc(F)c2-c2nn[nH]n2)n[nH]1 ZINC001592440424 1167521956 /nfs/dbraw/zinc/52/19/56/1167521956.db2.gz ARHXINXAYILWDP-LURJTMIESA-N 0 2 316.300 0.923 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1[C@H](C)C(=O)N[C@H](C)[C@H]1C ZINC001592496350 1167539512 /nfs/dbraw/zinc/53/95/12/1167539512.db2.gz DLYXTHFOTTWOML-BWZBUEFSSA-N 0 2 318.337 0.506 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2C[C@H]2C2CCC2)c(-c2nn[nH]n2)c1=O ZINC001592545260 1167549322 /nfs/dbraw/zinc/54/93/22/1167549322.db2.gz RUMKCMVQANDNSY-JGVFFNPUSA-N 0 2 303.326 0.267 20 0 DCADLN Cn1c(=O)[nH]c(N2CC[C@@H]2C2CCCC2)c(-c2nn[nH]n2)c1=O ZINC001592542841 1167549717 /nfs/dbraw/zinc/54/97/17/1167549717.db2.gz ALOGTEPQCUESNE-SECBINFHSA-N 0 2 317.353 0.023 20 0 DCADLN Cn1ccc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3)n1 ZINC001592580448 1167568862 /nfs/dbraw/zinc/56/88/62/1167568862.db2.gz IHYWUQVIPYKDMF-UHFFFAOYSA-N 0 2 311.349 0.689 20 0 DCADLN Nc1c(-c2nn[nH]n2)cnn1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001592753183 1167630765 /nfs/dbraw/zinc/63/07/65/1167630765.db2.gz QVCFYXXDRVFCCX-UHFFFAOYSA-N 0 2 322.292 0.119 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1ccc2c(c1)CCO2 ZINC001592941763 1167670037 /nfs/dbraw/zinc/67/00/37/1167670037.db2.gz UWNCNSFCHRQHSL-UHFFFAOYSA-N 0 2 324.300 0.241 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccccc1Cl ZINC001593489123 1167870923 /nfs/dbraw/zinc/87/09/23/1167870923.db2.gz AAIQKMGSHQUNQC-UHFFFAOYSA-N 0 2 304.697 0.831 20 0 DCADLN O=C(CC[C@@H]1NC(=O)NC1=O)Nc1ccccc1-c1nc[nH]n1 ZINC001596580392 1167994919 /nfs/dbraw/zinc/99/49/19/1167994919.db2.gz JOWVGPLMFZUFEV-JTQLQIEISA-N 0 2 314.305 0.398 20 0 DCADLN Cc1cc(C(=O)[O-])cc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)c1 ZINC001600109738 1168120341 /nfs/dbraw/zinc/12/03/41/1168120341.db2.gz ZYVKPPYISNWWQG-AWEZNQCLSA-N 0 2 303.362 0.423 20 0 DCADLN Cc1cc([C@@H](C)n2cc(C[N@H+](C)CCO)nn2)oc1C(=O)[O-] ZINC001600144199 1168127059 /nfs/dbraw/zinc/12/70/59/1168127059.db2.gz VWJDVJUGKOVDKV-SNVBAGLBSA-N 0 2 308.338 0.911 20 0 DCADLN Cc1cc([C@@H](C)n2cc(C[N@@H+](C)CCO)nn2)oc1C(=O)[O-] ZINC001600144199 1168127061 /nfs/dbraw/zinc/12/70/61/1168127061.db2.gz VWJDVJUGKOVDKV-SNVBAGLBSA-N 0 2 308.338 0.911 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@@H](CO)[C@@H](O)C1 ZINC001600355342 1168172147 /nfs/dbraw/zinc/17/21/47/1168172147.db2.gz SGEKIIXBCYOTRD-JSGCOSHPSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@@H](CO)[C@@H](O)C1 ZINC001600355342 1168172150 /nfs/dbraw/zinc/17/21/50/1168172150.db2.gz SGEKIIXBCYOTRD-JSGCOSHPSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(C[NH+]2CCN(C(=O)[C@H](C)O)CC2)cc1C(=O)[O-] ZINC001600413933 1168180055 /nfs/dbraw/zinc/18/00/55/1168180055.db2.gz QMEVWZHLEIDYCX-LBPRGKRZSA-N 0 2 306.362 0.718 20 0 DCADLN Cc1ccccc1C[C@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001600576653 1168202463 /nfs/dbraw/zinc/20/24/63/1168202463.db2.gz DUFCSRLAXUTYGC-NWANDNLSSA-N 0 2 320.389 0.562 20 0 DCADLN Cc1ccccc1C[C@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001600576653 1168202471 /nfs/dbraw/zinc/20/24/71/1168202471.db2.gz DUFCSRLAXUTYGC-NWANDNLSSA-N 0 2 320.389 0.562 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@@H+]3CCC[C@@H](O)[C@H]3C(=O)[O-])nc12 ZINC001600588945 1168203273 /nfs/dbraw/zinc/20/32/73/1168203273.db2.gz QBVAWDSBUCHTJO-OCCSQVGLSA-N 0 2 317.345 0.413 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@H+]3CCC[C@@H](O)[C@H]3C(=O)[O-])nc12 ZINC001600588945 1168203278 /nfs/dbraw/zinc/20/32/78/1168203278.db2.gz QBVAWDSBUCHTJO-OCCSQVGLSA-N 0 2 317.345 0.413 20 0 DCADLN Cc1ccccc1OCC[NH+]1CC(NC(=O)[C@H]2C[C@H]2C(=O)[O-])C1 ZINC001600586419 1168203319 /nfs/dbraw/zinc/20/33/19/1168203319.db2.gz XPMMKEZGMMDXFB-UONOGXRCSA-N 0 2 318.373 0.895 20 0 DCADLN Cc1cccn2cc(CC(=O)N3C[C@H](C(=O)[O-])C[C@H](O)C3)[nH+]c12 ZINC001600589397 1168203489 /nfs/dbraw/zinc/20/34/89/1168203489.db2.gz MWMRMTYLCKPJCZ-YPMHNXCESA-N 0 2 317.345 0.479 20 0 DCADLN Cc1cncc(-c2nc(C[N@H+]3C[C@H](C(=O)[O-])C[C@H](O)C3)no2)c1 ZINC001600626704 1168219935 /nfs/dbraw/zinc/21/99/35/1168219935.db2.gz BTUYVFSVJBYFFB-NEPJUHHUSA-N 0 2 318.333 0.707 20 0 DCADLN Cc1cncc(-c2nc(C[N@@H+]3C[C@H](C(=O)[O-])C[C@H](O)C3)no2)c1 ZINC001600626704 1168219943 /nfs/dbraw/zinc/21/99/43/1168219943.db2.gz BTUYVFSVJBYFFB-NEPJUHHUSA-N 0 2 318.333 0.707 20 0 DCADLN Cc1nc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C)s1 ZINC001600695904 1168254502 /nfs/dbraw/zinc/25/45/02/1168254502.db2.gz ODLVEQVKAIVKHD-NSHDSACASA-N 0 2 308.363 0.838 20 0 DCADLN Cc1nc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C)s1 ZINC001600695904 1168254508 /nfs/dbraw/zinc/25/45/08/1168254508.db2.gz ODLVEQVKAIVKHD-NSHDSACASA-N 0 2 308.363 0.838 20 0 DCADLN Cc1nc(CCC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cs1 ZINC001600699353 1168255827 /nfs/dbraw/zinc/25/58/27/1168255827.db2.gz WIJCSBYPLGQYTN-LLVKDONJSA-N 0 2 308.363 0.850 20 0 DCADLN Cc1nc(C[NH2+]C/C=C/CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])oc1C ZINC001600701675 1168258481 /nfs/dbraw/zinc/25/84/81/1168258481.db2.gz IXMVBHKWQJVIQE-BLDJZWNYSA-N 0 2 307.350 0.774 20 0 DCADLN Cc1[nH+]cc2n1CC[C@@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)[O-])C2 ZINC001600749067 1168274644 /nfs/dbraw/zinc/27/46/44/1168274644.db2.gz IFEFKWOBCPQQOL-MFKMUULPSA-N 0 2 317.349 0.289 20 0 DCADLN Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)[C@@H](C)CC(=O)[O-])cc[nH+]1 ZINC001600753378 1168278638 /nfs/dbraw/zinc/27/86/38/1168278638.db2.gz XQNOMXKZRNWTLL-ZMLRMANQSA-N 0 2 322.365 0.581 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)CC(C)(C)CC(=O)[O-])CC[NH2+]2)cn1 ZINC001600945411 1168524481 /nfs/dbraw/zinc/52/44/81/1168524481.db2.gz WRBIKAUOPOLNCO-LBPRGKRZSA-N 0 2 308.382 0.784 20 0 DCADLN Cn1cc(C[N@@H+]2CCC[C@H](S(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001600952273 1168529427 /nfs/dbraw/zinc/52/94/27/1168529427.db2.gz WKQPGEUUYIPJIC-NSHDSACASA-N 0 2 300.380 0.732 20 0 DCADLN Cn1cc(C[N@H+]2CCC[C@H](S(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001600952273 1168529437 /nfs/dbraw/zinc/52/94/37/1168529437.db2.gz WKQPGEUUYIPJIC-NSHDSACASA-N 0 2 300.380 0.732 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)OCC1CN(c2cccc[nH+]2)C1 ZINC001601002010 1168558038 /nfs/dbraw/zinc/55/80/38/1168558038.db2.gz FNYCIFMVUDSELI-UHFFFAOYSA-N 0 2 316.317 0.807 20 0 DCADLN Cn1[nH]c(C[N@@H+]2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)nc1=O ZINC001601010153 1168561452 /nfs/dbraw/zinc/56/14/52/1168561452.db2.gz ITNPFVMMZRHTAN-SSDOTTSWSA-N 0 2 309.351 0.253 20 0 DCADLN Cn1[nH]c(C[N@H+]2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)nc1=O ZINC001601010153 1168561458 /nfs/dbraw/zinc/56/14/58/1168561458.db2.gz ITNPFVMMZRHTAN-SSDOTTSWSA-N 0 2 309.351 0.253 20 0 DCADLN Cn1nnc2ccc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])cc21 ZINC001601042645 1168576018 /nfs/dbraw/zinc/57/60/18/1168576018.db2.gz RJZDDRQFJZVROB-LLVKDONJSA-N 0 2 314.305 0.048 20 0 DCADLN Cn1nnc2ccc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cc21 ZINC001601043189 1168576383 /nfs/dbraw/zinc/57/63/83/1168576383.db2.gz VZUQJTWDPLWYOA-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN Cn1nnc2ccc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cc21 ZINC001601043189 1168576384 /nfs/dbraw/zinc/57/63/84/1168576384.db2.gz VZUQJTWDPLWYOA-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN NC(=O)C[C@@H]1C[N@H+](Cc2[nH]cc(C(=O)[O-])c2C2CC2)CCO1 ZINC001601147787 1168601155 /nfs/dbraw/zinc/60/11/55/1168601155.db2.gz FGRBMRMAOVEKIE-SNVBAGLBSA-N 0 2 307.350 0.667 20 0 DCADLN NC(=O)C[C@@H]1C[N@@H+](Cc2[nH]cc(C(=O)[O-])c2C2CC2)CCO1 ZINC001601147787 1168601159 /nfs/dbraw/zinc/60/11/59/1168601159.db2.gz FGRBMRMAOVEKIE-SNVBAGLBSA-N 0 2 307.350 0.667 20 0 DCADLN NC(=O)C[C@H]1C[N@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC001601148652 1168601812 /nfs/dbraw/zinc/60/18/12/1168601812.db2.gz PSOFNNXYUHCCRL-ZDUSSCGKSA-N 0 2 308.334 0.226 20 0 DCADLN NC(=O)C[C@H]1C[N@@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC001601148652 1168601816 /nfs/dbraw/zinc/60/18/16/1168601816.db2.gz PSOFNNXYUHCCRL-ZDUSSCGKSA-N 0 2 308.334 0.226 20 0 DCADLN O=C([O-])c1ccc(CC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC001601356120 1168716658 /nfs/dbraw/zinc/71/66/58/1168716658.db2.gz FYJJZAVSWSMXDE-GASCZTMLSA-N 0 2 318.373 0.907 20 0 DCADLN O=C([O-])c1ccc(CC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC001601356120 1168716668 /nfs/dbraw/zinc/71/66/68/1168716668.db2.gz FYJJZAVSWSMXDE-GASCZTMLSA-N 0 2 318.373 0.907 20 0 DCADLN O=C([O-])C1(O)CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC001601372885 1168722926 /nfs/dbraw/zinc/72/29/26/1168722926.db2.gz QCMFQWTWSZFOQB-UHFFFAOYSA-N 0 2 307.350 0.200 20 0 DCADLN O=C([O-])c1cnc(N[C@@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001601389110 1168730544 /nfs/dbraw/zinc/73/05/44/1168730544.db2.gz APDKBRBGNWPKFJ-PHIMTYICSA-N 0 2 316.321 0.131 20 0 DCADLN O=C([O-])[C@@H](CCC1OCCO1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001601415957 1168738641 /nfs/dbraw/zinc/73/86/41/1168738641.db2.gz VVPFZHJZFKAKHT-ZYHUDNBSSA-N 0 2 323.349 0.168 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cnc2n1CCCC2 ZINC001601426469 1168742241 /nfs/dbraw/zinc/74/22/41/1168742241.db2.gz ZNXAWRMUAIPDJS-JTQLQIEISA-N 0 2 303.322 0.299 20 0 DCADLN O=C([O-])[C@@H](F)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001601520313 1168772949 /nfs/dbraw/zinc/77/29/49/1168772949.db2.gz QACVDXJCKYEMPG-QWHCGFSZSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](F)CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001601520313 1168772958 /nfs/dbraw/zinc/77/29/58/1168772958.db2.gz QACVDXJCKYEMPG-QWHCGFSZSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccn(CC(F)F)n1 ZINC001601565085 1168783950 /nfs/dbraw/zinc/78/39/50/1168783950.db2.gz NVIALJBDAGGBNK-VIFPVBQESA-N 0 2 313.264 0.297 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccn(CC(F)F)n1 ZINC001601565085 1168783955 /nfs/dbraw/zinc/78/39/55/1168783955.db2.gz NVIALJBDAGGBNK-VIFPVBQESA-N 0 2 313.264 0.297 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCc2cccnc21 ZINC001601663410 1168809449 /nfs/dbraw/zinc/80/94/49/1168809449.db2.gz TXHBXGAJZUEQOG-NWDGAFQWSA-N 0 2 300.318 0.578 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](Cc2nncn2-c2ccccc2)CC1 ZINC001601685405 1168815686 /nfs/dbraw/zinc/81/56/86/1168815686.db2.gz SDAGHGAMENTGGV-HNNXBMFYSA-N 0 2 316.361 0.925 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](Cc2nc(-c3ccco3)no2)CC1 ZINC001601683927 1168817021 /nfs/dbraw/zinc/81/70/21/1168817021.db2.gz GZWOWROFLFMCCW-LBPRGKRZSA-N 0 2 307.306 0.987 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](Cc2cn(-c3ccccc3F)nn2)C1 ZINC001601769980 1168870841 /nfs/dbraw/zinc/87/08/41/1168870841.db2.gz RLLIGOYGFKANTB-PWSUYJOCSA-N 0 2 320.324 0.674 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](Cc2cn(-c3ccccc3F)nn2)C1 ZINC001601769980 1168870854 /nfs/dbraw/zinc/87/08/54/1168870854.db2.gz RLLIGOYGFKANTB-PWSUYJOCSA-N 0 2 320.324 0.674 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+]1CC(=O)N(Cc1ccccc1)C1CC1 ZINC001601774100 1168871961 /nfs/dbraw/zinc/87/19/61/1168871961.db2.gz WPUYENAOSITDRH-LSDHHAIUSA-N 0 2 318.373 0.697 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+]1CC(=O)N(Cc1ccccc1)C1CC1 ZINC001601774100 1168871966 /nfs/dbraw/zinc/87/19/66/1168871966.db2.gz WPUYENAOSITDRH-LSDHHAIUSA-N 0 2 318.373 0.697 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1CCOC1CCSCC1 ZINC001601941132 1168943082 /nfs/dbraw/zinc/94/30/82/1168943082.db2.gz WJYHVSLVBPENFK-NSHDSACASA-N 0 2 302.396 0.174 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1CCOC1CCSCC1 ZINC001601941132 1168943090 /nfs/dbraw/zinc/94/30/90/1168943090.db2.gz WJYHVSLVBPENFK-NSHDSACASA-N 0 2 302.396 0.174 20 0 DCADLN O=C([O-])C[C@@H]1CCCN1C(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001601950670 1168945187 /nfs/dbraw/zinc/94/51/87/1168945187.db2.gz MGYGCWHMEJBQEJ-NSHDSACASA-N 0 2 316.317 0.739 20 0 DCADLN O=C([O-])CCNC(=O)CC[NH2+]Cc1n[nH]cc1Br ZINC001602020574 1168969259 /nfs/dbraw/zinc/96/92/59/1168969259.db2.gz WIEMGNJBDGLVNQ-UHFFFAOYSA-N 0 2 319.159 0.243 20 0 DCADLN O=C([O-])CN(C(=O)c1n[nH]c2c1C[NH2+]CC2)C1CCCCC1 ZINC001602050795 1168976273 /nfs/dbraw/zinc/97/62/73/1168976273.db2.gz WPYIEJDKHARWSL-UHFFFAOYSA-N 0 2 306.366 0.915 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1CC[S@](=O)c1ccccc1 ZINC001602068755 1168985988 /nfs/dbraw/zinc/98/59/88/1168985988.db2.gz VMLKJOXQEDTAJJ-DMZKTXOQSA-N 0 2 324.402 0.459 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1CC[S@](=O)c1ccccc1 ZINC001602068755 1168985992 /nfs/dbraw/zinc/98/59/92/1168985992.db2.gz VMLKJOXQEDTAJJ-DMZKTXOQSA-N 0 2 324.402 0.459 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@]12C[C@H]1COC21CCC1 ZINC001602208459 1169031011 /nfs/dbraw/zinc/03/10/11/1169031011.db2.gz DJVVJZGISSEGNZ-ZSGNIPIJSA-N 0 2 305.334 0.481 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@]12C[C@H]1COC21CCC1 ZINC001602208459 1169031022 /nfs/dbraw/zinc/03/10/22/1169031022.db2.gz DJVVJZGISSEGNZ-ZSGNIPIJSA-N 0 2 305.334 0.481 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@@H+]2CC[C@H](C3CCOCC3)C2)n1 ZINC001602313060 1169055025 /nfs/dbraw/zinc/05/50/25/1169055025.db2.gz FGVNLHBSEINTLB-LBPRGKRZSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@H+]2CC[C@H](C3CCOCC3)C2)n1 ZINC001602313060 1169055030 /nfs/dbraw/zinc/05/50/30/1169055030.db2.gz FGVNLHBSEINTLB-LBPRGKRZSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCC(=O)c2cccn21 ZINC001602642483 1169142418 /nfs/dbraw/zinc/14/24/18/1169142418.db2.gz NLPGZSYVTYPKOW-PWSUYJOCSA-N 0 2 316.317 0.472 20 0 DCADLN C[C@H]1CC(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C[C@H](C)C1 ZINC001603847366 1169410104 /nfs/dbraw/zinc/41/01/04/1169410104.db2.gz YLTDVMPWCVMWBE-HTQZYQBOSA-N 0 2 319.369 0.903 20 0 DCADLN CC(C)c1ncncc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001604020704 1169472640 /nfs/dbraw/zinc/47/26/40/1169472640.db2.gz OVCJRIJJTNCKNK-NSHDSACASA-N 0 2 303.322 0.749 20 0 DCADLN CC(C)c1ncncc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001604020704 1169472647 /nfs/dbraw/zinc/47/26/47/1169472647.db2.gz OVCJRIJJTNCKNK-NSHDSACASA-N 0 2 303.322 0.749 20 0 DCADLN CCC1(CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)COC1 ZINC001604085963 1169488190 /nfs/dbraw/zinc/48/81/90/1169488190.db2.gz DJIVYYGEQBRLQD-UHFFFAOYSA-N 0 2 318.337 0.432 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(C(=O)C(=O)c2ccc(O)cc2)CC1 ZINC001604113569 1169495251 /nfs/dbraw/zinc/49/52/51/1169495251.db2.gz ZQMNMWJIAJPVBK-NSHDSACASA-N 0 2 320.345 0.582 20 0 DCADLN CC[C@H]1COCCN1C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604116625 1169498334 /nfs/dbraw/zinc/49/83/34/1169498334.db2.gz SCZITWIUUZHQKI-VIFPVBQESA-N 0 2 318.337 0.527 20 0 DCADLN C[C@@H](NC(=O)Cc1[nH]cc[nH+]1)[C@@H](C)Nc1ncc(C(=O)[O-])cn1 ZINC001604277748 1169545544 /nfs/dbraw/zinc/54/55/44/1169545544.db2.gz RQGUDWDNANSFTI-RKDXNWHRSA-N 0 2 318.337 0.446 20 0 DCADLN C[C@@]1(C(N)=O)CCCC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001604506313 1169606458 /nfs/dbraw/zinc/60/64/58/1169606458.db2.gz TUGUWABCLLQFRT-HNNXBMFYSA-N 0 2 311.382 0.040 20 0 DCADLN C[C@@]1(C(N)=O)CCCC[N@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001604506313 1169606461 /nfs/dbraw/zinc/60/64/61/1169606461.db2.gz TUGUWABCLLQFRT-HNNXBMFYSA-N 0 2 311.382 0.040 20 0 DCADLN CC1(C)[C@@H](O)CC[N@@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001604508687 1169608171 /nfs/dbraw/zinc/60/81/71/1169608171.db2.gz LTRQTQDOLGEYCY-VIFPVBQESA-N 0 2 313.379 0.554 20 0 DCADLN CC1(C)[C@@H](O)CC[N@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001604508687 1169608174 /nfs/dbraw/zinc/60/81/74/1169608174.db2.gz LTRQTQDOLGEYCY-VIFPVBQESA-N 0 2 313.379 0.554 20 0 DCADLN C[C@]1(C2CC[NH+](Cn3cnc(C(=O)[O-])n3)CC2)COC(=O)N1 ZINC001604569403 1169621270 /nfs/dbraw/zinc/62/12/70/1169621270.db2.gz XBNUWCRUOFKOMH-CYBMUJFWSA-N 0 2 309.326 0.144 20 0 DCADLN C[C@]1(CO)C[C@H](O)C[N@@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001604584998 1169624086 /nfs/dbraw/zinc/62/40/86/1169624086.db2.gz SKVFAMMMRVGEDJ-ISVAXAHUSA-N 0 2 314.363 0.202 20 0 DCADLN C[C@]1(CO)C[C@H](O)C[N@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001604584998 1169624088 /nfs/dbraw/zinc/62/40/88/1169624088.db2.gz SKVFAMMMRVGEDJ-ISVAXAHUSA-N 0 2 314.363 0.202 20 0 DCADLN CN(C[C@H]1CCCCO1)Cn1cccc(-c2nn[nH]n2)c1=O ZINC001604729435 1169664746 /nfs/dbraw/zinc/66/47/46/1169664746.db2.gz SXBOLCNCWVVSOT-LLVKDONJSA-N 0 2 304.354 0.487 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1ccoc1 ZINC001604793559 1169686084 /nfs/dbraw/zinc/68/60/84/1169686084.db2.gz AJVLEPIVAKVDOZ-SNVBAGLBSA-N 0 2 302.352 0.449 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1ccoc1 ZINC001604793559 1169686091 /nfs/dbraw/zinc/68/60/91/1169686091.db2.gz AJVLEPIVAKVDOZ-SNVBAGLBSA-N 0 2 302.352 0.449 20 0 DCADLN C[C@H]1C[N@H+](CCOC(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CCO1 ZINC001604798233 1169689554 /nfs/dbraw/zinc/68/95/54/1169689554.db2.gz RGOHDDKUZJPYLH-RWMBFGLXSA-N 0 2 315.366 0.520 20 0 DCADLN C[C@H]1C[N@@H+](CCOC(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CCO1 ZINC001604798233 1169689561 /nfs/dbraw/zinc/68/95/61/1169689561.db2.gz RGOHDDKUZJPYLH-RWMBFGLXSA-N 0 2 315.366 0.520 20 0 DCADLN CS[C@@H](C)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605368073 1169906084 /nfs/dbraw/zinc/90/60/84/1169906084.db2.gz XHFVBVKNGZZVLT-ZETCQYMHSA-N 0 2 308.367 0.757 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1COC[C@H]1C ZINC001605510615 1169943053 /nfs/dbraw/zinc/94/30/53/1169943053.db2.gz SBRWDZRNBTXJAI-VXNVDRBHSA-N 0 2 304.310 0.040 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@H+](CC)CC(=O)[O-] ZINC001605525060 1169948057 /nfs/dbraw/zinc/94/80/57/1169948057.db2.gz XRKCJQMKWMDNEK-LLVKDONJSA-N 0 2 300.355 0.337 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@@H+](CC)CC(=O)[O-] ZINC001605525060 1169948059 /nfs/dbraw/zinc/94/80/59/1169948059.db2.gz XRKCJQMKWMDNEK-LLVKDONJSA-N 0 2 300.355 0.337 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@H+](C)Cc1cc(-c2cnn(C)c2)no1 ZINC001605625115 1169976271 /nfs/dbraw/zinc/97/62/71/1169976271.db2.gz QQNLSBCGMODDDF-CYBMUJFWSA-N 0 2 308.338 0.997 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@@H+](C)Cc1cc(-c2cnn(C)c2)no1 ZINC001605625115 1169976276 /nfs/dbraw/zinc/97/62/76/1169976276.db2.gz QQNLSBCGMODDDF-CYBMUJFWSA-N 0 2 308.338 0.997 20 0 DCADLN Cc1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc(-c2nn[nH]n2)c1 ZINC001605713202 1169989330 /nfs/dbraw/zinc/98/93/30/1169989330.db2.gz KVDJYHRRVXKJTD-UHFFFAOYSA-N 0 2 315.297 0.321 20 0 DCADLN CCS(=O)(=O)CC[N@@H+]1Cc2cc(O)ccc2C[C@@H]1C(=O)[O-] ZINC001605726493 1169994135 /nfs/dbraw/zinc/99/41/35/1169994135.db2.gz FPPVCZYLAVVNHD-CYBMUJFWSA-N 0 2 313.375 0.638 20 0 DCADLN CCS(=O)(=O)CC[N@H+]1Cc2cc(O)ccc2C[C@@H]1C(=O)[O-] ZINC001605726493 1169994139 /nfs/dbraw/zinc/99/41/39/1169994139.db2.gz FPPVCZYLAVVNHD-CYBMUJFWSA-N 0 2 313.375 0.638 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@]2(C)CCCCO2)c(-c2nn[nH]n2)c1=O ZINC001606205901 1170112412 /nfs/dbraw/zinc/11/24/12/1170112412.db2.gz MMOQDXGGJBXKBI-ZDUSSCGKSA-N 0 2 321.341 0.037 20 0 DCADLN Cn1c(=O)[nH]c(NC(C)(C)C(F)F)c(-c2nn[nH]n2)c1=O ZINC001606205887 1170113149 /nfs/dbraw/zinc/11/31/49/1170113149.db2.gz LMYAUZUHOKCFGW-UHFFFAOYSA-N 0 2 301.257 0.122 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)Nc2cc(C)[nH+]cc2C)C[C@@H]1C(=O)[O-] ZINC001606424403 1170195268 /nfs/dbraw/zinc/19/52/68/1170195268.db2.gz WGWPGFAMVOWJKU-QWRGUYRKSA-N 0 2 321.333 0.458 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2nccn2C(F)F)C[C@@H]1C(=O)[O-] ZINC001606431059 1170198809 /nfs/dbraw/zinc/19/88/09/1170198809.db2.gz HEZHHBZDBQSXCM-YUMQZZPRSA-N 0 2 303.265 0.584 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2nccn2C(F)F)C[C@@H]1C(=O)[O-] ZINC001606431059 1170198826 /nfs/dbraw/zinc/19/88/26/1170198826.db2.gz HEZHHBZDBQSXCM-YUMQZZPRSA-N 0 2 303.265 0.584 20 0 DCADLN COC(=O)NC1CC[NH+](CC(=O)N[C@H](C(=O)[O-])C(C)C)CC1 ZINC001606493324 1170219946 /nfs/dbraw/zinc/21/99/46/1170219946.db2.gz CEAHSXMQUHYLGN-LBPRGKRZSA-N 0 2 315.370 0.032 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1ccc(Cl)cc1 ZINC001606623495 1170247224 /nfs/dbraw/zinc/24/72/24/1170247224.db2.gz OKVUJFGLJMKVJM-UHFFFAOYSA-N 0 2 316.708 0.960 20 0 DCADLN COCCN(C)c1ccc(C[N@@H+]2C[C@@H](C)O[C@H](C(=O)[O-])C2)cn1 ZINC001606880938 1170319850 /nfs/dbraw/zinc/31/98/50/1170319850.db2.gz QTVNYYPKEIGTCM-OCCSQVGLSA-N 0 2 323.393 0.838 20 0 DCADLN COCCN(C)c1ccc(C[N@H+]2C[C@@H](C)O[C@H](C(=O)[O-])C2)cn1 ZINC001606880938 1170319861 /nfs/dbraw/zinc/31/98/61/1170319861.db2.gz QTVNYYPKEIGTCM-OCCSQVGLSA-N 0 2 323.393 0.838 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@H]1CCCC2(CCC2)O1 ZINC001607088631 1170386304 /nfs/dbraw/zinc/38/63/04/1170386304.db2.gz PZADIJZZTBATNC-SECBINFHSA-N 0 2 318.337 0.621 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1CCOc1ccc(F)cc1 ZINC001607091155 1170389802 /nfs/dbraw/zinc/38/98/02/1170389802.db2.gz JSUSYXFMBFONFL-UHFFFAOYSA-N 0 2 302.269 0.642 20 0 DCADLN COc1cc(S(=O)(=O)NCC[NH+]2CCC2)ccc1C(=O)[O-] ZINC001607188316 1170413079 /nfs/dbraw/zinc/41/30/79/1170413079.db2.gz PGRQZXCCGGVSDT-UHFFFAOYSA-N 0 2 314.363 0.377 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@@H+]1CCN2C(=O)NC[C@H]2C1 ZINC001607216052 1170415082 /nfs/dbraw/zinc/41/50/82/1170415082.db2.gz SQKTTZQXXSSOIZ-LBPRGKRZSA-N 0 2 305.334 0.603 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@H+]1CCN2C(=O)NC[C@H]2C1 ZINC001607216052 1170415085 /nfs/dbraw/zinc/41/50/85/1170415085.db2.gz SQKTTZQXXSSOIZ-LBPRGKRZSA-N 0 2 305.334 0.603 20 0 DCADLN Cc1c(Cl)c(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])nn1C ZINC001607528659 1170473976 /nfs/dbraw/zinc/47/39/76/1170473976.db2.gz KINNRIOQHYDOPB-QMMMGPOBSA-N 0 2 311.729 0.462 20 0 DCADLN Cc1cc([C@H]([NH2+]CC(=O)NC(=O)NC2CC2)C(=O)[O-])ccc1F ZINC001607611012 1170484325 /nfs/dbraw/zinc/48/43/25/1170484325.db2.gz DGMUUANJRYDEAW-ZDUSSCGKSA-N 0 2 323.324 0.838 20 0 DCADLN Cc1cccc2c1O[C@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C2 ZINC001607866684 1170514269 /nfs/dbraw/zinc/51/42/69/1170514269.db2.gz XDBRGSKUJFSZDC-OLZOCXBDSA-N 0 2 315.329 0.834 20 0 DCADLN Cc1cccc2c1O[C@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C2 ZINC001607866684 1170514275 /nfs/dbraw/zinc/51/42/75/1170514275.db2.gz XDBRGSKUJFSZDC-OLZOCXBDSA-N 0 2 315.329 0.834 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001608132599 1170586283 /nfs/dbraw/zinc/58/62/83/1170586283.db2.gz IBSRTAJKHNYAEC-AWEZNQCLSA-N 0 2 306.322 0.185 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1cccc(F)c1C(=O)[O-] ZINC001608136978 1170589965 /nfs/dbraw/zinc/58/99/65/1170589965.db2.gz LUFYAOAOYOVPHV-UHFFFAOYSA-N 0 2 313.310 0.736 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)NCC1(CC(=O)[O-])CCOCC1 ZINC001608136947 1170589838 /nfs/dbraw/zinc/58/98/38/1170589838.db2.gz KFVDFCIWPOHLLM-UHFFFAOYSA-N 0 2 309.366 0.740 20 0 DCADLN [NH3+][C@H](CC(=O)NC[C@H](Cc1ccncc1)C(=O)[O-])C(F)F ZINC001608249776 1170631376 /nfs/dbraw/zinc/63/13/76/1170631376.db2.gz XUPCBMCQWRDOOY-VHSXEESVSA-N 0 2 301.293 0.424 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ncnc2sccc21 ZINC001608401091 1170668680 /nfs/dbraw/zinc/66/86/80/1170668680.db2.gz BBVPCONYDVKUQN-SECBINFHSA-N 0 2 317.330 0.771 20 0 DCADLN O=C([O-])[C@@H](O)CCNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC001608450745 1170681734 /nfs/dbraw/zinc/68/17/34/1170681734.db2.gz FLPRUYXZERNFGC-AWEZNQCLSA-N 0 2 322.361 0.084 20 0 DCADLN O=C([O-])COc1ccccc1C[N@@H+]1CCN2C(=O)NC[C@@H]2C1 ZINC001608765716 1170752349 /nfs/dbraw/zinc/75/23/49/1170752349.db2.gz QJHWYOIPUBSHQR-GFCCVEGCSA-N 0 2 305.334 0.359 20 0 DCADLN O=C([O-])COc1ccccc1C[N@H+]1CCN2C(=O)NC[C@@H]2C1 ZINC001608765716 1170752352 /nfs/dbraw/zinc/75/23/52/1170752352.db2.gz QJHWYOIPUBSHQR-GFCCVEGCSA-N 0 2 305.334 0.359 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1c1ccc(O)cc1 ZINC001608806752 1170758479 /nfs/dbraw/zinc/75/84/79/1170758479.db2.gz DBDNJUFYWBIRSV-QWHCGFSZSA-N 0 2 315.329 0.985 20 0 DCADLN O=C([O-])c1c(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)nc2n1CCC2 ZINC001608830428 1170763006 /nfs/dbraw/zinc/76/30/06/1170763006.db2.gz NRLBKLQOLNTYNY-HTQZYQBOSA-N 0 2 301.306 0.993 20 0 DCADLN O=C([O-])c1c(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)nc2n1CCC2 ZINC001608830428 1170763010 /nfs/dbraw/zinc/76/30/10/1170763010.db2.gz NRLBKLQOLNTYNY-HTQZYQBOSA-N 0 2 301.306 0.993 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccc(-c2nn[nH]n2)s1)c1nn[nH]n1 ZINC001609112033 1170813442 /nfs/dbraw/zinc/81/34/42/1170813442.db2.gz PWXJSNCHVPBEHB-ZCFIWIBFSA-N 0 2 319.354 0.317 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(Cc2ccccc2)c1 ZINC001609302063 1170925663 /nfs/dbraw/zinc/92/56/63/1170925663.db2.gz QLDGZENOEQANTN-UHFFFAOYSA-N 0 2 311.301 0.863 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)OCC(F)(F)F)c1 ZINC001609386186 1170958774 /nfs/dbraw/zinc/95/87/74/1170958774.db2.gz BOHQJGPQCVIQSW-UHFFFAOYSA-N 0 2 317.227 0.442 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)NC1CCCCCC1 ZINC001609537512 1171012615 /nfs/dbraw/zinc/01/26/15/1171012615.db2.gz XZPLLAGIVQVILC-UHFFFAOYSA-N 0 2 317.353 0.262 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)CC1(C(=O)[O-])CCCC1 ZINC001609751343 1171078664 /nfs/dbraw/zinc/07/86/64/1171078664.db2.gz LLWDKFXETCSHTJ-NSHDSACASA-N 0 2 315.395 0.836 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001609828914 1171097225 /nfs/dbraw/zinc/09/72/25/1171097225.db2.gz RAXYYQQOZGISRB-NXEZZACHSA-N 0 2 308.338 0.837 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001609828914 1171097234 /nfs/dbraw/zinc/09/72/34/1171097234.db2.gz RAXYYQQOZGISRB-NXEZZACHSA-N 0 2 308.338 0.837 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CCN1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC001609833413 1171098663 /nfs/dbraw/zinc/09/86/63/1171098663.db2.gz NJEDCNQSYNSFTN-NWDGAFQWSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CCN1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC001609833413 1171098670 /nfs/dbraw/zinc/09/86/70/1171098670.db2.gz NJEDCNQSYNSFTN-NWDGAFQWSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)c1cnc(C2CC2)[nH]1 ZINC001609871478 1171109447 /nfs/dbraw/zinc/10/94/47/1171109447.db2.gz OPFJPSKAUBNSGA-SNVBAGLBSA-N 0 2 306.366 0.908 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1cnc(C2CC2)[nH]1 ZINC001609871478 1171109452 /nfs/dbraw/zinc/10/94/52/1171109452.db2.gz OPFJPSKAUBNSGA-SNVBAGLBSA-N 0 2 306.366 0.908 20 0 DCADLN C[C@H]1C[N@H+](CC(=O)N2CCC(C(=O)[O-])CC2)CC2(CCC2)O1 ZINC001609871742 1171111297 /nfs/dbraw/zinc/11/12/97/1171111297.db2.gz XIGLZHBALYBIMA-LBPRGKRZSA-N 0 2 310.394 0.953 20 0 DCADLN C[C@H]1C[N@@H+](CC(=O)N2CCC(C(=O)[O-])CC2)CC2(CCC2)O1 ZINC001609871742 1171111300 /nfs/dbraw/zinc/11/13/00/1171111300.db2.gz XIGLZHBALYBIMA-LBPRGKRZSA-N 0 2 310.394 0.953 20 0 DCADLN CCC1(O)CC[NH+](CC(=O)Nc2cccc(C(=O)[O-])n2)CC1 ZINC001609942761 1171125059 /nfs/dbraw/zinc/12/50/59/1171125059.db2.gz OJMRIUABCZHBOH-UHFFFAOYSA-N 0 2 307.350 0.955 20 0 DCADLN C[N@H+](Cc1cn(CC(=O)[O-])nn1)[C@H](CCO)c1ccccc1 ZINC001610124909 1171163737 /nfs/dbraw/zinc/16/37/37/1171163737.db2.gz NPPPVLRAJPJPCH-CQSZACIVSA-N 0 2 304.350 0.918 20 0 DCADLN C[N@@H+](Cc1cn(CC(=O)[O-])nn1)[C@H](CCO)c1ccccc1 ZINC001610124909 1171163740 /nfs/dbraw/zinc/16/37/40/1171163740.db2.gz NPPPVLRAJPJPCH-CQSZACIVSA-N 0 2 304.350 0.918 20 0 DCADLN COCCn1nnc2c1CC[N@H+](Cc1c[nH]c(C(=O)[O-])c1)C2 ZINC001610269005 1171214075 /nfs/dbraw/zinc/21/40/75/1171214075.db2.gz ZZXYWDYIOQHZGN-UHFFFAOYSA-N 0 2 305.338 0.509 20 0 DCADLN COCCn1nnc2c1CC[N@@H+](Cc1c[nH]c(C(=O)[O-])c1)C2 ZINC001610269005 1171214081 /nfs/dbraw/zinc/21/40/81/1171214081.db2.gz ZZXYWDYIOQHZGN-UHFFFAOYSA-N 0 2 305.338 0.509 20 0 DCADLN Cn1cc(-c2cc(C[N@@H+]3CCC[C@@H](O)[C@@H]3C(=O)[O-])on2)cn1 ZINC001610599393 1171262995 /nfs/dbraw/zinc/26/29/95/1171262995.db2.gz FFAVQBIYTIMBJR-CHWSQXEVSA-N 0 2 306.322 0.485 20 0 DCADLN Cn1cc(-c2cc(C[N@H+]3CCC[C@@H](O)[C@@H]3C(=O)[O-])on2)cn1 ZINC001610599393 1171263001 /nfs/dbraw/zinc/26/30/01/1171263001.db2.gz FFAVQBIYTIMBJR-CHWSQXEVSA-N 0 2 306.322 0.485 20 0 DCADLN Cn1c[nH+]cc1CC(=O)Nc1nnc(CCCC(=O)[O-])s1 ZINC001610611639 1171267382 /nfs/dbraw/zinc/26/73/82/1171267382.db2.gz ODQACROXCPQMOO-UHFFFAOYSA-N 0 2 309.351 0.860 20 0 DCADLN O=C([O-])[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Cc1ccncc1 ZINC001610658504 1171283380 /nfs/dbraw/zinc/28/33/80/1171283380.db2.gz JYXZMEOHJNFVIL-LBPRGKRZSA-N 0 2 302.334 0.797 20 0 DCADLN O=C([O-])[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Cc1ccncc1 ZINC001610658504 1171283389 /nfs/dbraw/zinc/28/33/89/1171283389.db2.gz JYXZMEOHJNFVIL-LBPRGKRZSA-N 0 2 302.334 0.797 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cc2c(s1)CCOC2 ZINC001610682431 1171290584 /nfs/dbraw/zinc/29/05/84/1171290584.db2.gz VSAOHODQTZABEW-JTQLQIEISA-N 0 2 321.358 0.901 20 0 DCADLN O=C(CO)Nc1ccc(S(=O)(=O)Nc2nccs2)cc1 ZINC000011638795 1171366966 /nfs/dbraw/zinc/36/69/66/1171366966.db2.gz ZJJCNQKDEZSTSB-UHFFFAOYSA-N 0 2 313.360 0.875 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1cc[n+]([O-])cc1 ZINC000014371727 1171371509 /nfs/dbraw/zinc/37/15/09/1171371509.db2.gz YJYRIUXKNUZBLR-UHFFFAOYSA-N 0 2 316.342 0.890 20 0 DCADLN CS(=O)(=O)c1ccc(C(=O)NCCc2n[nH]c(=S)o2)o1 ZINC001617078921 1171383074 /nfs/dbraw/zinc/38/30/74/1171383074.db2.gz AFOYDDGADPSIFO-UHFFFAOYSA-N 0 2 317.348 0.327 20 0 DCADLN O=S(=O)(NCc1n[nH]c(-c2ccncc2)n1)c1ncc[nH]1 ZINC001622247536 1171421959 /nfs/dbraw/zinc/42/19/59/1171421959.db2.gz UMZPDGXZNFAECZ-UHFFFAOYSA-N 0 2 305.323 0.068 20 0 DCADLN Cc1n[nH]cc1C1CCN(C(=O)CC[C@H]2NC(=O)NC2=O)CC1 ZINC001623917007 1171446288 /nfs/dbraw/zinc/44/62/88/1171446288.db2.gz WKILWWUGLILBMG-GFCCVEGCSA-N 0 2 319.365 0.412 20 0 DCADLN O=C(CSc1cccc[n+]1[O-])NCCc1n[nH]c(=S)o1 ZINC001625684908 1171477657 /nfs/dbraw/zinc/47/76/57/1171477657.db2.gz QMTHOQDGVUJVGG-UHFFFAOYSA-N 0 2 312.376 0.443 20 0 DCADLN C[C@H](NC(=O)c1cnc2c(c1)nnn2C)c1nn(C)cc1O ZINC001636769189 1171748609 /nfs/dbraw/zinc/74/86/09/1171748609.db2.gz XDJJZMIFUDQECB-ZETCQYMHSA-N 0 2 301.310 0.293 20 0 DCADLN CCn1cc(CC(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)nn1 ZINC001640379452 1171877196 /nfs/dbraw/zinc/87/71/96/1171877196.db2.gz KKUUAKDAFMCOIM-UHFFFAOYSA-N 0 2 313.321 0.970 20 0 DCADLN CCn1ncn(NC(=O)c2cc(-n3ccnn3)ccc2F)c1=O ZINC001641712486 1171928172 /nfs/dbraw/zinc/92/81/72/1171928172.db2.gz YUSGFHNGMQUQMZ-UHFFFAOYSA-N 0 2 317.284 0.168 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cccc3nc[nH]c(=O)c32)C(=O)N1C ZINC001641849216 1171929386 /nfs/dbraw/zinc/92/93/86/1171929386.db2.gz FWJXRBJBYVTFJU-ZETCQYMHSA-N 0 2 315.289 0.263 20 0 DCADLN NS(=O)(=O)N1CCC[C@H](C(=O)NOc2ccccc2F)C1 ZINC001644131994 1171997679 /nfs/dbraw/zinc/99/76/79/1171997679.db2.gz QCPJWWVOXWALRH-VIFPVBQESA-N 0 2 317.342 0.151 20 0 DCADLN O=C(c1cnc2ccccc2c1O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001644299271 1172001993 /nfs/dbraw/zinc/00/19/93/1172001993.db2.gz LJJJGTIFBFPWHM-SECBINFHSA-N 0 2 310.317 0.671 20 0 DCADLN CCc1cccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1O ZINC001645938411 1172189969 /nfs/dbraw/zinc/18/99/69/1172189969.db2.gz SGGKWUUTYVQEGT-UHFFFAOYSA-N 0 2 313.317 0.978 20 0 DCADLN CC(=O)Nc1ccc(O)c(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1 ZINC001645982234 1172204326 /nfs/dbraw/zinc/20/43/26/1172204326.db2.gz ZZVKZKLYEFREIF-ZETCQYMHSA-N 0 2 320.305 0.278 20 0 DCADLN O=C([C@H]1CCc2n[nH]cc2C1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001647245371 1172762476 /nfs/dbraw/zinc/76/24/76/1172762476.db2.gz DBLXFUCCPARKTJ-VHSXEESVSA-N 0 2 316.365 0.745 20 0 DCADLN O=C(C[C@@H]1CCC(=O)NC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001647246906 1172762578 /nfs/dbraw/zinc/76/25/78/1172762578.db2.gz UVJMPIVCSJMNGC-VHSXEESVSA-N 0 2 307.354 0.133 20 0 DCADLN Cc1nnc([C@@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC001649777120 1173217668 /nfs/dbraw/zinc/21/76/68/1173217668.db2.gz UBJZETBYHGFFGV-ZCFIWIBFSA-N 0 2 320.334 0.536 20 0 DCADLN COC(=O)c1ccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC001650836719 1173734734 /nfs/dbraw/zinc/73/47/34/1173734734.db2.gz KVKLDTIVCKCBMH-UHFFFAOYSA-N 0 2 318.333 0.588 20 0 DCADLN CO[N-]C(=O)CNC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC001675766636 1175391316 /nfs/dbraw/zinc/39/13/16/1175391316.db2.gz DEOFFVZXTHQWIH-UHFFFAOYSA-N 0 2 317.349 0.408 20 0 DCADLN CC(C)(C)[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001681068926 1175873541 /nfs/dbraw/zinc/87/35/41/1175873541.db2.gz MNPJKRZLSQTFLV-WDSKDSINSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)(C)[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001681068926 1175873547 /nfs/dbraw/zinc/87/35/47/1175873547.db2.gz MNPJKRZLSQTFLV-WDSKDSINSA-N 0 2 315.267 0.019 20 0 DCADLN COCCCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001689641547 1176983422 /nfs/dbraw/zinc/98/34/22/1176983422.db2.gz LPRAWEGYWDVSMP-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN COCCCC(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001689641547 1176983425 /nfs/dbraw/zinc/98/34/25/1176983425.db2.gz LPRAWEGYWDVSMP-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1csc(=O)[nH]1 ZINC001720130354 1178681744 /nfs/dbraw/zinc/68/17/44/1178681744.db2.gz QOZIABQAPFCWAU-YFKPBYRVSA-N 0 2 315.248 0.595 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1csc(=O)[nH]1 ZINC001720130354 1178681747 /nfs/dbraw/zinc/68/17/47/1178681747.db2.gz QOZIABQAPFCWAU-YFKPBYRVSA-N 0 2 315.248 0.595 20 0 DCADLN CCCOCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001703066670 1179266732 /nfs/dbraw/zinc/26/67/32/1179266732.db2.gz LGMQYGNYYXINMT-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN CCCOCC(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001703066670 1179266735 /nfs/dbraw/zinc/26/67/35/1179266735.db2.gz LGMQYGNYYXINMT-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)CC(F)(F)F ZINC001703326579 1179472836 /nfs/dbraw/zinc/47/28/36/1179472836.db2.gz DCBHKFZQZXYFSJ-UHFFFAOYSA-N 0 2 306.288 0.836 20 0 DCADLN Cn1cnc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)c1 ZINC001705013876 1180223142 /nfs/dbraw/zinc/22/31/42/1180223142.db2.gz FFZZEDGEPOZHBP-XADBCAIWSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cnc(C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001705013876 1180223146 /nfs/dbraw/zinc/22/31/46/1180223146.db2.gz FFZZEDGEPOZHBP-XADBCAIWSA-N 0 2 322.262 0.723 20 0 DCADLN CCOCCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001705326587 1180345953 /nfs/dbraw/zinc/34/59/53/1180345953.db2.gz MURJZWSCFYRJGS-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCCC(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001705326587 1180345961 /nfs/dbraw/zinc/34/59/61/1180345961.db2.gz MURJZWSCFYRJGS-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCCCO[C@H](C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001713080431 1180671742 /nfs/dbraw/zinc/67/17/42/1180671742.db2.gz JHNNXFVCFZRHDT-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)[C@H](C)[C@@H]1CCCO1 ZINC001720321091 1183562253 /nfs/dbraw/zinc/56/22/53/1183562253.db2.gz OXLXLNFZYKSTRP-RISCZKNCSA-N 0 2 322.409 0.698 20 0 DCADLN Cn1nncc1C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001721847096 1184076665 /nfs/dbraw/zinc/07/66/65/1184076665.db2.gz XKYICYDWWCEJRE-YAJNLLPGSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1nncc1C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001721847096 1184076670 /nfs/dbraw/zinc/07/66/70/1184076670.db2.gz XKYICYDWWCEJRE-YAJNLLPGSA-N 0 2 323.250 0.118 20 0 DCADLN CCc1nc(C[NH2+]CCCN(C)C(=O)C[N@@H+]2CC[C@H](C)C2)no1 ZINC001723122206 1184264764 /nfs/dbraw/zinc/26/47/64/1184264764.db2.gz GAKVGWZVWHWCSU-ZDUSSCGKSA-N 0 2 323.441 0.912 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001732843504 1186006240 /nfs/dbraw/zinc/00/62/40/1186006240.db2.gz ZUCHOIGUXJHCCR-XRVBUDJMSA-N 0 2 319.369 0.487 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@H+](C)CCOCC2CC2)c1[O-] ZINC001733034901 1186110260 /nfs/dbraw/zinc/11/02/60/1186110260.db2.gz WFJJWORFXMRBTK-JTQLQIEISA-N 0 2 310.398 0.900 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@@H+](C)CCOCC2CC2)c1[O-] ZINC001733034901 1186110267 /nfs/dbraw/zinc/11/02/67/1186110267.db2.gz WFJJWORFXMRBTK-JTQLQIEISA-N 0 2 310.398 0.900 20 0 DCADLN CC(C)CC(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001740463814 1187909594 /nfs/dbraw/zinc/90/95/94/1187909594.db2.gz MYTNSLSXZJNOFL-UHFFFAOYSA-N 0 2 318.381 0.702 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2nc(C(C)(C)C)no2)n[nH]1 ZINC001771622385 1188361404 /nfs/dbraw/zinc/36/14/04/1188361404.db2.gz ZHIFVYFYNCFOAE-UHFFFAOYSA-N 0 2 315.355 0.606 20 0 DCADLN CCOCCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1[nH]c[nH+]c1C ZINC001753426834 1188592826 /nfs/dbraw/zinc/59/28/26/1188592826.db2.gz RRGMYXBEVFEUQQ-KBPBESRZSA-N 0 2 306.410 0.972 20 0 DCADLN CCn1cc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001758027343 1189686011 /nfs/dbraw/zinc/68/60/11/1189686011.db2.gz DZSWCLDFCHOCOY-JTQLQIEISA-N 0 2 324.278 0.578 20 0 DCADLN CCn1cc(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001758027343 1189686015 /nfs/dbraw/zinc/68/60/15/1189686015.db2.gz DZSWCLDFCHOCOY-JTQLQIEISA-N 0 2 324.278 0.578 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001758419712 1189878617 /nfs/dbraw/zinc/87/86/17/1189878617.db2.gz KHAOYNVRXQQRDN-TZMCWYRMSA-N 0 2 322.409 0.650 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)c1cccc(CC(=O)[O-])c1 ZINC001771921430 1190557254 /nfs/dbraw/zinc/55/72/54/1190557254.db2.gz PRXQZZFAUMBDTG-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC1CC(CCO)C1 ZINC001771967136 1190574788 /nfs/dbraw/zinc/57/47/88/1190574788.db2.gz YYMJFOSXNGLJJD-UHFFFAOYSA-N 0 2 304.350 0.151 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)C1=CCCC1 ZINC001042534769 751726178 /nfs/dbraw/zinc/72/61/78/751726178.db2.gz VGFFLTCPMAVUBG-VIFPVBQESA-N 0 2 324.274 0.687 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1)C1=CCCC1 ZINC001042534769 751726184 /nfs/dbraw/zinc/72/61/84/751726184.db2.gz VGFFLTCPMAVUBG-VIFPVBQESA-N 0 2 324.274 0.687 20 0 DCADLN Cc1cncc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001042569667 751752710 /nfs/dbraw/zinc/75/27/10/751752710.db2.gz GDIVOFAYYPAPNP-UHFFFAOYSA-N 0 2 302.338 0.170 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccoc2)C1)C(F)C(F)(F)F ZINC001043376555 752202607 /nfs/dbraw/zinc/20/26/07/752202607.db2.gz RAZFGTSFMXMIKD-QMMMGPOBSA-N 0 2 324.230 0.483 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccoc2)C1)[C@H](F)C(F)(F)F ZINC001043376555 752202612 /nfs/dbraw/zinc/20/26/12/752202612.db2.gz RAZFGTSFMXMIKD-QMMMGPOBSA-N 0 2 324.230 0.483 20 0 DCADLN CC(=O)N[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H]1O ZINC001083295568 748001721 /nfs/dbraw/zinc/00/17/21/748001721.db2.gz CDQYNSNUHIPFLG-ZJUUUORDSA-N 0 2 308.363 0.078 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nccs2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001087772175 749047845 /nfs/dbraw/zinc/04/78/45/749047845.db2.gz UIFUNLPBXIJNKA-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)n1cccn1 ZINC001088477049 749483327 /nfs/dbraw/zinc/48/33/27/749483327.db2.gz MKYFWSKKWUCQCK-MXWKQRLJSA-N 0 2 319.369 0.047 20 0 DCADLN C[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)n1cccn1 ZINC001088477049 749483333 /nfs/dbraw/zinc/48/33/33/749483333.db2.gz MKYFWSKKWUCQCK-MXWKQRLJSA-N 0 2 319.369 0.047 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)c(C)[nH]1 ZINC001088886757 750714753 /nfs/dbraw/zinc/71/47/53/750714753.db2.gz BRLKZFHIPOCCTD-PWSUYJOCSA-N 0 2 318.381 0.848 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)c(C)[nH]1 ZINC001088886757 750714755 /nfs/dbraw/zinc/71/47/55/750714755.db2.gz BRLKZFHIPOCCTD-PWSUYJOCSA-N 0 2 318.381 0.848 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CC23CCC3)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088887362 750714787 /nfs/dbraw/zinc/71/47/87/750714787.db2.gz CUNAWOCMHUFSSL-MXWKQRLJSA-N 0 2 305.382 0.780 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CC23CCC3)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088887362 750714789 /nfs/dbraw/zinc/71/47/89/750714789.db2.gz CUNAWOCMHUFSSL-MXWKQRLJSA-N 0 2 305.382 0.780 20 0 DCADLN O=C(NC[C@@H](O)CNc1cnc(F)cn1)C(F)C(F)(F)F ZINC001106141605 750977993 /nfs/dbraw/zinc/97/79/93/750977993.db2.gz FHACCZHUQPLHMF-XNCJUZBTSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](O)CNc1cnc(F)cn1)[C@H](F)C(F)(F)F ZINC001106141605 750977995 /nfs/dbraw/zinc/97/79/95/750977995.db2.gz FHACCZHUQPLHMF-XNCJUZBTSA-N 0 2 314.214 0.405 20 0 DCADLN COCCC[N@@H+]1C[C@@H](NC(=O)CCc2[nH]cc[nH+]2)[C@H](OC)C1 ZINC001212199092 751032912 /nfs/dbraw/zinc/03/29/12/751032912.db2.gz PTGSFVXAFUUGNZ-CHWSQXEVSA-N 0 2 310.398 0.194 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107783837 752323147 /nfs/dbraw/zinc/32/31/47/752323147.db2.gz NWOWGJSPMTVHOB-RILDOFPPSA-N 0 2 323.397 0.574 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107925755 752545213 /nfs/dbraw/zinc/54/52/13/752545213.db2.gz VESBTLMRKUTCAE-QARUFBMTSA-N 0 2 323.397 0.574 20 0 DCADLN C[C@@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1 ZINC001044206061 752628797 /nfs/dbraw/zinc/62/87/97/752628797.db2.gz CNDLUJWJLHBLES-LLVKDONJSA-N 0 2 315.377 0.957 20 0 DCADLN COc1ccsc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044215483 752632885 /nfs/dbraw/zinc/63/28/85/752632885.db2.gz YCXANXFSAFJXOF-UHFFFAOYSA-N 0 2 323.378 0.537 20 0 DCADLN CN(C(=O)Cc1ccccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044256185 752649341 /nfs/dbraw/zinc/64/93/41/752649341.db2.gz IAXAIHTUQYZTGY-UHFFFAOYSA-N 0 2 319.340 0.535 20 0 DCADLN CCC[C@@H](OCC)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113234913 762200665 /nfs/dbraw/zinc/20/06/65/762200665.db2.gz MLFIUIYTOVCPJM-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CC(=O)N[C@H]1CCN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H]1O ZINC001089976161 753445431 /nfs/dbraw/zinc/44/54/31/753445431.db2.gz NSUGIVGUJPEFQD-ONGXEEELSA-N 0 2 322.390 0.468 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ccn(C)n3)C2)c1[O-] ZINC001046246859 753584315 /nfs/dbraw/zinc/58/43/15/753584315.db2.gz RAXHKUCUMFTRMF-HNNXBMFYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@]2(C)CC[N@H+](Cc3ccn(C)n3)C2)c1[O-] ZINC001046246859 753584318 /nfs/dbraw/zinc/58/43/18/753584318.db2.gz RAXHKUCUMFTRMF-HNNXBMFYSA-N 0 2 318.381 0.552 20 0 DCADLN CCn1nccc1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046265115 753600195 /nfs/dbraw/zinc/60/01/95/753600195.db2.gz SEJNJMKSLMCNPZ-AWEZNQCLSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1nccc1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046265115 753600201 /nfs/dbraw/zinc/60/02/01/753600201.db2.gz SEJNJMKSLMCNPZ-AWEZNQCLSA-N 0 2 319.369 0.121 20 0 DCADLN C[C@]1(NC(=O)c2csc(=O)[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046562773 753794268 /nfs/dbraw/zinc/79/42/68/753794268.db2.gz QDDJPBZCUQDGIZ-LBPRGKRZSA-N 0 2 324.366 0.067 20 0 DCADLN C[C@]1(NC(=O)c2csc(=O)[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046562773 753794272 /nfs/dbraw/zinc/79/42/72/753794272.db2.gz QDDJPBZCUQDGIZ-LBPRGKRZSA-N 0 2 324.366 0.067 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071961747 762257432 /nfs/dbraw/zinc/25/74/32/762257432.db2.gz VNGAREGKUWRBFU-NXEZZACHSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1ccon1)C2)c1cnon1 ZINC001047165849 754114197 /nfs/dbraw/zinc/11/41/97/754114197.db2.gz PMQNOKPWRBEXHC-WCQGTBRESA-N 0 2 303.322 0.841 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001072126093 762371615 /nfs/dbraw/zinc/37/16/15/762371615.db2.gz INBAVMNGFMJLPB-WCBMZHEXSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@H](F)C(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049650459 755348040 /nfs/dbraw/zinc/34/80/40/755348040.db2.gz YNGSYKYOAITJLU-DCAQKATOSA-N 0 2 311.361 0.824 20 0 DCADLN CC[C@H](F)C(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049650459 755348041 /nfs/dbraw/zinc/34/80/41/755348041.db2.gz YNGSYKYOAITJLU-DCAQKATOSA-N 0 2 311.361 0.824 20 0 DCADLN CCN(C(=O)[C@H](C)OC)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001079408053 755828075 /nfs/dbraw/zinc/82/80/75/755828075.db2.gz MTMZIISHHZYMFB-CBAPKCEASA-N 0 2 314.279 0.981 20 0 DCADLN CCN(C(=O)[C@H](C)OC)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001079408053 755828077 /nfs/dbraw/zinc/82/80/77/755828077.db2.gz MTMZIISHHZYMFB-CBAPKCEASA-N 0 2 314.279 0.981 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCCO1 ZINC001079449306 755832078 /nfs/dbraw/zinc/83/20/78/755832078.db2.gz KAVPLKRLVHRVPT-OUAUKWLOSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCCO1 ZINC001079449306 755832084 /nfs/dbraw/zinc/83/20/84/755832084.db2.gz KAVPLKRLVHRVPT-OUAUKWLOSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@H]1CC1(F)F ZINC001079667031 755966628 /nfs/dbraw/zinc/96/66/28/755966628.db2.gz KNWOFRLRXLZUIK-BWZBUEFSSA-N 0 2 301.297 0.102 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CC1(F)F ZINC001079667031 755966631 /nfs/dbraw/zinc/96/66/31/755966631.db2.gz KNWOFRLRXLZUIK-BWZBUEFSSA-N 0 2 301.297 0.102 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CC1(F)F ZINC001079667031 755966632 /nfs/dbraw/zinc/96/66/32/755966632.db2.gz KNWOFRLRXLZUIK-BWZBUEFSSA-N 0 2 301.297 0.102 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cc(F)c[nH]1 ZINC001080152342 756115346 /nfs/dbraw/zinc/11/53/46/756115346.db2.gz WHUXQNYQPPQRTG-GMSGAONNSA-N 0 2 308.317 0.228 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cc(F)c[nH]1 ZINC001080152342 756115351 /nfs/dbraw/zinc/11/53/51/756115351.db2.gz WHUXQNYQPPQRTG-GMSGAONNSA-N 0 2 308.317 0.228 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]2C)[nH]1 ZINC001054566824 756544267 /nfs/dbraw/zinc/54/42/67/756544267.db2.gz BWXDIVUNGMMFPK-OIBJUYFYSA-N 0 2 319.369 0.101 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C[C@H]1C=CCC1 ZINC001081340146 756583294 /nfs/dbraw/zinc/58/32/94/756583294.db2.gz YQIYCQMJOXCEGJ-QJPTWQEYSA-N 0 2 321.381 0.182 20 0 DCADLN Cc1cocc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084583908 757918387 /nfs/dbraw/zinc/91/83/87/757918387.db2.gz ATLFNPHQIJOUOZ-ZYHUDNBSSA-N 0 2 317.349 0.758 20 0 DCADLN CC(C)[C@@H](F)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084590547 757920325 /nfs/dbraw/zinc/92/03/25/757920325.db2.gz MCCAABBNBAZDJI-CKYFFXLPSA-N 0 2 311.361 0.537 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC12CCC2 ZINC001051143102 758464029 /nfs/dbraw/zinc/46/40/29/758464029.db2.gz WPCRGKXXXZHPCR-WDEREUQCSA-N 0 2 321.381 0.018 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001051208081 758512862 /nfs/dbraw/zinc/51/28/62/758512862.db2.gz XMRFLSHZXRSCOA-VIFPVBQESA-N 0 2 306.322 0.379 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001051208081 758512865 /nfs/dbraw/zinc/51/28/65/758512865.db2.gz XMRFLSHZXRSCOA-VIFPVBQESA-N 0 2 306.322 0.379 20 0 DCADLN CCc1oncc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085851501 759380217 /nfs/dbraw/zinc/38/02/17/759380217.db2.gz YAMQEVOZJKSBDL-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058316378 759881975 /nfs/dbraw/zinc/88/19/75/759881975.db2.gz LZJBRLCZXPDRLE-IWSPIJDZSA-N 0 2 314.279 0.480 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001058316378 759881986 /nfs/dbraw/zinc/88/19/86/759881986.db2.gz LZJBRLCZXPDRLE-IWSPIJDZSA-N 0 2 314.279 0.480 20 0 DCADLN O=C(NC[C@H]1C[C@H](NCc2nc(C3CC3)no2)C1)c1nnc[nH]1 ZINC001086508430 760274177 /nfs/dbraw/zinc/27/41/77/760274177.db2.gz SJYQWLWSAVJYRB-CZMCAQCFSA-N 0 2 317.353 0.363 20 0 DCADLN NC(=O)c1cc(Cl)cnc1NCCNC(=O)C(F)(F)F ZINC001156200739 760437326 /nfs/dbraw/zinc/43/73/26/760437326.db2.gz YRKNLQVMNSNERM-UHFFFAOYSA-N 0 2 310.663 0.924 20 0 DCADLN Cc1[nH+]ccn1CCCNC(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC001123486760 767986011 /nfs/dbraw/zinc/98/60/11/767986011.db2.gz IBWCPVRQTJWBIN-UKRRQHHQSA-N 0 2 322.457 0.515 20 0 DCADLN CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](Cn1cccn1)[NH+](C)C)C2 ZINC001109400548 761397603 /nfs/dbraw/zinc/39/76/03/761397603.db2.gz KICKHORPIXSXFS-CBBWQLFWSA-N 0 2 305.426 0.555 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109913893 761605867 /nfs/dbraw/zinc/60/58/67/761605867.db2.gz YEJHMUJIZBWINA-USZNOCQGSA-N 0 2 323.397 0.404 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109913893 761605870 /nfs/dbraw/zinc/60/58/70/761605870.db2.gz YEJHMUJIZBWINA-USZNOCQGSA-N 0 2 323.397 0.404 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071310675 761772393 /nfs/dbraw/zinc/77/23/93/761772393.db2.gz RXDGCNMCGZUGKQ-QWRGUYRKSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cncs2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071376523 761807675 /nfs/dbraw/zinc/80/76/75/761807675.db2.gz VLCJKMASGRRTRX-RKDXNWHRSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccn(C)c2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071428281 761842767 /nfs/dbraw/zinc/84/27/67/761842767.db2.gz YKWLORUTWMDSOX-PWSUYJOCSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccn(C)c2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071428281 761842773 /nfs/dbraw/zinc/84/27/73/761842773.db2.gz YKWLORUTWMDSOX-PWSUYJOCSA-N 0 2 318.381 0.632 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@H+](Cc1n[nH]c(=O)[n-]1)C2)c1ccon1 ZINC001098745296 762722691 /nfs/dbraw/zinc/72/26/91/762722691.db2.gz PZDMLKFYZVYPAO-XPTSAGLGSA-N 0 2 318.337 0.283 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@@H+](Cc1n[nH]c(=O)[n-]1)C2)c1ccon1 ZINC001098745296 762722698 /nfs/dbraw/zinc/72/26/98/762722698.db2.gz PZDMLKFYZVYPAO-XPTSAGLGSA-N 0 2 318.337 0.283 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NOCC(F)(F)F ZINC001116299500 765857818 /nfs/dbraw/zinc/85/78/18/765857818.db2.gz BEUJPRZRCYXKRN-UHFFFAOYSA-N 0 2 304.228 0.484 20 0 DCADLN CCc1noc(C[NH2+][C@@H]2CC[C@H](CNC(=O)c3nnc[nH]3)C2)n1 ZINC001086832275 766271798 /nfs/dbraw/zinc/27/17/98/766271798.db2.gz KHHURUNPSUDLOK-VHSXEESVSA-N 0 2 319.369 0.438 20 0 DCADLN CCc1noc(C[NH2+][C@@H]2CC[C@H](CNC(=O)c3ncn[nH]3)C2)n1 ZINC001086832275 766271802 /nfs/dbraw/zinc/27/18/02/766271802.db2.gz KHHURUNPSUDLOK-VHSXEESVSA-N 0 2 319.369 0.438 20 0 DCADLN COc1ccnc(N[C@@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001120711987 767156479 /nfs/dbraw/zinc/15/64/79/767156479.db2.gz GYLQINDPAVMTHT-JTQLQIEISA-N 0 2 304.354 0.710 20 0 DCADLN COC1(OC)CC(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC001120761248 767187897 /nfs/dbraw/zinc/18/78/97/767187897.db2.gz YFLFWAYAKIPFIA-UHFFFAOYSA-N 0 2 319.365 0.939 20 0 DCADLN CC1(C)OCC(C)(C(=O)NCCc2n[nH]c(=S)o2)CO1 ZINC001138519653 768422090 /nfs/dbraw/zinc/42/20/90/768422090.db2.gz PILCVKRUOBFRCA-UHFFFAOYSA-N 0 2 301.368 0.806 20 0 DCADLN O=C(CN1Cc2ccccc2C1=O)NCCc1n[nH]c(=S)o1 ZINC001138519727 768422482 /nfs/dbraw/zinc/42/24/82/768422482.db2.gz QRFAMCOQRNVHDX-UHFFFAOYSA-N 0 2 318.358 0.673 20 0 DCADLN Cc1[nH]c(C[N@@H+]2CC[C@H](S(C)(=O)=O)C2)c(C)c1C(=O)[O-] ZINC001140496107 768533907 /nfs/dbraw/zinc/53/39/07/768533907.db2.gz RISJBIGGPJKNMD-JTQLQIEISA-N 0 2 300.380 0.949 20 0 DCADLN Cc1[nH]c(C[N@H+]2CC[C@H](S(C)(=O)=O)C2)c(C)c1C(=O)[O-] ZINC001140496107 768533910 /nfs/dbraw/zinc/53/39/10/768533910.db2.gz RISJBIGGPJKNMD-JTQLQIEISA-N 0 2 300.380 0.949 20 0 DCADLN Cc1[nH]c(C[NH+]2CCN(c3ncncn3)CC2)c(C)c1C(=O)[O-] ZINC001140498131 768533923 /nfs/dbraw/zinc/53/39/23/768533923.db2.gz GDGLMUOURGYOSJ-UHFFFAOYSA-N 0 2 316.365 0.837 20 0 DCADLN COc1ccc(C[NH+]2CC(N3CCNC(=O)C3)C2)cc1C(=O)[O-] ZINC001231754109 769282093 /nfs/dbraw/zinc/28/20/93/769282093.db2.gz RYPWFWNUECSYSK-UHFFFAOYSA-N 0 2 319.361 0.009 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@@H]2CC[N@H+]2CCC[C@@H](C)O)c1[O-] ZINC001233634304 769414707 /nfs/dbraw/zinc/41/47/07/769414707.db2.gz KHITUFLILJITAP-PWSUYJOCSA-N 0 2 310.398 0.731 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@@H]2CC[N@@H+]2CCC[C@@H](C)O)c1[O-] ZINC001233634304 769414716 /nfs/dbraw/zinc/41/47/16/769414716.db2.gz KHITUFLILJITAP-PWSUYJOCSA-N 0 2 310.398 0.731 20 0 DCADLN Cc1ccc(CC(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001233741275 769437699 /nfs/dbraw/zinc/43/76/99/769437699.db2.gz ILEBFYPATDMMKB-LLVKDONJSA-N 0 2 319.365 0.687 20 0 DCADLN CS[C@H](C)CC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233771001 769447179 /nfs/dbraw/zinc/44/71/79/769447179.db2.gz NVZHSOKDDUTMDX-NXEZZACHSA-N 0 2 313.427 0.685 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nnnn1C)C(=O)C(F)C(F)(F)F ZINC001234423207 769652874 /nfs/dbraw/zinc/65/28/74/769652874.db2.gz DNFNMCNTLINJGM-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nnnn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001234423207 769652880 /nfs/dbraw/zinc/65/28/80/769652880.db2.gz DNFNMCNTLINJGM-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN CC[C@@H](C)NC(=O)[C@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153355638 769797078 /nfs/dbraw/zinc/79/70/78/769797078.db2.gz ARBZARHDFFIIRN-KGLIPLIRSA-N 0 2 323.441 0.672 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2c[nH]c3cccnc3c2=O)C[C@@H]1C ZINC001153856718 769965988 /nfs/dbraw/zinc/96/59/88/769965988.db2.gz AXSGIJZGZAYMSZ-ONGXEEELSA-N 0 2 315.329 0.804 20 0 DCADLN C[NH+]1CCN(c2cccc(C[N@@H+]3CCO[C@@H](C(N)=O)C3)c2)CC1 ZINC001236891547 770020833 /nfs/dbraw/zinc/02/08/33/770020833.db2.gz WYCGMFMQUHZKMF-MRXNPFEDSA-N 0 2 318.421 0.125 20 0 DCADLN NC(=O)c1nc(Cl)nc(Cl)c1N[C@H]1SC(=O)NC1=O ZINC001155840815 770635752 /nfs/dbraw/zinc/63/57/52/770635752.db2.gz ACNOWLIRJDIGLI-LURJTMIESA-N 0 2 322.133 0.603 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H]1CCCN(c2ccncn2)C1 ZINC001178133309 770659683 /nfs/dbraw/zinc/65/96/83/770659683.db2.gz LIEFOXHXDQLRHP-QPUJVOFHSA-N 0 2 321.362 0.118 20 0 DCADLN O=C(Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12)[C@H]1CCO1 ZINC001181588407 771311696 /nfs/dbraw/zinc/31/16/96/771311696.db2.gz ONQUAOFLMLAMQL-SNVBAGLBSA-N 0 2 313.273 0.701 20 0 DCADLN CCOC(=O)c1cc(NC(=O)C(CO)C(F)(F)F)n(C)n1 ZINC001183277929 771626249 /nfs/dbraw/zinc/62/62/49/771626249.db2.gz BXJWDIMLKNIUFA-ZCFIWIBFSA-N 0 2 309.244 0.706 20 0 DCADLN CCOC(=O)c1cc(NC(=O)[C@@H](CO)C(F)(F)F)n(C)n1 ZINC001183277929 771626252 /nfs/dbraw/zinc/62/62/52/771626252.db2.gz BXJWDIMLKNIUFA-ZCFIWIBFSA-N 0 2 309.244 0.706 20 0 DCADLN O=C(Nc1n[nH]c(-c2ccncc2)n1)C(CO)C(F)(F)F ZINC001183280283 771626936 /nfs/dbraw/zinc/62/69/36/771626936.db2.gz RCUVLTKBRMOQHQ-SSDOTTSWSA-N 0 2 301.228 0.976 20 0 DCADLN O=C(Nc1ccccc1-c1nn[nH]n1)C(CO)C(F)(F)F ZINC001183284550 771636746 /nfs/dbraw/zinc/63/67/46/771636746.db2.gz MRTOHUQFGKTSCR-ZETCQYMHSA-N 0 2 301.228 0.976 20 0 DCADLN O=C(Nc1ccccc1-c1nn[nH]n1)[C@H](CO)C(F)(F)F ZINC001183284550 771636749 /nfs/dbraw/zinc/63/67/49/771636749.db2.gz MRTOHUQFGKTSCR-ZETCQYMHSA-N 0 2 301.228 0.976 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1cccnc1Cl ZINC001184841646 771835013 /nfs/dbraw/zinc/83/50/13/771835013.db2.gz HROGZUICTUAREG-UHFFFAOYSA-N 0 2 302.699 0.737 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2c(C)cnn2CCO)n1 ZINC001185166271 771871713 /nfs/dbraw/zinc/87/17/13/771871713.db2.gz GHYPVWGFJJDECR-UHFFFAOYSA-N 0 2 309.351 0.654 20 0 DCADLN O=S(=O)(Nc1ccnnc1)c1ccc(-c2nn[nH]n2)cc1 ZINC001185566455 771929016 /nfs/dbraw/zinc/92/90/16/771929016.db2.gz LUFXFWCWPXDHRI-UHFFFAOYSA-N 0 2 303.307 0.458 20 0 DCADLN CCCCOC(=O)N[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)C1CC1 ZINC001186301553 772029485 /nfs/dbraw/zinc/02/94/85/772029485.db2.gz PXBJXLOVYFUKFT-JTQLQIEISA-N 0 2 311.342 0.431 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1ccc(O)cc1F ZINC001186339614 772036244 /nfs/dbraw/zinc/03/62/44/772036244.db2.gz LLAFWCLGQLQCBO-UHFFFAOYSA-N 0 2 305.225 0.813 20 0 DCADLN CC(C)OCCC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001186949989 772113629 /nfs/dbraw/zinc/11/36/29/772113629.db2.gz RKJGSMNHMGAPTO-NWDGAFQWSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)OCCC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001186949989 772113633 /nfs/dbraw/zinc/11/36/33/772113633.db2.gz RKJGSMNHMGAPTO-NWDGAFQWSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1cccnc1O[C@@H]1CCN(C(=O)[C@@H]([NH3+])Cc2c[nH+]c[nH]2)C1 ZINC001161395332 772217304 /nfs/dbraw/zinc/21/73/04/772217304.db2.gz UINZHYGNBMHLMC-KGLIPLIRSA-N 0 2 315.377 0.663 20 0 DCADLN O=C(Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12)c1ncc[nH]1 ZINC001187736188 772219307 /nfs/dbraw/zinc/21/93/07/772219307.db2.gz NZUZMGUWSQHCFG-UHFFFAOYSA-N 0 2 323.272 0.949 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc(-c2ccccn2)n[nH]1 ZINC001189473681 772478311 /nfs/dbraw/zinc/47/83/11/772478311.db2.gz GTECJXIABLSVHW-NSHDSACASA-N 0 2 303.303 0.511 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)Cc2ccc(F)cc2F)S1 ZINC001189834583 772540722 /nfs/dbraw/zinc/54/07/22/772540722.db2.gz JSGNPSNXVVGDSJ-VIFPVBQESA-N 0 2 322.314 0.693 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1ccc(F)cc1F)=C(C)O ZINC001189845523 772552742 /nfs/dbraw/zinc/55/27/42/772552742.db2.gz MZXYXTBWLGIHOX-NSHDSACASA-N 0 2 321.301 0.515 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnc(C(F)(F)F)nc1 ZINC001190384682 772622646 /nfs/dbraw/zinc/62/26/46/772622646.db2.gz VENMVNVDIWCUDH-ZCFIWIBFSA-N 0 2 306.225 0.534 20 0 DCADLN O=C(/C=C\C1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110426691 772625772 /nfs/dbraw/zinc/62/57/72/772625772.db2.gz DXVHBFBRBKCZPC-PXZPMMBZSA-N 0 2 303.366 0.698 20 0 DCADLN O=C(/C=C\C1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110426691 772625775 /nfs/dbraw/zinc/62/57/75/772625775.db2.gz DXVHBFBRBKCZPC-PXZPMMBZSA-N 0 2 303.366 0.698 20 0 DCADLN COCCCNC(=O)c1cc(S(N)(=O)=O)c(Cl)cc1O ZINC001191007069 772708014 /nfs/dbraw/zinc/70/80/14/772708014.db2.gz FPPRNSZFZYYGQP-UHFFFAOYSA-N 0 2 322.770 0.459 20 0 DCADLN CO[C@@H](C)CNC(=O)c1cc(S(N)(=O)=O)c(Cl)cc1O ZINC001191046659 772718278 /nfs/dbraw/zinc/71/82/78/772718278.db2.gz WJNSBUXOOLCTCM-LURJTMIESA-N 0 2 322.770 0.458 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc(Br)no1 ZINC001191338113 772750172 /nfs/dbraw/zinc/75/01/72/772750172.db2.gz UZACJVDDONIROD-ZCFIWIBFSA-N 0 2 306.097 0.476 20 0 DCADLN O=C(c1c(F)ccc(F)c1O)N1CCN(c2ncncn2)CC1 ZINC001192705967 772952025 /nfs/dbraw/zinc/95/20/25/772952025.db2.gz HGIBRNAHIWMWTD-UHFFFAOYSA-N 0 2 321.287 0.818 20 0 DCADLN O=C(NCCN1CCCS1(=O)=O)c1ccc(F)c(F)c1O ZINC001192818496 772964099 /nfs/dbraw/zinc/96/40/99/772964099.db2.gz PUUKUZUOMOOJIT-UHFFFAOYSA-N 0 2 320.317 0.436 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccccn2)C[C@H]1O)C(F)C(F)(F)F ZINC001193130797 773010879 /nfs/dbraw/zinc/01/08/79/773010879.db2.gz OEOZKWYIANQVJD-MXWKQRLJSA-N 0 2 321.274 0.643 20 0 DCADLN Nc1nc2nc(CN3CCC[C@H]3c3cc[nH]n3)cc(=O)n2[nH]1 ZINC001193859951 773121009 /nfs/dbraw/zinc/12/10/09/773121009.db2.gz ONACIQCVJWMDBJ-JTQLQIEISA-N 0 2 300.326 0.060 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccc(-n2cncn2)c1 ZINC001193898021 773126370 /nfs/dbraw/zinc/12/63/70/773126370.db2.gz IRVGIXATVILRIF-NSHDSACASA-N 0 2 303.303 0.306 20 0 DCADLN C[C@@H]1CN(Cc2nnn(C)n2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207021801 773163372 /nfs/dbraw/zinc/16/33/72/773163372.db2.gz BBNIOFJRSKFPLS-ZXFLCMHBSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@@H]1CN(Cc2nnn(C)n2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001207021801 773163378 /nfs/dbraw/zinc/16/33/78/773163378.db2.gz BBNIOFJRSKFPLS-ZXFLCMHBSA-N 0 2 324.282 0.047 20 0 DCADLN CCOC(=O)c1cc(NC(=O)c2cc(C(=O)OC)n[nH]2)c[nH]1 ZINC001194284118 773175891 /nfs/dbraw/zinc/17/58/91/773175891.db2.gz RKQCUSNQGWOSHH-UHFFFAOYSA-N 0 2 306.278 0.953 20 0 DCADLN CCOC(=O)c1cc(NC(=O)c2cc(C(=O)OC)[nH]n2)c[nH]1 ZINC001194284118 773175894 /nfs/dbraw/zinc/17/58/94/773175894.db2.gz RKQCUSNQGWOSHH-UHFFFAOYSA-N 0 2 306.278 0.953 20 0 DCADLN Cc1cccc(C)c1S(=O)(=O)Nc1cnn(C)c1C(N)=O ZINC001194605252 773213975 /nfs/dbraw/zinc/21/39/75/773213975.db2.gz OHFPXJXOVVTWRE-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN COc1ncnc(OC)c1NS(=O)(=O)C1CCC(=O)CC1 ZINC001194760298 773258988 /nfs/dbraw/zinc/25/89/88/773258988.db2.gz WGEZEZLFZYCHEC-UHFFFAOYSA-N 0 2 315.351 0.747 20 0 DCADLN CCO[C@@H](CC)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195116508 773329560 /nfs/dbraw/zinc/32/95/60/773329560.db2.gz SOKZOBNWIRWLKX-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN COC1(CC(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CCC1 ZINC001195691242 773457912 /nfs/dbraw/zinc/45/79/12/773457912.db2.gz GLSGCYROGMXHPN-UHFFFAOYSA-N 0 2 323.397 0.504 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2nnc(C3CC3)n2c1 ZINC001195942620 773500580 /nfs/dbraw/zinc/50/05/80/773500580.db2.gz ZRJXGDLKIGPWFT-UHFFFAOYSA-N 0 2 324.362 0.912 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc(-c2ccncc2)on1 ZINC001196223760 773560558 /nfs/dbraw/zinc/56/05/58/773560558.db2.gz JCKCHJUCEQVMMU-NSHDSACASA-N 0 2 304.287 0.776 20 0 DCADLN CCN(C(=O)c1sccc1[N-]S(C)(=O)=O)C1C[NH+](C)C1 ZINC001196730759 773641300 /nfs/dbraw/zinc/64/13/00/773641300.db2.gz CXUVRZSAIRMKFX-UHFFFAOYSA-N 0 2 317.436 0.896 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ncn(Cc2ccccc2)n1 ZINC001197190370 773706316 /nfs/dbraw/zinc/70/63/16/773706316.db2.gz WEMPEIKAURGHEK-LBPRGKRZSA-N 0 2 317.330 0.365 20 0 DCADLN COc1cccc(CS(=O)(=O)Nc2c(O)nc[nH]c2=O)c1 ZINC001197354954 773732538 /nfs/dbraw/zinc/73/25/38/773732538.db2.gz KZVWRUQFFWXJJN-UHFFFAOYSA-N 0 2 311.319 0.838 20 0 DCADLN COC(=O)c1cncc(NS(=O)(=O)c2cncc(N)c2)c1 ZINC001211455204 773808034 /nfs/dbraw/zinc/80/80/34/773808034.db2.gz CJNHHWQHRPSGDC-UHFFFAOYSA-N 0 2 308.319 0.646 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc(OC(F)F)cn1 ZINC001197931751 773824295 /nfs/dbraw/zinc/82/42/95/773824295.db2.gz HZHSTARVXVNCHF-MRVPVSSYSA-N 0 2 303.246 0.722 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cnc(OC3COC3)nc2)cn1 ZINC001198276185 773889963 /nfs/dbraw/zinc/88/99/63/773889963.db2.gz FRJBWNKFDBBHSK-UHFFFAOYSA-N 0 2 322.346 0.758 20 0 DCADLN COc1ncc(Cl)cc1-c1noc(-c2c[nH]c(=O)c(=O)[nH]2)n1 ZINC001213927926 773902843 /nfs/dbraw/zinc/90/28/43/773902843.db2.gz JEOIRRWGOGUYFP-UHFFFAOYSA-N 0 2 321.680 0.837 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cncn1C1CCOCC1 ZINC001199078667 774026240 /nfs/dbraw/zinc/02/62/40/774026240.db2.gz PNNCQJCXJLVXQV-NSHDSACASA-N 0 2 310.335 0.274 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OC[C@@H]1CCCCO1 ZINC001205916764 774500690 /nfs/dbraw/zinc/50/06/90/774500690.db2.gz KDHQFMVPVKBQHU-STQMWFEESA-N 0 2 323.411 0.161 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1c[nH]c2c1ncnc2Cl ZINC001152946637 774612700 /nfs/dbraw/zinc/61/27/00/774612700.db2.gz NEPCMNKJTHHDDT-SECBINFHSA-N 0 2 311.710 0.650 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cc[nH]c1 ZINC001095453783 775132368 /nfs/dbraw/zinc/13/23/68/775132368.db2.gz BWYPIODYWNXSML-VWYCJHECSA-N 0 2 302.338 0.374 20 0 DCADLN Cc1cocc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095879120 775886247 /nfs/dbraw/zinc/88/62/47/775886247.db2.gz AXEBDBAYPMQLJE-USWWRNFRSA-N 0 2 317.349 0.947 20 0 DCADLN COC1=CC[C@@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c32)CC1 ZINC001226885221 775890937 /nfs/dbraw/zinc/89/09/37/775890937.db2.gz DVJPSILKCALURF-SSDOTTSWSA-N 0 2 306.278 0.998 20 0 DCADLN COC(=O)C1CC(Oc2[nH]c(=O)nnc2Br)C1 ZINC001227060687 775919539 /nfs/dbraw/zinc/91/95/39/775919539.db2.gz VPUOUHWONCWKJT-UHFFFAOYSA-N 0 2 304.100 0.670 20 0 DCADLN CCOC(=O)C[C@H](Oc1[nH]c(=O)nc2nc[nH]c21)C(=O)OCC ZINC001227070292 775923151 /nfs/dbraw/zinc/92/31/51/775923151.db2.gz NCXLCQZMNJWGNT-ZETCQYMHSA-N 0 2 324.293 0.322 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)Oc1cccc(O)c1 ZINC001227552737 775984710 /nfs/dbraw/zinc/98/47/10/775984710.db2.gz QDKSXALOLKEFBW-CYBMUJFWSA-N 0 2 317.363 0.360 20 0 DCADLN Cc1coc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)c1 ZINC001095892910 776146044 /nfs/dbraw/zinc/14/60/44/776146044.db2.gz XQJQKNLRJZXOQS-VWYCJHECSA-N 0 2 317.349 0.947 20 0 DCADLN COC[C@@H](C)CC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001229849034 776230223 /nfs/dbraw/zinc/23/02/23/776230223.db2.gz FSMZIMMIKQWXDR-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CCc1cc(C(=O)N2CC[C@@]3(CC[N@H+](CC(=O)NC)C3)C2)n[nH]1 ZINC001041084430 777048904 /nfs/dbraw/zinc/04/89/04/777048904.db2.gz PIJCZMPLOQLESY-MRXNPFEDSA-N 0 2 319.409 0.256 20 0 DCADLN CN(Cc1c[nH+]cn1C)S(=O)(=O)[C@@H]1CCC[C@@H]1C(=O)[O-] ZINC001465105324 804067670 /nfs/dbraw/zinc/06/76/70/804067670.db2.gz AGKHMGDCMKZJDK-WDEREUQCSA-N 0 2 301.368 0.435 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CC[N@@H+](CN3CCSC3=S)C2)C1 ZINC001601746685 1168845631 /nfs/dbraw/zinc/84/56/31/1168845631.db2.gz MKNKNRJLRTWCSW-NSHDSACASA-N 0 2 301.393 0.583 20 0 DCADLN O=C([O-])c1csc(C[N@@H+]2CCC[C@H](N3CCNC3=O)C2)n1 ZINC001602560412 1169118064 /nfs/dbraw/zinc/11/80/64/1169118064.db2.gz HKBPUUQJTPEAAK-VIFPVBQESA-N 0 2 310.379 0.831 20 0 DCADLN O=C([O-])c1csc(C[N@H+]2CCC[C@H](N3CCNC3=O)C2)n1 ZINC001602560412 1169118067 /nfs/dbraw/zinc/11/80/67/1169118067.db2.gz HKBPUUQJTPEAAK-VIFPVBQESA-N 0 2 310.379 0.831 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc2n[nH]cc2c1)Cc1n[nH]c(=O)[n-]1 ZINC001266312904 939066578 /nfs/dbraw/zinc/06/65/78/939066578.db2.gz BNLBRKHWLXRGDZ-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc2n[nH]cc2c1)Cc1n[nH]c(=O)[n-]1 ZINC001266312904 939066583 /nfs/dbraw/zinc/06/65/83/939066583.db2.gz BNLBRKHWLXRGDZ-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN Cc1cn(C)nc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001408590294 939395462 /nfs/dbraw/zinc/39/54/62/939395462.db2.gz PQJIXLXWSMRJFW-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cn(C)nc1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001408590294 939395467 /nfs/dbraw/zinc/39/54/67/939395467.db2.gz PQJIXLXWSMRJFW-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN C[C@@H](C(=O)NC1CC1)[N@@H+]1CCC[C@H]1CNC(=O)C[NH+]1CCCC1 ZINC001481071032 939548548 /nfs/dbraw/zinc/54/85/48/939548548.db2.gz LADDBVNUXIWKOW-ZFWWWQNUSA-N 0 2 322.453 0.330 20 0 DCADLN CN(CC[NH2+]Cc1nnc(C2CC2)o1)C(=O)C[N@H+](C)C1CCC1 ZINC001481240788 939661833 /nfs/dbraw/zinc/66/18/33/939661833.db2.gz XTYBIRAOBSQNGE-UHFFFAOYSA-N 0 2 321.425 0.979 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)c3ccns3)C2)[nH]1 ZINC001414276832 940953221 /nfs/dbraw/zinc/95/32/21/940953221.db2.gz LXYBDXBNARHSIC-ZETCQYMHSA-N 0 2 315.380 0.535 20 0 DCADLN COCC[C@@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409061893 941301821 /nfs/dbraw/zinc/30/18/21/941301821.db2.gz XUYRJQUBRDBPBD-APPZFPTMSA-N 0 2 314.279 0.886 20 0 DCADLN COCC[C@@H](C)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409061893 941301822 /nfs/dbraw/zinc/30/18/22/941301822.db2.gz XUYRJQUBRDBPBD-APPZFPTMSA-N 0 2 314.279 0.886 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1CCC(=O)Nc1ccccc1F ZINC000322038960 970840372 /nfs/dbraw/zinc/84/03/72/970840372.db2.gz VBOOFDWKAOQMID-GFCCVEGCSA-N 0 2 323.324 0.429 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1CCC(=O)Nc1ccccc1F ZINC000322038960 970840377 /nfs/dbraw/zinc/84/03/77/970840377.db2.gz VBOOFDWKAOQMID-GFCCVEGCSA-N 0 2 323.324 0.429 20 0 DCADLN CCc1ncncc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409691266 942148702 /nfs/dbraw/zinc/14/87/02/942148702.db2.gz FIIPYWHPSKFCBJ-SECBINFHSA-N 0 2 319.369 0.113 20 0 DCADLN CCc1ncncc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409691266 942148703 /nfs/dbraw/zinc/14/87/03/942148703.db2.gz FIIPYWHPSKFCBJ-SECBINFHSA-N 0 2 319.369 0.113 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001483323447 942264719 /nfs/dbraw/zinc/26/47/19/942264719.db2.gz JIMGKQCQYLVJRB-DCQANWLSSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001483323447 942264721 /nfs/dbraw/zinc/26/47/21/942264721.db2.gz JIMGKQCQYLVJRB-DCQANWLSSA-N 0 2 307.398 0.883 20 0 DCADLN CCc1nnc(C[NH2+]C[C@@H](NC(=O)Cc2c[nH]cn2)C2CC2)o1 ZINC001483590059 942446667 /nfs/dbraw/zinc/44/66/67/942446667.db2.gz LDFYSVVHSNXBAK-GFCCVEGCSA-N 0 2 318.381 0.582 20 0 DCADLN COCC(=O)N(C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001414605141 942559242 /nfs/dbraw/zinc/55/92/42/942559242.db2.gz DFEHOYQUXITCJW-VXNVDRBHSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N(C)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001414605141 942559244 /nfs/dbraw/zinc/55/92/44/942559244.db2.gz DFEHOYQUXITCJW-VXNVDRBHSA-N 0 2 300.252 0.593 20 0 DCADLN C[C@@H](NC(=O)COC1CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409840337 942581571 /nfs/dbraw/zinc/58/15/71/942581571.db2.gz JEYHAPNRIYRHMS-SNVBAGLBSA-N 0 2 323.397 0.406 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000322780747 970928308 /nfs/dbraw/zinc/92/83/08/970928308.db2.gz MQMSZHPVQYOPCG-CYBMUJFWSA-N 0 2 316.317 0.794 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000322780747 970928317 /nfs/dbraw/zinc/92/83/17/970928317.db2.gz MQMSZHPVQYOPCG-CYBMUJFWSA-N 0 2 316.317 0.794 20 0 DCADLN CCn1ncc(C[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)n1 ZINC001483727411 942760956 /nfs/dbraw/zinc/76/09/56/942760956.db2.gz PSVGQISSGRZDNS-UHFFFAOYSA-N 0 2 319.369 0.087 20 0 DCADLN COc1ccc(Cl)cc1S(=O)(=O)Nc1nnn(C)n1 ZINC001413429424 942973414 /nfs/dbraw/zinc/97/34/14/942973414.db2.gz PECVVNJVAZJWEF-UHFFFAOYSA-N 0 2 303.731 0.673 20 0 DCADLN CC(=O)N1CCC[C@](CO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001055976612 943156401 /nfs/dbraw/zinc/15/64/01/943156401.db2.gz JLTLGNSHWQMEKP-WPRPVWTQSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N1CCC[C@](CO)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001055976612 943156407 /nfs/dbraw/zinc/15/64/07/943156407.db2.gz JLTLGNSHWQMEKP-WPRPVWTQSA-N 0 2 300.252 0.376 20 0 DCADLN CCCNC(=O)CCC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001413648930 943549295 /nfs/dbraw/zinc/54/92/95/943549295.db2.gz FTDGTXHRSQQUBW-UHFFFAOYSA-N 0 2 324.381 0.504 20 0 DCADLN COC(=O)[C@H](Cn1cncn1)NC(=O)c1cccc(Cl)c1O ZINC001413715077 943602745 /nfs/dbraw/zinc/60/27/45/943602745.db2.gz WENQTIHNOCIKEC-JTQLQIEISA-N 0 2 324.724 0.609 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)[C@H](C)[NH2+]Cc2cnsn2)c1[O-] ZINC001485140698 943726129 /nfs/dbraw/zinc/72/61/29/943726129.db2.gz UHZGPWMAACMSDU-BQBZGAKWSA-N 0 2 310.383 0.572 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491159556 943795584 /nfs/dbraw/zinc/79/55/84/943795584.db2.gz AITCAUGCGQAAJA-APPZFPTMSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491159556 943795589 /nfs/dbraw/zinc/79/55/89/943795589.db2.gz AITCAUGCGQAAJA-APPZFPTMSA-N 0 2 314.279 0.934 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@H](n2ccnc2)C1 ZINC001611488371 971075634 /nfs/dbraw/zinc/07/56/34/971075634.db2.gz GKHRZRKWAHZNEA-NSHDSACASA-N 0 2 301.368 0.019 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@H](n2ccnc2)C1 ZINC001611488371 971075639 /nfs/dbraw/zinc/07/56/39/971075639.db2.gz GKHRZRKWAHZNEA-NSHDSACASA-N 0 2 301.368 0.019 20 0 DCADLN O=C([O-])CS(=O)(=O)CCN1CCC[C@H](n2cc[nH+]c2)C1 ZINC001611488371 971075643 /nfs/dbraw/zinc/07/56/43/971075643.db2.gz GKHRZRKWAHZNEA-NSHDSACASA-N 0 2 301.368 0.019 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001316941807 944665681 /nfs/dbraw/zinc/66/56/81/944665681.db2.gz UFGLDSFQQOOTFG-FOQNGQEVSA-N 0 2 316.365 0.632 20 0 DCADLN O=C([O-])COCCNC(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC001602079047 971146289 /nfs/dbraw/zinc/14/62/89/971146289.db2.gz YUWPUBXTDGGNDX-UHFFFAOYSA-N 0 2 322.365 0.769 20 0 DCADLN COCCC(=O)N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001487392316 945698494 /nfs/dbraw/zinc/69/84/94/945698494.db2.gz MAFPUBOEIZLOGX-NRPADANISA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)N[C@H]1C[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001487392316 945698500 /nfs/dbraw/zinc/69/85/00/945698500.db2.gz MAFPUBOEIZLOGX-NRPADANISA-N 0 2 314.279 0.934 20 0 DCADLN CCOC(=O)C1CCC(O)(C[NH2+][C@H]2SC(=O)[N-]C2=O)CC1 ZINC001251068072 945734467 /nfs/dbraw/zinc/73/44/67/945734467.db2.gz ANWONEHWCVFRMK-SLYADPJGSA-N 0 2 316.379 0.370 20 0 DCADLN Cn1nnc2cc(C(=O)NCCc3n[nH]c(=S)o3)cnc21 ZINC001320959997 946086444 /nfs/dbraw/zinc/08/64/44/946086444.db2.gz AWEKQRSCVDDISZ-UHFFFAOYSA-N 0 2 305.323 0.008 20 0 DCADLN O=S(=O)(CC(F)(F)F)NCC1CCS(=O)(=O)CC1 ZINC001253218229 946099592 /nfs/dbraw/zinc/09/95/92/946099592.db2.gz PHVUKXMKSRTLLK-UHFFFAOYSA-N 0 2 309.331 0.293 20 0 DCADLN CC(C)C(=O)N1CC[C@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC001253245898 946102404 /nfs/dbraw/zinc/10/24/04/946102404.db2.gz TUOPKAOFVWOQKT-QMMMGPOBSA-N 0 2 302.318 0.725 20 0 DCADLN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1O ZINC001253354991 946109833 /nfs/dbraw/zinc/10/98/33/946109833.db2.gz DPHBTBKJOIQEGA-UHFFFAOYSA-N 0 2 308.381 0.404 20 0 DCADLN CCCS(=O)(=O)Nc1cc(S(=O)(=O)C(N)=O)ccc1O ZINC001253716036 946172177 /nfs/dbraw/zinc/17/21/77/946172177.db2.gz RBLCFQHBMAUDBO-UHFFFAOYSA-N 0 2 322.364 0.810 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1CCOC1)NC(=O)C(F)C(F)(F)F ZINC001410383418 946283309 /nfs/dbraw/zinc/28/33/09/946283309.db2.gz HTZAZCNGBFLWDY-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1CCOC1)NC(=O)[C@H](F)C(F)(F)F ZINC001410383418 946283318 /nfs/dbraw/zinc/28/33/18/946283318.db2.gz HTZAZCNGBFLWDY-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)NC(=O)c2cnc[nH]c2=O)c1C ZINC001410397726 946306143 /nfs/dbraw/zinc/30/61/43/946306143.db2.gz FHJAFTULGKDKCO-ZETCQYMHSA-N 0 2 318.337 0.070 20 0 DCADLN Nc1c2c(=O)nccc-2[nH]n1C1CCN(c2ncccn2)CC1 ZINC001256054587 946582353 /nfs/dbraw/zinc/58/23/53/946582353.db2.gz YMFDKVQNPYXOSB-UHFFFAOYSA-N 0 2 311.349 0.890 20 0 DCADLN CO[C@H](C)C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001410678140 946761692 /nfs/dbraw/zinc/76/16/92/946761692.db2.gz DAWZZYGXWFHOMJ-RQJHMYQMSA-N 0 2 300.252 0.687 20 0 DCADLN CO[C@H](C)C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001410678140 946761698 /nfs/dbraw/zinc/76/16/98/946761698.db2.gz DAWZZYGXWFHOMJ-RQJHMYQMSA-N 0 2 300.252 0.687 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1c(F)cccc1F)=C(C)O ZINC001259071547 946910573 /nfs/dbraw/zinc/91/05/73/946910573.db2.gz CIZYRNMNWUENTB-VIFPVBQESA-N 0 2 307.274 0.374 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)CCc2ccc(F)cc2)S1 ZINC001259762896 946980394 /nfs/dbraw/zinc/98/03/94/946980394.db2.gz ZQGPNTSTFYNGHT-JTQLQIEISA-N 0 2 318.351 0.597 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)CNC(=O)N3C)cn1 ZINC001259827900 946992790 /nfs/dbraw/zinc/99/27/90/946992790.db2.gz WOVDEERBPFDHBE-UHFFFAOYSA-N 0 2 321.362 0.880 20 0 DCADLN O=C(NC1CCN(S(=O)(=O)C(F)F)CC1)C(F)(F)F ZINC001259954530 947037887 /nfs/dbraw/zinc/03/78/87/947037887.db2.gz HMPDFHSZBPDMKK-UHFFFAOYSA-N 0 2 310.244 0.682 20 0 DCADLN COCCC[C@H](C)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001323334523 947039421 /nfs/dbraw/zinc/03/94/21/947039421.db2.gz HXZOBBMFSXZMTI-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)Cc2ccccc2F)S1 ZINC001260629118 947129794 /nfs/dbraw/zinc/12/97/94/947129794.db2.gz PXGAAMQGBNCUAY-SECBINFHSA-N 0 2 304.324 0.554 20 0 DCADLN C/C(=C\C(=O)N1CCC2(C[C@@H]2C(=O)[O-])CC1)C[NH+]1CCOCC1 ZINC001262174372 947591953 /nfs/dbraw/zinc/59/19/53/947591953.db2.gz RFYAYFYFCIGUCQ-JWAFFJSPSA-N 0 2 322.405 0.978 20 0 DCADLN C[C@H](NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1ncn(C)n1 ZINC001475199741 947802296 /nfs/dbraw/zinc/80/22/96/947802296.db2.gz UJZBNUCKQPEZEQ-WPCRTTGESA-N 0 2 323.444 0.607 20 0 DCADLN CS(=O)(=O)N1CC(NC(=O)C(F)(F)C(F)(F)Cl)C1 ZINC001179779970 947904460 /nfs/dbraw/zinc/90/44/60/947904460.db2.gz NOGSWHWJOHFXFS-UHFFFAOYSA-N 0 2 312.672 0.213 20 0 DCADLN CCC(=O)N1CCN(CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001446905522 1013086251 /nfs/dbraw/zinc/08/62/51/1013086251.db2.gz AYOFNKWGRSOLQK-SNVBAGLBSA-N 0 2 313.295 0.557 20 0 DCADLN CCC(=O)N1CCN(CCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001446905522 1013086258 /nfs/dbraw/zinc/08/62/58/1013086258.db2.gz AYOFNKWGRSOLQK-SNVBAGLBSA-N 0 2 313.295 0.557 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001487972623 948393678 /nfs/dbraw/zinc/39/36/78/948393678.db2.gz XAJNXWOMHNUIPR-WEUVJMFPSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001487972623 948393683 /nfs/dbraw/zinc/39/36/83/948393683.db2.gz XAJNXWOMHNUIPR-WEUVJMFPSA-N 0 2 300.252 0.446 20 0 DCADLN CSCc1nc(CNC(=O)c2ccc(-c3nn[nH]n3)o2)n[nH]1 ZINC001570921934 948631032 /nfs/dbraw/zinc/63/10/32/948631032.db2.gz CREBDAHLLDOBDG-UHFFFAOYSA-N 0 2 320.338 0.371 20 0 DCADLN CSCc1n[nH]c(CNC(=O)c2ccc(-c3nn[nH]n3)o2)n1 ZINC001570921934 948631037 /nfs/dbraw/zinc/63/10/37/948631037.db2.gz CREBDAHLLDOBDG-UHFFFAOYSA-N 0 2 320.338 0.371 20 0 DCADLN CSCc1nnc(CNC(=O)c2ccc(-c3nn[nH]n3)o2)[nH]1 ZINC001570921934 948631041 /nfs/dbraw/zinc/63/10/41/948631041.db2.gz CREBDAHLLDOBDG-UHFFFAOYSA-N 0 2 320.338 0.371 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N=S1(=O)CCCC1 ZINC001570932313 948852315 /nfs/dbraw/zinc/85/23/15/948852315.db2.gz PRWJPZSOCKNOOO-UHFFFAOYSA-N 0 2 322.350 0.678 20 0 DCADLN CCn1ccc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001364678507 949746217 /nfs/dbraw/zinc/74/62/17/949746217.db2.gz NVBQSHPXDJEUGM-SNVBAGLBSA-N 0 2 319.369 0.816 20 0 DCADLN CS(=O)(=O)Cc1nc(CN[C@@H]2CCc3cccnc32)n[nH]1 ZINC001364732882 949839394 /nfs/dbraw/zinc/83/93/94/949839394.db2.gz ZAHUZGCFVMSWDN-SNVBAGLBSA-N 0 2 307.379 0.521 20 0 DCADLN O=C(NCc1n[nH]c([C@@H]2CCCO2)n1)C(=O)c1ccc(O)cc1 ZINC001329926229 949979730 /nfs/dbraw/zinc/97/97/30/949979730.db2.gz ZNTLBBNYLCEMHD-NSHDSACASA-N 0 2 316.317 0.861 20 0 DCADLN Cn1ncc(CNS(=O)(=O)c2onc(C3CC3)c2Cl)n1 ZINC001364846067 950059490 /nfs/dbraw/zinc/05/94/90/950059490.db2.gz CFZNJZZLOGRALL-UHFFFAOYSA-N 0 2 317.758 0.812 20 0 DCADLN CC(C)CN(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364946582 950242334 /nfs/dbraw/zinc/24/23/34/950242334.db2.gz GXJYMTASTIZPHW-UHFFFAOYSA-N 0 2 303.388 0.147 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2ccc3c(c2)C(=O)OC3)n1 ZINC001364955280 950254562 /nfs/dbraw/zinc/25/45/62/950254562.db2.gz WVUOVXIMFRUJJT-UHFFFAOYSA-N 0 2 323.334 0.725 20 0 DCADLN CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(C(=O)[O-])CC=CC2)CC1 ZINC001330605106 950544211 /nfs/dbraw/zinc/54/42/11/950544211.db2.gz CIWMPFRBKNESLN-UHFFFAOYSA-N 0 2 323.393 0.078 20 0 DCADLN CCNC(=O)C[N@H+]1CCCN(C(=O)C2(C(=O)[O-])CC=CC2)CC1 ZINC001330605106 950544226 /nfs/dbraw/zinc/54/42/26/950544226.db2.gz CIWMPFRBKNESLN-UHFFFAOYSA-N 0 2 323.393 0.078 20 0 DCADLN O=C(N[C@H](CO)C(F)(F)F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC001365126583 950568257 /nfs/dbraw/zinc/56/82/57/950568257.db2.gz LLQORXBXXDMOAO-ZCFIWIBFSA-N 0 2 318.211 0.089 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000318686618 950933146 /nfs/dbraw/zinc/93/31/46/950933146.db2.gz BPJNYTPQFLLABD-XQQFMLRXSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C(=O)O1 ZINC001365433118 951124314 /nfs/dbraw/zinc/12/43/14/951124314.db2.gz STGCIQGNNJCYKU-VGMNWLOBSA-N 0 2 309.326 0.103 20 0 DCADLN CC(C)Cn1cnc(S(=O)(=O)Nc2nnn(C(C)C)n2)c1 ZINC001365465372 951158452 /nfs/dbraw/zinc/15/84/52/951158452.db2.gz MKHUVVXYCVFQQB-UHFFFAOYSA-N 0 2 313.387 0.907 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNCc2cc3n(n2)CCCC3)[nH]1 ZINC001365487412 951179230 /nfs/dbraw/zinc/17/92/30/951179230.db2.gz YQUUHKIQZNVRDC-UHFFFAOYSA-N 0 2 324.410 0.172 20 0 DCADLN CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001280946959 951183181 /nfs/dbraw/zinc/18/31/81/951183181.db2.gz HDCKJMGHWZKQFW-LLVKDONJSA-N 0 2 324.381 0.033 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](CC(=O)Nc1ccccc1C(N)=O)C1CC1 ZINC000383124278 951237514 /nfs/dbraw/zinc/23/75/14/951237514.db2.gz HCDDWDZUFDLDRJ-VIFPVBQESA-N 0 2 305.334 0.662 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](CC(=O)Nc1ccccc1C(N)=O)C1CC1 ZINC000383124278 951237529 /nfs/dbraw/zinc/23/75/29/951237529.db2.gz HCDDWDZUFDLDRJ-VIFPVBQESA-N 0 2 305.334 0.662 20 0 DCADLN NC(=O)c1ccccc1NC(=O)C[N@@H+](CC(=O)[O-])CC1CC1 ZINC000386096871 951292659 /nfs/dbraw/zinc/29/26/59/951292659.db2.gz OCMCEDLRHGOBCE-UHFFFAOYSA-N 0 2 305.334 0.521 20 0 DCADLN NC(=O)c1ccccc1NC(=O)C[N@H+](CC(=O)[O-])CC1CC1 ZINC000386096871 951292672 /nfs/dbraw/zinc/29/26/72/951292672.db2.gz OCMCEDLRHGOBCE-UHFFFAOYSA-N 0 2 305.334 0.521 20 0 DCADLN Cc1nnc([C@@H](C)NS(=O)(=O)N=S2(=O)CCCC2)[nH]1 ZINC001365705288 951456591 /nfs/dbraw/zinc/45/65/91/951456591.db2.gz MJQXWLNHAORWIA-SSDOTTSWSA-N 0 2 307.401 0.270 20 0 DCADLN Cc1nc([C@@H](C)NS(=O)(=O)N=S2(=O)CCCC2)n[nH]1 ZINC001365705288 951456597 /nfs/dbraw/zinc/45/65/97/951456597.db2.gz MJQXWLNHAORWIA-SSDOTTSWSA-N 0 2 307.401 0.270 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1ccco1 ZINC001365797488 951551818 /nfs/dbraw/zinc/55/18/18/951551818.db2.gz SWDGBGJWFVQBNS-SNVBAGLBSA-N 0 2 305.338 0.379 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1ccco1 ZINC001365797483 951552967 /nfs/dbraw/zinc/55/29/67/951552967.db2.gz SWDGBGJWFVQBNS-JTQLQIEISA-N 0 2 305.338 0.379 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC001332422076 951640796 /nfs/dbraw/zinc/64/07/96/951640796.db2.gz DAQSMCATYVTCCQ-ZDUSSCGKSA-N 0 2 308.334 0.557 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+](CCn3cncn3)CC2)CCCC1 ZINC001332666057 951753751 /nfs/dbraw/zinc/75/37/51/951753751.db2.gz SAZQOIQWXYTGID-UHFFFAOYSA-N 0 2 321.381 0.067 20 0 DCADLN O=C([O-])COCCNC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001595113549 951926877 /nfs/dbraw/zinc/92/68/77/951926877.db2.gz FWBCJGLXGJRKHR-UHFFFAOYSA-N 0 2 318.333 0.773 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@H](c2ccncc2)C1 ZINC001595123822 951991638 /nfs/dbraw/zinc/99/16/38/951991638.db2.gz FNFRHTFVIOCKFS-ZDUSSCGKSA-N 0 2 312.391 0.760 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@H](c2ccncc2)C1 ZINC001595123822 951991641 /nfs/dbraw/zinc/99/16/41/951991641.db2.gz FNFRHTFVIOCKFS-ZDUSSCGKSA-N 0 2 312.391 0.760 20 0 DCADLN CC(=O)c1ccc(O)c(C(=O)N2CC3(C2)CC[C@H](C(N)=O)O3)c1 ZINC001275491671 952196266 /nfs/dbraw/zinc/19/62/66/952196266.db2.gz LHXQYIBBTNXBPG-CYBMUJFWSA-N 0 2 318.329 0.454 20 0 DCADLN COC(=O)[C@@](C)(CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC001412209707 952695966 /nfs/dbraw/zinc/69/59/66/952695966.db2.gz AKPGHDYLXVCKKW-OAHLLOKOSA-N 0 2 319.317 0.232 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)C1=COCCO1 ZINC001412464230 952819948 /nfs/dbraw/zinc/81/99/48/952819948.db2.gz RCHZNHXNVOSVIS-NSHDSACASA-N 0 2 316.317 0.799 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)C1 ZINC001412530109 952870909 /nfs/dbraw/zinc/87/09/09/952870909.db2.gz HOPCQEVSOOJIOM-DVVUODLYSA-N 0 2 317.353 0.189 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001412671683 952980833 /nfs/dbraw/zinc/98/08/33/952980833.db2.gz SAZLOJCBXWBTML-IYSWYEEDSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnon1)NC(=O)[C@@H](F)C(F)(F)F ZINC001412671683 952980835 /nfs/dbraw/zinc/98/08/35/952980835.db2.gz SAZLOJCBXWBTML-IYSWYEEDSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCc2ncncc2C1)c1nn(C)cc1O ZINC001412762922 953078669 /nfs/dbraw/zinc/07/86/69/953078669.db2.gz HVFMWLOKKSXNQS-ZJUUUORDSA-N 0 2 301.350 0.898 20 0 DCADLN CC(C)(C(=O)[O-])C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC001339473753 953095974 /nfs/dbraw/zinc/09/59/74/953095974.db2.gz WVLNKACXKGWTAW-CQSZACIVSA-N 0 2 316.423 0.421 20 0 DCADLN Cn1cc(NC(=O)CC2SC(=N)NC2=O)c(C(F)F)n1 ZINC001412826914 953116604 /nfs/dbraw/zinc/11/66/04/953116604.db2.gz KLBBBODVMCEFHX-YFKPBYRVSA-N 0 2 303.294 0.853 20 0 DCADLN C[C@@H](CCCCNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001340718224 953190436 /nfs/dbraw/zinc/19/04/36/953190436.db2.gz CKQYKYBZXZSYGE-NKWVEPMBSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CCCCNC(=O)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001340718224 953190438 /nfs/dbraw/zinc/19/04/38/953190438.db2.gz CKQYKYBZXZSYGE-NKWVEPMBSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](O)CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1=NC(=O)N(C)C1 ZINC001479244068 953280016 /nfs/dbraw/zinc/28/00/16/953280016.db2.gz SHURORHIOBJPIC-VXGBXAGGSA-N 0 2 310.398 0.433 20 0 DCADLN Cn1ncnc1CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001365938369 953434966 /nfs/dbraw/zinc/43/49/66/953434966.db2.gz PYLQKPBWZYZLMI-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CCC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001365938369 953434971 /nfs/dbraw/zinc/43/49/71/953434971.db2.gz PYLQKPBWZYZLMI-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN COCC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001365974281 953502466 /nfs/dbraw/zinc/50/24/66/953502466.db2.gz CBVWAGKXCABEGD-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCCCCC(=O)[O-] ZINC001589290227 953593033 /nfs/dbraw/zinc/59/30/33/953593033.db2.gz PQZWOONHQJIATB-QWHCGFSZSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@H]1C[C@H]([NH2+][C@H]2CCCCN(CC(=O)[O-])C2=O)c2ncnn21 ZINC001589291710 953608719 /nfs/dbraw/zinc/60/87/19/953608719.db2.gz QDGMDFLEMGYJQH-VWYCJHECSA-N 0 2 307.354 0.339 20 0 DCADLN O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001594792613 953696925 /nfs/dbraw/zinc/69/69/25/953696925.db2.gz SCKPFVNBJYZJKB-PHIMTYICSA-N 0 2 320.349 0.026 20 0 DCADLN O=C(C[C@H]1CCCO1)NCCNC(=O)C(F)C(F)(F)F ZINC001282924011 953771884 /nfs/dbraw/zinc/77/18/84/953771884.db2.gz XLLHTYSUHZSCKG-APPZFPTMSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(C[C@H]1CCCO1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001282924011 953771894 /nfs/dbraw/zinc/77/18/94/953771894.db2.gz XLLHTYSUHZSCKG-APPZFPTMSA-N 0 2 300.252 0.688 20 0 DCADLN C[C@@H]1CO[C@@H](C(F)(F)F)C[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001604818789 1169696978 /nfs/dbraw/zinc/69/69/78/1169696978.db2.gz YZVZSVYPAIKWBK-RKDXNWHRSA-N 0 2 312.288 0.571 20 0 DCADLN C[C@@H]1CO[C@@H](C(F)(F)F)C[N@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001604818789 1169696980 /nfs/dbraw/zinc/69/69/80/1169696980.db2.gz YZVZSVYPAIKWBK-RKDXNWHRSA-N 0 2 312.288 0.571 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001594814532 953845192 /nfs/dbraw/zinc/84/51/92/953845192.db2.gz KOJZIXWQZYKEOV-SECBINFHSA-N 0 2 302.290 0.206 20 0 DCADLN Cc1nonc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001283274532 954208352 /nfs/dbraw/zinc/20/83/52/954208352.db2.gz YQTPEIHVPOIGNC-ZETCQYMHSA-N 0 2 312.223 0.515 20 0 DCADLN Cc1nonc1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001283274532 954208363 /nfs/dbraw/zinc/20/83/63/954208363.db2.gz YQTPEIHVPOIGNC-ZETCQYMHSA-N 0 2 312.223 0.515 20 0 DCADLN O=C([O-])C1(C(=O)N[C@@H]2CCn3c[nH+]cc3C2)CCSCC1 ZINC001594856464 954240301 /nfs/dbraw/zinc/24/03/01/954240301.db2.gz NMDSEYFTHUTPMO-SNVBAGLBSA-N 0 2 309.391 0.912 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)Cc1nncs1 ZINC001366532371 954358718 /nfs/dbraw/zinc/35/87/18/954358718.db2.gz UHASAXJTQFCJDG-ZETCQYMHSA-N 0 2 300.281 0.987 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1nncs1 ZINC001366532371 954358730 /nfs/dbraw/zinc/35/87/30/954358730.db2.gz UHASAXJTQFCJDG-ZETCQYMHSA-N 0 2 300.281 0.987 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC001589402731 954451502 /nfs/dbraw/zinc/45/15/02/954451502.db2.gz YWDKZAFZPXDDNN-WDEREUQCSA-N 0 2 319.427 0.052 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC001589402731 954451507 /nfs/dbraw/zinc/45/15/07/954451507.db2.gz YWDKZAFZPXDDNN-WDEREUQCSA-N 0 2 319.427 0.052 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])C3)CC2)CC1 ZINC001593784957 954690505 /nfs/dbraw/zinc/69/05/05/954690505.db2.gz HAXSZTCGUSRTLQ-KGLIPLIRSA-N 0 2 323.437 0.726 20 0 DCADLN CNC(=O)[C@H]1C[N@H+](CCOc2ccccc2C(=O)[O-])CCO1 ZINC001593792026 954764644 /nfs/dbraw/zinc/76/46/44/954764644.db2.gz QSFUQZNLRFHKLA-CYBMUJFWSA-N 0 2 308.334 0.210 20 0 DCADLN CNC(=O)[C@H]1C[N@@H+](CCOc2ccccc2C(=O)[O-])CCO1 ZINC001593792026 954764654 /nfs/dbraw/zinc/76/46/54/954764654.db2.gz QSFUQZNLRFHKLA-CYBMUJFWSA-N 0 2 308.334 0.210 20 0 DCADLN Cc1ncccc1CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366986807 955158897 /nfs/dbraw/zinc/15/88/97/955158897.db2.gz POHOQYZBCKNHSA-UHFFFAOYSA-N 0 2 316.365 0.004 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCC1(C(=O)[O-])CCC1 ZINC001589040192 955589083 /nfs/dbraw/zinc/58/90/83/955589083.db2.gz YKNAOCANFCYANX-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN COC(=O)c1ccc(C[N@H+](C)CN2C[C@H](C(=O)[O-])CC2=O)cc1 ZINC001593876698 955678963 /nfs/dbraw/zinc/67/89/63/955678963.db2.gz DNFOJBHAWMBKDX-CYBMUJFWSA-N 0 2 320.345 0.796 20 0 DCADLN COC(=O)c1ccc(C[N@@H+](C)CN2C[C@H](C(=O)[O-])CC2=O)cc1 ZINC001593876698 955678972 /nfs/dbraw/zinc/67/89/72/955678972.db2.gz DNFOJBHAWMBKDX-CYBMUJFWSA-N 0 2 320.345 0.796 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1cncc(C(=O)[O-])c1 ZINC001589081641 955862916 /nfs/dbraw/zinc/86/29/16/955862916.db2.gz YGRINBVEFMJOQX-VIFPVBQESA-N 0 2 317.305 0.120 20 0 DCADLN Cn1nccc1[C@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C(=O)[O-] ZINC001594587758 956014907 /nfs/dbraw/zinc/01/49/07/956014907.db2.gz LYDHVHIUBGPXBK-CABZTGNLSA-N 0 2 303.322 0.121 20 0 DCADLN C/C(=C\C(=O)N1CCC(CC(=O)[O-])CC1)C[NH+]1CCOCC1 ZINC001354039516 956257927 /nfs/dbraw/zinc/25/79/27/956257927.db2.gz PKVNDNFNVTUXEK-JLHYYAGUSA-N 0 2 310.394 0.978 20 0 DCADLN CSC[C@@H](NC(=O)/C=C(/C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001354072661 956274713 /nfs/dbraw/zinc/27/47/13/956274713.db2.gz OOYMNWUYJDYGCX-ZJRUKIMVSA-N 0 2 302.396 0.197 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2cn3ccnc3s2)CCO1 ZINC001593983383 956729223 /nfs/dbraw/zinc/72/92/23/956729223.db2.gz HDLXRONNXNGURR-CYBMUJFWSA-N 0 2 311.363 0.698 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2cn3ccnc3s2)CCO1 ZINC001593983383 956729227 /nfs/dbraw/zinc/72/92/27/956729227.db2.gz HDLXRONNXNGURR-CYBMUJFWSA-N 0 2 311.363 0.698 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2c(C3CCC3)cnn2C)CCO1 ZINC001593985682 956772268 /nfs/dbraw/zinc/77/22/68/956772268.db2.gz IYPSVGWGYCCGGU-MRXNPFEDSA-N 0 2 323.393 0.990 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2c(C3CCC3)cnn2C)CCO1 ZINC001593985682 956772280 /nfs/dbraw/zinc/77/22/80/956772280.db2.gz IYPSVGWGYCCGGU-MRXNPFEDSA-N 0 2 323.393 0.990 20 0 DCADLN Cn1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)ccc1=O ZINC001292842847 957170840 /nfs/dbraw/zinc/17/08/40/957170840.db2.gz BFWWYOMXIBRUJB-SECBINFHSA-N 0 2 323.246 0.132 20 0 DCADLN Cn1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)ccc1=O ZINC001292842847 957170851 /nfs/dbraw/zinc/17/08/51/957170851.db2.gz BFWWYOMXIBRUJB-SECBINFHSA-N 0 2 323.246 0.132 20 0 DCADLN CCn1ccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001367535684 957985708 /nfs/dbraw/zinc/98/57/08/957985708.db2.gz NFXVNUJUDPYJOL-UHFFFAOYSA-N 0 2 320.397 0.844 20 0 DCADLN CCn1ccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001367535684 957985720 /nfs/dbraw/zinc/98/57/20/957985720.db2.gz NFXVNUJUDPYJOL-UHFFFAOYSA-N 0 2 320.397 0.844 20 0 DCADLN Cc1cc(Cn2nnnc2N2CC[C@@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001594309308 957998462 /nfs/dbraw/zinc/99/84/62/957998462.db2.gz UODBGSVZELJDRX-GFCCVEGCSA-N 0 2 302.338 0.644 20 0 DCADLN COC(=O)c1ccc(C(=O)NCCc2n[nH]c(=S)o2)n1C ZINC001296732594 958044120 /nfs/dbraw/zinc/04/41/20/958044120.db2.gz RGFAYHNWWKDRFD-UHFFFAOYSA-N 0 2 310.335 0.456 20 0 DCADLN CC(C)(C)[C@H](Cn1ccnc1)NC(=O)CCCc1nn[nH]n1 ZINC001361679683 958209028 /nfs/dbraw/zinc/20/90/28/958209028.db2.gz LFKUJSUMFWAJER-NSHDSACASA-N 0 2 305.386 0.950 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnnc(Cl)c1 ZINC001361691656 958221121 /nfs/dbraw/zinc/22/11/21/958221121.db2.gz HSENYCPBESAXTN-UHFFFAOYSA-N 0 2 305.685 0.153 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@@H](C)CO1 ZINC001361794503 958339165 /nfs/dbraw/zinc/33/91/65/958339165.db2.gz BWLURLQEXUBQIM-VHSXEESVSA-N 0 2 318.333 0.516 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1CCC2(COC2)CC1 ZINC001361942370 958518142 /nfs/dbraw/zinc/51/81/42/958518142.db2.gz VGGZXVRDXZIAJO-UHFFFAOYSA-N 0 2 317.349 0.147 20 0 DCADLN CCCCN(CCO)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362039125 958640692 /nfs/dbraw/zinc/64/06/92/958640692.db2.gz KJYRDOJDPBCUAZ-UHFFFAOYSA-N 0 2 320.349 0.501 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@H]2CCCNC2=O)cn1 ZINC001362058734 958670354 /nfs/dbraw/zinc/67/03/54/958670354.db2.gz DEMPOHUOSYYIDY-SECBINFHSA-N 0 2 302.338 0.066 20 0 DCADLN CN(C(=O)c1cc(S(N)(=O)=O)ccc1O)c1cccnc1 ZINC001362122865 958777622 /nfs/dbraw/zinc/77/76/22/958777622.db2.gz HECMTQXGRPIEDW-UHFFFAOYSA-N 0 2 307.331 0.711 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001362219715 958949981 /nfs/dbraw/zinc/94/99/81/958949981.db2.gz IKPICHLIZMMQLC-KOLCDFICSA-N 0 2 318.333 0.564 20 0 DCADLN CC(C)C[C@@H](O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362227541 958962366 /nfs/dbraw/zinc/96/23/66/958962366.db2.gz NQWRDJGFROXUMW-GFCCVEGCSA-N 0 2 320.349 0.403 20 0 DCADLN COC(=O)Cc1nc(NC(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)n[nH]1 ZINC001362259765 959021042 /nfs/dbraw/zinc/02/10/42/959021042.db2.gz WLKZNQMLAAPNER-APPZFPTMSA-N 0 2 318.337 0.548 20 0 DCADLN CC[C@](C)(CCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362274285 959050021 /nfs/dbraw/zinc/05/00/21/959050021.db2.gz BCMUMFJHGFBIFB-OAHLLOKOSA-N 0 2 320.349 0.547 20 0 DCADLN C[C@H](C[C@H](C)O)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362277532 959053876 /nfs/dbraw/zinc/05/38/76/959053876.db2.gz JKAKASSQSXEGIA-BDAKNGLRSA-N 0 2 306.322 0.155 20 0 DCADLN CCc1n[nH]c(NC(=O)c2sccc2S(N)(=O)=O)n1 ZINC001362281783 959064669 /nfs/dbraw/zinc/06/46/69/959064669.db2.gz BYHCMKMMTZSPEL-UHFFFAOYSA-N 0 2 301.353 0.328 20 0 DCADLN O=C(NCC1(NCc2nc(C3CC3)no2)CC1)c1cnn[nH]1 ZINC001368005241 959073570 /nfs/dbraw/zinc/07/35/70/959073570.db2.gz IXMOKBBDGBUNLP-UHFFFAOYSA-N 0 2 303.326 0.117 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC001362376422 959243391 /nfs/dbraw/zinc/24/33/91/959243391.db2.gz QWKVHGUDOWJIDQ-MRVPVSSYSA-N 0 2 307.314 0.017 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1([C@@H]2CCCCO2)CCOCC1 ZINC001362423510 959329415 /nfs/dbraw/zinc/32/94/15/959329415.db2.gz FQZZRSYPYBYFRL-JTQLQIEISA-N 0 2 310.354 0.492 20 0 DCADLN CO[C@@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001368148076 959330667 /nfs/dbraw/zinc/33/06/67/959330667.db2.gz IKUYGWNUEXDCCU-BXUZGUMPSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001368148076 959330682 /nfs/dbraw/zinc/33/06/82/959330682.db2.gz IKUYGWNUEXDCCU-BXUZGUMPSA-N 0 2 309.370 0.016 20 0 DCADLN CC(C)[C@@H](O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362431087 959338800 /nfs/dbraw/zinc/33/88/00/959338800.db2.gz DSGYFRTWVRKCTK-NSHDSACASA-N 0 2 306.322 0.013 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368151456 959340019 /nfs/dbraw/zinc/34/00/19/959340019.db2.gz NRZPPOIMDBRTRP-YGRLFVJLSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368151456 959340036 /nfs/dbraw/zinc/34/00/36/959340036.db2.gz NRZPPOIMDBRTRP-YGRLFVJLSA-N 0 2 311.386 0.406 20 0 DCADLN CN(CC1(CO)CC1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362520910 959514129 /nfs/dbraw/zinc/51/41/29/959514129.db2.gz RXSHRVNMWVUUDE-UHFFFAOYSA-N 0 2 318.333 0.111 20 0 DCADLN CCOCC1(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001368390656 959796634 /nfs/dbraw/zinc/79/66/34/959796634.db2.gz CMRYFITWUBVMQO-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NC[C@H]1CCC[C@@H]1O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362693028 959840419 /nfs/dbraw/zinc/84/04/19/959840419.db2.gz CTLBWJXQZGJVCK-PWSUYJOCSA-N 0 2 318.333 0.157 20 0 DCADLN CO[C@H](C)C(=O)NCCCN(C)C(=O)C(F)C(F)(F)F ZINC001492298357 959864890 /nfs/dbraw/zinc/86/48/90/959864890.db2.gz OTIJSRXSGZYZBB-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@H](C)C(=O)NCCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001492298357 959864900 /nfs/dbraw/zinc/86/49/00/959864900.db2.gz OTIJSRXSGZYZBB-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CC(=O)N[C@@](C)(C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001362724127 959894003 /nfs/dbraw/zinc/89/40/03/959894003.db2.gz OSNGTJVSDWEPCL-XHDPSFHLSA-N 0 2 323.397 0.767 20 0 DCADLN COc1cccc(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001362728753 959898764 /nfs/dbraw/zinc/89/87/64/959898764.db2.gz ZJCSIKOXUZVSHP-SNVBAGLBSA-N 0 2 317.349 0.863 20 0 DCADLN O=C([C@@H]1CCNC(=O)CC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362727487 959900670 /nfs/dbraw/zinc/90/06/70/959900670.db2.gz VBEZEIOJNKEXOW-VHSXEESVSA-N 0 2 307.354 0.133 20 0 DCADLN CCOC(=O)c1nnc(C[NH2+]Cc2ccnn2CCCOC)[n-]1 ZINC001308924883 960185505 /nfs/dbraw/zinc/18/55/05/960185505.db2.gz JRFWEPGSWBQCPD-UHFFFAOYSA-N 0 2 322.369 0.504 20 0 DCADLN O=C(N[C@H]1C[C@@H](O)[C@@H](O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC001362950239 960215601 /nfs/dbraw/zinc/21/56/01/960215601.db2.gz MUBVWZARAXHXNW-YHPBZONMSA-N 0 2 321.308 0.331 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1ncnn1C ZINC001368762206 960237361 /nfs/dbraw/zinc/23/73/61/960237361.db2.gz FWWBJJFNFNXEJZ-WEDXCCLWSA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CCN1Cc1ncnn1C ZINC001368762206 960237363 /nfs/dbraw/zinc/23/73/63/960237363.db2.gz FWWBJJFNFNXEJZ-WEDXCCLWSA-N 0 2 323.294 0.795 20 0 DCADLN CC1=C(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCCO1 ZINC001362986627 960261535 /nfs/dbraw/zinc/26/15/35/960261535.db2.gz LYSILLVJANOVHC-UHFFFAOYSA-N 0 2 314.367 0.050 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc(CCO)c1 ZINC001363028196 960318923 /nfs/dbraw/zinc/31/89/23/960318923.db2.gz GYCRZQZPZTZNCQ-UHFFFAOYSA-N 0 2 313.317 0.245 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001377649845 960391864 /nfs/dbraw/zinc/39/18/64/960391864.db2.gz BDQGDAWRFXLHDR-OLZOCXBDSA-N 0 2 323.441 0.549 20 0 DCADLN C[C@H](SCC(=O)[O-])C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC001603281203 972510075 /nfs/dbraw/zinc/51/00/75/972510075.db2.gz HIOSPHYPDGCXCS-NSHDSACASA-N 0 2 309.391 0.937 20 0 DCADLN O=C(NCCS(=O)(=O)C1CCOCC1)C(F)C(F)(F)F ZINC001363267676 960741614 /nfs/dbraw/zinc/74/16/14/960741614.db2.gz JNJUYFBWWRLPQN-QMMMGPOBSA-N 0 2 321.292 0.597 20 0 DCADLN O=C(NCCS(=O)(=O)C1CCOCC1)[C@H](F)C(F)(F)F ZINC001363267676 960741627 /nfs/dbraw/zinc/74/16/27/960741627.db2.gz JNJUYFBWWRLPQN-QMMMGPOBSA-N 0 2 321.292 0.597 20 0 DCADLN CN(CCS(N)(=O)=O)Cc1nc(=O)c2sccc2[nH]1 ZINC001363299092 960831062 /nfs/dbraw/zinc/83/10/62/960831062.db2.gz UKXFWPVTKSCAOQ-UHFFFAOYSA-N 0 2 302.381 0.117 20 0 DCADLN CC(C)SCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378495558 960866624 /nfs/dbraw/zinc/86/66/24/960866624.db2.gz TZPBGXFFDUWRKO-VIFPVBQESA-N 0 2 313.427 0.588 20 0 DCADLN CCc1coc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)n1 ZINC001363314079 960866913 /nfs/dbraw/zinc/86/69/13/960866913.db2.gz YGXMTVAOBBMCQE-UHFFFAOYSA-N 0 2 311.319 0.842 20 0 DCADLN CC(C)Oc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)cn1 ZINC001363316860 960879469 /nfs/dbraw/zinc/87/94/69/960879469.db2.gz DWWQQXSERTTWIV-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN CC(C)(C)c1ncc(C(=O)NCc2nc(O)cc(=O)[nH]2)cn1 ZINC001363323116 960891367 /nfs/dbraw/zinc/89/13/67/960891367.db2.gz HOYXKYSLZAOXJI-UHFFFAOYSA-N 0 2 303.322 0.905 20 0 DCADLN CC(C)(C)c1ncc(C(=O)NCC2=NC(=O)CC(=O)N2)cn1 ZINC001363323116 960891386 /nfs/dbraw/zinc/89/13/86/960891386.db2.gz HOYXKYSLZAOXJI-UHFFFAOYSA-N 0 2 303.322 0.905 20 0 DCADLN O=C([O-])c1cc2n(n1)CCC[C@H]2NC(=O)CCc1[nH]cc[nH+]1 ZINC001571079317 960978031 /nfs/dbraw/zinc/97/80/31/960978031.db2.gz DJYUIXDNXSVHHG-SECBINFHSA-N 0 2 303.322 0.888 20 0 DCADLN CCC[C@]1(C(=O)OCC)CCC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001573835503 961043675 /nfs/dbraw/zinc/04/36/75/961043675.db2.gz CVSMNBRUVSUPBZ-CQSZACIVSA-N 0 2 300.355 0.385 20 0 DCADLN CCC[C@]1(C(=O)OCC)CCC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001573835503 961043687 /nfs/dbraw/zinc/04/36/87/961043687.db2.gz CVSMNBRUVSUPBZ-CQSZACIVSA-N 0 2 300.355 0.385 20 0 DCADLN NC(=O)[C@H]1CCO[C@H]1C1CCN(c2[nH+]cccc2C(=O)[O-])CC1 ZINC001549035769 1013237136 /nfs/dbraw/zinc/23/71/36/1013237136.db2.gz FTQOTOMQCGMKQU-AAEUAGOBSA-N 0 2 319.361 0.887 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001571117283 961411952 /nfs/dbraw/zinc/41/19/52/961411952.db2.gz ZMHFURDRYZRGCA-AGIUHOORSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2c1C(=O)CC2 ZINC001571118540 961415712 /nfs/dbraw/zinc/41/57/12/961415712.db2.gz GFZHOGRIOVWLJF-LBPRGKRZSA-N 0 2 313.313 0.964 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2c1C(=O)CC2 ZINC001571118540 961415718 /nfs/dbraw/zinc/41/57/18/961415718.db2.gz GFZHOGRIOVWLJF-LBPRGKRZSA-N 0 2 313.313 0.964 20 0 DCADLN CC(C)[C@H](C(=O)[O-])C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC001588809404 961512388 /nfs/dbraw/zinc/51/23/88/961512388.db2.gz PDFAYFIZUWKFFP-ZDUSSCGKSA-N 0 2 324.381 0.511 20 0 DCADLN C[C@H](NC(=O)c1ccc(/C=C\C(=O)[O-])o1)[C@H]1C[N@H+](C)CCO1 ZINC001332206464 961533464 /nfs/dbraw/zinc/53/34/64/961533464.db2.gz BSCWVUGGLPREEU-WVSAXZIPSA-N 0 2 308.334 0.826 20 0 DCADLN C[C@H](NC(=O)c1ccc(/C=C\C(=O)[O-])o1)[C@H]1C[N@@H+](C)CCO1 ZINC001332206464 961533468 /nfs/dbraw/zinc/53/34/68/961533468.db2.gz BSCWVUGGLPREEU-WVSAXZIPSA-N 0 2 308.334 0.826 20 0 DCADLN CC[C@@H](C(=O)[O-])C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC001332653790 961625673 /nfs/dbraw/zinc/62/56/73/961625673.db2.gz QHXMJQYQCCRMPT-BXUZGUMPSA-N 0 2 316.423 0.421 20 0 DCADLN C[C@H](CNC(=O)C[C@H](C)n1cccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378191223 961632294 /nfs/dbraw/zinc/63/22/94/961632294.db2.gz GMIHOCHWHNDMGK-NWDGAFQWSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@H](CNC(=O)C[C@H](C)n1cccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378191223 961632310 /nfs/dbraw/zinc/63/23/10/961632310.db2.gz GMIHOCHWHNDMGK-NWDGAFQWSA-N 0 2 320.397 0.900 20 0 DCADLN CC1(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC[NH+](Cc2ncccn2)CC1 ZINC001571138388 961639250 /nfs/dbraw/zinc/63/92/50/961639250.db2.gz YTNVNTVYGDRZQD-VXGBXAGGSA-N 0 2 318.377 0.668 20 0 DCADLN CCN(C(=O)[C@@H](OC)C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378202168 961653139 /nfs/dbraw/zinc/65/31/39/961653139.db2.gz RQWKIAWXIIEESB-AAEUAGOBSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)[C@@H](OC)C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378202168 961653152 /nfs/dbraw/zinc/65/31/52/961653152.db2.gz RQWKIAWXIIEESB-AAEUAGOBSA-N 0 2 323.397 0.358 20 0 DCADLN CCNC(=O)NC1(C(=O)N[C@@H](C)c2nn(C)cc2O)CCCC1 ZINC001363670561 961662529 /nfs/dbraw/zinc/66/25/29/961662529.db2.gz YKXHKBVCKZPUSF-JTQLQIEISA-N 0 2 323.397 0.935 20 0 DCADLN Cc1ccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)cc1O ZINC001363671153 961667993 /nfs/dbraw/zinc/66/79/93/961667993.db2.gz HZTHCVNJVOCCFC-UHFFFAOYSA-N 0 2 324.362 0.293 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)F)CCC1 ZINC001379724250 961858968 /nfs/dbraw/zinc/85/89/68/961858968.db2.gz OTWVIONVPMQVMQ-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)F)CCC1 ZINC001379724250 961858993 /nfs/dbraw/zinc/85/89/93/961858993.db2.gz OTWVIONVPMQVMQ-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN Cc1nnn(NC(=O)c2cc(C(=O)OC(C)(C)C)n[nH]2)c1C ZINC001363790722 961887481 /nfs/dbraw/zinc/88/74/81/961887481.db2.gz HEJYVSQFLSBUCR-UHFFFAOYSA-N 0 2 306.326 0.957 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cc(C)c(C(=O)[O-])[nH]1 ZINC001571158351 961891467 /nfs/dbraw/zinc/89/14/67/961891467.db2.gz KAVNBDAUEOGDKI-NSHDSACASA-N 0 2 323.349 0.275 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1cc(C)c(C(=O)[O-])[nH]1 ZINC001571158351 961891486 /nfs/dbraw/zinc/89/14/86/961891486.db2.gz KAVNBDAUEOGDKI-NSHDSACASA-N 0 2 323.349 0.275 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379740283 961908765 /nfs/dbraw/zinc/90/87/65/961908765.db2.gz JCAAHLWISKHCQS-WDEREUQCSA-N 0 2 313.402 0.508 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379740283 961908771 /nfs/dbraw/zinc/90/87/71/961908771.db2.gz JCAAHLWISKHCQS-WDEREUQCSA-N 0 2 313.402 0.508 20 0 DCADLN CCO[C@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001379046947 961969819 /nfs/dbraw/zinc/96/98/19/961969819.db2.gz XCWKBTPLXYSYAU-LBPRGKRZSA-N 0 2 313.402 0.462 20 0 DCADLN CCO[C@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001379046947 961969836 /nfs/dbraw/zinc/96/98/36/961969836.db2.gz XCWKBTPLXYSYAU-LBPRGKRZSA-N 0 2 313.402 0.462 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)N[C@H](CO)c1cccnc1 ZINC001364006183 962279602 /nfs/dbraw/zinc/27/96/02/962279602.db2.gz LQXUYLUHHUSKTO-LLVKDONJSA-N 0 2 319.408 0.211 20 0 DCADLN Cc1nn(C)c(NC(=O)NCc2n[nH]c(=O)[nH]2)c1C(F)(F)F ZINC001364128941 962523839 /nfs/dbraw/zinc/52/38/39/962523839.db2.gz MGUZNUYFOUWKED-UHFFFAOYSA-N 0 2 319.247 0.893 20 0 DCADLN Cc1ccc([C@H](C)C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001380027374 962525190 /nfs/dbraw/zinc/52/51/90/962525190.db2.gz YZVHHTOPGUDOMO-QWRGUYRKSA-N 0 2 319.365 0.906 20 0 DCADLN COc1ncc(C(=O)NCC2=NC(=O)CC(=O)N2)cc1Cl ZINC001364205033 962667504 /nfs/dbraw/zinc/66/75/04/962667504.db2.gz MRWQJGCFQHXZNZ-UHFFFAOYSA-N 0 2 310.697 0.875 20 0 DCADLN C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)NCc2nc(O)cc(=O)[nH]2)C1 ZINC001364206966 962670375 /nfs/dbraw/zinc/67/03/75/962670375.db2.gz QTQIUFGINOLHHM-CBAPKCEASA-N 0 2 303.322 0.593 20 0 DCADLN COc1ccc(OC)c(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001364209002 962676413 /nfs/dbraw/zinc/67/64/13/962676413.db2.gz XPWMMXJUOCTLCZ-UHFFFAOYSA-N 0 2 305.290 0.835 20 0 DCADLN O=C(N[C@@H]1C[C@@H]2CC[C@H]1O2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364352730 962947885 /nfs/dbraw/zinc/94/78/85/962947885.db2.gz UVHKQMCZZQWFOF-LMLFDSFASA-N 0 2 307.354 0.719 20 0 DCADLN Cc1c[nH]c(CNC(=O)C[C@@H]2SC(=N)NC2=O)c(C)c1=O ZINC001364360502 962953860 /nfs/dbraw/zinc/95/38/60/962953860.db2.gz NUBOZMOMACYXAG-VIFPVBQESA-N 0 2 308.363 0.164 20 0 DCADLN CC(C)c1nnc(C[NH2+]C[C@H](C)NC(=O)[C@@H]2CCC[N@@H+]2C)o1 ZINC001379489371 963040266 /nfs/dbraw/zinc/04/02/66/963040266.db2.gz GWCYULLKBUYASL-RYUDHWBXSA-N 0 2 309.414 0.882 20 0 DCADLN Cc1ccc(OCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000314067178 963707115 /nfs/dbraw/zinc/70/71/15/963707115.db2.gz BRDXKZUGQIWPJM-CYBMUJFWSA-N 0 2 303.318 0.909 20 0 DCADLN Cc1ccc(OCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000314067178 963707120 /nfs/dbraw/zinc/70/71/20/963707120.db2.gz BRDXKZUGQIWPJM-CYBMUJFWSA-N 0 2 303.318 0.909 20 0 DCADLN C[C@@H](CNC(=O)c1cnn[nH]1)N(C)Cc1nnc(C2CC2)[nH]1 ZINC001368959961 964195850 /nfs/dbraw/zinc/19/58/50/964195850.db2.gz HDAZWVCDCMQDLV-QMMMGPOBSA-N 0 2 304.358 0.051 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1CCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369077880 964375003 /nfs/dbraw/zinc/37/50/03/964375003.db2.gz KRGKTPMMJLAPBT-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1nnc(C[NH2+][C@@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])s1 ZINC001369249199 964595163 /nfs/dbraw/zinc/59/51/63/964595163.db2.gz SABWNDZIFGCHSN-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN C[C@H](CNC(=O)c1ncc[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001375706906 964741294 /nfs/dbraw/zinc/74/12/94/964741294.db2.gz QGFWEOBSPZUZMM-BQBZGAKWSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@H](CNC(=O)c1ncc[nH]1)CNC(=O)[C@H](F)C(F)(F)F ZINC001375706906 964741305 /nfs/dbraw/zinc/74/13/05/964741305.db2.gz QGFWEOBSPZUZMM-BQBZGAKWSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@@H](CNC(=O)Cc1ncc[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001375728650 964766106 /nfs/dbraw/zinc/76/61/06/964766106.db2.gz ZRULHHBERAWZRG-XVKPBYJWSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@@H](CNC(=O)Cc1ncc[nH]1)CNC(=O)[C@H](F)C(F)(F)F ZINC001375728650 964766114 /nfs/dbraw/zinc/76/61/14/964766114.db2.gz ZRULHHBERAWZRG-XVKPBYJWSA-N 0 2 324.278 0.721 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H](C)C[NH2+]Cc2nncs2)c1[O-] ZINC001374218153 965147541 /nfs/dbraw/zinc/14/75/41/965147541.db2.gz KDUZRQCTNUQHBO-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC[C@H](F)C1 ZINC001374492590 965448022 /nfs/dbraw/zinc/44/80/22/965448022.db2.gz LAIKFTWLOJMYDJ-MNOVXSKESA-N 0 2 313.377 0.929 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC[C@H](F)C1 ZINC001374492590 965448027 /nfs/dbraw/zinc/44/80/27/965448027.db2.gz LAIKFTWLOJMYDJ-MNOVXSKESA-N 0 2 313.377 0.929 20 0 DCADLN CC(C)(C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001370048140 965724900 /nfs/dbraw/zinc/72/49/00/965724900.db2.gz RBRBWVIABZJXJU-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN Cc1nnc(C[NH2+][C@@H](C)[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001447854230 1013599108 /nfs/dbraw/zinc/59/91/08/1013599108.db2.gz OTTZXJTZSGANHI-NKWVEPMBSA-N 0 2 308.342 0.412 20 0 DCADLN CCc1noc([C@H](C)[NH2+]CC[C@@H](C)NC(=O)c2nnc[nH]2)n1 ZINC001374709595 965791466 /nfs/dbraw/zinc/79/14/66/965791466.db2.gz XBYFDPXKUFGVRI-BDAKNGLRSA-N 0 2 307.358 0.609 20 0 DCADLN CC(C)[C@@H](C)C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001376661744 965951498 /nfs/dbraw/zinc/95/14/98/965951498.db2.gz XLRISMNWLHFBKK-MNOVXSKESA-N 0 2 311.386 0.119 20 0 DCADLN CC(C)[C@H](C)C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001376661751 965951809 /nfs/dbraw/zinc/95/18/09/965951809.db2.gz XLRISMNWLHFBKK-QWRGUYRKSA-N 0 2 311.386 0.119 20 0 DCADLN Cc1noc([C@@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001376719316 966058033 /nfs/dbraw/zinc/05/80/33/966058033.db2.gz ZXMVUAWWSHDFFV-HTRCEHHLSA-N 0 2 308.342 0.584 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001430610308 1013622850 /nfs/dbraw/zinc/62/28/50/1013622850.db2.gz JIMRAUNHYFSTFZ-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)n[nH]1 ZINC001375106440 966358638 /nfs/dbraw/zinc/35/86/38/966358638.db2.gz IXVHEBBHTJQAEM-MRVPVSSYSA-N 0 2 319.369 0.361 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)n[nH]1 ZINC001375106440 966358647 /nfs/dbraw/zinc/35/86/47/966358647.db2.gz IXVHEBBHTJQAEM-MRVPVSSYSA-N 0 2 319.369 0.361 20 0 DCADLN COCC(=O)NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001381489284 966454561 /nfs/dbraw/zinc/45/45/61/966454561.db2.gz ARZWRJJXDQKNCV-ZKWXMUAHSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NC[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001381489284 966454571 /nfs/dbraw/zinc/45/45/71/966454571.db2.gz ARZWRJJXDQKNCV-ZKWXMUAHSA-N 0 2 300.252 0.544 20 0 DCADLN C[C@@H](NC(=O)[C@@H](C)n1cccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381796738 966688970 /nfs/dbraw/zinc/68/89/70/966688970.db2.gz XETPGQJLNVLEBH-GHMZBOCLSA-N 0 2 318.381 0.510 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001382053649 966894270 /nfs/dbraw/zinc/89/42/70/966894270.db2.gz HFWQINLWWDRGGZ-VXNVDRBHSA-N 0 2 321.385 0.662 20 0 DCADLN O=C(NCCN1CCCC1=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001371517242 967066894 /nfs/dbraw/zinc/06/68/94/967066894.db2.gz LNRONFKWOISFMK-SNVBAGLBSA-N 0 2 322.369 0.022 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001448006917 1013698357 /nfs/dbraw/zinc/69/83/57/1013698357.db2.gz GXXZVTMAGVUZOS-SECBINFHSA-N 0 2 307.354 0.591 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001448006917 1013698361 /nfs/dbraw/zinc/69/83/61/1013698361.db2.gz GXXZVTMAGVUZOS-SECBINFHSA-N 0 2 307.354 0.591 20 0 DCADLN Cc1nnsc1C[N@@H+](C)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001448016279 1013710221 /nfs/dbraw/zinc/71/02/21/1013710221.db2.gz XBQMLAMRBDCHEP-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nnsc1C[N@H+](C)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001448016279 1013710230 /nfs/dbraw/zinc/71/02/30/1013710230.db2.gz XBQMLAMRBDCHEP-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@@H]1CC[C@H]2C[C@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001372360912 967927955 /nfs/dbraw/zinc/92/79/55/967927955.db2.gz HTWVAMSCIZVUOA-SDDRHHMPSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@@H]1CC[C@H]2C[C@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001372360912 967927957 /nfs/dbraw/zinc/92/79/57/967927957.db2.gz HTWVAMSCIZVUOA-SDDRHHMPSA-N 0 2 307.398 0.885 20 0 DCADLN COc1ccccc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448122059 1013765423 /nfs/dbraw/zinc/76/54/23/1013765423.db2.gz QGFOUUABYMYPTK-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN COc1ccccc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448122059 1013765425 /nfs/dbraw/zinc/76/54/25/1013765425.db2.gz QGFOUUABYMYPTK-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2ncc[nH]2)C1)C(F)C(F)(F)F ZINC001373151705 968763362 /nfs/dbraw/zinc/76/33/62/968763362.db2.gz ODFCFIQRCZNZPE-SFYZADRCSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2ncc[nH]2)C1)[C@H](F)C(F)(F)F ZINC001373151705 968763366 /nfs/dbraw/zinc/76/33/66/968763366.db2.gz ODFCFIQRCZNZPE-SFYZADRCSA-N 0 2 322.262 0.888 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)C1CCOCC1 ZINC001373490127 969151001 /nfs/dbraw/zinc/15/10/01/969151001.db2.gz WJVPBPSDQBNUML-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1CCOCC1 ZINC001373490127 969151008 /nfs/dbraw/zinc/15/10/08/969151008.db2.gz WJVPBPSDQBNUML-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN COC[C@@](C)([NH2+]CC(=O)Nc1cc(C(C)(C)C)no1)C(=O)[O-] ZINC000392914111 972542538 /nfs/dbraw/zinc/54/25/38/972542538.db2.gz ZGOZKAFSKPBQQT-CQSZACIVSA-N 0 2 313.354 0.990 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](Cc2c[nH]c(=O)c3ccccc23)CC1 ZINC001605885625 972674617 /nfs/dbraw/zinc/67/46/17/972674617.db2.gz CAKSTMJZMRDRFC-UHFFFAOYSA-N 0 2 315.329 0.669 20 0 DCADLN O=C([O-])[C@H](C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1)C1CC1 ZINC001605901430 972736325 /nfs/dbraw/zinc/73/63/25/972736325.db2.gz PJNODJAHLYGNGZ-ZDUSSCGKSA-N 0 2 322.365 0.265 20 0 DCADLN Cn1c[nH+]cc1CCNS(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000401728445 973381440 /nfs/dbraw/zinc/38/14/40/973381440.db2.gz SGNZQJCWTUVHAM-UHFFFAOYSA-N 0 2 315.376 0.701 20 0 DCADLN O=C([O-])CN1CCC[C@H](C(=O)Nc2ccc3[nH+]ccn3c2)C1=O ZINC001606253757 973579404 /nfs/dbraw/zinc/57/94/04/973579404.db2.gz XOMUUMPWWRMJNY-LLVKDONJSA-N 0 2 316.317 0.596 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)c3ccc(C(=O)[O-])cn3)CC[NH2+]2)cn1 ZINC001605488801 973625882 /nfs/dbraw/zinc/62/58/82/973625882.db2.gz MTQVXUAFMVBJAB-CYBMUJFWSA-N 0 2 315.333 0.300 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1coc(/C=C/C(=O)[O-])c1 ZINC001605522611 973707695 /nfs/dbraw/zinc/70/76/95/973707695.db2.gz IJLVGBYJJRRKIT-KXMPLOMGSA-N 0 2 305.290 0.574 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1coc(/C=C/C(=O)[O-])c1 ZINC001605522614 973707844 /nfs/dbraw/zinc/70/78/44/973707844.db2.gz IJLVGBYJJRRKIT-VUDBWIFFSA-N 0 2 305.290 0.574 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cn1 ZINC001606471618 973947983 /nfs/dbraw/zinc/94/79/83/973947983.db2.gz GYUIRSSHIIGNRG-GFCCVEGCSA-N 0 2 301.306 0.290 20 0 DCADLN COc1cccc(C[NH+]2CCN(C(=O)[C@H](OC)C(=O)[O-])CC2)c1 ZINC001604862942 974103952 /nfs/dbraw/zinc/10/39/52/974103952.db2.gz JAPBQJNALYIURV-AWEZNQCLSA-N 0 2 322.361 0.439 20 0 DCADLN CCN(C(=O)C1CC[NH+](Cc2nccn2C)CC1)[C@H](C)C(=O)[O-] ZINC001603706123 974114835 /nfs/dbraw/zinc/11/48/35/974114835.db2.gz TZNOEOIUXUXZJD-GFCCVEGCSA-N 0 2 322.409 0.954 20 0 DCADLN CCc1nc(C(=O)NCCNC(=O)C(F)C(F)(F)F)co1 ZINC001522598939 1014230109 /nfs/dbraw/zinc/23/01/09/1014230109.db2.gz ZUGFVZYMDDGMNY-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1nc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)co1 ZINC001522598939 1014230117 /nfs/dbraw/zinc/23/01/17/1014230117.db2.gz ZUGFVZYMDDGMNY-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN Cn1ccc(CCC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001522679957 1014294370 /nfs/dbraw/zinc/29/43/70/1014294370.db2.gz YHSDYHWYEYYWRZ-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN Cn1ccc(CCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001522679957 1014294375 /nfs/dbraw/zinc/29/43/75/1014294375.db2.gz YHSDYHWYEYYWRZ-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN CC(C)Oc1cncc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001591803285 976021912 /nfs/dbraw/zinc/02/19/12/976021912.db2.gz BRUPWRAJXRVARZ-ZDUSSCGKSA-N 0 2 318.333 0.949 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)no1 ZINC001522689208 1014299795 /nfs/dbraw/zinc/29/97/95/1014299795.db2.gz RTGNSPJUIMOLSB-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)no1 ZINC001522689208 1014299802 /nfs/dbraw/zinc/29/98/02/1014299802.db2.gz RTGNSPJUIMOLSB-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN CC(C)c1nnc(NC(=O)C[N@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)s1 ZINC001592075996 976830564 /nfs/dbraw/zinc/83/05/64/976830564.db2.gz YFRWVACXTXKYOW-MBTKJCJQSA-N 0 2 310.379 0.862 20 0 DCADLN CC(C)c1nnc(NC(=O)C[N@@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)s1 ZINC001592075996 976830572 /nfs/dbraw/zinc/83/05/72/976830572.db2.gz YFRWVACXTXKYOW-MBTKJCJQSA-N 0 2 310.379 0.862 20 0 DCADLN C[NH+](C)[C@H]1CN(Cc2c[nH+]c3n2CCC3)C[C@@H]1n1ccnn1 ZINC001549645172 1014375546 /nfs/dbraw/zinc/37/55/46/1014375546.db2.gz CBBHLUJIHHKFCY-KBPBESRZSA-N 0 2 301.398 0.408 20 0 DCADLN C[C@@H](CC(=O)O[C@H]1CCN(CCC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001592429530 978055859 /nfs/dbraw/zinc/05/58/59/978055859.db2.gz MQJNQFHKJCLRJA-QWRGUYRKSA-N 0 2 309.322 0.453 20 0 DCADLN C[C@@H](CN(C)c1ccnc(C(=O)[O-])n1)NC(=O)Cn1cc[nH+]c1 ZINC001592588927 978514563 /nfs/dbraw/zinc/51/45/63/978514563.db2.gz SOXXSWVTGKYKLV-JTQLQIEISA-N 0 2 318.337 0.012 20 0 DCADLN CCN(CCNC(=O)CCc1cn[nH]n1)C(=O)c1cc(C)n[nH]1 ZINC001449533780 1014532571 /nfs/dbraw/zinc/53/25/71/1014532571.db2.gz NCSHJCVKXSIHPU-UHFFFAOYSA-N 0 2 319.369 0.047 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1cn[nH]n1)C(F)C(F)(F)F ZINC001529310438 1014533192 /nfs/dbraw/zinc/53/31/92/1014533192.db2.gz CEPKTMXAIUFBSO-XPUUQOCRSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1cn[nH]n1)[C@H](F)C(F)(F)F ZINC001529310438 1014533202 /nfs/dbraw/zinc/53/32/02/1014533202.db2.gz CEPKTMXAIUFBSO-XPUUQOCRSA-N 0 2 323.250 0.426 20 0 DCADLN CC[C@H](NC(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001595272119 980290432 /nfs/dbraw/zinc/29/04/32/980290432.db2.gz HWEUSQQZVGREHV-LBPRGKRZSA-N 0 2 309.366 0.413 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001523438240 1014704237 /nfs/dbraw/zinc/70/42/37/1014704237.db2.gz PCOPIECATLPURQ-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001523438240 1014704249 /nfs/dbraw/zinc/70/42/49/1014704249.db2.gz PCOPIECATLPURQ-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CCN(CC)C(=O)C1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001596241743 983640953 /nfs/dbraw/zinc/64/09/53/983640953.db2.gz NUYWYWLHTGEFGT-UHFFFAOYSA-N 0 2 323.397 0.443 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C)c(C)o1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001596340390 983787275 /nfs/dbraw/zinc/78/72/75/983787275.db2.gz ZUDJRWBKMRLZCB-NEPJUHHUSA-N 0 2 309.366 0.950 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@](C)(C(=O)[O-])c1ccc(F)cc1 ZINC001596486060 984225995 /nfs/dbraw/zinc/22/59/95/984225995.db2.gz ZPVBHKKSTQFZDW-AWEZNQCLSA-N 0 2 311.313 0.561 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1nnn(C)n1 ZINC001524589484 1015019254 /nfs/dbraw/zinc/01/92/54/1015019254.db2.gz HIVJESDRSTUJSJ-UHFFFAOYSA-N 0 2 305.323 0.193 20 0 DCADLN CCOC(=O)[C@]1(C)C[N@@H+]([C@H](C(=O)[O-])C2(OC)CCC2)CCO1 ZINC001596552876 984420412 /nfs/dbraw/zinc/42/04/12/984420412.db2.gz SIVRBPBBTPEZHQ-RISCZKNCSA-N 0 2 315.366 0.663 20 0 DCADLN CCOC(=O)[C@]1(C)C[N@H+]([C@H](C(=O)[O-])C2(OC)CCC2)CCO1 ZINC001596552876 984420413 /nfs/dbraw/zinc/42/04/13/984420413.db2.gz SIVRBPBBTPEZHQ-RISCZKNCSA-N 0 2 315.366 0.663 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001450363419 1015086590 /nfs/dbraw/zinc/08/65/90/1015086590.db2.gz QFJDKYXXLPUYAY-CAHLUQPWSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001450363419 1015086600 /nfs/dbraw/zinc/08/66/00/1015086600.db2.gz QFJDKYXXLPUYAY-CAHLUQPWSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001383725766 985047730 /nfs/dbraw/zinc/04/77/30/985047730.db2.gz DNNOLFNFXIYFHL-YUMQZZPRSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001383725766 985047740 /nfs/dbraw/zinc/04/77/40/985047740.db2.gz DNNOLFNFXIYFHL-YUMQZZPRSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H]1CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CC[C@H]1C(=O)[O-] ZINC001599920208 985121332 /nfs/dbraw/zinc/12/13/32/985121332.db2.gz UCWRUYYRZNFJKJ-SDDRHHMPSA-N 0 2 306.366 0.950 20 0 DCADLN Cc1nn(C)cc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450376239 1015100499 /nfs/dbraw/zinc/10/04/99/1015100499.db2.gz MWRORERBCZSMML-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1nn(C)cc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450376239 1015100504 /nfs/dbraw/zinc/10/05/04/1015100504.db2.gz MWRORERBCZSMML-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN CC(C)(F)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001384013257 985282277 /nfs/dbraw/zinc/28/22/77/985282277.db2.gz STFKLANAOOSSBF-QYNIQEEDSA-N 0 2 318.242 0.323 20 0 DCADLN CC(C)(F)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001384013257 985282292 /nfs/dbraw/zinc/28/22/92/985282292.db2.gz STFKLANAOOSSBF-QYNIQEEDSA-N 0 2 318.242 0.323 20 0 DCADLN CCOC(C[N@@H+]1CC[C@@H](C(=O)OC)C[C@H]1C(=O)[O-])OCC ZINC001596792701 985285389 /nfs/dbraw/zinc/28/53/89/985285389.db2.gz XOASPMYPRBTHJO-MNOVXSKESA-N 0 2 303.355 0.724 20 0 DCADLN CCOC(C[N@H+]1CC[C@@H](C(=O)OC)C[C@H]1C(=O)[O-])OCC ZINC001596792701 985285395 /nfs/dbraw/zinc/28/53/95/985285395.db2.gz XOASPMYPRBTHJO-MNOVXSKESA-N 0 2 303.355 0.724 20 0 DCADLN CCO[C@H]1C[C@@]([NH2+]Cc2nnc3n2CCOC3)(C(=O)[O-])C1(C)C ZINC001596836284 985480483 /nfs/dbraw/zinc/48/04/83/985480483.db2.gz AHAQZOOEUXIROW-ZUZCIYMTSA-N 0 2 324.381 0.556 20 0 DCADLN CC(=O)[C@@H]1C[C@H](C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])C1(C)C ZINC001589231221 985785942 /nfs/dbraw/zinc/78/59/42/985785942.db2.gz ZBXRGKHNNYMOKV-QJPTWQEYSA-N 0 2 307.350 0.704 20 0 DCADLN COC1CC(C(=O)N(C)C[C@H]2OCC[C@H]2c2n[nH]c(C)n2)C1 ZINC001551656053 1015166724 /nfs/dbraw/zinc/16/67/24/1015166724.db2.gz BGCIVNFXFCHXLD-FIYWTHMPSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2cnnc(C)c2)n[nH]1 ZINC001551668843 1015170387 /nfs/dbraw/zinc/17/03/87/1015170387.db2.gz HWZCFLWWQCVAIL-STQMWFEESA-N 0 2 316.365 0.856 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@H]2CCCOC2)n[nH]1 ZINC001551667574 1015170750 /nfs/dbraw/zinc/17/07/50/1015170750.db2.gz PVKNVCQGGOMWSR-UPJWGTAASA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2CO[C@H](C)C2)n[nH]1 ZINC001551669105 1015170961 /nfs/dbraw/zinc/17/09/61/1015170961.db2.gz IQTJIWGCWIFSKJ-OJAKKHQRSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2snnc2C)n[nH]1 ZINC001551672046 1015173044 /nfs/dbraw/zinc/17/30/44/1015173044.db2.gz YLXPCGHEAXBIIJ-VHSXEESVSA-N 0 2 322.394 0.918 20 0 DCADLN CCC[N@@H+](C)CC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001385506346 986394641 /nfs/dbraw/zinc/39/46/41/986394641.db2.gz PDRVTELQGWNADX-AWEZNQCLSA-N 0 2 321.425 0.332 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385577102 986443039 /nfs/dbraw/zinc/44/30/39/986443039.db2.gz DMPFSAKOQFBMPJ-PSASIEDQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385577102 986443045 /nfs/dbraw/zinc/44/30/45/986443045.db2.gz DMPFSAKOQFBMPJ-PSASIEDQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnccn2)C1)C(F)C(F)(F)F ZINC001385582218 986447192 /nfs/dbraw/zinc/44/71/92/986447192.db2.gz RNURNCAGXRGERQ-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnccn2)C1)[C@H](F)C(F)(F)F ZINC001385582218 986447196 /nfs/dbraw/zinc/44/71/96/986447196.db2.gz RNURNCAGXRGERQ-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN C[C@@H](CNC(=O)c1nccnc1N)NC(=O)C(F)C(F)(F)F ZINC001386209127 986957829 /nfs/dbraw/zinc/95/78/29/986957829.db2.gz SNWGQVZKFBYQSW-FSPLSTOPSA-N 0 2 323.250 0.194 20 0 DCADLN C[C@@H](CNC(=O)c1nccnc1N)NC(=O)[C@H](F)C(F)(F)F ZINC001386209127 986957837 /nfs/dbraw/zinc/95/78/37/986957837.db2.gz SNWGQVZKFBYQSW-FSPLSTOPSA-N 0 2 323.250 0.194 20 0 DCADLN CCOc1cncc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c1 ZINC001597189922 987067137 /nfs/dbraw/zinc/06/71/37/987067137.db2.gz TVPVZWRWZGIDPR-ZDUSSCGKSA-N 0 2 307.350 0.646 20 0 DCADLN CCOc1cncc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c1 ZINC001597189922 987067145 /nfs/dbraw/zinc/06/71/45/987067145.db2.gz TVPVZWRWZGIDPR-ZDUSSCGKSA-N 0 2 307.350 0.646 20 0 DCADLN C[C@H](C(=O)OC(C)(C)C)[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001589538854 987231700 /nfs/dbraw/zinc/23/17/00/987231700.db2.gz AFZFMSZCODGYHU-ZJUUUORDSA-N 0 2 310.354 0.953 20 0 DCADLN C[C@H](C(=O)OC(C)(C)C)[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001589538854 987231708 /nfs/dbraw/zinc/23/17/08/987231708.db2.gz AFZFMSZCODGYHU-ZJUUUORDSA-N 0 2 310.354 0.953 20 0 DCADLN CC[C@@H]1C(=O)NCCC[N@H+]1CC(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC001597293390 987389512 /nfs/dbraw/zinc/38/95/12/987389512.db2.gz HKMPYSWCUVXOOE-VXGBXAGGSA-N 0 2 313.398 0.202 20 0 DCADLN CC[C@@H]1C(=O)NCCC[N@@H+]1CC(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC001597293390 987389518 /nfs/dbraw/zinc/38/95/18/987389518.db2.gz HKMPYSWCUVXOOE-VXGBXAGGSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@@H](C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1cccn1 ZINC001386809905 987417296 /nfs/dbraw/zinc/41/72/96/987417296.db2.gz ARXLWZMCMACYQU-IUCAKERBSA-N 0 2 324.278 0.919 20 0 DCADLN C[C@@H](C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)n1cccn1 ZINC001386809905 987417302 /nfs/dbraw/zinc/41/73/02/987417302.db2.gz ARXLWZMCMACYQU-IUCAKERBSA-N 0 2 324.278 0.919 20 0 DCADLN Cc1ccn(CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001386842077 987445918 /nfs/dbraw/zinc/44/59/18/987445918.db2.gz LMAOEXJUGJEMSX-SCZZXKLOSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccn(CC(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001386842077 987445922 /nfs/dbraw/zinc/44/59/22/987445922.db2.gz LMAOEXJUGJEMSX-SCZZXKLOSA-N 0 2 324.278 0.713 20 0 DCADLN CCc1[nH]c(C(=O)N2CC[N@H+](C)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001597361262 987518393 /nfs/dbraw/zinc/51/83/93/987518393.db2.gz DANGDXSHMQFNHZ-SNVBAGLBSA-N 0 2 309.366 0.332 20 0 DCADLN CCc1[nH]c(C(=O)N2CC[N@@H+](C)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001597361262 987518397 /nfs/dbraw/zinc/51/83/97/987518397.db2.gz DANGDXSHMQFNHZ-SNVBAGLBSA-N 0 2 309.366 0.332 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001387491166 987868312 /nfs/dbraw/zinc/86/83/12/987868312.db2.gz YUQDONOFKFYZEY-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001387491166 987868317 /nfs/dbraw/zinc/86/83/17/987868317.db2.gz YUQDONOFKFYZEY-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN CC[C@H](CNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001388068043 988452778 /nfs/dbraw/zinc/45/27/78/988452778.db2.gz AMTICXLWEBFHGX-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)c1ccnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001388068043 988452784 /nfs/dbraw/zinc/45/27/84/988452784.db2.gz AMTICXLWEBFHGX-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C(C1CC1)C1CC1 ZINC001388653828 988480114 /nfs/dbraw/zinc/48/01/14/988480114.db2.gz OYKMTVWSGTXHSQ-GFCCVEGCSA-N 0 2 319.409 0.979 20 0 DCADLN CC(F)(F)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001389035006 988746863 /nfs/dbraw/zinc/74/68/63/988746863.db2.gz CPKQCTGAFDLFOP-RFZPGFLSSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001389035006 988746875 /nfs/dbraw/zinc/74/68/75/988746875.db2.gz CPKQCTGAFDLFOP-RFZPGFLSSA-N 0 2 310.194 0.135 20 0 DCADLN CC1(C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001389112882 988845038 /nfs/dbraw/zinc/84/50/38/988845038.db2.gz XMMVNKUAKOBZAJ-NKWVEPMBSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001389112882 988845055 /nfs/dbraw/zinc/84/50/55/988845055.db2.gz XMMVNKUAKOBZAJ-NKWVEPMBSA-N 0 2 300.252 0.280 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001389195261 988971243 /nfs/dbraw/zinc/97/12/43/988971243.db2.gz HJPRUSNVNRHZNT-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001389195261 988971260 /nfs/dbraw/zinc/97/12/60/988971260.db2.gz HJPRUSNVNRHZNT-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN CCc1nnc([C@H](C)[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)o1 ZINC001597724713 989022631 /nfs/dbraw/zinc/02/26/31/989022631.db2.gz UZLVVNADGSZPIQ-DTWKUNHWSA-N 0 2 306.326 0.930 20 0 DCADLN CCc1nnc([C@H](C)[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)o1 ZINC001597724713 989022639 /nfs/dbraw/zinc/02/26/39/989022639.db2.gz UZLVVNADGSZPIQ-DTWKUNHWSA-N 0 2 306.326 0.930 20 0 DCADLN Cc1ncc(C[NH+]2CC[C@@H](NC(=O)C[N@@H+](C)C(C)C)C2)cn1 ZINC001389503334 989340216 /nfs/dbraw/zinc/34/02/16/989340216.db2.gz DWOYURBMKMSXML-OAHLLOKOSA-N 0 2 305.426 0.816 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)c1 ZINC001389673572 989480100 /nfs/dbraw/zinc/48/01/00/989480100.db2.gz POWCZAHPWDAHDI-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001389673572 989480104 /nfs/dbraw/zinc/48/01/04/989480104.db2.gz POWCZAHPWDAHDI-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cc[nH]n1)C(F)C(F)(F)F ZINC001389676259 989482249 /nfs/dbraw/zinc/48/22/49/989482249.db2.gz SRYIWSBFQXZQPH-SVRRBLITSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccn[nH]1)C(F)C(F)(F)F ZINC001389676259 989482255 /nfs/dbraw/zinc/48/22/55/989482255.db2.gz SRYIWSBFQXZQPH-SVRRBLITSA-N 0 2 308.235 0.641 20 0 DCADLN CCOCC(=O)N[C@H](CC)CNC(=O)C(F)C(F)(F)F ZINC001450957589 1015482555 /nfs/dbraw/zinc/48/25/55/1015482555.db2.gz JWFPSULTHXOJBB-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)N[C@H](CC)CNC(=O)[C@H](F)C(F)(F)F ZINC001450957589 1015482568 /nfs/dbraw/zinc/48/25/68/1015482568.db2.gz JWFPSULTHXOJBB-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN Cn1cc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)nn1 ZINC001389867647 989649043 /nfs/dbraw/zinc/64/90/43/989649043.db2.gz LVOLGZXMKZOVQM-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1cc(C(=O)NC2(CNC(=O)[C@@H](F)C(F)(F)F)CC2)nn1 ZINC001389867647 989649048 /nfs/dbraw/zinc/64/90/48/989649048.db2.gz LVOLGZXMKZOVQM-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN CCn1ncc(C[N@H+](C)CCCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001597957202 989969357 /nfs/dbraw/zinc/96/93/57/989969357.db2.gz OPUZUYCJIYSVGB-QWHCGFSZSA-N 0 2 323.397 0.299 20 0 DCADLN CCn1ncc(C[N@@H+](C)CCCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001597957202 989969364 /nfs/dbraw/zinc/96/93/64/989969364.db2.gz OPUZUYCJIYSVGB-QWHCGFSZSA-N 0 2 323.397 0.299 20 0 DCADLN CC(C)(C)n1ncc2c1nc[nH+]c2N1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001590601948 990259998 /nfs/dbraw/zinc/25/99/98/990259998.db2.gz SGUMTRRBMSICBI-ZJUUUORDSA-N 0 2 319.365 0.853 20 0 DCADLN CCO[C@@H](C[N@H+](C)CCNC(=O)c1n[nH]c(C)c1[O-])C1CC1 ZINC001390750553 990350504 /nfs/dbraw/zinc/35/05/04/990350504.db2.gz FHUXRFHWGYTAEL-LBPRGKRZSA-N 0 2 310.398 0.900 20 0 DCADLN CCO[C@@H](C[N@@H+](C)CCNC(=O)c1n[nH]c(C)c1[O-])C1CC1 ZINC001390750553 990350506 /nfs/dbraw/zinc/35/05/06/990350506.db2.gz FHUXRFHWGYTAEL-LBPRGKRZSA-N 0 2 310.398 0.900 20 0 DCADLN CC(C)c1nn(C)cc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001390866369 990448287 /nfs/dbraw/zinc/44/82/87/990448287.db2.gz WWAMYJBGOHWRNO-UHFFFAOYSA-N 0 2 321.385 0.229 20 0 DCADLN CC(C)c1nn(C)cc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001390866369 990448289 /nfs/dbraw/zinc/44/82/89/990448289.db2.gz WWAMYJBGOHWRNO-UHFFFAOYSA-N 0 2 321.385 0.229 20 0 DCADLN O=C(CCc1cncs1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391509138 990903088 /nfs/dbraw/zinc/90/30/88/990903088.db2.gz YJZAMPBOAOTWTQ-UHFFFAOYSA-N 0 2 322.394 0.148 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001391519802 990910408 /nfs/dbraw/zinc/91/04/08/990910408.db2.gz KXIVTIRYLRUHEU-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NCc1nnn(C)n1 ZINC001391681611 991089221 /nfs/dbraw/zinc/08/92/21/991089221.db2.gz DRGNJHLVCKIPOX-SVRRBLITSA-N 0 2 312.271 0.095 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NCc1nnn(C)n1 ZINC001391681611 991089227 /nfs/dbraw/zinc/08/92/27/991089227.db2.gz DRGNJHLVCKIPOX-SVRRBLITSA-N 0 2 312.271 0.095 20 0 DCADLN CN(C)c1ccc(Cn2cc(CCC(=O)CC(=O)[O-])nn2)c[nH+]1 ZINC001598322427 991332398 /nfs/dbraw/zinc/33/23/98/991332398.db2.gz UCPATQZHYFSUKH-UHFFFAOYSA-N 0 2 317.349 0.764 20 0 DCADLN CN(CC[N@@H+](C)CCOC1CCC1)C(=O)Cc1c[nH+]cn1C ZINC001392318538 991747428 /nfs/dbraw/zinc/74/74/28/991747428.db2.gz KFSUROJHQRXKKD-UHFFFAOYSA-N 0 2 308.426 0.922 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)nn1C ZINC001392393387 991863101 /nfs/dbraw/zinc/86/31/01/991863101.db2.gz UGGHGJHQSGHUCW-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)nn1C ZINC001392393387 991863108 /nfs/dbraw/zinc/86/31/08/991863108.db2.gz UGGHGJHQSGHUCW-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN CS(=O)(=O)CC1CC[NH+]([C@@H](C(=O)[O-])c2cccnc2)CC1 ZINC001599748048 991954395 /nfs/dbraw/zinc/95/43/95/991954395.db2.gz AOEDLLQEJNFIPL-CYBMUJFWSA-N 0 2 312.391 0.964 20 0 DCADLN CN(CCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])C(=O)CCc1[nH]cc[nH+]1 ZINC001598478974 992119687 /nfs/dbraw/zinc/11/96/87/992119687.db2.gz FQINQNHZJOEAES-QWRGUYRKSA-N 0 2 322.365 0.028 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@@H](C)C[NH2+]Cc1cc2n(n1)CCC2 ZINC001392613120 992160050 /nfs/dbraw/zinc/16/00/50/992160050.db2.gz JKXMSCDQYKJSIE-LBPRGKRZSA-N 0 2 316.409 0.629 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cccc(F)c1 ZINC001392627088 992174416 /nfs/dbraw/zinc/17/44/16/992174416.db2.gz CERNHJSLAZZONR-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cccc(F)c1 ZINC001392627088 992174421 /nfs/dbraw/zinc/17/44/21/992174421.db2.gz CERNHJSLAZZONR-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1sccc1F ZINC001392648991 992202431 /nfs/dbraw/zinc/20/24/31/992202431.db2.gz VZDXPJMMJDEOFM-SSDOTTSWSA-N 0 2 313.358 0.961 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1sccc1F ZINC001392648991 992202443 /nfs/dbraw/zinc/20/24/43/992202443.db2.gz VZDXPJMMJDEOFM-SSDOTTSWSA-N 0 2 313.358 0.961 20 0 DCADLN CN(CC[NH2+]Cc1nc(C(F)F)no1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001598495040 992348560 /nfs/dbraw/zinc/34/85/60/992348560.db2.gz GFERLBKOKHXGKB-RQJHMYQMSA-N 0 2 318.280 0.276 20 0 DCADLN CN(CC[NH2+]Cc1nc(C(F)F)no1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001598495038 992348835 /nfs/dbraw/zinc/34/88/35/992348835.db2.gz GFERLBKOKHXGKB-NKWVEPMBSA-N 0 2 318.280 0.276 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)[C@@H](C)n1cc[nH+]c1)C(=O)[O-] ZINC001591453783 992560813 /nfs/dbraw/zinc/56/08/13/992560813.db2.gz RUDPKLTYPFTHNB-MNOVXSKESA-N 0 2 310.354 0.176 20 0 DCADLN O=C(Cn1cccn1)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001393248958 992790153 /nfs/dbraw/zinc/79/01/53/992790153.db2.gz FYOMLIJNKOQPTP-SCZZXKLOSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001393248958 992790158 /nfs/dbraw/zinc/79/01/58/992790158.db2.gz FYOMLIJNKOQPTP-SCZZXKLOSA-N 0 2 322.262 0.501 20 0 DCADLN CCC[NH+](C)CC(=O)N[C@H]1CCC[N@H+](Cc2nnnn2C)CC1 ZINC001393593572 993111219 /nfs/dbraw/zinc/11/12/19/993111219.db2.gz BPDULLBVMDIOPX-ZDUSSCGKSA-N 0 2 323.445 0.023 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2ccc(Cn3cccn3)o2)C[C@@H]1C(=O)[O-] ZINC001598575498 993268823 /nfs/dbraw/zinc/26/88/23/993268823.db2.gz OPKKHUZMJVKPGZ-NWDGAFQWSA-N 0 2 319.317 0.839 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2ccc(Cn3cccn3)o2)C[C@@H]1C(=O)[O-] ZINC001598575498 993268827 /nfs/dbraw/zinc/26/88/27/993268827.db2.gz OPKKHUZMJVKPGZ-NWDGAFQWSA-N 0 2 319.317 0.839 20 0 DCADLN C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(F)cc1C(=O)[O-] ZINC001598588973 993424578 /nfs/dbraw/zinc/42/45/78/993424578.db2.gz NYHUSHFLDVZIPJ-VIFPVBQESA-N 0 2 302.327 0.506 20 0 DCADLN C[N@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(F)cc1C(=O)[O-] ZINC001598588973 993424581 /nfs/dbraw/zinc/42/45/81/993424581.db2.gz NYHUSHFLDVZIPJ-VIFPVBQESA-N 0 2 302.327 0.506 20 0 DCADLN C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(Cl)nc1C(=O)[O-] ZINC001598588975 993424679 /nfs/dbraw/zinc/42/46/79/993424679.db2.gz OCLLKARYRJTWKY-SSDOTTSWSA-N 0 2 319.770 0.416 20 0 DCADLN C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(Cl)nc1C(=O)[O-] ZINC001598588975 993424682 /nfs/dbraw/zinc/42/46/82/993424682.db2.gz OCLLKARYRJTWKY-SSDOTTSWSA-N 0 2 319.770 0.416 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)CCS(C)(=O)=O ZINC001394061084 993444395 /nfs/dbraw/zinc/44/43/95/993444395.db2.gz JKXCEJBHZYHJAU-YUMQZZPRSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)CCS(C)(=O)=O ZINC001394061084 993444399 /nfs/dbraw/zinc/44/43/99/993444399.db2.gz JKXCEJBHZYHJAU-YUMQZZPRSA-N 0 2 322.324 0.368 20 0 DCADLN COc1ncccc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394106991 993483508 /nfs/dbraw/zinc/48/35/08/993483508.db2.gz YYGPLSSXGLNXJA-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1ncccc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394106991 993483513 /nfs/dbraw/zinc/48/35/13/993483513.db2.gz YYGPLSSXGLNXJA-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCc2ocnc2C(=O)[O-])[nH]n1 ZINC001598599247 993551652 /nfs/dbraw/zinc/55/16/52/993551652.db2.gz VAORWEQSSJRETM-JTQLQIEISA-N 0 2 319.321 0.793 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)NCc2ocnc2C(=O)[O-])[nH]n1 ZINC001598599247 993551658 /nfs/dbraw/zinc/55/16/58/993551658.db2.gz VAORWEQSSJRETM-JTQLQIEISA-N 0 2 319.321 0.793 20 0 DCADLN CCC[N@H+](CCNC(=O)c1n[nH]c(C)c1[O-])CCn1cncn1 ZINC001399951468 993979026 /nfs/dbraw/zinc/97/90/26/993979026.db2.gz BFUMKLVTZCKYQT-UHFFFAOYSA-N 0 2 321.385 0.157 20 0 DCADLN CCC[N@@H+](CCNC(=O)c1n[nH]c(C)c1[O-])CCn1cncn1 ZINC001399951468 993979037 /nfs/dbraw/zinc/97/90/37/993979037.db2.gz BFUMKLVTZCKYQT-UHFFFAOYSA-N 0 2 321.385 0.157 20 0 DCADLN O=C(NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)[C@H]1CC1(F)F ZINC001400010860 994051927 /nfs/dbraw/zinc/05/19/27/994051927.db2.gz VBJHWBZJLWDJSK-MRVPVSSYSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC1(F)F ZINC001400010860 994051931 /nfs/dbraw/zinc/05/19/31/994051931.db2.gz VBJHWBZJLWDJSK-MRVPVSSYSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC1(F)F ZINC001400010860 994051935 /nfs/dbraw/zinc/05/19/35/994051935.db2.gz VBJHWBZJLWDJSK-MRVPVSSYSA-N 0 2 301.297 0.246 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@@H](O)C[N@@H+](C)CC[C@@H](C)F)c1[O-] ZINC001394771429 994128726 /nfs/dbraw/zinc/12/87/26/994128726.db2.gz QFDHQXKHRCCHPQ-KOLCDFICSA-N 0 2 316.377 0.537 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@@H](O)C[N@H+](C)CC[C@@H](C)F)c1[O-] ZINC001394771429 994128734 /nfs/dbraw/zinc/12/87/34/994128734.db2.gz QFDHQXKHRCCHPQ-KOLCDFICSA-N 0 2 316.377 0.537 20 0 DCADLN C[C@@H](CNC(=O)c1csnn1)NC(=O)C(F)C(F)(F)F ZINC001400215578 994292579 /nfs/dbraw/zinc/29/25/79/994292579.db2.gz VHSKCKGBCMYHBD-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)c1csnn1)NC(=O)[C@H](F)C(F)(F)F ZINC001400215578 994292585 /nfs/dbraw/zinc/29/25/85/994292585.db2.gz VHSKCKGBCMYHBD-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H]([NH2+]CC(=O)Nc1ccsc1C(=O)[O-])c1nncn1C ZINC001593238305 994420050 /nfs/dbraw/zinc/42/00/50/994420050.db2.gz ZUMVOXZXANJIKR-SSDOTTSWSA-N 0 2 309.351 0.864 20 0 DCADLN CCOCC(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400369413 994444733 /nfs/dbraw/zinc/44/47/33/994444733.db2.gz PITMQYHHEHFQAD-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001400369413 994444737 /nfs/dbraw/zinc/44/47/37/994444737.db2.gz PITMQYHHEHFQAD-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN COc1cccc([C@H]([NH2+]CC(=O)NCC(F)F)C(=O)[O-])c1 ZINC001599656126 994583800 /nfs/dbraw/zinc/58/38/00/994583800.db2.gz DZDBMTRWNNPRMQ-LBPRGKRZSA-N 0 2 302.277 0.792 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])[nH]2)C1 ZINC001598668907 994720637 /nfs/dbraw/zinc/72/06/37/994720637.db2.gz GDJFIFMXIJUDRJ-SNVBAGLBSA-N 0 2 301.368 0.227 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])[nH]2)C1 ZINC001598668907 994720646 /nfs/dbraw/zinc/72/06/46/994720646.db2.gz GDJFIFMXIJUDRJ-SNVBAGLBSA-N 0 2 301.368 0.227 20 0 DCADLN CNc1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc(Cl)n1 ZINC001598675711 994839744 /nfs/dbraw/zinc/83/97/44/994839744.db2.gz FTTLJGZWMJKIHJ-VIFPVBQESA-N 0 2 323.740 0.856 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1(CF)CC1)C(F)C(F)(F)F ZINC001395741426 994956539 /nfs/dbraw/zinc/95/65/39/994956539.db2.gz MGMCKYSZTKBBSW-BQBZGAKWSA-N 0 2 318.242 0.230 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1(CF)CC1)[C@H](F)C(F)(F)F ZINC001395741426 994956549 /nfs/dbraw/zinc/95/65/49/994956549.db2.gz MGMCKYSZTKBBSW-BQBZGAKWSA-N 0 2 318.242 0.230 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CCC1)C(F)C(F)(F)F ZINC001395746747 994960892 /nfs/dbraw/zinc/96/08/92/994960892.db2.gz YIPDMKWANHVWOM-JGVFFNPUSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CCC1)[C@@H](F)C(F)(F)F ZINC001395746747 994960903 /nfs/dbraw/zinc/96/09/03/994960903.db2.gz YIPDMKWANHVWOM-JGVFFNPUSA-N 0 2 300.252 0.280 20 0 DCADLN C[C@@](O)(C1CC[NH+](CC(=O)NCC(=O)[O-])CC1)C(F)(F)F ZINC001593381126 995090924 /nfs/dbraw/zinc/09/09/24/995090924.db2.gz FTVLCXRFNXHVOH-LLVKDONJSA-N 0 2 312.288 0.213 20 0 DCADLN COC(=O)C1(C)C[NH+](Cc2snc(OC)c2C(=O)[O-])C1 ZINC001598718342 995443736 /nfs/dbraw/zinc/44/37/36/995443736.db2.gz QCUVCZCMKPDTJE-UHFFFAOYSA-N 0 2 300.336 0.845 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NC/C=C/Cl)cc-2c(=O)[nH]1 ZINC001464746088 1015945018 /nfs/dbraw/zinc/94/50/18/1015945018.db2.gz FJHMNSUNEJKMGB-OWOJBTEDSA-N 0 2 316.726 0.467 20 0 DCADLN CCCNC(=O)C[NH+]1CC[C@@H]1CN(C)C(=O)C[N@H+](C)C(C)C ZINC001397050273 995768886 /nfs/dbraw/zinc/76/88/86/995768886.db2.gz VNMCFGJJADTKFE-CQSZACIVSA-N 0 2 312.458 0.386 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)CCc1[nH+]ccn1C ZINC001598769963 995874839 /nfs/dbraw/zinc/87/48/39/995874839.db2.gz VLIRUYJXOCIUMQ-QWRGUYRKSA-N 0 2 323.349 0.218 20 0 DCADLN CS[C@H](C)CC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001397235630 995879427 /nfs/dbraw/zinc/87/94/27/995879427.db2.gz KLKRPQPSBPQXMN-BBBLOLIVSA-N 0 2 313.427 0.731 20 0 DCADLN CS[C@H](C)CC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001397235630 995879430 /nfs/dbraw/zinc/87/94/30/995879430.db2.gz KLKRPQPSBPQXMN-BBBLOLIVSA-N 0 2 313.427 0.731 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397252945 995888312 /nfs/dbraw/zinc/88/83/12/995888312.db2.gz FAIPLUCBEIIRHC-RBXMUDONSA-N 0 2 314.279 0.933 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397252945 995888314 /nfs/dbraw/zinc/88/83/14/995888314.db2.gz FAIPLUCBEIIRHC-RBXMUDONSA-N 0 2 314.279 0.933 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@@H+]1C[C@@H](O)CC(F)(F)F ZINC001598772859 995899870 /nfs/dbraw/zinc/89/98/70/995899870.db2.gz VROMDJGVHTVNDF-CIUDSAMLSA-N 0 2 313.272 0.638 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1C[C@@H](O)CC(F)(F)F ZINC001598772859 995899873 /nfs/dbraw/zinc/89/98/73/995899873.db2.gz VROMDJGVHTVNDF-CIUDSAMLSA-N 0 2 313.272 0.638 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@H](C(=O)[O-])C1 ZINC001598795398 996107095 /nfs/dbraw/zinc/10/70/95/996107095.db2.gz YGRCTBGHKIQGNH-ONGXEEELSA-N 0 2 309.322 0.125 20 0 DCADLN COC1CC(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)C1 ZINC001397941916 996269508 /nfs/dbraw/zinc/26/95/08/996269508.db2.gz PBIAGSHCSMZZRD-AMDVSUOASA-N 0 2 314.279 0.886 20 0 DCADLN COC1CC(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397941916 996269515 /nfs/dbraw/zinc/26/95/15/996269515.db2.gz PBIAGSHCSMZZRD-AMDVSUOASA-N 0 2 314.279 0.886 20 0 DCADLN CN(CCNC(=O)c1ccc(=O)[nH]c1)C(=O)C(F)C(F)(F)F ZINC001398036898 996327753 /nfs/dbraw/zinc/32/77/53/996327753.db2.gz DYDYPNWGPDDFHW-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)c1ccc(=O)[nH]c1)C(=O)[C@H](F)C(F)(F)F ZINC001398036898 996327758 /nfs/dbraw/zinc/32/77/58/996327758.db2.gz DYDYPNWGPDDFHW-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN O=C(CCC(F)F)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001398226631 996419905 /nfs/dbraw/zinc/41/99/05/996419905.db2.gz GSVLAMZILKYVAR-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN COC(=O)/C=C/c1ccc(C(=O)O[C@@H]2C[C@H](C(=O)[O-])[N@H+](C)C2)o1 ZINC001598832040 996446827 /nfs/dbraw/zinc/44/68/27/996446827.db2.gz RCWQBCNRNCIQEO-JYBZGJHDSA-N 0 2 323.301 0.780 20 0 DCADLN COC(=O)/C=C/c1ccc(C(=O)O[C@@H]2C[C@H](C(=O)[O-])[N@@H+](C)C2)o1 ZINC001598832040 996446833 /nfs/dbraw/zinc/44/68/33/996446833.db2.gz RCWQBCNRNCIQEO-JYBZGJHDSA-N 0 2 323.301 0.780 20 0 DCADLN CCCNC(=O)CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001398300619 996458302 /nfs/dbraw/zinc/45/83/02/996458302.db2.gz KMKWBQVIFIOFNJ-SCZZXKLOSA-N 0 2 313.295 0.604 20 0 DCADLN COC(=O)C[C@@H]1COCC[N@@H+]1Cc1ccc(OCC(=O)[O-])cc1 ZINC001598844200 996550888 /nfs/dbraw/zinc/55/08/88/996550888.db2.gz DKUSDAWODFSBNM-CYBMUJFWSA-N 0 2 323.345 0.914 20 0 DCADLN COC(=O)C[C@@H]1COCC[N@H+]1Cc1ccc(OCC(=O)[O-])cc1 ZINC001598844200 996550893 /nfs/dbraw/zinc/55/08/93/996550893.db2.gz DKUSDAWODFSBNM-CYBMUJFWSA-N 0 2 323.345 0.914 20 0 DCADLN CO[C@@H](C)CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398586094 996589069 /nfs/dbraw/zinc/58/90/69/996589069.db2.gz VFUWOFMXPQWSBZ-IMTBSYHQSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)CC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398586094 996589071 /nfs/dbraw/zinc/58/90/71/996589071.db2.gz VFUWOFMXPQWSBZ-IMTBSYHQSA-N 0 2 300.252 0.639 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001398751399 996672399 /nfs/dbraw/zinc/67/23/99/996672399.db2.gz MDLQLBOUVTVVNH-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398751399 996672401 /nfs/dbraw/zinc/67/24/01/996672401.db2.gz MDLQLBOUVTVVNH-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN COC(=O)[C@@H](C)OCc1cn(-c2cc[nH+]c(C(=O)[O-])c2)nn1 ZINC001598888737 996951031 /nfs/dbraw/zinc/95/10/31/996951031.db2.gz CQVYHVRLVKCEKS-MRVPVSSYSA-N 0 2 306.278 0.439 20 0 DCADLN COC1(OC)CC[N@H+](Cc2nc(C(=O)[O-])cs2)C[C@H]1O ZINC001599093133 997228662 /nfs/dbraw/zinc/22/86/62/997228662.db2.gz CEFABNXOQMREGB-SECBINFHSA-N 0 2 302.352 0.397 20 0 DCADLN COC1(OC)CC[N@@H+](Cc2nc(C(=O)[O-])cs2)C[C@H]1O ZINC001599093133 997228672 /nfs/dbraw/zinc/22/86/72/997228672.db2.gz CEFABNXOQMREGB-SECBINFHSA-N 0 2 302.352 0.397 20 0 DCADLN Cn1c(NC(=O)CC2SC(=N)NC2=O)nc2cccnc21 ZINC001466717351 1016103842 /nfs/dbraw/zinc/10/38/42/1016103842.db2.gz XWUMMNHFYFDEJX-SSDOTTSWSA-N 0 2 304.335 0.463 20 0 DCADLN CO[C@H]1CC(=O)N(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C2)C1 ZINC001599096797 997297882 /nfs/dbraw/zinc/29/78/82/997297882.db2.gz NMBCDTDPNSWRHL-UTLUCORTSA-N 0 2 324.299 0.776 20 0 DCADLN CO[C@H]1CC(=O)N(C[N@H+]2C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C2)C1 ZINC001599096797 997297893 /nfs/dbraw/zinc/29/78/93/997297893.db2.gz NMBCDTDPNSWRHL-UTLUCORTSA-N 0 2 324.299 0.776 20 0 DCADLN COc1ccc([C@H](NC(=O)CCn2cc[nH+]c2)C(=O)[O-])cc1O ZINC001599515095 997369547 /nfs/dbraw/zinc/36/95/47/997369547.db2.gz BZQQWPOEVRSVIC-AWEZNQCLSA-N 0 2 319.317 0.930 20 0 DCADLN Cc1cccc(CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001404792276 997465073 /nfs/dbraw/zinc/46/50/73/997465073.db2.gz NTBKMPRDCYEFDA-UHFFFAOYSA-N 0 2 315.377 0.752 20 0 DCADLN CCO[C@@H](CC)C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405087348 997773758 /nfs/dbraw/zinc/77/37/58/997773758.db2.gz IXTSCUOQRWBOFX-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN COCCN(C)c1ccc(C[N@@H+]2C[C@H](C)O[C@H](C(=O)[O-])C2)cn1 ZINC001599231330 997862556 /nfs/dbraw/zinc/86/25/56/997862556.db2.gz QTVNYYPKEIGTCM-JSGCOSHPSA-N 0 2 323.393 0.838 20 0 DCADLN COCCN(C)c1ccc(C[N@H+]2C[C@H](C)O[C@H](C(=O)[O-])C2)cn1 ZINC001599231330 997862567 /nfs/dbraw/zinc/86/25/67/997862567.db2.gz QTVNYYPKEIGTCM-JSGCOSHPSA-N 0 2 323.393 0.838 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@@H]1CCOC1 ZINC001467534237 1016180593 /nfs/dbraw/zinc/18/05/93/1016180593.db2.gz WEZDFGSTCIAKOO-MWLCHTKSSA-N 0 2 318.333 0.421 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@@H+]2CCO[C@@H](c3ccnn3C)C2)O1 ZINC001594103693 998141097 /nfs/dbraw/zinc/14/10/97/998141097.db2.gz CUROMXOWAKKYDW-SMDDNHRTSA-N 0 2 307.350 0.941 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@H+]2CCO[C@@H](c3ccnn3C)C2)O1 ZINC001594103693 998141104 /nfs/dbraw/zinc/14/11/04/998141104.db2.gz CUROMXOWAKKYDW-SMDDNHRTSA-N 0 2 307.350 0.941 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CCN1C(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC001594137863 998396017 /nfs/dbraw/zinc/39/60/17/998396017.db2.gz YLNVIBHUSDDDEP-NWDGAFQWSA-N 0 2 322.365 0.053 20 0 DCADLN CC(=O)N(C)[C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001509115603 1017188681 /nfs/dbraw/zinc/18/86/81/1017188681.db2.gz XWQGVRJLROOZAD-SECBINFHSA-N 0 2 304.354 0.407 20 0 DCADLN CCC1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CCC1 ZINC001401168244 1000243910 /nfs/dbraw/zinc/24/39/10/1000243910.db2.gz VOWWWYQTNGFRMX-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN C[C@H](Cc1ccccc1)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418524551 1000311736 /nfs/dbraw/zinc/31/17/36/1000311736.db2.gz MJWUGKHEILIYJD-GFCCVEGCSA-N 0 2 317.393 0.937 20 0 DCADLN C[C@H](Cc1ccccc1)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418524551 1000311741 /nfs/dbraw/zinc/31/17/41/1000311741.db2.gz MJWUGKHEILIYJD-GFCCVEGCSA-N 0 2 317.393 0.937 20 0 DCADLN CC[N@H+](CCNC(=O)C1(C(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001418548146 1000336821 /nfs/dbraw/zinc/33/68/21/1000336821.db2.gz MYWWVTBMURYLMB-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN CC[N@@H+](CCNC(=O)C1(C(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001418548146 1000336824 /nfs/dbraw/zinc/33/68/24/1000336824.db2.gz MYWWVTBMURYLMB-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN O=C(Cc1ccsc1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418588369 1000368558 /nfs/dbraw/zinc/36/85/58/1000368558.db2.gz OJGHXLJFCHQLRI-LLVKDONJSA-N 0 2 321.406 0.895 20 0 DCADLN O=C(Cc1ccsc1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418588369 1000368563 /nfs/dbraw/zinc/36/85/63/1000368563.db2.gz OJGHXLJFCHQLRI-LLVKDONJSA-N 0 2 321.406 0.895 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccc(F)cn1 ZINC001418762288 1000501039 /nfs/dbraw/zinc/50/10/39/1000501039.db2.gz SJIGRLQIOVVEEJ-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccc(F)cn1 ZINC001418762288 1000501042 /nfs/dbraw/zinc/50/10/42/1000501042.db2.gz SJIGRLQIOVVEEJ-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001418778945 1000515150 /nfs/dbraw/zinc/51/51/50/1000515150.db2.gz PGDHOJJZVMQDFU-OUAUKWLOSA-N 0 2 311.386 0.404 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001418778945 1000515157 /nfs/dbraw/zinc/51/51/57/1000515157.db2.gz PGDHOJJZVMQDFU-OUAUKWLOSA-N 0 2 311.386 0.404 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001401760320 1000697473 /nfs/dbraw/zinc/69/74/73/1000697473.db2.gz OXAZXBUSEJEZQL-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001401760320 1000697476 /nfs/dbraw/zinc/69/74/76/1000697476.db2.gz OXAZXBUSEJEZQL-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN CN(C(=O)COC1CCCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419118615 1000789311 /nfs/dbraw/zinc/78/93/11/1000789311.db2.gz FOTSIPAQHPUDPO-NSHDSACASA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)COC1CCCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419118615 1000789317 /nfs/dbraw/zinc/78/93/17/1000789317.db2.gz FOTSIPAQHPUDPO-NSHDSACASA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)[C@H](C)SC)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419141205 1000810599 /nfs/dbraw/zinc/81/05/99/1000810599.db2.gz XKQWOCCXFMGQQA-VHSXEESVSA-N 0 2 313.427 0.685 20 0 DCADLN COC1(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001470515985 1016444290 /nfs/dbraw/zinc/44/42/90/1016444290.db2.gz AANMWQPQOIMWDG-JTQLQIEISA-N 0 2 309.370 0.968 20 0 DCADLN COCCC1(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001402037580 1000966654 /nfs/dbraw/zinc/96/66/54/1000966654.db2.gz VUEOVGGFVWPUOV-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@@H]1CCCN1C(=O)c1cnc(C)[nH]1 ZINC001419444875 1001054197 /nfs/dbraw/zinc/05/41/97/1001054197.db2.gz SYQMMFDSNVRQGL-JTQLQIEISA-N 0 2 318.337 0.990 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1nnnn1C ZINC001419968462 1001732056 /nfs/dbraw/zinc/73/20/56/1001732056.db2.gz XJSJBBGBIYJUHT-QMMMGPOBSA-N 0 2 312.271 0.001 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)Cc1nnnn1C ZINC001419968462 1001732061 /nfs/dbraw/zinc/73/20/61/1001732061.db2.gz XJSJBBGBIYJUHT-QMMMGPOBSA-N 0 2 312.271 0.001 20 0 DCADLN Cc1cc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)ccc1O ZINC001420152770 1001948949 /nfs/dbraw/zinc/94/89/49/1001948949.db2.gz XXRLNCGODUCHSW-UHFFFAOYSA-N 0 2 324.362 0.293 20 0 DCADLN C[C@@]1(NS(=O)(=O)c2onc(C3CC3)c2Cl)CCNC1=O ZINC001424735181 1001962061 /nfs/dbraw/zinc/96/20/61/1001962061.db2.gz MZTZKIYTJRPQAF-LLVKDONJSA-N 0 2 319.770 0.762 20 0 DCADLN Cc1nc([C@H](C)[NH2+][C@H](CCNC(=O)c2nnc[nH]2)C(C)C)no1 ZINC001403473790 1002003987 /nfs/dbraw/zinc/00/39/87/1002003987.db2.gz AAAMZCZBKPWTIO-GXSJLCMTSA-N 0 2 321.385 0.991 20 0 DCADLN CC[C@@H](OC)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507767952 1016568265 /nfs/dbraw/zinc/56/82/65/1016568265.db2.gz DTIBJVNXKQNALB-LLVKDONJSA-N 0 2 313.402 0.604 20 0 DCADLN CC[C@@H](OC)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507767952 1016568276 /nfs/dbraw/zinc/56/82/76/1016568276.db2.gz DTIBJVNXKQNALB-LLVKDONJSA-N 0 2 313.402 0.604 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](C)Cc2nccnc2C)c1[O-] ZINC001403549068 1002121608 /nfs/dbraw/zinc/12/16/08/1002121608.db2.gz VTXPEDWJAXIPLP-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](C)Cc2nccnc2C)c1[O-] ZINC001403549068 1002121614 /nfs/dbraw/zinc/12/16/14/1002121614.db2.gz VTXPEDWJAXIPLP-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](C)CC(=O)N[C@@H](C)C2CC2)c1[O-] ZINC001403550384 1002124315 /nfs/dbraw/zinc/12/43/15/1002124315.db2.gz ZEGSWKIWWLOIKZ-VIFPVBQESA-N 0 2 323.397 0.000 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](C)CC(=O)N[C@@H](C)C2CC2)c1[O-] ZINC001403550384 1002124320 /nfs/dbraw/zinc/12/43/20/1002124320.db2.gz ZEGSWKIWWLOIKZ-VIFPVBQESA-N 0 2 323.397 0.000 20 0 DCADLN Cc1nnc(CN(C)[C@H](C)CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001424949275 1002176782 /nfs/dbraw/zinc/17/67/82/1002176782.db2.gz MIJHSWKMLHDWJL-MUWHJKNJSA-N 0 2 311.283 0.950 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1CCC[NH+]1C)CC(=O)NCC(C)(C)C ZINC001403637707 1002245250 /nfs/dbraw/zinc/24/52/50/1002245250.db2.gz HJDOQAWJZPKIBE-CYBMUJFWSA-N 0 2 312.458 0.291 20 0 DCADLN C[N@H+](CCNC(=O)Cc1cccc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001420343101 1002254729 /nfs/dbraw/zinc/25/47/29/1002254729.db2.gz UEUSKCJFJALBJB-UHFFFAOYSA-N 0 2 307.329 0.440 20 0 DCADLN C[N@@H+](CCNC(=O)Cc1cccc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001420343101 1002254743 /nfs/dbraw/zinc/25/47/43/1002254743.db2.gz UEUSKCJFJALBJB-UHFFFAOYSA-N 0 2 307.329 0.440 20 0 DCADLN O=C(CC(F)(F)F)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463902 1002454550 /nfs/dbraw/zinc/45/45/50/1002454550.db2.gz HVDOLSIGDHJUIU-SSDOTTSWSA-N 0 2 307.276 0.543 20 0 DCADLN O=C(CC(F)(F)F)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463902 1002454555 /nfs/dbraw/zinc/45/45/55/1002454555.db2.gz HVDOLSIGDHJUIU-SSDOTTSWSA-N 0 2 307.276 0.543 20 0 DCADLN O=C(c1cc(C2CC2)[nH]n1)N1CCC(Cc2nn[nH]n2)CC1 ZINC001471665197 1016628365 /nfs/dbraw/zinc/62/83/65/1016628365.db2.gz PGOSFZLNOUXYSR-UHFFFAOYSA-N 0 2 301.354 0.895 20 0 DCADLN Cn1cc(C[N@H+]2CCC[C@@H]2CNC(=O)C[NH+]2CCCC2)cn1 ZINC001420494878 1002498924 /nfs/dbraw/zinc/49/89/24/1002498924.db2.gz PPKKPDBHNCHIJN-OAHLLOKOSA-N 0 2 305.426 0.597 20 0 DCADLN Cc1cccnc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420553875 1002610890 /nfs/dbraw/zinc/61/08/90/1002610890.db2.gz NWXHPQRMPYHTEU-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cccnc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420553875 1002610895 /nfs/dbraw/zinc/61/08/95/1002610895.db2.gz NWXHPQRMPYHTEU-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN CO[C@H](C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001420607544 1002679896 /nfs/dbraw/zinc/67/98/96/1002679896.db2.gz GMEFVJWPATVPEF-SKDRFNHKSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@H](C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001420607544 1002679900 /nfs/dbraw/zinc/67/99/00/1002679900.db2.gz GMEFVJWPATVPEF-SKDRFNHKSA-N 0 2 311.386 0.262 20 0 DCADLN CCCC(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001420795452 1002954580 /nfs/dbraw/zinc/95/45/80/1002954580.db2.gz KZUYNLAGAFMVEL-LBPRGKRZSA-N 0 2 303.366 0.513 20 0 DCADLN CCC[N@H+](CCNC(=O)c1n[nH]c(C)c1[O-])CCn1cccn1 ZINC001404114757 1003031030 /nfs/dbraw/zinc/03/10/30/1003031030.db2.gz ASQNLRAJXYIAAC-UHFFFAOYSA-N 0 2 320.397 0.762 20 0 DCADLN CCC[N@@H+](CCNC(=O)c1n[nH]c(C)c1[O-])CCn1cccn1 ZINC001404114757 1003031040 /nfs/dbraw/zinc/03/10/40/1003031040.db2.gz ASQNLRAJXYIAAC-UHFFFAOYSA-N 0 2 320.397 0.762 20 0 DCADLN C[S@@](=O)c1cccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001421002358 1003223545 /nfs/dbraw/zinc/22/35/45/1003223545.db2.gz SIKIDBFTTQAQFW-OAQYLSRUSA-N 0 2 307.331 0.555 20 0 DCADLN CN(C(=O)COc1ccccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421087397 1003306063 /nfs/dbraw/zinc/30/60/63/1003306063.db2.gz LXUDSLPLHOMJEG-UHFFFAOYSA-N 0 2 317.349 0.232 20 0 DCADLN CCc1n[nH]cc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505953425 1016766720 /nfs/dbraw/zinc/76/67/20/1016766720.db2.gz UOUUIKWQQJJCFR-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1n[nH]cc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505953425 1016766735 /nfs/dbraw/zinc/76/67/35/1016766735.db2.gz UOUUIKWQQJJCFR-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN Cn1nc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1C(F)(F)F ZINC001472519383 1016819182 /nfs/dbraw/zinc/81/91/82/1016819182.db2.gz XXDCEFXBBBRZMF-UHFFFAOYSA-N 0 2 318.259 0.625 20 0 DCADLN NS(=O)(=O)C1(CNC(=O)c2c(O)cc(F)cc2F)CCC1 ZINC001472619397 1016839458 /nfs/dbraw/zinc/83/94/58/1016839458.db2.gz KMLDZSDOCRLYNQ-UHFFFAOYSA-N 0 2 320.317 0.611 20 0 DCADLN C[C@H](CNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001428777587 1004165062 /nfs/dbraw/zinc/16/50/62/1004165062.db2.gz KKKJSAOQDIHBHG-HZGVNTEJSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1ncn(C)n1 ZINC001427363143 1004344921 /nfs/dbraw/zinc/34/49/21/1004344921.db2.gz OPYJNTRLQPPSOG-DTWKUNHWSA-N 0 2 320.357 0.289 20 0 DCADLN C[C@H]1CC[C@H](CCC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001407078934 1004359257 /nfs/dbraw/zinc/35/92/57/1004359257.db2.gz MJXDETZRSKTAFA-CMPLNLGQSA-N 0 2 323.397 0.501 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001429067185 1004362757 /nfs/dbraw/zinc/36/27/57/1004362757.db2.gz QHCKGHPJKKKNMI-ZQARSLAVSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001429067185 1004362767 /nfs/dbraw/zinc/36/27/67/1004362767.db2.gz QHCKGHPJKKKNMI-ZQARSLAVSA-N 0 2 322.262 0.935 20 0 DCADLN CCN(CCNC(=O)c1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001429567769 1004674655 /nfs/dbraw/zinc/67/46/55/1004674655.db2.gz WJUSBOTUBAINML-ZETCQYMHSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)c1ncc[nH]1)C(=O)[C@H](F)C(F)(F)F ZINC001429567769 1004674660 /nfs/dbraw/zinc/67/46/60/1004674660.db2.gz WJUSBOTUBAINML-ZETCQYMHSA-N 0 2 310.251 0.888 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cncnc1 ZINC001506022560 1016900536 /nfs/dbraw/zinc/90/05/36/1016900536.db2.gz JTWKTJZRMDIGGW-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1cncnc1 ZINC001506022560 1016900548 /nfs/dbraw/zinc/90/05/48/1016900548.db2.gz JTWKTJZRMDIGGW-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN C[C@@H](CCNC(=O)CCc1c[nH+]cn1C)[NH2+]Cc1nccn1C ZINC001408248602 1005124934 /nfs/dbraw/zinc/12/49/34/1005124934.db2.gz FXDLMWWDFNMUFJ-ZDUSSCGKSA-N 0 2 318.425 0.771 20 0 DCADLN O=C(NCC[C@H]1CCOC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414582762 1005365285 /nfs/dbraw/zinc/36/52/85/1005365285.db2.gz NAHIZBUMSZOSIO-WDEREUQCSA-N 0 2 309.370 0.826 20 0 DCADLN CC[C@H](CNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001416221605 1005673189 /nfs/dbraw/zinc/67/31/89/1005673189.db2.gz FBADMKBTPNYPHL-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)c1ccn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001416221605 1005673190 /nfs/dbraw/zinc/67/31/90/1005673190.db2.gz FBADMKBTPNYPHL-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1(CF)CC1)C(F)C(F)(F)F ZINC001416766894 1005733848 /nfs/dbraw/zinc/73/38/48/1005733848.db2.gz SIXRPYOXVMFHEA-RNFRBKRXSA-N 0 2 318.242 0.230 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1(CF)CC1)[C@@H](F)C(F)(F)F ZINC001416766894 1005733849 /nfs/dbraw/zinc/73/38/49/1005733849.db2.gz SIXRPYOXVMFHEA-RNFRBKRXSA-N 0 2 318.242 0.230 20 0 DCADLN NC1=CCN(C(=O)c2ccc(CNC(=O)C(F)(F)F)o2)N1 ZINC001417584032 1005846713 /nfs/dbraw/zinc/84/67/13/1005846713.db2.gz CXXXMLZADZSDJM-UHFFFAOYSA-N 0 2 304.228 0.219 20 0 DCADLN Cc1n[nH]c(NC(=O)c2cc(S(N)(=O)=O)sc2C)n1 ZINC001417632170 1005855840 /nfs/dbraw/zinc/85/58/40/1005855840.db2.gz JVBIPWKBJIUPIY-UHFFFAOYSA-N 0 2 301.353 0.383 20 0 DCADLN C[C@]1(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCOC1 ZINC001417679255 1005866225 /nfs/dbraw/zinc/86/62/25/1005866225.db2.gz GAFWQDRHDQGYNK-AWEZNQCLSA-N 0 2 304.306 0.175 20 0 DCADLN CC1(C)CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@@H]1O ZINC001417768183 1005887030 /nfs/dbraw/zinc/88/70/30/1005887030.db2.gz LIYJGYPWBYQQQC-NSHDSACASA-N 0 2 318.333 0.109 20 0 DCADLN CN(C(=O)N[C@@H]1CCCc2c[nH]nc21)[C@@H]1CC[N@H+]2CCO[C@H]1C2 ZINC001434780093 1005970824 /nfs/dbraw/zinc/97/08/24/1005970824.db2.gz KWDFUVVCNBKWRO-MCIONIFRSA-N 0 2 319.409 0.902 20 0 DCADLN O=C(NCC1(NC(=O)[C@]23C[C@H]2COC3)CC1)C(F)C(F)(F)F ZINC001506095812 1017010526 /nfs/dbraw/zinc/01/05/26/1017010526.db2.gz QCRROOXDFPLFII-UBJUHAIHSA-N 0 2 324.274 0.688 20 0 DCADLN O=C(NCC1(NC(=O)[C@]23C[C@H]2COC3)CC1)[C@H](F)C(F)(F)F ZINC001506095812 1017010532 /nfs/dbraw/zinc/01/05/32/1017010532.db2.gz QCRROOXDFPLFII-UBJUHAIHSA-N 0 2 324.274 0.688 20 0 DCADLN Cc1cnc(C[NH2+]C[C@@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])o1 ZINC001438647350 1005985533 /nfs/dbraw/zinc/98/55/33/1005985533.db2.gz QTTTWBHZTDCHEJ-MRVPVSSYSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1nonc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001434862155 1006058670 /nfs/dbraw/zinc/05/86/70/1006058670.db2.gz KHWCELDXEWBPFX-VIFPVBQESA-N 0 2 310.251 0.923 20 0 DCADLN Cc1nonc1CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001434862155 1006058676 /nfs/dbraw/zinc/05/86/76/1006058676.db2.gz KHWCELDXEWBPFX-VIFPVBQESA-N 0 2 310.251 0.923 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001451306560 1006077045 /nfs/dbraw/zinc/07/70/45/1006077045.db2.gz DDGFZXVLYKCTCZ-POYBYMJQSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccco1 ZINC001451306560 1006077058 /nfs/dbraw/zinc/07/70/58/1006077058.db2.gz DDGFZXVLYKCTCZ-POYBYMJQSA-N 0 2 312.219 0.387 20 0 DCADLN Cc1cncc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001438869543 1006181861 /nfs/dbraw/zinc/18/18/61/1006181861.db2.gz XTQUTIDWQMMIKX-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN Cc1cncc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001438869543 1006181866 /nfs/dbraw/zinc/18/18/66/1006181866.db2.gz XTQUTIDWQMMIKX-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001436479163 1006207306 /nfs/dbraw/zinc/20/73/06/1006207306.db2.gz MBXQTHBZHSCPMP-UBHAPETDSA-N 0 2 309.370 0.014 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001436479163 1006207310 /nfs/dbraw/zinc/20/73/10/1006207310.db2.gz MBXQTHBZHSCPMP-UBHAPETDSA-N 0 2 309.370 0.014 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(Cl)no1 ZINC001438927031 1006236424 /nfs/dbraw/zinc/23/64/24/1006236424.db2.gz DYEQLUVBWDPVJV-UHFFFAOYSA-N 0 2 314.733 0.356 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(Cl)no1 ZINC001438927031 1006236430 /nfs/dbraw/zinc/23/64/30/1006236430.db2.gz DYEQLUVBWDPVJV-UHFFFAOYSA-N 0 2 314.733 0.356 20 0 DCADLN CCc1ncc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001438941274 1006256016 /nfs/dbraw/zinc/25/60/16/1006256016.db2.gz RHIFHYWEXYGQAF-UHFFFAOYSA-N 0 2 324.410 0.733 20 0 DCADLN CCc1ncc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001438941274 1006256022 /nfs/dbraw/zinc/25/60/22/1006256022.db2.gz RHIFHYWEXYGQAF-UHFFFAOYSA-N 0 2 324.410 0.733 20 0 DCADLN C[C@@H](NC(=O)C1=NN(c2ccccc2)CC1=O)c1nnnn1C ZINC001514767762 1017035766 /nfs/dbraw/zinc/03/57/66/1017035766.db2.gz LDOOGSTXDBMMQM-SECBINFHSA-N 0 2 313.321 0.592 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C[NH2+]Cc2nnc(C(C)C)o2)c1[O-] ZINC001439206112 1006576676 /nfs/dbraw/zinc/57/66/76/1006576676.db2.gz LRKRMFUNJFWDII-QMMMGPOBSA-N 0 2 322.369 0.838 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001439306777 1006676127 /nfs/dbraw/zinc/67/61/27/1006676127.db2.gz DFPDURWDOJBDHV-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001439306777 1006676137 /nfs/dbraw/zinc/67/61/37/1006676137.db2.gz DFPDURWDOJBDHV-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001452639978 1006960667 /nfs/dbraw/zinc/96/06/67/1006960667.db2.gz WASNZUAGGCUYRA-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001452639978 1006960675 /nfs/dbraw/zinc/96/06/75/1006960675.db2.gz WASNZUAGGCUYRA-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN O=C(CC[C@H]1CCCO1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437649157 1007211092 /nfs/dbraw/zinc/21/10/92/1007211092.db2.gz BYZBISAPUSJGKM-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(CC[C@H]1CCCO1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437649157 1007211105 /nfs/dbraw/zinc/21/11/05/1007211105.db2.gz BYZBISAPUSJGKM-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN CO[C@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(C)C ZINC001437806370 1007419835 /nfs/dbraw/zinc/41/98/35/1007419835.db2.gz DVPDZHPMLSVREX-LBPRGKRZSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(C)C ZINC001437806370 1007419840 /nfs/dbraw/zinc/41/98/40/1007419840.db2.gz DVPDZHPMLSVREX-LBPRGKRZSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1ncccc1C[N@H+](CCNC(=O)c1nc[nH]n1)C1CC1 ZINC001437814046 1007426971 /nfs/dbraw/zinc/42/69/71/1007426971.db2.gz HBRDLKYIOMLQLT-UHFFFAOYSA-N 0 2 300.366 0.903 20 0 DCADLN Cc1ncccc1C[N@@H+](CCNC(=O)c1nc[nH]n1)C1CC1 ZINC001437814046 1007426978 /nfs/dbraw/zinc/42/69/78/1007426978.db2.gz HBRDLKYIOMLQLT-UHFFFAOYSA-N 0 2 300.366 0.903 20 0 DCADLN Cn1cnnc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001438124858 1007652401 /nfs/dbraw/zinc/65/24/01/1007652401.db2.gz XJYXXTQBSGTHTE-VIFPVBQESA-N 0 2 309.267 0.264 20 0 DCADLN Cn1cnnc1CN1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001438124858 1007652407 /nfs/dbraw/zinc/65/24/07/1007652407.db2.gz XJYXXTQBSGTHTE-VIFPVBQESA-N 0 2 309.267 0.264 20 0 DCADLN CCCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ncn[nH]2)C(C)(C)C1 ZINC001440619056 1007920611 /nfs/dbraw/zinc/92/06/11/1007920611.db2.gz IYYXBIJOQYYRQP-NSHDSACASA-N 0 2 322.413 0.161 20 0 DCADLN CCCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ncn[nH]2)C(C)(C)C1 ZINC001440619056 1007920616 /nfs/dbraw/zinc/92/06/16/1007920616.db2.gz IYYXBIJOQYYRQP-NSHDSACASA-N 0 2 322.413 0.161 20 0 DCADLN NS(=O)(=O)c1cc2c(s1)CC[N@@H+](C[C@@H]1C[C@H]1C(=O)[O-])C2 ZINC001574279763 1163682243 /nfs/dbraw/zinc/68/22/43/1163682243.db2.gz HHFLBFKLQIZRMH-IONNQARKSA-N 0 2 316.404 0.474 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnon2)C1 ZINC001441164681 1008250442 /nfs/dbraw/zinc/25/04/42/1008250442.db2.gz DYJWTRDJYYFSSM-MUWHJKNJSA-N 0 2 310.251 0.907 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2cnon2)C1 ZINC001441164681 1008250447 /nfs/dbraw/zinc/25/04/47/1008250447.db2.gz DYJWTRDJYYFSSM-MUWHJKNJSA-N 0 2 310.251 0.907 20 0 DCADLN O=C(CC1CC1)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433229120 1008620729 /nfs/dbraw/zinc/62/07/29/1008620729.db2.gz XETURPUGVNWMCU-APPZFPTMSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001433229120 1008620734 /nfs/dbraw/zinc/62/07/34/1008620734.db2.gz XETURPUGVNWMCU-APPZFPTMSA-N 0 2 300.252 0.280 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001453671288 1008655412 /nfs/dbraw/zinc/65/54/12/1008655412.db2.gz DNMGQHPSYARCPK-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001453671288 1008655415 /nfs/dbraw/zinc/65/54/15/1008655415.db2.gz DNMGQHPSYARCPK-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cncnc2)C1 ZINC001453680823 1008662174 /nfs/dbraw/zinc/66/21/74/1008662174.db2.gz WESWLFGYFBNNNX-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cncnc2)C1 ZINC001453680823 1008662177 /nfs/dbraw/zinc/66/21/77/1008662177.db2.gz WESWLFGYFBNNNX-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN Cc1coc(C)c1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001433421215 1008768350 /nfs/dbraw/zinc/76/83/50/1008768350.db2.gz BFDHYEMUMRAICK-UHFFFAOYSA-N 0 2 305.338 0.678 20 0 DCADLN Cc1nonc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001433447433 1008795382 /nfs/dbraw/zinc/79/53/82/1008795382.db2.gz SYHLMSHUVCMYGE-FBCQKBJTSA-N 0 2 312.223 0.513 20 0 DCADLN Cc1nonc1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001433447433 1008795390 /nfs/dbraw/zinc/79/53/90/1008795390.db2.gz SYHLMSHUVCMYGE-FBCQKBJTSA-N 0 2 312.223 0.513 20 0 DCADLN CS[C@H](C)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001442097171 1008965526 /nfs/dbraw/zinc/96/55/26/1008965526.db2.gz UIZRRZMGMOBHSV-SNVBAGLBSA-N 0 2 315.443 0.931 20 0 DCADLN CS[C@H](C)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001442097171 1008965537 /nfs/dbraw/zinc/96/55/37/1008965537.db2.gz UIZRRZMGMOBHSV-SNVBAGLBSA-N 0 2 315.443 0.931 20 0 DCADLN C[C@@H]1[C@@H](CCC(=O)NCc2n[nH]c(=O)[nH]2)[C@H](C)Nc2ccnn21 ZINC001433773462 1009167004 /nfs/dbraw/zinc/16/70/04/1009167004.db2.gz QPKBEHLLQNVVPU-AEJSXWLSSA-N 0 2 319.369 0.795 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@H+](C)CCCN2CCCC2=O)c1[O-] ZINC001442377838 1009243290 /nfs/dbraw/zinc/24/32/90/1009243290.db2.gz QDBCMVGZMDTZJG-UHFFFAOYSA-N 0 2 323.397 0.098 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@@H+](C)CCCN2CCCC2=O)c1[O-] ZINC001442377838 1009243298 /nfs/dbraw/zinc/24/32/98/1009243298.db2.gz QDBCMVGZMDTZJG-UHFFFAOYSA-N 0 2 323.397 0.098 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC001433955529 1009394811 /nfs/dbraw/zinc/39/48/11/1009394811.db2.gz ZHPLHFRPVANQRJ-RYUDHWBXSA-N 0 2 320.393 0.451 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@H]2CC2(F)F)CC1 ZINC001433954360 1009396769 /nfs/dbraw/zinc/39/67/69/1009396769.db2.gz QFDYXOYFGJQYOM-SECBINFHSA-N 0 2 312.320 0.681 20 0 DCADLN C[C@H](Cn1cccn1)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001477303403 1017274678 /nfs/dbraw/zinc/27/46/78/1017274678.db2.gz ZNCGIFYPYDAHBJ-GHMZBOCLSA-N 0 2 319.369 0.685 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(OC)ccn1)Cc1n[nH]c(=O)[n-]1 ZINC001442690754 1009631371 /nfs/dbraw/zinc/63/13/71/1009631371.db2.gz LKNNKXHHDYYVBX-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(OC)ccn1)Cc1n[nH]c(=O)[n-]1 ZINC001442690754 1009631374 /nfs/dbraw/zinc/63/13/74/1009631374.db2.gz LKNNKXHHDYYVBX-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@]1(C)CCOC1 ZINC001455283818 1009633038 /nfs/dbraw/zinc/63/30/38/1009633038.db2.gz YFIWCFYPBLZFIX-KCJUWKMLSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@@]1(C)CCOC1 ZINC001455283818 1009633042 /nfs/dbraw/zinc/63/30/42/1009633042.db2.gz YFIWCFYPBLZFIX-KCJUWKMLSA-N 0 2 314.279 0.888 20 0 DCADLN Cn1ncnc1CN1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001442780904 1009726704 /nfs/dbraw/zinc/72/67/04/1009726704.db2.gz HEQNZUQXJWHFBQ-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CCC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001442780904 1009726715 /nfs/dbraw/zinc/72/67/15/1009726715.db2.gz HEQNZUQXJWHFBQ-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN CCC[N@@H+](C)CC(=O)N(C)[C@@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001442816439 1009771718 /nfs/dbraw/zinc/77/17/18/1009771718.db2.gz IPUYYRWKGBOZKN-ZDUSSCGKSA-N 0 2 309.414 0.188 20 0 DCADLN CC1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC(F)(F)C1 ZINC001434385972 1009881520 /nfs/dbraw/zinc/88/15/20/1009881520.db2.gz VOJYVPOMMIMRDB-UHFFFAOYSA-N 0 2 322.337 0.401 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)c1ccc(F)cc1)c1nn(C)cc1O ZINC001434407732 1009914514 /nfs/dbraw/zinc/91/45/14/1009914514.db2.gz QBIJUQZLPWMZCE-SECBINFHSA-N 0 2 320.324 0.872 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1CCS(=O)(=O)C1)c1nn(C)cc1O ZINC001434407985 1009914993 /nfs/dbraw/zinc/91/49/93/1009914993.db2.gz RZANDUDNTQTEMX-RKDXNWHRSA-N 0 2 301.368 0.128 20 0 DCADLN CCN1CC[C@@H]([N@@H+]2CC[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)C1=O ZINC001442921842 1009940758 /nfs/dbraw/zinc/94/07/58/1009940758.db2.gz YVOYLAGPPVVLBU-QWHCGFSZSA-N 0 2 319.409 0.011 20 0 DCADLN Cc1cncc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001442997968 1010031494 /nfs/dbraw/zinc/03/14/94/1010031494.db2.gz XWJWTSBUDYVONH-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cncc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001442997968 1010031512 /nfs/dbraw/zinc/03/15/12/1010031512.db2.gz XWJWTSBUDYVONH-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN CCC(C)(C)CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456306157 1010184191 /nfs/dbraw/zinc/18/41/91/1010184191.db2.gz COCICRJRTLMSML-UHFFFAOYSA-N 0 2 302.400 0.792 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnc(C(F)(F)F)nc1 ZINC001434735766 1010216898 /nfs/dbraw/zinc/21/68/98/1010216898.db2.gz RXVPHVXFIPJEFR-UHFFFAOYSA-N 0 2 303.204 0.641 20 0 DCADLN C[C@H](CNC(=O)C(C1CC1)C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422588325 1010363731 /nfs/dbraw/zinc/36/37/31/1010363731.db2.gz YIKXWIMASBUROX-SECBINFHSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](CNC(=O)C(C1CC1)C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422588325 1010363740 /nfs/dbraw/zinc/36/37/40/1010363740.db2.gz YIKXWIMASBUROX-SECBINFHSA-N 0 2 307.398 0.883 20 0 DCADLN COCC1(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001422721944 1010527217 /nfs/dbraw/zinc/52/72/17/1010527217.db2.gz DYUGHYSUCWRWDF-SNVBAGLBSA-N 0 2 323.397 0.264 20 0 DCADLN CCO[C@@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001422736491 1010546141 /nfs/dbraw/zinc/54/61/41/1010546141.db2.gz WQEOUIIOROFDSB-TVQRCGJNSA-N 0 2 323.397 0.262 20 0 DCADLN Cc1nscc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422814265 1010639403 /nfs/dbraw/zinc/63/94/03/1010639403.db2.gz VILHFDJKROOAED-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1nscc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422814265 1010639400 /nfs/dbraw/zinc/63/94/00/1010639400.db2.gz VILHFDJKROOAED-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001443887624 1010726348 /nfs/dbraw/zinc/72/63/48/1010726348.db2.gz HEDUCAPYZLCGPP-FSPLSTOPSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001443887624 1010726357 /nfs/dbraw/zinc/72/63/57/1010726357.db2.gz HEDUCAPYZLCGPP-FSPLSTOPSA-N 0 2 311.239 0.282 20 0 DCADLN Cc1cnn(CCC(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422919593 1010737529 /nfs/dbraw/zinc/73/75/29/1010737529.db2.gz PZCQKCBEXLMSNR-LLVKDONJSA-N 0 2 321.385 0.042 20 0 DCADLN Cc1cnn(CCC(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422919593 1010737535 /nfs/dbraw/zinc/73/75/35/1010737535.db2.gz PZCQKCBEXLMSNR-LLVKDONJSA-N 0 2 321.385 0.042 20 0 DCADLN Cc1noc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001423094086 1010883656 /nfs/dbraw/zinc/88/36/56/1010883656.db2.gz MWABARDPWKIGON-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1noc(CN2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001423094086 1010883659 /nfs/dbraw/zinc/88/36/59/1010883659.db2.gz MWABARDPWKIGON-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN COc1ccc(C(=O)NC[C@@H](CO)NCc2ccccn2)cc1 ZINC001423283991 1011045086 /nfs/dbraw/zinc/04/50/86/1011045086.db2.gz NOSNMRZMRJXPKB-HNNXBMFYSA-N 0 2 315.373 0.971 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC2(O)CCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423377934 1011109915 /nfs/dbraw/zinc/10/99/15/1011109915.db2.gz XNSIERFYUIPCHE-MNOVXSKESA-N 0 2 323.397 0.285 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC2(O)CCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423377934 1011109921 /nfs/dbraw/zinc/10/99/21/1011109921.db2.gz XNSIERFYUIPCHE-MNOVXSKESA-N 0 2 323.397 0.285 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001423380863 1011113692 /nfs/dbraw/zinc/11/36/92/1011113692.db2.gz RYFQXBBXUOFZQP-VXGBXAGGSA-N 0 2 323.397 0.739 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCOC ZINC001456833611 1011482320 /nfs/dbraw/zinc/48/23/20/1011482320.db2.gz UUGXDGRYFJXHGW-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CCOC ZINC001456833611 1011482327 /nfs/dbraw/zinc/48/23/27/1011482327.db2.gz UUGXDGRYFJXHGW-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCN(CC[NH2+][C@@H](C)c1nnc(C)[nH]1)C(=O)Cn1c[nH+]cc1C ZINC001445296792 1011621060 /nfs/dbraw/zinc/62/10/60/1011621060.db2.gz ADXMQSZYKKBGBK-LBPRGKRZSA-N 0 2 319.413 0.817 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)CC(C)(C)O ZINC001457765822 1011866769 /nfs/dbraw/zinc/86/67/69/1011866769.db2.gz OXGAXGDKPSMUEB-SECBINFHSA-N 0 2 316.295 0.965 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)CC(C)(C)O ZINC001457765822 1011866788 /nfs/dbraw/zinc/86/67/88/1011866788.db2.gz OXGAXGDKPSMUEB-SECBINFHSA-N 0 2 316.295 0.965 20 0 DCADLN CC1(O)CCN(c2cc(NC[C@@H]3C[C@H]3C(=O)[O-])[nH+]cn2)CC1 ZINC001553346619 1011906818 /nfs/dbraw/zinc/90/68/18/1011906818.db2.gz SGCIBGSMXPZIKH-WDEREUQCSA-N 0 2 306.366 0.960 20 0 DCADLN CC1(O)CCN(c2cc(NC[C@@H]3C[C@H]3C(=O)[O-])nc[nH+]2)CC1 ZINC001553346619 1011906831 /nfs/dbraw/zinc/90/68/31/1011906831.db2.gz SGCIBGSMXPZIKH-WDEREUQCSA-N 0 2 306.366 0.960 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001535530450 1011920789 /nfs/dbraw/zinc/92/07/89/1011920789.db2.gz LCQPTQAMSLKDGU-UHFFFAOYSA-N 0 2 307.398 0.057 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cccc3oc(=O)[nH]c32)C(=O)N1C ZINC001557576659 1012281269 /nfs/dbraw/zinc/28/12/69/1012281269.db2.gz LWRYWEOTAIFHLO-ZCFIWIBFSA-N 0 2 304.262 0.461 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001458723289 1012322899 /nfs/dbraw/zinc/32/28/99/1012322899.db2.gz HPURSOUFXGLDKV-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001458723289 1012322913 /nfs/dbraw/zinc/32/29/13/1012322913.db2.gz HPURSOUFXGLDKV-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN COC[C@H](NC(=O)C=CCOc1ccccc1)c1nn[nH]n1 ZINC001558340022 1012349925 /nfs/dbraw/zinc/34/99/25/1012349925.db2.gz SYUORAJSMAHASA-JECSTDCCSA-N 0 2 303.322 0.639 20 0 DCADLN COC[C@H](NC(=O)/C=C\COc1ccccc1)c1nn[nH]n1 ZINC001558340022 1012349934 /nfs/dbraw/zinc/34/99/34/1012349934.db2.gz SYUORAJSMAHASA-JECSTDCCSA-N 0 2 303.322 0.639 20 0 DCADLN CSCCCCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001558551873 1012364112 /nfs/dbraw/zinc/36/41/12/1012364112.db2.gz TTXLPQFVUPCLRU-UHFFFAOYSA-N 0 2 320.440 0.499 20 0 DCADLN Cn1cncc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001458973106 1012500109 /nfs/dbraw/zinc/50/01/09/1012500109.db2.gz PQAHEQALEKCDGM-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cncc1C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001458973106 1012500123 /nfs/dbraw/zinc/50/01/23/1012500123.db2.gz PQAHEQALEKCDGM-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN O=C(NCC[C@H]1CCOC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001446639722 1012879307 /nfs/dbraw/zinc/87/93/07/1012879307.db2.gz BNUUSTBDRSTKDA-JTQLQIEISA-N 0 2 318.333 0.423 20 0 DCADLN O=C(NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001429938189 1012972032 /nfs/dbraw/zinc/97/20/32/1012972032.db2.gz HIZBXLWTHKWPEY-IUCAKERBSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001429938189 1012972046 /nfs/dbraw/zinc/97/20/46/1012972046.db2.gz HIZBXLWTHKWPEY-IUCAKERBSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001429938191 1012972274 /nfs/dbraw/zinc/97/22/74/1012972274.db2.gz HIZBXLWTHKWPEY-RKDXNWHRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001429938191 1012972295 /nfs/dbraw/zinc/97/22/95/1012972295.db2.gz HIZBXLWTHKWPEY-RKDXNWHRSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001510512032 1017678981 /nfs/dbraw/zinc/67/89/81/1017678981.db2.gz CGCPKFYADWQMMQ-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn(C)cn1)NC(=O)[C@H](F)C(F)(F)F ZINC001510512032 1017678987 /nfs/dbraw/zinc/67/89/87/1017678987.db2.gz CGCPKFYADWQMMQ-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN Cc1ncncc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001494757891 1018008464 /nfs/dbraw/zinc/00/84/64/1018008464.db2.gz FYFAEAFZFJERJX-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ncncc1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001494757891 1018008477 /nfs/dbraw/zinc/00/84/77/1018008477.db2.gz FYFAEAFZFJERJX-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN CCN(CC[NH2+][C@@H]1CCCNC1=O)C(=O)CCc1c[nH]c[nH+]1 ZINC001497404897 1018116196 /nfs/dbraw/zinc/11/61/96/1018116196.db2.gz PUCGOUMUCJIMMM-CYBMUJFWSA-N 0 2 307.398 0.059 20 0 DCADLN Cc1noc([C@@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001497565956 1018228794 /nfs/dbraw/zinc/22/87/94/1018228794.db2.gz WHATZRFZFSPSKI-XNPJLODASA-N 0 2 320.353 0.752 20 0 DCADLN O=C([C@H]1CCC[C@@H](F)C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001480356864 1018464034 /nfs/dbraw/zinc/46/40/34/1018464034.db2.gz NMBRDHJHURAIJB-WDEREUQCSA-N 0 2 311.361 0.683 20 0 DCADLN CN(CCNC(=O)C1CCOCC1)C(=O)C(F)C(F)(F)F ZINC001495801992 1018703286 /nfs/dbraw/zinc/70/32/86/1018703286.db2.gz VVRIEWPZIUUJBF-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)C1CCOCC1)C(=O)[C@H](F)C(F)(F)F ZINC001495801992 1018703301 /nfs/dbraw/zinc/70/33/01/1018703301.db2.gz VVRIEWPZIUUJBF-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN CC(C)CC[N@@H+]1CCO[C@H](CNC(=O)CCc2cnn[nH]2)C1 ZINC001495871142 1018793466 /nfs/dbraw/zinc/79/34/66/1018793466.db2.gz MFCFQEQUQQSMLM-CQSZACIVSA-N 0 2 309.414 0.600 20 0 DCADLN CC(C)CC[N@H+]1CCO[C@H](CNC(=O)CCc2cnn[nH]2)C1 ZINC001495871142 1018793479 /nfs/dbraw/zinc/79/34/79/1018793479.db2.gz MFCFQEQUQQSMLM-CQSZACIVSA-N 0 2 309.414 0.600 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ncccn1 ZINC001501182114 1018936468 /nfs/dbraw/zinc/93/64/68/1018936468.db2.gz LURPVDSTZOHMRK-QMMMGPOBSA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1ncccn1 ZINC001501182114 1018936499 /nfs/dbraw/zinc/93/64/99/1018936499.db2.gz LURPVDSTZOHMRK-QMMMGPOBSA-N 0 2 322.262 0.907 20 0 DCADLN CCOc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001498343604 1018979802 /nfs/dbraw/zinc/97/98/02/1018979802.db2.gz VGGYETWQPVSDIT-MRVPVSSYSA-N 0 2 324.341 0.147 20 0 DCADLN CCOc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001498343604 1018979808 /nfs/dbraw/zinc/97/98/08/1018979808.db2.gz VGGYETWQPVSDIT-MRVPVSSYSA-N 0 2 324.341 0.147 20 0 DCADLN CCc1c(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001493022758 1019010952 /nfs/dbraw/zinc/01/09/52/1019010952.db2.gz RATVZBXOLKVAPM-UHFFFAOYSA-N 0 2 320.397 0.663 20 0 DCADLN CCc1c(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001493022758 1019010965 /nfs/dbraw/zinc/01/09/65/1019010965.db2.gz RATVZBXOLKVAPM-UHFFFAOYSA-N 0 2 320.397 0.663 20 0 DCADLN CC[N@H+](CCNC(=O)C1(C)CC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001493041933 1019035514 /nfs/dbraw/zinc/03/55/14/1019035514.db2.gz CIBKZSDLWPGNIB-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN CC[N@@H+](CCNC(=O)C1(C)CC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001493041933 1019035531 /nfs/dbraw/zinc/03/55/31/1019035531.db2.gz CIBKZSDLWPGNIB-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN CC/C(C)=C\C(=O)N[C@@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001501322824 1019054388 /nfs/dbraw/zinc/05/43/88/1019054388.db2.gz FHOWMISHRXHAKA-QARUFBMTSA-N 0 2 323.397 0.310 20 0 DCADLN O=C(Cc1cc[nH]n1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001501752738 1019441856 /nfs/dbraw/zinc/44/18/56/1019441856.db2.gz BDZFEEJMTJNFAK-YOLVWIGZSA-N 0 2 322.262 0.641 20 0 DCADLN O=C(Cc1cc[nH]n1)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001501752738 1019441865 /nfs/dbraw/zinc/44/18/65/1019441865.db2.gz BDZFEEJMTJNFAK-YOLVWIGZSA-N 0 2 322.262 0.641 20 0 DCADLN CC(C)(C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001501816910 1019487128 /nfs/dbraw/zinc/48/71/28/1019487128.db2.gz LUOZGFTWTCXSEH-LLVKDONJSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001493580624 1019538127 /nfs/dbraw/zinc/53/81/27/1019538127.db2.gz PJUVPXVSKBBRPU-RKDXNWHRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001493580624 1019538142 /nfs/dbraw/zinc/53/81/42/1019538142.db2.gz PJUVPXVSKBBRPU-RKDXNWHRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(CC1CC(F)(F)C1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001499007603 1019640310 /nfs/dbraw/zinc/64/03/10/1019640310.db2.gz ORPUQLSZQZSOGH-VIFPVBQESA-N 0 2 315.324 0.636 20 0 DCADLN COC[C@@H](C)CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001493929939 1019823704 /nfs/dbraw/zinc/82/37/04/1019823704.db2.gz DVJAVPQBWDKXSA-SDDRHHMPSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1cc(S(=O)(=O)NCC[NH+]2CC=CC2)oc1C(=O)[O-] ZINC001607707845 1170499130 /nfs/dbraw/zinc/49/91/30/1170499130.db2.gz SHANBDUTYDFWFW-UHFFFAOYSA-N 0 2 300.336 0.436 20 0 DCADLN [NH3+][C@H](CC(=O)NC[C@@H](Oc1ccccc1)C(=O)[O-])C(F)F ZINC001608248918 1170630878 /nfs/dbraw/zinc/63/08/78/1170630878.db2.gz RYZPYYTXEFSXMJ-NXEZZACHSA-N 0 2 302.277 0.617 20 0 DCADLN CC(C)(C)C[C@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000092523846 185324150 /nfs/dbraw/zinc/32/41/50/185324150.db2.gz WVIHXLWBWJLOJA-SECBINFHSA-N 0 2 320.349 0.551 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1ccc2nn[nH]c2c1 ZINC000272684358 210088762 /nfs/dbraw/zinc/08/87/62/210088762.db2.gz SNPIKDRMLSBYMS-KRTXAFLBSA-N 0 2 303.322 0.172 20 0 DCADLN COC(=O)N1CC(NC(=O)c2cc(F)c(F)c(O)c2F)C1 ZINC000183344967 199578907 /nfs/dbraw/zinc/57/89/07/199578907.db2.gz GAYIQASWYHKPHC-UHFFFAOYSA-N 0 2 304.224 0.990 20 0 DCADLN CC(C)N1CCN(CC(=O)NOCc2ccccc2)CC1=O ZINC000272620911 210021913 /nfs/dbraw/zinc/02/19/13/210021913.db2.gz USTWZCIKQCXUEB-UHFFFAOYSA-N 0 2 305.378 0.787 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)NCC[N@H+]1CC=CCC1 ZINC000279998666 215300909 /nfs/dbraw/zinc/30/09/09/215300909.db2.gz MKMQPRRONXAHKT-UHFFFAOYSA-N 0 2 314.363 0.826 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)NCC[N@@H+]1CC=CCC1 ZINC000279998666 215300912 /nfs/dbraw/zinc/30/09/12/215300912.db2.gz MKMQPRRONXAHKT-UHFFFAOYSA-N 0 2 314.363 0.826 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)c1cccs1)C(=O)OCC ZINC000005979527 181303504 /nfs/dbraw/zinc/30/35/04/181303504.db2.gz QBNPWZYFGSYFRY-UHFFFAOYSA-N 0 2 321.376 0.521 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N2CC[C@@](C)(C(=O)[O-])C2)c(C)[nH+]1 ZINC000422686007 240178184 /nfs/dbraw/zinc/17/81/84/240178184.db2.gz SHVICNLCASWYCR-OAHLLOKOSA-N 0 2 305.334 0.960 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)C(=O)N[C@@H]1CC[C@H]1O ZINC000425807828 240343827 /nfs/dbraw/zinc/34/38/27/240343827.db2.gz QNZJRYQKFYQZLR-NXEZZACHSA-N 0 2 318.358 0.205 20 0 DCADLN CCOC(=O)C(C)(C)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000568631354 291438543 /nfs/dbraw/zinc/43/85/43/291438543.db2.gz JDIARRQIWXJIJD-VIFPVBQESA-N 0 2 310.354 0.806 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@@H](CCO)CC1 ZINC000572060428 291546930 /nfs/dbraw/zinc/54/69/30/291546930.db2.gz VZZDLIFFALXPIF-SECBINFHSA-N 0 2 304.334 0.868 20 0 DCADLN C[N@@H+]1CCCN(S(=O)(=O)c2cc(C(=O)[O-])cs2)CC1 ZINC000019979975 182172958 /nfs/dbraw/zinc/17/29/58/182172958.db2.gz PNFJTSGLJVKGKB-UHFFFAOYSA-N 0 2 304.393 0.773 20 0 DCADLN C[N@H+]1CCCN(S(=O)(=O)c2cc(C(=O)[O-])cs2)CC1 ZINC000019979975 182172960 /nfs/dbraw/zinc/17/29/60/182172960.db2.gz PNFJTSGLJVKGKB-UHFFFAOYSA-N 0 2 304.393 0.773 20 0 DCADLN C[C@@H]1CCCCN1S(=O)(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000020550581 182230179 /nfs/dbraw/zinc/23/01/79/182230179.db2.gz WXDXTLFOFBVANC-KOLCDFICSA-N 0 2 316.383 0.114 20 0 DCADLN C[C@@H]1CCCCN1S(=O)(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000020550581 182230181 /nfs/dbraw/zinc/23/01/81/182230181.db2.gz WXDXTLFOFBVANC-KOLCDFICSA-N 0 2 316.383 0.114 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(-c2nn[nH]n2)cc1 ZINC000110589802 194340501 /nfs/dbraw/zinc/34/05/01/194340501.db2.gz ZPBLDUGAAOHKOZ-UHFFFAOYSA-N 0 2 305.323 0.699 20 0 DCADLN CCCCN(CCO)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000339741215 253136910 /nfs/dbraw/zinc/13/69/10/253136910.db2.gz CMVRSZQPAGSXJF-UHFFFAOYSA-N 0 2 320.349 0.979 20 0 DCADLN CNC(=O)c1cccc(NS(=O)(=O)c2ccnn2C)c1C ZINC000340079003 253196900 /nfs/dbraw/zinc/19/69/00/253196900.db2.gz MEBBKQVJAJUUGI-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN CC[C@@H](C[C@@H](C)CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000340290403 253231496 /nfs/dbraw/zinc/23/14/96/253231496.db2.gz MCWAUKKCCGIDMG-SCZZXKLOSA-N 0 2 320.349 0.963 20 0 DCADLN CC(=O)Nc1ncc(S(=O)(=O)Nc2nncs2)s1 ZINC000340366622 253244209 /nfs/dbraw/zinc/24/42/09/253244209.db2.gz QDWFCQBASHMXKQ-UHFFFAOYSA-N 0 2 305.366 0.754 20 0 DCADLN COc1ncnc(N(C)C)c1NS(=O)(=O)c1ccnn1C ZINC000340944666 253325778 /nfs/dbraw/zinc/32/57/78/253325778.db2.gz BFGGLTGNSFIPON-UHFFFAOYSA-N 0 2 312.355 0.086 20 0 DCADLN C[C@@H](O)C[C@H](C)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000340896640 253319519 /nfs/dbraw/zinc/31/95/19/253319519.db2.gz HKHHOOARHFYQJY-JGVFFNPUSA-N 0 2 314.411 0.472 20 0 DCADLN CC[C@@H](O)CNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000341363112 253371210 /nfs/dbraw/zinc/37/12/10/253371210.db2.gz KOBGPAZXQJWTIF-SSDOTTSWSA-N 0 2 300.384 0.083 20 0 DCADLN Cc1cc(Cl)ccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000342073436 253455251 /nfs/dbraw/zinc/45/52/51/253455251.db2.gz TWDQHDJSTPGDLZ-UHFFFAOYSA-N 0 2 309.713 0.727 20 0 DCADLN COCCCOCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288714293 220366846 /nfs/dbraw/zinc/36/68/46/220366846.db2.gz CNRRLNDXHMHHCW-UHFFFAOYSA-N 0 2 306.322 0.757 20 0 DCADLN CC(C)(C)C(=O)NCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288720065 220370156 /nfs/dbraw/zinc/37/01/56/220370156.db2.gz DAZUEEVDAXTAFR-UHFFFAOYSA-N 0 2 317.349 0.866 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccnc3ncnn32)c[nH]1 ZINC000347315132 254148031 /nfs/dbraw/zinc/14/80/31/254148031.db2.gz CLVHEUCTBXWNFX-UHFFFAOYSA-N 0 2 322.306 0.040 20 0 DCADLN COc1ccccc1S(=O)(=O)Nc1ccc(C(N)=O)nc1 ZINC000347273125 254143905 /nfs/dbraw/zinc/14/39/05/254143905.db2.gz MFQBATSOHDLUAB-UHFFFAOYSA-N 0 2 307.331 0.990 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn(CC(C)C)c2C)c1O ZINC000348682998 254249123 /nfs/dbraw/zinc/24/91/23/254249123.db2.gz YUGRGJPJLUESPB-LLVKDONJSA-N 0 2 308.338 0.835 20 0 DCADLN Cn1cc(CCNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)cn1 ZINC000348796790 254256414 /nfs/dbraw/zinc/25/64/14/254256414.db2.gz BNKLTCINJFTOBT-UHFFFAOYSA-N 0 2 313.317 0.736 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H]1Cc2ccccc2O1 ZINC000350918598 254329578 /nfs/dbraw/zinc/32/95/78/254329578.db2.gz MEOFYQPNUAVGFF-VIFPVBQESA-N 0 2 306.347 0.722 20 0 DCADLN CS(=O)(=O)[N-]c1nnc(NC(=O)CCc2[nH]cc[nH+]2)s1 ZINC000351664863 254357662 /nfs/dbraw/zinc/35/76/62/254357662.db2.gz JQWPDRRHEDHGDQ-UHFFFAOYSA-N 0 2 316.368 0.204 20 0 DCADLN O=C(C[C@@H]1CCCC(=O)N1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289269809 220845649 /nfs/dbraw/zinc/84/56/49/220845649.db2.gz CYJBDRDDPCFGGY-NSHDSACASA-N 0 2 315.333 0.762 20 0 DCADLN Cc1nnc(CCNC(=O)C(C)(C)NC(=O)C(F)(F)F)s1 ZINC000291088704 131542151 /nfs/dbraw/zinc/54/21/51/131542151.db2.gz AEICAVWHROHIPS-UHFFFAOYSA-N 0 2 324.328 0.962 20 0 DCADLN O=C(OCc1ccccc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000091632152 395726648 /nfs/dbraw/zinc/72/66/48/395726648.db2.gz HYYNQZCQDDHNFK-UHFFFAOYSA-N 0 2 317.349 0.552 20 0 DCADLN Cc1c(NS(=O)(=O)c2cn[nH]c2)cccc1S(N)(=O)=O ZINC000037791412 395728944 /nfs/dbraw/zinc/72/89/44/395728944.db2.gz ZXJHZNXGNZACQV-UHFFFAOYSA-N 0 2 316.364 0.166 20 0 DCADLN Cc1cc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)n[nH]1 ZINC000048818891 395823586 /nfs/dbraw/zinc/82/35/86/395823586.db2.gz NARLAHCKDZAGTP-UHFFFAOYSA-N 0 2 302.341 0.193 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H]1CCO[C@@H](C2CC2)C1 ZINC000195454802 395827710 /nfs/dbraw/zinc/82/77/10/395827710.db2.gz IUYNGEJVNZKFGY-DTWKUNHWSA-N 0 2 302.318 0.930 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC1(c3nc[nH]n3)CCC1)c2=O ZINC000194294486 395792699 /nfs/dbraw/zinc/79/26/99/395792699.db2.gz CRDYTUCRVWBSHO-UHFFFAOYSA-N 0 2 313.321 0.601 20 0 DCADLN COc1cc(C(=O)NCCc2nc(C)n[nH]2)cc(OC)c1O ZINC000270677575 395796416 /nfs/dbraw/zinc/79/64/16/395796416.db2.gz BVSWKSUYWLNFRN-UHFFFAOYSA-N 0 2 306.322 0.808 20 0 DCADLN C[C@@H]1SCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@H]1C ZINC000118374894 395862069 /nfs/dbraw/zinc/86/20/69/395862069.db2.gz ZBQOOFUJAQILAI-YUMQZZPRSA-N 0 2 320.374 0.577 20 0 DCADLN CCOC(=O)CC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000274524617 395955798 /nfs/dbraw/zinc/95/57/98/395955798.db2.gz BUGPIFAVOAGUQZ-UHFFFAOYSA-N 0 2 318.333 0.953 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)S(=O)(=O)C1COC1 ZINC000276926599 396037378 /nfs/dbraw/zinc/03/73/78/396037378.db2.gz QCHXXMXKFKXBII-UHFFFAOYSA-N 0 2 315.376 0.557 20 0 DCADLN CN(CC(=O)NOCCC(F)(F)F)c1ncnc2nc[nH]c21 ZINC000154975717 396045638 /nfs/dbraw/zinc/04/56/38/396045638.db2.gz WEDDRQPTQWKTBV-UHFFFAOYSA-N 0 2 318.259 0.789 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc3c(c2)C(=O)NC3)cn1 ZINC000066584143 396010837 /nfs/dbraw/zinc/01/08/37/396010837.db2.gz JMCXJKDKEFIKCO-UHFFFAOYSA-N 0 2 306.347 0.947 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)N(C)CCO3)c1O ZINC000278053505 396103227 /nfs/dbraw/zinc/10/32/27/396103227.db2.gz INMJWBRZHQFTKX-LBPRGKRZSA-N 0 2 319.317 0.503 20 0 DCADLN COC[C@H]([NH3+])C(=O)NCc1nc(-c2ccc(OC)cc2)n[nH]1 ZINC000262319274 396122846 /nfs/dbraw/zinc/12/28/46/396122846.db2.gz QBJVUFGKEXQRFW-NSHDSACASA-N 0 2 305.338 0.070 20 0 DCADLN CCOc1ccc(OCCNC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])cc1 ZINC000262602343 396160417 /nfs/dbraw/zinc/16/04/17/396160417.db2.gz ADOTYTQAXNQBMQ-LBPRGKRZSA-N 0 2 324.377 0.985 20 0 DCADLN CCOc1ccc(OCCNC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])cc1 ZINC000262602343 396160420 /nfs/dbraw/zinc/16/04/20/396160420.db2.gz ADOTYTQAXNQBMQ-LBPRGKRZSA-N 0 2 324.377 0.985 20 0 DCADLN CCC[C@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)OCC ZINC000279359341 396168568 /nfs/dbraw/zinc/16/85/68/396168568.db2.gz CXPMQOAHOMVBQT-MRVPVSSYSA-N 0 2 316.383 0.286 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000262674919 396171464 /nfs/dbraw/zinc/17/14/64/396171464.db2.gz TWEUCKVVBNGZNH-QMMMGPOBSA-N 0 2 319.317 0.256 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000262674919 396171467 /nfs/dbraw/zinc/17/14/67/396171467.db2.gz TWEUCKVVBNGZNH-QMMMGPOBSA-N 0 2 319.317 0.256 20 0 DCADLN O=C([O-])[C@@H](NC(=O)Cc1cn2ccccc2[nH+]1)[C@@H]1CCCOC1 ZINC000262810977 396184349 /nfs/dbraw/zinc/18/43/49/396184349.db2.gz PDAJFDFBHZLRJJ-ABAIWWIYSA-N 0 2 317.345 0.873 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178363162 396194856 /nfs/dbraw/zinc/19/48/56/396194856.db2.gz OBUZFWGPHGZDKC-AOOOYVTPSA-N 0 2 314.345 0.874 20 0 DCADLN CCOC(=O)c1csc(NS(=O)(=O)c2ccnn2C)n1 ZINC000263857976 396237284 /nfs/dbraw/zinc/23/72/84/396237284.db2.gz UNNKTZNXBUYSEQ-UHFFFAOYSA-N 0 2 316.364 0.854 20 0 DCADLN O=C(NC[C@H]1CCCC[C@@H]1O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000077157795 396213465 /nfs/dbraw/zinc/21/34/65/396213465.db2.gz MPJMKISPTAWTFC-KCJUWKMLSA-N 0 2 318.333 0.305 20 0 DCADLN CCc1ccccc1S(=O)(=O)Nc1cnn(CC(=O)NC)c1 ZINC000187301991 396367252 /nfs/dbraw/zinc/36/72/52/396367252.db2.gz GRZHQFYJEIAYES-UHFFFAOYSA-N 0 2 322.390 0.992 20 0 DCADLN CCc1ncc(NS(=O)(=O)c2cc(C(=O)NC)n(C)c2)cn1 ZINC000269450818 396415537 /nfs/dbraw/zinc/41/55/37/396415537.db2.gz CGWUYHYFZPAJSJ-UHFFFAOYSA-N 0 2 323.378 0.538 20 0 DCADLN COCC(C)(C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000270115734 396461622 /nfs/dbraw/zinc/46/16/22/396461622.db2.gz UNITWRCKQOIBRS-UHFFFAOYSA-N 0 2 308.385 0.521 20 0 DCADLN NS(=O)(=O)c1ccccc1CNC(=O)[C@H](F)C(F)(F)F ZINC000290947633 396475892 /nfs/dbraw/zinc/47/58/92/396475892.db2.gz QJYQCTQTDJIZAQ-QMMMGPOBSA-N 0 2 314.260 0.851 20 0 DCADLN NS(=O)(=O)c1ccccc1CNC(=O)C(F)C(F)(F)F ZINC000290947633 396475893 /nfs/dbraw/zinc/47/58/93/396475893.db2.gz QJYQCTQTDJIZAQ-QMMMGPOBSA-N 0 2 314.260 0.851 20 0 DCADLN COCCc1nsc(N[C@H]2CCC[C@H](S(C)(=O)=O)C2)n1 ZINC000530696663 396581164 /nfs/dbraw/zinc/58/11/64/396581164.db2.gz KNQXAMAKKJGIIH-UWVGGRQHSA-N 0 2 319.452 0.917 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)NCc2cn[nH]c2C)cnc1C ZINC000293013396 396582388 /nfs/dbraw/zinc/58/23/88/396582388.db2.gz OEIZANALZTZORA-UHFFFAOYSA-N 0 2 324.362 0.687 20 0 DCADLN COC(=O)c1ccc2[nH]c(C[N@H+](C)CC(C)(CO)CO)nc2c1 ZINC000595358836 396594942 /nfs/dbraw/zinc/59/49/42/396594942.db2.gz YQCOWAJSJIAJDC-UHFFFAOYSA-N 0 2 321.377 0.772 20 0 DCADLN O=C(CN1CCCNC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000560713258 396623939 /nfs/dbraw/zinc/62/39/39/396623939.db2.gz TWSFHBGVWUQZKE-UHFFFAOYSA-N 0 2 316.321 0.531 20 0 DCADLN COC(=O)c1oc(S(=O)(=O)Nc2nc(C)n(C)n2)cc1C ZINC000596040693 396711454 /nfs/dbraw/zinc/71/14/54/396711454.db2.gz CYQDEUXBTVDBRO-UHFFFAOYSA-N 0 2 314.323 0.612 20 0 DCADLN CNC(=O)[C@H](C)NC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000294202590 396660603 /nfs/dbraw/zinc/66/06/03/396660603.db2.gz OAECRDCFWDSABA-VIFPVBQESA-N 0 2 320.324 0.890 20 0 DCADLN C[C@H]1C[C@H](NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)CO1 ZINC000563886374 396790388 /nfs/dbraw/zinc/79/03/88/396790388.db2.gz UHCUQLGZFUYZPY-YUMQZZPRSA-N 0 2 312.395 0.490 20 0 DCADLN [NH3+][C@H]1C[C@@H]2C[N@H+](Cc3ccc(-n4cc[nH+]c4)cc3)CCN2C1=O ZINC000563432131 396733507 /nfs/dbraw/zinc/73/35/07/396733507.db2.gz KPPJEJREPYBXBG-CVEARBPZSA-N 0 2 311.389 0.616 20 0 DCADLN C[N@H+]1CCN(C(=O)N[C@@H]2C[C@@H]2c2cccc(F)c2)[C@H](C[NH3+])C1 ZINC000563593029 396756239 /nfs/dbraw/zinc/75/62/39/396756239.db2.gz IPHHQMWRAOSYEA-RBSFLKMASA-N 0 2 306.385 0.966 20 0 DCADLN CCn1cc(C(=O)C(=O)N=c2nc(C(C)(C)OC)[nH]s2)cn1 ZINC000634152008 396798003 /nfs/dbraw/zinc/79/80/03/396798003.db2.gz RHLXKFHIBLEPSB-UHFFFAOYSA-N 0 2 323.378 0.879 20 0 DCADLN COC(=O)c1cccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)c1 ZINC000611164883 396826871 /nfs/dbraw/zinc/82/68/71/396826871.db2.gz KDHYYNGFWJIJNJ-UHFFFAOYSA-N 0 2 324.318 0.096 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2nnc([C@H]3CCCO3)s2)n1 ZINC000634664521 396896820 /nfs/dbraw/zinc/89/68/20/396896820.db2.gz FFYRWZLIVQVXLF-SSDOTTSWSA-N 0 2 315.380 0.924 20 0 DCADLN Cn1cc(NS(=O)(=O)c2cn(C)nc2C(F)(F)F)cn1 ZINC000634794523 396918707 /nfs/dbraw/zinc/91/87/07/396918707.db2.gz GSKMMBFGXKRPQI-UHFFFAOYSA-N 0 2 309.273 0.973 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000571446809 396953573 /nfs/dbraw/zinc/95/35/73/396953573.db2.gz YRCJEBYDSRTFQC-UHFFFAOYSA-N 0 2 308.338 0.097 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000571446809 396953579 /nfs/dbraw/zinc/95/35/79/396953579.db2.gz YRCJEBYDSRTFQC-UHFFFAOYSA-N 0 2 308.338 0.097 20 0 DCADLN C[C@H](C[S@@](C)=O)N(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597499282 396992309 /nfs/dbraw/zinc/99/23/09/396992309.db2.gz YBBHAOILUPNNNK-PVBMHHQDSA-N 0 2 323.374 0.869 20 0 DCADLN O=c1[nH]nc(CN(CCN2CCOCC2)c2ccccc2F)[nH]1 ZINC000625940459 397035423 /nfs/dbraw/zinc/03/54/23/397035423.db2.gz AZMYISVWPDQUCP-UHFFFAOYSA-N 0 2 321.356 0.988 20 0 DCADLN CCC[C@H](O)[C@@H](CO)Nc1cc(C(C)(C)C)[nH+]c(C(=O)[O-])n1 ZINC000572964373 397117135 /nfs/dbraw/zinc/11/71/35/397117135.db2.gz IUEABWWDFBULBH-ZJUUUORDSA-N 0 2 311.382 0.828 20 0 DCADLN C[C@H](O)[C@@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000613353671 397247728 /nfs/dbraw/zinc/24/77/28/397247728.db2.gz GYTXBKWEJPVYFO-JOYOIKCWSA-N 0 2 303.318 0.873 20 0 DCADLN O=C1CCC(=O)N1CCCNc1nc(C(F)(F)F)ns1 ZINC000573943814 397221638 /nfs/dbraw/zinc/22/16/38/397221638.db2.gz PIFFMMOHXZLNKJ-UHFFFAOYSA-N 0 2 308.285 0.930 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2COCC[C@H]2O)c1 ZINC000601881456 397260094 /nfs/dbraw/zinc/26/00/94/397260094.db2.gz NGVDHJQORREAPL-ZNLKAECVSA-N 0 2 313.375 0.069 20 0 DCADLN C[S@](=O)c1cccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000613851237 397335783 /nfs/dbraw/zinc/33/57/83/397335783.db2.gz MGAPZUXCHNCWAQ-NRFANRHFSA-N 0 2 308.363 0.610 20 0 DCADLN C[C@H](NC(=O)NCC1([NH+]2CCOCC2)CC1)C1=CC[N@H+](C)CC1 ZINC000577571878 397342667 /nfs/dbraw/zinc/34/26/67/397342667.db2.gz GOHWQBGNVVZHOB-AWEZNQCLSA-N 0 2 322.453 0.801 20 0 DCADLN C[C@H]1OCC[C@@]12CN(C(=O)CSc1n[nH]c(=O)[nH]1)CCO2 ZINC000408164077 397349167 /nfs/dbraw/zinc/34/91/67/397349167.db2.gz GJUHRSYPZUMLRW-PRHODGIISA-N 0 2 314.367 0.009 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC001647254893 1172768616 /nfs/dbraw/zinc/76/86/16/1172768616.db2.gz RPDIWLXHAJKWKT-LLVKDONJSA-N 0 2 302.352 0.491 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC001647254893 1172768621 /nfs/dbraw/zinc/76/86/21/1172768621.db2.gz RPDIWLXHAJKWKT-LLVKDONJSA-N 0 2 302.352 0.491 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)[C@H]([NH3+])CCC(C)C)[C@H](C)C1 ZINC000602514260 397424738 /nfs/dbraw/zinc/42/47/38/397424738.db2.gz RWGULNSSTUKYQS-ZIAGYGMSSA-N 0 2 313.442 0.846 20 0 DCADLN CN1C(=O)CC[C@H]1CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518912 397462820 /nfs/dbraw/zinc/46/28/20/397462820.db2.gz OYSFAGHYIGKOIM-ZJUUUORDSA-N 0 2 307.354 0.227 20 0 DCADLN Cn1c[nH+]cc1CC[N@@H+](CCO)CCNC(=O)OC(C)(C)C ZINC000495932272 397564233 /nfs/dbraw/zinc/56/42/33/397564233.db2.gz SFLCCOOHUHNUED-UHFFFAOYSA-N 0 2 312.414 0.782 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+](CC(=O)[O-])C1CCC1)C(=O)OC ZINC000594524918 397564640 /nfs/dbraw/zinc/56/46/40/397564640.db2.gz NHBHLORZUVPQEA-QMTHXVAHSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+](CC(=O)[O-])C1CCC1)C(=O)OC ZINC000594524918 397564643 /nfs/dbraw/zinc/56/46/43/397564643.db2.gz NHBHLORZUVPQEA-QMTHXVAHSA-N 0 2 314.382 0.629 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@H](O)[C@H]3CCOC3)c[nH]c2n1 ZINC000605895435 397674581 /nfs/dbraw/zinc/67/45/81/397674581.db2.gz WCHFMLUSKPYFOP-GWCFXTLKSA-N 0 2 317.345 0.771 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000066473625 158035573 /nfs/dbraw/zinc/03/55/73/158035573.db2.gz VPBJTOKZSNMMLR-GFCCVEGCSA-N 0 2 304.350 0.940 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000090231103 158102975 /nfs/dbraw/zinc/10/29/75/158102975.db2.gz RAUPRKLXNKGGDP-UHFFFAOYSA-N 0 2 306.347 0.805 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(-c3nn[nH]n3)cc2)cn1 ZINC000103059404 158128742 /nfs/dbraw/zinc/12/87/42/158128742.db2.gz CGEGPMZQMPLGOA-UHFFFAOYSA-N 0 2 305.323 0.401 20 0 DCADLN CS(=O)(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000130025435 158293415 /nfs/dbraw/zinc/29/34/15/158293415.db2.gz GCGDTSDELOGJKK-UHFFFAOYSA-N 0 2 301.372 0.052 20 0 DCADLN COc1ccccc1S(O)=CC(=O)N[C@@H](C)C(=O)N(C)C ZINC000133857046 158321412 /nfs/dbraw/zinc/32/14/12/158321412.db2.gz IOWLMCAJAGWPKE-CWKPULSASA-N 0 2 312.391 0.396 20 0 DCADLN CC(C)C[C@@H](CNC(=O)N[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000316513891 159002820 /nfs/dbraw/zinc/00/28/20/159002820.db2.gz XIYAKSJNPZYAFB-OLZOCXBDSA-N 0 2 315.414 0.753 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)c3ccccn3)C2)[nH]1 ZINC000328803850 159030571 /nfs/dbraw/zinc/03/05/71/159030571.db2.gz COGUPLULMORODP-SECBINFHSA-N 0 2 309.351 0.474 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)CC3CCC3)CC2)[nH]1 ZINC000328815726 159032206 /nfs/dbraw/zinc/03/22/06/159032206.db2.gz GKFIJDYVRUBCSS-UHFFFAOYSA-N 0 2 300.384 0.820 20 0 DCADLN COc1ccc(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000329054868 159056380 /nfs/dbraw/zinc/05/63/80/159056380.db2.gz HVXBZXLPSJBMCF-SNVBAGLBSA-N 0 2 317.349 0.863 20 0 DCADLN C[C@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)C[C@]2(CCOC2)O1 ZINC000329349520 159084495 /nfs/dbraw/zinc/08/44/95/159084495.db2.gz SRWWBVFGZGIESQ-UFBFGSQYSA-N 0 2 314.367 0.009 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2cc(F)c(O)c(F)c2)CCO1 ZINC000331248569 159206755 /nfs/dbraw/zinc/20/67/55/159206755.db2.gz WWPNENCOXYJBPD-SNVBAGLBSA-N 0 2 301.245 0.684 20 0 DCADLN CN(C[C@@H]1CCC[NH+](C)C1)C(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000368180138 159380543 /nfs/dbraw/zinc/38/05/43/159380543.db2.gz PTOBLTYAQUMVKL-GDBMZVCRSA-N 0 2 324.469 0.833 20 0 DCADLN CO[C@@H]1CCC[C@@H](CC(=O)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000408487478 160118499 /nfs/dbraw/zinc/11/84/99/160118499.db2.gz VZSLUKMYRXZOLO-GHMZBOCLSA-N 0 2 315.395 0.820 20 0 DCADLN COc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c(OC)c1 ZINC000080975387 286927995 /nfs/dbraw/zinc/92/79/95/286927995.db2.gz BBPYKCYHDGUEBW-UHFFFAOYSA-N 0 2 306.322 0.478 20 0 DCADLN O=C(N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1nc(=O)[nH][nH]1 ZINC000119857129 286950042 /nfs/dbraw/zinc/95/00/42/286950042.db2.gz NTPIAYNBUSOLJV-JQWIXIFHSA-N 0 2 302.334 0.996 20 0 DCADLN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)c1nc(=O)[nH][nH]1 ZINC000122192876 286957499 /nfs/dbraw/zinc/95/74/99/286957499.db2.gz SVDOQSIEHIXDMI-SECBINFHSA-N 0 2 324.362 0.080 20 0 DCADLN CC[N@H+](CC(=O)NC[C@H](C(C)C)[NH+]1CCN(C)CC1)C1CC1 ZINC000136039947 287025296 /nfs/dbraw/zinc/02/52/96/287025296.db2.gz XXPVEPYPCCAZFW-MRXNPFEDSA-N 0 2 310.486 0.859 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)C1(c2ccccc2)CC(=O)C1 ZINC000354956197 287171504 /nfs/dbraw/zinc/17/15/04/287171504.db2.gz CMNWJXCVALUZJL-UHFFFAOYSA-N 0 2 314.345 0.860 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1CCN(CC(F)(F)F)C1 ZINC000352589028 415240127 /nfs/dbraw/zinc/24/01/27/415240127.db2.gz PZZFBKHTEFYHEM-MRVPVSSYSA-N 0 2 321.303 0.443 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCC(=O)Nc1ccccc1 ZINC000352618075 415251359 /nfs/dbraw/zinc/25/13/59/415251359.db2.gz LSTSUUUJMFNFIO-UHFFFAOYSA-N 0 2 307.335 0.357 20 0 DCADLN O=C(Nc1ccc(-c2nc(=O)o[nH]2)cc1)[C@@H]1CCCC(=O)N1 ZINC000270337561 415255558 /nfs/dbraw/zinc/25/55/58/415255558.db2.gz ZNFJBVAUHXDBFQ-JTQLQIEISA-N 0 2 302.290 0.637 20 0 DCADLN CCC[C@H](C)NC(=O)[C@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000268494314 415226106 /nfs/dbraw/zinc/22/61/06/415226106.db2.gz PRTDGVAMORURBU-IUCAKERBSA-N 0 2 318.399 0.418 20 0 DCADLN CCC[C@@H](C)NC(=O)[C@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000268494308 415226430 /nfs/dbraw/zinc/22/64/30/415226430.db2.gz PRTDGVAMORURBU-BDAKNGLRSA-N 0 2 318.399 0.418 20 0 DCADLN CN1CC[NH+](Cc2cccc(NC(=O)c3n[nH]c(=O)[n-]3)c2)CC1 ZINC000065309277 415355769 /nfs/dbraw/zinc/35/57/69/415355769.db2.gz PXUMZTZSIVGFGG-UHFFFAOYSA-N 0 2 316.365 0.510 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CCOc2ccccc21 ZINC000080975616 415483941 /nfs/dbraw/zinc/48/39/41/415483941.db2.gz XGFDIBPHELULFI-NSHDSACASA-N 0 2 302.334 0.713 20 0 DCADLN Cc1ccc(NC(=O)C(N)C(F)(F)F)cc1-n1cnnn1 ZINC000353169679 415461680 /nfs/dbraw/zinc/46/16/80/415461680.db2.gz OXIXRWCXTCGGDS-VIFPVBQESA-N 0 2 300.244 0.799 20 0 DCADLN Cc1ccc(NC(=O)[C@H](N)C(F)(F)F)cc1-n1cnnn1 ZINC000353169679 415461686 /nfs/dbraw/zinc/46/16/86/415461686.db2.gz OXIXRWCXTCGGDS-VIFPVBQESA-N 0 2 300.244 0.799 20 0 DCADLN CC[NH+]1CCN([C@@H](C)CNC(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC000332795165 415474982 /nfs/dbraw/zinc/47/49/82/415474982.db2.gz LIXLJPUSWFCNRH-STQMWFEESA-N 0 2 320.441 0.052 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)cn1 ZINC000343264955 415518976 /nfs/dbraw/zinc/51/89/76/415518976.db2.gz YWWBBLQAHDZEBM-UHFFFAOYSA-N 0 2 317.334 0.562 20 0 DCADLN C[NH+](C)[C@@]1(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)CCSC1 ZINC000332975258 415519636 /nfs/dbraw/zinc/51/96/36/415519636.db2.gz GFLBLQWBIOJBBQ-IUODEOHRSA-N 0 2 323.466 0.934 20 0 DCADLN COCC[C@@H](NC(=O)N=c1nc(C(C)(C)C)[nH]s1)C(N)=O ZINC000343317001 415552519 /nfs/dbraw/zinc/55/25/19/415552519.db2.gz FQTGSNSQHCUCKZ-SSDOTTSWSA-N 0 2 315.399 0.269 20 0 DCADLN Cc1n[nH]c(NC(=O)CCn2cnc3sccc3c2=O)n1 ZINC000103255381 415611902 /nfs/dbraw/zinc/61/19/02/415611902.db2.gz QANUMHOAYMIGBV-UHFFFAOYSA-N 0 2 304.335 0.913 20 0 DCADLN CC[C@H]1C(=O)NCCN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000343567479 415642161 /nfs/dbraw/zinc/64/21/61/415642161.db2.gz IKYABNFZYQQCBW-QMMMGPOBSA-N 0 2 311.411 1.000 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCCCNC2=O)c1 ZINC000108064564 415676749 /nfs/dbraw/zinc/67/67/49/415676749.db2.gz RIFWELQBGNJAKG-WHEQGISXSA-N 0 2 324.402 0.588 20 0 DCADLN C[N@@H+]1CC[C@@H]2CN(c3cc(N4CCC[C@H]4CO)[nH+]cn3)C[C@@H]21 ZINC000333708056 415720731 /nfs/dbraw/zinc/72/07/31/415720731.db2.gz PHPGXJOZLHJGOH-RDBSUJKOSA-N 0 2 303.410 0.578 20 0 DCADLN Cc1cc(F)ccc1N1CCC[C@@H](NC(=O)c2nc(=O)[nH][nH]2)C1 ZINC000117423979 415733169 /nfs/dbraw/zinc/73/31/69/415733169.db2.gz ZFDRBMRFXGZEPN-LLVKDONJSA-N 0 2 319.340 0.944 20 0 DCADLN CC1(C)CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000112681439 415715104 /nfs/dbraw/zinc/71/51/04/415715104.db2.gz UKFJKOHNAWCBEF-UHFFFAOYSA-N 0 2 302.334 0.874 20 0 DCADLN CCN(C)S(=O)(=O)Nc1cc(OC)c(OC)cc1C(N)=O ZINC000343834048 415746528 /nfs/dbraw/zinc/74/65/28/415746528.db2.gz LCJRWRIEDSPLET-UHFFFAOYSA-N 0 2 317.367 0.411 20 0 DCADLN C[C@@H]1CN(C(=O)NC[C@H]2CCCO2)CC[N@@H+]1C1CC[NH+](C)CC1 ZINC000354150464 415772966 /nfs/dbraw/zinc/77/29/66/415772966.db2.gz RSQJRVNLHYUGSL-GDBMZVCRSA-N 0 2 324.469 0.975 20 0 DCADLN CCCN1C[C@H](C(=O)N2CC[C@@H](C)[S@](=O)CC2)CC1=O ZINC000334023549 415788284 /nfs/dbraw/zinc/78/82/84/415788284.db2.gz FLWJBEZBCOOSJD-FKANQGBASA-N 0 2 300.424 0.614 20 0 DCADLN CCCN1C[C@H](C(=O)N2CC[C@@H](C)S(=O)(=O)CC2)CC1=O ZINC000334031027 415789554 /nfs/dbraw/zinc/78/95/54/415789554.db2.gz KZNVBTFYKWHDDL-VXGBXAGGSA-N 0 2 316.423 0.281 20 0 DCADLN CC(=O)Nc1ccc(F)c(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000354330830 415791988 /nfs/dbraw/zinc/79/19/88/415791988.db2.gz BDKQYLMVUUVOML-UHFFFAOYSA-N 0 2 308.273 0.930 20 0 DCADLN CCc1nsc(NCC2(N3CCOCC3)CCOCC2)n1 ZINC000334053771 415793705 /nfs/dbraw/zinc/79/37/05/415793705.db2.gz SYCTYKRSEVDVMX-UHFFFAOYSA-N 0 2 312.439 0.816 20 0 DCADLN COc1cc2c(cc1CNC(=O)c1nc(=O)[nH][nH]1)O[C@H](C)C2 ZINC000127581455 415887636 /nfs/dbraw/zinc/88/76/36/415887636.db2.gz HPAQJSZEROKLTJ-SSDOTTSWSA-N 0 2 304.306 0.360 20 0 DCADLN Cn1nnnc1N1CCC(Nc2nc(C3CC3)ns2)CC1 ZINC000356627302 415965115 /nfs/dbraw/zinc/96/51/15/415965115.db2.gz PYFQMPUQISUJCL-UHFFFAOYSA-N 0 2 306.399 0.442 20 0 DCADLN CNC(=O)COc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000356782989 415986755 /nfs/dbraw/zinc/98/67/55/415986755.db2.gz SVRMZJLSYWERBV-UHFFFAOYSA-N 0 2 310.335 0.335 20 0 DCADLN CS(=O)(=O)[N-]c1nnc(NC(=O)CCn2cc[nH+]c2)s1 ZINC000338088126 416043136 /nfs/dbraw/zinc/04/31/36/416043136.db2.gz NLUVSPSOUKBZSJ-UHFFFAOYSA-N 0 2 316.368 0.135 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc3c(c2)CCC3)c1C(N)=O ZINC000344939755 416012472 /nfs/dbraw/zinc/01/24/72/416012472.db2.gz UVNHOHSHFCWHHD-UHFFFAOYSA-N 0 2 320.374 0.809 20 0 DCADLN Cc1ccc(C[C@@H](CO)NC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000357007853 416019535 /nfs/dbraw/zinc/01/95/35/416019535.db2.gz GQUKEZVVQUTKLY-NSHDSACASA-N 0 2 322.390 0.631 20 0 DCADLN COCCOc1ccc(NS(=O)(=O)c2ccnn2C)cn1 ZINC000357520694 416092778 /nfs/dbraw/zinc/09/27/78/416092778.db2.gz BNIBIOQFXDVYIL-UHFFFAOYSA-N 0 2 312.351 0.641 20 0 DCADLN CC(C)C1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000175484304 416106856 /nfs/dbraw/zinc/10/68/56/416106856.db2.gz MEJXPAHKZWWIST-UHFFFAOYSA-N 0 2 302.334 0.777 20 0 DCADLN O=C(NCc1nc[nH]n1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000176277710 416123605 /nfs/dbraw/zinc/12/36/05/416123605.db2.gz FCSRMAIBJCPVLW-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN CN(C)C(=O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000176969262 416138494 /nfs/dbraw/zinc/13/84/94/416138494.db2.gz GDDZGTABCDTPKW-UHFFFAOYSA-N 0 2 306.297 0.535 20 0 DCADLN CC[C@@H](C)NC(=O)[C@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179459972 416188172 /nfs/dbraw/zinc/18/81/72/416188172.db2.gz LGBWFXTVSZOFPQ-BDAKNGLRSA-N 0 2 319.365 0.707 20 0 DCADLN CC(=O)C[C@H]1COCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358100967 416192046 /nfs/dbraw/zinc/19/20/46/416192046.db2.gz VIZIFQUOMMLBIL-JTQLQIEISA-N 0 2 318.333 0.494 20 0 DCADLN COCCc1ccnc(NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000341886104 416248918 /nfs/dbraw/zinc/24/89/18/416248918.db2.gz NKUBPDXZFZMDGL-UHFFFAOYSA-N 0 2 309.351 0.825 20 0 DCADLN Cc1ccc(-n2cc[nH+]c2)c(C(=O)NC[C@@](C)(O)C(=O)[O-])c1 ZINC000349086715 416252114 /nfs/dbraw/zinc/25/21/14/416252114.db2.gz VSODFCKVPKBDSG-OAHLLOKOSA-N 0 2 303.318 0.746 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)o1 ZINC000358912503 416293560 /nfs/dbraw/zinc/29/35/60/416293560.db2.gz XBLZVEYIUHLJGW-UHFFFAOYSA-N 0 2 322.302 0.405 20 0 DCADLN Cc1ccccc1[C@@H](CC(=O)NCc1n[nH]c(=O)[nH]1)NC(N)=O ZINC000358944558 416296726 /nfs/dbraw/zinc/29/67/26/416296726.db2.gz FDBKKKUNQMVUGJ-SNVBAGLBSA-N 0 2 318.337 0.235 20 0 DCADLN CCOC(=O)[C@@H](C)[C@@H](C)NS(=O)(=O)NCC(F)(F)F ZINC000195451329 416326090 /nfs/dbraw/zinc/32/60/90/416326090.db2.gz SMIBPDNKSQORHJ-NKWVEPMBSA-N 0 2 306.306 0.560 20 0 DCADLN CC(C)CNC(=O)N1CCC[C@@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000359817552 416360594 /nfs/dbraw/zinc/36/05/94/416360594.db2.gz MPWYBMAMUYEMJT-SNVBAGLBSA-N 0 2 324.385 0.204 20 0 DCADLN COc1c(NS(=O)(=O)c2c(C)n[nH]c2C)cccc1C(N)=O ZINC000360280011 416383796 /nfs/dbraw/zinc/38/37/96/416383796.db2.gz QXNWBCGIOKUHCJ-UHFFFAOYSA-N 0 2 324.362 0.935 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1cc(OC(F)F)n(C)n1)OC ZINC000421158946 416420511 /nfs/dbraw/zinc/42/05/11/416420511.db2.gz SSWQADZKWXRWSJ-ZETCQYMHSA-N 0 2 313.326 1.188 20 0 DCADLN COc1cc(OC)c(OC)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000361775236 416494731 /nfs/dbraw/zinc/49/47/31/416494731.db2.gz WAEBRZHVHBSELQ-UHFFFAOYSA-N 0 2 323.309 0.858 20 0 DCADLN Cc1nc(C(C)(C)NS(=O)(=O)NCC(F)(F)F)no1 ZINC000442990430 416724715 /nfs/dbraw/zinc/72/47/15/416724715.db2.gz REVGMROYBCQVFJ-UHFFFAOYSA-N 0 2 302.278 0.599 20 0 DCADLN Cc1noc(CCCNS(=O)(=O)NCC(F)(F)F)n1 ZINC000442990431 416725375 /nfs/dbraw/zinc/72/53/75/416725375.db2.gz LQGXRHFFEGZQTR-UHFFFAOYSA-N 0 2 302.278 0.297 20 0 DCADLN CC[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@H](O)C(F)(F)F ZINC000443034112 416726280 /nfs/dbraw/zinc/72/62/80/416726280.db2.gz CTDYQPLZBIXFQI-UHNVWZDZSA-N 0 2 318.239 0.675 20 0 DCADLN COC[C@H](NS(=O)(=O)NCC(F)(F)F)[C@H]1CCCO1 ZINC000443217200 416737415 /nfs/dbraw/zinc/73/74/15/416737415.db2.gz RMCMJRWYWJDAIF-JGVFFNPUSA-N 0 2 306.306 0.167 20 0 DCADLN CC[C@@H]1C[N@H+](CCNC(=O)N[C@@H]2CC[NH+](C)[C@H](C)C2)CCO1 ZINC000426066582 416737632 /nfs/dbraw/zinc/73/76/32/416737632.db2.gz KUAHIEHDZOXEOY-RBSFLKMASA-N 0 2 312.458 0.879 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@@H]2CCCS2(=O)=O)cn1 ZINC000559823761 416823021 /nfs/dbraw/zinc/82/30/21/416823021.db2.gz AEVBBTFVRGXHSD-JTQLQIEISA-N 0 2 323.378 0.117 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC([N@H+]3CCOC[C@H]3C)CC2)c1[O-] ZINC000615088076 416756768 /nfs/dbraw/zinc/75/67/68/416756768.db2.gz BNALOQRQIBVUGT-SNVBAGLBSA-N 0 2 308.382 0.749 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC([N@@H+]3CCOC[C@H]3C)CC2)c1[O-] ZINC000615088076 416756777 /nfs/dbraw/zinc/75/67/77/416756777.db2.gz BNALOQRQIBVUGT-SNVBAGLBSA-N 0 2 308.382 0.749 20 0 DCADLN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC000623198684 416767554 /nfs/dbraw/zinc/76/75/54/416767554.db2.gz ULXDMVWVRJDPEJ-PSASIEDQSA-N 0 2 304.354 0.648 20 0 DCADLN O=C(Nc1ccc(-c2nc(=O)o[nH]2)cc1)[C@@H]1CCCS1(=O)=O ZINC000517038192 416773291 /nfs/dbraw/zinc/77/32/91/416773291.db2.gz NHSIKHHNPYMSMN-JTQLQIEISA-N 0 2 323.330 0.546 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NC[C@@H](C)C(=O)OC)cn1 ZINC000427129713 416800378 /nfs/dbraw/zinc/80/03/78/416800378.db2.gz ZXWBICJXSLHUBU-SECBINFHSA-N 0 2 305.338 0.832 20 0 DCADLN C[C@@H]1C[C@H](N(C)S(=O)(=O)CCn2cc[nH+]c2)CC[N@@H+]1C ZINC000641350743 416803288 /nfs/dbraw/zinc/80/32/88/416803288.db2.gz KTCIMIJIRPMKBB-CHWSQXEVSA-N 0 2 300.428 0.627 20 0 DCADLN CNC(=O)C[C@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000615972618 416947293 /nfs/dbraw/zinc/94/72/93/416947293.db2.gz YDDVQDFDDAWVJT-QMMMGPOBSA-N 0 2 304.306 0.284 20 0 DCADLN CC(=O)N[C@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000616373952 417033092 /nfs/dbraw/zinc/03/30/92/417033092.db2.gz NNQIHSZFSFPUPH-LBPRGKRZSA-N 0 2 316.317 0.381 20 0 DCADLN COC(=O)c1sccc1NS(=O)(=O)c1cnnn1C ZINC000616175543 416979078 /nfs/dbraw/zinc/97/90/78/416979078.db2.gz WTEADWLPGHQEBD-UHFFFAOYSA-N 0 2 302.337 0.464 20 0 DCADLN O=C(N[C@H](CO)CC(F)F)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000430674443 417057567 /nfs/dbraw/zinc/05/75/67/417057567.db2.gz AZKCNTOVOHBMEZ-VIFPVBQESA-N 0 2 313.260 0.776 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](c2[nH+]ccn2C)C(C)(C)CO)c1[O-] ZINC000616864414 417124057 /nfs/dbraw/zinc/12/40/57/417124057.db2.gz CCRORPSPOGKVCW-NSHDSACASA-N 0 2 307.354 0.647 20 0 DCADLN CC[C@@H]1[C@@H](C)CCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000187400574 287346945 /nfs/dbraw/zinc/34/69/45/287346945.db2.gz RUHHNUAQPOFNKI-GZMMTYOYSA-N 0 2 302.334 0.872 20 0 DCADLN CCc1cccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC000433522823 417276646 /nfs/dbraw/zinc/27/66/46/417276646.db2.gz WRAKAYILWGLSOW-UHFFFAOYSA-N 0 2 308.363 0.732 20 0 DCADLN CO[C@@H](C)c1nsc(NC[C@@H]2C[C@@H](c3nnc[nH]3)C[C@@H]2O)n1 ZINC000527564915 417261661 /nfs/dbraw/zinc/26/16/61/417261661.db2.gz DWJQLMVUVCXGNE-JXUBOQSCSA-N 0 2 324.410 0.752 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CC[C@H](O)C(C)(C)C1)c2=O ZINC000438527028 287372944 /nfs/dbraw/zinc/37/29/44/287372944.db2.gz KVFYVIIILARWSU-NSHDSACASA-N 0 2 304.350 0.907 20 0 DCADLN CC[C@H]1CCCC[N@@H+]1CCNC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000414826603 417307485 /nfs/dbraw/zinc/30/74/85/417307485.db2.gz FTYYUEWQBKZLJV-ZDUSSCGKSA-N 0 2 319.409 0.983 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000633067210 417340050 /nfs/dbraw/zinc/34/00/50/417340050.db2.gz DJGIJIQDKMVAQN-LBPRGKRZSA-N 0 2 322.365 0.055 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)c2cnn(C3CC3)c2)cn1 ZINC000528999162 417407309 /nfs/dbraw/zinc/40/73/09/417407309.db2.gz GKLZQRPHPYUIIS-UHFFFAOYSA-N 0 2 322.346 0.740 20 0 DCADLN C[C@H](C(=O)NC1CC1)[NH+]1CCN(c2ncccc2C(=O)[O-])CC1 ZINC000643909832 417413172 /nfs/dbraw/zinc/41/31/72/417413172.db2.gz JPHWZUUXAIWGTO-LLVKDONJSA-N 0 2 318.377 0.569 20 0 DCADLN C[C@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H]1CO ZINC000622228925 417420207 /nfs/dbraw/zinc/42/02/07/417420207.db2.gz CFUVBDOHMWJBLT-WPRPVWTQSA-N 0 2 318.333 0.527 20 0 DCADLN COc1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)sn1 ZINC000622018962 417367637 /nfs/dbraw/zinc/36/76/37/417367637.db2.gz GZOCOIUMMQBDPC-SSDOTTSWSA-N 0 2 309.351 0.995 20 0 DCADLN O=C([O-])C1CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)CC1 ZINC000575331694 417527679 /nfs/dbraw/zinc/52/76/79/417527679.db2.gz DJZVXUOWVYTSQW-UHFFFAOYSA-N 0 2 316.317 0.596 20 0 DCADLN O=C(N[C@H](CO)CN1CCOCC1)c1c(O)cc(F)cc1F ZINC000644885892 417674695 /nfs/dbraw/zinc/67/46/95/417674695.db2.gz NMEIVQRGTPEOMS-JTQLQIEISA-N 0 2 316.304 0.093 20 0 DCADLN NC(=O)[C@@H]1CCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000628835217 417704815 /nfs/dbraw/zinc/70/48/15/417704815.db2.gz TYVUBXVCEYGCHT-JTQLQIEISA-N 0 2 302.290 0.120 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCc3c2cccc3F)[nH]1 ZINC000651788034 417785875 /nfs/dbraw/zinc/78/58/75/417785875.db2.gz RMUVBOVUTVKHBE-UHFFFAOYSA-N 0 2 317.324 0.948 20 0 DCADLN CCOCC(C)(C)CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651807037 417788725 /nfs/dbraw/zinc/78/87/25/417788725.db2.gz IRVRDACUJHLCKE-UHFFFAOYSA-N 0 2 311.386 0.398 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cncc(Cl)c2)[nH]1 ZINC000657103529 417811386 /nfs/dbraw/zinc/81/13/86/417811386.db2.gz GBMRNLYZYBCRIK-UHFFFAOYSA-N 0 2 317.758 0.478 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)CNC(=O)Cc1ccc(O)cc1 ZINC000636069037 417856100 /nfs/dbraw/zinc/85/61/00/417856100.db2.gz YSNIWZPLDXDHBF-UHFFFAOYSA-N 0 2 316.361 0.741 20 0 DCADLN CO[C@@H]1CS(=O)(=O)C[C@@H]1[N@H+](C)CCCC[NH+]1CCCCC1 ZINC000640534332 417864968 /nfs/dbraw/zinc/86/49/68/417864968.db2.gz PSZUQYIRRXLCFA-LSDHHAIUSA-N 0 2 318.483 0.996 20 0 DCADLN CC(C)(CNC(=O)c1ccc2oc(=O)nc-2[n-]1)[NH+]1CCOCC1 ZINC000646558086 417868587 /nfs/dbraw/zinc/86/85/87/417868587.db2.gz MZUNXMGVKXMZTJ-UHFFFAOYSA-N 0 2 320.349 0.769 20 0 DCADLN CC(C)C[C@@H](C(=O)N1CC[N@@H+]2C[C@H](O)C[C@H]2C1)n1cc[nH+]c1 ZINC000636140622 417883247 /nfs/dbraw/zinc/88/32/47/417883247.db2.gz PMRVUIQBBKVGDX-ZNMIVQPWSA-N 0 2 306.410 0.748 20 0 DCADLN CNS(=O)(=O)CCCNc1nc(-c2ccccc2)ns1 ZINC000631480094 417887033 /nfs/dbraw/zinc/88/70/33/417887033.db2.gz HMNUQDPXWXRTLW-UHFFFAOYSA-N 0 2 312.420 0.978 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)N[C@@]1(C(=O)[O-])CCSC1 ZINC000652062169 417841181 /nfs/dbraw/zinc/84/11/81/417841181.db2.gz AXYQQGURZBURFG-AWEZNQCLSA-N 0 2 309.391 0.838 20 0 DCADLN CN1CCN(c2cc(NCC3(C(=O)[O-])CCC3)[nH+]cn2)CC1=O ZINC000662896759 417941332 /nfs/dbraw/zinc/94/13/32/417941332.db2.gz AJMJKLKQSVELEW-UHFFFAOYSA-N 0 2 319.365 0.422 20 0 DCADLN CN1CCN(c2cc(NCC3(C(=O)[O-])CCC3)nc[nH+]2)CC1=O ZINC000662896759 417941337 /nfs/dbraw/zinc/94/13/37/417941337.db2.gz AJMJKLKQSVELEW-UHFFFAOYSA-N 0 2 319.365 0.422 20 0 DCADLN [NH3+]CC12CC(C1)CN2C(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000662933259 417955730 /nfs/dbraw/zinc/95/57/30/417955730.db2.gz AGAWYDHQWKZKQF-UHFFFAOYSA-N 0 2 317.393 0.065 20 0 DCADLN O=C(NCC[C@]1(O)CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000652802030 417971790 /nfs/dbraw/zinc/97/17/90/417971790.db2.gz ZNMYWAXLSADFGZ-INIZCTEOSA-N 0 2 317.345 0.849 20 0 DCADLN COCc1nc2n(n1)C[C@H](Nc1ccc(C(=O)[O-])c[nH+]1)CC2 ZINC000647451580 418011212 /nfs/dbraw/zinc/01/12/12/418011212.db2.gz ACBORHDCDVOMRY-SNVBAGLBSA-N 0 2 303.322 0.945 20 0 DCADLN COc1cccc2c1OC[C@H](NC(=O)N=c1nc(C)n(C)[nH]1)C2 ZINC000653244208 418045916 /nfs/dbraw/zinc/04/59/16/418045916.db2.gz NTMWSGIQLNGHJM-LLVKDONJSA-N 0 2 317.349 0.679 20 0 DCADLN Cc1ccc(CNC(=O)N=c2ncn(C)[n-]2)c(N2CCCC2)[nH+]1 ZINC000653292866 418054286 /nfs/dbraw/zinc/05/42/86/418054286.db2.gz ACCWXDTYYSEZNV-UHFFFAOYSA-N 0 2 315.381 0.862 20 0 DCADLN Cn1cnc(=NC(=O)N2CCN(Cc3cccs3)CC2)[nH]1 ZINC000653297729 418054679 /nfs/dbraw/zinc/05/46/79/418054679.db2.gz FVIHDQWOPVRRMW-UHFFFAOYSA-N 0 2 306.395 0.648 20 0 DCADLN CNC(=O)[C@@H]1Cc2ccccc2CN1C(=O)c1n[nH]c(C)c1O ZINC000648992427 418182118 /nfs/dbraw/zinc/18/21/18/418182118.db2.gz YEBFKUHYHZYEHV-LBPRGKRZSA-N 0 2 314.345 0.737 20 0 DCADLN Cc1[nH]c(=O)ccc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893600 418171039 /nfs/dbraw/zinc/17/10/39/418171039.db2.gz LCQXQOJJVATVRG-SECBINFHSA-N 0 2 303.322 0.939 20 0 DCADLN CO[C@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC000648893103 418171379 /nfs/dbraw/zinc/17/13/79/418171379.db2.gz JELSEEFUBZONDC-KOLCDFICSA-N 0 2 320.353 0.338 20 0 DCADLN COc1ccc(CNc2nc(N(C)C)nc(C)[nH+]2)cc1C(=O)[O-] ZINC000649400214 418250836 /nfs/dbraw/zinc/25/08/36/418250836.db2.gz QCYWRPVHBIMCTQ-UHFFFAOYSA-N 0 2 317.349 0.987 20 0 DCADLN COc1ccc(CNc2nc(C)[nH+]c(N(C)C)n2)cc1C(=O)[O-] ZINC000649400214 418250838 /nfs/dbraw/zinc/25/08/38/418250838.db2.gz QCYWRPVHBIMCTQ-UHFFFAOYSA-N 0 2 317.349 0.987 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000665301969 418193546 /nfs/dbraw/zinc/19/35/46/418193546.db2.gz JJUSECUMPWRDRW-DGCLKSJQSA-N 0 2 313.398 0.507 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N3CC[C@H]4C[C@H]4C3)cc-2c(=O)[nH]1 ZINC000660017830 418219004 /nfs/dbraw/zinc/21/90/04/418219004.db2.gz LERILBGKUZKOEL-YUMQZZPRSA-N 0 2 322.346 0.467 20 0 DCADLN CN1C(=O)CN(C(=O)c2cc(F)c(O)c(Cl)c2)CC1=O ZINC000655062773 418221885 /nfs/dbraw/zinc/22/18/85/418221885.db2.gz OPRMOMOFUKPJOP-UHFFFAOYSA-N 0 2 300.673 0.626 20 0 DCADLN Cc1cc(=NC(=O)NCc2ncc3c(n2)CCOC3)[nH]nc1C ZINC000650040538 418288999 /nfs/dbraw/zinc/28/89/99/418288999.db2.gz ZVLUCWNJRDDAKT-UHFFFAOYSA-N 0 2 314.349 0.700 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@@H+]1CCOC[C@@H]1C)C(=O)[O-] ZINC000655869064 418294569 /nfs/dbraw/zinc/29/45/69/418294569.db2.gz ZLAYCCKXJFERAF-NWDGAFQWSA-N 0 2 301.387 0.363 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@H+]1CCOC[C@@H]1C)C(=O)[O-] ZINC000655869064 418294571 /nfs/dbraw/zinc/29/45/71/418294571.db2.gz ZLAYCCKXJFERAF-NWDGAFQWSA-N 0 2 301.387 0.363 20 0 DCADLN Cc1ccc2[nH+]c(CNC(=O)C(=O)NC[C@H](C)C(=O)[O-])cn2c1 ZINC000655980829 418311104 /nfs/dbraw/zinc/31/11/04/418311104.db2.gz JXICXMUZZKJZNT-JTQLQIEISA-N 0 2 318.333 0.096 20 0 DCADLN Cn1cc([C@H]2CN(c3cc[nH+]c(C(=O)[O-])c3)C[C@@H]2C(N)=O)cn1 ZINC000650770556 418323320 /nfs/dbraw/zinc/32/33/20/418323320.db2.gz YYULURLDLZJXCO-NEPJUHHUSA-N 0 2 315.333 0.219 20 0 DCADLN CC[C@H](C)NC(=O)CN1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650765999 418323721 /nfs/dbraw/zinc/32/37/21/418323721.db2.gz OXSCSOWVTTZDJT-LBPRGKRZSA-N 0 2 320.393 0.817 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2oc(CC3CC3)nc2C)[nH]n1 ZINC000650818693 418326152 /nfs/dbraw/zinc/32/61/52/418326152.db2.gz UPFKIEZAMLDXFC-UHFFFAOYSA-N 0 2 315.333 0.759 20 0 DCADLN C[C@H](CNC(=O)c1cnc(C(=O)[O-])cn1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000655556084 418274882 /nfs/dbraw/zinc/27/48/82/418274882.db2.gz FOVLQDWEMKNDKC-MXWKQRLJSA-N 0 2 322.365 0.402 20 0 DCADLN C[C@H](CNC(=O)c1cnc(C(=O)[O-])cn1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000655556084 418274884 /nfs/dbraw/zinc/27/48/84/418274884.db2.gz FOVLQDWEMKNDKC-MXWKQRLJSA-N 0 2 322.365 0.402 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)[C@H]1CCS(=O)(=O)N1 ZINC000651166362 418351914 /nfs/dbraw/zinc/35/19/14/418351914.db2.gz SXGWBBXEXCLJGV-SECBINFHSA-N 0 2 324.387 0.257 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCc2ccc(F)c(F)c2)[nH]1 ZINC000651535957 418388341 /nfs/dbraw/zinc/38/83/41/418388341.db2.gz CZQAAUJRKLOXCX-UHFFFAOYSA-N 0 2 323.303 0.814 20 0 DCADLN Cc1occc1CN(C)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651534099 418388473 /nfs/dbraw/zinc/38/84/73/418388473.db2.gz ZSZJORJIRZQPQA-UHFFFAOYSA-N 0 2 305.338 0.779 20 0 DCADLN C[C@@]1(c2ccccc2)C[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CCO1 ZINC000662206125 418388552 /nfs/dbraw/zinc/38/85/52/418388552.db2.gz ONBQECVLJLFHQT-PBHICJAKSA-N 0 2 318.373 0.920 20 0 DCADLN C[C@@]1(c2ccccc2)C[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CCO1 ZINC000662206125 418388554 /nfs/dbraw/zinc/38/85/54/418388554.db2.gz ONBQECVLJLFHQT-PBHICJAKSA-N 0 2 318.373 0.920 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCN(c3cncc(F)c3)CC2)C1=O ZINC000662212289 418388696 /nfs/dbraw/zinc/38/86/96/418388696.db2.gz VQVHNJNAXRYFHL-CYBMUJFWSA-N 0 2 322.340 0.028 20 0 DCADLN CO[C@@]1(C)CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651764892 418409285 /nfs/dbraw/zinc/40/92/85/418409285.db2.gz TWUZWWKZNSMNPZ-HNNXBMFYSA-N 0 2 323.397 0.637 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCC[C@@H]2C(F)F)[nH]1 ZINC000651773376 418409793 /nfs/dbraw/zinc/40/97/93/418409793.db2.gz HKFUFYDXOZUCJF-SECBINFHSA-N 0 2 315.324 0.866 20 0 DCADLN CCCN(CC(C)(C)O)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651705613 418405770 /nfs/dbraw/zinc/40/57/70/418405770.db2.gz ZIVZWUDBMUVGTA-UHFFFAOYSA-N 0 2 311.386 0.229 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)Nc2n[nH]c(C3CCC3)n2)co1 ZINC000354338873 261205320 /nfs/dbraw/zinc/20/53/20/261205320.db2.gz ALOTUAFTTNCNIA-UHFFFAOYSA-N 0 2 311.323 0.565 20 0 DCADLN Cc1cc(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)n(C)n1 ZINC000356146044 261396939 /nfs/dbraw/zinc/39/69/39/261396939.db2.gz AZMHJPBISZSMOK-UHFFFAOYSA-N 0 2 300.322 0.646 20 0 DCADLN CCOc1nc(C)ccc1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000361934704 261979216 /nfs/dbraw/zinc/97/92/16/261979216.db2.gz DCDXEUYKOVQVPU-UHFFFAOYSA-N 0 2 305.338 0.975 20 0 DCADLN COC(=O)c1cc(C[NH2+]C[C@H]2C[N@@H+]3CCC[C@H]3CO2)cn1C ZINC000368017256 262144548 /nfs/dbraw/zinc/14/45/48/262144548.db2.gz HMHNKKGYVVHXNV-KBPBESRZSA-N 0 2 307.394 0.765 20 0 DCADLN CCC[C@H](O)[C@H](CO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000412523261 262190610 /nfs/dbraw/zinc/19/06/10/262190610.db2.gz KEQAUQRGSINHRK-RYUDHWBXSA-N 0 2 321.333 0.282 20 0 DCADLN CCC[C@H](O)[C@@H](CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000412525693 262190677 /nfs/dbraw/zinc/19/06/77/262190677.db2.gz RLARHMLDLNKTLT-OLZOCXBDSA-N 0 2 319.361 0.830 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1cccc(C(N)=O)c1OC)OC ZINC000421160750 262391180 /nfs/dbraw/zinc/39/11/80/262391180.db2.gz VUMWHVYFTNOPSD-SECBINFHSA-N 0 2 316.379 0.961 20 0 DCADLN O=C(Cn1cccnc1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000356012835 271032683 /nfs/dbraw/zinc/03/26/83/271032683.db2.gz MFPCPMPDMSIAIH-UHFFFAOYSA-N 0 2 312.289 0.373 20 0 DCADLN CCCN(C)S(=O)(=O)[N-]C(=O)/C=C\c1[nH+]ccn1CC ZINC000492479536 272131879 /nfs/dbraw/zinc/13/18/79/272131879.db2.gz YUHKPDFCFZWJIS-SREVYHEPSA-N 0 2 300.384 0.619 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/c2cncc(F)c2)cnn1C ZINC000492963054 272161522 /nfs/dbraw/zinc/16/15/22/272161522.db2.gz ZOCNHOJGBULBAL-ONEGZZNKSA-N 0 2 324.337 0.781 20 0 DCADLN O=C(C=Cc1cnccn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000493162554 272172187 /nfs/dbraw/zinc/17/21/87/272172187.db2.gz JRMRLKXYVYJPQH-OWOJBTEDSA-N 0 2 300.322 0.720 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)Cc1ccccn1 ZINC000493163155 272172264 /nfs/dbraw/zinc/17/22/64/272172264.db2.gz UJZGKFPHKUDJHL-VOTSOKGWSA-N 0 2 320.374 0.957 20 0 DCADLN CC(=O)N1CCC[C@H](C(=O)N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)C1 ZINC000329158325 278018627 /nfs/dbraw/zinc/01/86/27/278018627.db2.gz DLTSMZKUTHTZPV-RYUDHWBXSA-N 0 2 321.381 0.822 20 0 DCADLN O=C([O-])[C@H]1CCCCN1C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000547322568 288038882 /nfs/dbraw/zinc/03/88/82/288038882.db2.gz PXXNTAKZAXSZCR-LLVKDONJSA-N 0 2 301.306 0.742 20 0 DCADLN O=C1COc2ccc(S(=O)(=O)Nc3cncnc3)cc2N1 ZINC000547337577 288039492 /nfs/dbraw/zinc/03/94/92/288039492.db2.gz KGLKGUKTACYYOD-UHFFFAOYSA-N 0 2 306.303 0.608 20 0 DCADLN CCS(=O)(=O)CC(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000559257698 288468138 /nfs/dbraw/zinc/46/81/38/288468138.db2.gz WNMNDPSTPWDOMB-UHFFFAOYSA-N 0 2 324.362 0.859 20 0 DCADLN CC(=O)NCCN(C(=O)C[C@@H](C)n1cc[nH+]c1)[C@@H](C)C(=O)[O-] ZINC000563350331 288661047 /nfs/dbraw/zinc/66/10/47/288661047.db2.gz NDJVOFZYQCFGBN-MNOVXSKESA-N 0 2 310.354 0.272 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)N(CCC(N)=O)Cc1ccccc1 ZINC000262677422 298211734 /nfs/dbraw/zinc/21/17/34/298211734.db2.gz URSPEADYBAEGRT-GFCCVEGCSA-N 0 2 321.377 0.296 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N(CCC(N)=O)Cc1ccccc1 ZINC000262677422 298211739 /nfs/dbraw/zinc/21/17/39/298211739.db2.gz URSPEADYBAEGRT-GFCCVEGCSA-N 0 2 321.377 0.296 20 0 DCADLN C[C@H]1CSC[C@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342352429 300393663 /nfs/dbraw/zinc/39/36/63/300393663.db2.gz QICHRGYFRVFZAL-IMTBSYHQSA-N 0 2 306.347 0.917 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](C)[C@@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000352766493 301120145 /nfs/dbraw/zinc/12/01/45/301120145.db2.gz XJJOKKYPLKNYTD-APPZFPTMSA-N 0 2 304.306 0.197 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCC[C@@H]2O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000270253169 301146301 /nfs/dbraw/zinc/14/63/01/301146301.db2.gz JANNIGLYROTDQA-WPRPVWTQSA-N 0 2 318.333 0.778 20 0 DCADLN NC(=O)CONC(=O)[C@@H]1COc2ccc(Br)cc21 ZINC000089463375 303252408 /nfs/dbraw/zinc/25/24/08/303252408.db2.gz SAGYPILTWWRRBI-MRVPVSSYSA-N 0 2 315.123 0.458 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](CC(=O)[O-])C(C)C)C(=O)OC ZINC000582847912 337210030 /nfs/dbraw/zinc/21/00/30/337210030.db2.gz CFVIGFFXHPYMMQ-GWCFXTLKSA-N 0 2 302.371 0.485 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](CC(=O)[O-])C(C)C)C(=O)OC ZINC000582847912 337210031 /nfs/dbraw/zinc/21/00/31/337210031.db2.gz CFVIGFFXHPYMMQ-GWCFXTLKSA-N 0 2 302.371 0.485 20 0 DCADLN CCn1cc(NS(=O)(=O)c2cncc(C(=O)OC)c2)cn1 ZINC000584388124 337242338 /nfs/dbraw/zinc/24/23/38/337242338.db2.gz RGEWCKZREUWQMI-UHFFFAOYSA-N 0 2 310.335 0.885 20 0 DCADLN CS[C@H]1CCC[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000187105949 525908967 /nfs/dbraw/zinc/90/89/67/525908967.db2.gz IKLSOIDICZDGEV-YUMQZZPRSA-N 0 2 302.425 0.981 20 0 DCADLN CCN(Cc1cnn(C)c1)S(=O)(=O)NCC(F)(F)F ZINC000192017655 528876686 /nfs/dbraw/zinc/87/66/86/528876686.db2.gz XGTSTJQGPAVXEZ-UHFFFAOYSA-N 0 2 300.306 0.639 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)c1cn(C)c(C)n1 ZINC000493869261 536104876 /nfs/dbraw/zinc/10/48/76/536104876.db2.gz ROUMKSVFDFOBPY-AATRIKPKSA-N 0 2 323.378 0.463 20 0 DCADLN COC(=O)C(C)(C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275737710 536426320 /nfs/dbraw/zinc/42/63/20/536426320.db2.gz YTUMQONSWMGWOF-UHFFFAOYSA-N 0 2 305.338 0.739 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1ccc2nn[nH]c2c1 ZINC000175831686 545886883 /nfs/dbraw/zinc/88/68/83/545886883.db2.gz JAEXOFYPZUQKOT-LLVKDONJSA-N 0 2 309.351 0.320 20 0 DCADLN O=C([O-])[C@@H]1c2ccccc2CC[N@H+]1CC(=O)N1CCOCC1 ZINC000387196812 546217880 /nfs/dbraw/zinc/21/78/80/546217880.db2.gz ZPKMBIZHDZZTCR-HNNXBMFYSA-N 0 2 304.346 0.529 20 0 DCADLN O=C([O-])[C@@H]1c2ccccc2CC[N@@H+]1CC(=O)N1CCOCC1 ZINC000387196812 546217883 /nfs/dbraw/zinc/21/78/83/546217883.db2.gz ZPKMBIZHDZZTCR-HNNXBMFYSA-N 0 2 304.346 0.529 20 0 DCADLN Cc1ccc2[nH+]c(CNC(=O)N3CCO[C@@H](C(=O)[O-])C3)cn2c1 ZINC000666520146 546479869 /nfs/dbraw/zinc/47/98/69/546479869.db2.gz LOLLPYMGFJDPRR-GFCCVEGCSA-N 0 2 318.333 0.638 20 0 DCADLN O=C1CC[C@@H]([NH+]2CCN(Cc3c[nH+]c4n3CCC4)CC2)CCN1 ZINC000668225934 546711760 /nfs/dbraw/zinc/71/17/60/546711760.db2.gz ZNLWMMRFJSSVKW-CQSZACIVSA-N 0 2 317.437 0.616 20 0 DCADLN CC[C@@H]1C(=O)NCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000668392595 546752765 /nfs/dbraw/zinc/75/27/65/546752765.db2.gz SYZCLAOXDBFLSU-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N(C)CC1N=NC(=O)O1 ZINC000673478742 547482869 /nfs/dbraw/zinc/48/28/69/547482869.db2.gz GSHAZTHCTKHTPR-UFBFGSQYSA-N 0 2 305.290 0.962 20 0 DCADLN Cc1cc(C(=O)Nc2c[nH]ccc2=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000673657097 547510037 /nfs/dbraw/zinc/51/00/37/547510037.db2.gz RJRKYSJNHYJLCN-UHFFFAOYSA-N 0 2 313.273 0.685 20 0 DCADLN Cc1cc(C(=O)NCc2cocn2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000673664659 547512339 /nfs/dbraw/zinc/51/23/39/547512339.db2.gz HMJUAHVOBIPLPT-UHFFFAOYSA-N 0 2 301.262 0.662 20 0 DCADLN O=C(COCc1nc2ccccc2s1)NCc1n[nH]c(=O)[nH]1 ZINC000673948990 547545341 /nfs/dbraw/zinc/54/53/41/547545341.db2.gz IFMPUXDSUDOPPN-UHFFFAOYSA-N 0 2 319.346 0.953 20 0 DCADLN Cc1sc(C(N)=O)cc1NS(=O)(=O)c1ccnn1C ZINC000676076984 547732358 /nfs/dbraw/zinc/73/23/58/547732358.db2.gz HVGYYDGWCKTKQG-UHFFFAOYSA-N 0 2 300.365 0.690 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231327 547746982 /nfs/dbraw/zinc/74/69/82/547746982.db2.gz LKSDXWVAJNGJPD-AWEZNQCLSA-N 0 2 324.352 0.960 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231327 547746986 /nfs/dbraw/zinc/74/69/86/547746986.db2.gz LKSDXWVAJNGJPD-AWEZNQCLSA-N 0 2 324.352 0.960 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(C(=O)c3ccco3)CC2)c1O ZINC000676630709 547797090 /nfs/dbraw/zinc/79/70/90/547797090.db2.gz AKZNQBKFJRPFSR-UHFFFAOYSA-N 0 2 304.306 0.615 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2cnc(C3CC3)nc2)cn1 ZINC000676634286 547797662 /nfs/dbraw/zinc/79/76/62/547797662.db2.gz VOLWLBFAPNHSKM-UHFFFAOYSA-N 0 2 323.378 0.998 20 0 DCADLN CCCCN(C(=O)c1n[nH]c(C)c1O)[C@H]1CCS(=O)(=O)C1 ZINC000676706839 547806270 /nfs/dbraw/zinc/80/62/70/547806270.db2.gz DAEBXKSSEBACCY-JTQLQIEISA-N 0 2 315.395 0.853 20 0 DCADLN COC[C@@](C)(CO)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000681075851 548199942 /nfs/dbraw/zinc/19/99/42/548199942.db2.gz RZFPVYJJHAOBCK-OAHLLOKOSA-N 0 2 323.324 0.844 20 0 DCADLN Cc1[nH]ncc1CCCNC(=O)c1ccnc(S(N)(=O)=O)c1 ZINC000681553418 548262788 /nfs/dbraw/zinc/26/27/88/548262788.db2.gz BQBYULZGHVVQNR-UHFFFAOYSA-N 0 2 323.378 0.123 20 0 DCADLN O=C(NCCC1CS(=O)(=O)C1)c1c(O)cc(F)cc1F ZINC000682561158 548400780 /nfs/dbraw/zinc/40/07/80/548400780.db2.gz PHRXANBUVLQCNO-UHFFFAOYSA-N 0 2 305.302 0.835 20 0 DCADLN CCN(CC(N)=O)C(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000682821060 548428192 /nfs/dbraw/zinc/42/81/92/548428192.db2.gz FJCLFVJRIPXGRV-UHFFFAOYSA-N 0 2 318.333 0.534 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H]1CCc2cc(O)ccc2C1 ZINC000683512880 548494031 /nfs/dbraw/zinc/49/40/31/548494031.db2.gz PCDFQOIFPOGCBS-JTQLQIEISA-N 0 2 320.374 0.982 20 0 DCADLN C[C@H](CNC(=O)NCc1ccc(C(=O)[O-])cc1)[NH+]1CCOCC1 ZINC000818008935 597213581 /nfs/dbraw/zinc/21/35/81/597213581.db2.gz NKPXABWJCFCMKT-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)[N@H+](C)CC(=O)[O-])C1 ZINC000820364705 598041824 /nfs/dbraw/zinc/04/18/24/598041824.db2.gz SKAGKASSRGRAGA-MNOVXSKESA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)[N@@H+](C)CC(=O)[O-])C1 ZINC000820364705 598041827 /nfs/dbraw/zinc/04/18/27/598041827.db2.gz SKAGKASSRGRAGA-MNOVXSKESA-N 0 2 300.355 0.193 20 0 DCADLN CCc1nn(Cc2nc(C)no2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706160 598379542 /nfs/dbraw/zinc/37/95/42/598379542.db2.gz HUJKQJRVVXQLRU-UHFFFAOYSA-N 0 2 316.325 0.288 20 0 DCADLN CCc1nn(Cc2cnn(C)c2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706067 598639981 /nfs/dbraw/zinc/63/99/81/598639981.db2.gz GMUYGJGGXTXISP-UHFFFAOYSA-N 0 2 314.353 0.330 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2cccc(OCC(=O)[O-])c2)CCO1 ZINC000736813184 599700747 /nfs/dbraw/zinc/70/07/47/599700747.db2.gz ZRNOPBGSXNRQGA-LBPRGKRZSA-N 0 2 322.361 0.601 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2cccc(OCC(=O)[O-])c2)CCO1 ZINC000736813184 599700748 /nfs/dbraw/zinc/70/07/48/599700748.db2.gz ZRNOPBGSXNRQGA-LBPRGKRZSA-N 0 2 322.361 0.601 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2ccc(CCC(=O)[O-])cc2)CC1 ZINC000737517000 599879375 /nfs/dbraw/zinc/87/93/75/599879375.db2.gz FIPQTZACFPGIBL-UHFFFAOYSA-N 0 2 319.361 0.416 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)CN1CCc2c([nH+]cn2C)C1)C(=O)[O-] ZINC000736887937 599925253 /nfs/dbraw/zinc/92/52/53/599925253.db2.gz SNPZAPMLZBXNRE-IINYFYTJSA-N 0 2 308.382 0.394 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000387685770 599981491 /nfs/dbraw/zinc/98/14/91/599981491.db2.gz IBFOWMVMURYCBO-GFCCVEGCSA-N 0 2 306.318 0.248 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000387685770 599981492 /nfs/dbraw/zinc/98/14/92/599981492.db2.gz IBFOWMVMURYCBO-GFCCVEGCSA-N 0 2 306.318 0.248 20 0 DCADLN CC[C@@H](O)C[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])s2)CC1 ZINC000736928419 600002423 /nfs/dbraw/zinc/00/24/23/600002423.db2.gz RNMWLBHOSKDQRM-SNVBAGLBSA-N 0 2 312.391 0.975 20 0 DCADLN O=C([O-])CCNC(=O)C[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000739734872 600007420 /nfs/dbraw/zinc/00/74/20/600007420.db2.gz OFFUJXNNYUMQJF-UHFFFAOYSA-N 0 2 309.341 0.539 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000318320562 600309232 /nfs/dbraw/zinc/30/92/32/600309232.db2.gz QSFJUMGBXCWRHI-KGLIPLIRSA-N 0 2 306.362 0.473 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000318320562 600309236 /nfs/dbraw/zinc/30/92/36/600309236.db2.gz QSFJUMGBXCWRHI-KGLIPLIRSA-N 0 2 306.362 0.473 20 0 DCADLN O=C(C[N@H+]1CCC[C@@H]1C(=O)[O-])Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000739282525 600440039 /nfs/dbraw/zinc/44/00/39/600440039.db2.gz CMAVBBRDNRUYAC-LLVKDONJSA-N 0 2 304.306 0.756 20 0 DCADLN O=C(C[N@@H+]1CCC[C@@H]1C(=O)[O-])Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000739282525 600440041 /nfs/dbraw/zinc/44/00/41/600440041.db2.gz CMAVBBRDNRUYAC-LLVKDONJSA-N 0 2 304.306 0.756 20 0 DCADLN O=C([O-])CCCS(=O)(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000738582582 600492742 /nfs/dbraw/zinc/49/27/42/600492742.db2.gz KFOQTKNZEMALGK-UHFFFAOYSA-N 0 2 315.395 0.546 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)Cc2ccccc2C(=O)[O-])CC1 ZINC000737117506 600684708 /nfs/dbraw/zinc/68/47/08/600684708.db2.gz VVQDITQJHGFKNH-UHFFFAOYSA-N 0 2 312.391 0.852 20 0 DCADLN O=C([O-])CCCCNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000821519009 600744475 /nfs/dbraw/zinc/74/44/75/600744475.db2.gz FJAGZVOLARDOJF-ZDUSSCGKSA-N 0 2 313.398 0.748 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2C[C@@H](CC(=O)[O-])c3ccccc32)C1 ZINC000830960409 600968207 /nfs/dbraw/zinc/96/82/07/600968207.db2.gz MLOXXDLOLRIFFB-RISCZKNCSA-N 0 2 304.346 0.922 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2C[C@@H](CC(=O)[O-])c3ccccc32)C1 ZINC000830960409 600968209 /nfs/dbraw/zinc/96/82/09/600968209.db2.gz MLOXXDLOLRIFFB-RISCZKNCSA-N 0 2 304.346 0.922 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)C1 ZINC000387252939 600972476 /nfs/dbraw/zinc/97/24/76/600972476.db2.gz MHYFIZAXJWOSFX-MCIONIFRSA-N 0 2 310.394 0.811 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NCCn2cc[nH+]c2)cc1C(=O)[O-] ZINC000832938345 601157546 /nfs/dbraw/zinc/15/75/46/601157546.db2.gz KJBRYTSLGXRELN-UHFFFAOYSA-N 0 2 316.317 0.645 20 0 DCADLN O=C([O-])C[C@H]1C[N@H+](CCN2C(=O)c3ccccc3C2=O)CCO1 ZINC000322005359 601454352 /nfs/dbraw/zinc/45/43/52/601454352.db2.gz RRKWEAOEBOYHAR-NSHDSACASA-N 0 2 318.329 0.458 20 0 DCADLN O=C([O-])C[C@H]1C[N@@H+](CCN2C(=O)c3ccccc3C2=O)CCO1 ZINC000322005359 601454353 /nfs/dbraw/zinc/45/43/53/601454353.db2.gz RRKWEAOEBOYHAR-NSHDSACASA-N 0 2 318.329 0.458 20 0 DCADLN C[C@@H](CS(=O)(=O)NCc1cn2c([nH+]1)CCCC2)C(=O)[O-] ZINC000827564358 601785944 /nfs/dbraw/zinc/78/59/44/601785944.db2.gz WGXYHFMARXGIKF-VIFPVBQESA-N 0 2 301.368 0.360 20 0 DCADLN COCCn1cnn(C[N@@H+]2CC[C@@](C)(C(=O)[O-])C2)c1=S ZINC000831807381 601889508 /nfs/dbraw/zinc/88/95/08/601889508.db2.gz JNDPFLIECAMDDV-GFCCVEGCSA-N 0 2 300.384 0.815 20 0 DCADLN COCCn1cnn(C[N@H+]2CC[C@@](C)(C(=O)[O-])C2)c1=S ZINC000831807381 601889509 /nfs/dbraw/zinc/88/95/09/601889509.db2.gz JNDPFLIECAMDDV-GFCCVEGCSA-N 0 2 300.384 0.815 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)Cn2cc(C(=O)[O-])[nH+]c2C)C1 ZINC000825871855 601902198 /nfs/dbraw/zinc/90/21/98/601902198.db2.gz YPANVOYRHNIIHP-LLVKDONJSA-N 0 2 308.338 0.017 20 0 DCADLN COc1ccccc1C[NH+]1CCN(C(=O)CN(C)C(=O)[O-])CC1 ZINC000738134084 602258048 /nfs/dbraw/zinc/25/80/48/602258048.db2.gz XNJYUKHWSURORE-UHFFFAOYSA-N 0 2 321.377 0.949 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000736415388 602280938 /nfs/dbraw/zinc/28/09/38/602280938.db2.gz JQPYLVOYXRBFGL-GFCCVEGCSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000736415388 602280939 /nfs/dbraw/zinc/28/09/39/602280939.db2.gz JQPYLVOYXRBFGL-GFCCVEGCSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@@H](NC(=O)N1CCN(C(=O)[O-])CC1)[C@@H](C)[NH+]1CCOCC1 ZINC000736646992 602639015 /nfs/dbraw/zinc/63/90/15/602639015.db2.gz ZNANCGGLZYJZMR-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN CC(C)[C@@H](NC(=O)[O-])C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000736582153 602735324 /nfs/dbraw/zinc/73/53/24/602735324.db2.gz LECMZOVXSQCOAH-NWDGAFQWSA-N 0 2 300.403 0.031 20 0 DCADLN C[N@@H+]1CCN(C(=O)CSc2ccncc2)[C@@H](CNC(=O)[O-])C1 ZINC000828499789 603515523 /nfs/dbraw/zinc/51/55/23/603515523.db2.gz ABCDXYGVLRZZSE-NSHDSACASA-N 0 2 324.406 0.584 20 0 DCADLN C[N@H+]1CCN(C(=O)CSc2ccncc2)[C@@H](CNC(=O)[O-])C1 ZINC000828499789 603515524 /nfs/dbraw/zinc/51/55/24/603515524.db2.gz ABCDXYGVLRZZSE-NSHDSACASA-N 0 2 324.406 0.584 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cc2ccc(F)cc2)[C@@H](CNC(=O)[O-])C1 ZINC000828500842 603518728 /nfs/dbraw/zinc/51/87/28/603518728.db2.gz PWEQTWABAZJFSI-ZDUSSCGKSA-N 0 2 309.341 0.778 20 0 DCADLN C[N@H+]1CCN(C(=O)Cc2ccc(F)cc2)[C@@H](CNC(=O)[O-])C1 ZINC000828500842 603518731 /nfs/dbraw/zinc/51/87/31/603518731.db2.gz PWEQTWABAZJFSI-ZDUSSCGKSA-N 0 2 309.341 0.778 20 0 DCADLN CSc1cc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])ccn1 ZINC000829752927 603522454 /nfs/dbraw/zinc/52/24/54/603522454.db2.gz CGWHJBHLKBPMIS-LLVKDONJSA-N 0 2 324.406 0.827 20 0 DCADLN CSc1cc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])ccn1 ZINC000829752927 603522456 /nfs/dbraw/zinc/52/24/56/603522456.db2.gz CGWHJBHLKBPMIS-LLVKDONJSA-N 0 2 324.406 0.827 20 0 DCADLN CCCn1nccc1NC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826964627 603597113 /nfs/dbraw/zinc/59/71/13/603597113.db2.gz JVAIPKQZTDDIAO-NSHDSACASA-N 0 2 324.385 0.709 20 0 DCADLN CCCn1nccc1NC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826964627 603597115 /nfs/dbraw/zinc/59/71/15/603597115.db2.gz JVAIPKQZTDDIAO-NSHDSACASA-N 0 2 324.385 0.709 20 0 DCADLN O=C([O-])N1CCN2C(=O)N(C[NH+]3CCSCC3)C[C@H]2C1 ZINC000832042538 603598453 /nfs/dbraw/zinc/59/84/53/603598453.db2.gz PDUXBKFTCUWEGX-SNVBAGLBSA-N 0 2 300.384 0.093 20 0 DCADLN C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)N[C@@H]1CCc2[nH+]ccn2C1 ZINC000826110706 603701960 /nfs/dbraw/zinc/70/19/60/603701960.db2.gz UGOFEXJJBHMWDE-HOSYDEDBSA-N 0 2 322.365 0.818 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)NCCn2cccc2)CC1 ZINC000831689835 603846334 /nfs/dbraw/zinc/84/63/34/603846334.db2.gz YMLUFMULDPMCAC-UHFFFAOYSA-N 0 2 309.370 0.083 20 0 DCADLN O=C([O-])N1CC[C@](F)(C(=O)N2CC(Oc3cc[nH+]cc3)C2)C1 ZINC000831889171 603877036 /nfs/dbraw/zinc/87/70/36/603877036.db2.gz SQCWNWXQMSZJKY-CQSZACIVSA-N 0 2 309.297 0.763 20 0 DCADLN C[C@H](NC(=O)[C@@]1(F)CCN(C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000825077079 603882116 /nfs/dbraw/zinc/88/21/16/603882116.db2.gz VRJTWOXVMYJUGS-COPLHBTASA-N 0 2 317.361 0.304 20 0 DCADLN C[C@@]1(C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)CCN(C(=O)[O-])C1 ZINC000825651354 603968330 /nfs/dbraw/zinc/96/83/30/603968330.db2.gz XSOUMPJFHLFKJR-SWLSCSKDSA-N 0 2 311.382 0.310 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)COC2CN(C(=O)[O-])C2)c1C ZINC000829066893 603991572 /nfs/dbraw/zinc/99/15/72/603991572.db2.gz NRNJMWWJTOIMRI-UHFFFAOYSA-N 0 2 323.349 0.702 20 0 DCADLN O=C([O-])N[C@@H](Cc1ccccc1)C(=O)N1CC[NH+](CCO)CC1 ZINC000832757765 604160430 /nfs/dbraw/zinc/16/04/30/604160430.db2.gz OHVNIJCMJZYZME-AWEZNQCLSA-N 0 2 321.377 0.002 20 0 DCADLN O=C([O-])NC1(CC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)CCC1 ZINC000832194608 604195052 /nfs/dbraw/zinc/19/50/52/604195052.db2.gz XENVJYAHADXMSG-LBPRGKRZSA-N 0 2 311.382 0.500 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000827896486 604219758 /nfs/dbraw/zinc/21/97/58/604219758.db2.gz SSGVFHXEZSNYCI-VXGBXAGGSA-N 0 2 321.381 0.626 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000827896486 604219760 /nfs/dbraw/zinc/21/97/60/604219760.db2.gz SSGVFHXEZSNYCI-VXGBXAGGSA-N 0 2 321.381 0.626 20 0 DCADLN O=C([O-])N1CCCC[C@@H]1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000831273271 604296851 /nfs/dbraw/zinc/29/68/51/604296851.db2.gz PBXYGJHCXGXDBJ-CHWSQXEVSA-N 0 2 311.382 0.452 20 0 DCADLN C[C@@H](C[N@H+](Cn1nc(CO)n(C)c1=S)C1CC1)C(=O)[O-] ZINC000827456218 604398932 /nfs/dbraw/zinc/39/89/32/604398932.db2.gz SUKHGYGRYDGXEF-QMMMGPOBSA-N 0 2 300.384 0.586 20 0 DCADLN C[C@@H](C[N@@H+](Cn1nc(CO)n(C)c1=S)C1CC1)C(=O)[O-] ZINC000827456218 604398935 /nfs/dbraw/zinc/39/89/35/604398935.db2.gz SUKHGYGRYDGXEF-QMMMGPOBSA-N 0 2 300.384 0.586 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])n(C)n1 ZINC000824453774 604402266 /nfs/dbraw/zinc/40/22/66/604402266.db2.gz WAYMKXFZLGKRNQ-LLVKDONJSA-N 0 2 323.397 0.567 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])n(C)n1 ZINC000824453774 604402269 /nfs/dbraw/zinc/40/22/69/604402269.db2.gz WAYMKXFZLGKRNQ-LLVKDONJSA-N 0 2 323.397 0.567 20 0 DCADLN Cn1nc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cc1C1CC1 ZINC000828507326 604408985 /nfs/dbraw/zinc/40/89/85/604408985.db2.gz OODGBLJYYWQVGH-LLVKDONJSA-N 0 2 321.381 0.321 20 0 DCADLN Cn1nc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cc1C1CC1 ZINC000828507326 604408986 /nfs/dbraw/zinc/40/89/86/604408986.db2.gz OODGBLJYYWQVGH-LLVKDONJSA-N 0 2 321.381 0.321 20 0 DCADLN C[C@@H](Oc1ccc(C[N@@H+]2CCO[C@@H](C(N)=O)C2)cc1)C(=O)[O-] ZINC000833538749 604623211 /nfs/dbraw/zinc/62/32/11/604623211.db2.gz FOTMHVQXVVSSTQ-ZWNOBZJWSA-N 0 2 308.334 0.225 20 0 DCADLN C[C@@H](Oc1ccc(C[N@H+]2CCO[C@@H](C(N)=O)C2)cc1)C(=O)[O-] ZINC000833538749 604623216 /nfs/dbraw/zinc/62/32/16/604623216.db2.gz FOTMHVQXVVSSTQ-ZWNOBZJWSA-N 0 2 308.334 0.225 20 0 DCADLN O=C([O-])C1(O)CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000262172852 604751812 /nfs/dbraw/zinc/75/18/12/604751812.db2.gz WQNKHXDEUQXKSF-UHFFFAOYSA-N 0 2 315.329 0.924 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C2)o1 ZINC000832985969 604930020 /nfs/dbraw/zinc/93/00/20/604930020.db2.gz SJNSPDIHGUPHJD-GRYCIOLGSA-N 0 2 307.350 0.736 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C2)o1 ZINC000832985969 604930021 /nfs/dbraw/zinc/93/00/21/604930021.db2.gz SJNSPDIHGUPHJD-GRYCIOLGSA-N 0 2 307.350 0.736 20 0 DCADLN COc1ccccc1NC(=O)C[NH+]1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833736740 604976537 /nfs/dbraw/zinc/97/65/37/604976537.db2.gz WOLCSAKJIOGARI-HNNXBMFYSA-N 0 2 322.361 0.791 20 0 DCADLN CNC(=O)c1cccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000833700205 605024773 /nfs/dbraw/zinc/02/47/73/605024773.db2.gz HHPARQWVKJKUAT-LBPRGKRZSA-N 0 2 316.317 0.195 20 0 DCADLN CNC(=O)c1cccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000833700205 605024776 /nfs/dbraw/zinc/02/47/76/605024776.db2.gz HHPARQWVKJKUAT-LBPRGKRZSA-N 0 2 316.317 0.195 20 0 DCADLN CC(C)(C)N1C[C@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1=O ZINC000833431296 605081033 /nfs/dbraw/zinc/08/10/33/605081033.db2.gz LLNRFXPZQHLULB-KOLCDFICSA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)(C)N1C[C@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1=O ZINC000833431296 605081039 /nfs/dbraw/zinc/08/10/39/605081039.db2.gz LLNRFXPZQHLULB-KOLCDFICSA-N 0 2 322.365 0.169 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)CO[C@H]1CCCN(C(=O)[O-])C1 ZINC000825054669 605261139 /nfs/dbraw/zinc/26/11/39/605261139.db2.gz GTGMOSUAUBQYKA-NEPJUHHUSA-N 0 2 310.354 0.547 20 0 DCADLN CN(C(=O)C[N@@H+]1CCSC[C@@H]1CO)c1ccccc1C(=O)[O-] ZINC000833686493 605385261 /nfs/dbraw/zinc/38/52/61/605385261.db2.gz XMFRGXOJOICNEY-NSHDSACASA-N 0 2 324.402 0.757 20 0 DCADLN CN(C(=O)C[N@H+]1CCSC[C@@H]1CO)c1ccccc1C(=O)[O-] ZINC000833686493 605385268 /nfs/dbraw/zinc/38/52/68/605385268.db2.gz XMFRGXOJOICNEY-NSHDSACASA-N 0 2 324.402 0.757 20 0 DCADLN Cc1cc(NC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])n(C)n1 ZINC000830111998 605453764 /nfs/dbraw/zinc/45/37/64/605453764.db2.gz RWPYDFDKHVUIBF-JTQLQIEISA-N 0 2 310.358 0.144 20 0 DCADLN Cc1cc(NC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])n(C)n1 ZINC000830111998 605453766 /nfs/dbraw/zinc/45/37/66/605453766.db2.gz RWPYDFDKHVUIBF-JTQLQIEISA-N 0 2 310.358 0.144 20 0 DCADLN O=C([O-])N1CC(CNc2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000834069195 605731219 /nfs/dbraw/zinc/73/12/19/605731219.db2.gz RQDSWCZMVSAXIK-NSHDSACASA-N 0 2 321.381 0.707 20 0 DCADLN O=C([O-])N1CC(CNc2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000834069195 605731223 /nfs/dbraw/zinc/73/12/23/605731223.db2.gz RQDSWCZMVSAXIK-NSHDSACASA-N 0 2 321.381 0.707 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@H]2CCN(C(=O)[O-])C2)C1 ZINC000833953870 606076814 /nfs/dbraw/zinc/07/68/14/606076814.db2.gz DHOFCPZUIYCHFF-MNOVXSKESA-N 0 2 307.354 0.671 20 0 DCADLN CCC1(CNc2c(-c3nn[nH]n3)c(=O)n(C)c(=O)n2C)CCC1 ZINC000820962048 606593603 /nfs/dbraw/zinc/59/36/03/606593603.db2.gz GWGDXJLWXOFKAQ-UHFFFAOYSA-N 0 2 319.369 0.256 20 0 DCADLN CCCCN(c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C1CC1 ZINC000821065802 606834829 /nfs/dbraw/zinc/83/48/29/606834829.db2.gz NLACIBOAAGSMFE-UHFFFAOYSA-N 0 2 319.369 0.033 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2ncc(C)s2)c1=O ZINC000825799988 608218001 /nfs/dbraw/zinc/21/80/01/608218001.db2.gz XSGAQXWQEYCDSA-UHFFFAOYSA-N 0 2 319.350 0.023 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1ccccc1-c1nn[nH]n1 ZINC000826349755 608529107 /nfs/dbraw/zinc/52/91/07/608529107.db2.gz TWQTYTWHLFJKAY-UHFFFAOYSA-N 0 2 306.307 0.964 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001027809717 660759691 /nfs/dbraw/zinc/75/96/91/660759691.db2.gz ZUYQQOWSEUWBDX-JTQLQIEISA-N 0 2 320.353 0.510 20 0 DCADLN Cn1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001027824692 660794253 /nfs/dbraw/zinc/79/42/53/660794253.db2.gz MTLXERDKHHKGNL-NSHDSACASA-N 0 2 304.354 0.243 20 0 DCADLN Cn1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001027824692 660794255 /nfs/dbraw/zinc/79/42/55/660794255.db2.gz MTLXERDKHHKGNL-NSHDSACASA-N 0 2 304.354 0.243 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)ns1 ZINC001027854014 660842030 /nfs/dbraw/zinc/84/20/30/660842030.db2.gz OJKKGQRAZKBUQT-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN O=C(C[C@@H]1C=CCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980680672 660981707 /nfs/dbraw/zinc/98/17/07/660981707.db2.gz DUXJKEQVXGGSRD-GFCCVEGCSA-N 0 2 305.382 0.901 20 0 DCADLN Cc1cccc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)n1 ZINC000980723596 660992861 /nfs/dbraw/zinc/99/28/61/660992861.db2.gz LTYPICFOCOVXPW-UHFFFAOYSA-N 0 2 316.365 0.562 20 0 DCADLN CCc1cnc(CN2CCC[C@H]2CNC(=O)c2cnn[nH]2)o1 ZINC001027991147 661022647 /nfs/dbraw/zinc/02/26/47/661022647.db2.gz WFZHLTPPKFGKQV-JTQLQIEISA-N 0 2 304.354 0.750 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)[C@@H](C)C2)c1[O-] ZINC000947211217 661045705 /nfs/dbraw/zinc/04/57/05/661045705.db2.gz LRZPCMLPTMPNRO-WPRPVWTQSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)[C@@H](C)C2)c1[O-] ZINC000947211217 661045706 /nfs/dbraw/zinc/04/57/06/661045706.db2.gz LRZPCMLPTMPNRO-WPRPVWTQSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCCN([C@H]2CCNC2=O)CC1 ZINC000981209469 661103781 /nfs/dbraw/zinc/10/37/81/661103781.db2.gz IGRSHJQOYKUGQX-IUCAKERBSA-N 0 2 311.279 0.310 20 0 DCADLN CCc1noc(C[NH2+][C@@H]2CCC[C@H](NC(=O)c3nnc[nH]3)C2)n1 ZINC000981397920 661146685 /nfs/dbraw/zinc/14/66/85/661146685.db2.gz CJDZBBKZGLMATF-ZJUUUORDSA-N 0 2 319.369 0.581 20 0 DCADLN CCc1noc(C[NH2+][C@@H]2CCC[C@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC000981397920 661146686 /nfs/dbraw/zinc/14/66/86/661146686.db2.gz CJDZBBKZGLMATF-ZJUUUORDSA-N 0 2 319.369 0.581 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCC12CC2 ZINC001031767876 665470931 /nfs/dbraw/zinc/47/09/31/665470931.db2.gz ZGKPEADFQYWABK-LLVKDONJSA-N 0 2 305.382 0.639 20 0 DCADLN Cc1cc(C[NH2+]C2CC(N(C)C(=O)[C@H]3C[N@H+](C)CCO3)C2)on1 ZINC000998584704 665485183 /nfs/dbraw/zinc/48/51/83/665485183.db2.gz GMDCGTSEEJUXOM-SSDMNJCBSA-N 0 2 322.409 0.393 20 0 DCADLN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(F)C(F)(F)F ZINC000811464558 657556998 /nfs/dbraw/zinc/55/69/98/657556998.db2.gz HAFNQFDUCUKTLA-ACZMJKKPSA-N 0 2 316.251 0.459 20 0 DCADLN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC000811464558 657557006 /nfs/dbraw/zinc/55/70/06/657557006.db2.gz HAFNQFDUCUKTLA-ACZMJKKPSA-N 0 2 316.251 0.459 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C2CC([NH2+]Cc3cnon3)C2)c1[O-] ZINC000998637761 665494652 /nfs/dbraw/zinc/49/46/52/665494652.db2.gz KGSPXDGGXCDZGL-UHFFFAOYSA-N 0 2 306.326 0.200 20 0 DCADLN C[C@H](NC(=O)c1cccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969237060 657615593 /nfs/dbraw/zinc/61/55/93/657615593.db2.gz NQMLKMRQHVMURB-QMMMGPOBSA-N 0 2 307.379 0.822 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969272471 657648094 /nfs/dbraw/zinc/64/80/94/657648094.db2.gz KPBWYGDZITXQOP-ZETCQYMHSA-N 0 2 320.353 0.365 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001023373168 657798646 /nfs/dbraw/zinc/79/86/46/657798646.db2.gz FUDLJPNGWTZTHJ-KKZNHRDASA-N 0 2 324.274 0.685 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001023373168 657798654 /nfs/dbraw/zinc/79/86/54/657798654.db2.gz FUDLJPNGWTZTHJ-KKZNHRDASA-N 0 2 324.274 0.685 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CN(C(=O)C=C2CCC2)C[C@@H]1O ZINC000969413303 657831592 /nfs/dbraw/zinc/83/15/92/657831592.db2.gz RJFADHKPCRQYJE-KGLIPLIRSA-N 0 2 318.377 0.059 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969482263 657919206 /nfs/dbraw/zinc/91/92/06/657919206.db2.gz CLIIMHKXTLRBMN-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(CNc1ccccc1[N+](=O)[O-])NNC(=O)c1ccccn1 ZINC000067703803 657946888 /nfs/dbraw/zinc/94/68/88/657946888.db2.gz KTVJDZVBFGMTDZ-UHFFFAOYSA-N 0 2 315.289 0.863 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H](C)C2C[NH+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC000969973812 658310990 /nfs/dbraw/zinc/31/09/90/658310990.db2.gz OSCKXNOVMJRFFA-SNVBAGLBSA-N 0 2 318.381 0.706 20 0 DCADLN O=C(N[C@H]1C[C@H]([NH2+]Cc2ncc(Cl)s2)C1)c1nnc[nH]1 ZINC001020774194 658456785 /nfs/dbraw/zinc/45/67/85/658456785.db2.gz UXMAWXCIXJJOPR-LJGSYFOKSA-N 0 2 312.786 0.965 20 0 DCADLN O=C(N[C@H]1C[C@H]([NH2+]Cc2ncc(Cl)s2)C1)c1ncn[nH]1 ZINC001020774194 658456792 /nfs/dbraw/zinc/45/67/92/658456792.db2.gz UXMAWXCIXJJOPR-LJGSYFOKSA-N 0 2 312.786 0.965 20 0 DCADLN COCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)cs1 ZINC001020782580 658462159 /nfs/dbraw/zinc/46/21/59/658462159.db2.gz VSYKVEKTSBEJDF-KYZUINATSA-N 0 2 322.394 0.458 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@]3(C2)C[N@H+](C[C@H](C)O)CCO3)[nH]1 ZINC000972293663 658530652 /nfs/dbraw/zinc/53/06/52/658530652.db2.gz DVOAMCKABPPQMT-XHDPSFHLSA-N 0 2 308.382 0.016 20 0 DCADLN O=C(CC1=CCOCC1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000999137526 665587830 /nfs/dbraw/zinc/58/78/30/665587830.db2.gz VJDKFFLBBSSNFG-NSHDSACASA-N 0 2 324.274 0.951 20 0 DCADLN O=C(CC1=CCOCC1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000999137526 665587832 /nfs/dbraw/zinc/58/78/32/665587832.db2.gz VJDKFFLBBSSNFG-NSHDSACASA-N 0 2 324.274 0.951 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2CC[C@@]3(C2)C[NH+](CC2CC2)CCO3)C1 ZINC000972314367 658554186 /nfs/dbraw/zinc/55/41/86/658554186.db2.gz CQGBPWGOPXDRPZ-RDJZCZTQSA-N 0 2 323.437 0.030 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCCCO1 ZINC001024386865 658597471 /nfs/dbraw/zinc/59/74/71/658597471.db2.gz LZXLJMIFCMICBZ-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN CC[NH+]1CC([C@@H](C)NC(=O)[C@@H]2COCC[N@H+]2CC2CCC2)C1 ZINC000970213388 658747579 /nfs/dbraw/zinc/74/75/79/658747579.db2.gz MPMKXBXUTYBQHN-CJNGLKHVSA-N 0 2 309.454 0.944 20 0 DCADLN CN(Cc1nnnn1C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575321 658824515 /nfs/dbraw/zinc/82/45/15/658824515.db2.gz DEEAXPNEHSBYBS-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN CN(Cc1nnnn1C)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000971575321 658824520 /nfs/dbraw/zinc/82/45/20/658824520.db2.gz DEEAXPNEHSBYBS-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1cn[nH]c1 ZINC000976205909 659225735 /nfs/dbraw/zinc/22/57/35/659225735.db2.gz PNAHUBKZISWOHH-RYPBNFRJSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)c1cn[nH]c1 ZINC000976205909 659225738 /nfs/dbraw/zinc/22/57/38/659225738.db2.gz PNAHUBKZISWOHH-RYPBNFRJSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccc(=O)[nH]c1 ZINC000999569675 665658227 /nfs/dbraw/zinc/65/82/27/665658227.db2.gz DYRCCFSUASBZPA-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccc(=O)[nH]c1 ZINC000999569675 665658228 /nfs/dbraw/zinc/65/82/28/665658228.db2.gz DYRCCFSUASBZPA-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1nccs1 ZINC000977375973 659665441 /nfs/dbraw/zinc/66/54/41/659665441.db2.gz FNQRPAVHRNCMEP-MRVPVSSYSA-N 0 2 322.394 0.607 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N[C@@H]2CC[NH+](CCOC3CCC3)C2)C1 ZINC001010425351 661395470 /nfs/dbraw/zinc/39/54/70/661395470.db2.gz DCRVGEKXVGCYIC-UKRRQHHQSA-N 0 2 311.426 0.077 20 0 DCADLN Cc1nnc(C[N@@H+]2CC[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001028485113 661554419 /nfs/dbraw/zinc/55/44/19/661554419.db2.gz KXUBNHSWGQHYDJ-SNVBAGLBSA-N 0 2 320.353 0.367 20 0 DCADLN Cc1nnc(C[N@H+]2CC[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001028485113 661554421 /nfs/dbraw/zinc/55/44/21/661554421.db2.gz KXUBNHSWGQHYDJ-SNVBAGLBSA-N 0 2 320.353 0.367 20 0 DCADLN O=C(N[C@]12C[C@H]1COC2)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000894177630 661644269 /nfs/dbraw/zinc/64/42/69/661644269.db2.gz YFMJDLPKRNNXSI-MADCSZMMSA-N 0 2 318.251 0.977 20 0 DCADLN C[N@@H+]1C[C@H](O)C[C@H]1CNC(=O)CSc1n[n-]c(=S)s1 ZINC000924987141 661838406 /nfs/dbraw/zinc/83/84/06/661838406.db2.gz LBWQVQYDKPSMQK-NKWVEPMBSA-N 0 2 320.465 0.100 20 0 DCADLN C[N@H+]1C[C@H](O)C[C@H]1CNC(=O)CSc1n[n-]c(=S)s1 ZINC000924987141 661838408 /nfs/dbraw/zinc/83/84/08/661838408.db2.gz LBWQVQYDKPSMQK-NKWVEPMBSA-N 0 2 320.465 0.100 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)CC[C@H]1NC(=O)c1ccon1 ZINC000940598693 665813220 /nfs/dbraw/zinc/81/32/20/665813220.db2.gz UJBRMUBLUIFYQZ-SNVBAGLBSA-N 0 2 320.353 0.529 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC[C@H]1NC(=O)c1ccon1 ZINC000940598693 665813222 /nfs/dbraw/zinc/81/32/22/665813222.db2.gz UJBRMUBLUIFYQZ-SNVBAGLBSA-N 0 2 320.353 0.529 20 0 DCADLN CC[C@](C)(CC(=O)OC)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000748599799 662016341 /nfs/dbraw/zinc/01/63/41/662016341.db2.gz WYWRJMBTPUHGIJ-JMCQJSRRSA-N 0 2 301.368 0.391 20 0 DCADLN Cc1cc(C)nc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038432625 662038441 /nfs/dbraw/zinc/03/84/41/662038441.db2.gz SOJJVHDEHKDKJI-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)o1 ZINC001029202245 662048761 /nfs/dbraw/zinc/04/87/61/662048761.db2.gz UUPHDGGFVJYHBG-PHIMTYICSA-N 0 2 317.349 0.901 20 0 DCADLN CS[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029222304 662054746 /nfs/dbraw/zinc/05/47/46/662054746.db2.gz TYQPKZWPXVWDBS-LPEHRKFASA-N 0 2 311.411 0.437 20 0 DCADLN O=C([C@@H]1CCCCO1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029272091 662074616 /nfs/dbraw/zinc/07/46/16/662074616.db2.gz DKLHSTOJWBELTM-TUAOUCFPSA-N 0 2 321.381 0.255 20 0 DCADLN C[C@@H]1CO[C@@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)C1 ZINC001029399506 662140057 /nfs/dbraw/zinc/14/00/57/662140057.db2.gz QOSVFDJSRWXMHR-NNYUYHANSA-N 0 2 321.381 0.111 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C(F)F)C1)C(F)C(F)(F)F ZINC001040068862 662352805 /nfs/dbraw/zinc/35/28/05/662352805.db2.gz BQNLCYRBDWMTFI-CDUCUWFYSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C(F)F)C1)[C@H](F)C(F)(F)F ZINC001040068862 662352806 /nfs/dbraw/zinc/35/28/06/662352806.db2.gz BQNLCYRBDWMTFI-CDUCUWFYSA-N 0 2 322.205 0.232 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)[C@H]3CCc4[nH+]ccn4C3)C[C@H]1COCC2 ZINC000909562692 662367286 /nfs/dbraw/zinc/36/72/86/662367286.db2.gz OJLHGRFKLXMMOL-MQIPJXDCSA-N 0 2 319.361 0.395 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007022502 662465657 /nfs/dbraw/zinc/46/56/57/662465657.db2.gz WBENFKLBKOWUEO-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007022498 662465819 /nfs/dbraw/zinc/46/58/19/662465819.db2.gz WBENFKLBKOWUEO-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1nnc(C[N@H+](C)C2CCN(C(=O)c3[nH]cnc3C)CC2)[nH]1 ZINC000985820075 662517854 /nfs/dbraw/zinc/51/78/54/662517854.db2.gz PWCGCEJMFTXTIS-UHFFFAOYSA-N 0 2 317.397 0.881 20 0 DCADLN CCn1ncc(C[NH2+][C@@H]2CCN(C(=O)c3cnc(C)[nH]3)[C@@H]2C)n1 ZINC000986056439 662547003 /nfs/dbraw/zinc/54/70/03/662547003.db2.gz OXVZKIQRSLFZIV-ZWNOBZJWSA-N 0 2 317.397 0.722 20 0 DCADLN C[C@H]1[C@H]([NH2+]Cc2ncccn2)CCN1C(=O)c1ncccc1O ZINC000986174747 662564623 /nfs/dbraw/zinc/56/46/23/662564623.db2.gz ZDUHJOBHVIKLFH-NWDGAFQWSA-N 0 2 313.361 0.970 20 0 DCADLN Cc1nn(C)cc1C[NH2+][C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC000987070671 662640569 /nfs/dbraw/zinc/64/05/69/662640569.db2.gz SJANERHPTRWSFG-DOMZBBRYSA-N 0 2 316.409 0.773 20 0 DCADLN Cc1cc[nH]c1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000637211 665899270 /nfs/dbraw/zinc/89/92/70/665899270.db2.gz PBXJGNSAEAHVRA-UHFFFAOYSA-N 0 2 316.365 0.709 20 0 DCADLN Cc1cc[nH]c1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000637211 665899272 /nfs/dbraw/zinc/89/92/72/665899272.db2.gz PBXJGNSAEAHVRA-UHFFFAOYSA-N 0 2 316.365 0.709 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000937721516 662811552 /nfs/dbraw/zinc/81/15/52/662811552.db2.gz DXJQMEZEZINCEG-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)[nH]n1 ZINC000937721516 662811553 /nfs/dbraw/zinc/81/15/53/662811553.db2.gz DXJQMEZEZINCEG-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN CC[C@H](C(=O)N1CC[C@H](NC(=O)c2n[nH]c(C)c2[O-])C1)[NH+](C)C ZINC000937829312 662827711 /nfs/dbraw/zinc/82/77/11/662827711.db2.gz PBYNTKYEXPSANO-WDEREUQCSA-N 0 2 323.397 0.095 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937893179 662836925 /nfs/dbraw/zinc/83/69/25/662836925.db2.gz DRNKWYIALCGRIB-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000937893179 662836926 /nfs/dbraw/zinc/83/69/26/662836926.db2.gz DRNKWYIALCGRIB-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccns1 ZINC001000743700 665924193 /nfs/dbraw/zinc/92/41/93/665924193.db2.gz MAHWGDVEGWFQLP-UHFFFAOYSA-N 0 2 320.378 0.529 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccns1 ZINC001000743700 665924195 /nfs/dbraw/zinc/92/41/95/665924195.db2.gz MAHWGDVEGWFQLP-UHFFFAOYSA-N 0 2 320.378 0.529 20 0 DCADLN Cc1cccc(CONC(=O)[C@@H]2[C@H]3CC[C@]4(COC(=O)[C@H]24)O3)n1 ZINC000898930002 663114076 /nfs/dbraw/zinc/11/40/76/663114076.db2.gz CHJXDUAQLBVUOZ-NFFDBFGFSA-N 0 2 318.329 0.658 20 0 DCADLN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000899410626 663143395 /nfs/dbraw/zinc/14/33/95/663143395.db2.gz CIQAUXGNDJRCLC-CHWFTXMASA-N 0 2 301.350 0.480 20 0 DCADLN COc1ccc(C[NH+]2CC[C@H]2C(=O)NCC[N@H+](C)CCO)cc1 ZINC000899740777 663185712 /nfs/dbraw/zinc/18/57/12/663185712.db2.gz ILLIYNIVBYBVPR-INIZCTEOSA-N 0 2 321.421 0.310 20 0 DCADLN O=C(CCc1nc[nH]n1)N1CCC([NH2+]Cc2csnn2)CC1 ZINC000990827462 663210933 /nfs/dbraw/zinc/21/09/33/663210933.db2.gz QGOMIFMMEAAZMA-UHFFFAOYSA-N 0 2 321.410 0.370 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001000865281 665945270 /nfs/dbraw/zinc/94/52/70/665945270.db2.gz ARIVSRXBGHNUDG-ZYHUDNBSSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001000865281 665945273 /nfs/dbraw/zinc/94/52/73/665945273.db2.gz ARIVSRXBGHNUDG-ZYHUDNBSSA-N 0 2 321.381 0.184 20 0 DCADLN [NH3+]C1(C(=O)[N-]S(=O)(=O)[C@@H]2CCCOC2)Cc2ccccc2C1 ZINC000901036059 663306652 /nfs/dbraw/zinc/30/66/52/663306652.db2.gz ZXFGZJNRQTWRPF-CYBMUJFWSA-N 0 2 324.402 0.108 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000901450829 663344790 /nfs/dbraw/zinc/34/47/90/663344790.db2.gz VIQUHCPTFSCCMZ-IUCAKERBSA-N 0 2 321.255 0.689 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000902319103 663404309 /nfs/dbraw/zinc/40/43/09/663404309.db2.gz NLDGVFJEYHGMFY-NHYWBVRUSA-N 0 2 307.350 0.395 20 0 DCADLN Cn1c[nH+]cc1CCN1c2ccccc2C([O-])=CS1(=O)=O ZINC000902661633 663425322 /nfs/dbraw/zinc/42/53/22/663425322.db2.gz PJKAUKSZSZTEGH-UHFFFAOYSA-N 0 2 305.359 0.995 20 0 DCADLN CCc1ccc(C[NH+]2CCN(C(=O)[C@H](OC)C(=O)[O-])CC2)cc1 ZINC000902683684 663427537 /nfs/dbraw/zinc/42/75/37/663427537.db2.gz KHKQMGIJDSWNFB-HNNXBMFYSA-N 0 2 320.389 0.993 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](F)C[N@@H+]1CCc1nnnn1-c1ccccc1 ZINC000903617966 663470645 /nfs/dbraw/zinc/47/06/45/663470645.db2.gz ZSKRGAAWIKOMDJ-JQWIXIFHSA-N 0 2 305.313 0.702 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](F)C[N@H+]1CCc1nnnn1-c1ccccc1 ZINC000903617966 663470647 /nfs/dbraw/zinc/47/06/47/663470647.db2.gz ZSKRGAAWIKOMDJ-JQWIXIFHSA-N 0 2 305.313 0.702 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1nc2n(n1)CCCC2 ZINC000903636793 663472588 /nfs/dbraw/zinc/47/25/88/663472588.db2.gz OBFFJRBWHWYQMD-UHFFFAOYSA-N 0 2 309.351 0.819 20 0 DCADLN COC1CCC(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001031940942 665969734 /nfs/dbraw/zinc/96/97/34/665969734.db2.gz GMRXJKGXCLOCPO-UHFFFAOYSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1nonc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000941325615 665976662 /nfs/dbraw/zinc/97/66/62/665976662.db2.gz ZAEREHOZHVNGSS-SVRRBLITSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000941325615 665976665 /nfs/dbraw/zinc/97/66/65/665976665.db2.gz ZAEREHOZHVNGSS-SVRRBLITSA-N 0 2 324.234 0.609 20 0 DCADLN CC(C)(C)OC(=O)c1c(NS(C)(=O)=O)nc2n1CCOC2 ZINC000906076677 663599587 /nfs/dbraw/zinc/59/95/87/663599587.db2.gz ADHVICIFUVJVLN-UHFFFAOYSA-N 0 2 317.367 0.740 20 0 DCADLN Cc1cc(C(=O)[O-])cc(S(=O)(=O)NCC[NH+]2CCC2)c1C ZINC000906904738 663649996 /nfs/dbraw/zinc/64/99/96/663649996.db2.gz WUIXQFBYKXAKJZ-UHFFFAOYSA-N 0 2 312.391 0.986 20 0 DCADLN Cc1coc(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001001066192 665987933 /nfs/dbraw/zinc/98/79/33/665987933.db2.gz GRBHCZRXXZYRKW-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN Cc1coc(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001001066192 665987936 /nfs/dbraw/zinc/98/79/36/665987936.db2.gz GRBHCZRXXZYRKW-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN Cc1ccnc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991094119 663718086 /nfs/dbraw/zinc/71/80/86/663718086.db2.gz DHUCWOGZGFOVCU-QMMMGPOBSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ccnc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000991094119 663718087 /nfs/dbraw/zinc/71/80/87/663718087.db2.gz DHUCWOGZGFOVCU-QMMMGPOBSA-N 0 2 320.246 0.626 20 0 DCADLN CN(C(=O)NCC[N@@H+]1CCOCC1(C)C)C(C)(C)C(=O)[O-] ZINC000908937370 663775173 /nfs/dbraw/zinc/77/51/73/663775173.db2.gz SSBYJDLEWRCVLC-UHFFFAOYSA-N 0 2 301.387 0.602 20 0 DCADLN CN(C(=O)NCC[N@H+]1CCOCC1(C)C)C(C)(C)C(=O)[O-] ZINC000908937370 663775174 /nfs/dbraw/zinc/77/51/74/663775174.db2.gz SSBYJDLEWRCVLC-UHFFFAOYSA-N 0 2 301.387 0.602 20 0 DCADLN O=C([O-])C[C@H]1CSCCN1C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000909008436 663777404 /nfs/dbraw/zinc/77/74/04/663777404.db2.gz AMDJFUDHYWGFKM-JQWIXIFHSA-N 0 2 309.391 0.864 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCSC[C@@H]1CC(=O)[O-] ZINC000909010736 663777574 /nfs/dbraw/zinc/77/75/74/663777574.db2.gz JVDTYTOTDSHJKD-HBNTYKKESA-N 0 2 309.391 0.942 20 0 DCADLN O=C([O-])CCN(C(=O)CNc1cccc[nH+]1)C1CCOCC1 ZINC000909027055 663778496 /nfs/dbraw/zinc/77/84/96/663778496.db2.gz DYHCENSVPFOFOZ-UHFFFAOYSA-N 0 2 307.350 0.976 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000910148356 663850252 /nfs/dbraw/zinc/85/02/52/663850252.db2.gz ATNRADJIMZUVLG-QWRGUYRKSA-N 0 2 309.391 0.722 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CC2(C1)CS(=O)(=O)C2 ZINC000910701234 663926445 /nfs/dbraw/zinc/92/64/45/663926445.db2.gz RUKDFZZMHOTRTG-UHFFFAOYSA-N 0 2 303.286 0.541 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)cn1 ZINC000910980749 663964678 /nfs/dbraw/zinc/96/46/78/663964678.db2.gz YDZAOOTXMZPIJV-NSHDSACASA-N 0 2 300.318 0.855 20 0 DCADLN CC(C)(CNC(=O)c1cccc(C(=O)[O-])n1)[NH+]1CCOCC1 ZINC000911095981 663981675 /nfs/dbraw/zinc/98/16/75/663981675.db2.gz MWUXTAVLJOESLZ-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C(F)(F)F ZINC000911161986 663995783 /nfs/dbraw/zinc/99/57/83/663995783.db2.gz QBQYTIGPYUHAOR-VXNVDRBHSA-N 0 2 305.256 0.967 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)C3=CCOCC3)CC2)[nH+]c1 ZINC000911168707 664000238 /nfs/dbraw/zinc/00/02/38/664000238.db2.gz OWYNOVAWHAROAA-UHFFFAOYSA-N 0 2 317.345 0.775 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)c3cncnc3)CC2)[nH+]c1 ZINC000911171119 664000780 /nfs/dbraw/zinc/00/07/80/664000780.db2.gz CCLPLZOKUCAJGG-UHFFFAOYSA-N 0 2 313.317 0.532 20 0 DCADLN C[C@@H](CNC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1)Cn1cc[nH+]c1 ZINC000911234470 664012400 /nfs/dbraw/zinc/01/24/00/664012400.db2.gz JZEQWCMSXGEXTH-RWMBFGLXSA-N 0 2 309.366 0.905 20 0 DCADLN O=C([O-])c1ccn(CC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)n1 ZINC000911334628 664030115 /nfs/dbraw/zinc/03/01/15/664030115.db2.gz GMXFUGSRKUVMMA-LLVKDONJSA-N 0 2 303.322 0.642 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)c1ccccn1 ZINC000911480919 664062449 /nfs/dbraw/zinc/06/24/49/664062449.db2.gz AAAXXIZXIUARFE-MFKMUULPSA-N 0 2 300.318 0.783 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cccc(C(=O)[O-])n1 ZINC000911565267 664082783 /nfs/dbraw/zinc/08/27/83/664082783.db2.gz HEDBBQGOKGKHJS-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cccc(C(=O)[O-])n1 ZINC000911565267 664082784 /nfs/dbraw/zinc/08/27/84/664082784.db2.gz HEDBBQGOKGKHJS-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@](C)(CC(=O)[O-])c1ccncc1 ZINC000911617390 664092422 /nfs/dbraw/zinc/09/24/22/664092422.db2.gz CJTGMDCBXOCWMY-OAHLLOKOSA-N 0 2 302.334 0.864 20 0 DCADLN CC(C)C[C@H](C(=O)N1CCC[C@@H](O)[C@H]1C(=O)[O-])n1cc[nH+]c1 ZINC000911632062 664094433 /nfs/dbraw/zinc/09/44/33/664094433.db2.gz WEKHPDLLTOOPFI-UPJWGTAASA-N 0 2 309.366 0.907 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)nc1 ZINC000911730799 664106174 /nfs/dbraw/zinc/10/61/74/664106174.db2.gz FZJFUJNXKCZUOB-LLVKDONJSA-N 0 2 300.318 0.855 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3[n-]c(=S)oc3c2)[C@H](CO)C1 ZINC000912744149 664219200 /nfs/dbraw/zinc/21/92/00/664219200.db2.gz PKTDJYNHKWKDEA-JTQLQIEISA-N 0 2 307.375 0.865 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3[n-]c(=S)oc3c2)[C@H](CO)C1 ZINC000912744149 664219201 /nfs/dbraw/zinc/21/92/01/664219201.db2.gz PKTDJYNHKWKDEA-JTQLQIEISA-N 0 2 307.375 0.865 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2[nH]cnc2C(F)(F)F)C(=O)N1C ZINC000912817354 664234128 /nfs/dbraw/zinc/23/41/28/664234128.db2.gz SNWSBCFDIRYHQH-SCSAIBSYSA-N 0 2 305.216 0.356 20 0 DCADLN O=C(NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1)C1=CCCC1 ZINC001030213113 664474518 /nfs/dbraw/zinc/47/45/18/664474518.db2.gz LHSPFCJDLYKZQE-UHFFFAOYSA-N 0 2 313.361 0.433 20 0 DCADLN CN(CC(=O)NNC(=O)c1ccccn1)C(=O)c1cccs1 ZINC000046304177 664502264 /nfs/dbraw/zinc/50/22/64/664502264.db2.gz JIJXOPYXETVFRZ-UHFFFAOYSA-N 0 2 318.358 0.676 20 0 DCADLN CC(C)(C)n1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001030391792 664526800 /nfs/dbraw/zinc/52/68/00/664526800.db2.gz JEMIUXSDKHCWBE-UHFFFAOYSA-N 0 2 319.369 0.076 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC(F)(F)C1 ZINC001030410026 664534892 /nfs/dbraw/zinc/53/48/92/664534892.db2.gz YMRXFKCGQHBWCJ-ZETCQYMHSA-N 0 2 301.297 0.246 20 0 DCADLN CCc1cccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001030451984 664550651 /nfs/dbraw/zinc/55/06/51/664550651.db2.gz GMGWEAFESVZCBX-UHFFFAOYSA-N 0 2 302.338 0.082 20 0 DCADLN CCCc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC001030478140 664560265 /nfs/dbraw/zinc/56/02/65/664560265.db2.gz AADAFVXRQHQWBD-UHFFFAOYSA-N 0 2 316.365 0.472 20 0 DCADLN O=C(CC1CC(F)(F)C1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030544987 664584081 /nfs/dbraw/zinc/58/40/81/664584081.db2.gz GEMXDFSKZLELGO-UHFFFAOYSA-N 0 2 301.297 0.246 20 0 DCADLN Cc1oc(C)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001030641785 664616685 /nfs/dbraw/zinc/61/66/85/664616685.db2.gz RUMOBVQOJXCIGP-UHFFFAOYSA-N 0 2 305.338 0.643 20 0 DCADLN Cc1ccc(F)cc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030758021 664657009 /nfs/dbraw/zinc/65/70/09/664657009.db2.gz JMLRYELQJSIYQZ-UHFFFAOYSA-N 0 2 305.313 0.572 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2c[nH]nc21 ZINC001030772392 664662363 /nfs/dbraw/zinc/66/23/63/664662363.db2.gz JGYMWQIBXKVXAO-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN Cn1cncc1C[N@@H+]1CC[C@]2(NC(=O)c3ncn[nH]3)CCC[C@H]12 ZINC000992326336 664697623 /nfs/dbraw/zinc/69/76/23/664697623.db2.gz GAAOYUZZCVNJCO-SWLSCSKDSA-N 0 2 315.381 0.465 20 0 DCADLN Cn1cncc1C[N@H+]1CC[C@]2(NC(=O)c3ncn[nH]3)CCC[C@H]12 ZINC000992326336 664697624 /nfs/dbraw/zinc/69/76/24/664697624.db2.gz GAAOYUZZCVNJCO-SWLSCSKDSA-N 0 2 315.381 0.465 20 0 DCADLN O=C(CSc1n[n-]c(=S)s1)NC12CC[NH+](CC1)C2 ZINC000913631417 664707539 /nfs/dbraw/zinc/70/75/39/664707539.db2.gz VAVPPTHCKKSCHQ-UHFFFAOYSA-N 0 2 302.450 0.883 20 0 DCADLN O=S(=O)(C[C@@]12CC[N@H+](C1)CCC2)NCCCn1cc[nH+]c1 ZINC000913825223 664725392 /nfs/dbraw/zinc/72/53/92/664725392.db2.gz UJISCUKYXRZXNX-AWEZNQCLSA-N 0 2 312.439 0.679 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]1c1cccnc1 ZINC000730520767 664784907 /nfs/dbraw/zinc/78/49/07/664784907.db2.gz NGVCMVIGIVMJSU-CYBMUJFWSA-N 0 2 324.344 0.830 20 0 DCADLN CN(C)c1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc1 ZINC000730534868 664789804 /nfs/dbraw/zinc/78/98/04/664789804.db2.gz XZIHOWQPEUSJAN-UHFFFAOYSA-N 0 2 313.321 0.171 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1(c2ccccc2)CC1 ZINC000730542639 664791595 /nfs/dbraw/zinc/79/15/95/664791595.db2.gz XWDAAPBSYIXODC-UHFFFAOYSA-N 0 2 309.329 0.877 20 0 DCADLN CCCc1nc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)no1 ZINC000730652369 664799406 /nfs/dbraw/zinc/79/94/06/664799406.db2.gz WVKPTATXEUAROU-UHFFFAOYSA-N 0 2 318.293 0.150 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1ccc(Cl)nc1 ZINC000730658717 664799700 /nfs/dbraw/zinc/79/97/00/664799700.db2.gz UJVIELZYNSBTBY-UHFFFAOYSA-N 0 2 319.708 0.863 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCc1cccs1 ZINC000730695125 664800864 /nfs/dbraw/zinc/80/08/64/664800864.db2.gz RDMBICUFDIBCCC-UHFFFAOYSA-N 0 2 304.331 0.919 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@@H]1C1CCCC1 ZINC001031169385 664822401 /nfs/dbraw/zinc/82/24/01/664822401.db2.gz BXYBDBDLJWBHGT-NEPJUHHUSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@H]1[C@H](NC(=O)C2CC=CC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993169149 664855835 /nfs/dbraw/zinc/85/58/35/664855835.db2.gz HOVIGPJWOHFTEY-CMPLNLGQSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@H]1[C@H](NC(=O)C2CC=CC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993169149 664855842 /nfs/dbraw/zinc/85/58/42/664855842.db2.gz HOVIGPJWOHFTEY-CMPLNLGQSA-N 0 2 305.382 0.946 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)co1 ZINC000993232714 664914480 /nfs/dbraw/zinc/91/44/80/664914480.db2.gz INEULEDWIICPTK-SCZZXKLOSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccns2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993388420 664953233 /nfs/dbraw/zinc/95/32/33/664953233.db2.gz CEYOLFDNYTYJHE-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN Cc1ccc([C@H](C)C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001031273114 664962616 /nfs/dbraw/zinc/96/26/16/664962616.db2.gz KNIGGAYYUFSJQN-NSHDSACASA-N 0 2 315.377 0.923 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000994156093 665042936 /nfs/dbraw/zinc/04/29/36/665042936.db2.gz GAODFIJAMRTPIC-NXEZZACHSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1ccncc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031592799 665217467 /nfs/dbraw/zinc/21/74/67/665217467.db2.gz DBNQATHZCXNWGQ-UHFFFAOYSA-N 0 2 302.338 0.076 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=S)NC1CC[NH+](C)CC1 ZINC000920310220 665266710 /nfs/dbraw/zinc/26/67/10/665266710.db2.gz VSVMSQIKALMOKU-LBPRGKRZSA-N 0 2 300.472 0.265 20 0 DCADLN O=C(NCCn1cccc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000921368862 665297871 /nfs/dbraw/zinc/29/78/71/665297871.db2.gz BSTUXBSAJGAQND-LLVKDONJSA-N 0 2 304.354 0.901 20 0 DCADLN CC(C)n1nccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031698576 665309594 /nfs/dbraw/zinc/30/95/94/665309594.db2.gz NTMHEKKWSRZCJE-UHFFFAOYSA-N 0 2 319.369 0.150 20 0 DCADLN CS(=O)(=O)CCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000175507628 665326667 /nfs/dbraw/zinc/32/66/67/665326667.db2.gz XBRSFFMIOMCOJW-UHFFFAOYSA-N 0 2 309.347 0.352 20 0 DCADLN C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001032567690 665361575 /nfs/dbraw/zinc/36/15/75/665361575.db2.gz PQBMVEMZFQREQO-FXQIFTODSA-N 0 2 319.287 0.494 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CCN(C2C[NH+](CC3CC3)C2)CC1 ZINC000941653354 666037678 /nfs/dbraw/zinc/03/76/78/666037678.db2.gz PVFIZWHYKALOGR-UHFFFAOYSA-N 0 2 317.437 0.499 20 0 DCADLN Cn1cncc1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001003007228 666260355 /nfs/dbraw/zinc/26/03/55/666260355.db2.gz YCPRIKKLAYWQAT-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cncc1C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001003007228 666260356 /nfs/dbraw/zinc/26/03/56/666260356.db2.gz YCPRIKKLAYWQAT-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC[C@H](F)C1 ZINC001003510145 666320362 /nfs/dbraw/zinc/32/03/62/666320362.db2.gz QFMKTWOIHPLFHH-ZJUUUORDSA-N 0 2 311.361 0.729 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001003664282 666341343 /nfs/dbraw/zinc/34/13/43/666341343.db2.gz JXTFYGIQFWKODP-MWLCHTKSSA-N 0 2 309.370 0.158 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001003730860 666351512 /nfs/dbraw/zinc/35/15/12/666351512.db2.gz GQZQQGFPDVZCOL-XFWSIPNHSA-N 0 2 324.274 0.354 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001003730860 666351514 /nfs/dbraw/zinc/35/15/14/666351514.db2.gz GQZQQGFPDVZCOL-XFWSIPNHSA-N 0 2 324.274 0.354 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001033014982 666427772 /nfs/dbraw/zinc/42/77/72/666427772.db2.gz RRBMRKGCJOBJDT-RYUDHWBXSA-N 0 2 318.381 0.606 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001033014982 666427773 /nfs/dbraw/zinc/42/77/73/666427773.db2.gz RRBMRKGCJOBJDT-RYUDHWBXSA-N 0 2 318.381 0.606 20 0 DCADLN CN(C(=O)c1ccn[nH]1)C1CCC(NC(=O)c2cn[nH]n2)CC1 ZINC001004029519 666429877 /nfs/dbraw/zinc/42/98/77/666429877.db2.gz OLTAAWAMZMBMNS-UHFFFAOYSA-N 0 2 317.353 0.341 20 0 DCADLN CN(C(=O)c1cc[nH]n1)C1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001004029519 666429879 /nfs/dbraw/zinc/42/98/79/666429879.db2.gz OLTAAWAMZMBMNS-UHFFFAOYSA-N 0 2 317.353 0.341 20 0 DCADLN Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC001033041943 666452708 /nfs/dbraw/zinc/45/27/08/666452708.db2.gz QOIMHWVIJTVASQ-VIFPVBQESA-N 0 2 322.394 0.622 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC001004749950 666588000 /nfs/dbraw/zinc/58/80/00/666588000.db2.gz JRMGEACNQIRJAW-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC001004749950 666588001 /nfs/dbraw/zinc/58/80/01/666588001.db2.gz JRMGEACNQIRJAW-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN CCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(=O)n2[nH]ccc2n1 ZINC001032246772 666589678 /nfs/dbraw/zinc/58/96/78/666589678.db2.gz GSYMEDCLURZYDG-STQMWFEESA-N 0 2 315.377 0.608 20 0 DCADLN O=C(c1ccncc1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032250317 666593224 /nfs/dbraw/zinc/59/32/24/666593224.db2.gz RKSQCLGKRYELCN-QWRGUYRKSA-N 0 2 300.322 0.004 20 0 DCADLN CN(C(=O)[C@H]1CCC1(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033191613 666612221 /nfs/dbraw/zinc/61/22/21/666612221.db2.gz YEHFIRFJNKUHLA-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)[C@H]1CCC1(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033191613 666612222 /nfs/dbraw/zinc/61/22/22/666612222.db2.gz YEHFIRFJNKUHLA-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)[C@H]1CCC1(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033191613 666612223 /nfs/dbraw/zinc/61/22/23/666612223.db2.gz YEHFIRFJNKUHLA-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN CO[C@@H](C)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001033219123 666632153 /nfs/dbraw/zinc/63/21/53/666632153.db2.gz FSRRTERXTNYENO-QWHCGFSZSA-N 0 2 324.425 0.917 20 0 DCADLN CO[C@H](C)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001033219121 666632365 /nfs/dbraw/zinc/63/23/65/666632365.db2.gz FSRRTERXTNYENO-CHWSQXEVSA-N 0 2 324.425 0.917 20 0 DCADLN CCn1cnc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001033587725 666764734 /nfs/dbraw/zinc/76/47/34/666764734.db2.gz IFPBZYXUGBPHQV-SNVBAGLBSA-N 0 2 319.369 0.073 20 0 DCADLN Cc1nnsc1C[N@H+]1CC[C@H](N(C)C(=O)C[NH+]2CCCC2)C1 ZINC001033657314 666783087 /nfs/dbraw/zinc/78/30/87/666783087.db2.gz JRWWTUUGKVDONR-ZDUSSCGKSA-N 0 2 323.466 0.975 20 0 DCADLN CCN(C(=O)c1ocnc1C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033792830 666831035 /nfs/dbraw/zinc/83/10/35/666831035.db2.gz VHDZYOIFQAMPOT-JTQLQIEISA-N 0 2 320.353 0.543 20 0 DCADLN CCN(C(=O)C1CC(OC)C1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033865418 666846634 /nfs/dbraw/zinc/84/66/34/666846634.db2.gz AKUZZSNVRKTISQ-MOENNCHZSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)C1CC(OC)C1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033865418 666846636 /nfs/dbraw/zinc/84/66/36/666846636.db2.gz AKUZZSNVRKTISQ-MOENNCHZSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)[C@H]1CCn2c[nH+]cc2C1)[C@H]1CC[N@H+](CCO)C1 ZINC001033876764 666850111 /nfs/dbraw/zinc/85/01/11/666850111.db2.gz WYAPJDUNBSUJPH-KBPBESRZSA-N 0 2 306.410 0.361 20 0 DCADLN Cc1ccc(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1 ZINC000734816799 666912124 /nfs/dbraw/zinc/91/21/24/666912124.db2.gz ARUGGULBSFERHI-UHFFFAOYSA-N 0 2 311.345 0.739 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccns1 ZINC001034229924 666959096 /nfs/dbraw/zinc/95/90/96/666959096.db2.gz XXRCKJQCNLIZDC-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](CCO)C1)[C@@H]1CCc2[nH+]ccn2C1 ZINC001034277207 666973810 /nfs/dbraw/zinc/97/38/10/666973810.db2.gz WMNBORSYYHVGMV-ZIAGYGMSSA-N 0 2 306.410 0.409 20 0 DCADLN CC(C)(CO)ONC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861880266 666991442 /nfs/dbraw/zinc/99/14/42/666991442.db2.gz GORWTHORFJRQAP-UHFFFAOYSA-N 0 2 324.255 0.890 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CC[C@H]2CCCO2)c1[O-] ZINC001032357881 667030515 /nfs/dbraw/zinc/03/05/15/667030515.db2.gz KAXHWHXFCJRFJX-RWMBFGLXSA-N 0 2 320.393 0.892 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CC[C@H]2CCCO2)c1[O-] ZINC001032357881 667030520 /nfs/dbraw/zinc/03/05/20/667030520.db2.gz KAXHWHXFCJRFJX-RWMBFGLXSA-N 0 2 320.393 0.892 20 0 DCADLN Cc1cccnc1C(F)(F)C(=O)NN1CCS(=O)(=O)CC1 ZINC000862732905 667076265 /nfs/dbraw/zinc/07/62/65/667076265.db2.gz PLPWBVGDORGZFA-UHFFFAOYSA-N 0 2 319.333 0.243 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)C1CC1 ZINC000864119951 667216798 /nfs/dbraw/zinc/21/67/98/667216798.db2.gz RBIYGOJVAPGHJZ-KBPBESRZSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)C1CC1 ZINC000864119951 667216801 /nfs/dbraw/zinc/21/68/01/667216801.db2.gz RBIYGOJVAPGHJZ-KBPBESRZSA-N 0 2 310.394 0.999 20 0 DCADLN Cn1ncc(CN2C[C@@H]3C[C@H]2CN3C(=O)C(F)C(F)(F)F)n1 ZINC001032417306 667220104 /nfs/dbraw/zinc/22/01/04/667220104.db2.gz WETINAGTBZLLNH-LPEHRKFASA-N 0 2 321.278 0.501 20 0 DCADLN Cn1ncc(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](F)C(F)(F)F)n1 ZINC001032417306 667220106 /nfs/dbraw/zinc/22/01/06/667220106.db2.gz WETINAGTBZLLNH-LPEHRKFASA-N 0 2 321.278 0.501 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CCCCC1 ZINC001035269891 667280608 /nfs/dbraw/zinc/28/06/08/667280608.db2.gz HGQXHQCQKQLSDM-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1cnn(CCF)c1 ZINC000888327892 667295111 /nfs/dbraw/zinc/29/51/11/667295111.db2.gz VVSWWUCZWCSYAS-UHFFFAOYSA-N 0 2 324.278 0.926 20 0 DCADLN CC[C@H](C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCCN(C)C1=O)[NH+](C)C ZINC001032473729 667296914 /nfs/dbraw/zinc/29/69/14/667296914.db2.gz ADPHRVJWJNCILN-XQLPTFJDSA-N 0 2 322.453 0.233 20 0 DCADLN O=C(c1ccn2c[nH+]cc2c1)N1C[C@@H]2C[C@H]1CN2CCCO ZINC001032498772 667327634 /nfs/dbraw/zinc/32/76/34/667327634.db2.gz IYULJLVWKGUOSS-GJZGRUSLSA-N 0 2 300.362 0.615 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035799884 667412339 /nfs/dbraw/zinc/41/23/39/667412339.db2.gz NDEGDSAUHVVMGQ-VXGBXAGGSA-N 0 2 319.409 0.981 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@]12C[C@H]1COC2(C)C ZINC000867557175 667467064 /nfs/dbraw/zinc/46/70/64/667467064.db2.gz MBPZSHIMOAIVCF-GXSJLCMTSA-N 0 2 310.441 0.896 20 0 DCADLN CC1(C)OC[C@H]2C[C@]21NS(=O)(=O)N=S1(=O)CCCC1 ZINC000867556432 667467169 /nfs/dbraw/zinc/46/71/69/667467169.db2.gz ADTSYCLCQGTDJO-KOLCDFICSA-N 0 2 308.425 0.650 20 0 DCADLN C[C@@H](NC(=O)c1ncc[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006051949 667510906 /nfs/dbraw/zinc/51/09/06/667510906.db2.gz ZKAWQARTJPUUDM-SVRRBLITSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)c1ncc[nH]1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001006051949 667510908 /nfs/dbraw/zinc/51/09/08/667510908.db2.gz ZKAWQARTJPUUDM-SVRRBLITSA-N 0 2 322.262 0.887 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)C1CN(C(=O)C2CCCCC2)C1 ZINC000838350110 667687280 /nfs/dbraw/zinc/68/72/80/667687280.db2.gz NBHFIGVYCMTQCC-UHFFFAOYSA-N 0 2 308.338 0.592 20 0 DCADLN COc1cc(NS(=O)(=O)c2cnn3c2OCCC3)ccn1 ZINC000886404663 667762624 /nfs/dbraw/zinc/76/26/24/667762624.db2.gz AEJUMVUDMMKRRD-UHFFFAOYSA-N 0 2 310.335 0.870 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000742469508 667791711 /nfs/dbraw/zinc/79/17/11/667791711.db2.gz AHPUONXAGPSRPG-RKDXNWHRSA-N 0 2 313.379 0.345 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC(=O)OCc2ccccc2)S1 ZINC000742474949 667792030 /nfs/dbraw/zinc/79/20/30/667792030.db2.gz PQSWPWIVKPQWOF-SNVBAGLBSA-N 0 2 321.358 0.402 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000742650107 667796309 /nfs/dbraw/zinc/79/63/09/667796309.db2.gz LKVKHRPOMRUTIR-BQBZGAKWSA-N 0 2 301.368 0.389 20 0 DCADLN CC(C)(C)[S@@](=O)CCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742667098 667796403 /nfs/dbraw/zinc/79/64/03/667796403.db2.gz PWMQWGCESFRLDQ-XJZHNMMOSA-N 0 2 305.425 0.206 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCOC[C@H]2CCOC2)S1 ZINC000742699027 667797930 /nfs/dbraw/zinc/79/79/30/667797930.db2.gz XLZRHJFREWSIPR-ZJUUUORDSA-N 0 2 315.395 0.102 20 0 DCADLN CC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000742924331 667800832 /nfs/dbraw/zinc/80/08/32/667800832.db2.gz YHEDGEAPFYTODX-SECBINFHSA-N 0 2 307.379 0.425 20 0 DCADLN CCCCOC(=O)[C@@H](C)OC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000744988204 667837942 /nfs/dbraw/zinc/83/79/42/667837942.db2.gz UJBBWKMMANPRLD-SECBINFHSA-N 0 2 322.321 0.346 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2ccc3c(c2)OCO3)S1 ZINC000745423299 667847729 /nfs/dbraw/zinc/84/77/29/667847729.db2.gz VPKHQYALIWLAPH-NSHDSACASA-N 0 2 321.358 0.630 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ncc3c(n2)COC3)nc1Cl ZINC000872006274 667866211 /nfs/dbraw/zinc/86/62/11/667866211.db2.gz RDUWMZOAOHVYOZ-UHFFFAOYSA-N 0 2 315.742 0.695 20 0 DCADLN CN(C)c1nc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cs1 ZINC000746866060 667888606 /nfs/dbraw/zinc/88/86/06/667888606.db2.gz UBOCOWSROKSNFK-ZETCQYMHSA-N 0 2 313.408 0.382 20 0 DCADLN C[C@H](O)CN(Cc1ccccc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000747402990 667901741 /nfs/dbraw/zinc/90/17/41/667901741.db2.gz WZLRMZXXVVJVEG-JQWIXIFHSA-N 0 2 321.402 0.952 20 0 DCADLN O=C(Cn1c(=O)c2ccccc2[nH]c1=S)NCc1cn[nH]n1 ZINC000748415844 667927615 /nfs/dbraw/zinc/92/76/15/667927615.db2.gz DKXWHJUODLITDV-UHFFFAOYSA-N 0 2 316.346 0.120 20 0 DCADLN C[C@@]1(NC(=O)C2=NN(c3ccccc3)CC2=O)CCNC1=O ZINC000889029742 667949855 /nfs/dbraw/zinc/94/98/55/667949855.db2.gz QWXJIJOBLYASNA-OAHLLOKOSA-N 0 2 300.318 0.586 20 0 DCADLN CCOCCO[C@H]1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000750531292 667981352 /nfs/dbraw/zinc/98/13/52/667981352.db2.gz WUGPHVKLNQRFKV-UWVGGRQHSA-N 0 2 315.395 0.197 20 0 DCADLN CCc1nn(C)c(CC)c1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000755211074 668057920 /nfs/dbraw/zinc/05/79/20/668057920.db2.gz SOTDPMZQMKQKMQ-NSHDSACASA-N 0 2 323.422 0.718 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NCC(=O)NC)cc2[nH]c1=S ZINC000756258603 668083933 /nfs/dbraw/zinc/08/39/33/668083933.db2.gz HMLOJYSYQGBCPZ-UHFFFAOYSA-N 0 2 320.374 0.181 20 0 DCADLN C[C@H](NC(=O)OC(C)(C)C)[C@H](C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000756835355 668101950 /nfs/dbraw/zinc/10/19/50/668101950.db2.gz BHLKTKGWIUUJQF-YUMQZZPRSA-N 0 2 313.358 0.676 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]2COCC[C@@H]21 ZINC000757026374 668110519 /nfs/dbraw/zinc/11/05/19/668110519.db2.gz VIKWMHKTGGTGLF-JQWIXIFHSA-N 0 2 317.349 0.099 20 0 DCADLN O=C(NCCc1cn2c([nH+]1)CCCC2)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000874385502 668178571 /nfs/dbraw/zinc/17/85/71/668178571.db2.gz IIFNASPKBYTCSK-KLPPZKSPSA-N 0 2 306.366 0.924 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)C1 ZINC000874414665 668182312 /nfs/dbraw/zinc/18/23/12/668182312.db2.gz BVZHZMFJYWPHSQ-XQQFMLRXSA-N 0 2 311.382 0.356 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCC(=O)[C@@H]1CCOC1 ZINC000759119479 668207623 /nfs/dbraw/zinc/20/76/23/668207623.db2.gz ZJJSEQVJDDFIRM-SSDOTTSWSA-N 0 2 311.256 0.592 20 0 DCADLN COC(=O)CNC(=O)COC(=O)c1cc(F)c(O)c(F)c1 ZINC000759537332 668224489 /nfs/dbraw/zinc/22/44/89/668224489.db2.gz HXPYIBLLEMBODK-UHFFFAOYSA-N 0 2 303.217 0.116 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC(CC(F)(F)F)C1 ZINC000760075405 668254561 /nfs/dbraw/zinc/25/45/61/668254561.db2.gz CUHPQFNFIHDTKH-UHFFFAOYSA-N 0 2 315.255 0.482 20 0 DCADLN C[C@@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1(C)C ZINC000760135375 668258692 /nfs/dbraw/zinc/25/86/92/668258692.db2.gz YOMHXMUIGLPJHA-SNVBAGLBSA-N 0 2 303.366 0.966 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCCNc1cnccn1 ZINC000760145911 668258905 /nfs/dbraw/zinc/25/89/05/668258905.db2.gz ALVQLDWFIFDLEI-UHFFFAOYSA-N 0 2 319.287 0.462 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1nncn1C1CC1 ZINC000760279530 668265999 /nfs/dbraw/zinc/26/59/99/668265999.db2.gz BKEDWUOHYHYMEH-UHFFFAOYSA-N 0 2 319.287 0.686 20 0 DCADLN CC[C@H](CC(F)(F)F)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000760776562 668286885 /nfs/dbraw/zinc/28/68/85/668286885.db2.gz WRJPKUGMRSQYGC-SSDOTTSWSA-N 0 2 317.271 0.918 20 0 DCADLN O=c1ncnc2n(Cc3nc(CCC(F)(F)F)no3)[nH]cc1-2 ZINC000761600745 668331955 /nfs/dbraw/zinc/33/19/55/668331955.db2.gz XPERBGOWBICXLE-UHFFFAOYSA-N 0 2 314.227 0.997 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](O)c2ccc(F)cc2)S1 ZINC000762306515 668355690 /nfs/dbraw/zinc/35/56/90/668355690.db2.gz CTHWAQLBNURZRD-UWVGGRQHSA-N 0 2 311.338 0.532 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccn3ccnc3c2)S1 ZINC000762560589 668367238 /nfs/dbraw/zinc/36/72/38/668367238.db2.gz GXZRCLFYOYWQCG-SECBINFHSA-N 0 2 303.347 0.507 20 0 DCADLN CCOC(=O)[C@H](COC)NC(=O)c1cc(F)c(O)c(F)c1 ZINC000928300528 668573728 /nfs/dbraw/zinc/57/37/28/668573728.db2.gz SRLAMDOKIMFCBC-JTQLQIEISA-N 0 2 303.261 0.978 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](C(N)=O)c2ccc(F)cc2)S1 ZINC000768540289 668613573 /nfs/dbraw/zinc/61/35/73/668613573.db2.gz WHVHPDNMUAKYRG-SCZZXKLOSA-N 0 2 324.337 0.025 20 0 DCADLN CC[NH+]1CCC2(CC1)CN(C(=O)c1[nH]nc(C)c1[O-])CCO2 ZINC000949072040 668641181 /nfs/dbraw/zinc/64/11/81/668641181.db2.gz NHVGHBFFHDNJRH-UHFFFAOYSA-N 0 2 308.382 0.751 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2ccc3cc[nH]c3n2)C1=O ZINC000769839258 668665788 /nfs/dbraw/zinc/66/57/88/668665788.db2.gz RXHQFPQYIZWFLU-CQSZACIVSA-N 0 2 301.306 0.928 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cc(-c3cccnc3)on2)C1=O ZINC000770454417 668693919 /nfs/dbraw/zinc/69/39/19/668693919.db2.gz PEHKGDNJUNDOTR-UHFFFAOYSA-N 0 2 301.262 0.275 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc(N2CCCC2)nn1 ZINC000773107165 668792984 /nfs/dbraw/zinc/79/29/84/668792984.db2.gz QPUCHXSXIGYEME-UHFFFAOYSA-N 0 2 320.378 0.721 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2COC3(CCCC3)O2)S1 ZINC000773681160 668810939 /nfs/dbraw/zinc/81/09/39/668810939.db2.gz LHINQUVKXHURBN-IUCAKERBSA-N 0 2 313.379 0.345 20 0 DCADLN CC(=O)NC(=Cc1ccccc1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774876900 668849470 /nfs/dbraw/zinc/84/94/70/668849470.db2.gz XGGAQGLEJTZLGX-XFFZJAGNSA-N 0 2 302.290 0.731 20 0 DCADLN NC(=O)c1cn(CC(=O)OCc2n[nH]c(=O)[nH]2)c2ccccc12 ZINC000774933669 668852183 /nfs/dbraw/zinc/85/21/83/668852183.db2.gz LSKILEWRFMKOLF-UHFFFAOYSA-N 0 2 315.289 0.307 20 0 DCADLN Nc1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)nc1Br ZINC000775087541 668855477 /nfs/dbraw/zinc/85/54/77/668855477.db2.gz ORONSTLRZCBVSG-UHFFFAOYSA-N 0 2 314.099 0.607 20 0 DCADLN Cc1cc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)ccc1N(C)C ZINC000775530595 668864752 /nfs/dbraw/zinc/86/47/52/668864752.db2.gz SYVLNQCYQPMJMB-UHFFFAOYSA-N 0 2 318.337 0.140 20 0 DCADLN COCC(=O)N1CCN(C(=O)c2cccc(Cl)c2O)CC1 ZINC000775661054 668866444 /nfs/dbraw/zinc/86/64/44/668866444.db2.gz KKQVCKLMSMXAGB-UHFFFAOYSA-N 0 2 312.753 0.976 20 0 DCADLN CS(=O)(=O)CCOCCNC(=O)c1cccc(Cl)c1O ZINC000775757031 668867397 /nfs/dbraw/zinc/86/73/97/668867397.db2.gz WMHAIPWUEFCFJY-UHFFFAOYSA-N 0 2 321.782 0.837 20 0 DCADLN CC(C)C[C@@H](C)S(=O)(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000776471062 668876531 /nfs/dbraw/zinc/87/65/31/668876531.db2.gz MZFAEKVGQUMRLE-KOLCDFICSA-N 0 2 303.384 0.759 20 0 DCADLN CC(C)C[C@@H](C)S(=O)(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000776471062 668876532 /nfs/dbraw/zinc/87/65/32/668876532.db2.gz MZFAEKVGQUMRLE-KOLCDFICSA-N 0 2 303.384 0.759 20 0 DCADLN COC(=O)CSCCC(=O)NCCc1n[nH]c(=S)o1 ZINC000776539311 668877319 /nfs/dbraw/zinc/87/73/19/668877319.db2.gz XKVSDXMXLMFPCP-UHFFFAOYSA-N 0 2 305.381 0.313 20 0 DCADLN CS(=O)(=O)N1CC(CNC(=O)c2cccc(Cl)c2O)C1 ZINC000779331806 668909881 /nfs/dbraw/zinc/90/98/81/668909881.db2.gz OUDQSDKDZHSNQU-UHFFFAOYSA-N 0 2 318.782 0.667 20 0 DCADLN CC(C)CN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000780512565 668990955 /nfs/dbraw/zinc/99/09/55/668990955.db2.gz WWQKACVMMSWFCQ-UHFFFAOYSA-N 0 2 315.414 0.849 20 0 DCADLN CC(C)CN(CC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000780512565 668990959 /nfs/dbraw/zinc/99/09/59/668990959.db2.gz WWQKACVMMSWFCQ-UHFFFAOYSA-N 0 2 315.414 0.849 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC(CCCO)CC1 ZINC000782845475 669124964 /nfs/dbraw/zinc/12/49/64/669124964.db2.gz FQSPGXWYIVRCJS-UHFFFAOYSA-N 0 2 319.365 0.082 20 0 DCADLN CON(C)CCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000880643599 669251836 /nfs/dbraw/zinc/25/18/36/669251836.db2.gz RRRAJWVNZWIFFV-UHFFFAOYSA-N 0 2 308.313 0.940 20 0 DCADLN CCOC(CCNC(=O)C[C@H]1SC(=N)NC1=O)OCC ZINC000787549781 669378090 /nfs/dbraw/zinc/37/80/90/669378090.db2.gz XMLSJHNVRWUCHK-MRVPVSSYSA-N 0 2 303.384 0.448 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1ccc(F)cn1 ZINC000787699010 669390952 /nfs/dbraw/zinc/39/09/52/669390952.db2.gz CYBHNZVGESPRSQ-UHFFFAOYSA-N 0 2 303.253 0.349 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1nccc2ccncc21 ZINC000789741099 669506856 /nfs/dbraw/zinc/50/68/56/669506856.db2.gz VNFHNIFKGYTEJH-VIFPVBQESA-N 0 2 308.363 0.848 20 0 DCADLN C[C@H](O)C[C@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000791157063 669595179 /nfs/dbraw/zinc/59/51/79/669595179.db2.gz GNIGFYMTXLFQMJ-WDEREUQCSA-N 0 2 319.365 0.223 20 0 DCADLN O=C(NCc1cccnc1F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000794009017 669766458 /nfs/dbraw/zinc/76/64/58/669766458.db2.gz JIDMVQFPMVGOSF-UHFFFAOYSA-N 0 2 315.264 0.900 20 0 DCADLN Cc1cccc2c1OC[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C2 ZINC000794170019 669803187 /nfs/dbraw/zinc/80/31/87/669803187.db2.gz PTWKMRGQMQSNCM-WDEREUQCSA-N 0 2 319.386 0.971 20 0 DCADLN CO[C@]1(C)CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000794184929 669805183 /nfs/dbraw/zinc/80/51/83/669805183.db2.gz QXYMCUYHBMDDTM-OAHLLOKOSA-N 0 2 319.365 0.489 20 0 DCADLN Cc1occc1C(=O)NCC(=O)NNC(=O)c1ccccn1 ZINC000048168053 669936688 /nfs/dbraw/zinc/93/66/88/669936688.db2.gz HGTONBMDAPUMFD-UHFFFAOYSA-N 0 2 302.290 0.174 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N(CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950546815 670057718 /nfs/dbraw/zinc/05/77/18/670057718.db2.gz GRZJUTRPGAOICJ-MFKMUULPSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H]1COCC[C@@H]1c1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000799675845 670068693 /nfs/dbraw/zinc/06/86/93/670068693.db2.gz UOSJENRMGUCVMU-IUCAKERBSA-N 0 2 316.321 0.643 20 0 DCADLN O=C([C@H]1CCC(F)(F)C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948665301 670152563 /nfs/dbraw/zinc/15/25/63/670152563.db2.gz SZUCOFXKMRFOFO-VIFPVBQESA-N 0 2 315.324 0.590 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000951048301 670183087 /nfs/dbraw/zinc/18/30/87/670183087.db2.gz XNAXSIGXWALREX-POYBYMJQSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC000951048301 670183088 /nfs/dbraw/zinc/18/30/88/670183088.db2.gz XNAXSIGXWALREX-POYBYMJQSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccon1 ZINC000948970943 670229094 /nfs/dbraw/zinc/22/90/94/670229094.db2.gz HDGRZXXXWXRZKX-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ccon1 ZINC000948970943 670229098 /nfs/dbraw/zinc/22/90/98/670229098.db2.gz HDGRZXXXWXRZKX-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@H]1Cc2ccccc21 ZINC000803342802 670244037 /nfs/dbraw/zinc/24/40/37/670244037.db2.gz LTGHIMODLRVIKV-LLVKDONJSA-N 0 2 310.313 0.954 20 0 DCADLN COC(=O)C(C)(C)CCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000804262701 670289562 /nfs/dbraw/zinc/28/95/62/670289562.db2.gz XZMMQFRCSPXNGD-SSDOTTSWSA-N 0 2 301.368 0.248 20 0 DCADLN CCN(C(=O)C1CC2(CC2)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951715829 670345501 /nfs/dbraw/zinc/34/55/01/670345501.db2.gz IUKSYMYZDWUQBG-UHFFFAOYSA-N 0 2 305.382 0.733 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(C2(O)COC2)cc1 ZINC000808120846 670391080 /nfs/dbraw/zinc/39/10/80/670391080.db2.gz ZCHIAHQQAPWYJD-UHFFFAOYSA-N 0 2 309.347 0.737 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)c1ccn[nH]1 ZINC000949760115 670425337 /nfs/dbraw/zinc/42/53/37/670425337.db2.gz DKOFQDFGIOJHPR-VDAHYXPESA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1NC(=O)c1ccn[nH]1 ZINC000949760115 670425338 /nfs/dbraw/zinc/42/53/38/670425338.db2.gz DKOFQDFGIOJHPR-VDAHYXPESA-N 0 2 322.262 0.887 20 0 DCADLN COc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)ccn1 ZINC000808908756 670429251 /nfs/dbraw/zinc/42/92/51/670429251.db2.gz DBVYQOHPYOMXGJ-UHFFFAOYSA-N 0 2 300.278 0.114 20 0 DCADLN CC(C)[N@H+]1CCO[C@H](C(=O)N2CCN(CC[C@@H](C)F)CC2)C1 ZINC000949841263 670446010 /nfs/dbraw/zinc/44/60/10/670446010.db2.gz XRTTXZZYOMOUEU-CABCVRRESA-N 0 2 315.433 0.988 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000810120220 670476743 /nfs/dbraw/zinc/47/67/43/670476743.db2.gz NNTCKGNUSDVGKL-JGVFFNPUSA-N 0 2 320.308 0.675 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000810120220 670476747 /nfs/dbraw/zinc/47/67/47/670476747.db2.gz NNTCKGNUSDVGKL-JGVFFNPUSA-N 0 2 320.308 0.675 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC000810205510 670477967 /nfs/dbraw/zinc/47/79/67/670477967.db2.gz QWKMIEGSMOTDKE-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC000810205510 670477970 /nfs/dbraw/zinc/47/79/70/670477970.db2.gz QWKMIEGSMOTDKE-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1C[C@H]1c1cccnc1 ZINC000847155107 670521930 /nfs/dbraw/zinc/52/19/30/670521930.db2.gz JCVWBEWARXRZQC-RYUDHWBXSA-N 0 2 324.344 0.386 20 0 DCADLN CS(=O)(=O)[C@H]1CSCCN1C(=O)C(F)C(F)(F)F ZINC000815679301 670755181 /nfs/dbraw/zinc/75/51/81/670755181.db2.gz FOFVZPLMSAGHJS-WDSKDSINSA-N 0 2 309.306 0.833 20 0 DCADLN CS(=O)(=O)[C@H]1CSCCN1C(=O)[C@H](F)C(F)(F)F ZINC000815679301 670755185 /nfs/dbraw/zinc/75/51/85/670755185.db2.gz FOFVZPLMSAGHJS-WDSKDSINSA-N 0 2 309.306 0.833 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cnc(Cl)cn1)[C@@H]1CCOC1 ZINC000849338089 670779027 /nfs/dbraw/zinc/77/90/27/670779027.db2.gz WEXYWCRNPWFRKE-BDAKNGLRSA-N 0 2 321.786 0.923 20 0 DCADLN COC(=O)CCN(CC(OC)OC)C(=O)C(F)C(F)(F)F ZINC000816027835 670781487 /nfs/dbraw/zinc/78/14/87/670781487.db2.gz NMEBGWAXEWKECL-VIFPVBQESA-N 0 2 319.251 0.897 20 0 DCADLN COC(=O)CCN(CC(OC)OC)C(=O)[C@H](F)C(F)(F)F ZINC000816027835 670781491 /nfs/dbraw/zinc/78/14/91/670781491.db2.gz NMEBGWAXEWKECL-VIFPVBQESA-N 0 2 319.251 0.897 20 0 DCADLN CCn1ncn(NC(=O)c2cc(-c3cccnc3)on2)c1=O ZINC000816734746 670852470 /nfs/dbraw/zinc/85/24/70/670852470.db2.gz CDFKVHQKHROOMZ-UHFFFAOYSA-N 0 2 300.278 0.499 20 0 DCADLN CC[C@H](CO)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858388260 670999338 /nfs/dbraw/zinc/99/93/38/670999338.db2.gz POVYIUYPPZASSP-SSDOTTSWSA-N 0 2 308.256 0.959 20 0 DCADLN Nc1ccc2c(c1)CC[C@H]2NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000851646144 671003690 /nfs/dbraw/zinc/00/36/90/671003690.db2.gz QSCIEQOBFJGLTJ-CYBMUJFWSA-N 0 2 324.344 0.457 20 0 DCADLN C[C@H](O)CN(C)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000859822134 671148753 /nfs/dbraw/zinc/14/87/53/671148753.db2.gz ISLHXGQVJUKWIH-ZETCQYMHSA-N 0 2 308.256 0.911 20 0 DCADLN O=C(N[C@H](CO)CN1CCOCC1)c1cccc(Cl)c1O ZINC000820017087 671155439 /nfs/dbraw/zinc/15/54/39/671155439.db2.gz YBLWOYMBDUVKNW-JTQLQIEISA-N 0 2 314.769 0.469 20 0 DCADLN CC1(C)Cc2n[nH]cc2CN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000852981959 671200990 /nfs/dbraw/zinc/20/09/90/671200990.db2.gz GZFGQWFORVQNKT-SNVBAGLBSA-N 0 2 321.406 0.877 20 0 DCADLN O=C(N[C@H]1CCOC1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860373754 671201942 /nfs/dbraw/zinc/20/19/42/671201942.db2.gz WEXHVXPYNNEGFI-ZETCQYMHSA-N 0 2 306.240 0.977 20 0 DCADLN CC(C)(CO)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860438895 671208556 /nfs/dbraw/zinc/20/85/56/671208556.db2.gz HWILRZDPVDSGAJ-UHFFFAOYSA-N 0 2 308.256 0.959 20 0 DCADLN Cc1ccn(C)c(=O)c1NS(=O)(=O)N=S1(=O)CCCC1 ZINC000821184854 671260670 /nfs/dbraw/zinc/26/06/70/671260670.db2.gz HRTLMWNDSKLSDK-UHFFFAOYSA-N 0 2 319.408 0.612 20 0 DCADLN COc1cccc([S@](=O)CC(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000821352727 671273873 /nfs/dbraw/zinc/27/38/73/671273873.db2.gz NCMIPKUUDHRAMT-OAQYLSRUSA-N 0 2 311.319 0.370 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)CSc1n[nH]c(=O)[n-]1 ZINC000826704281 671497376 /nfs/dbraw/zinc/49/73/76/671497376.db2.gz REDARDASPGANLK-LLVKDONJSA-N 0 2 319.390 0.905 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)CSc1n[nH]c(=O)[n-]1 ZINC000826704281 671497377 /nfs/dbraw/zinc/49/73/77/671497377.db2.gz REDARDASPGANLK-LLVKDONJSA-N 0 2 319.390 0.905 20 0 DCADLN O=C(C[C@H]1COCC[NH2+]1)NCc1n[nH]c(-c2ccccc2)n1 ZINC000828094174 671544193 /nfs/dbraw/zinc/54/41/93/671544193.db2.gz IKGFZXHFYWHKPY-LBPRGKRZSA-N 0 2 301.350 0.466 20 0 DCADLN COc1cc(C(=O)N2CC[C@@](C)(NC(=O)C(F)(F)F)C2)on1 ZINC000830807490 671641861 /nfs/dbraw/zinc/64/18/61/671641861.db2.gz KUNPCGXRQHDEIL-LLVKDONJSA-N 0 2 321.255 0.966 20 0 DCADLN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2cnsn2)C1 ZINC000830826996 671642687 /nfs/dbraw/zinc/64/26/87/671642687.db2.gz IXHNFNIAGHBDFH-SECBINFHSA-N 0 2 308.285 0.821 20 0 DCADLN COc1ccc(CNC(=O)C[N@@H+]2CC[C@@](F)(C(=O)[O-])C2)cc1 ZINC000832324447 671714543 /nfs/dbraw/zinc/71/45/43/671714543.db2.gz WPVLLVJWGIOYSG-HNNXBMFYSA-N 0 2 310.325 0.810 20 0 DCADLN COc1ccc(CNC(=O)C[N@H+]2CC[C@@](F)(C(=O)[O-])C2)cc1 ZINC000832324447 671714545 /nfs/dbraw/zinc/71/45/45/671714545.db2.gz WPVLLVJWGIOYSG-HNNXBMFYSA-N 0 2 310.325 0.810 20 0 DCADLN CCO[C@@H]1COCC[C@@H]1NS(=O)(=O)N=[S@](C)(=O)CC ZINC000867104765 671751545 /nfs/dbraw/zinc/75/15/45/671751545.db2.gz GAZZXMMUIVNXCG-XVYUCKLSSA-N 0 2 314.429 0.133 20 0 DCADLN CC(C)[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@@H](CO)C(C)C ZINC000836846132 671834717 /nfs/dbraw/zinc/83/47/17/671834717.db2.gz XHSLSDRUJXULPP-JBLDHEPKSA-N 0 2 315.439 0.948 20 0 DCADLN CC(C)[C@H](CO)ONC(=O)[C@@H]1CN(C)C(=O)c2ccccc21 ZINC000836901290 671838370 /nfs/dbraw/zinc/83/83/70/671838370.db2.gz WZYMWRCLTQPUHL-KGLIPLIRSA-N 0 2 306.362 0.921 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@H](CO)CC(C)(C)C ZINC000836970860 671841100 /nfs/dbraw/zinc/84/11/00/671841100.db2.gz VIJDZRTYMBYSNK-JTQLQIEISA-N 0 2 321.381 0.327 20 0 DCADLN Cn1cc(C=CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000843878068 672126827 /nfs/dbraw/zinc/12/68/27/672126827.db2.gz KVAHFMBNWWXIMH-DOGVGXBMSA-N 0 2 302.338 0.663 20 0 DCADLN O=C(CCCn1cccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843877763 672126992 /nfs/dbraw/zinc/12/69/92/672126992.db2.gz IFGHHBRYESLJJJ-LLVKDONJSA-N 0 2 304.354 0.893 20 0 DCADLN CC(C)(C)N1CC[C@H](NC(=O)C[C@H]2SC(=N)NC2=O)C1=O ZINC000843942440 672130442 /nfs/dbraw/zinc/13/04/42/672130442.db2.gz SJMYQZRXHZTKBB-JGVFFNPUSA-N 0 2 312.395 0.058 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@@H]1C[C@H]2CCCCN2C1=O ZINC000867377773 672215442 /nfs/dbraw/zinc/21/54/42/672215442.db2.gz MMTRZKLMKOMDET-HQVAUKCESA-N 0 2 323.440 0.092 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)Cc1cccnc1)n1cc[nH+]c1 ZINC000845511666 672254467 /nfs/dbraw/zinc/25/44/67/672254467.db2.gz NQUBOCWENIXHHD-LLVKDONJSA-N 0 2 308.363 0.875 20 0 DCADLN Cn1nccc1S(=O)(=O)[N-]C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845517854 672255075 /nfs/dbraw/zinc/25/50/75/672255075.db2.gz QUDDMCBIYVGRBG-UHFFFAOYSA-N 0 2 323.378 0.001 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)c1cnc2n1CCC2)n1cc[nH+]c1 ZINC000845522900 672255109 /nfs/dbraw/zinc/25/51/09/672255109.db2.gz DVBDIQMAVQMPSX-JTQLQIEISA-N 0 2 323.378 0.482 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccncn1 ZINC001024718538 693822438 /nfs/dbraw/zinc/82/24/38/693822438.db2.gz VDPRCUHLUCWPIB-JTQLQIEISA-N 0 2 317.353 0.085 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2C[NH+](Cc3cncn3C)C2)c1[O-] ZINC001031618016 693881972 /nfs/dbraw/zinc/88/19/72/693881972.db2.gz WXVSEJHDRPIRTN-UHFFFAOYSA-N 0 2 304.354 0.019 20 0 DCADLN O=C(NC[C@H](O)c1ccc(Cl)cc1Cl)c1nc(=O)[nH][nH]1 ZINC000304059271 685209474 /nfs/dbraw/zinc/20/94/74/685209474.db2.gz NWKJKVDRDBHCIB-QMMMGPOBSA-N 0 2 317.132 0.868 20 0 DCADLN CN(C(=O)c1cnccn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953588254 685744564 /nfs/dbraw/zinc/74/45/64/685744564.db2.gz QHNOLGUSDHKQKJ-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1cnccn1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953588254 685744570 /nfs/dbraw/zinc/74/45/70/685744570.db2.gz QHNOLGUSDHKQKJ-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@]12C[C@H]1COC2)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954465298 686028585 /nfs/dbraw/zinc/02/85/85/686028585.db2.gz RJMLASDTKZRRIY-VMAXQDLPSA-N 0 2 324.274 0.593 20 0 DCADLN CN(C(=O)[C@]12C[C@H]1COC2)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954465298 686028588 /nfs/dbraw/zinc/02/85/88/686028588.db2.gz RJMLASDTKZRRIY-VMAXQDLPSA-N 0 2 324.274 0.593 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001038016513 693987349 /nfs/dbraw/zinc/98/73/49/693987349.db2.gz HTTFWWFYQCYRDB-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN CCc1cccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001038352000 694053522 /nfs/dbraw/zinc/05/35/22/694053522.db2.gz KINPCVQVHMFTBJ-LLVKDONJSA-N 0 2 316.365 0.472 20 0 DCADLN O=C(C1CCC=CCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957683735 687162240 /nfs/dbraw/zinc/16/22/40/687162240.db2.gz ZQEXZFJVHJZZSE-UHFFFAOYSA-N 0 2 305.382 0.901 20 0 DCADLN Cc1ccccc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958129676 687369038 /nfs/dbraw/zinc/36/90/38/687369038.db2.gz UZIWBIJDRCEMFT-IMRBUKKESA-N 0 2 313.361 0.679 20 0 DCADLN Cc1ccccc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958129676 687369044 /nfs/dbraw/zinc/36/90/44/687369044.db2.gz UZIWBIJDRCEMFT-IMRBUKKESA-N 0 2 313.361 0.679 20 0 DCADLN CCCc1[nH]ccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038617809 694132279 /nfs/dbraw/zinc/13/22/79/694132279.db2.gz VSRQCNKTUZAINO-SNVBAGLBSA-N 0 2 318.381 0.795 20 0 DCADLN CCc1ncoc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038682202 694160277 /nfs/dbraw/zinc/16/02/77/694160277.db2.gz VCVZZLPMEKQMQC-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(C2CC2)no1 ZINC001038759719 694183843 /nfs/dbraw/zinc/18/38/43/694183843.db2.gz ADKLIAYTNGQKLT-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN CCC(=O)N1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC000960490518 688395040 /nfs/dbraw/zinc/39/50/40/688395040.db2.gz DURTVYYJIUBDKE-XKSSXDPKSA-N 0 2 312.263 0.735 20 0 DCADLN CCC(=O)N1C[C@H]2OCCN(C(=O)[C@H](F)C(F)(F)F)[C@H]2C1 ZINC000960490518 688395045 /nfs/dbraw/zinc/39/50/45/688395045.db2.gz DURTVYYJIUBDKE-XKSSXDPKSA-N 0 2 312.263 0.735 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1 ZINC001038950152 694251854 /nfs/dbraw/zinc/25/18/54/694251854.db2.gz SKXGZZFRHFHYAZ-LBPRGKRZSA-N 0 2 301.350 0.823 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@H]2C)[nH]n1 ZINC000965375072 689427104 /nfs/dbraw/zinc/42/71/04/689427104.db2.gz UXVOHSWXADLBSR-LDYMZIIASA-N 0 2 319.369 0.182 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)Cc1ccon1 ZINC000965652176 689518536 /nfs/dbraw/zinc/51/85/36/689518536.db2.gz HFEZEZYUWMIAKN-MWLCHTKSSA-N 0 2 320.353 0.068 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC[C@@H]1C ZINC000965857471 689567947 /nfs/dbraw/zinc/56/79/47/689567947.db2.gz AIAILZKGQWTOKG-CABZTGNLSA-N 0 2 318.381 0.787 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC[C@@H]1C ZINC000965857471 689567950 /nfs/dbraw/zinc/56/79/50/689567950.db2.gz AIAILZKGQWTOKG-CABZTGNLSA-N 0 2 318.381 0.787 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cnco1 ZINC000967560366 690024130 /nfs/dbraw/zinc/02/41/30/690024130.db2.gz NRHLDEWWOQKLHB-BDAKNGLRSA-N 0 2 306.326 0.139 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000967631803 690033581 /nfs/dbraw/zinc/03/35/81/690033581.db2.gz VLAXICQIYQBKCJ-KWQFWETISA-N 0 2 319.369 0.182 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccco1 ZINC000968454306 690277897 /nfs/dbraw/zinc/27/78/97/690277897.db2.gz KUNVCZYSDUCGPV-ZYHUDNBSSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccco1 ZINC000968454306 690277899 /nfs/dbraw/zinc/27/78/99/690277899.db2.gz KUNVCZYSDUCGPV-ZYHUDNBSSA-N 0 2 319.365 0.673 20 0 DCADLN CO[C@@H](C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039523473 694377328 /nfs/dbraw/zinc/37/73/28/694377328.db2.gz DNUZTUGLCSWNSM-GARJFASQSA-N 0 2 309.370 0.111 20 0 DCADLN O=C(c1cocn1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039528651 694378717 /nfs/dbraw/zinc/37/87/17/694378717.db2.gz XNLRLGOQLZSNEW-AOOOYVTPSA-N 0 2 318.337 0.377 20 0 DCADLN Cc1ccoc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006761698 690582122 /nfs/dbraw/zinc/58/21/22/690582122.db2.gz RVXLGBOHACABAW-JTQLQIEISA-N 0 2 305.338 0.806 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CCC[N@@H+](Cc3cncn3C)C2)c1[O-] ZINC001007119602 690630099 /nfs/dbraw/zinc/63/00/99/690630099.db2.gz GOSGBDSWKWQELN-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CCC[N@H+](Cc3cncn3C)C2)c1[O-] ZINC001007119602 690630101 /nfs/dbraw/zinc/63/01/01/690630101.db2.gz GOSGBDSWKWQELN-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN CC(C)c1nnc(CN2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001007756974 690741285 /nfs/dbraw/zinc/74/12/85/690741285.db2.gz XZEZPJHYMQBDTH-SNVBAGLBSA-N 0 2 318.385 0.441 20 0 DCADLN Cc1csc(C[N@@H+]2CCC[C@@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001007756969 690741375 /nfs/dbraw/zinc/74/13/75/690741375.db2.gz XZENGWXISUWTBK-SNVBAGLBSA-N 0 2 306.395 0.964 20 0 DCADLN Cc1csc(C[N@H+]2CCC[C@@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001007756969 690741378 /nfs/dbraw/zinc/74/13/78/690741378.db2.gz XZENGWXISUWTBK-SNVBAGLBSA-N 0 2 306.395 0.964 20 0 DCADLN Cc1cnc(C[N@@H+]2CCC[C@@H](NC(=O)c3cnn[n-]3)C2)s1 ZINC001007763398 690743878 /nfs/dbraw/zinc/74/38/78/690743878.db2.gz XRGMKKLQEFCXQF-SNVBAGLBSA-N 0 2 306.395 0.964 20 0 DCADLN Cc1cnc(C[N@H+]2CCC[C@@H](NC(=O)c3cnn[n-]3)C2)s1 ZINC001007763398 690743880 /nfs/dbraw/zinc/74/38/80/690743880.db2.gz XRGMKKLQEFCXQF-SNVBAGLBSA-N 0 2 306.395 0.964 20 0 DCADLN CC(C)(F)C(=O)N[C@H]1CC[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001009861299 691120218 /nfs/dbraw/zinc/12/02/18/691120218.db2.gz BTHFYWXDEVXHMM-JTQLQIEISA-N 0 2 321.356 0.461 20 0 DCADLN CC(C)(F)C(=O)N[C@H]1CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001009861299 691120222 /nfs/dbraw/zinc/12/02/22/691120222.db2.gz BTHFYWXDEVXHMM-JTQLQIEISA-N 0 2 321.356 0.461 20 0 DCADLN O=C(Cc1ccsc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010038553 691152194 /nfs/dbraw/zinc/15/21/94/691152194.db2.gz XUMJAHOZIBRMEK-JTQLQIEISA-N 0 2 307.379 0.505 20 0 DCADLN O=C(c1cc[nH]n1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010418772 691269355 /nfs/dbraw/zinc/26/93/55/691269355.db2.gz IROJEGITUVDDEX-QMMMGPOBSA-N 0 2 308.235 0.595 20 0 DCADLN O=C(c1ccn[nH]1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010418772 691269357 /nfs/dbraw/zinc/26/93/57/691269357.db2.gz IROJEGITUVDDEX-QMMMGPOBSA-N 0 2 308.235 0.595 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC(F)(F)C1 ZINC001010494167 691293216 /nfs/dbraw/zinc/29/32/16/691293216.db2.gz BELVAERRTWHFBW-MRVPVSSYSA-N 0 2 301.297 0.246 20 0 DCADLN Cc1nscc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010682085 691344705 /nfs/dbraw/zinc/34/47/05/691344705.db2.gz HGYQTNSCUOWDFR-QMMMGPOBSA-N 0 2 308.367 0.280 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C2CC2)C1)C(F)C(F)(F)F ZINC001040069397 694475647 /nfs/dbraw/zinc/47/56/47/694475647.db2.gz KAQVKNIEDZOUCL-KCJUWKMLSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C2CC2)C1)[C@@H](F)C(F)(F)F ZINC001040069397 694475649 /nfs/dbraw/zinc/47/56/49/694475649.db2.gz KAQVKNIEDZOUCL-KCJUWKMLSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC(F)(F)C1 ZINC001014663923 692067441 /nfs/dbraw/zinc/06/74/41/692067441.db2.gz SVYWLCDBWSVSFU-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001015325083 692264616 /nfs/dbraw/zinc/26/46/16/692264616.db2.gz KOXNIDLJMRQAGV-SRRSOLGSSA-N 0 2 321.381 0.016 20 0 DCADLN Cc1cnc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001015354887 692271389 /nfs/dbraw/zinc/27/13/89/692271389.db2.gz KUDOUYXIZKFMLS-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1Cc2ccccc21 ZINC001015636095 692374739 /nfs/dbraw/zinc/37/47/39/692374739.db2.gz BSJTYFSRKLXJHY-DGCLKSJQSA-N 0 2 313.361 0.541 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC001015880259 692485128 /nfs/dbraw/zinc/48/51/28/692485128.db2.gz QLNLSEGKYJGOQN-LLVKDONJSA-N 0 2 302.338 0.218 20 0 DCADLN CCn1ncc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001015893948 692491150 /nfs/dbraw/zinc/49/11/50/692491150.db2.gz UNSGWDJMSXHLKN-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN C[C@@]1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCCOC1 ZINC001015912334 692501709 /nfs/dbraw/zinc/50/17/09/692501709.db2.gz BNMKFSJWLXMLJK-IINYFYTJSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC12CCCC2 ZINC001015949776 692519411 /nfs/dbraw/zinc/51/94/11/692519411.db2.gz ATEIWVXWHBVMBG-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC12CCOCC2 ZINC001016438600 692690356 /nfs/dbraw/zinc/69/03/56/692690356.db2.gz RONUIRRCGYZXSB-MNOVXSKESA-N 0 2 321.381 0.018 20 0 DCADLN CCn1cc(C[NH2+][C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)nn1 ZINC001018722694 693207434 /nfs/dbraw/zinc/20/74/34/693207434.db2.gz BSTIINFNLZFYTD-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1C(=O)[C@H]1CCC[N@@H+]1C ZINC001020126834 693701829 /nfs/dbraw/zinc/70/18/29/693701829.db2.gz IOMCTFPPBPOOKI-RWMBFGLXSA-N 0 2 319.409 0.152 20 0 DCADLN Cc1cnoc1C(=O)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001073100317 694704951 /nfs/dbraw/zinc/70/49/51/694704951.db2.gz NXDHBKSIFICTAD-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN Cc1cnoc1C(=O)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001073100317 694704952 /nfs/dbraw/zinc/70/49/52/694704952.db2.gz NXDHBKSIFICTAD-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN CC(C)(C)C(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074389325 694884736 /nfs/dbraw/zinc/88/47/36/694884736.db2.gz FOJHENZFFICCAV-QWRGUYRKSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001076856778 695077788 /nfs/dbraw/zinc/07/77/88/695077788.db2.gz DBYAZEWISPKYHH-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001076856778 695077790 /nfs/dbraw/zinc/07/77/90/695077790.db2.gz DBYAZEWISPKYHH-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN C/C(=C\C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001691064113 1157565584 /nfs/dbraw/zinc/56/55/84/1157565584.db2.gz BMLZCEGWDBZWNJ-PMDBQALLSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C\C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001691064113 1157565587 /nfs/dbraw/zinc/56/55/87/1157565587.db2.gz BMLZCEGWDBZWNJ-PMDBQALLSA-N 0 2 305.382 0.947 20 0 DCADLN COc1cc(CN(C)C(=O)C[C@@H]2SC(=N)NC2=O)sn1 ZINC001649350779 1158730888 /nfs/dbraw/zinc/73/08/88/1158730888.db2.gz ZOJZHBDCCVTKNP-ZETCQYMHSA-N 0 2 314.392 0.667 20 0 DCADLN O=C(NN1CCc2ccccc2C1=O)c1csc(CO)n1 ZINC001446669575 1159499021 /nfs/dbraw/zinc/49/90/21/1159499021.db2.gz DQRXBHKLPFQDEJ-UHFFFAOYSA-N 0 2 303.343 0.979 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001447423998 1159636950 /nfs/dbraw/zinc/63/69/50/1159636950.db2.gz AXISGBZFJUMSDN-ZKWXMUAHSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2C[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)[nH]1 ZINC001447423998 1159636956 /nfs/dbraw/zinc/63/69/56/1159636956.db2.gz AXISGBZFJUMSDN-ZKWXMUAHSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001447424004 1159637146 /nfs/dbraw/zinc/63/71/46/1159637146.db2.gz AXISGBZFJUMSDN-ZXFLCMHBSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)[nH]1 ZINC001447424004 1159637150 /nfs/dbraw/zinc/63/71/50/1159637150.db2.gz AXISGBZFJUMSDN-ZXFLCMHBSA-N 0 2 322.262 0.996 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cccc2[nH]c(CO)nc21 ZINC001448070807 1159713891 /nfs/dbraw/zinc/71/38/91/1159713891.db2.gz HNGXIMNKCDUVDQ-UHFFFAOYSA-N 0 2 319.346 0.699 20 0 DCADLN O=C(c1cncc2nc[nH]c21)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001455745269 1159760880 /nfs/dbraw/zinc/76/08/80/1159760880.db2.gz QZOBKJUZPXCHJK-SECBINFHSA-N 0 2 312.337 0.566 20 0 DCADLN Cc1ccnc2nc(C(=O)N[C@@H](C)c3nn(C)cc3O)nn21 ZINC001456797852 1159762011 /nfs/dbraw/zinc/76/20/11/1159762011.db2.gz SPWFBQOJDJWGCE-QMMMGPOBSA-N 0 2 301.310 0.363 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NCC2=CCCC2)[nH]1 ZINC001470857465 1159772918 /nfs/dbraw/zinc/77/29/18/1159772918.db2.gz YDAUNOOZELFTDT-UHFFFAOYSA-N 0 2 313.383 0.259 20 0 DCADLN CCOC(=O)CCC[N@@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001610015855 1159832572 /nfs/dbraw/zinc/83/25/72/1159832572.db2.gz BSGBXSGUGHKPGB-MNOVXSKESA-N 0 2 301.339 0.668 20 0 DCADLN CCOC(=O)CCC[N@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001610015855 1159832583 /nfs/dbraw/zinc/83/25/83/1159832583.db2.gz BSGBXSGUGHKPGB-MNOVXSKESA-N 0 2 301.339 0.668 20 0 DCADLN CC(C)=CC[NH+]1CC(N(C)C(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC001569494699 1161077638 /nfs/dbraw/zinc/07/76/38/1161077638.db2.gz FTVXFEOYSZWGKB-UHFFFAOYSA-N 0 2 321.381 0.324 20 0 DCADLN C[C@H](CC(=O)N[C@@H](C(=O)[O-])[C@@H](O)c1cccnc1)n1cc[nH+]c1 ZINC001573534615 1163461431 /nfs/dbraw/zinc/46/14/31/1163461431.db2.gz BBRYUBHCLXGARL-HONMWMINSA-N 0 2 318.333 0.532 20 0 DCADLN C[C@H](NC(=O)c1cc(C[NH+](C)C)on1)c1nc(C(=O)[O-])n[nH]1 ZINC001573569427 1163505474 /nfs/dbraw/zinc/50/54/74/1163505474.db2.gz XLEZWKYRYGKYNA-LURJTMIESA-N 0 2 308.298 0.044 20 0 DCADLN CCn1cc(C(=O)[O-])c(NC(=O)NCc2ccc[nH+]c2N)n1 ZINC001573847124 1163566191 /nfs/dbraw/zinc/56/61/91/1163566191.db2.gz OFSSGGYHTALEPC-UHFFFAOYSA-N 0 2 304.310 0.900 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)C[N@@H+]1CC[C@H]2[C@@H](C1)C2(F)F ZINC001574226269 1163669999 /nfs/dbraw/zinc/66/99/99/1163669999.db2.gz AZIDPPREBHHIIQ-DTWKUNHWSA-N 0 2 314.292 0.644 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)C[N@H+]1CC[C@H]2[C@@H](C1)C2(F)F ZINC001574226269 1163670003 /nfs/dbraw/zinc/67/00/03/1163670003.db2.gz AZIDPPREBHHIIQ-DTWKUNHWSA-N 0 2 314.292 0.644 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001574236575 1163670777 /nfs/dbraw/zinc/67/07/77/1163670777.db2.gz JLIMDUPCHFAFPL-RKDXNWHRSA-N 0 2 319.369 0.111 20 0 DCADLN NS(=O)(=O)c1cc2c(s1)CC[N@H+](C[C@@H]1C[C@H]1C(=O)[O-])C2 ZINC001574279763 1163682239 /nfs/dbraw/zinc/68/22/39/1163682239.db2.gz HHFLBFKLQIZRMH-IONNQARKSA-N 0 2 316.404 0.474 20 0 DCADLN O=C([O-])[C@@H]1C2CCC(CC2)N1S(=O)(=O)CCn1cc[nH+]c1 ZINC001574385923 1163712250 /nfs/dbraw/zinc/71/22/50/1163712250.db2.gz ZWSQOLQVVNXAHL-MCIGGMRASA-N 0 2 313.379 0.541 20 0 DCADLN O=C([O-])c1n[nH]nc1[C@H]1CCCN1C(=O)CCCn1cc[nH+]c1 ZINC001574423477 1163720178 /nfs/dbraw/zinc/72/01/78/1163720178.db2.gz RSRHTDQJCHEZRU-SNVBAGLBSA-N 0 2 318.337 0.843 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H]2CC[N@H+](CCc3c[nH]nn3)C2)no1 ZINC001575454975 1164020630 /nfs/dbraw/zinc/02/06/30/1164020630.db2.gz KDDOAJCBCAXPHM-LBPRGKRZSA-N 0 2 318.381 0.963 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cccc(=O)[nH]1 ZINC001575765958 1164140979 /nfs/dbraw/zinc/14/09/79/1164140979.db2.gz BLSOAGVRWMIQGO-VIFPVBQESA-N 0 2 323.246 0.924 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1cccc(=O)[nH]1 ZINC001575765958 1164140987 /nfs/dbraw/zinc/14/09/87/1164140987.db2.gz BLSOAGVRWMIQGO-VIFPVBQESA-N 0 2 323.246 0.924 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cnon1 ZINC001577106163 1164603095 /nfs/dbraw/zinc/60/30/95/1164603095.db2.gz DIWNWJHURHMMIN-ZETCQYMHSA-N 0 2 312.223 0.548 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnon1 ZINC001577106163 1164603098 /nfs/dbraw/zinc/60/30/98/1164603098.db2.gz DIWNWJHURHMMIN-ZETCQYMHSA-N 0 2 312.223 0.548 20 0 DCADLN Cc1cnoc1C(=O)NC[C@@H]1CCCC[N@@H+]1CCc1c[nH]nn1 ZINC001577502830 1164708669 /nfs/dbraw/zinc/70/86/69/1164708669.db2.gz JUOPUNIASVFKOH-ZDUSSCGKSA-N 0 2 318.381 0.928 20 0 DCADLN O=C(Cc1cccnc1)NC[C@H]1CCCN1Cc1cn[nH]n1 ZINC001577964444 1164865258 /nfs/dbraw/zinc/86/52/58/1164865258.db2.gz OYPBXDDMQLUABJ-CQSZACIVSA-N 0 2 300.366 0.523 20 0 DCADLN O=C(Cc1cccnc1)NC[C@H]1CCCN1Cc1c[nH]nn1 ZINC001577964444 1164865264 /nfs/dbraw/zinc/86/52/64/1164865264.db2.gz OYPBXDDMQLUABJ-CQSZACIVSA-N 0 2 300.366 0.523 20 0 DCADLN O=C(Cc1cccs1)NC[C@@]1(O)CCN(Cc2cn[nH]n2)C1 ZINC001577963827 1164866898 /nfs/dbraw/zinc/86/68/98/1164866898.db2.gz HEBLDRWDKQBRDO-AWEZNQCLSA-N 0 2 321.406 0.162 20 0 DCADLN O=C(Cc1cccs1)NC[C@@]1(O)CCN(Cc2c[nH]nn2)C1 ZINC001577963827 1164866908 /nfs/dbraw/zinc/86/69/08/1164866908.db2.gz HEBLDRWDKQBRDO-AWEZNQCLSA-N 0 2 321.406 0.162 20 0 DCADLN O=C(NCCC[C@H]1CCC[N@@H+]1Cc1cnn[nH]1)c1cnon1 ZINC001578005104 1164889123 /nfs/dbraw/zinc/88/91/23/1164889123.db2.gz CGYCQTFWJCHSHK-NSHDSACASA-N 0 2 305.342 0.362 20 0 DCADLN O=C(NCCC[C@H]1CCC[N@H+]1Cc1cnn[nH]1)c1cnon1 ZINC001578005104 1164889132 /nfs/dbraw/zinc/88/91/32/1164889132.db2.gz CGYCQTFWJCHSHK-NSHDSACASA-N 0 2 305.342 0.362 20 0 DCADLN CCO[C@H]1C[C@@H](NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001578383382 1164993981 /nfs/dbraw/zinc/99/39/81/1164993981.db2.gz OJRAWFWIBSEZDJ-DTORHVGOSA-N 0 2 318.337 0.573 20 0 DCADLN CS[C@@H]1CCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001580205138 1165536174 /nfs/dbraw/zinc/53/61/74/1165536174.db2.gz OIWJBLQQLRUZPA-MRVPVSSYSA-N 0 2 320.378 0.853 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@H](O)[C@@H](C)C1 ZINC001580598547 1165649484 /nfs/dbraw/zinc/64/94/84/1165649484.db2.gz YYFLRJNYRFVFQI-WCBMZHEXSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCO[C@@H](C)[C@@H]1C ZINC001580600099 1165651174 /nfs/dbraw/zinc/65/11/74/1165651174.db2.gz LWHSDTIQLJQBQL-IUCAKERBSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCO[C@H](C)[C@@H]1C ZINC001580600097 1165651560 /nfs/dbraw/zinc/65/15/60/1165651560.db2.gz LWHSDTIQLJQBQL-DTWKUNHWSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CCCO1 ZINC001580602943 1165653085 /nfs/dbraw/zinc/65/30/85/1165653085.db2.gz JDBCYDXNEYFKIF-MRVPVSSYSA-N 0 2 304.310 0.185 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1COC(C)(C)C1 ZINC001580604945 1165654206 /nfs/dbraw/zinc/65/42/06/1165654206.db2.gz VGIDLUJGEXZVPN-QMMMGPOBSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCc1ccon1 ZINC001580606598 1165654316 /nfs/dbraw/zinc/65/43/16/1165654316.db2.gz YOTURJCVNSWFHD-UHFFFAOYSA-N 0 2 301.266 0.194 20 0 DCADLN Cc1cnccc1Sc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001581337141 1165815505 /nfs/dbraw/zinc/81/55/05/1165815505.db2.gz IWANAWPCWVEAFD-UHFFFAOYSA-N 0 2 317.334 0.108 20 0 DCADLN Cc1nnc(CCNc2nc3ncccc3cc2-c2nn[nH]n2)[nH]1 ZINC001581450160 1165829471 /nfs/dbraw/zinc/82/94/71/1165829471.db2.gz IOZUXBPZIZVINO-UHFFFAOYSA-N 0 2 322.336 0.891 20 0 DCADLN O=C(Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2)c1ccoc1 ZINC001582185793 1165978571 /nfs/dbraw/zinc/97/85/71/1165978571.db2.gz ULQSAUACNDLDPP-UHFFFAOYSA-N 0 2 311.301 0.993 20 0 DCADLN Cc1cc(C(=O)N[C@]2(CNC(=O)C(N)=O)CCCC[C@@H]2C)no1 ZINC001582666467 1166062889 /nfs/dbraw/zinc/06/28/89/1166062889.db2.gz HFMUMFJFTZWDHD-VFZGTOFNSA-N 0 2 322.365 0.263 20 0 DCADLN COCCCC(=O)NC[C@@](C)(NC(=O)c1ccnnc1)C1CC1 ZINC001582663025 1166063320 /nfs/dbraw/zinc/06/33/20/1166063320.db2.gz HKVHAWHNEPBVDI-MRXNPFEDSA-N 0 2 320.393 0.918 20 0 DCADLN C[C@H](C(=O)NC[C@@](C)(NC(=O)C(N)=O)C1CC1)C(F)(F)F ZINC001582658515 1166063350 /nfs/dbraw/zinc/06/33/50/1166063350.db2.gz FXTAIERMHISXNC-KSBSHMNSSA-N 0 2 309.288 0.071 20 0 DCADLN C[C@](CNC(=O)CC(N)=O)(NC(=O)[C@H]1CCC1(C)C)C1CC1 ZINC001582656840 1166063516 /nfs/dbraw/zinc/06/35/16/1166063516.db2.gz VFXRUBQXMOODQO-BDJLRTHQSA-N 0 2 309.410 0.699 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)Cc1nnc[nH]1 ZINC001585870884 1166463427 /nfs/dbraw/zinc/46/34/27/1166463427.db2.gz WNTWMSYBOHUQRT-UHFFFAOYSA-N 0 2 301.310 0.029 20 0 DCADLN CC(C)(C)OCCCCn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001589194113 1166639314 /nfs/dbraw/zinc/63/93/14/1166639314.db2.gz VFRAWTMWOYXAAS-UHFFFAOYSA-N 0 2 308.342 0.724 20 0 DCADLN CC(C)C[C@@H](C)N(C)C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001589550617 1166667658 /nfs/dbraw/zinc/66/76/58/1166667658.db2.gz NHIUUECXWPORFK-SNVBAGLBSA-N 0 2 319.369 0.316 20 0 DCADLN C[C@H](Cn1cnc2ncc(-c3nn[nH]n3)c(=O)n21)C(C)(C)C ZINC001589903812 1166713094 /nfs/dbraw/zinc/71/30/94/1166713094.db2.gz JMKSBZIBMAISSB-MRVPVSSYSA-N 0 2 302.342 0.753 20 0 DCADLN C[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1cccnc1 ZINC001589978050 1166735050 /nfs/dbraw/zinc/73/50/50/1166735050.db2.gz GMLBROCZWPUYJF-SSDOTTSWSA-N 0 2 314.309 0.234 20 0 DCADLN C[C@@H]1C[C@@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C[C@H](C)O1 ZINC001590205492 1166812077 /nfs/dbraw/zinc/81/20/77/1166812077.db2.gz ATIQMEJSSPEBBD-RNLVFQAGSA-N 0 2 321.341 0.034 20 0 DCADLN C[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@H](C)[C@@H](C)O1 ZINC001590311569 1166856413 /nfs/dbraw/zinc/85/64/13/1166856413.db2.gz XVQVOSUEBZLDIL-GMTAPVOTSA-N 0 2 304.354 0.484 20 0 DCADLN CCCCc1nc(Cn2cncc(-c3nn[nH]n3)c2=O)no1 ZINC001590614792 1166949787 /nfs/dbraw/zinc/94/97/87/1166949787.db2.gz UROIMGYUCABRBU-UHFFFAOYSA-N 0 2 302.298 0.197 20 0 DCADLN CCc1cc(CNCc2cnc3c(-c4nn[nH]n4)cnn3c2)[nH]n1 ZINC001590881889 1167042337 /nfs/dbraw/zinc/04/23/37/1167042337.db2.gz KWOZBXFTOSDWEQ-UHFFFAOYSA-N 0 2 324.352 0.485 20 0 DCADLN CCc1noc(NS(=O)(=O)c2cccc(-c3nn[nH]n3)c2)n1 ZINC001590934622 1167057419 /nfs/dbraw/zinc/05/74/19/1167057419.db2.gz FDJXMWMMOWWVOD-UHFFFAOYSA-N 0 2 321.322 0.613 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)CC1(C)C ZINC001591190288 1167142306 /nfs/dbraw/zinc/14/23/06/1167142306.db2.gz SSBHZHBVINSKDX-UHFFFAOYSA-N 0 2 316.365 0.739 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)CC1(C)C ZINC001591190288 1167142310 /nfs/dbraw/zinc/14/23/10/1167142310.db2.gz SSBHZHBVINSKDX-UHFFFAOYSA-N 0 2 316.365 0.739 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@H]2CCC[C@H](C)C2)c(=O)n1C ZINC001591793894 1167381557 /nfs/dbraw/zinc/38/15/57/1167381557.db2.gz NJMNSFNCHWDTCB-ONGXEEELSA-N 0 2 318.381 0.862 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)Nc1nccn1C ZINC001591836192 1167390775 /nfs/dbraw/zinc/39/07/75/1167390775.db2.gz FIYVCFNXALBQGQ-UHFFFAOYSA-N 0 2 300.282 0.262 20 0 DCADLN Cc1ccc2nc(C[NH2+]CCn3cnc(-c4nn[n-]n4)n3)cn2c1 ZINC001592207405 1167476116 /nfs/dbraw/zinc/47/61/16/1167476116.db2.gz DHQNYLWNSNCVKB-UHFFFAOYSA-N 0 2 324.352 0.204 20 0 DCADLN Cc1ccn(Cc2cn3cccnc3n2)c(=O)c1-c1nn[nH]n1 ZINC001592266339 1167479530 /nfs/dbraw/zinc/47/95/30/1167479530.db2.gz ZNKCVRPIAIXRFU-UHFFFAOYSA-N 0 2 308.305 0.428 20 0 DCADLN Cc1ccn(CN2CCO[C@@H]3CCC[C@@H]32)c(=O)c1-c1nn[nH]n1 ZINC001592267073 1167480574 /nfs/dbraw/zinc/48/05/74/1167480574.db2.gz LLWCMYYLBGBVCI-NWDGAFQWSA-N 0 2 316.365 0.548 20 0 DCADLN Cc1nn(CC[C@@H]2COC(C)(C)O2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436816 1167523575 /nfs/dbraw/zinc/52/35/75/1167523575.db2.gz AVPLMMCSRYFELF-SNVBAGLBSA-N 0 2 320.353 0.582 20 0 DCADLN Cc1nn(C[C@@](C)(O)C(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436670 1167523662 /nfs/dbraw/zinc/52/36/62/1167523662.db2.gz YJZHGBNRJKGQDV-LLVKDONJSA-N 0 2 300.269 0.056 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)CCn1cnnc1 ZINC001592496006 1167539127 /nfs/dbraw/zinc/53/91/27/1167539127.db2.gz SMPUBQXRHRVHPV-UHFFFAOYSA-N 0 2 302.298 0.132 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC[S@@](=O)C[C@@H](C)C1 ZINC001592499505 1167539303 /nfs/dbraw/zinc/53/93/03/1167539303.db2.gz NIUOMZZKWIBLCQ-QSIZCVBASA-N 0 2 323.378 0.609 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCOC[C@@H]1C[C@H](C)O ZINC001592499102 1167540022 /nfs/dbraw/zinc/54/00/22/1167540022.db2.gz HJZDFZMCKNXRFX-WPRPVWTQSA-N 0 2 321.337 0.380 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(SC2CCCC2)n(C)c1=O ZINC001592556799 1167557862 /nfs/dbraw/zinc/55/78/62/1167557862.db2.gz MSGXKNOKRVKYBT-UHFFFAOYSA-N 0 2 308.367 0.299 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)C1(c2ccccc2)CC1 ZINC001592941164 1167669166 /nfs/dbraw/zinc/66/91/66/1167669166.db2.gz FPCAAYBUAZTXGA-UHFFFAOYSA-N 0 2 322.328 0.724 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1c[nH]c2ccccc12 ZINC001592941062 1167669955 /nfs/dbraw/zinc/66/99/55/1167669955.db2.gz BFJDYERAYSQOPM-UHFFFAOYSA-N 0 2 321.300 0.788 20 0 DCADLN O=C(NCc1ccnc(-c2nn[nH]n2)c1)C(F)C(F)(F)F ZINC001593147333 1167724600 /nfs/dbraw/zinc/72/46/00/1167724600.db2.gz MNGKXKWWCUOBKQ-ZETCQYMHSA-N 0 2 304.207 0.778 20 0 DCADLN O=C(NCc1ccnc(-c2nn[nH]n2)c1)[C@H](F)C(F)(F)F ZINC001593147333 1167724606 /nfs/dbraw/zinc/72/46/06/1167724606.db2.gz MNGKXKWWCUOBKQ-ZETCQYMHSA-N 0 2 304.207 0.778 20 0 DCADLN O=C(Nn1cnc2ccccc2c1=O)c1ccc(-c2nn[nH]n2)o1 ZINC001593276609 1167751918 /nfs/dbraw/zinc/75/19/18/1167751918.db2.gz PVROTXSTTIDUEE-UHFFFAOYSA-N 0 2 323.272 0.554 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc(Cl)nc1 ZINC001593489676 1167873917 /nfs/dbraw/zinc/87/39/17/1167873917.db2.gz VKNZJEJKGAYKKB-UHFFFAOYSA-N 0 2 305.685 0.226 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CN1CCC(F)(F)C1)CCC2 ZINC001593491934 1167874950 /nfs/dbraw/zinc/87/49/50/1167874950.db2.gz CTPRQZBEVMNFNB-UHFFFAOYSA-N 0 2 322.319 0.816 20 0 DCADLN c1cc(-c2nn[nH]n2)oc1CNCc1ccc(-n2cncn2)nc1 ZINC001593592640 1167954432 /nfs/dbraw/zinc/95/44/32/1167954432.db2.gz AJVKVRJMBVCSTK-UHFFFAOYSA-N 0 2 323.320 0.725 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@H]2[N@@H+]1Cc1nc(N(C)C)no1 ZINC001594341228 1167965716 /nfs/dbraw/zinc/96/57/16/1167965716.db2.gz SOXXTLUXNKCRJV-ZNSHCXBVSA-N 0 2 310.354 0.731 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@H]2[N@H+]1Cc1nc(N(C)C)no1 ZINC001594341228 1167965720 /nfs/dbraw/zinc/96/57/20/1167965720.db2.gz SOXXTLUXNKCRJV-ZNSHCXBVSA-N 0 2 310.354 0.731 20 0 DCADLN CCC(CC)(CNS(=O)(=O)CCn1cc[nH+]c1)C(=O)[O-] ZINC001595084518 1167968112 /nfs/dbraw/zinc/96/81/12/1167968112.db2.gz VFSVOGYBHIFMHD-UHFFFAOYSA-N 0 2 303.384 0.694 20 0 DCADLN Cc1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nn1C(C)C ZINC001600097249 1168118703 /nfs/dbraw/zinc/11/87/03/1168118703.db2.gz BESSCPBLDUVVSO-LBPRGKRZSA-N 0 2 305.338 0.921 20 0 DCADLN Cc1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nn1C(C)C ZINC001600097249 1168118706 /nfs/dbraw/zinc/11/87/06/1168118706.db2.gz BESSCPBLDUVVSO-LBPRGKRZSA-N 0 2 305.338 0.921 20 0 DCADLN Cc1cc(NC(=O)[C@@H](C)[NH+]2CCC([C@H](O)C(=O)[O-])CC2)on1 ZINC001600249428 1168149948 /nfs/dbraw/zinc/14/99/48/1168149948.db2.gz GJAOFNIGBAMNAJ-SKDRFNHKSA-N 0 2 311.338 0.468 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)[N@H+](C)[C@@H]1COC[C@H]1O ZINC001600352012 1168172650 /nfs/dbraw/zinc/17/26/50/1168172650.db2.gz HETXNKVBPRGBMN-ZLKJLUDKSA-N 0 2 322.361 0.712 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)[N@@H+](C)[C@@H]1COC[C@H]1O ZINC001600352012 1168172654 /nfs/dbraw/zinc/17/26/54/1168172654.db2.gz HETXNKVBPRGBMN-ZLKJLUDKSA-N 0 2 322.361 0.712 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@H](CO)[C@@H](O)C1 ZINC001600355343 1168172711 /nfs/dbraw/zinc/17/27/11/1168172711.db2.gz SGEKIIXBCYOTRD-OCCSQVGLSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@H](CO)[C@@H](O)C1 ZINC001600355343 1168172716 /nfs/dbraw/zinc/17/27/16/1168172716.db2.gz SGEKIIXBCYOTRD-OCCSQVGLSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(N(C)C[C@H](O)C[NH+]2CCOCC2)nc1C(=O)[O-] ZINC001600432992 1168183326 /nfs/dbraw/zinc/18/33/26/1168183326.db2.gz XCSGLFYCPDBHMQ-LBPRGKRZSA-N 0 2 309.366 0.218 20 0 DCADLN Cc1ccc(NC2CCN(S(C)(=O)=O)CC2)[nH+]c1C(=O)[O-] ZINC001600459771 1168187957 /nfs/dbraw/zinc/18/79/57/1168187957.db2.gz KZMPWCUXIMINTJ-UHFFFAOYSA-N 0 2 313.379 0.924 20 0 DCADLN Cc1cccnc1C(F)(F)C(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001600592508 1168205706 /nfs/dbraw/zinc/20/57/06/1168205706.db2.gz ZMBRVXLTNRXTBQ-UHFFFAOYSA-N 0 2 313.304 0.711 20 0 DCADLN Cc1ccn2cnc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c2c1 ZINC001600595430 1168206977 /nfs/dbraw/zinc/20/69/77/1168206977.db2.gz ABUHJGYFSLCWFF-LLVKDONJSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1ccn2cnc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c2c1 ZINC001600595430 1168206987 /nfs/dbraw/zinc/20/69/87/1168206987.db2.gz ABUHJGYFSLCWFF-LLVKDONJSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1cnc2c(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])cnn2c1 ZINC001600624893 1168217654 /nfs/dbraw/zinc/21/76/54/1168217654.db2.gz KJDDPALZAYCNJI-LLVKDONJSA-N 0 2 314.305 0.117 20 0 DCADLN Cc1nc(NCCNC(=O)[C@H]2C[C@H]2C(=O)[O-])c2c([nH+]1)CCCC2 ZINC001600723689 1168263011 /nfs/dbraw/zinc/26/30/11/1168263011.db2.gz UXMRDCQMMAYOKE-NWDGAFQWSA-N 0 2 318.377 0.913 20 0 DCADLN Cc1nc(NC2(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CCC2)cc[nH+]1 ZINC001600752362 1168276132 /nfs/dbraw/zinc/27/61/32/1168276132.db2.gz GLRFVBNAAOASOU-MNOVXSKESA-N 0 2 304.350 0.957 20 0 DCADLN Cc1nonc1C[N@@H+](C)CCCN(C)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001600819044 1168474259 /nfs/dbraw/zinc/47/42/59/1168474259.db2.gz YZFUEKLLXSSWEE-GHMZBOCLSA-N 0 2 310.354 0.379 20 0 DCADLN Cc1nonc1C[N@H+](C)CCCN(C)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001600819044 1168474271 /nfs/dbraw/zinc/47/42/71/1168474271.db2.gz YZFUEKLLXSSWEE-GHMZBOCLSA-N 0 2 310.354 0.379 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC001600905989 1168503263 /nfs/dbraw/zinc/50/32/63/1168503263.db2.gz WHXSZNBLASIGQU-LBPRGKRZSA-N 0 2 321.377 0.660 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCC([C@@H](O)C(=O)[O-])CC1 ZINC001600994530 1168552274 /nfs/dbraw/zinc/55/22/74/1168552274.db2.gz XWDPTNQEXWNEBE-NQBHXWOUSA-N 0 2 307.350 0.208 20 0 DCADLN Cn1nc(Br)cc1C[N@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001600998943 1168555299 /nfs/dbraw/zinc/55/52/99/1168555299.db2.gz LLLNBGODUNAMDG-MBTKJCJQSA-N 0 2 300.156 0.945 20 0 DCADLN Cn1nc(Br)cc1C[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001600998943 1168555306 /nfs/dbraw/zinc/55/53/06/1168555306.db2.gz LLLNBGODUNAMDG-MBTKJCJQSA-N 0 2 300.156 0.945 20 0 DCADLN Cn1ncc(C[NH+]2CCC(C)(NC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC001601026021 1168569884 /nfs/dbraw/zinc/56/98/84/1168569884.db2.gz BAYPEWXVIQCHFK-NWDGAFQWSA-N 0 2 321.381 0.007 20 0 DCADLN Nc1nc(N2CCC[C@H]2C(=O)NCC(=O)[O-])[nH+]c2ccccc12 ZINC001601219987 1168663957 /nfs/dbraw/zinc/66/39/57/1168663957.db2.gz PFSMNCVBPXJUEL-NSHDSACASA-N 0 2 315.333 0.382 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)/C=C\C[NH+]2CCOCC2)cn1 ZINC001601230848 1168670986 /nfs/dbraw/zinc/67/09/86/1168670986.db2.gz GQJRNLNEHYHJJS-UPHRSURJSA-N 0 2 305.334 0.284 20 0 DCADLN O=C([O-])c1cnc(NCCNC(=O)CCCn2cc[nH+]c2)nc1 ZINC001601273987 1168684598 /nfs/dbraw/zinc/68/45/98/1168684598.db2.gz GVKPWTNWEGSYBF-UHFFFAOYSA-N 0 2 318.337 0.380 20 0 DCADLN O=C([O-])[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Cc1ccncc1 ZINC001601294877 1168693005 /nfs/dbraw/zinc/69/30/05/1168693005.db2.gz NIICASARPDDCQS-LBPRGKRZSA-N 0 2 302.334 0.797 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2cnccc2n1 ZINC001601426143 1168742130 /nfs/dbraw/zinc/74/21/30/1168742130.db2.gz SGGYNDAZSLIYGJ-ZDUSSCGKSA-N 0 2 311.301 0.710 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1nc2cccnc2s1 ZINC001601425612 1168742714 /nfs/dbraw/zinc/74/27/14/1168742714.db2.gz FKGPCINCZGVEBO-SECBINFHSA-N 0 2 317.330 0.771 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)CC1 ZINC001601681221 1168814325 /nfs/dbraw/zinc/81/43/25/1168814325.db2.gz BNIMJZHORACEEQ-DGCLKSJQSA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CC[N@H+](CN3CCSC3=S)C2)C1 ZINC001601746685 1168845622 /nfs/dbraw/zinc/84/56/22/1168845622.db2.gz MKNKNRJLRTWCSW-NSHDSACASA-N 0 2 301.393 0.583 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCCC[N@H+](Cc1cnns1)C1CC1 ZINC001601776835 1168876877 /nfs/dbraw/zinc/87/68/77/1168876877.db2.gz AMDJDAGWSIWOMO-NEPJUHHUSA-N 0 2 324.406 0.730 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCCC[N@@H+](Cc1cnns1)C1CC1 ZINC001601776835 1168876892 /nfs/dbraw/zinc/87/68/92/1168876892.db2.gz AMDJDAGWSIWOMO-NEPJUHHUSA-N 0 2 324.406 0.730 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001601779591 1168883365 /nfs/dbraw/zinc/88/33/65/1168883365.db2.gz PCDWIFGMSPHNSF-KBPBESRZSA-N 0 2 310.394 0.668 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC001601910375 1168930567 /nfs/dbraw/zinc/93/05/67/1168930567.db2.gz MUWHRDXYYXGLHK-HTQZYQBOSA-N 0 2 309.244 0.328 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CC[C@@H](OCc3ccncc3)C2)nn1 ZINC001602163189 1169010919 /nfs/dbraw/zinc/01/09/19/1169010919.db2.gz ZZGWXMNMKDWAGP-CQSZACIVSA-N 0 2 317.349 0.549 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CC[C@@H](OCc3ccncc3)C2)nn1 ZINC001602163189 1169010927 /nfs/dbraw/zinc/01/09/27/1169010927.db2.gz ZZGWXMNMKDWAGP-CQSZACIVSA-N 0 2 317.349 0.549 20 0 DCADLN O=C([O-])c1cc(C2C[NH+](CC3CCS(=O)(=O)CC3)C2)[nH]n1 ZINC001602254130 1169042610 /nfs/dbraw/zinc/04/26/10/1169042610.db2.gz NZGOBOZMWNNLIF-UHFFFAOYSA-N 0 2 313.379 0.332 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCCNc2cccc[nH+]2)cn1 ZINC001602398571 1169070975 /nfs/dbraw/zinc/07/09/75/1169070975.db2.gz GMLOFDUJFKGQNR-UHFFFAOYSA-N 0 2 322.346 0.565 20 0 DCADLN O=C([O-])c1ccnc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC001602477996 1169086357 /nfs/dbraw/zinc/08/63/57/1169086357.db2.gz NDIFGEWMTXRQOM-CYBMUJFWSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])c1sccc1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001602594645 1169128966 /nfs/dbraw/zinc/12/89/66/1169128966.db2.gz LOJYGXFXHOPHMG-JTQLQIEISA-N 0 2 306.347 0.956 20 0 DCADLN O=Cc1ccc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC001602667543 1169155967 /nfs/dbraw/zinc/15/59/67/1169155967.db2.gz VWCVBZVALVFZLK-ZDUSSCGKSA-N 0 2 301.302 0.577 20 0 DCADLN O=Cc1ccc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001602667543 1169155977 /nfs/dbraw/zinc/15/59/77/1169155977.db2.gz VWCVBZVALVFZLK-ZDUSSCGKSA-N 0 2 301.302 0.577 20 0 DCADLN CC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)N[C@H](C(=O)[O-])C(C)C ZINC001603047013 1169238616 /nfs/dbraw/zinc/23/86/16/1169238616.db2.gz UQNHNHSBYIAIFR-OCCSQVGLSA-N 0 2 313.398 0.202 20 0 DCADLN CC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)N[C@H](C(=O)[O-])C(C)C ZINC001603047013 1169238624 /nfs/dbraw/zinc/23/86/24/1169238624.db2.gz UQNHNHSBYIAIFR-OCCSQVGLSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@@H](CO[C@@H]1CCOC1)NCc1nc(-c2nn[nH]n2)cs1 ZINC001603569159 1169326889 /nfs/dbraw/zinc/32/68/89/1169326889.db2.gz LFFWAEWYIPTKHV-DTWKUNHWSA-N 0 2 310.383 0.607 20 0 DCADLN CC(C)(CNC(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)[NH+]1CCOCC1 ZINC001603630989 1169337887 /nfs/dbraw/zinc/33/78/87/1169337887.db2.gz SKPAAEHMUFLNAH-GDNZZTSVSA-N 0 2 311.382 0.069 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[NH+]1CCC(O)(C(F)F)CC1)C(=O)[O-] ZINC001603776223 1169385700 /nfs/dbraw/zinc/38/57/00/1169385700.db2.gz MDYKBKJWMFPUQH-SNVBAGLBSA-N 0 2 322.352 0.694 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+](C)[C@@H](C)C[S@](C)=O)C(=O)[O-] ZINC001603775016 1169385977 /nfs/dbraw/zinc/38/59/77/1169385977.db2.gz CWCBDVYUQIRQEL-MKXJDEKUSA-N 0 2 306.428 0.301 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+](C)[C@@H](C)C[S@](C)=O)C(=O)[O-] ZINC001603775016 1169385987 /nfs/dbraw/zinc/38/59/87/1169385987.db2.gz CWCBDVYUQIRQEL-MKXJDEKUSA-N 0 2 306.428 0.301 20 0 DCADLN CCCCC[C@H](C)NC(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001604171353 1169513126 /nfs/dbraw/zinc/51/31/26/1169513126.db2.gz CJXJFNYNTQEWLX-JTQLQIEISA-N 0 2 319.369 0.508 20 0 DCADLN CCO[C@H](Cn1c(=O)c(-c2nn[nH]n2)cn(CC)c1=O)C1CC1 ZINC001604404087 1169583260 /nfs/dbraw/zinc/58/32/60/1169583260.db2.gz FFBZDRAUOUVHBA-LLVKDONJSA-N 0 2 320.353 0.025 20 0 DCADLN C[C@H](Oc1ccccn1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001604449435 1169596019 /nfs/dbraw/zinc/59/60/19/1169596019.db2.gz RDQXTSUDQSBKTF-GXSJLCMTSA-N 0 2 304.306 0.384 20 0 DCADLN C[C@H](Oc1ccccn1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001604449435 1169596027 /nfs/dbraw/zinc/59/60/27/1169596027.db2.gz RDQXTSUDQSBKTF-GXSJLCMTSA-N 0 2 304.306 0.384 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NC[C@H](CC(=O)[O-])C2CC2)CCO1 ZINC001604795341 1169685726 /nfs/dbraw/zinc/68/57/26/1169685726.db2.gz AUUXJXCAUKXSQM-YPMHNXCESA-N 0 2 313.398 0.507 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NC[C@H](CC(=O)[O-])C2CC2)CCO1 ZINC001604795341 1169685728 /nfs/dbraw/zinc/68/57/28/1169685728.db2.gz AUUXJXCAUKXSQM-YPMHNXCESA-N 0 2 313.398 0.507 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCOC(C)(C)C)c1 ZINC001604964439 1169764994 /nfs/dbraw/zinc/76/49/94/1169764994.db2.gz PCRROVMPPNAKAK-UHFFFAOYSA-N 0 2 321.337 0.630 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC(C(=O)[O-])CC1 ZINC001605024300 1169785201 /nfs/dbraw/zinc/78/52/01/1169785201.db2.gz FYNDARGNSZEWJK-CYBMUJFWSA-N 0 2 313.398 0.603 20 0 DCADLN COC/C(C)=C\Cn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC001605043792 1169792581 /nfs/dbraw/zinc/79/25/81/1169792581.db2.gz AEBOLXJWTONTDD-WTKPLQERSA-N 0 2 319.321 0.408 20 0 DCADLN COCC1=CCN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)CC1 ZINC001605067978 1169804954 /nfs/dbraw/zinc/80/49/54/1169804954.db2.gz MFXXFLMZOZKJGO-UHFFFAOYSA-N 0 2 316.365 0.573 20 0 DCADLN CCCC(=O)NC[C@H]1CCC[N@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001605190580 1169860698 /nfs/dbraw/zinc/86/06/98/1169860698.db2.gz VNBSWKZTLPQHPE-GFCCVEGCSA-N 0 2 323.397 0.491 20 0 DCADLN CCCC(=O)NC[C@H]1CCC[N@@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001605190580 1169860716 /nfs/dbraw/zinc/86/07/16/1169860716.db2.gz VNBSWKZTLPQHPE-GFCCVEGCSA-N 0 2 323.397 0.491 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NO[C@@H]1CCCCO1 ZINC001605510313 1169942695 /nfs/dbraw/zinc/94/26/95/1169942695.db2.gz OQRGSPQNLVBNNJ-SECBINFHSA-N 0 2 320.309 0.464 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1COC[C@@H]1C ZINC001605510614 1169943585 /nfs/dbraw/zinc/94/35/85/1169943585.db2.gz SBRWDZRNBTXJAI-IONNQARKSA-N 0 2 304.310 0.040 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)Nc1ccsn1 ZINC001605511099 1169945178 /nfs/dbraw/zinc/94/51/78/1169945178.db2.gz WLLLQWVEDZIFKB-UHFFFAOYSA-N 0 2 303.307 0.985 20 0 DCADLN CCOC(=O)[C@H]1C[NH2+]CCN1C(=O)c1ccc(/C=C\C(=O)[O-])o1 ZINC001605530808 1169948664 /nfs/dbraw/zinc/94/86/64/1169948664.db2.gz GBGJMOKAMYDKKQ-VLWUPBBWSA-N 0 2 322.317 0.355 20 0 DCADLN CCc1nc(NC(=O)[C@]2(C)C[C@@H](O)C[N@@H+]2C)sc1C(=O)[O-] ZINC001605854073 1170012967 /nfs/dbraw/zinc/01/29/67/1170012967.db2.gz OLUBEPJNWNGULT-UHLUBPPHSA-N 0 2 313.379 0.797 20 0 DCADLN CCc1nc(NC(=O)[C@]2(C)C[C@@H](O)C[N@H+]2C)sc1C(=O)[O-] ZINC001605854073 1170012973 /nfs/dbraw/zinc/01/29/73/1170012973.db2.gz OLUBEPJNWNGULT-UHLUBPPHSA-N 0 2 313.379 0.797 20 0 DCADLN CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001605904867 1170024695 /nfs/dbraw/zinc/02/46/95/1170024695.db2.gz MGDIDIGILNJWGQ-DDHJBXDOSA-N 0 2 323.397 0.192 20 0 DCADLN CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001605904867 1170024698 /nfs/dbraw/zinc/02/46/98/1170024698.db2.gz MGDIDIGILNJWGQ-DDHJBXDOSA-N 0 2 323.397 0.192 20 0 DCADLN CN(C(=O)[C@@H]1C[C@@H]1C(=O)[O-])C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001605955777 1170033782 /nfs/dbraw/zinc/03/37/82/1170033782.db2.gz COGFBSGZQHQDBT-KGLIPLIRSA-N 0 2 320.393 0.564 20 0 DCADLN Cc1nn(C[C@@H]2C[C@]23CCOC3)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114403 1170079780 /nfs/dbraw/zinc/07/97/80/1170079780.db2.gz MUSYRQMXOWWGHJ-HZMBPMFUSA-N 0 2 302.338 0.467 20 0 DCADLN Cn1c(=O)[nH]c(NCC(C)(C)C2CC2)c(-c2nn[nH]n2)c1=O ZINC001606205654 1170112902 /nfs/dbraw/zinc/11/29/02/1170112902.db2.gz HQFDOZNNGHFYNV-UHFFFAOYSA-N 0 2 305.342 0.514 20 0 DCADLN C[NH+]1CCN(C(=O)c2cc(C(=O)[O-])nn2-c2ccccc2)CC1 ZINC001606234548 1170128827 /nfs/dbraw/zinc/12/88/27/1170128827.db2.gz NPMCADBYYZQRNK-UHFFFAOYSA-N 0 2 314.345 0.958 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1CC[C@H](OC(=O)N(C)C)C1 ZINC001606332155 1170161214 /nfs/dbraw/zinc/16/12/14/1170161214.db2.gz PZDKMJDFNCPXTP-UWVGGRQHSA-N 0 2 302.327 0.165 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1CC[C@H](OC(=O)N(C)C)C1 ZINC001606332155 1170161216 /nfs/dbraw/zinc/16/12/16/1170161216.db2.gz PZDKMJDFNCPXTP-UWVGGRQHSA-N 0 2 302.327 0.165 20 0 DCADLN COC(=O)[C@]1(OC)CC[N@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001606356490 1170169306 /nfs/dbraw/zinc/16/93/06/1170169306.db2.gz GTIJGCCOASRFRW-LBPRGKRZSA-N 0 2 300.336 0.605 20 0 DCADLN COC(=O)[C@]1(OC)CC[N@@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001606356490 1170169308 /nfs/dbraw/zinc/16/93/08/1170169308.db2.gz GTIJGCCOASRFRW-LBPRGKRZSA-N 0 2 300.336 0.605 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCCn1ccnc1C ZINC001606373593 1170173400 /nfs/dbraw/zinc/17/34/00/1170173400.db2.gz LLONUWUAGHZYAA-QWHCGFSZSA-N 0 2 309.366 0.920 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCCn1ccnc1C ZINC001606373593 1170173403 /nfs/dbraw/zinc/17/34/03/1170173403.db2.gz LLONUWUAGHZYAA-QWHCGFSZSA-N 0 2 309.366 0.920 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])CN1C(=O)CCCn1cc[nH+]c1 ZINC001606384124 1170176657 /nfs/dbraw/zinc/17/66/57/1170176657.db2.gz NBMBIMZXDQJGEQ-VXGBXAGGSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)Nc2cc(C)[nH+]cc2C)C[C@H]1C(=O)[O-] ZINC001606424401 1170195056 /nfs/dbraw/zinc/19/50/56/1170195056.db2.gz WGWPGFAMVOWJKU-MNOVXSKESA-N 0 2 321.333 0.458 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@H+](Cc2ncc(C3OCCO3)s2)C1 ZINC001606705428 1170269322 /nfs/dbraw/zinc/26/93/22/1170269322.db2.gz FKJKBFZATGBEMG-CYBMUJFWSA-N 0 2 314.363 0.864 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@@H+](Cc2ncc(C3OCCO3)s2)C1 ZINC001606705428 1170269327 /nfs/dbraw/zinc/26/93/27/1170269327.db2.gz FKJKBFZATGBEMG-CYBMUJFWSA-N 0 2 314.363 0.864 20 0 DCADLN COCCCNC(=O)C[NH2+][C@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC001606869832 1170318705 /nfs/dbraw/zinc/31/87/05/1170318705.db2.gz NBLDPNBEVOOXSZ-HNNXBMFYSA-N 0 2 322.361 0.490 20 0 DCADLN O=C(Nc1nc(C2CCC2)n[nH]1)c1ccnc(-c2nn[nH]n2)c1 ZINC001606950989 1170335417 /nfs/dbraw/zinc/33/54/17/1170335417.db2.gz WGRPALPGVOSCPT-UHFFFAOYSA-N 0 2 311.309 0.900 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1C[C@@H]1CCC[C@]2(CCOC2)O1 ZINC001607091878 1170388925 /nfs/dbraw/zinc/38/89/25/1170388925.db2.gz LWYABNFPBSLVLC-XHDPSFHLSA-N 0 2 317.349 0.757 20 0 DCADLN COc1ccc(F)cc1CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001607266965 1170420047 /nfs/dbraw/zinc/42/00/47/1170420047.db2.gz HTNHFNWBLTTZMJ-GFCCVEGCSA-N 0 2 321.308 0.843 20 0 DCADLN CSc1cccnc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001607413685 1170447440 /nfs/dbraw/zinc/44/74/40/1170447440.db2.gz QBBPSSOCTYVECW-SECBINFHSA-N 0 2 306.347 0.952 20 0 DCADLN CSc1cccnc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001607413685 1170447454 /nfs/dbraw/zinc/44/74/54/1170447454.db2.gz QBBPSSOCTYVECW-SECBINFHSA-N 0 2 306.347 0.952 20 0 DCADLN Cc1c(-c2cc(C[N@@H+]3CCC[C@@H](O)[C@@H]3C(=O)[O-])on2)cnn1C ZINC001607502726 1170468331 /nfs/dbraw/zinc/46/83/31/1170468331.db2.gz UFVLPEBFQROAIC-ZIAGYGMSSA-N 0 2 320.349 0.793 20 0 DCADLN Cc1c(-c2cc(C[N@H+]3CCC[C@@H](O)[C@@H]3C(=O)[O-])on2)cnn1C ZINC001607502726 1170468336 /nfs/dbraw/zinc/46/83/36/1170468336.db2.gz UFVLPEBFQROAIC-ZIAGYGMSSA-N 0 2 320.349 0.793 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CCC(O)(C2(C(=O)[O-])CCC2)CC1 ZINC001607499516 1170468443 /nfs/dbraw/zinc/46/84/43/1170468443.db2.gz MHKBETGCHSCGOQ-UHFFFAOYSA-N 0 2 321.377 0.869 20 0 DCADLN Cc1ccc(C(=O)C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001607724197 1170500545 /nfs/dbraw/zinc/50/05/45/1170500545.db2.gz GTYJJRCLYKVFGM-GFCCVEGCSA-N 0 2 301.302 0.644 20 0 DCADLN Cc1oc(C(=O)[O-])cc1C(=O)N1CC[NH2+][C@@H](c2cnn(C)c2)C1 ZINC001608033754 1170553505 /nfs/dbraw/zinc/55/35/05/1170553505.db2.gz BCDQCVCICNXOIQ-GFCCVEGCSA-N 0 2 318.333 0.806 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccccc2C(=O)[O-])C1 ZINC001608234938 1170623780 /nfs/dbraw/zinc/62/37/80/1170623780.db2.gz QQQJURCIRICWSF-SNVBAGLBSA-N 0 2 305.334 0.064 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccccc2C(=O)[O-])C1 ZINC001608234938 1170623783 /nfs/dbraw/zinc/62/37/83/1170623783.db2.gz QQQJURCIRICWSF-SNVBAGLBSA-N 0 2 305.334 0.064 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC[N@H+](CC(=O)N3CCCC3)CC2)CC1 ZINC001608331274 1170651852 /nfs/dbraw/zinc/65/18/52/1170651852.db2.gz NEBWHKOXMSHRPL-UHFFFAOYSA-N 0 2 323.393 0.008 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC[N@@H+](CC(=O)N3CCCC3)CC2)CC1 ZINC001608331274 1170651855 /nfs/dbraw/zinc/65/18/55/1170651855.db2.gz NEBWHKOXMSHRPL-UHFFFAOYSA-N 0 2 323.393 0.008 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CC[C@@H](NC(=O)NC3CC3)C2)cn1 ZINC001608405827 1170671142 /nfs/dbraw/zinc/67/11/42/1170671142.db2.gz DBRUPGOEJJHHGX-SNVBAGLBSA-N 0 2 305.338 0.211 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CC[C@@H](NC(=O)NC3CC3)C2)cn1 ZINC001608405827 1170671148 /nfs/dbraw/zinc/67/11/48/1170671148.db2.gz DBRUPGOEJJHHGX-SNVBAGLBSA-N 0 2 305.338 0.211 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)N[C@H]1CCn3cc[nH+]c3C1)C2 ZINC001608413646 1170673460 /nfs/dbraw/zinc/67/34/60/1170673460.db2.gz AOWGPGBCDNLHBE-LMCGPQHNSA-N 0 2 304.350 0.750 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@H](c1ccccc1)[NH+]1CCSCC1 ZINC001608485186 1170687925 /nfs/dbraw/zinc/68/79/25/1170687925.db2.gz BGRRGDKFKADVEH-STQMWFEESA-N 0 2 324.402 0.338 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2c1CCOC2 ZINC001608487082 1170688419 /nfs/dbraw/zinc/68/84/19/1170688419.db2.gz NZILXJZCZHRJGL-CQSZACIVSA-N 0 2 315.329 0.908 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2c1CCOC2 ZINC001608487082 1170688427 /nfs/dbraw/zinc/68/84/27/1170688427.db2.gz NZILXJZCZHRJGL-CQSZACIVSA-N 0 2 315.329 0.908 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccnn1CC1CCC1 ZINC001608486898 1170688504 /nfs/dbraw/zinc/68/85/04/1170688504.db2.gz LCPSJLVSZNXJOL-GFCCVEGCSA-N 0 2 317.349 0.832 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccnn1CC1CCC1 ZINC001608486898 1170688509 /nfs/dbraw/zinc/68/85/09/1170688509.db2.gz LCPSJLVSZNXJOL-GFCCVEGCSA-N 0 2 317.349 0.832 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCc2cccc(O)c21 ZINC001608543974 1170699276 /nfs/dbraw/zinc/69/92/76/1170699276.db2.gz URTJBYZOZQSLOF-VXGBXAGGSA-N 0 2 315.329 0.888 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[NH+]2CCC(N3CCCCC3=O)CC2)C1 ZINC001608597044 1170710996 /nfs/dbraw/zinc/71/09/96/1170710996.db2.gz GOSZUXJVDJTBRU-GFCCVEGCSA-N 0 2 323.393 0.354 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NC1C[NH+](CCOc2ccccc2)C1 ZINC001608612468 1170721788 /nfs/dbraw/zinc/72/17/88/1170721788.db2.gz WDUYKFWONCRBIU-KGLIPLIRSA-N 0 2 304.346 0.587 20 0 DCADLN O=C([O-])CCc1cccc(NC(=O)CN2CC[NH2+]CC2=O)c1 ZINC001608739723 1170747751 /nfs/dbraw/zinc/74/77/51/1170747751.db2.gz PLOMAZBKJKCMQH-UHFFFAOYSA-N 0 2 305.334 0.074 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C(F)(F)C1CCOCC1 ZINC001608800445 1170757091 /nfs/dbraw/zinc/75/70/91/1170757091.db2.gz VZKHBSDVXFXXEF-SNVBAGLBSA-N 0 2 317.292 0.584 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C(F)(F)C1CCOCC1 ZINC001608800445 1170757092 /nfs/dbraw/zinc/75/70/92/1170757092.db2.gz VZKHBSDVXFXXEF-SNVBAGLBSA-N 0 2 317.292 0.584 20 0 DCADLN O=C([O-])c1ccnc(NC[C@@]2([NH+]3CCOCC3)CCSC2)n1 ZINC001608953426 1170774639 /nfs/dbraw/zinc/77/46/39/1170774639.db2.gz JPQMJOTWHFVUBD-AWEZNQCLSA-N 0 2 324.406 0.795 20 0 DCADLN C[C@@H]1CCC[C@H]1CNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001609164609 1170835509 /nfs/dbraw/zinc/83/55/09/1170835509.db2.gz UMNHXEZWNKVTLD-BDAKNGLRSA-N 0 2 319.369 0.112 20 0 DCADLN CCc1nn(CC(=O)C2CCC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001609255579 1170900472 /nfs/dbraw/zinc/90/04/72/1170900472.db2.gz JSFXNQDBTYCMTM-UHFFFAOYSA-N 0 2 316.365 0.917 20 0 DCADLN Cc1n[nH]c([C@H]2CCCN(c3cncc(-c4nn[nH]n4)n3)C2)n1 ZINC001609449887 1170982859 /nfs/dbraw/zinc/98/28/59/1170982859.db2.gz MDCHXRZJYIOJBM-VIFPVBQESA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nc([C@H]2CCCN(c3cncc(-c4nn[nH]n4)n3)C2)n[nH]1 ZINC001609449887 1170982864 /nfs/dbraw/zinc/98/28/64/1170982864.db2.gz MDCHXRZJYIOJBM-VIFPVBQESA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nnc([C@H]2CCCN(c3cncc(-c4nn[nH]n4)n3)C2)[nH]1 ZINC001609449887 1170982866 /nfs/dbraw/zinc/98/28/66/1170982866.db2.gz MDCHXRZJYIOJBM-VIFPVBQESA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nn(Cc2noc(C3CC3)n2)c(=O)c(-c2nn[nH]n2)c1C ZINC001609459803 1170984695 /nfs/dbraw/zinc/98/46/95/1170984695.db2.gz VOONTEZSTLOVGJ-UHFFFAOYSA-N 0 2 314.309 0.349 20 0 DCADLN Cc1noc(C)c1CN(C)Cn1cccc(-c2nn[nH]n2)c1=O ZINC001609462841 1170987416 /nfs/dbraw/zinc/98/74/16/1170987416.db2.gz ZMIYMVXHVSQAGX-UHFFFAOYSA-N 0 2 315.337 0.723 20 0 DCADLN CC(C)(C)N1C[C@H](C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])CC1=O ZINC001609657202 1171060409 /nfs/dbraw/zinc/06/04/09/1171060409.db2.gz KBYYDYONMCDREI-MNOVXSKESA-N 0 2 322.365 0.100 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@@H+]1CCC[C@H](O)[C@H]1C(=O)[O-])C1CC1 ZINC001609725276 1171075399 /nfs/dbraw/zinc/07/53/99/1171075399.db2.gz VZJAHLDJOQRJBU-DGFSRKRXSA-N 0 2 310.394 0.686 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@H+]1CCC[C@H](O)[C@H]1C(=O)[O-])C1CC1 ZINC001609725276 1171075405 /nfs/dbraw/zinc/07/54/05/1171075405.db2.gz VZJAHLDJOQRJBU-DGFSRKRXSA-N 0 2 310.394 0.686 20 0 DCADLN C[C@]1(C(=O)[O-])CCCN(C(=O)C(=O)N2CC[NH+](C3CC3)CC2)C1 ZINC001609813532 1171091942 /nfs/dbraw/zinc/09/19/42/1171091942.db2.gz DYUREHWSDLKOTD-INIZCTEOSA-N 0 2 323.393 0.006 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC001609833412 1171099382 /nfs/dbraw/zinc/09/93/82/1171099382.db2.gz NJEDCNQSYNSFTN-NEPJUHHUSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC001609833412 1171099390 /nfs/dbraw/zinc/09/93/90/1171099390.db2.gz NJEDCNQSYNSFTN-NEPJUHHUSA-N 0 2 313.398 0.602 20 0 DCADLN CCn1cc(C(=O)C(=O)N2CC[NH+]([C@@H](C)CC(=O)[O-])CC2)cn1 ZINC001610086771 1171153511 /nfs/dbraw/zinc/15/35/11/1171153511.db2.gz WDBRWPYVZGGPLH-NSHDSACASA-N 0 2 322.365 0.093 20 0 DCADLN CN(C(=O)C[N@@H+]1CCCN(C=O)CC1)c1ccccc1C(=O)[O-] ZINC001610090766 1171155106 /nfs/dbraw/zinc/15/51/06/1171155106.db2.gz UVTWIPIOPMVZPG-UHFFFAOYSA-N 0 2 319.361 0.512 20 0 DCADLN CN(C(=O)C[N@H+]1CCCN(C=O)CC1)c1ccccc1C(=O)[O-] ZINC001610090766 1171155112 /nfs/dbraw/zinc/15/51/12/1171155112.db2.gz UVTWIPIOPMVZPG-UHFFFAOYSA-N 0 2 319.361 0.512 20 0 DCADLN CNC(=O)Cc1noc(C[NH2+][C@@H](C(=O)[O-])C2CCCCC2)n1 ZINC001610142997 1171174856 /nfs/dbraw/zinc/17/48/56/1171174856.db2.gz WEDZHIDSCGWAQP-CYBMUJFWSA-N 0 2 310.354 0.481 20 0 DCADLN CNc1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc(Cl)n1 ZINC001610147641 1171176868 /nfs/dbraw/zinc/17/68/68/1171176868.db2.gz HOFOEPWIFJOHPU-VIFPVBQESA-N 0 2 323.740 0.926 20 0 DCADLN CNc1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc(Cl)n1 ZINC001610147641 1171176870 /nfs/dbraw/zinc/17/68/70/1171176870.db2.gz HOFOEPWIFJOHPU-VIFPVBQESA-N 0 2 323.740 0.926 20 0 DCADLN Cc1cc(NC(=O)C(=O)NCCCn2cc[nH+]c2)c(C(=O)[O-])[nH]1 ZINC001610471235 1171239940 /nfs/dbraw/zinc/23/99/40/1171239940.db2.gz OFKLJDBZSBQMGL-UHFFFAOYSA-N 0 2 319.321 0.363 20 0 DCADLN Cc1ccc(C(=O)C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC001610483385 1171242466 /nfs/dbraw/zinc/24/24/66/1171242466.db2.gz RIEFOQRPOLVJGL-LBPRGKRZSA-N 0 2 301.302 0.713 20 0 DCADLN Cc1ccc(C(=O)C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001610483385 1171242474 /nfs/dbraw/zinc/24/24/74/1171242474.db2.gz RIEFOQRPOLVJGL-LBPRGKRZSA-N 0 2 301.302 0.713 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC001610532344 1171248052 /nfs/dbraw/zinc/24/80/52/1171248052.db2.gz FULPLZDTYLEFML-UONOGXRCSA-N 0 2 306.362 0.174 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC001610532344 1171248055 /nfs/dbraw/zinc/24/80/55/1171248055.db2.gz FULPLZDTYLEFML-UONOGXRCSA-N 0 2 306.362 0.174 20 0 DCADLN Cc1cccc2nc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])cn21 ZINC001610527579 1171248297 /nfs/dbraw/zinc/24/82/97/1171248297.db2.gz OQOVXFGOXFEOKR-LBPRGKRZSA-N 0 2 313.317 0.722 20 0 DCADLN Cn1cc(C[NH+]2CCN(c3ncc(C(=O)[O-])cn3)CC2)cn1 ZINC001610603177 1171264004 /nfs/dbraw/zinc/26/40/04/1171264004.db2.gz VZCQQACURNHIHF-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN Cn1c[nH+]cc1CC(=O)NC[C@@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC001610611433 1171267587 /nfs/dbraw/zinc/26/75/87/1171267587.db2.gz IAUGAZSUKITBMY-GFCCVEGCSA-N 0 2 317.345 0.728 20 0 DCADLN Cn1nccc1[C@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(=O)[O-] ZINC001610616154 1171269429 /nfs/dbraw/zinc/26/94/29/1171269429.db2.gz SQNJMHFVELEASA-SKDRFNHKSA-N 0 2 303.322 0.121 20 0 DCADLN O=C([O-])[C@]1(C(F)(F)F)CC[N@H+](CC(=O)Nc2ccncc2)C1 ZINC001610662764 1171283581 /nfs/dbraw/zinc/28/35/81/1171283581.db2.gz POXMFZXZQFOWMW-LBPRGKRZSA-N 0 2 317.267 0.781 20 0 DCADLN O=C([O-])[C@]1(C(F)(F)F)CC[N@@H+](CC(=O)Nc2ccncc2)C1 ZINC001610662764 1171283585 /nfs/dbraw/zinc/28/35/85/1171283585.db2.gz POXMFZXZQFOWMW-LBPRGKRZSA-N 0 2 317.267 0.781 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@H+]1CCC[C@](O)(CO)C1 ZINC001610664888 1171285277 /nfs/dbraw/zinc/28/52/77/1171285277.db2.gz ZWIHHWXMKRYYET-OAHLLOKOSA-N 0 2 308.334 0.143 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@@H+]1CCC[C@](O)(CO)C1 ZINC001610664888 1171285282 /nfs/dbraw/zinc/28/52/82/1171285282.db2.gz ZWIHHWXMKRYYET-OAHLLOKOSA-N 0 2 308.334 0.143 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1cnn2ccccc12 ZINC001610675872 1171287716 /nfs/dbraw/zinc/28/77/16/1171287716.db2.gz WQQPLKXNKBVRNQ-LBPRGKRZSA-N 0 2 313.317 0.412 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1cnn2ccccc12 ZINC001610675872 1171287723 /nfs/dbraw/zinc/28/77/23/1171287723.db2.gz WQQPLKXNKBVRNQ-LBPRGKRZSA-N 0 2 313.317 0.412 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001610681091 1171290457 /nfs/dbraw/zinc/29/04/57/1171290457.db2.gz DVCDKPWJQAZMKU-JTQLQIEISA-N 0 2 316.273 0.613 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc2c(c1)nc[nH]c2=O ZINC001634591017 1171678620 /nfs/dbraw/zinc/67/86/20/1171678620.db2.gz NKZLQNJUKQHHAN-UHFFFAOYSA-N 0 2 317.330 0.980 20 0 DCADLN CN(CCCCCO)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001639639857 1171845149 /nfs/dbraw/zinc/84/51/49/1171845149.db2.gz DSJSZAUYFYTUJR-UHFFFAOYSA-N 0 2 320.349 0.501 20 0 DCADLN O=C(Cc1nnc(C2CC2)[nH]1)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC001641602333 1171922354 /nfs/dbraw/zinc/92/23/54/1171922354.db2.gz UMJAQOFRNWZDFO-CYBMUJFWSA-N 0 2 324.348 0.644 20 0 DCADLN O=C(N[C@H](c1nn[nH]n1)c1ccccc1)c1cncc2[nH]cnc21 ZINC001641601672 1171922836 /nfs/dbraw/zinc/92/28/36/1171922836.db2.gz HGSZQAONFMVCHO-LBPRGKRZSA-N 0 2 320.316 0.990 20 0 DCADLN COCCOc1ccccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001642460305 1171946560 /nfs/dbraw/zinc/94/65/60/1171946560.db2.gz UAJMFQAQIBLPNW-UHFFFAOYSA-N 0 2 319.317 0.843 20 0 DCADLN Cc1cnc2c(C(=O)NCc3nc(O)cc(=O)[nH]3)cnn2c1 ZINC001642459990 1171947134 /nfs/dbraw/zinc/94/71/34/1171947134.db2.gz GNRFASFCVHXMDY-UHFFFAOYSA-N 0 2 300.278 0.169 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)Nn2ccc(=O)[nH]c2=O)o1 ZINC001642619889 1171952588 /nfs/dbraw/zinc/95/25/88/1171952588.db2.gz SIYBPHDYKMSNJZ-HYXAFXHYSA-N 0 2 305.246 0.112 20 0 DCADLN CN(C(=O)NC[C@H]1CCCC[N@H+]1C)[C@H]1CC[NH+]2CCO[C@H]1C2 ZINC001646820431 1172550284 /nfs/dbraw/zinc/55/02/84/1172550284.db2.gz RPLOECRUUXFLQO-ILXRZTDVSA-N 0 2 310.442 0.585 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1c[nH]c(C(=O)N2CCCC2)c1 ZINC001647104502 1172677030 /nfs/dbraw/zinc/67/70/30/1172677030.db2.gz WWICVBYJLDSMKP-UHFFFAOYSA-N 0 2 304.310 0.004 20 0 DCADLN O=C(NCc1nc2c(c(=O)[nH]1)COCC2)C(F)C(F)(F)F ZINC001647351556 1172832406 /nfs/dbraw/zinc/83/24/06/1172832406.db2.gz KCTLHXSNFFMKBJ-QMMMGPOBSA-N 0 2 309.219 0.772 20 0 DCADLN O=C(NCc1nc2c(c(=O)[nH]1)COCC2)[C@H](F)C(F)(F)F ZINC001647351556 1172832408 /nfs/dbraw/zinc/83/24/08/1172832408.db2.gz KCTLHXSNFFMKBJ-QMMMGPOBSA-N 0 2 309.219 0.772 20 0 DCADLN COCn1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001649900070 1173292014 /nfs/dbraw/zinc/29/20/14/1173292014.db2.gz YDOFZKGJZSPGOE-VIFPVBQESA-N 0 2 306.326 0.331 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cnc(Cl)cc2Cl)n1 ZINC001656764548 1173879553 /nfs/dbraw/zinc/87/95/53/1173879553.db2.gz NWFFLWWVDCQTIB-UHFFFAOYSA-N 0 2 309.138 0.713 20 0 DCADLN CCC/C=C/CC[NH+]1CC(NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001665220289 1174492960 /nfs/dbraw/zinc/49/29/60/1174492960.db2.gz OYSMAXJJOVFUAK-SNAWJCMRSA-N 0 2 309.370 0.236 20 0 DCADLN CCc1nnc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001668780449 1174837401 /nfs/dbraw/zinc/83/74/01/1174837401.db2.gz SKWCETLUTZSLRK-SNAWJCMRSA-N 0 2 320.353 0.445 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NCCCC2CC2)[nH]1 ZINC001673970027 1175243658 /nfs/dbraw/zinc/24/36/58/1175243658.db2.gz BNJVBNFDTMNDTL-UHFFFAOYSA-N 0 2 315.399 0.339 20 0 DCADLN CCCOCC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001675649800 1175384961 /nfs/dbraw/zinc/38/49/61/1175384961.db2.gz WMTMIEORCSQIDJ-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN CCCOCC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001675649800 1175384964 /nfs/dbraw/zinc/38/49/64/1175384964.db2.gz WMTMIEORCSQIDJ-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN C[C@H](CO)CCCNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001681304872 1175887822 /nfs/dbraw/zinc/88/78/22/1175887822.db2.gz NSNQWSKINZSKGI-QWRGUYRKSA-N 0 2 311.386 0.808 20 0 DCADLN C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001682397024 1175936584 /nfs/dbraw/zinc/93/65/84/1175936584.db2.gz KHWAYDBTPSVWGY-DHNCZSJJSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001682397024 1175936588 /nfs/dbraw/zinc/93/65/88/1175936588.db2.gz KHWAYDBTPSVWGY-DHNCZSJJSA-N 0 2 312.263 0.541 20 0 DCADLN O=C(NCCCc1nnc[nH]1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001683850289 1175974407 /nfs/dbraw/zinc/97/44/07/1175974407.db2.gz KHHIHYTVWNYSIQ-SECBINFHSA-N 0 2 320.357 0.150 20 0 DCADLN Cc1c(C(=O)NCCCNC(=O)C(F)C(F)(F)F)cnn1C ZINC001703316012 1179463369 /nfs/dbraw/zinc/46/33/69/1179463369.db2.gz UGADBPFFHKNGKA-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN Cc1c(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)cnn1C ZINC001703316012 1179463374 /nfs/dbraw/zinc/46/33/74/1179463374.db2.gz UGADBPFFHKNGKA-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)Cc1cnn(C)n1 ZINC001707376831 1180466239 /nfs/dbraw/zinc/46/62/39/1180466239.db2.gz KABILLQZIPEMNM-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN CCCC[C@@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001718243844 1183174321 /nfs/dbraw/zinc/17/43/21/1183174321.db2.gz VTPSWKQMDBDGKA-NKWVEPMBSA-N 0 2 315.267 0.163 20 0 DCADLN CCCC[C@@H](CNC(=O)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001718243844 1183174325 /nfs/dbraw/zinc/17/43/25/1183174325.db2.gz VTPSWKQMDBDGKA-NKWVEPMBSA-N 0 2 315.267 0.163 20 0 DCADLN O=C(C=C1CCC1)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001719103164 1183427845 /nfs/dbraw/zinc/42/78/45/1183427845.db2.gz GQPNTCIBJAXYGB-FXPVBKGRSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(C=C1CCC1)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001719103164 1183427848 /nfs/dbraw/zinc/42/78/48/1183427848.db2.gz GQPNTCIBJAXYGB-FXPVBKGRSA-N 0 2 324.274 0.685 20 0 DCADLN CN(CCC[NH2+]Cc1n[nH]c(=O)[n-]1)C(=O)C(F)C(F)(F)F ZINC001723028575 1184251399 /nfs/dbraw/zinc/25/13/99/1184251399.db2.gz COQCPDXOKVDHSZ-ZETCQYMHSA-N 0 2 313.255 0.349 20 0 DCADLN CC/C(C)=C/C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001724863108 1184594654 /nfs/dbraw/zinc/59/46/54/1184594654.db2.gz LCIXEHMHYCUYMB-IZZDOVSWSA-N 0 2 321.381 0.280 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccnc(F)c1 ZINC001754301625 1188813471 /nfs/dbraw/zinc/81/34/71/1188813471.db2.gz SABFADBEWTXASY-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccnc(F)c1 ZINC001754301625 1188813474 /nfs/dbraw/zinc/81/34/74/1188813474.db2.gz SABFADBEWTXASY-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001756510030 1189366472 /nfs/dbraw/zinc/36/64/72/1189366472.db2.gz CMBABHOSEDNVSB-BDAKNGLRSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CC=CC1)[C@H](F)C(F)(F)F ZINC001756510030 1189366482 /nfs/dbraw/zinc/36/64/82/1189366482.db2.gz CMBABHOSEDNVSB-BDAKNGLRSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(C=C1CCC1)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001756512555 1189369659 /nfs/dbraw/zinc/36/96/59/1189369659.db2.gz UMQOWGWNVLDZTC-SCZZXKLOSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001756512555 1189369664 /nfs/dbraw/zinc/36/96/64/1189369664.db2.gz UMQOWGWNVLDZTC-SCZZXKLOSA-N 0 2 312.263 0.590 20 0 DCADLN CCC(=O)N[C@@H](C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001758027853 1189687071 /nfs/dbraw/zinc/68/70/71/1189687071.db2.gz NPELWVHIRQWPAA-POYBYMJQSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)N[C@@H](C)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758027853 1189687074 /nfs/dbraw/zinc/68/70/74/1189687074.db2.gz NPELWVHIRQWPAA-POYBYMJQSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NC(C)(C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001758027869 1189687289 /nfs/dbraw/zinc/68/72/89/1189687289.db2.gz OEEGUBYNMYIQBU-ZETCQYMHSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NC(C)(C)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001758027869 1189687292 /nfs/dbraw/zinc/68/72/92/1189687292.db2.gz OEEGUBYNMYIQBU-ZETCQYMHSA-N 0 2 315.267 0.034 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)C(=O)[C@@H]1CC1(F)F ZINC001758419535 1189879734 /nfs/dbraw/zinc/87/97/34/1189879734.db2.gz DWFGMFRDZOITLO-JTQLQIEISA-N 0 2 314.336 0.881 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnc2ccccc2n1 ZINC001771614586 1190451315 /nfs/dbraw/zinc/45/13/15/1190451315.db2.gz CPCPBZVTCWXUSA-UHFFFAOYSA-N 0 2 321.300 0.653 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)n1 ZINC000382625555 1190698994 /nfs/dbraw/zinc/69/89/94/1190698994.db2.gz HULBNVQWNNKQBH-LBPRGKRZSA-N 0 2 319.361 0.717 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c(C)nn1 ZINC001575254449 1163955609 /nfs/dbraw/zinc/95/56/09/1163955609.db2.gz ISXSVBJFYHRLRV-SECBINFHSA-N 0 2 322.262 0.840 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c(C)nn1 ZINC001575254449 1163955616 /nfs/dbraw/zinc/95/56/16/1163955616.db2.gz ISXSVBJFYHRLRV-SECBINFHSA-N 0 2 322.262 0.840 20 0 DCADLN CN(C(=O)C1CC(F)(F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042594649 751767993 /nfs/dbraw/zinc/76/79/93/751767993.db2.gz DWDCRVIHAZHKTQ-UHFFFAOYSA-N 0 2 301.297 0.198 20 0 DCADLN C[C@H]1CCC[C@H]1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042768455 751897575 /nfs/dbraw/zinc/89/75/75/751897575.db2.gz UIXKZNHZJRCVSH-QWRGUYRKSA-N 0 2 307.398 0.979 20 0 DCADLN CCCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001048957995 755040213 /nfs/dbraw/zinc/04/02/13/755040213.db2.gz QCTJTTRXWHEHFY-OYNCUSHFSA-N 0 2 314.279 0.717 20 0 DCADLN CCCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001048957995 755040219 /nfs/dbraw/zinc/04/02/19/755040219.db2.gz QCTJTTRXWHEHFY-OYNCUSHFSA-N 0 2 314.279 0.717 20 0 DCADLN CN(C(=O)c1cc(F)ccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042927266 751986437 /nfs/dbraw/zinc/98/64/37/751986437.db2.gz AINKDNRDGLMZIG-UHFFFAOYSA-N 0 2 323.303 0.745 20 0 DCADLN Cc1nc(C)c(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)c3n[nH]cc3F)C2)o1 ZINC001077635733 752144752 /nfs/dbraw/zinc/14/47/52/752144752.db2.gz MGZYEEWCHOPSAY-GHMZBOCLSA-N 0 2 323.328 0.129 20 0 DCADLN COc1ncccc1C[NH2+]CCCNC(=O)Cc1c[nH]c[nH+]1 ZINC001167355477 747128497 /nfs/dbraw/zinc/12/84/97/747128497.db2.gz KTWRKEPAEALQEU-UHFFFAOYSA-N 0 2 303.366 0.652 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2ccc(Cn3cccn3)o2)C(=O)N1C ZINC001167404879 747162599 /nfs/dbraw/zinc/16/25/99/747162599.db2.gz JHEJEXBYFLFBOG-VIFPVBQESA-N 0 2 317.305 0.452 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)[C@@H]1C[C@@H](c2ccccc2)OC1=O ZINC001167491682 747302883 /nfs/dbraw/zinc/30/28/83/747302883.db2.gz DUFFGXXOVURZJS-QWRGUYRKSA-N 0 2 316.317 0.218 20 0 DCADLN Cc1nc2ccc(S(=O)(=O)Nc3nnn(C)n3)cc2s1 ZINC001213603392 747324691 /nfs/dbraw/zinc/32/46/91/747324691.db2.gz ZLRMZZQRAQHXMU-UHFFFAOYSA-N 0 2 310.364 0.929 20 0 DCADLN Cc1cncc(C)c1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043339216 752186188 /nfs/dbraw/zinc/18/61/88/752186188.db2.gz XRNVUKUMHOQXRE-UHFFFAOYSA-N 0 2 316.365 0.479 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(NCC[NH+]2CCSCC2)cc1 ZINC001167840136 748417295 /nfs/dbraw/zinc/41/72/95/748417295.db2.gz ZQVVUFKUICLNGU-UHFFFAOYSA-N 0 2 302.336 0.950 20 0 DCADLN O=[P@]([O-])(O)c1ccc(NCC[NH+]2CCSCC2)cc1 ZINC001167840136 748417300 /nfs/dbraw/zinc/41/73/00/748417300.db2.gz ZQVVUFKUICLNGU-UHFFFAOYSA-N 0 2 302.336 0.950 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H]2CCCO2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087495059 748851442 /nfs/dbraw/zinc/85/14/42/748851442.db2.gz PMUPLAYXJFAXHU-HBNTYKKESA-N 0 2 309.370 0.158 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H]2CCCO2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087495059 748851447 /nfs/dbraw/zinc/85/14/47/748851447.db2.gz PMUPLAYXJFAXHU-HBNTYKKESA-N 0 2 309.370 0.158 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)C[NH+]2CCCC2)CC[N@H+]1CC(=O)N1CCC1 ZINC001087829467 749071383 /nfs/dbraw/zinc/07/13/83/749071383.db2.gz DTOZOVYEQJJFAZ-LSDHHAIUSA-N 0 2 322.453 0.284 20 0 DCADLN Cc1occc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088460400 749467696 /nfs/dbraw/zinc/46/76/96/749467696.db2.gz LKLZAZQUGSGLOX-KCJUWKMLSA-N 0 2 305.338 0.805 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@H]2C=CCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088462370 749470036 /nfs/dbraw/zinc/47/00/36/749470036.db2.gz RROZNWQPFJXZFB-WOPDTQHZSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@H]2C=CCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088462370 749470038 /nfs/dbraw/zinc/47/00/38/749470038.db2.gz RROZNWQPFJXZFB-WOPDTQHZSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CC=CCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088465072 749472637 /nfs/dbraw/zinc/47/26/37/749472637.db2.gz DOCZFFRYDVVWOY-WOPDTQHZSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CC=CCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088465072 749472641 /nfs/dbraw/zinc/47/26/41/749472641.db2.gz DOCZFFRYDVVWOY-WOPDTQHZSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccoc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088537611 749561497 /nfs/dbraw/zinc/56/14/97/749561497.db2.gz HDBHMIUYKJQIEJ-KOLCDFICSA-N 0 2 305.338 0.425 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccoc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088537611 749561499 /nfs/dbraw/zinc/56/14/99/749561499.db2.gz HDBHMIUYKJQIEJ-KOLCDFICSA-N 0 2 305.338 0.425 20 0 DCADLN Cc1nnccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088708786 749937295 /nfs/dbraw/zinc/93/72/95/749937295.db2.gz CQHBQWSLTNLJJI-KOLCDFICSA-N 0 2 317.353 0.002 20 0 DCADLN Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@@H]1C[N@@H+](CCCF)C[C@@H]1O)C2 ZINC001083584205 750417329 /nfs/dbraw/zinc/41/73/29/750417329.db2.gz MCQXXRBYYBOEEK-GLQYFDAESA-N 0 2 324.400 0.344 20 0 DCADLN Cc1nc(NC[C@H](O)CNC(=O)[C@H](C2CC2)[NH+](C)C)cc[nH+]1 ZINC001105995230 750631609 /nfs/dbraw/zinc/63/16/09/750631609.db2.gz QCSVIDGAJOFFNF-JSGCOSHPSA-N 0 2 307.398 0.014 20 0 DCADLN Cc1nc(NC[C@@H](O)CNC(=O)[C@H](C2CC2)[NH+](C)C)cc[nH+]1 ZINC001105995231 750632075 /nfs/dbraw/zinc/63/20/75/750632075.db2.gz QCSVIDGAJOFFNF-OCCSQVGLSA-N 0 2 307.398 0.014 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)c(C)o1 ZINC001088938584 750739585 /nfs/dbraw/zinc/73/95/85/750739585.db2.gz WHXCFTWPYKSFMH-XCBNKYQSSA-N 0 2 320.353 0.508 20 0 DCADLN CO[C@H]1CCC[C@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043691058 752351316 /nfs/dbraw/zinc/35/13/16/752351316.db2.gz HJSNWLCWIUCSIU-JQWIXIFHSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@H]1CCC[C@@]1(C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043774902 752384578 /nfs/dbraw/zinc/38/45/78/752384578.db2.gz OCACLRIECUPMEG-MEBBXXQBSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@]1(CNC(=O)/C=C/C2CC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107841578 752408387 /nfs/dbraw/zinc/40/83/87/752408387.db2.gz PIQWCBYHNMBHFA-RGDDUWESSA-N 0 2 321.381 0.184 20 0 DCADLN COC(=O)c1ccc(N)cc1S(=O)(=O)Nc1cccnc1N ZINC001212408567 752743876 /nfs/dbraw/zinc/74/38/76/752743876.db2.gz HGPNFBOJRBBKQP-UHFFFAOYSA-N 0 2 322.346 0.833 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H]2C[NH2+]Cc2cnon2)c1[O-] ZINC001044998124 752984758 /nfs/dbraw/zinc/98/47/58/752984758.db2.gz HYOBRGLMDUBDPU-JTQLQIEISA-N 0 2 306.326 0.201 20 0 DCADLN CC[C@@H](F)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC001078018185 752984951 /nfs/dbraw/zinc/98/49/51/752984951.db2.gz RSDCRGORFCFPSA-UXIGCNINSA-N 0 2 324.400 0.671 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H]1CCOC1)NC(=O)C(F)C(F)(F)F ZINC001078189058 753098193 /nfs/dbraw/zinc/09/81/93/753098193.db2.gz OUXHZUGVTNGDCG-DJLDLDEBSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H]1CCOC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001078189058 753098201 /nfs/dbraw/zinc/09/82/01/753098201.db2.gz OUXHZUGVTNGDCG-DJLDLDEBSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001071886450 762202559 /nfs/dbraw/zinc/20/25/59/762202559.db2.gz DLDZQVSVQSFQJP-ZJUUUORDSA-N 0 2 319.369 0.325 20 0 DCADLN CCc1cc(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001046156061 753515380 /nfs/dbraw/zinc/51/53/80/753515380.db2.gz LZMLBLVYMCTANU-CQSZACIVSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1cc(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001046156061 753515382 /nfs/dbraw/zinc/51/53/82/753515382.db2.gz LZMLBLVYMCTANU-CQSZACIVSA-N 0 2 319.369 0.190 20 0 DCADLN CCn1cc(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001046208069 753558683 /nfs/dbraw/zinc/55/86/83/753558683.db2.gz PYTSMTLSGPBVPB-AWEZNQCLSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001046208069 753558686 /nfs/dbraw/zinc/55/86/86/753558686.db2.gz PYTSMTLSGPBVPB-AWEZNQCLSA-N 0 2 319.369 0.121 20 0 DCADLN CCc1nc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001046255042 753590962 /nfs/dbraw/zinc/59/09/62/753590962.db2.gz ONLMFLRKDVSTEO-AWEZNQCLSA-N 0 2 320.353 0.455 20 0 DCADLN CCn1nccc1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046265117 753600044 /nfs/dbraw/zinc/60/00/44/753600044.db2.gz SEJNJMKSLMCNPZ-CQSZACIVSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1nccc1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046265117 753600050 /nfs/dbraw/zinc/60/00/50/753600050.db2.gz SEJNJMKSLMCNPZ-CQSZACIVSA-N 0 2 319.369 0.121 20 0 DCADLN C[C@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C(F)(F)F ZINC001113289879 762229232 /nfs/dbraw/zinc/22/92/32/762229232.db2.gz LDISARIEXYCQLD-MRVPVSSYSA-N 0 2 321.303 0.743 20 0 DCADLN Cc1noc([C@@H](C)N2CC[C@](C)(NC(=O)c3cnn[nH]3)C2)n1 ZINC001046441469 753721221 /nfs/dbraw/zinc/72/12/21/753721221.db2.gz KJIHXQHLPINVEJ-OQPBUACISA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@@H](C)[N@@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046441469 753721222 /nfs/dbraw/zinc/72/12/22/753721222.db2.gz KJIHXQHLPINVEJ-OQPBUACISA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@@H](C)[N@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046441469 753721224 /nfs/dbraw/zinc/72/12/24/753721224.db2.gz KJIHXQHLPINVEJ-OQPBUACISA-N 0 2 305.342 0.452 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O)C1CCCCC1 ZINC001090035113 753752316 /nfs/dbraw/zinc/75/23/16/753752316.db2.gz UKAVYMQUGPQTTI-RYUDHWBXSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1ccccc1C[N@H+]1C[C@@H](NC(=O)c2nc[nH]n2)[C@@H](O)C1 ZINC001083873550 754847398 /nfs/dbraw/zinc/84/73/98/754847398.db2.gz FHPVIOOYWRRNJR-OLZOCXBDSA-N 0 2 301.350 0.088 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1C[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@@H]2C1 ZINC001048727067 754899891 /nfs/dbraw/zinc/89/98/91/754899891.db2.gz GOZWEGMQHCDIRR-AOOOYVTPSA-N 0 2 321.381 0.016 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1C[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@@H]2C1 ZINC001048727067 754899893 /nfs/dbraw/zinc/89/98/93/754899893.db2.gz GOZWEGMQHCDIRR-AOOOYVTPSA-N 0 2 321.381 0.016 20 0 DCADLN CSCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049310874 755220360 /nfs/dbraw/zinc/22/03/60/755220360.db2.gz BSFCDJOFGCHJRI-NXEZZACHSA-N 0 2 311.411 0.439 20 0 DCADLN CSCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049310874 755220363 /nfs/dbraw/zinc/22/03/63/755220363.db2.gz BSFCDJOFGCHJRI-NXEZZACHSA-N 0 2 311.411 0.439 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096968198 755557996 /nfs/dbraw/zinc/55/79/96/755557996.db2.gz BPJZBVIRVSIZTO-DBIOUOCHSA-N 0 2 305.382 0.778 20 0 DCADLN Cc1ccncc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079524781 755898023 /nfs/dbraw/zinc/89/80/23/755898023.db2.gz QKMOHVHKQBTZQB-ZYHUDNBSSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1ccncc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079524781 755898027 /nfs/dbraw/zinc/89/80/27/755898027.db2.gz QKMOHVHKQBTZQB-ZYHUDNBSSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)no1 ZINC001079577302 755914706 /nfs/dbraw/zinc/91/47/06/755914706.db2.gz AFNDJKLLEBWDAB-PRHODGIISA-N 0 2 319.365 0.970 20 0 DCADLN Cc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)no1 ZINC001079577302 755914710 /nfs/dbraw/zinc/91/47/10/755914710.db2.gz AFNDJKLLEBWDAB-PRHODGIISA-N 0 2 319.365 0.970 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)co1 ZINC001079624717 755938082 /nfs/dbraw/zinc/93/80/82/755938082.db2.gz UQBJBYYAQGVIIA-LDYMZIIASA-N 0 2 305.338 0.662 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCOC2(CN(CCF)C2)C1 ZINC001053263680 755964132 /nfs/dbraw/zinc/96/41/32/755964132.db2.gz UTFHWEJBZGYYGE-MRVPVSSYSA-N 0 2 302.243 0.770 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCOC2(CN(CCF)C2)C1 ZINC001053263680 755964134 /nfs/dbraw/zinc/96/41/34/755964134.db2.gz UTFHWEJBZGYYGE-MRVPVSSYSA-N 0 2 302.243 0.770 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001080481498 756226534 /nfs/dbraw/zinc/22/65/34/756226534.db2.gz DTFPAOVNDZSDSJ-OOCWMUITSA-N 0 2 321.381 0.014 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001080481498 756226536 /nfs/dbraw/zinc/22/65/36/756226536.db2.gz DTFPAOVNDZSDSJ-OOCWMUITSA-N 0 2 321.381 0.014 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]2C)[nH]1 ZINC001054566828 756544427 /nfs/dbraw/zinc/54/44/27/756544427.db2.gz BWXDIVUNGMMFPK-XVKPBYJWSA-N 0 2 319.369 0.101 20 0 DCADLN Cc1nonc1C[NH2+][C@H]1CN(C(=O)c2n[nH]c(C)c2[O-])C[C@H]1C ZINC001054574287 756546090 /nfs/dbraw/zinc/54/60/90/756546090.db2.gz QKTUDANCSMFVNO-HQJQHLMTSA-N 0 2 320.353 0.365 20 0 DCADLN Cc1cc[nH]c1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084318205 757783766 /nfs/dbraw/zinc/78/37/66/757783766.db2.gz NNGYWGROAFUKHR-GHMZBOCLSA-N 0 2 316.365 0.493 20 0 DCADLN CC1(C)CC[C@H]1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084593579 757923883 /nfs/dbraw/zinc/92/38/83/757923883.db2.gz CVWVTWZSAUDAHI-GRYCIOLGSA-N 0 2 319.409 0.979 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001051208079 758512994 /nfs/dbraw/zinc/51/29/94/758512994.db2.gz XMRFLSHZXRSCOA-SECBINFHSA-N 0 2 306.322 0.379 20 0 DCADLN CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001051208079 758512996 /nfs/dbraw/zinc/51/29/96/758512996.db2.gz XMRFLSHZXRSCOA-SECBINFHSA-N 0 2 306.322 0.379 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccncc1F ZINC001085528428 759001107 /nfs/dbraw/zinc/00/11/07/759001107.db2.gz YLSPQKMRTXWYHJ-SECBINFHSA-N 0 2 320.328 0.391 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CCC1(F)F ZINC001085663658 759173166 /nfs/dbraw/zinc/17/31/66/759173166.db2.gz PPENFDLBTZQONH-IUCAKERBSA-N 0 2 315.324 0.588 20 0 DCADLN Cc1ncccc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085794390 759325299 /nfs/dbraw/zinc/32/52/99/759325299.db2.gz XYGBUWRZOAMIPI-LLVKDONJSA-N 0 2 316.365 0.560 20 0 DCADLN CCN(CC)C(=O)C[C@@H](C)[NH2+][C@@H](CCC(=O)[O-])C(=O)OC ZINC001169438310 760678139 /nfs/dbraw/zinc/67/81/39/760678139.db2.gz VBQKWYBCWHUPEN-MNOVXSKESA-N 0 2 302.371 0.629 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cncnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071447419 761865112 /nfs/dbraw/zinc/86/51/12/761865112.db2.gz MQGPALFSILLWDO-GXSJLCMTSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)Cc2ccoc2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071451196 761868936 /nfs/dbraw/zinc/86/89/36/761868936.db2.gz QGHVTJTWJGQTFN-JQWIXIFHSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)Cc2ccoc2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071451196 761868944 /nfs/dbraw/zinc/86/89/44/761868944.db2.gz QGHVTJTWJGQTFN-JQWIXIFHSA-N 0 2 319.365 0.815 20 0 DCADLN O=C(CCC1CCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099776632 763030105 /nfs/dbraw/zinc/03/01/05/763030105.db2.gz VNZVVFHBAMRHIM-NEPJUHHUSA-N 0 2 323.397 0.142 20 0 DCADLN CCC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CCC1 ZINC001099964172 763170702 /nfs/dbraw/zinc/17/07/02/763170702.db2.gz HVRIZEKPHSSAFJ-GHMZBOCLSA-N 0 2 323.397 0.142 20 0 DCADLN COCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131853121 764079458 /nfs/dbraw/zinc/07/94/58/764079458.db2.gz RPKRYPFVXSLUJM-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN COCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131853121 764079466 /nfs/dbraw/zinc/07/94/66/764079466.db2.gz RPKRYPFVXSLUJM-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN Cn1c(=O)cc(C(=O)OCc2n[nH]c(=O)[nH]2)c2ccccc21 ZINC001116476871 765874701 /nfs/dbraw/zinc/87/47/01/765874701.db2.gz JNCHLSGEOSJPGB-UHFFFAOYSA-N 0 2 300.274 0.719 20 0 DCADLN O=C(N[C@@H]1CN(c2ncccn2)C[C@@H]1O)C(F)C(F)(F)F ZINC001091190778 767014331 /nfs/dbraw/zinc/01/43/31/767014331.db2.gz XNTRSZGLEJZXFN-GJMOJQLCSA-N 0 2 308.235 0.043 20 0 DCADLN O=C(N[C@@H]1CN(c2ncccn2)C[C@@H]1O)[C@@H](F)C(F)(F)F ZINC001091190778 767014344 /nfs/dbraw/zinc/01/43/44/767014344.db2.gz XNTRSZGLEJZXFN-GJMOJQLCSA-N 0 2 308.235 0.043 20 0 DCADLN Cc1cc(N[C@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)nc(CO)n1 ZINC001120713216 767156767 /nfs/dbraw/zinc/15/67/67/767156767.db2.gz ZPZRCFNCSWUMMO-LLVKDONJSA-N 0 2 318.381 0.502 20 0 DCADLN O=C(NCC[NH2+]Cc1ncccn1)c1ccc2oc(=O)nc-2[n-]1 ZINC001147705532 767828246 /nfs/dbraw/zinc/82/82/46/767828246.db2.gz JOEVHNCKFBZESZ-UHFFFAOYSA-N 0 2 314.305 0.238 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cc3c[nH]ccc-3n2)C1=O ZINC001139682627 768485778 /nfs/dbraw/zinc/48/57/78/768485778.db2.gz GONJCUKRMGBRGL-AWEZNQCLSA-N 0 2 301.306 0.928 20 0 DCADLN O=C(NCCNc1ncnc2[nH]cnc21)c1ccc2n[nH]nc2c1 ZINC001093630279 768663544 /nfs/dbraw/zinc/66/35/44/768663544.db2.gz GRIPGDPVJXNCFY-UHFFFAOYSA-N 0 2 323.320 0.418 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)COC1CCCC1 ZINC001230900166 768905550 /nfs/dbraw/zinc/90/55/50/768905550.db2.gz QKBCTIIUVHFQSM-NSHDSACASA-N 0 2 323.397 0.502 20 0 DCADLN Cn1cc(C[N@@H+]2CCC[C@@H](NC(=O)C[NH+](C)C)C2)c(C2CC2)n1 ZINC001232171803 769300582 /nfs/dbraw/zinc/30/05/82/769300582.db2.gz MBLUYFVKHUAGQW-OAHLLOKOSA-N 0 2 319.453 0.940 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C=Cc1ccc[nH]1 ZINC001233938049 769502258 /nfs/dbraw/zinc/50/22/58/769502258.db2.gz SRDFPJDVXFVUSE-QMAVJUDZSA-N 0 2 316.365 0.585 20 0 DCADLN CN(C[C@H]1CCN1Cc1cnnn1C)C(=O)C(F)C(F)(F)F ZINC001234425764 769653218 /nfs/dbraw/zinc/65/32/18/769653218.db2.gz QBUBTWLZRNWRER-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@H]1CCN1Cc1cnnn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001234425764 769653223 /nfs/dbraw/zinc/65/32/23/769653223.db2.gz QBUBTWLZRNWRER-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN C[NH+](C)C[C@@H]1COCCN1C(=O)c1cc2n(n1)CCC[N@H+](C)C2 ZINC001175077425 769861990 /nfs/dbraw/zinc/86/19/90/769861990.db2.gz NMFQRPFNMZRZSZ-CQSZACIVSA-N 0 2 321.425 0.121 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1cncs1 ZINC001235653658 769926065 /nfs/dbraw/zinc/92/60/65/769926065.db2.gz SIOCEAUUPNUQSU-SECBINFHSA-N 0 2 322.394 0.242 20 0 DCADLN CNC(=O)C1CCN(C(=O)c2c[nH]c3cccnc3c2=O)CC1 ZINC001153853742 769962114 /nfs/dbraw/zinc/96/21/14/769962114.db2.gz BWVUHZMFSZIREZ-UHFFFAOYSA-N 0 2 314.345 0.521 20 0 DCADLN CC(C)OC(=O)[C@H](C)NC(=O)c1c[nH]c2cccnc2c1=O ZINC001153861264 769969592 /nfs/dbraw/zinc/96/95/92/769969592.db2.gz ZJGDLYUOHUACDX-VIFPVBQESA-N 0 2 303.318 0.993 20 0 DCADLN C[C@@H](CCCC[NH2+]Cc1cn(C)nn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001175874891 769985058 /nfs/dbraw/zinc/98/50/58/769985058.db2.gz WXVSRQQGTDEANU-LBPRGKRZSA-N 0 2 319.413 0.546 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccc2ncc(O)cc21 ZINC001155052070 770378672 /nfs/dbraw/zinc/37/86/72/770378672.db2.gz QQACTVZRRINGRI-LBPRGKRZSA-N 0 2 303.299 0.979 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc2n(n1)CC1(CCC1)CO2 ZINC001156173546 770738261 /nfs/dbraw/zinc/73/82/61/770738261.db2.gz XELXBXLGDCFSLY-NSHDSACASA-N 0 2 322.346 0.485 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCC(=O)N1Cc1ccccc1 ZINC001181451766 771287126 /nfs/dbraw/zinc/28/71/26/771287126.db2.gz ZJULNUFFIKRNPO-NSHDSACASA-N 0 2 315.333 0.318 20 0 DCADLN O=C(Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12)C1(O)CC1 ZINC001181528346 771298075 /nfs/dbraw/zinc/29/80/75/771298075.db2.gz PCHJHCRJIDGDRE-UHFFFAOYSA-N 0 2 313.273 0.437 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)C1CC(O)(c2ccccc2)C1 ZINC001181648055 771325386 /nfs/dbraw/zinc/32/53/86/771325386.db2.gz REORRONERNMVGP-YEMQSEFVSA-N 0 2 306.343 0.710 20 0 DCADLN CS(=O)(=O)N1CC(NC(=O)Cc2ccc(S)cc2)C1 ZINC001183188338 771617329 /nfs/dbraw/zinc/61/73/29/771617329.db2.gz XSZKTVJCSAEYFV-UHFFFAOYSA-N 0 2 300.405 0.278 20 0 DCADLN COc1ccc2[nH]cc(C(=O)C(=O)NCc3n[nH]c(=O)[nH]3)c2c1 ZINC001184493250 771788246 /nfs/dbraw/zinc/78/82/46/771788246.db2.gz QQRFEDZGSBOMKN-UHFFFAOYSA-N 0 2 315.289 0.499 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cccnc1Cl ZINC001184835954 771833684 /nfs/dbraw/zinc/83/36/84/771833684.db2.gz KLPZBOBQBUQASB-UHFFFAOYSA-N 0 2 302.743 0.725 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1[nH]ncc1Br ZINC001160879061 772131459 /nfs/dbraw/zinc/13/14/59/772131459.db2.gz KWYPPJLVPAFJIN-UHFFFAOYSA-N 0 2 315.131 0.359 20 0 DCADLN CCOC(=O)c1nnc(CNS(=O)(=O)c2cnoc2C)o1 ZINC001187391389 772185668 /nfs/dbraw/zinc/18/56/68/772185668.db2.gz PKZJMVSPTNXAPN-UHFFFAOYSA-N 0 2 316.295 0.021 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@H]([N@H+](C)[C@@H](C)C(=O)NC3CC3)C2)[nH]1 ZINC001189408799 772469938 /nfs/dbraw/zinc/46/99/38/772469938.db2.gz FFOHBIAWAFUSHH-GWCFXTLKSA-N 0 2 319.409 0.532 20 0 DCADLN CCCNC(=O)C[NH+](C)[C@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190948481 772696186 /nfs/dbraw/zinc/69/61/86/772696186.db2.gz VKQCBEOJPQTEBJ-GJZGRUSLSA-N 0 2 324.469 0.530 20 0 DCADLN CCN(C)C(=O)C[NH+](C)[C@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190946858 772696356 /nfs/dbraw/zinc/69/63/56/772696356.db2.gz OFMPRCXYXJLKRR-LSDHHAIUSA-N 0 2 324.469 0.482 20 0 DCADLN CN(C)C(=O)C[NH+](C)[C@@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190946659 772696742 /nfs/dbraw/zinc/69/67/42/772696742.db2.gz LHUZFTGISAXRLQ-KGLIPLIRSA-N 0 2 310.442 0.092 20 0 DCADLN Cc1nc(C[NH2+]CCCNC(=O)CCc2cnn[nH]2)oc1C ZINC001166966565 772891370 /nfs/dbraw/zinc/89/13/70/772891370.db2.gz CIHOHKWVSPKFAU-UHFFFAOYSA-N 0 2 306.370 0.638 20 0 DCADLN C[C@@]1(CO)CCN(C(=O)c2c(F)ccc(F)c2O)C[C@H]1O ZINC001192694417 772948697 /nfs/dbraw/zinc/94/86/97/772948697.db2.gz XBKYCMGMXAYEIS-YGRLFVJLSA-N 0 2 301.289 0.876 20 0 DCADLN O=C(CCNC(=O)c1ccc(F)c(F)c1O)N1CCOCC1 ZINC001192783794 772958343 /nfs/dbraw/zinc/95/83/43/772958343.db2.gz AWLNAQCKTMHPKR-UHFFFAOYSA-N 0 2 314.288 0.649 20 0 DCADLN CN(C)S(=O)(=O)CCNC(=O)c1ccc(F)c(F)c1O ZINC001192811988 772963334 /nfs/dbraw/zinc/96/33/34/772963334.db2.gz YHNNYDIZRWQWEI-UHFFFAOYSA-N 0 2 308.306 0.292 20 0 DCADLN COC(=O)CC[C@H](NC(=O)c1ccc(F)c(F)c1O)C(N)=O ZINC001192818042 772963952 /nfs/dbraw/zinc/96/39/52/772963952.db2.gz KITUSRODGDAQJY-QMMMGPOBSA-N 0 2 316.260 0.207 20 0 DCADLN O=C(c1ccc(O)c(F)c1F)N1CCN2C(=O)COC[C@@H]2C1 ZINC001192833808 772966104 /nfs/dbraw/zinc/96/61/04/772966104.db2.gz VUUHITDMZANWCA-QMMMGPOBSA-N 0 2 312.272 0.354 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(Br)c(=O)[nH]1 ZINC001193161740 773020006 /nfs/dbraw/zinc/02/00/06/773020006.db2.gz DQCGTFKQADNSIW-UHFFFAOYSA-N 0 2 314.099 0.303 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCc1ccco1 ZINC001205991692 773038694 /nfs/dbraw/zinc/03/86/94/773038694.db2.gz GCAVTYRDSDCLBW-ZYHUDNBSSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCc1ccco1 ZINC001205991692 773038701 /nfs/dbraw/zinc/03/87/01/773038701.db2.gz GCAVTYRDSDCLBW-ZYHUDNBSSA-N 0 2 319.365 0.673 20 0 DCADLN COc1ccnc(C(=O)Nc2[nH]c(=O)nc3[nH]c(=O)[nH]c32)c1O ZINC001193539865 773073493 /nfs/dbraw/zinc/07/34/93/773073493.db2.gz YGLANODHILKQHL-UHFFFAOYSA-N 0 2 318.249 0.077 20 0 DCADLN CCSCCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195017263 773297836 /nfs/dbraw/zinc/29/78/36/773297836.db2.gz VMGJVGWFMKFUCQ-UHFFFAOYSA-N 0 2 313.427 0.688 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ncc(Br)s1 ZINC001195071178 773318789 /nfs/dbraw/zinc/31/87/89/773318789.db2.gz NBAKUVBMHBGSFY-LURJTMIESA-N 0 2 322.165 0.945 20 0 DCADLN CCCCC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CC1 ZINC001195915088 773502617 /nfs/dbraw/zinc/50/26/17/773502617.db2.gz RCMVKCZWJMRQKE-GHMZBOCLSA-N 0 2 323.397 0.142 20 0 DCADLN CCOC(=O)c1cn[nH]c1CNC(=O)c1c[nH]c(=S)[nH]c1=O ZINC001196026668 773520217 /nfs/dbraw/zinc/52/02/17/773520217.db2.gz AZXDORYESUYUAT-UHFFFAOYSA-N 0 2 323.334 0.301 20 0 DCADLN CC(C)[NH+]1CC(NC(=O)c2sccc2[N-]S(C)(=O)=O)C1 ZINC001196740404 773643579 /nfs/dbraw/zinc/64/35/79/773643579.db2.gz XERSOJPSLHHHRY-UHFFFAOYSA-N 0 2 317.436 0.942 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)Cc2c(F)cccc2F)S1 ZINC001197613331 773769622 /nfs/dbraw/zinc/76/96/22/773769622.db2.gz PLNZRZDIJYHVKM-VIFPVBQESA-N 0 2 322.314 0.693 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc3nccnc3c2)S1 ZINC001198163439 773869187 /nfs/dbraw/zinc/86/91/87/773869187.db2.gz WCFLGQCKKDQMFV-SNVBAGLBSA-N 0 2 324.343 0.217 20 0 DCADLN CC(C)[NH+](C)CC(=O)N1CCC[N@H+](CC(=O)N(C)C2CC2)CC1 ZINC001198259513 773889919 /nfs/dbraw/zinc/88/99/19/773889919.db2.gz NVJIXXBDNVUNPB-UHFFFAOYSA-N 0 2 324.469 0.482 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(OC2CCOCC2)ccn1 ZINC001199544109 774143559 /nfs/dbraw/zinc/14/35/59/774143559.db2.gz DTPQYCHAFWIVTQ-UHFFFAOYSA-N 0 2 319.321 0.393 20 0 DCADLN CC/C=C(\C)C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217441999 774177219 /nfs/dbraw/zinc/17/72/19/774177219.db2.gz GZXTUPAMADIGOA-PEXLVHELSA-N 0 2 321.381 0.278 20 0 DCADLN CCOC(=O)c1ccncc1NC(=O)[C@@H]([NH3+])Cc1c[nH+]c[nH]1 ZINC001218571613 774251660 /nfs/dbraw/zinc/25/16/60/774251660.db2.gz NOVMHLAITDAPBB-NSHDSACASA-N 0 2 303.322 0.490 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cncc(C(F)(F)F)n1 ZINC001218593409 774258099 /nfs/dbraw/zinc/25/80/99/774258099.db2.gz UOZYACRPZCUWPZ-ZETCQYMHSA-N 0 2 300.244 0.727 20 0 DCADLN CC[C@H](F)C[N@@H+]1C[C@H]2OCCN(C(=O)C[NH+](CC)CC)[C@H]2C1 ZINC001218819785 774310019 /nfs/dbraw/zinc/31/00/19/774310019.db2.gz OWTDEEBESZGRRC-SOUVJXGZSA-N 0 2 315.433 0.988 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc(Cn2cncn2)cc1 ZINC001218837244 774312728 /nfs/dbraw/zinc/31/27/28/774312728.db2.gz VOFXTXYNETYVGQ-CQSZACIVSA-N 0 2 311.349 0.558 20 0 DCADLN O=C(N[C@@H]1CN(C/C=C\Cl)C[C@@H]1O)C(F)C(F)(F)F ZINC001219670679 774576156 /nfs/dbraw/zinc/57/61/56/774576156.db2.gz XJCMMHFXRZMVPP-QXOFCLGHSA-N 0 2 304.671 0.801 20 0 DCADLN CC1(C)C[C@H](CO[C@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])O1 ZINC001224639894 775591940 /nfs/dbraw/zinc/59/19/40/775591940.db2.gz KMPYFHQVAIWBMM-VXGBXAGGSA-N 0 2 323.411 0.159 20 0 DCADLN Cc1cc(N(C)CCCNC(=O)CCc2c[nH]nn2)nc(C)[nH+]1 ZINC001095741717 775636712 /nfs/dbraw/zinc/63/67/12/775636712.db2.gz YVTGNXCEAWFYTD-UHFFFAOYSA-N 0 2 317.397 0.787 20 0 DCADLN CC(=O)OC[C@@H](COc1nc(Cl)cc(=O)[nH]1)OC(C)=O ZINC001225558560 775693864 /nfs/dbraw/zinc/69/38/64/775693864.db2.gz FRLGOYWCBQESEB-QMMMGPOBSA-N 0 2 304.686 0.709 20 0 DCADLN O=C(CC[C@H]1CCCO1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226177030 775776547 /nfs/dbraw/zinc/77/65/47/775776547.db2.gz NPGSDMOOSBABKX-GFCCVEGCSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@@H](c1ccccn1)[N@@H+]1CCC[C@@H](Oc2c([O-])c(=O)c2=O)C1 ZINC001226607550 775846157 /nfs/dbraw/zinc/84/61/57/775846157.db2.gz RRSRDBGFRODTEH-WDEREUQCSA-N 0 2 302.330 0.988 20 0 DCADLN C[C@@H](c1ccccn1)[N@H+]1CCC[C@@H](Oc2c([O-])c(=O)c2=O)C1 ZINC001226607550 775846162 /nfs/dbraw/zinc/84/61/62/775846162.db2.gz RRSRDBGFRODTEH-WDEREUQCSA-N 0 2 302.330 0.988 20 0 DCADLN COC(=O)C[C@H](Oc1nc2nc[nH]c2c(=S)[nH]1)C(=O)OC ZINC001226780220 775876034 /nfs/dbraw/zinc/87/60/34/775876034.db2.gz GOSWMPJKDDUUQD-YFKPBYRVSA-N 0 2 312.307 0.125 20 0 DCADLN CO[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001227163220 775937739 /nfs/dbraw/zinc/93/77/39/775937739.db2.gz WZPUKLFKEZZYJZ-GFCCVEGCSA-N 0 2 309.370 0.016 20 0 DCADLN CCOC(=O)[C@H]1CC[C@H](Oc2[nH]c(=O)nc3ncn(C)c32)CO1 ZINC001228672795 776112438 /nfs/dbraw/zinc/11/24/38/776112438.db2.gz BVGVPQYYXKVBCI-DTWKUNHWSA-N 0 2 322.321 0.558 20 0 DCADLN C[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C(C)(F)F ZINC001229243731 776173243 /nfs/dbraw/zinc/17/32/43/776173243.db2.gz QKGPMHFGFSHEKR-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2ccsc2C(=O)[O-])C1 ZINC001598800077 1168042495 /nfs/dbraw/zinc/04/24/95/1168042495.db2.gz SIOPIPFRGSSDCZ-MRVPVSSYSA-N 0 2 312.347 0.880 20 0 DCADLN Cc1cc(C[N@@H+]2CCCN(S(C)(=O)=O)CC2)oc1C(=O)[O-] ZINC001600194843 1168135778 /nfs/dbraw/zinc/13/57/78/1168135778.db2.gz BCTRKTCZYMIHNU-UHFFFAOYSA-N 0 2 316.379 0.754 20 0 DCADLN Cc1cc(C[N@H+]2CCCN(S(C)(=O)=O)CC2)oc1C(=O)[O-] ZINC001600194843 1168135782 /nfs/dbraw/zinc/13/57/82/1168135782.db2.gz BCTRKTCZYMIHNU-UHFFFAOYSA-N 0 2 316.379 0.754 20 0 DCADLN O=C(C[C@H]1CSCCS1)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601256426 1168678518 /nfs/dbraw/zinc/67/85/18/1168678518.db2.gz QLFZCVNVMWJHIP-VHSXEESVSA-N 0 2 315.420 0.760 20 0 DCADLN O=C(C[C@H]1CSCCS1)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601256426 1168678521 /nfs/dbraw/zinc/67/85/21/1168678521.db2.gz QLFZCVNVMWJHIP-VHSXEESVSA-N 0 2 315.420 0.760 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1SCCCS1 ZINC001601568159 1168784532 /nfs/dbraw/zinc/78/45/32/1168784532.db2.gz IGSBNZUDGDPRBU-MRVPVSSYSA-N 0 2 301.393 0.718 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1SCCCS1 ZINC001601568159 1168784538 /nfs/dbraw/zinc/78/45/38/1168784538.db2.gz IGSBNZUDGDPRBU-MRVPVSSYSA-N 0 2 301.393 0.718 20 0 DCADLN C/C(=C/C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001266584005 939170992 /nfs/dbraw/zinc/17/09/92/939170992.db2.gz QRSPZKGWBTWSBY-NTMALXAHSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C/C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001266584005 939170995 /nfs/dbraw/zinc/17/09/95/939170995.db2.gz QRSPZKGWBTWSBY-NTMALXAHSA-N 0 2 305.382 0.947 20 0 DCADLN CC(C)n1cnc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001480895120 939262365 /nfs/dbraw/zinc/26/23/65/939262365.db2.gz QOHPNAWUSOAKDS-UHFFFAOYSA-N 0 2 307.358 0.150 20 0 DCADLN CC(C)n1cnc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001480895120 939262369 /nfs/dbraw/zinc/26/23/69/939262369.db2.gz QOHPNAWUSOAKDS-UHFFFAOYSA-N 0 2 307.358 0.150 20 0 DCADLN CCOCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001267527876 939493089 /nfs/dbraw/zinc/49/30/89/939493089.db2.gz NBYCLJSXGQHMHI-PHIMTYICSA-N 0 2 309.370 0.112 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1nncn1C ZINC001480975952 939507240 /nfs/dbraw/zinc/50/72/40/939507240.db2.gz OSHDNOROTAROGK-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1nncn1C ZINC001480975952 939507243 /nfs/dbraw/zinc/50/72/43/939507243.db2.gz OSHDNOROTAROGK-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN CCc1nc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001481005734 939518250 /nfs/dbraw/zinc/51/82/50/939518250.db2.gz HMNMEMMMJWXMER-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN CCc1nc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001481005734 939518251 /nfs/dbraw/zinc/51/82/51/939518251.db2.gz HMNMEMMMJWXMER-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN Cn1nnc(CN(CCNC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001481169970 939593820 /nfs/dbraw/zinc/59/38/20/939593820.db2.gz YKDNHSUKNYYVQD-VIFPVBQESA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN(CCNC(=O)[C@H](F)C(F)(F)F)C2CC2)n1 ZINC001481169970 939593822 /nfs/dbraw/zinc/59/38/22/939593822.db2.gz YKDNHSUKNYYVQD-VIFPVBQESA-N 0 2 324.282 0.191 20 0 DCADLN CCN(C(=O)C[C@@H]1CCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481759348 940116075 /nfs/dbraw/zinc/11/60/75/940116075.db2.gz KJESNGIUBWNLAF-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)C[C@@H]1CCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481759348 940116078 /nfs/dbraw/zinc/11/60/78/940116078.db2.gz KJESNGIUBWNLAF-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)CC(C)(C)O)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481764279 940126588 /nfs/dbraw/zinc/12/65/88/940126588.db2.gz KJLCIFDZESEQLM-SNVBAGLBSA-N 0 2 311.386 0.094 20 0 DCADLN CCN(C(=O)CC(C)(C)O)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481764279 940126590 /nfs/dbraw/zinc/12/65/90/940126590.db2.gz KJLCIFDZESEQLM-SNVBAGLBSA-N 0 2 311.386 0.094 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC/C=C\C[NH2+]Cc1cncn1C ZINC001268616934 940302571 /nfs/dbraw/zinc/30/25/71/940302571.db2.gz FNPGNUXMMMURNQ-ARJAWSKDSA-N 0 2 302.382 0.456 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001269194350 940643946 /nfs/dbraw/zinc/64/39/46/940643946.db2.gz HDSOQADNMUEMRX-HMDXOVGESA-N 0 2 303.322 0.507 20 0 DCADLN CN1CCO[C@H]2CN(C(=O)c3c[nH]c4cccnc4c3=O)C[C@@H]21 ZINC001269505144 940721050 /nfs/dbraw/zinc/72/10/50/940721050.db2.gz XRHYAMXTWPNXEL-STQMWFEESA-N 0 2 314.345 0.078 20 0 DCADLN C[C@@H](CN(C)Cc1nnnn1C)NC(=O)C(F)C(F)(F)F ZINC001422810121 941211874 /nfs/dbraw/zinc/21/18/74/941211874.db2.gz LFTIUQQBPAOFBB-XPUUQOCRSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@@H](CN(C)Cc1nnnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001422810121 941211877 /nfs/dbraw/zinc/21/18/77/941211877.db2.gz LFTIUQQBPAOFBB-XPUUQOCRSA-N 0 2 312.271 0.047 20 0 DCADLN CCn1nncc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060517 941300216 /nfs/dbraw/zinc/30/02/16/941300216.db2.gz NBTBURGVEZHPMW-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1nncc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409060517 941300228 /nfs/dbraw/zinc/30/02/28/941300228.db2.gz NBTBURGVEZHPMW-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN Cc1nc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001482636960 941605994 /nfs/dbraw/zinc/60/59/94/941605994.db2.gz MGWYYBFUCWTDNM-UHFFFAOYSA-N 0 2 308.342 0.319 20 0 DCADLN Cc1nc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001482636960 941605996 /nfs/dbraw/zinc/60/59/96/941605996.db2.gz MGWYYBFUCWTDNM-UHFFFAOYSA-N 0 2 308.342 0.319 20 0 DCADLN COCC[NH+](C)[C@@H](C)CNC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC001409571577 942062080 /nfs/dbraw/zinc/06/20/80/942062080.db2.gz LYXRALYSXJYDRW-SWLSCSKDSA-N 0 2 323.441 0.873 20 0 DCADLN COc1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001409623843 942097362 /nfs/dbraw/zinc/09/73/62/942097362.db2.gz CGSHQNKECMYJPI-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN COc1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001409623843 942097368 /nfs/dbraw/zinc/09/73/68/942097368.db2.gz CGSHQNKECMYJPI-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN C[C@H]1[C@H](CO)CCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412894317 942128099 /nfs/dbraw/zinc/12/80/99/942128099.db2.gz SWOKZSCDOPCOFV-ONGXEEELSA-N 0 2 318.333 0.109 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001483323455 942265211 /nfs/dbraw/zinc/26/52/11/942265211.db2.gz JIMGKQCQYLVJRB-MEWQQHAOSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001483323455 942265213 /nfs/dbraw/zinc/26/52/13/942265213.db2.gz JIMGKQCQYLVJRB-MEWQQHAOSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(Cl)no1 ZINC001483408690 942340576 /nfs/dbraw/zinc/34/05/76/942340576.db2.gz LAYHHWBXSNKAOR-ZCFIWIBFSA-N 0 2 314.733 0.402 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(Cl)no1 ZINC001483408690 942340579 /nfs/dbraw/zinc/34/05/79/942340579.db2.gz LAYHHWBXSNKAOR-ZCFIWIBFSA-N 0 2 314.733 0.402 20 0 DCADLN CCc1nnc(C[NH2+]C[C@H](NC(=O)Cc2c[nH]cn2)C2CC2)o1 ZINC001483590060 942447502 /nfs/dbraw/zinc/44/75/02/942447502.db2.gz LDFYSVVHSNXBAK-LBPRGKRZSA-N 0 2 318.381 0.582 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnnn2C)C1 ZINC001409898127 942888588 /nfs/dbraw/zinc/88/85/88/942888588.db2.gz FYNUPBCIJNAFJN-OIBJUYFYSA-N 0 2 323.294 0.652 20 0 DCADLN Cn1cc(CN2CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001484045482 943108517 /nfs/dbraw/zinc/10/85/17/943108517.db2.gz SPRYZLMFNKSZLQ-GXSJLCMTSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CC[C@@](C)(NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001484045482 943108521 /nfs/dbraw/zinc/10/85/21/943108521.db2.gz SPRYZLMFNKSZLQ-GXSJLCMTSA-N 0 2 323.294 0.796 20 0 DCADLN Cc1nnc(C[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CCC2)o1 ZINC001484630801 943487477 /nfs/dbraw/zinc/48/74/77/943487477.db2.gz HWGRRTLPCLCHIV-UHFFFAOYSA-N 0 2 320.353 0.557 20 0 DCADLN CCOc1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)[nH]n1 ZINC001413667043 943558872 /nfs/dbraw/zinc/55/88/72/943558872.db2.gz OXRKYDFNZBBHIU-UHFFFAOYSA-N 0 2 321.337 0.633 20 0 DCADLN Cc1ccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)s1 ZINC001413675079 943564536 /nfs/dbraw/zinc/56/45/36/943564536.db2.gz WCTINPQCLHXOON-UHFFFAOYSA-N 0 2 314.392 0.649 20 0 DCADLN Cc1cnc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001485332662 943838945 /nfs/dbraw/zinc/83/89/45/943838945.db2.gz CPNTUCNOZUGIQM-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cnc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001485332662 943838953 /nfs/dbraw/zinc/83/89/53/943838953.db2.gz CPNTUCNOZUGIQM-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2cccc3cccnc32)S1 ZINC001251020898 945707421 /nfs/dbraw/zinc/70/74/21/945707421.db2.gz MUXZCTCZJMKIQT-LLVKDONJSA-N 0 2 323.355 0.822 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnc3nccnc3c2)C1=O ZINC001320831799 946034723 /nfs/dbraw/zinc/03/47/23/946034723.db2.gz UONQCFASYIOKQY-CQSZACIVSA-N 0 2 314.305 0.390 20 0 DCADLN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1O ZINC001253698875 946163938 /nfs/dbraw/zinc/16/39/38/946163938.db2.gz WYIAJRFDBPFMSK-UHFFFAOYSA-N 0 2 322.408 0.794 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001410618226 946662400 /nfs/dbraw/zinc/66/24/00/946662400.db2.gz RYVJXQRBKBFNJK-CQSZACIVSA-N 0 2 321.425 0.401 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1c(Cl)nc(C)nc1OC ZINC001259018842 946891714 /nfs/dbraw/zinc/89/17/14/946891714.db2.gz WLRXPPJFEDPRAK-UHFFFAOYSA-N 0 2 309.731 0.362 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1nccnc1Br ZINC001259017944 946893050 /nfs/dbraw/zinc/89/30/50/946893050.db2.gz LKHQCISQKUEXNZ-UHFFFAOYSA-N 0 2 310.129 0.154 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1c(Cl)nccc1C(=O)OC ZINC001259019172 946894016 /nfs/dbraw/zinc/89/40/16/946894016.db2.gz WWTXKUVUFFEGPP-UHFFFAOYSA-N 0 2 322.726 0.436 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)CC(=O)OC)cnc1C ZINC001259019285 946894814 /nfs/dbraw/zinc/89/48/14/946894814.db2.gz ZXABQGFCUHKYQA-UHFFFAOYSA-N 0 2 316.335 0.481 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2c(c1)C(=O)N(C)CC2 ZINC001259027426 946901859 /nfs/dbraw/zinc/90/18/59/946901859.db2.gz XERGAOWLJXZRDB-UHFFFAOYSA-N 0 2 312.347 0.229 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc(F)c(F)c2)S1 ZINC001259091999 946913802 /nfs/dbraw/zinc/91/38/02/946913802.db2.gz OLAALXAWYSIHFN-MRVPVSSYSA-N 0 2 308.287 0.552 20 0 DCADLN CC(=O)c1ccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)cc1 ZINC001259172702 946921405 /nfs/dbraw/zinc/92/14/05/946921405.db2.gz UKCBGUZHJNJJOU-UHFFFAOYSA-N 0 2 320.330 0.579 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1ccnnc1 ZINC001410839426 947011908 /nfs/dbraw/zinc/01/19/08/947011908.db2.gz QCBRTZVERUOWEE-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ccnnc1 ZINC001410839426 947011899 /nfs/dbraw/zinc/01/18/99/947011899.db2.gz QCBRTZVERUOWEE-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN COCCS(=O)(=O)Nc1cc(Cl)cnc1C(=O)OC ZINC001259966429 947039900 /nfs/dbraw/zinc/03/99/00/947039900.db2.gz CIRMBFZNJRNKLC-UHFFFAOYSA-N 0 2 308.743 0.910 20 0 DCADLN CN1C(=O)CN(NC(=O)c2csc(-c3cnccn3)n2)C1=O ZINC001323475885 947097430 /nfs/dbraw/zinc/09/74/30/947097430.db2.gz JKUCFQLVVBJJAQ-UHFFFAOYSA-N 0 2 318.318 0.139 20 0 DCADLN O=C([N-]OCCC(F)(F)F)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001323639319 947152872 /nfs/dbraw/zinc/15/28/72/947152872.db2.gz DVYYRGOBXBJOLY-QMMMGPOBSA-N 0 2 320.271 0.314 20 0 DCADLN CC(=O)NCCNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC001261839771 947456987 /nfs/dbraw/zinc/45/69/87/947456987.db2.gz MVWSMEZPZKGGRQ-UHFFFAOYSA-N 0 2 321.255 0.324 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1c2ncnn2ccc1C ZINC001262160677 947587919 /nfs/dbraw/zinc/58/79/19/947587919.db2.gz MDTUIIGFVWTDDX-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN Cn1ncc2c1ncnc2NCCNC(=O)C(F)C(F)(F)F ZINC001094182425 947794570 /nfs/dbraw/zinc/79/45/70/947794570.db2.gz ATYRVUAFARXGOP-SSDOTTSWSA-N 0 2 320.250 0.792 20 0 DCADLN Cc1ccc(S(=O)(=O)NNc2nncc(N)c2Cl)cn1 ZINC001325846768 947949118 /nfs/dbraw/zinc/94/91/18/947949118.db2.gz DRBFTWIOFCCCOI-UHFFFAOYSA-N 0 2 314.758 0.721 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@H]1CC[NH+]([C@H](C)c2nncn2C)C1 ZINC001493256352 948139776 /nfs/dbraw/zinc/13/97/76/948139776.db2.gz KMAVHJLZQZIHFV-ZIAGYGMSSA-N 0 2 322.457 0.656 20 0 DCADLN CCC(=O)NCCCOCCNC(=O)C(F)C(F)(F)F ZINC001487621869 948153672 /nfs/dbraw/zinc/15/36/72/948153672.db2.gz PFYDFKGTCKDGGF-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN CCC(=O)NCCCOCCNC(=O)[C@@H](F)C(F)(F)F ZINC001487621869 948153676 /nfs/dbraw/zinc/15/36/76/948153676.db2.gz PFYDFKGTCKDGGF-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@H](c3cccc(O)c3)C2=O)[nH]1 ZINC001327388049 948490542 /nfs/dbraw/zinc/49/05/42/948490542.db2.gz YIRPMBVFZAIDNZ-LBPRGKRZSA-N 0 2 317.305 0.450 20 0 DCADLN O=C(Cc1nnc[nH]1)NCc1cc(F)c(-c2nn[nH]n2)c(F)c1 ZINC001570923265 948658849 /nfs/dbraw/zinc/65/88/49/948658849.db2.gz WKHGPWGLUKGCMW-UHFFFAOYSA-N 0 2 320.263 0.122 20 0 DCADLN CC(C)C(=O)N(C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001488423511 948831193 /nfs/dbraw/zinc/83/11/93/948831193.db2.gz IZORDNJQCJQEGH-BDAKNGLRSA-N 0 2 316.295 0.821 20 0 DCADLN CC(C)C(=O)N(C)C[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001488423511 948831211 /nfs/dbraw/zinc/83/12/11/948831211.db2.gz IZORDNJQCJQEGH-BDAKNGLRSA-N 0 2 316.295 0.821 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NCCc1[nH+]ccn1C ZINC000385967512 948921106 /nfs/dbraw/zinc/92/11/06/948921106.db2.gz IBOJQZYTDYRUSJ-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN C[C@@H](C(=O)N[C@@H](Cc1ccncc1)C(=O)[O-])[NH+]1CCSCC1 ZINC001602789379 971543899 /nfs/dbraw/zinc/54/38/99/971543899.db2.gz RKHTXBLSCZKEEL-AAEUAGOBSA-N 0 2 323.418 0.631 20 0 DCADLN CS(C)(=O)=NC(=O)CN1CCC[C@H](C2N=NC(=O)O2)C1 ZINC001364406935 949208041 /nfs/dbraw/zinc/20/80/41/949208041.db2.gz HGLJJGUKXQKPFB-QMMMGPOBSA-N 0 2 302.356 0.209 20 0 DCADLN O=C1CO[C@@H]2CCN(Cc3nc(=O)c4sccc4[nH]3)C[C@H]2N1 ZINC001364424549 949248814 /nfs/dbraw/zinc/24/88/14/949248814.db2.gz WWFYXLNCIAHXHN-NXEZZACHSA-N 0 2 320.374 0.486 20 0 DCADLN O=C(NCc1ccccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364476191 949389671 /nfs/dbraw/zinc/38/96/71/949389671.db2.gz QHQAHDOYCNPHPO-SNVBAGLBSA-N 0 2 302.338 0.995 20 0 DCADLN C[C@@H](CNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001364511192 949453060 /nfs/dbraw/zinc/45/30/60/949453060.db2.gz PJNIFZMTNFYTJG-QMMMGPOBSA-N 0 2 315.399 0.195 20 0 DCADLN CO[C@H](CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001364629661 949662975 /nfs/dbraw/zinc/66/29/75/949662975.db2.gz QUOPKLXHKDUDHL-GHMZBOCLSA-N 0 2 309.370 0.824 20 0 DCADLN C[C@@H]1CN(C(=O)c2cnon2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001489458699 949822535 /nfs/dbraw/zinc/82/25/35/949822535.db2.gz AYGLOYFAOYBHMM-LPBLVHEISA-N 0 2 324.234 0.547 20 0 DCADLN C[C@@H]1CN(C(=O)c2cnon2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001489458699 949822550 /nfs/dbraw/zinc/82/25/50/949822550.db2.gz AYGLOYFAOYBHMM-LPBLVHEISA-N 0 2 324.234 0.547 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NCc1nccnc1Cl ZINC001364835930 950042755 /nfs/dbraw/zinc/04/27/55/950042755.db2.gz KEZNOJUMCJBNTI-UHFFFAOYSA-N 0 2 324.815 0.726 20 0 DCADLN COC(=O)C[C@@H]1CN(C(=O)C[C@H]2SC(=N)NC2=O)C[C@H]1C ZINC001364865046 950099386 /nfs/dbraw/zinc/09/93/86/950099386.db2.gz WJBNZQXKYFMGRK-IWSPIJDZSA-N 0 2 313.379 0.200 20 0 DCADLN C[C@H]1CC[C@@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001364998193 950325052 /nfs/dbraw/zinc/32/50/52/950325052.db2.gz QNTOQWBIBHTMME-DTWKUNHWSA-N 0 2 300.384 0.402 20 0 DCADLN COc1cncnc1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365062551 950441619 /nfs/dbraw/zinc/44/16/19/950441619.db2.gz VEGODARTRWAJMI-QMMMGPOBSA-N 0 2 319.325 0.720 20 0 DCADLN Cc1ccnc(CNS(=O)(=O)N=S2(=O)CCCC2)n1 ZINC001365449599 951141829 /nfs/dbraw/zinc/14/18/29/951141829.db2.gz VQUADUNRDDICEI-UHFFFAOYSA-N 0 2 304.397 0.381 20 0 DCADLN COc1nn(C)cc1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365498286 951193171 /nfs/dbraw/zinc/19/31/71/951193171.db2.gz BBHZXKTXVIPNQL-MRVPVSSYSA-N 0 2 321.341 0.664 20 0 DCADLN CCN1CC[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001365657847 951394373 /nfs/dbraw/zinc/39/43/73/951394373.db2.gz DDMPCMURMHYAFG-NXEZZACHSA-N 0 2 322.369 0.020 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001365710519 951464517 /nfs/dbraw/zinc/46/45/17/951464517.db2.gz QOPYSLMTRYIQIV-GARJFASQSA-N 0 2 309.359 0.375 20 0 DCADLN O=S(=O)(NCCn1cnnn1)c1onc(C2CC2)c1Cl ZINC001365718937 951472720 /nfs/dbraw/zinc/47/27/20/951472720.db2.gz WHZJMOBPVQSCDA-UHFFFAOYSA-N 0 2 318.746 0.170 20 0 DCADLN C[C@]1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCCO1 ZINC001463141238 1013111079 /nfs/dbraw/zinc/11/10/79/1013111079.db2.gz AAFOZCGWGGXCRV-CQSZACIVSA-N 0 2 305.338 0.147 20 0 DCADLN COCCOC[N@@H+]1CC[C@H]2[C@@H]1CCN2C(=O)c1cc(=O)[nH][n-]1 ZINC001274428968 951626727 /nfs/dbraw/zinc/62/67/27/951626727.db2.gz SKJILYYRVCNXAE-RYUDHWBXSA-N 0 2 310.354 0.025 20 0 DCADLN COCCOC[N@H+]1CC[C@H]2[C@@H]1CCN2C(=O)c1cc(=O)[nH][n-]1 ZINC001274428968 951626733 /nfs/dbraw/zinc/62/67/33/951626733.db2.gz SKJILYYRVCNXAE-RYUDHWBXSA-N 0 2 310.354 0.025 20 0 DCADLN CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)[N@H+]2Cc1sccc1C(=O)[O-] ZINC001275157694 951978963 /nfs/dbraw/zinc/97/89/63/951978963.db2.gz RAWBHJXUQMUPFE-PHIMTYICSA-N 0 2 323.418 0.793 20 0 DCADLN CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)[N@@H+]2Cc1sccc1C(=O)[O-] ZINC001275157694 951978965 /nfs/dbraw/zinc/97/89/65/951978965.db2.gz RAWBHJXUQMUPFE-PHIMTYICSA-N 0 2 323.418 0.793 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cc[nH]n2)C1 ZINC001411961561 952571315 /nfs/dbraw/zinc/57/13/15/952571315.db2.gz SIIXDRAEJSEYDU-IMTBSYHQSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001411961561 952571319 /nfs/dbraw/zinc/57/13/19/952571319.db2.gz SIIXDRAEJSEYDU-IMTBSYHQSA-N 0 2 322.262 0.887 20 0 DCADLN NC(=O)[C@@H]1CC[C@H]1C(=O)Nc1nccc(Br)c1O ZINC001412400464 952779027 /nfs/dbraw/zinc/77/90/27/952779027.db2.gz HJWDRGFNBGFFOH-PHDIDXHHSA-N 0 2 314.139 1.000 20 0 DCADLN O=C([C@@H]1COCC[N@@H+]1C1CCCC1)N1CC([NH2+][C@@H]2CCOC2)C1 ZINC001412704122 953006984 /nfs/dbraw/zinc/00/69/84/953006984.db2.gz VZMGDUPMUPQXAN-CJNGLKHVSA-N 0 2 323.437 0.219 20 0 DCADLN O=C([O-])[C@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC001339191812 953079612 /nfs/dbraw/zinc/07/96/12/953079612.db2.gz DJLCFSQIHIWPSF-BGTMTDRBSA-N 0 2 322.336 0.956 20 0 DCADLN CO[C@H]1CC[C@H]1N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412791782 953095185 /nfs/dbraw/zinc/09/51/85/953095185.db2.gz OVIXSSSCWONJIW-NEPJUHHUSA-N 0 2 318.333 0.516 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C(=O)[O-])n[nH]1 ZINC001589288153 953582788 /nfs/dbraw/zinc/58/27/88/953582788.db2.gz BNCXFAFBXWBMGC-VHSXEESVSA-N 0 2 308.338 0.043 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001283005960 953906991 /nfs/dbraw/zinc/90/69/91/953906991.db2.gz XIXUZALLVUXLKY-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001283005960 953906997 /nfs/dbraw/zinc/90/69/97/953906997.db2.gz XIXUZALLVUXLKY-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN COc1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001283060682 953967977 /nfs/dbraw/zinc/96/79/77/953967977.db2.gz YJCVYMMDQOAECP-VIFPVBQESA-N 0 2 323.246 0.837 20 0 DCADLN COc1ccc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001283060682 953967983 /nfs/dbraw/zinc/96/79/83/953967983.db2.gz YJCVYMMDQOAECP-VIFPVBQESA-N 0 2 323.246 0.837 20 0 DCADLN CC(C)[C@H](C(=O)NCCNC(=O)CCc1[nH+]ccn1C)[NH+](C)C ZINC001283133685 954047074 /nfs/dbraw/zinc/04/70/74/954047074.db2.gz RNOUOXWCVNIDQL-OAHLLOKOSA-N 0 2 323.441 0.171 20 0 DCADLN O=C([O-])[C@]1(C(=O)N2CC[NH+](Cc3ccccc3)CC2)CCCO1 ZINC001594851297 954191861 /nfs/dbraw/zinc/19/18/61/954191861.db2.gz KOEZWAAHYGEEJC-QGZVFWFLSA-N 0 2 318.373 0.965 20 0 DCADLN Cc1cncc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001366553709 954409270 /nfs/dbraw/zinc/40/92/70/954409270.db2.gz LEZDXKQOROCVFT-UHFFFAOYSA-N 0 2 304.354 0.004 20 0 DCADLN Cc1cncc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001366553709 954409279 /nfs/dbraw/zinc/40/92/79/954409279.db2.gz LEZDXKQOROCVFT-UHFFFAOYSA-N 0 2 304.354 0.004 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ncccn1 ZINC001283563997 954451495 /nfs/dbraw/zinc/45/14/95/954451495.db2.gz VWXRRZBPGSNVLV-MRVPVSSYSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ncccn1 ZINC001283563997 954451505 /nfs/dbraw/zinc/45/15/05/954451505.db2.gz VWXRRZBPGSNVLV-MRVPVSSYSA-N 0 2 322.262 0.955 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@H](C(=O)[O-])C1 ZINC001593788490 954743090 /nfs/dbraw/zinc/74/30/90/954743090.db2.gz URZMRKAJZKQOMC-KGLIPLIRSA-N 0 2 319.361 0.668 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@H](C(=O)[O-])C1 ZINC001593788490 954743101 /nfs/dbraw/zinc/74/31/01/954743101.db2.gz URZMRKAJZKQOMC-KGLIPLIRSA-N 0 2 319.361 0.668 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366894115 955008550 /nfs/dbraw/zinc/00/85/50/955008550.db2.gz UFPVVWYUCHIBKM-VHSXEESVSA-N 0 2 313.427 0.733 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C)C(=O)[O-] ZINC001589538565 955182825 /nfs/dbraw/zinc/18/28/25/955182825.db2.gz QQFOWBRVNFAJCE-IJLUTSLNSA-N 0 2 301.387 0.506 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C)C(=O)[O-] ZINC001589538565 955182828 /nfs/dbraw/zinc/18/28/28/955182828.db2.gz QQFOWBRVNFAJCE-IJLUTSLNSA-N 0 2 301.387 0.506 20 0 DCADLN CC[C@H](OC)C(=O)N(CC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367142907 955393139 /nfs/dbraw/zinc/39/31/39/955393139.db2.gz RACWPJPXLPBZLD-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@H](OC)C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367142907 955393156 /nfs/dbraw/zinc/39/31/56/955393156.db2.gz RACWPJPXLPBZLD-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN C[C@@H](CNC(=O)[C@@]1(C(=O)[O-])CCCO1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589042940 955595749 /nfs/dbraw/zinc/59/57/49/955595749.db2.gz BBUBXRSTUOYBIB-UUIJZJDISA-N 0 2 314.382 0.234 20 0 DCADLN C[C@@H](CNC(=O)[C@@]1(C(=O)[O-])CCCO1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589042940 955595757 /nfs/dbraw/zinc/59/57/57/955595757.db2.gz BBUBXRSTUOYBIB-UUIJZJDISA-N 0 2 314.382 0.234 20 0 DCADLN Cn1cc([C@H]2C[C@H](C(=O)[O-])C[N@H+](Cc3cnsn3)C2)cn1 ZINC001594538577 955606141 /nfs/dbraw/zinc/60/61/41/955606141.db2.gz RYDQHPMJZPSDKQ-UWVGGRQHSA-N 0 2 307.379 0.962 20 0 DCADLN Cn1cc([C@H]2C[C@H](C(=O)[O-])C[N@@H+](Cc3cnsn3)C2)cn1 ZINC001594538577 955606150 /nfs/dbraw/zinc/60/61/50/955606150.db2.gz RYDQHPMJZPSDKQ-UWVGGRQHSA-N 0 2 307.379 0.962 20 0 DCADLN Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)[C@]2(C(=O)[O-])CCCO2)C1 ZINC001594555252 955754466 /nfs/dbraw/zinc/75/44/66/955754466.db2.gz FNQOPAKHPIFHSM-LRDDRELGSA-N 0 2 321.377 0.835 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)N[C@@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC001593924161 956104364 /nfs/dbraw/zinc/10/43/64/956104364.db2.gz XJCYKVZOQZVBKI-GXTWGEPZSA-N 0 2 317.345 0.920 20 0 DCADLN C[C@@](O)(CNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1)C(=O)[O-] ZINC001589138617 956250614 /nfs/dbraw/zinc/25/06/14/956250614.db2.gz GYBSJNKFPUEZKX-CZUORRHYSA-N 0 2 321.377 0.388 20 0 DCADLN C[C@@](O)(CNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1)C(=O)[O-] ZINC001589138617 956250625 /nfs/dbraw/zinc/25/06/25/956250625.db2.gz GYBSJNKFPUEZKX-CZUORRHYSA-N 0 2 321.377 0.388 20 0 DCADLN CC[C@H](OC)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416071719 956389837 /nfs/dbraw/zinc/38/98/37/956389837.db2.gz KBWWGEGUSPHJKO-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CC[C@H](OC)C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416071719 956389843 /nfs/dbraw/zinc/38/98/43/956389843.db2.gz KBWWGEGUSPHJKO-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001446964378 1013146792 /nfs/dbraw/zinc/14/67/92/1013146792.db2.gz DNKOWPJYFJUAPE-IONNQARKSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001446964378 1013146796 /nfs/dbraw/zinc/14/67/96/1013146796.db2.gz DNKOWPJYFJUAPE-IONNQARKSA-N 0 2 314.279 0.765 20 0 DCADLN COCCCC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001355488728 956660567 /nfs/dbraw/zinc/66/05/67/956660567.db2.gz HRVMDAGNAYCKDZ-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN COCCCC(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001355488728 956660572 /nfs/dbraw/zinc/66/05/72/956660572.db2.gz HRVMDAGNAYCKDZ-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+]([C@@H](C)C(=O)N2CCCCC2)CCO1 ZINC001593981932 956718032 /nfs/dbraw/zinc/71/80/32/956718032.db2.gz CRXZDRIOXDRLLR-SWLSCSKDSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+]([C@@H](C)C(=O)N2CCCCC2)CCO1 ZINC001593981932 956718038 /nfs/dbraw/zinc/71/80/38/956718038.db2.gz CRXZDRIOXDRLLR-SWLSCSKDSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2cnn(CC(F)F)c2)CCO1 ZINC001593983549 956734348 /nfs/dbraw/zinc/73/43/48/956734348.db2.gz IOONSMWVFSNVGA-CYBMUJFWSA-N 0 2 319.308 0.450 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2cnn(CC(F)F)c2)CCO1 ZINC001593983549 956734358 /nfs/dbraw/zinc/73/43/58/956734358.db2.gz IOONSMWVFSNVGA-CYBMUJFWSA-N 0 2 319.308 0.450 20 0 DCADLN C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001289598844 956765923 /nfs/dbraw/zinc/76/59/23/956765923.db2.gz KHWAYDBTPSVWGY-RIBAXMLMSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC001289598844 956765931 /nfs/dbraw/zinc/76/59/31/956765931.db2.gz KHWAYDBTPSVWGY-RIBAXMLMSA-N 0 2 312.263 0.541 20 0 DCADLN Cc1cc(C)n(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001292844212 957171294 /nfs/dbraw/zinc/17/12/94/957171294.db2.gz OPYDCFUZMYDDDN-SNVBAGLBSA-N 0 2 324.278 0.633 20 0 DCADLN Cc1cc(C)n(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001292844212 957171297 /nfs/dbraw/zinc/17/12/97/957171297.db2.gz OPYDCFUZMYDDDN-SNVBAGLBSA-N 0 2 324.278 0.633 20 0 DCADLN COCCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001413993484 957489664 /nfs/dbraw/zinc/48/96/64/957489664.db2.gz BBFCMTXORGSHNS-MRTMQBJTSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C ZINC001413993484 957489669 /nfs/dbraw/zinc/48/96/69/957489669.db2.gz BBFCMTXORGSHNS-MRTMQBJTSA-N 0 2 314.279 0.886 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@@H]2CCCO2)C1 ZINC001417254035 957816437 /nfs/dbraw/zinc/81/64/37/957816437.db2.gz GIIXCHSFNXCOIS-DTWKUNHWSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)[C@@H]2CCCO2)C1 ZINC001417254035 957816445 /nfs/dbraw/zinc/81/64/45/957816445.db2.gz GIIXCHSFNXCOIS-DTWKUNHWSA-N 0 2 312.263 0.735 20 0 DCADLN COCCOCC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001296106965 957901317 /nfs/dbraw/zinc/90/13/17/957901317.db2.gz VBFKNVQQPCMNSX-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN COCCOCC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001296106965 957901323 /nfs/dbraw/zinc/90/13/23/957901323.db2.gz VBFKNVQQPCMNSX-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN CO[C@](C)([C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC001361409955 957942893 /nfs/dbraw/zinc/94/28/93/957942893.db2.gz CBRBECMAUQJOIL-RFAUZJTJSA-N 0 2 319.365 0.391 20 0 DCADLN Cc1cc(CNC(=O)[C@H]2CCCN(CC(=O)[O-])C2=O)cc(C)[nH+]1 ZINC001594304474 957952996 /nfs/dbraw/zinc/95/29/96/957952996.db2.gz PLAXVAZUGMNDSG-CYBMUJFWSA-N 0 2 319.361 0.638 20 0 DCADLN COCC[C@H](C)CNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001361506979 958033083 /nfs/dbraw/zinc/03/30/83/958033083.db2.gz CSTUMECVOGTJJP-JTQLQIEISA-N 0 2 306.366 0.662 20 0 DCADLN Cc1cccn2c(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)cnc12 ZINC001361568842 958094526 /nfs/dbraw/zinc/09/45/26/958094526.db2.gz IRCSMENWUKTISB-UHFFFAOYSA-N 0 2 323.316 0.666 20 0 DCADLN COc1ccc(C[N@H+](C)[C@H]2CCN(CC(=O)[O-])C2=O)c(OC)c1 ZINC001594092282 958358158 /nfs/dbraw/zinc/35/81/58/958358158.db2.gz XJOYTQOOHZJZCD-ZDUSSCGKSA-N 0 2 322.361 0.821 20 0 DCADLN COc1ccc(C[N@@H+](C)[C@H]2CCN(CC(=O)[O-])C2=O)c(OC)c1 ZINC001594092282 958358172 /nfs/dbraw/zinc/35/81/72/958358172.db2.gz XJOYTQOOHZJZCD-ZDUSSCGKSA-N 0 2 322.361 0.821 20 0 DCADLN CC[C@@H](COC)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001361927218 958499035 /nfs/dbraw/zinc/49/90/35/958499035.db2.gz KGISZTMHINIYDP-JTQLQIEISA-N 0 2 306.322 0.421 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccoc1 ZINC001367794784 958593036 /nfs/dbraw/zinc/59/30/36/958593036.db2.gz LJRISTQTGTXCGB-SNVBAGLBSA-N 0 2 307.354 0.673 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccoc1 ZINC001367794784 958593046 /nfs/dbraw/zinc/59/30/46/958593046.db2.gz LJRISTQTGTXCGB-SNVBAGLBSA-N 0 2 307.354 0.673 20 0 DCADLN CC(=O)NCC[N@H+]([C@H](C)C(=O)[O-])[C@H]1CCCN(C(C)C)C1=O ZINC001588508207 958747645 /nfs/dbraw/zinc/74/76/45/958747645.db2.gz DUTPRSOUEZYHSX-YPMHNXCESA-N 0 2 313.398 0.297 20 0 DCADLN CC(=O)NCC[N@@H+]([C@H](C)C(=O)[O-])[C@H]1CCCN(C(C)C)C1=O ZINC001588508207 958747649 /nfs/dbraw/zinc/74/76/49/958747649.db2.gz DUTPRSOUEZYHSX-YPMHNXCESA-N 0 2 313.398 0.297 20 0 DCADLN O=C(NC[C@@H]1CCCCO1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001367907848 958835517 /nfs/dbraw/zinc/83/55/17/958835517.db2.gz QMMCCPHGXQUHOI-QWRGUYRKSA-N 0 2 309.370 0.968 20 0 DCADLN C[NH+](C)CC(=O)Nc1ccc(NC(=O)CCc2cn[nH]n2)cc1 ZINC001362193548 958906769 /nfs/dbraw/zinc/90/67/69/958906769.db2.gz ORUVWLZCLIKFQT-UHFFFAOYSA-N 0 2 316.365 0.876 20 0 DCADLN CCc1n[nH]c(NC(=O)c2ccsc2S(N)(=O)=O)n1 ZINC001362197034 958912633 /nfs/dbraw/zinc/91/26/33/958912633.db2.gz GAKBDIXCUHUGGZ-UHFFFAOYSA-N 0 2 301.353 0.328 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)N(C)CCCC(=O)[O-])ccc2[nH+]1 ZINC001594428391 959150755 /nfs/dbraw/zinc/15/07/55/959150755.db2.gz REIQGFQMBYPPCI-UHFFFAOYSA-N 0 2 318.333 0.904 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(=O)[nH]cc1Br ZINC001362417534 959316728 /nfs/dbraw/zinc/31/67/28/959316728.db2.gz VOJHYGZYZCDVOD-UHFFFAOYSA-N 0 2 314.099 0.303 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001377027737 959348954 /nfs/dbraw/zinc/34/89/54/959348954.db2.gz PJVVVVCMHJJCNQ-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)n(C)n1 ZINC001377027737 959348972 /nfs/dbraw/zinc/34/89/72/959348972.db2.gz PJVVVVCMHJJCNQ-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN O=C(C1=C[S@@](=O)CCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362589397 959654798 /nfs/dbraw/zinc/65/47/98/959654798.db2.gz ZLNJBYCCSROVFT-FPVGNUTFSA-N 0 2 310.379 0.643 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1C[C@@H](O)C1 ZINC001362775345 959970128 /nfs/dbraw/zinc/97/01/28/959970128.db2.gz WIIMECDEEPUONL-TUAOUCFPSA-N 0 2 302.334 0.681 20 0 DCADLN O=C(Cc1cncs1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377407516 960042569 /nfs/dbraw/zinc/04/25/69/960042569.db2.gz NRWCXTVQUUYCHC-SECBINFHSA-N 0 2 322.394 0.290 20 0 DCADLN O=C(Cc1cncs1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377407516 960042580 /nfs/dbraw/zinc/04/25/80/960042580.db2.gz NRWCXTVQUUYCHC-SECBINFHSA-N 0 2 322.394 0.290 20 0 DCADLN NS(=O)(=O)C1(CNC(=O)c2cc(F)c(O)c(F)c2)CCC1 ZINC001362919706 960178206 /nfs/dbraw/zinc/17/82/06/960178206.db2.gz ZQPPYZMNRDMZQF-UHFFFAOYSA-N 0 2 320.317 0.611 20 0 DCADLN C[C@](CO)(NC(=O)C[C@@H]1SC(=N)NC1=O)c1ccc(O)cc1 ZINC001362960556 960229927 /nfs/dbraw/zinc/22/99/27/960229927.db2.gz VKYOYFCQYFZRQB-IINYFYTJSA-N 0 2 323.374 0.272 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC[C@@H]2CCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368764615 960239881 /nfs/dbraw/zinc/23/98/81/960239881.db2.gz LNJKUSWOTMDQPG-UTUOFQBUSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC[C@@H]2CCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368764615 960239884 /nfs/dbraw/zinc/23/98/84/960239884.db2.gz LNJKUSWOTMDQPG-UTUOFQBUSA-N 0 2 323.397 0.406 20 0 DCADLN CCn1ncc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1C1CC1 ZINC001363038558 960330391 /nfs/dbraw/zinc/33/03/91/960330391.db2.gz OJIABUCKIMEQPB-UHFFFAOYSA-N 0 2 303.322 0.912 20 0 DCADLN CN1C(=O)CCC[C@@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363056971 960354422 /nfs/dbraw/zinc/35/44/22/960354422.db2.gz UCVAJYUEQDAGID-NXEZZACHSA-N 0 2 307.354 0.227 20 0 DCADLN Cn1cc([C@@H]2CCCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)nn1 ZINC001363072564 960371085 /nfs/dbraw/zinc/37/10/85/960371085.db2.gz NMNLZZJEEIDXNH-PSASIEDQSA-N 0 2 322.394 0.078 20 0 DCADLN CN(C[C@]12CCC[C@H]1OCC2)C(=O)C[C@@H]1SC(=N)NC1=O ZINC001363072825 960371866 /nfs/dbraw/zinc/37/18/66/960371866.db2.gz BEIVWTBNNRKYRT-IMSIIYSGSA-N 0 2 311.407 0.960 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C(=O)NCCn2cc[nH+]c2)c1 ZINC001605792617 972503325 /nfs/dbraw/zinc/50/33/25/972503325.db2.gz GLYJLVJMWMVPGG-UHFFFAOYSA-N 0 2 302.290 0.336 20 0 DCADLN CCOC(=O)c1c(C)nc(=O)[nH]c1SCc1n[nH]c(=O)[nH]1 ZINC001363192849 960570753 /nfs/dbraw/zinc/57/07/53/960570753.db2.gz ZRUDRAXLCUWXAL-UHFFFAOYSA-N 0 2 311.323 0.783 20 0 DCADLN COC(=O)c1cc(C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)no1 ZINC001363292838 960814221 /nfs/dbraw/zinc/81/42/21/960814221.db2.gz UILRWFZVZFSPFR-UHFFFAOYSA-N 0 2 307.310 0.665 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378490339 960849299 /nfs/dbraw/zinc/84/92/99/960849299.db2.gz MWOMAYVFKRYHAW-ONGXEEELSA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)C1([C@]2(O)CCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001363334459 960921316 /nfs/dbraw/zinc/92/13/16/960921316.db2.gz RLXBUAGWYZOGJI-AWEZNQCLSA-N 0 2 310.354 0.181 20 0 DCADLN COC(=O)C1([C@]2(O)CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001363334459 960921328 /nfs/dbraw/zinc/92/13/28/960921328.db2.gz RLXBUAGWYZOGJI-AWEZNQCLSA-N 0 2 310.354 0.181 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@]2(c3ccccc3)C[C@@H](O)C2)S1 ZINC001363384245 961036634 /nfs/dbraw/zinc/03/66/34/961036634.db2.gz SWHNNNDXTQZQET-ZIBATOQPSA-N 0 2 319.386 0.709 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1onc2c1CCCC2 ZINC001571084681 961037783 /nfs/dbraw/zinc/03/77/83/961037783.db2.gz FQLPTQZNOMLADT-LLVKDONJSA-N 0 2 304.306 0.702 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1onc2c1CCCC2 ZINC001571084681 961037802 /nfs/dbraw/zinc/03/78/02/961037802.db2.gz FQLPTQZNOMLADT-LLVKDONJSA-N 0 2 304.306 0.702 20 0 DCADLN CC(C)c1oncc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001363436991 961149082 /nfs/dbraw/zinc/14/90/82/961149082.db2.gz NNLGZPNGOMSTND-UHFFFAOYSA-N 0 2 302.294 0.821 20 0 DCADLN COc1cccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1OC ZINC001363487972 961258735 /nfs/dbraw/zinc/25/87/35/961258735.db2.gz FXAPAKPAILXAKH-UHFFFAOYSA-N 0 2 305.290 0.835 20 0 DCADLN COc1cccc(C(=O)NCC2=NC(=O)CC(=O)N2)c1OC ZINC001363487972 961258755 /nfs/dbraw/zinc/25/87/55/961258755.db2.gz FXAPAKPAILXAKH-UHFFFAOYSA-N 0 2 305.290 0.835 20 0 DCADLN C[C@H](C[NH2+]Cc1ccccn1)N(C)C(=O)[C@H]1C[N@H+](C)CCO1 ZINC001378754503 961410917 /nfs/dbraw/zinc/41/09/17/961410917.db2.gz NGCGJXOXDJZIDQ-UKRRQHHQSA-N 0 2 306.410 0.349 20 0 DCADLN CC(C)CCC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001447099283 1013255409 /nfs/dbraw/zinc/25/54/09/1013255409.db2.gz DJBULWGBFDKUQV-NSHDSACASA-N 0 2 311.386 0.264 20 0 DCADLN CN(C(=O)C1([NH+](C)C)CCC1)[C@H]1CC[N@H+](Cc2ccnn2C)C1 ZINC001378141173 961515559 /nfs/dbraw/zinc/51/55/59/961515559.db2.gz CKKCJUOUQRCOTR-AWEZNQCLSA-N 0 2 319.453 0.937 20 0 DCADLN Cn1c[nH+]cc1C1CCN(C(=O)c2cc(C(=O)[O-])nn2C)CC1 ZINC001571133598 961596134 /nfs/dbraw/zinc/59/61/34/961596134.db2.gz QLTJOVAMJUDOQH-UHFFFAOYSA-N 0 2 317.349 0.872 20 0 DCADLN CCn1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C2CC2)n1 ZINC001571133911 961604966 /nfs/dbraw/zinc/60/49/66/961604966.db2.gz ZRLFEWBIGDCDHL-GFCCVEGCSA-N 0 2 317.349 0.929 20 0 DCADLN CCn1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C2CC2)n1 ZINC001571133911 961604981 /nfs/dbraw/zinc/60/49/81/961604981.db2.gz ZRLFEWBIGDCDHL-GFCCVEGCSA-N 0 2 317.349 0.929 20 0 DCADLN CSc1nc(C)c(CCC(=O)NCc2n[nH]c(C)n2)c(=O)[nH]1 ZINC001363662458 961653010 /nfs/dbraw/zinc/65/30/10/961653010.db2.gz HCWJCXZOTFWZAH-UHFFFAOYSA-N 0 2 322.394 0.888 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC(c2ccccn2)=NO1)c1nn(C)cc1O ZINC001363667574 961660731 /nfs/dbraw/zinc/66/07/31/961660731.db2.gz PGFSCSJVAJXDKE-ZANVPECISA-N 0 2 315.333 0.891 20 0 DCADLN COC(=O)[C@@]1(O)CC[N@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001571140718 961662865 /nfs/dbraw/zinc/66/28/65/961662865.db2.gz JBWZFYXUGSYMFB-OAHLLOKOSA-N 0 2 319.317 0.371 20 0 DCADLN COC(=O)[C@@]1(O)CC[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001571140718 961662871 /nfs/dbraw/zinc/66/28/71/961662871.db2.gz JBWZFYXUGSYMFB-OAHLLOKOSA-N 0 2 319.317 0.371 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2(CCF)CC2)[nH]1 ZINC001363669928 961664135 /nfs/dbraw/zinc/66/41/35/961664135.db2.gz AKZLCWNFJAFKHH-UHFFFAOYSA-N 0 2 304.347 0.105 20 0 DCADLN CC[C@@H](SC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363675214 961676956 /nfs/dbraw/zinc/67/69/56/961676956.db2.gz ZFAQYTWQDHXHRI-SSDOTTSWSA-N 0 2 306.413 0.107 20 0 DCADLN Cc1nonc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001378926107 961714055 /nfs/dbraw/zinc/71/40/55/961714055.db2.gz ROBCDISXGNHYAI-SSDOTTSWSA-N 0 2 324.234 0.657 20 0 DCADLN Cc1nonc1C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001378926107 961714071 /nfs/dbraw/zinc/71/40/71/961714071.db2.gz ROBCDISXGNHYAI-SSDOTTSWSA-N 0 2 324.234 0.657 20 0 DCADLN C/C(=C/C(=O)N1CC[C@](F)(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001334185693 961769643 /nfs/dbraw/zinc/76/96/43/961769643.db2.gz DZVBNRBXPYYDDV-KOTGUFOOSA-N 0 2 300.330 0.290 20 0 DCADLN CC[C@](C)(NC(=O)C1=NN(c2ccccc2)CC1=O)C(N)=O ZINC001363733542 961782345 /nfs/dbraw/zinc/78/23/45/961782345.db2.gz UQUFWFUHHUGLLG-HNNXBMFYSA-N 0 2 302.334 0.962 20 0 DCADLN O=C(N[C@H]1CC[C@@H](O)[C@@H](O)C1)C1=NN(c2ccccc2)CC1=O ZINC001363755220 961813459 /nfs/dbraw/zinc/81/34/59/961813459.db2.gz WMERRNLNWDIRIK-UHTWSYAYSA-N 0 2 317.345 0.582 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNC(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001571155841 961862534 /nfs/dbraw/zinc/86/25/34/961862534.db2.gz FVBJHRWMJOTROC-VXGBXAGGSA-N 0 2 322.365 0.788 20 0 DCADLN CCc1nc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001379759060 961958765 /nfs/dbraw/zinc/95/87/65/961958765.db2.gz OEJJINDKKJVTOD-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1nc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001379759060 961958777 /nfs/dbraw/zinc/95/87/77/961958777.db2.gz OEJJINDKKJVTOD-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN CC1(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC[NH+](Cc2cnns2)CC1 ZINC001571164524 961976370 /nfs/dbraw/zinc/97/63/70/961976370.db2.gz AMDDSCCGWDHXII-GHMZBOCLSA-N 0 2 324.406 0.730 20 0 DCADLN CCOC(=O)c1cc(C(=O)N[C@H](C)c2nn(C)cc2O)on1 ZINC001363851990 962024651 /nfs/dbraw/zinc/02/46/51/962024651.db2.gz OQHOQDBORNASPV-SSDOTTSWSA-N 0 2 308.294 0.781 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@@H]2CCO[C@@H](C)C2)[nH]1 ZINC001364013924 962295258 /nfs/dbraw/zinc/29/52/58/962295258.db2.gz XXXNDQVQDHJOBC-VHSXEESVSA-N 0 2 318.399 0.186 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC001574102207 962401673 /nfs/dbraw/zinc/40/16/73/962401673.db2.gz SIDNIALPYGRWCW-PXTSUWAFSA-N 0 2 318.373 0.944 20 0 DCADLN COCCN(CCC(=O)[O-])C(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC001354042222 962696432 /nfs/dbraw/zinc/69/64/32/962696432.db2.gz ZGWAIEBOHKNIFY-QBFSEMIESA-N 0 2 314.382 0.215 20 0 DCADLN CCc1nc[nH]c1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001364242950 962738633 /nfs/dbraw/zinc/73/86/33/962738633.db2.gz BRLFWGXUCWIUHD-UHFFFAOYSA-N 0 2 305.338 0.796 20 0 DCADLN Cc1nn(C)cc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001364247415 962744730 /nfs/dbraw/zinc/74/47/30/962744730.db2.gz TUOGSOJMYBRAFT-UHFFFAOYSA-N 0 2 305.338 0.553 20 0 DCADLN CC[C@H](F)C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001380233951 962905168 /nfs/dbraw/zinc/90/51/68/962905168.db2.gz AVVCFUKOHMCZIL-LBPRGKRZSA-N 0 2 321.356 0.413 20 0 DCADLN CCC[C@@H](C)C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001380816091 963603884 /nfs/dbraw/zinc/60/38/84/963603884.db2.gz QMDSTCBSABIAPO-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1cc(C)n(CCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC000314190492 963722859 /nfs/dbraw/zinc/72/28/59/963722859.db2.gz YURMCEKKVVFDLK-LBPRGKRZSA-N 0 2 305.338 0.425 20 0 DCADLN Cc1cc(C)n(CCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC000314190492 963722862 /nfs/dbraw/zinc/72/28/62/963722862.db2.gz YURMCEKKVVFDLK-LBPRGKRZSA-N 0 2 305.338 0.425 20 0 DCADLN C[C@H](CNC(=O)c1cnn[nH]1)N(C)Cc1nnc(C2CC2)[nH]1 ZINC001368959957 964196487 /nfs/dbraw/zinc/19/64/87/964196487.db2.gz HDAZWVCDCMQDLV-MRVPVSSYSA-N 0 2 304.358 0.051 20 0 DCADLN CC[C@H](SC)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369068569 964360346 /nfs/dbraw/zinc/36/03/46/964360346.db2.gz CFYWJGRUFXQITF-WPRPVWTQSA-N 0 2 313.427 0.588 20 0 DCADLN CCN(CCNC(=O)c1ccncn1)C(=O)C(F)C(F)(F)F ZINC001373924464 964787735 /nfs/dbraw/zinc/78/77/35/964787735.db2.gz QFWFVQROSDHTNU-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1ccncn1)C(=O)[C@H](F)C(F)(F)F ZINC001373924464 964787738 /nfs/dbraw/zinc/78/77/38/964787738.db2.gz QFWFVQROSDHTNU-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN C[C@](O)(CC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001375822046 964870852 /nfs/dbraw/zinc/87/08/52/964870852.db2.gz PXKNACGFPJMIAL-GJZGRUSLSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@](O)(CC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001375822046 964870861 /nfs/dbraw/zinc/87/08/61/964870861.db2.gz PXKNACGFPJMIAL-GJZGRUSLSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(CC[C@H]1CCCO1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001374044787 964941234 /nfs/dbraw/zinc/94/12/34/964941234.db2.gz UXWZMSQQLUVCGI-LLVKDONJSA-N 0 2 309.370 0.114 20 0 DCADLN CCCN(CCNC(=O)COC)C(=O)C(F)C(F)(F)F ZINC001374074180 964980136 /nfs/dbraw/zinc/98/01/36/964980136.db2.gz LVIBSBPWVNRUCE-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCCN(CCNC(=O)COC)C(=O)[C@H](F)C(F)(F)F ZINC001374074180 964980139 /nfs/dbraw/zinc/98/01/39/964980139.db2.gz LVIBSBPWVNRUCE-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CN(C[C@@H](O)C[N@H+](C)C/C=C\Cl)C(=O)CCc1nc[nH]n1 ZINC001369498821 965091227 /nfs/dbraw/zinc/09/12/27/965091227.db2.gz WAPMAWMEBMKFEC-UUSYTGMJSA-N 0 2 315.805 0.241 20 0 DCADLN Cc1ccc(CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC001374277215 965216479 /nfs/dbraw/zinc/21/64/79/965216479.db2.gz BUIODDWIDWKAJT-UHFFFAOYSA-N 0 2 305.338 0.299 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1cnn(C)n1 ZINC001374489865 965446166 /nfs/dbraw/zinc/44/61/66/965446166.db2.gz IJSFQWXZYCKXQB-VIFPVBQESA-N 0 2 311.283 0.606 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)Cc1cnn(C)n1 ZINC001374489865 965446173 /nfs/dbraw/zinc/44/61/73/965446173.db2.gz IJSFQWXZYCKXQB-VIFPVBQESA-N 0 2 311.283 0.606 20 0 DCADLN CN(CC[N@H+](C)CCn1cccn1)C(=O)CCc1[nH+]ccn1C ZINC001374515424 965473842 /nfs/dbraw/zinc/47/38/42/965473842.db2.gz PAQPIWBIZYSEPX-UHFFFAOYSA-N 0 2 318.425 0.640 20 0 DCADLN O=C(CC1(O)CCC1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001370862922 966549250 /nfs/dbraw/zinc/54/92/50/966549250.db2.gz MWWZDLYZJMAQAT-NSHDSACASA-N 0 2 323.397 0.286 20 0 DCADLN O=C(CC1(O)CCC1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001370862922 966549256 /nfs/dbraw/zinc/54/92/56/966549256.db2.gz MWWZDLYZJMAQAT-NSHDSACASA-N 0 2 323.397 0.286 20 0 DCADLN COCCC(=O)N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001371677768 967235878 /nfs/dbraw/zinc/23/58/78/967235878.db2.gz MAFPUBOEIZLOGX-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)N[C@H]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001371677768 967235888 /nfs/dbraw/zinc/23/58/88/967235888.db2.gz MAFPUBOEIZLOGX-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001371798288 967346669 /nfs/dbraw/zinc/34/66/69/967346669.db2.gz CTKKPTLJRQNELH-OLZOCXBDSA-N 0 2 321.425 0.398 20 0 DCADLN C[C@](CNC(=O)c1[nH]ncc1F)(NC(=O)C(F)F)C1CC1 ZINC001430782742 1013729165 /nfs/dbraw/zinc/72/91/65/1013729165.db2.gz QUPBDMKLMKCAMH-GFCCVEGCSA-N 0 2 304.272 0.829 20 0 DCADLN Cc1ncccc1CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372103247 967649186 /nfs/dbraw/zinc/64/91/86/967649186.db2.gz OVZPYZKCODBWOK-UHFFFAOYSA-N 0 2 304.354 0.004 20 0 DCADLN Cc1ncccc1CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372103247 967649194 /nfs/dbraw/zinc/64/91/94/967649194.db2.gz OVZPYZKCODBWOK-UHFFFAOYSA-N 0 2 304.354 0.004 20 0 DCADLN CC[NH+](CCNC(=O)[C@H]1CCCC[N@@H+]1C(C)C)CC(=O)NC ZINC001372421137 967979211 /nfs/dbraw/zinc/97/92/11/967979211.db2.gz ATBUBROIDPLHHS-CQSZACIVSA-N 0 2 312.458 0.433 20 0 DCADLN O=C([O-])CSCC(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000382521454 968099691 /nfs/dbraw/zinc/09/96/91/968099691.db2.gz QTWPQQFVXCLKMR-NSHDSACASA-N 0 2 302.396 0.127 20 0 DCADLN O=C(NC[C@@H]1CC[N@H+](CCNC(=O)C2CC2)C1)c1[nH]ncc1F ZINC001372645093 968210513 /nfs/dbraw/zinc/21/05/13/968210513.db2.gz FXVRXQBSWBPMJX-JTQLQIEISA-N 0 2 323.372 0.127 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@]12C[C@H]1CCC2 ZINC001372837791 968443280 /nfs/dbraw/zinc/44/32/80/968443280.db2.gz PDJSXVCIWYNEMB-MEBBXXQBSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@]12C[C@H]1CCC2 ZINC001372837791 968443286 /nfs/dbraw/zinc/44/32/86/968443286.db2.gz PDJSXVCIWYNEMB-MEBBXXQBSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]c1 ZINC001372906714 968519191 /nfs/dbraw/zinc/51/91/91/968519191.db2.gz NZFFHQDXAKQFHX-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]c1 ZINC001372906714 968519192 /nfs/dbraw/zinc/51/91/92/968519192.db2.gz NZFFHQDXAKQFHX-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN CC(C)C(=O)N[C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001373114242 968725021 /nfs/dbraw/zinc/72/50/21/968725021.db2.gz NXNPNPPGNSAHCL-SNVBAGLBSA-N 0 2 318.381 0.701 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)C[N@@H+]2CC[C@H](C)C2)c1[O-] ZINC001373120997 968732186 /nfs/dbraw/zinc/73/21/86/968732186.db2.gz VRRVEKSODYFBBA-UWVGGRQHSA-N 0 2 323.397 0.000 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)C[N@H+]2CC[C@H](C)C2)c1[O-] ZINC001373120997 968732192 /nfs/dbraw/zinc/73/21/92/968732192.db2.gz VRRVEKSODYFBBA-UWVGGRQHSA-N 0 2 323.397 0.000 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001373283409 968896001 /nfs/dbraw/zinc/89/60/01/968896001.db2.gz FVNPCHTVMOGLAI-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001373283409 968896009 /nfs/dbraw/zinc/89/60/09/968896009.db2.gz FVNPCHTVMOGLAI-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN CN(CCNC(=O)Cn1cccn1)C(=O)C(F)C(F)(F)F ZINC001373355371 968971963 /nfs/dbraw/zinc/97/19/63/968971963.db2.gz LDTGEOHLNWYLPW-SECBINFHSA-N 0 2 310.251 0.358 20 0 DCADLN CN(CCNC(=O)Cn1cccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001373355371 968971971 /nfs/dbraw/zinc/97/19/71/968971971.db2.gz LDTGEOHLNWYLPW-SECBINFHSA-N 0 2 310.251 0.358 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2nccnc2c1 ZINC000320283806 970642503 /nfs/dbraw/zinc/64/25/03/970642503.db2.gz ONQVFBDKPSKNQM-ZDUSSCGKSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2nccnc2c1 ZINC000320283806 970642509 /nfs/dbraw/zinc/64/25/09/970642509.db2.gz ONQVFBDKPSKNQM-ZDUSSCGKSA-N 0 2 311.301 0.779 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1 ZINC000320600713 970683253 /nfs/dbraw/zinc/68/32/53/970683253.db2.gz AJXQWPCWBMASLG-UTUOFQBUSA-N 0 2 312.366 0.241 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)CSCC(=O)[O-] ZINC001603375917 972931021 /nfs/dbraw/zinc/93/10/21/972931021.db2.gz XOQLREGEHJARHA-MNOVXSKESA-N 0 2 302.396 0.126 20 0 DCADLN Cc1ccnc([C@@H]2CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C2)c1 ZINC001605359552 973279825 /nfs/dbraw/zinc/27/98/25/973279825.db2.gz GANOCCRHLJYWLF-GFCCVEGCSA-N 0 2 312.391 0.679 20 0 DCADLN Cc1ccnc([C@@H]2CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C2)c1 ZINC001605359552 973279829 /nfs/dbraw/zinc/27/98/29/973279829.db2.gz GANOCCRHLJYWLF-GFCCVEGCSA-N 0 2 312.391 0.679 20 0 DCADLN Cc1csc(C2([NH2+]CCS(=O)(=O)CC(=O)[O-])CCC2)n1 ZINC001605381556 973343685 /nfs/dbraw/zinc/34/36/85/973343685.db2.gz XPGQNZZPHWOUSG-UHFFFAOYSA-N 0 2 318.420 0.920 20 0 DCADLN Cc1[nH]c([C@H]2CCCN2C(=O)[C@H]2CC(C(=O)[O-])=NO2)[nH+]c1C ZINC001605402667 973389387 /nfs/dbraw/zinc/38/93/87/973389387.db2.gz ARMNBWJTXVGIEU-GHMZBOCLSA-N 0 2 306.322 0.920 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCO[C@H](C(F)(F)F)CC2)C1=O ZINC001606232677 973499997 /nfs/dbraw/zinc/49/99/97/973499997.db2.gz XATNCHFWZDHNSY-BDAKNGLRSA-N 0 2 310.272 0.325 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCO[C@H](C(F)(F)F)CC2)C1=O ZINC001606232677 973500003 /nfs/dbraw/zinc/50/00/03/973500003.db2.gz XATNCHFWZDHNSY-BDAKNGLRSA-N 0 2 310.272 0.325 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001605533315 973721756 /nfs/dbraw/zinc/72/17/56/973721756.db2.gz ZFKYCMDOBBGCEE-VIFPVBQESA-N 0 2 303.322 0.861 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[NH+]1CC(CO)(c2ccccc2)C1 ZINC001605538935 973733721 /nfs/dbraw/zinc/73/37/21/973733721.db2.gz LUYPIFPDPSJIAU-UHFFFAOYSA-N 0 2 301.346 0.864 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@@H+]1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC001605539369 973739391 /nfs/dbraw/zinc/73/93/91/973739391.db2.gz ZVPGPNYBGGZLEO-IONNQARKSA-N 0 2 307.272 0.721 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@H+]1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC001605539369 973739399 /nfs/dbraw/zinc/73/93/99/973739399.db2.gz ZVPGPNYBGGZLEO-IONNQARKSA-N 0 2 307.272 0.721 20 0 DCADLN Cn1nccc1[C@H]1CCC[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001605570532 973831269 /nfs/dbraw/zinc/83/12/69/973831269.db2.gz ZYKJEAJZDKTUNM-NSHDSACASA-N 0 2 315.395 0.099 20 0 DCADLN Cn1nccc1[C@H]1CCC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001605570532 973831281 /nfs/dbraw/zinc/83/12/81/973831281.db2.gz ZYKJEAJZDKTUNM-NSHDSACASA-N 0 2 315.395 0.099 20 0 DCADLN CC[C@H](C(=O)N(OC)[C@@H](C)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001603625551 973936712 /nfs/dbraw/zinc/93/67/12/973936712.db2.gz YLPBTKKOPYWSOX-QJPTWQEYSA-N 0 2 302.371 0.739 20 0 DCADLN CC[C@H](C(=O)N(OC)[C@@H](C)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001603625551 973936716 /nfs/dbraw/zinc/93/67/16/973936716.db2.gz YLPBTKKOPYWSOX-QJPTWQEYSA-N 0 2 302.371 0.739 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001603628573 973947965 /nfs/dbraw/zinc/94/79/65/973947965.db2.gz PXFSRSQMKIPMPL-AGIUHOORSA-N 0 2 313.398 0.650 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001603628573 973947970 /nfs/dbraw/zinc/94/79/70/973947970.db2.gz PXFSRSQMKIPMPL-AGIUHOORSA-N 0 2 313.398 0.650 20 0 DCADLN CCc1[nH]c(C[N@@H+]2CC[C@@H](NS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001605772760 1169999244 /nfs/dbraw/zinc/99/92/44/1169999244.db2.gz WCIINSMYVQGJLA-SECBINFHSA-N 0 2 315.395 0.399 20 0 DCADLN CCc1[nH]c(C[N@H+]2CC[C@@H](NS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001605772760 1169999252 /nfs/dbraw/zinc/99/92/52/1169999252.db2.gz WCIINSMYVQGJLA-SECBINFHSA-N 0 2 315.395 0.399 20 0 DCADLN CCc1nc(NC(=O)[C@@]2(C)C[C@@H](O)C[N@@H+]2C)sc1C(=O)[O-] ZINC001605854072 1170014280 /nfs/dbraw/zinc/01/42/80/1170014280.db2.gz OLUBEPJNWNGULT-FUXBKTLASA-N 0 2 313.379 0.797 20 0 DCADLN CCc1nc(NC(=O)[C@@]2(C)C[C@@H](O)C[N@H+]2C)sc1C(=O)[O-] ZINC001605854072 1170014286 /nfs/dbraw/zinc/01/42/86/1170014286.db2.gz OLUBEPJNWNGULT-FUXBKTLASA-N 0 2 313.379 0.797 20 0 DCADLN C[C@@H](/C=C\C(=O)[O-])NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC001592210847 977257034 /nfs/dbraw/zinc/25/70/34/977257034.db2.gz GZCDEBBOUNRWDH-ZADCQDASSA-N 0 2 324.402 0.982 20 0 DCADLN C[C@@H](/C=C\C(=O)[O-])NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC001592210847 977257038 /nfs/dbraw/zinc/25/70/38/977257038.db2.gz GZCDEBBOUNRWDH-ZADCQDASSA-N 0 2 324.402 0.982 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)Nc1ncnc(C(=O)[O-])c1OC ZINC001595131607 979554813 /nfs/dbraw/zinc/55/48/13/979554813.db2.gz YHBYWJQRPKRZQU-SNVBAGLBSA-N 0 2 310.354 0.706 20 0 DCADLN C[C@@](Cn1cccn1)([NH2+]Cc1ccc(C(=O)[O-])cc1F)C(N)=O ZINC001592923748 979926318 /nfs/dbraw/zinc/92/63/18/979926318.db2.gz QWDKWXPCQFAQRE-HNNXBMFYSA-N 0 2 320.324 0.754 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1CCO[C@@H]1C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001595484568 981223231 /nfs/dbraw/zinc/22/32/31/981223231.db2.gz SSEMHOPGWFFUAX-NDBYEHHHSA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1CCO[C@@H]1C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001595484568 981223236 /nfs/dbraw/zinc/22/32/36/981223236.db2.gz SSEMHOPGWFFUAX-NDBYEHHHSA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](C)[C@@H](C)C[S@](C)=O)C(=O)[O-] ZINC001594887564 982273453 /nfs/dbraw/zinc/27/34/53/982273453.db2.gz RACDOENGWDRUJG-NVYXEDHOSA-N 0 2 306.428 0.301 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)[C@@H](C)C[S@](C)=O)C(=O)[O-] ZINC001594887564 982273460 /nfs/dbraw/zinc/27/34/60/982273460.db2.gz RACDOENGWDRUJG-NVYXEDHOSA-N 0 2 306.428 0.301 20 0 DCADLN CO[C@@H]1C[C@H](c2nnc(C)[nH]2)N(C(=O)[C@H]2CCc3cn[nH]c32)C1 ZINC001550767940 1014854755 /nfs/dbraw/zinc/85/47/55/1014854755.db2.gz HWOYZVYCVQFEGF-GRYCIOLGSA-N 0 2 316.365 0.855 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)O[C@@H](Cc2ccccc2)C(=O)[O-])C1 ZINC001596442958 984142222 /nfs/dbraw/zinc/14/22/22/984142222.db2.gz XQCOOIDOMHMQQA-KBPBESRZSA-N 0 2 307.346 0.946 20 0 DCADLN CC[N@H+]1CCO[C@H](C(=O)O[C@@H](Cc2ccccc2)C(=O)[O-])C1 ZINC001596442958 984142225 /nfs/dbraw/zinc/14/22/25/984142225.db2.gz XQCOOIDOMHMQQA-KBPBESRZSA-N 0 2 307.346 0.946 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@@H](C)c1ncc(C(=O)[O-])s1 ZINC001596485661 984222139 /nfs/dbraw/zinc/22/21/39/984222139.db2.gz SJDKGMDYYMGMCX-LURJTMIESA-N 0 2 300.340 0.338 20 0 DCADLN CC(=CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1cnccn1 ZINC001588943764 984641237 /nfs/dbraw/zinc/64/12/37/984641237.db2.gz CBSNFLNOEAGWLZ-VCFDLTMXSA-N 0 2 301.306 0.415 20 0 DCADLN CC(=CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1cnccn1 ZINC001588943764 984641242 /nfs/dbraw/zinc/64/12/42/984641242.db2.gz CBSNFLNOEAGWLZ-VCFDLTMXSA-N 0 2 301.306 0.415 20 0 DCADLN CCOC(=O)c1cc(C[NH2+][C@@](CC)(COC)C(=O)[O-])on1 ZINC001596707057 984913806 /nfs/dbraw/zinc/91/38/06/984913806.db2.gz OPHHOMCQQDGZFC-ZDUSSCGKSA-N 0 2 300.311 0.821 20 0 DCADLN O=C(C[C@H]1CCOC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450374006 1015098048 /nfs/dbraw/zinc/09/80/48/1015098048.db2.gz KVSDXBSPDRDMFX-XCBNKYQSSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(C[C@H]1CCOC1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001450374006 1015098055 /nfs/dbraw/zinc/09/80/55/1015098055.db2.gz KVSDXBSPDRDMFX-XCBNKYQSSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ncccn1)NC(=O)C(F)C(F)(F)F ZINC001383807495 985109249 /nfs/dbraw/zinc/10/92/49/985109249.db2.gz ZIFKKOXAIOXFIX-JGVFFNPUSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ncccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001383807495 985109253 /nfs/dbraw/zinc/10/92/53/985109253.db2.gz ZIFKKOXAIOXFIX-JGVFFNPUSA-N 0 2 322.262 0.954 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001383846509 985133546 /nfs/dbraw/zinc/13/35/46/985133546.db2.gz COMVOEIJLLFHAB-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccncc1 ZINC001383846509 985133551 /nfs/dbraw/zinc/13/35/51/985133551.db2.gz COMVOEIJLLFHAB-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN CCOC(C[N@@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC)OCC ZINC001596788911 985254524 /nfs/dbraw/zinc/25/45/24/985254524.db2.gz CGPBGOUEWGONTI-MNOVXSKESA-N 0 2 303.355 0.724 20 0 DCADLN CCOC(C[N@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC)OCC ZINC001596788911 985254533 /nfs/dbraw/zinc/25/45/33/985254533.db2.gz CGPBGOUEWGONTI-MNOVXSKESA-N 0 2 303.355 0.724 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC001525252075 1015113459 /nfs/dbraw/zinc/11/34/59/1015113459.db2.gz JTYGCRKIYWCOQY-OOZYFLPDSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cnccn1 ZINC001525252075 1015113466 /nfs/dbraw/zinc/11/34/66/1015113466.db2.gz JTYGCRKIYWCOQY-OOZYFLPDSA-N 0 2 320.246 0.754 20 0 DCADLN CC(=O)NC1CCC(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])CC1 ZINC001589118299 985375352 /nfs/dbraw/zinc/37/53/52/985375352.db2.gz MTXLGHTWLUEJSA-BPCQOVAHSA-N 0 2 322.365 0.147 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[NH+]1CCC2(COC(=O)N2)CC1 ZINC001599960561 985772380 /nfs/dbraw/zinc/77/23/80/985772380.db2.gz URLQJXGJYICESR-UHFFFAOYSA-N 0 2 321.333 0.778 20 0 DCADLN C[C@@H]1C[N@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C[C@H](C2CC2)O1 ZINC001594509433 985776097 /nfs/dbraw/zinc/77/60/97/985776097.db2.gz YLLXMJTVMVCNAK-BXUZGUMPSA-N 0 2 310.394 0.809 20 0 DCADLN C[C@@H]1C[N@@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C[C@H](C2CC2)O1 ZINC001594509433 985776099 /nfs/dbraw/zinc/77/60/99/985776099.db2.gz YLLXMJTVMVCNAK-BXUZGUMPSA-N 0 2 310.394 0.809 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)CC2(O)CCC2)n[nH]1 ZINC001551658474 1015166462 /nfs/dbraw/zinc/16/64/62/1015166462.db2.gz JSONNZGJHGDBRK-NWDGAFQWSA-N 0 2 308.382 0.749 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@](C)(O)C2CCC2)n[nH]1 ZINC001551656710 1015166572 /nfs/dbraw/zinc/16/65/72/1015166572.db2.gz DASFRUCJPLIJFS-XJKCOSOUSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@]2(C)CCOC2)n[nH]1 ZINC001551668898 1015170890 /nfs/dbraw/zinc/17/08/90/1015170890.db2.gz IADXSHDLVYHGAZ-JMSVASOKSA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)C2(O)CCCC2)n[nH]1 ZINC001551678240 1015175986 /nfs/dbraw/zinc/17/59/86/1015175986.db2.gz XAEVDTPWQHHHPD-RYUDHWBXSA-N 0 2 308.382 0.749 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccnc(C(=O)[O-])c2)[C@@H](C)CO1 ZINC001594526595 986057123 /nfs/dbraw/zinc/05/71/23/986057123.db2.gz AGQDWKYQAYBAOX-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccnc(C(=O)[O-])c2)[C@@H](C)CO1 ZINC001594526595 986057132 /nfs/dbraw/zinc/05/71/32/986057132.db2.gz AGQDWKYQAYBAOX-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CCC[C@](C)(C(=O)[O-])C2)CCO1 ZINC001594528168 986094729 /nfs/dbraw/zinc/09/47/29/986094729.db2.gz RDMVTGRLHMNAQX-DOMZBBRYSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CCC[C@](C)(C(=O)[O-])C2)CCO1 ZINC001594528168 986094738 /nfs/dbraw/zinc/09/47/38/986094738.db2.gz RDMVTGRLHMNAQX-DOMZBBRYSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2cccc(C(=O)[O-])n2)[C@@H](C)CO1 ZINC001594528393 986098164 /nfs/dbraw/zinc/09/81/64/986098164.db2.gz UKFMXBKLWNWANK-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2cccc(C(=O)[O-])n2)[C@@H](C)CO1 ZINC001594528393 986098175 /nfs/dbraw/zinc/09/81/75/986098175.db2.gz UKFMXBKLWNWANK-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN COCCC(=O)N1CC[C@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385226207 986170165 /nfs/dbraw/zinc/17/01/65/986170165.db2.gz QWACPAXYPAZVIR-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N1CC[C@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385226207 986170170 /nfs/dbraw/zinc/17/01/70/986170170.db2.gz QWACPAXYPAZVIR-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2cnon2)C1 ZINC001385407517 986327038 /nfs/dbraw/zinc/32/70/38/986327038.db2.gz ZKHYSJUSVMYAQR-POYBYMJQSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(C(=O)c2cnon2)C1 ZINC001385407517 986327044 /nfs/dbraw/zinc/32/70/44/986327044.db2.gz ZKHYSJUSVMYAQR-POYBYMJQSA-N 0 2 324.234 0.643 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC001594607230 986577467 /nfs/dbraw/zinc/57/74/67/986577467.db2.gz FPKXPQZHHJREAI-VUDBWIFFSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC001594607230 986577474 /nfs/dbraw/zinc/57/74/74/986577474.db2.gz FPKXPQZHHJREAI-VUDBWIFFSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H](C(=O)NCCc1ccccc1)[N@@H+]1C[C@H](C)O[C@H](C(=O)[O-])C1 ZINC001589409597 986604711 /nfs/dbraw/zinc/60/47/11/986604711.db2.gz HPNMFZSXEHHUST-GUTXKFCHSA-N 0 2 320.389 0.908 20 0 DCADLN C[C@H](C(=O)NCCc1ccccc1)[N@H+]1C[C@H](C)O[C@H](C(=O)[O-])C1 ZINC001589409597 986604717 /nfs/dbraw/zinc/60/47/17/986604717.db2.gz HPNMFZSXEHHUST-GUTXKFCHSA-N 0 2 320.389 0.908 20 0 DCADLN CCCOCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001526429816 1015272205 /nfs/dbraw/zinc/27/22/05/1015272205.db2.gz RXOSXHGOGQAHHK-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001526429816 1015272209 /nfs/dbraw/zinc/27/22/09/1015272209.db2.gz RXOSXHGOGQAHHK-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN COCC1(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)CC1 ZINC001386370127 987111871 /nfs/dbraw/zinc/11/18/71/987111871.db2.gz HIHAKBZYTYPSFG-JGVFFNPUSA-N 0 2 314.279 0.934 20 0 DCADLN COCC1(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001386370127 987111873 /nfs/dbraw/zinc/11/18/73/987111873.db2.gz HIHAKBZYTYPSFG-JGVFFNPUSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCc1cn[nH]c1 ZINC001386841084 987444144 /nfs/dbraw/zinc/44/41/44/987444144.db2.gz FDVALRRDZAQEKT-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CCc1cn[nH]c1 ZINC001386841084 987444147 /nfs/dbraw/zinc/44/41/47/987444147.db2.gz FDVALRRDZAQEKT-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN CC(C)[N@H+]1CCC[C@@H]1C(=O)N[C@@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001387193601 987695872 /nfs/dbraw/zinc/69/58/72/987695872.db2.gz VLNUTJMSVOMRTH-GXTWGEPZSA-N 0 2 321.425 0.446 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001387488449 987866473 /nfs/dbraw/zinc/86/64/73/987866473.db2.gz KYNOQLUEPBFYOD-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001387488449 987866479 /nfs/dbraw/zinc/86/64/79/987866479.db2.gz KYNOQLUEPBFYOD-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN COC[C@@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001387708196 988059230 /nfs/dbraw/zinc/05/92/30/988059230.db2.gz CJLFEKDAXJJHTM-SVRRBLITSA-N 0 2 300.252 0.496 20 0 DCADLN COC[C@@H](C)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001387708196 988059241 /nfs/dbraw/zinc/05/92/41/988059241.db2.gz CJLFEKDAXJJHTM-SVRRBLITSA-N 0 2 300.252 0.496 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387750989 988115606 /nfs/dbraw/zinc/11/56/06/988115606.db2.gz QHIDESKOVJYGAE-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387750989 988115611 /nfs/dbraw/zinc/11/56/11/988115611.db2.gz QHIDESKOVJYGAE-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN CC(C)(C)OC(=O)C1(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1 ZINC001589852534 988385217 /nfs/dbraw/zinc/38/52/17/988385217.db2.gz UPHZQGPXFYGHJN-JTQLQIEISA-N 0 2 323.349 0.644 20 0 DCADLN CC(C)(C)OC(=O)C1(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1 ZINC001589852534 988385225 /nfs/dbraw/zinc/38/52/25/988385225.db2.gz UPHZQGPXFYGHJN-JTQLQIEISA-N 0 2 323.349 0.644 20 0 DCADLN COCC1(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001388642303 988467917 /nfs/dbraw/zinc/46/79/17/988467917.db2.gz XMEFEDCNFQRAID-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CSc1cccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001599821451 988520375 /nfs/dbraw/zinc/52/03/75/988520375.db2.gz BVRAXXAYUIUWNX-SNVBAGLBSA-N 0 2 306.347 0.952 20 0 DCADLN CSc1cccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001599821451 988520381 /nfs/dbraw/zinc/52/03/81/988520381.db2.gz BVRAXXAYUIUWNX-SNVBAGLBSA-N 0 2 306.347 0.952 20 0 DCADLN CC(C)(C)OC(=O)C[N@H+](CCC(=O)[O-])C[C@@H]1CCC(=O)N1 ZINC001589882438 988556092 /nfs/dbraw/zinc/55/60/92/988556092.db2.gz FKYZFQDICKBYLV-JTQLQIEISA-N 0 2 300.355 0.383 20 0 DCADLN CC(C)(C)OC(=O)C[N@@H+](CCC(=O)[O-])C[C@@H]1CCC(=O)N1 ZINC001589882438 988556099 /nfs/dbraw/zinc/55/60/99/988556099.db2.gz FKYZFQDICKBYLV-JTQLQIEISA-N 0 2 300.355 0.383 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC001599843476 988891296 /nfs/dbraw/zinc/89/12/96/988891296.db2.gz HBIMDPMDQBWKOK-YGRLFVJLSA-N 0 2 303.318 0.365 20 0 DCADLN COCC1(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)CC1 ZINC001389232082 988969109 /nfs/dbraw/zinc/96/91/09/988969109.db2.gz GZYMZMKGPZKHPP-MRVPVSSYSA-N 0 2 312.263 0.640 20 0 DCADLN COCC1(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)CC1 ZINC001389232082 988969121 /nfs/dbraw/zinc/96/91/21/988969121.db2.gz GZYMZMKGPZKHPP-MRVPVSSYSA-N 0 2 312.263 0.640 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC001597784623 989293921 /nfs/dbraw/zinc/29/39/21/989293921.db2.gz WQQSCVZLPGSPFC-UHFFFAOYSA-N 0 2 314.363 0.690 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001450961729 1015486110 /nfs/dbraw/zinc/48/61/10/1015486110.db2.gz OSUJLOHPVHSXPW-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001450961729 1015486117 /nfs/dbraw/zinc/48/61/17/1015486117.db2.gz OSUJLOHPVHSXPW-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnn(C)c2)C1 ZINC001390077142 989799905 /nfs/dbraw/zinc/79/99/05/989799905.db2.gz PGXXUGDJQFXIPV-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cnn(C)c2)C1 ZINC001390077142 989799913 /nfs/dbraw/zinc/79/99/13/989799913.db2.gz PGXXUGDJQFXIPV-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CCn1nncc1C[N@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])C1CC1 ZINC001597975893 990039933 /nfs/dbraw/zinc/03/99/33/990039933.db2.gz NEVSCNFGKGFYDG-OLZOCXBDSA-N 0 2 321.381 0.099 20 0 DCADLN CCn1nncc1C[N@@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])C1CC1 ZINC001597975893 990039938 /nfs/dbraw/zinc/03/99/38/990039938.db2.gz NEVSCNFGKGFYDG-OLZOCXBDSA-N 0 2 321.381 0.099 20 0 DCADLN CCO[C@H](C[N@H+](C)CCNC(=O)c1n[nH]c(C)c1[O-])C1CC1 ZINC001390750552 990350901 /nfs/dbraw/zinc/35/09/01/990350901.db2.gz FHUXRFHWGYTAEL-GFCCVEGCSA-N 0 2 310.398 0.900 20 0 DCADLN CCO[C@H](C[N@@H+](C)CCNC(=O)c1n[nH]c(C)c1[O-])C1CC1 ZINC001390750552 990350904 /nfs/dbraw/zinc/35/09/04/990350904.db2.gz FHUXRFHWGYTAEL-GFCCVEGCSA-N 0 2 310.398 0.900 20 0 DCADLN COC1CC(C(=O)N(C)C[C@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001451079574 1015579168 /nfs/dbraw/zinc/57/91/68/1015579168.db2.gz CZHKOLRMVYNXLJ-PKSQDBQZSA-N 0 2 322.409 0.649 20 0 DCADLN CC(C)(CS(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC001590789548 990615198 /nfs/dbraw/zinc/61/51/98/990615198.db2.gz ZHIFKTKIPUQYGK-NSHDSACASA-N 0 2 315.395 0.961 20 0 DCADLN CC(C)(C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001391514069 990907082 /nfs/dbraw/zinc/90/70/82/990907082.db2.gz HBPCSXNWYFZFBF-UHFFFAOYSA-N 0 2 321.303 0.647 20 0 DCADLN C[C@H]1CC[C@H](CCC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001391519785 990910166 /nfs/dbraw/zinc/91/01/66/990910166.db2.gz KXIVTIRYLRUHEU-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)(C)OCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001391530331 990919498 /nfs/dbraw/zinc/91/94/98/990919498.db2.gz MJAMZUXFMGGBGY-UWVGGRQHSA-N 0 2 309.370 0.111 20 0 DCADLN CC[C@H](CNC(=O)C[N@@H+]1CC[C@H](C)C1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001451138678 1015609055 /nfs/dbraw/zinc/60/90/55/1015609055.db2.gz MJUAAAFWRJVOLD-QWHCGFSZSA-N 0 2 321.425 0.305 20 0 DCADLN CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+]Cc1nnc(C)o1 ZINC001391688281 991096583 /nfs/dbraw/zinc/09/65/83/991096583.db2.gz UXGNMJAGTIXJMU-SECBINFHSA-N 0 2 308.342 0.413 20 0 DCADLN COc1cnc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c(F)c1 ZINC001599723492 991578939 /nfs/dbraw/zinc/57/89/39/991578939.db2.gz OIKJCFVBFSLIIP-SNVBAGLBSA-N 0 2 308.269 0.309 20 0 DCADLN CN(CC[NH+](C)CC(=O)N(C)C1CC1)C(=O)[C@H]1CCC[N@@H+]1C ZINC001392267344 991675350 /nfs/dbraw/zinc/67/53/50/991675350.db2.gz ZEZAPYHXTPSQBP-CQSZACIVSA-N 0 2 310.442 0.092 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001392525676 992047438 /nfs/dbraw/zinc/04/74/38/992047438.db2.gz QRLBSZLXPKXYCV-CLZZGJSISA-N 0 2 311.239 0.248 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001392525676 992047442 /nfs/dbraw/zinc/04/74/42/992047442.db2.gz QRLBSZLXPKXYCV-CLZZGJSISA-N 0 2 311.239 0.248 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[N@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001599757340 992071774 /nfs/dbraw/zinc/07/17/74/992071774.db2.gz HCASQPJTIOGMTQ-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[N@@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001599757340 992071782 /nfs/dbraw/zinc/07/17/82/992071782.db2.gz HCASQPJTIOGMTQ-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)Nc1cncc(C(=O)[O-])c1 ZINC001598488578 992247796 /nfs/dbraw/zinc/24/77/96/992247796.db2.gz GFWIYHKTLDDPEV-UHFFFAOYSA-N 0 2 308.338 0.576 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001392992946 992609351 /nfs/dbraw/zinc/60/93/51/992609351.db2.gz DTAMOLDORSTVOF-VIFPVBQESA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001392992946 992609353 /nfs/dbraw/zinc/60/93/53/992609353.db2.gz DTAMOLDORSTVOF-VIFPVBQESA-N 0 2 309.267 0.358 20 0 DCADLN Cn1[nH]c(C[N@H+](C)Cc2ccc(OCC(=O)[O-])cc2)nc1=O ZINC001598524219 992698430 /nfs/dbraw/zinc/69/84/30/992698430.db2.gz RRBCOXDPALVBCJ-UHFFFAOYSA-N 0 2 306.322 0.204 20 0 DCADLN Cn1[nH]c(C[N@@H+](C)Cc2ccc(OCC(=O)[O-])cc2)nc1=O ZINC001598524219 992698431 /nfs/dbraw/zinc/69/84/31/992698431.db2.gz RRBCOXDPALVBCJ-UHFFFAOYSA-N 0 2 306.322 0.204 20 0 DCADLN O=C(Cn1cccn1)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001393248959 992789335 /nfs/dbraw/zinc/78/93/35/992789335.db2.gz FYOMLIJNKOQPTP-WCBMZHEXSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001393248959 992789344 /nfs/dbraw/zinc/78/93/44/992789344.db2.gz FYOMLIJNKOQPTP-WCBMZHEXSA-N 0 2 322.262 0.501 20 0 DCADLN COC[C@@H](C)C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001393676464 993172807 /nfs/dbraw/zinc/17/28/07/993172807.db2.gz DIMYZEYOPTZOCE-HTQZYQBOSA-N 0 2 314.279 0.934 20 0 DCADLN COC[C@@H](C)C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001393676464 993172806 /nfs/dbraw/zinc/17/28/06/993172806.db2.gz DIMYZEYOPTZOCE-HTQZYQBOSA-N 0 2 314.279 0.934 20 0 DCADLN C[N@@H+]1C[C@H](O)C[C@]1(C)C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC001598568871 993173772 /nfs/dbraw/zinc/17/37/72/993173772.db2.gz GAZQKCURNLHXJX-ANRSDYALSA-N 0 2 318.333 0.655 20 0 DCADLN C[N@H+]1C[C@H](O)C[C@]1(C)C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC001598568871 993173774 /nfs/dbraw/zinc/17/37/74/993173774.db2.gz GAZQKCURNLHXJX-ANRSDYALSA-N 0 2 318.333 0.655 20 0 DCADLN Cn1c(N2CC[C@](C)(C(=O)[O-])C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001598570251 993186653 /nfs/dbraw/zinc/18/66/53/993186653.db2.gz RFIDBEKPOMDTEI-ONERCXAPSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CC[C@](C)(C(=O)[O-])C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001598570251 993186658 /nfs/dbraw/zinc/18/66/58/993186658.db2.gz RFIDBEKPOMDTEI-ONERCXAPSA-N 0 2 323.397 0.028 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2ccc(Cn3ccnn3)o2)C[C@H]1C(=O)[O-] ZINC001598573457 993236997 /nfs/dbraw/zinc/23/69/97/993236997.db2.gz IGJSGRDQIIHDNW-MNOVXSKESA-N 0 2 320.305 0.234 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2ccc(Cn3ccnn3)o2)C[C@H]1C(=O)[O-] ZINC001598573457 993237003 /nfs/dbraw/zinc/23/70/03/993237003.db2.gz IGJSGRDQIIHDNW-MNOVXSKESA-N 0 2 320.305 0.234 20 0 DCADLN CC[C@H]1C[C@H](C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001399628851 993617922 /nfs/dbraw/zinc/61/79/22/993617922.db2.gz IQZHGPQHPZGAIC-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@H]1C[C@H](C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001399628851 993617928 /nfs/dbraw/zinc/61/79/28/993617928.db2.gz IQZHGPQHPZGAIC-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccccc2CCC(=O)[O-])C[C@H]1CO ZINC001598612885 993814812 /nfs/dbraw/zinc/81/48/12/993814812.db2.gz CEAOACYFFFAGKX-ZDUSSCGKSA-N 0 2 306.362 0.452 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccccc2CCC(=O)[O-])C[C@H]1CO ZINC001598612885 993814817 /nfs/dbraw/zinc/81/48/17/993814817.db2.gz CEAOACYFFFAGKX-ZDUSSCGKSA-N 0 2 306.362 0.452 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)NC[C@H]1CCC[N@H+]1[C@H](C)C(N)=O ZINC001399871801 993863873 /nfs/dbraw/zinc/86/38/73/993863873.db2.gz LPBIRAFOJJQQFG-TZMCWYRMSA-N 0 2 321.425 0.426 20 0 DCADLN C[C@H](CNC(=O)c1n[nH]cc1F)NC(=O)C(F)C(F)(F)F ZINC001399986118 994022075 /nfs/dbraw/zinc/02/20/75/994022075.db2.gz YEBVGFYGPASQBB-CLZZGJSISA-N 0 2 314.214 0.684 20 0 DCADLN C[C@H](CNC(=O)c1n[nH]cc1F)NC(=O)[C@@H](F)C(F)(F)F ZINC001399986118 994022086 /nfs/dbraw/zinc/02/20/86/994022086.db2.gz YEBVGFYGPASQBB-CLZZGJSISA-N 0 2 314.214 0.684 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(C)(C)O ZINC001400181142 994248385 /nfs/dbraw/zinc/24/83/85/994248385.db2.gz TYBRFWUKOVKGSL-POYBYMJQSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CC(C)(C)O ZINC001400181142 994248393 /nfs/dbraw/zinc/24/83/93/994248393.db2.gz TYBRFWUKOVKGSL-POYBYMJQSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001400215199 994288895 /nfs/dbraw/zinc/28/88/95/994288895.db2.gz ROSVUJJVHSVKTG-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)NC(=O)[C@H](F)C(F)(F)F ZINC001400215199 994288905 /nfs/dbraw/zinc/28/89/05/994288905.db2.gz ROSVUJJVHSVKTG-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN CSCC(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001400439664 994516125 /nfs/dbraw/zinc/51/61/25/994516125.db2.gz ZOSHUHZKEQYBES-POYBYMJQSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001400439664 994516139 /nfs/dbraw/zinc/51/61/39/994516139.db2.gz ZOSHUHZKEQYBES-POYBYMJQSA-N 0 2 302.293 0.967 20 0 DCADLN O=C(Cc1cnoc1)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400492088 994582000 /nfs/dbraw/zinc/58/20/00/994582000.db2.gz ODMGVUNNVMYSAY-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001400492088 994582002 /nfs/dbraw/zinc/58/20/02/994582002.db2.gz ODMGVUNNVMYSAY-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001400610676 994702346 /nfs/dbraw/zinc/70/23/46/994702346.db2.gz HKZPLNOJPSNCSO-RYUDHWBXSA-N 0 2 319.409 0.156 20 0 DCADLN C[C@@H]([NH2+]Cc1ccccc1OCC(=O)[O-])C1N=NC(=O)N1C ZINC001593305558 994763906 /nfs/dbraw/zinc/76/39/06/994763906.db2.gz WZMWBEFUZOXLEJ-SECBINFHSA-N 0 2 306.322 0.835 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001400745711 994875114 /nfs/dbraw/zinc/87/51/14/994875114.db2.gz HPSFBCBVBJCOKI-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001400745711 994875122 /nfs/dbraw/zinc/87/51/22/994875122.db2.gz HPSFBCBVBJCOKI-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN CCN(C(=O)[C@H](C)COC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400748256 994878790 /nfs/dbraw/zinc/87/87/90/994878790.db2.gz BHJLKYVFABOBTQ-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN CCN(C(=O)[C@H](C)COC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400748256 994878799 /nfs/dbraw/zinc/87/87/99/994878799.db2.gz BHJLKYVFABOBTQ-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H](O)c1ncc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])s1 ZINC001593482180 995431730 /nfs/dbraw/zinc/43/17/30/995431730.db2.gz PSSONBIVAYIVIR-SCZZXKLOSA-N 0 2 313.379 0.362 20 0 DCADLN C[C@@H](O)c1ncc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])s1 ZINC001593482180 995431734 /nfs/dbraw/zinc/43/17/34/995431734.db2.gz PSSONBIVAYIVIR-SCZZXKLOSA-N 0 2 313.379 0.362 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCc1[nH+]ccn1C ZINC001598747807 995612641 /nfs/dbraw/zinc/61/26/41/995612641.db2.gz BMGHQXLVEJDRJK-MNOVXSKESA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])N(C(=O)CCc2[nH+]ccn2C)C1 ZINC001598772610 995897945 /nfs/dbraw/zinc/89/79/45/995897945.db2.gz GJQBQSNRGYCDNK-WDEREUQCSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])N(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001598776504 995935155 /nfs/dbraw/zinc/93/51/55/995935155.db2.gz OSLLRBYQVRTHAC-KOLCDFICSA-N 0 2 309.322 0.125 20 0 DCADLN C[C@H](SCC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC001593659841 996036536 /nfs/dbraw/zinc/03/65/36/996036536.db2.gz XUIQKUUMYLXTRM-JTQLQIEISA-N 0 2 304.412 0.420 20 0 DCADLN CC(C)SCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001397773473 996168123 /nfs/dbraw/zinc/16/81/23/996168123.db2.gz JWPKMSNJYFJELO-ZJUUUORDSA-N 0 2 313.427 0.731 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)n1cc(CC[NH+]2CCOCC2)nn1 ZINC001593729637 996292970 /nfs/dbraw/zinc/29/29/70/996292970.db2.gz LDXUBYZGJGKWQX-SNVBAGLBSA-N 0 2 321.337 0.448 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2cccc3[nH+]ccn32)C[C@@H]1C(=O)[O-] ZINC001598819584 996309727 /nfs/dbraw/zinc/30/97/27/996309727.db2.gz CNHHEALOTHYJNL-VHSXEESVSA-N 0 2 317.301 0.280 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2cccc3[nH+]ccn32)C[C@@H]1C(=O)[O-] ZINC001598819583 996310006 /nfs/dbraw/zinc/31/00/06/996310006.db2.gz CNHHEALOTHYJNL-UWVGGRQHSA-N 0 2 317.301 0.280 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2cc(C(F)(F)F)n[nH]2)C[C@@H]1C(=O)[O-] ZINC001598830739 996433978 /nfs/dbraw/zinc/43/39/78/996433978.db2.gz YNAGLFKAZKOUGP-YUMQZZPRSA-N 0 2 321.255 0.734 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2cc(C(F)(F)F)n[nH]2)C[C@@H]1C(=O)[O-] ZINC001598830739 996433980 /nfs/dbraw/zinc/43/39/80/996433980.db2.gz YNAGLFKAZKOUGP-YUMQZZPRSA-N 0 2 321.255 0.734 20 0 DCADLN O=C(CCc1ccon1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398633327 996614404 /nfs/dbraw/zinc/61/44/04/996614404.db2.gz QLEUBGVFGCYYSN-SNVBAGLBSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(CCc1ccon1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398633327 996614405 /nfs/dbraw/zinc/61/44/05/996614405.db2.gz QLEUBGVFGCYYSN-SNVBAGLBSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cn1cccn1)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398717140 996655135 /nfs/dbraw/zinc/65/51/35/996655135.db2.gz WCKKYQHBRCHOTN-SNVBAGLBSA-N 0 2 322.262 0.358 20 0 DCADLN O=C(Cn1cccn1)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398717140 996655139 /nfs/dbraw/zinc/65/51/39/996655139.db2.gz WCKKYQHBRCHOTN-SNVBAGLBSA-N 0 2 322.262 0.358 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)[NH2+]Cc2nnc(C(C)C)o2)c1[O-] ZINC001398921040 996750151 /nfs/dbraw/zinc/75/01/51/996750151.db2.gz LHTQAVCEYQKSEB-MRVPVSSYSA-N 0 2 322.369 0.838 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001398988246 996797820 /nfs/dbraw/zinc/79/78/20/996797820.db2.gz GQAXRMHIJBKMPQ-IUCAKERBSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001398988246 996797828 /nfs/dbraw/zinc/79/78/28/996797828.db2.gz GQAXRMHIJBKMPQ-IUCAKERBSA-N 0 2 324.278 0.945 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(c2nnc([C@H]3CCCC[N@@H+]3C)n2C)C1 ZINC001599076444 996880144 /nfs/dbraw/zinc/88/01/44/996880144.db2.gz AWTGBJNIKCNFFD-IAQYHMDHSA-N 0 2 323.397 0.652 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(c2nnc([C@H]3CCCC[N@H+]3C)n2C)C1 ZINC001599076444 996880158 /nfs/dbraw/zinc/88/01/58/996880158.db2.gz AWTGBJNIKCNFFD-IAQYHMDHSA-N 0 2 323.397 0.652 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(c2nnc([C@@H]3CCCC[N@@H+]3C)n2C)C1 ZINC001599076445 996880328 /nfs/dbraw/zinc/88/03/28/996880328.db2.gz AWTGBJNIKCNFFD-NHYWBVRUSA-N 0 2 323.397 0.652 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(c2nnc([C@@H]3CCCC[N@H+]3C)n2C)C1 ZINC001599076445 996880341 /nfs/dbraw/zinc/88/03/41/996880341.db2.gz AWTGBJNIKCNFFD-NHYWBVRUSA-N 0 2 323.397 0.652 20 0 DCADLN COc1cc(C[N@@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc(OC)c1OC ZINC001599408653 997380159 /nfs/dbraw/zinc/38/01/59/997380159.db2.gz MCBBNROYBAASQE-MNOVXSKESA-N 0 2 311.334 0.732 20 0 DCADLN COc1cc(C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc(OC)c1OC ZINC001599408653 997380172 /nfs/dbraw/zinc/38/01/72/997380172.db2.gz MCBBNROYBAASQE-MNOVXSKESA-N 0 2 311.334 0.732 20 0 DCADLN COc1ccc([C@H](NC(=O)CCc2[nH]cc[nH+]2)C(=O)[O-])cc1O ZINC001599516654 997401273 /nfs/dbraw/zinc/40/12/73/997401273.db2.gz USLHZSBPRXDXJQ-AWEZNQCLSA-N 0 2 319.317 0.999 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@@H]1[C@H]1CCCO1 ZINC001598926402 997655186 /nfs/dbraw/zinc/65/51/86/997655186.db2.gz OJBCDCQHSIONQR-DGCLKSJQSA-N 0 2 323.349 0.969 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@@H]1[C@H]1CCCO1 ZINC001598926402 997655191 /nfs/dbraw/zinc/65/51/91/997655191.db2.gz OJBCDCQHSIONQR-DGCLKSJQSA-N 0 2 323.349 0.969 20 0 DCADLN COc1cc(F)cc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c1 ZINC001599426631 997687089 /nfs/dbraw/zinc/68/70/89/997687089.db2.gz VUPWLYPVCGADDG-ZDUSSCGKSA-N 0 2 310.325 1.000 20 0 DCADLN COc1cc(F)cc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c1 ZINC001599426631 997687098 /nfs/dbraw/zinc/68/70/98/997687098.db2.gz VUPWLYPVCGADDG-ZDUSSCGKSA-N 0 2 310.325 1.000 20 0 DCADLN COc1cc(NC(=O)C(=O)N2CC[NH+](C)CC2)ccc1C(=O)[O-] ZINC001599427844 997707045 /nfs/dbraw/zinc/70/70/45/997707045.db2.gz BHUSEXAXWXQVSJ-UHFFFAOYSA-N 0 2 321.333 0.106 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001405063374 997745863 /nfs/dbraw/zinc/74/58/63/997745863.db2.gz YUKQMQHFUPTPGB-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001405063374 997745876 /nfs/dbraw/zinc/74/58/76/997745876.db2.gz YUKQMQHFUPTPGB-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c(C)o1 ZINC001598932541 997792187 /nfs/dbraw/zinc/79/21/87/997792187.db2.gz KZMOVBNGPVKMRN-LLVKDONJSA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c(C)o1 ZINC001598932541 997792201 /nfs/dbraw/zinc/79/22/01/997792201.db2.gz KZMOVBNGPVKMRN-LLVKDONJSA-N 0 2 324.333 0.540 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2ncnn2C)C1 ZINC001405124215 997828380 /nfs/dbraw/zinc/82/83/80/997828380.db2.gz INBVJNJOTFQURF-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(Cc2ncnn2C)C1 ZINC001405124215 997828390 /nfs/dbraw/zinc/82/83/90/997828390.db2.gz INBVJNJOTFQURF-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(CCC1CC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001405729632 998508516 /nfs/dbraw/zinc/50/85/16/998508516.db2.gz KMGRFDPMGKNKAX-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN COCCn1nnc2c1CC[N@H+](Cc1cc(C)c(C(=O)[O-])[nH]1)C2 ZINC001599273228 998572634 /nfs/dbraw/zinc/57/26/34/998572634.db2.gz JUGZTMHETPALLX-UHFFFAOYSA-N 0 2 319.365 0.818 20 0 DCADLN COCCn1nnc2c1CC[N@@H+](Cc1cc(C)c(C(=O)[O-])[nH]1)C2 ZINC001599273228 998572640 /nfs/dbraw/zinc/57/26/40/998572640.db2.gz JUGZTMHETPALLX-UHFFFAOYSA-N 0 2 319.365 0.818 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)[C@H]2CCCC[C@H]2C(=O)[O-])CC[N@H+]1C ZINC001594176012 998676519 /nfs/dbraw/zinc/67/65/19/998676519.db2.gz DAFPSYMIGUHDKF-XQHKEYJVSA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)[C@H]2CCCC[C@H]2C(=O)[O-])CC[N@@H+]1C ZINC001594176012 998676520 /nfs/dbraw/zinc/67/65/20/998676520.db2.gz DAFPSYMIGUHDKF-XQHKEYJVSA-N 0 2 318.439 0.984 20 0 DCADLN COC(=O)c1cccc([C@H]([NH2+][C@H]2COC[C@H]2OC)C(=O)[O-])c1 ZINC001598996428 998783722 /nfs/dbraw/zinc/78/37/22/998783722.db2.gz RADDBMCAJYQCND-XQQFMLRXSA-N 0 2 309.318 0.602 20 0 DCADLN COC(=O)c1ccccc1CC[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001599003619 998840049 /nfs/dbraw/zinc/84/00/49/998840049.db2.gz ZVYAPPROBMVGPY-ZDUSSCGKSA-N 0 2 320.345 0.291 20 0 DCADLN COC(=O)c1ccccc1CC[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001599003619 998840054 /nfs/dbraw/zinc/84/00/54/998840054.db2.gz ZVYAPPROBMVGPY-ZDUSSCGKSA-N 0 2 320.345 0.291 20 0 DCADLN COC(=O)c1coc(C(=O)O[C@H]2C[N@H+](C)[C@](C)(C(=O)[O-])C2)c1 ZINC001599013908 998922366 /nfs/dbraw/zinc/92/23/66/998922366.db2.gz GMIWCHXQFSKZDM-OTYXRUKQSA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1coc(C(=O)O[C@H]2C[N@@H+](C)[C@](C)(C(=O)[O-])C2)c1 ZINC001599013908 998922370 /nfs/dbraw/zinc/92/23/70/998922370.db2.gz GMIWCHXQFSKZDM-OTYXRUKQSA-N 0 2 311.290 0.770 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC001594249927 998957044 /nfs/dbraw/zinc/95/70/44/998957044.db2.gz KJKSXSZASHLLIO-AAEUAGOBSA-N 0 2 319.361 0.715 20 0 DCADLN C[C@H]1C[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C[N@@H+]1C1CC1 ZINC001594264893 999023682 /nfs/dbraw/zinc/02/36/82/999023682.db2.gz XUBWOSGTDZUTEL-JGVFFNPUSA-N 0 2 315.351 0.276 20 0 DCADLN C[C@H]1C[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C[N@H+]1C1CC1 ZINC001594264893 999023688 /nfs/dbraw/zinc/02/36/88/999023688.db2.gz XUBWOSGTDZUTEL-JGVFFNPUSA-N 0 2 315.351 0.276 20 0 DCADLN CO[C@H](C)C[N@H+](C)CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001599039396 999107212 /nfs/dbraw/zinc/10/72/12/999107212.db2.gz BVPIGZVECAXUIW-NOZJJQNGSA-N 0 2 301.343 0.086 20 0 DCADLN CO[C@H](C)C[N@@H+](C)CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001599039396 999107214 /nfs/dbraw/zinc/10/72/14/999107214.db2.gz BVPIGZVECAXUIW-NOZJJQNGSA-N 0 2 301.343 0.086 20 0 DCADLN COC(CN(CCC(=O)[O-])C(=O)c1cccc2[nH+]ccn21)OC ZINC001599046320 999153124 /nfs/dbraw/zinc/15/31/24/999153124.db2.gz GLEWSXBWURQALS-UHFFFAOYSA-N 0 2 321.333 0.870 20 0 DCADLN C[C@H]1CC[N@H+](Cc2cn(CC(=O)[O-])nn2)C[C@@H]1n1ccnc1 ZINC001594425978 999591140 /nfs/dbraw/zinc/59/11/40/999591140.db2.gz FDAGJOMBRVURIQ-AAEUAGOBSA-N 0 2 304.354 0.642 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2cn(CC(=O)[O-])nn2)C[C@@H]1n1ccnc1 ZINC001594425978 999591142 /nfs/dbraw/zinc/59/11/42/999591142.db2.gz FDAGJOMBRVURIQ-AAEUAGOBSA-N 0 2 304.354 0.642 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nn2cccn2)c1 ZINC001418443769 1000224955 /nfs/dbraw/zinc/22/49/55/1000224955.db2.gz CBXUFMXWFKKZDN-UHFFFAOYSA-N 0 2 310.335 0.223 20 0 DCADLN C[N@H+](CCNC(=O)Cn1c(=O)[n-][nH]c1=O)Cc1ccccc1F ZINC001418517205 1000304377 /nfs/dbraw/zinc/30/43/77/1000304377.db2.gz MLSMDZQAQNINHT-UHFFFAOYSA-N 0 2 323.328 0.077 20 0 DCADLN C[N@@H+](CCNC(=O)Cn1c(=O)[n-][nH]c1=O)Cc1ccccc1F ZINC001418517205 1000304382 /nfs/dbraw/zinc/30/43/82/1000304382.db2.gz MLSMDZQAQNINHT-UHFFFAOYSA-N 0 2 323.328 0.077 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H](C)CSC)Cc1n[nH]c(=O)[n-]1 ZINC001418539025 1000325703 /nfs/dbraw/zinc/32/57/03/1000325703.db2.gz HWFJQKYVJVGKCU-VIFPVBQESA-N 0 2 301.416 0.448 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H](C)CSC)Cc1n[nH]c(=O)[n-]1 ZINC001418539025 1000325707 /nfs/dbraw/zinc/32/57/07/1000325707.db2.gz HWFJQKYVJVGKCU-VIFPVBQESA-N 0 2 301.416 0.448 20 0 DCADLN CO[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001418583851 1000363790 /nfs/dbraw/zinc/36/37/90/1000363790.db2.gz TYYWAQVRWSQHGA-WCQYABFASA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)[NH+](C)CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C ZINC001418612575 1000390242 /nfs/dbraw/zinc/39/02/42/1000390242.db2.gz XJYARJBTPUWSRC-UONOGXRCSA-N 0 2 312.458 0.336 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NC[C@H]2CC[NH+](Cc3nncs3)C2)C1 ZINC001418674427 1000441030 /nfs/dbraw/zinc/44/10/30/1000441030.db2.gz QSSIYRQYZXOUNV-QWHCGFSZSA-N 0 2 323.466 0.818 20 0 DCADLN CCCc1n[nH]cc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401754871 1000691390 /nfs/dbraw/zinc/69/13/90/1000691390.db2.gz FJJHHZDWVIHODA-VIFPVBQESA-N 0 2 321.385 0.436 20 0 DCADLN CCCc1n[nH]cc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401754871 1000691394 /nfs/dbraw/zinc/69/13/94/1000691394.db2.gz FJJHHZDWVIHODA-VIFPVBQESA-N 0 2 321.385 0.436 20 0 DCADLN CCc1ccnc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001401758600 1000695415 /nfs/dbraw/zinc/69/54/15/1000695415.db2.gz NPFVEJNQCBJFMD-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CCc1ccnc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001401758600 1000695417 /nfs/dbraw/zinc/69/54/17/1000695417.db2.gz NPFVEJNQCBJFMD-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CN(C(=O)CC[C@H]1CCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419104113 1000774673 /nfs/dbraw/zinc/77/46/73/1000774673.db2.gz JVPAPRZOZKIWIX-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)CC[C@H]1CCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419104113 1000774676 /nfs/dbraw/zinc/77/46/76/1000774676.db2.gz JVPAPRZOZKIWIX-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN CC(C)CN(Cc1nn[n-]n1)C(=O)C(C)(C)C[NH+]1CCOCC1 ZINC001419137741 1000808174 /nfs/dbraw/zinc/80/81/74/1000808174.db2.gz NVYKHOZBTURDKE-UHFFFAOYSA-N 0 2 324.429 0.543 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cn1 ZINC001419354286 1000995487 /nfs/dbraw/zinc/99/54/87/1000995487.db2.gz JMJFXBAUUDDTEZ-SECBINFHSA-N 0 2 321.362 0.278 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@@H]1CCCN1C(=O)c1ccn[nH]1 ZINC001419432752 1001045568 /nfs/dbraw/zinc/04/55/68/1001045568.db2.gz JSJQCQGTFHMWMF-VIFPVBQESA-N 0 2 304.310 0.682 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001402136964 1001051363 /nfs/dbraw/zinc/05/13/63/1001051363.db2.gz JBQLBPLCZHWZRG-RDDDGLTNSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001402136964 1001051381 /nfs/dbraw/zinc/05/13/81/1001051381.db2.gz JBQLBPLCZHWZRG-RDDDGLTNSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001402136964 1001051396 /nfs/dbraw/zinc/05/13/96/1001051396.db2.gz JBQLBPLCZHWZRG-RDDDGLTNSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402189641 1001097509 /nfs/dbraw/zinc/09/75/09/1001097509.db2.gz OKUGMFFGAYOHKJ-SFYZADRCSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(NCC1(O)CN(C/C=C/Cl)C1)C(F)C(F)(F)F ZINC001402334355 1001224492 /nfs/dbraw/zinc/22/44/92/1001224492.db2.gz NSAYTKUEKJVHIE-HIXXPVPXSA-N 0 2 304.671 0.802 20 0 DCADLN O=C(NCC1(O)CN(C/C=C/Cl)C1)[C@H](F)C(F)(F)F ZINC001402334355 1001224501 /nfs/dbraw/zinc/22/45/01/1001224501.db2.gz NSAYTKUEKJVHIE-HIXXPVPXSA-N 0 2 304.671 0.802 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)[NH2+]Cc2nnc(C3CC3)[nH]2)c1[O-] ZINC001402874522 1001548679 /nfs/dbraw/zinc/54/86/79/1001548679.db2.gz VSHDHCWOORQWSI-ZETCQYMHSA-N 0 2 319.369 0.327 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001403097647 1001672178 /nfs/dbraw/zinc/67/21/78/1001672178.db2.gz MELBBGJSMIACHO-QMMMGPOBSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001403097647 1001672180 /nfs/dbraw/zinc/67/21/80/1001672180.db2.gz MELBBGJSMIACHO-QMMMGPOBSA-N 0 2 307.354 0.970 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)[C@H](C)O1 ZINC001471058093 1016517263 /nfs/dbraw/zinc/51/72/63/1016517263.db2.gz JBPYASYMWWDYLK-RCWTZXSCSA-N 0 2 309.370 0.965 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001419956047 1001720047 /nfs/dbraw/zinc/72/00/47/1001720047.db2.gz KLNSNMJPPNZCAR-NSHDSACASA-N 0 2 306.370 0.463 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001419956047 1001720049 /nfs/dbraw/zinc/72/00/49/1001720049.db2.gz KLNSNMJPPNZCAR-NSHDSACASA-N 0 2 306.370 0.463 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC12CCOCC2 ZINC001420063421 1001796708 /nfs/dbraw/zinc/79/67/08/1001796708.db2.gz GEXDMOHHBKWZCJ-NSHDSACASA-N 0 2 323.397 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC12CCOCC2 ZINC001420063421 1001796715 /nfs/dbraw/zinc/79/67/15/1001796715.db2.gz GEXDMOHHBKWZCJ-NSHDSACASA-N 0 2 323.397 0.217 20 0 DCADLN COc1cn(C)nc1[C@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001420107060 1001873097 /nfs/dbraw/zinc/87/30/97/1001873097.db2.gz QUOQYTQEQDCFQB-POYBYMJQSA-N 0 2 311.367 0.162 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C)C1CC1 ZINC001403365912 1001887020 /nfs/dbraw/zinc/88/70/20/1001887020.db2.gz ZJWRQCQCUNXXBF-ZYHUDNBSSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H](CCO)C1CCCC1 ZINC001420145526 1001938632 /nfs/dbraw/zinc/93/86/32/1001938632.db2.gz KWYKIOURIHVHGQ-GFCCVEGCSA-N 0 2 319.365 0.129 20 0 DCADLN CC[C@H](OC)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507767954 1016566672 /nfs/dbraw/zinc/56/66/72/1016566672.db2.gz DTIBJVNXKQNALB-NSHDSACASA-N 0 2 313.402 0.604 20 0 DCADLN CC[C@H](OC)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507767954 1016566681 /nfs/dbraw/zinc/56/66/81/1016566681.db2.gz DTIBJVNXKQNALB-NSHDSACASA-N 0 2 313.402 0.604 20 0 DCADLN Cc1ccc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001403608957 1002203528 /nfs/dbraw/zinc/20/35/28/1002203528.db2.gz JDNULPZUKKLEEC-UHFFFAOYSA-N 0 2 303.366 0.609 20 0 DCADLN Cc1ccc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001403608957 1002203531 /nfs/dbraw/zinc/20/35/31/1002203531.db2.gz JDNULPZUKKLEEC-UHFFFAOYSA-N 0 2 303.366 0.609 20 0 DCADLN C[C@@H](NC(=O)Cc1ccc(C(N)=O)nc1)c1nn(C)cc1O ZINC001420439670 1002420931 /nfs/dbraw/zinc/42/09/31/1002420931.db2.gz LTBFVPHJCQMUBJ-MRVPVSSYSA-N 0 2 303.322 0.040 20 0 DCADLN CO[C@H]1CCn2cc(C(=O)N[C@@H](C)c3nn(C)cc3O)nc2C1 ZINC001420441644 1002425215 /nfs/dbraw/zinc/42/52/15/1002425215.db2.gz RZGPCWABTGKLID-UWVGGRQHSA-N 0 2 319.365 0.774 20 0 DCADLN Cc1cncc(C[N@@H+](C)CCNC(=O)Cc2c[nH+]cn2C)c1 ZINC001403727456 1002429258 /nfs/dbraw/zinc/42/92/58/1002429258.db2.gz AQKCMHJDBZEGDO-UHFFFAOYSA-N 0 2 301.394 0.914 20 0 DCADLN C[C@H](NC(=O)Cc1[nH]cc[nH+]1)C1C[NH+](C[C@H]2CCCCO2)C1 ZINC001425255626 1002484112 /nfs/dbraw/zinc/48/41/12/1002484112.db2.gz QYJGYIGCKDWQJY-GXTWGEPZSA-N 0 2 306.410 0.958 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)ns1 ZINC001420572882 1002635006 /nfs/dbraw/zinc/63/50/06/1002635006.db2.gz KDKGWIMHOLVIDK-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ns1 ZINC001420572882 1002635010 /nfs/dbraw/zinc/63/50/10/1002635010.db2.gz KDKGWIMHOLVIDK-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)(F)F)CC1 ZINC001420629604 1002709894 /nfs/dbraw/zinc/70/98/94/1002709894.db2.gz BRRTWYNPQASJRQ-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)(F)F)CC1 ZINC001420629604 1002709906 /nfs/dbraw/zinc/70/99/06/1002709906.db2.gz BRRTWYNPQASJRQ-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)no1 ZINC001420632092 1002718812 /nfs/dbraw/zinc/71/88/12/1002718812.db2.gz VVRRDCGTEOSZTH-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)no1 ZINC001420632092 1002718820 /nfs/dbraw/zinc/71/88/20/1002718820.db2.gz VVRRDCGTEOSZTH-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@H]2CC23CCCC3)[nH]1 ZINC001472082387 1016725379 /nfs/dbraw/zinc/72/53/79/1016725379.db2.gz OEUOSSILHCBCDR-SECBINFHSA-N 0 2 312.395 0.546 20 0 DCADLN CO[C@@H](C)C[N@@H+]1CCC[C@@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001420995298 1003215807 /nfs/dbraw/zinc/21/58/07/1003215807.db2.gz NPMOKFJLCNEVDH-UONOGXRCSA-N 0 2 308.426 0.968 20 0 DCADLN C[C@H]1CCCC[C@H]1OCC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421073951 1003293518 /nfs/dbraw/zinc/29/35/18/1003293518.db2.gz LSBGPLXSBDREJX-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN COCCN(CCNC(=O)C(F)F)C(=O)[C@H](F)C(F)(F)F ZINC001426049249 1003375574 /nfs/dbraw/zinc/37/55/74/1003375574.db2.gz ITUIAZDKGLXATM-LURJTMIESA-N 0 2 324.221 0.743 20 0 DCADLN COCCN(CCNC(=O)C(F)F)C(=O)C(F)C(F)(F)F ZINC001426049249 1003375568 /nfs/dbraw/zinc/37/55/68/1003375568.db2.gz ITUIAZDKGLXATM-LURJTMIESA-N 0 2 324.221 0.743 20 0 DCADLN COCCN(CCNC(=O)C(F)C(F)(F)F)C(=O)COC ZINC001426051572 1003377856 /nfs/dbraw/zinc/37/78/56/1003377856.db2.gz SNMAVJROUYFUMC-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN COCCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)COC ZINC001426051572 1003377859 /nfs/dbraw/zinc/37/78/59/1003377859.db2.gz SNMAVJROUYFUMC-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001406117597 1003383117 /nfs/dbraw/zinc/38/31/17/1003383117.db2.gz ZEOIUPOPWMDRKW-UHFFFAOYSA-N 0 2 306.370 0.659 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001406117597 1003383126 /nfs/dbraw/zinc/38/31/26/1003383126.db2.gz ZEOIUPOPWMDRKW-UHFFFAOYSA-N 0 2 306.370 0.659 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-n2cnnn2)cc1)c1nn(C)cc1O ZINC001472238323 1016766501 /nfs/dbraw/zinc/76/65/01/1016766501.db2.gz YJTKCXNSRCNBFB-SECBINFHSA-N 0 2 313.321 0.592 20 0 DCADLN COCCC1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001421204160 1003439795 /nfs/dbraw/zinc/43/97/95/1003439795.db2.gz WCGJRAPJKBHJQY-QWRGUYRKSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001421327693 1003548426 /nfs/dbraw/zinc/54/84/26/1003548426.db2.gz IXYPTSYCBJHMLY-IUCAKERBSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001421327693 1003548428 /nfs/dbraw/zinc/54/84/28/1003548428.db2.gz IXYPTSYCBJHMLY-IUCAKERBSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001421327693 1003548430 /nfs/dbraw/zinc/54/84/30/1003548430.db2.gz IXYPTSYCBJHMLY-IUCAKERBSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1C[C@H]1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505965445 1016789583 /nfs/dbraw/zinc/78/95/83/1016789583.db2.gz OVBAQAVMHOVSSH-USWWRNFRSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1C[C@H]1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505965445 1016789596 /nfs/dbraw/zinc/78/95/96/1016789596.db2.gz OVBAQAVMHOVSSH-USWWRNFRSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)CC(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001426526627 1003819874 /nfs/dbraw/zinc/81/98/74/1003819874.db2.gz QTPMWSQXYAZXJD-NQMVMOMDSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)CC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001426526627 1003819887 /nfs/dbraw/zinc/81/98/87/1003819887.db2.gz QTPMWSQXYAZXJD-NQMVMOMDSA-N 0 2 314.279 0.621 20 0 DCADLN CCc1occc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406617556 1003931064 /nfs/dbraw/zinc/93/10/64/1003931064.db2.gz PEHFDJNRKLKLOK-VIFPVBQESA-N 0 2 307.354 0.916 20 0 DCADLN CCc1occc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406617556 1003931073 /nfs/dbraw/zinc/93/10/73/1003931073.db2.gz PEHFDJNRKLKLOK-VIFPVBQESA-N 0 2 307.354 0.916 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCCOC1 ZINC001406699739 1004016166 /nfs/dbraw/zinc/01/61/66/1004016166.db2.gz FDRUYUYMSLSEQN-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCCOC1 ZINC001406699739 1004016176 /nfs/dbraw/zinc/01/61/76/1004016176.db2.gz FDRUYUYMSLSEQN-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001428777593 1004166064 /nfs/dbraw/zinc/16/60/64/1004166064.db2.gz KKKJSAOQDIHBHG-MUWHJKNJSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001427459466 1004400537 /nfs/dbraw/zinc/40/05/37/1004400537.db2.gz RSAAQWQYWXJLNV-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnccn1)NC(=O)C(F)C(F)(F)F ZINC001427459466 1004400535 /nfs/dbraw/zinc/40/05/35/1004400535.db2.gz RSAAQWQYWXJLNV-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN CO[C@@H]1CCC[C@H]1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427585863 1004477392 /nfs/dbraw/zinc/47/73/92/1004477392.db2.gz FWBVAFZHBGBSEY-GMTAPVOTSA-N 0 2 309.370 0.967 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)N[C@H](C)CN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001427594932 1004481189 /nfs/dbraw/zinc/48/11/89/1004481189.db2.gz DBDOPGCXEPIYRJ-CYBMUJFWSA-N 0 2 323.441 0.646 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)C[C@H]1CCOC1 ZINC001429298434 1004515358 /nfs/dbraw/zinc/51/53/58/1004515358.db2.gz ARSAIYOLFNYDJV-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)C[C@H]1CCOC1 ZINC001429298434 1004515361 /nfs/dbraw/zinc/51/53/61/1004515361.db2.gz ARSAIYOLFNYDJV-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN Cn1cnc(CCNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001427706848 1004540466 /nfs/dbraw/zinc/54/04/66/1004540466.db2.gz VFMUTAQEWITYJG-SNVBAGLBSA-N 0 2 319.369 0.376 20 0 DCADLN C[C@@H](C(=O)N(C)C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001472960751 1016891282 /nfs/dbraw/zinc/89/12/82/1016891282.db2.gz XVAWLJQVAJUQQC-IUCAKERBSA-N 0 2 313.295 0.508 20 0 DCADLN C[C@@H](C(=O)N(C)C)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001472960751 1016891312 /nfs/dbraw/zinc/89/13/12/1016891312.db2.gz XVAWLJQVAJUQQC-IUCAKERBSA-N 0 2 313.295 0.508 20 0 DCADLN COC[C@@H](OC)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001429480704 1004624840 /nfs/dbraw/zinc/62/48/40/1004624840.db2.gz UKZPYJUERQIWOZ-SFYZADRCSA-N 0 2 318.267 0.123 20 0 DCADLN COC[C@@H](OC)C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001429480704 1004624844 /nfs/dbraw/zinc/62/48/44/1004624844.db2.gz UKZPYJUERQIWOZ-SFYZADRCSA-N 0 2 318.267 0.123 20 0 DCADLN CC[N@H+]1CCC[C@](CO)(NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001407597813 1004750895 /nfs/dbraw/zinc/75/08/95/1004750895.db2.gz FEQSGFXAXDWEFD-LRDDRELGSA-N 0 2 306.410 0.793 20 0 DCADLN CC(C)NC(=O)CCNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414530405 1005353884 /nfs/dbraw/zinc/35/38/84/1005353884.db2.gz APYPSFAOSSMCEP-JTQLQIEISA-N 0 2 324.385 0.314 20 0 DCADLN Cc1nonc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414726132 1005390998 /nfs/dbraw/zinc/39/09/98/1005390998.db2.gz WWWRGIVNCHHDGQ-QMMMGPOBSA-N 0 2 307.314 0.291 20 0 DCADLN C[C@@]1(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCOC1=O ZINC001414729973 1005391743 /nfs/dbraw/zinc/39/17/43/1005391743.db2.gz LHZAHCDLAHWCPB-AMIZOPFISA-N 0 2 309.326 0.105 20 0 DCADLN C[C@@H]1CCN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)[C@H]1C ZINC001414731195 1005391770 /nfs/dbraw/zinc/39/17/70/1005391770.db2.gz LTLLKWBDOSFEDC-BDAKNGLRSA-N 0 2 315.399 0.289 20 0 DCADLN CN(CCNC(=O)c1cncnc1)C(=O)C(F)C(F)(F)F ZINC001415476671 1005539902 /nfs/dbraw/zinc/53/99/02/1005539902.db2.gz DBWHMPFBORGYPZ-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1cncnc1)C(=O)[C@H](F)C(F)(F)F ZINC001415476671 1005539904 /nfs/dbraw/zinc/53/99/04/1005539904.db2.gz DBWHMPFBORGYPZ-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN Cc1nonc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001415545285 1005558812 /nfs/dbraw/zinc/55/88/12/1005558812.db2.gz WKTIYFKKVCFJMW-ZETCQYMHSA-N 0 2 312.223 0.467 20 0 DCADLN Cc1nonc1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001415545285 1005558815 /nfs/dbraw/zinc/55/88/15/1005558815.db2.gz WKTIYFKKVCFJMW-ZETCQYMHSA-N 0 2 312.223 0.467 20 0 DCADLN O=C(NC1CN(C(=O)c2cc(F)c[nH]2)C1)C(F)C(F)(F)F ZINC001416026617 1005651600 /nfs/dbraw/zinc/65/16/00/1005651600.db2.gz CNQUPXFTDQRIPQ-QMMMGPOBSA-N 0 2 311.210 0.995 20 0 DCADLN O=C(NC1CN(C(=O)c2cc(F)c[nH]2)C1)[C@H](F)C(F)(F)F ZINC001416026617 1005651602 /nfs/dbraw/zinc/65/16/02/1005651602.db2.gz CNQUPXFTDQRIPQ-QMMMGPOBSA-N 0 2 311.210 0.995 20 0 DCADLN O=C(Cc1ncc[nH]1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001417148199 1005793371 /nfs/dbraw/zinc/79/33/71/1005793371.db2.gz ZSXCLCTXGWTQHQ-SECBINFHSA-N 0 2 322.262 0.618 20 0 DCADLN C[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1n1cc[nH+]c1 ZINC001417673351 1005864904 /nfs/dbraw/zinc/86/49/04/1005864904.db2.gz IRYQEKVOSXZAST-DTWKUNHWSA-N 0 2 304.310 0.203 20 0 DCADLN C[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1n1cc[nH+]c1 ZINC001417673354 1005864994 /nfs/dbraw/zinc/86/49/94/1005864994.db2.gz IRYQEKVOSXZAST-RKDXNWHRSA-N 0 2 304.310 0.203 20 0 DCADLN C[C@H]1OCCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@@H]1C ZINC001417687011 1005868281 /nfs/dbraw/zinc/86/82/81/1005868281.db2.gz AZGZWKOQGUYHSB-NXEZZACHSA-N 0 2 318.333 0.516 20 0 DCADLN O=C(N[C@@H]1CCCOCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434788118 1005975959 /nfs/dbraw/zinc/97/59/59/1005975959.db2.gz IKVHBBRDBUBRMW-WDEREUQCSA-N 0 2 309.370 0.968 20 0 DCADLN O=C(CCc1ccco1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001439517269 1006944453 /nfs/dbraw/zinc/94/44/53/1006944453.db2.gz UKISNEFGHMNRND-SNVBAGLBSA-N 0 2 305.338 0.427 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CC(=O)NC2CC2)C1 ZINC001439724684 1007157162 /nfs/dbraw/zinc/15/71/62/1007157162.db2.gz FXEYNNFSXRBPQB-SNVBAGLBSA-N 0 2 311.279 0.308 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(CC(=O)NC2CC2)C1 ZINC001439724684 1007157170 /nfs/dbraw/zinc/15/71/70/1007157170.db2.gz FXEYNNFSXRBPQB-SNVBAGLBSA-N 0 2 311.279 0.308 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001453171390 1007289101 /nfs/dbraw/zinc/28/91/01/1007289101.db2.gz VKIPAFFJEFHEFB-PSASIEDQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001453171390 1007289111 /nfs/dbraw/zinc/28/91/11/1007289111.db2.gz VKIPAFFJEFHEFB-PSASIEDQSA-N 0 2 322.262 0.501 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1(CNC(=O)[C@H](O)C(C)C)CC1 ZINC001453378008 1007443730 /nfs/dbraw/zinc/44/37/30/1007443730.db2.gz JSATWQHYSOZUEP-CYBMUJFWSA-N 0 2 308.382 0.043 20 0 DCADLN CCC(C)(C)C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001440280834 1007639620 /nfs/dbraw/zinc/63/96/20/1007639620.db2.gz VAYIRNYEJBOYJN-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](NC(=O)C(C1CC1)C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441220049 1008276568 /nfs/dbraw/zinc/27/65/68/1008276568.db2.gz WYKMPTBHIBOBNG-SECBINFHSA-N 0 2 319.409 0.883 20 0 DCADLN Cc1ncoc1C[NH2+][C@H](C)CN(C)C(=O)CCc1nc[nH]n1 ZINC001441516594 1008386572 /nfs/dbraw/zinc/38/65/72/1008386572.db2.gz WKQYAAADEVBWRI-SNVBAGLBSA-N 0 2 306.370 0.670 20 0 DCADLN COCCOc1cncc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1 ZINC001453748472 1008698848 /nfs/dbraw/zinc/69/88/48/1008698848.db2.gz JRZDBYDKPQPEIR-VIFPVBQESA-N 0 2 322.321 0.034 20 0 DCADLN C[C@H](CNC(=O)Cc1ccon1)NC(=O)C(F)C(F)(F)F ZINC001433432535 1008781084 /nfs/dbraw/zinc/78/10/84/1008781084.db2.gz GKNGWNOZDGDQFQ-HZGVNTEJSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@H](CNC(=O)Cc1ccon1)NC(=O)[C@@H](F)C(F)(F)F ZINC001433432535 1008781098 /nfs/dbraw/zinc/78/10/98/1008781098.db2.gz GKNGWNOZDGDQFQ-HZGVNTEJSA-N 0 2 311.235 0.738 20 0 DCADLN Cc1ccnc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001433432437 1008781230 /nfs/dbraw/zinc/78/12/30/1008781230.db2.gz DJVLBGQUUUAPLR-SFYZADRCSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccnc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)n1 ZINC001433432437 1008781243 /nfs/dbraw/zinc/78/12/43/1008781243.db2.gz DJVLBGQUUUAPLR-SFYZADRCSA-N 0 2 322.262 0.920 20 0 DCADLN COCC1(C(=O)N[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001433455510 1008804408 /nfs/dbraw/zinc/80/44/08/1008804408.db2.gz DVLJXCRDATXWND-SNVBAGLBSA-N 0 2 308.382 0.308 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCCSCC1 ZINC001433699149 1009086451 /nfs/dbraw/zinc/08/64/51/1009086451.db2.gz MLHBWKDCSNUGHO-UHFFFAOYSA-N 0 2 320.374 0.845 20 0 DCADLN C[C@H]1CSCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433726938 1009124232 /nfs/dbraw/zinc/12/42/32/1009124232.db2.gz GMBRVBIGHGDTIC-VIFPVBQESA-N 0 2 320.374 0.844 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001454622920 1009232617 /nfs/dbraw/zinc/23/26/17/1009232617.db2.gz KOQRCIZKUAEGKH-RQJHMYQMSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)n1 ZINC001454622920 1009232630 /nfs/dbraw/zinc/23/26/30/1009232630.db2.gz KOQRCIZKUAEGKH-RQJHMYQMSA-N 0 2 310.251 0.853 20 0 DCADLN COc1ccc(C[NH+](C)CCNC(=O)[C@@H]2CCC[N@@H+]2C)nn1 ZINC001442376317 1009237173 /nfs/dbraw/zinc/23/71/73/1009237173.db2.gz JMFJSSFFQOQOHY-ZDUSSCGKSA-N 0 2 307.398 0.127 20 0 DCADLN COc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1F ZINC001442420265 1009300090 /nfs/dbraw/zinc/30/00/90/1009300090.db2.gz QUKHFNNIEBLVKT-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN COc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1F ZINC001442420265 1009300104 /nfs/dbraw/zinc/30/01/04/1009300104.db2.gz QUKHFNNIEBLVKT-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN Cc1nonc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001433905025 1009333646 /nfs/dbraw/zinc/33/36/46/1009333646.db2.gz SDDXXGXZVXBWKS-ZETCQYMHSA-N 0 2 324.234 0.657 20 0 DCADLN Cc1nonc1C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001433905025 1009333660 /nfs/dbraw/zinc/33/36/60/1009333660.db2.gz SDDXXGXZVXBWKS-ZETCQYMHSA-N 0 2 324.234 0.657 20 0 DCADLN COC[C@H]1C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001455253720 1009613328 /nfs/dbraw/zinc/61/33/28/1009613328.db2.gz JWACMUZPOKBCEC-JGZJWPJOSA-N 0 2 318.333 0.421 20 0 DCADLN CC[N@H+](CCNC(=O)CC1CC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001442680722 1009617602 /nfs/dbraw/zinc/61/76/02/1009617602.db2.gz XRSNHHQSCZBOEK-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN CC[N@@H+](CCNC(=O)CC1CC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001442680722 1009617610 /nfs/dbraw/zinc/61/76/10/1009617610.db2.gz XRSNHHQSCZBOEK-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN CCO[C@H](C)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455283122 1009632871 /nfs/dbraw/zinc/63/28/71/1009632871.db2.gz VGUOMTFQTZIGFS-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CCO[C@H](C)C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001455283122 1009632881 /nfs/dbraw/zinc/63/28/81/1009632881.db2.gz VGUOMTFQTZIGFS-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN O=C(N[C@H](CO)CC1OCCO1)c1cccc(Cl)c1O ZINC001455326701 1009661743 /nfs/dbraw/zinc/66/17/43/1009661743.db2.gz FKNBAMOXRCVNPE-QMMMGPOBSA-N 0 2 301.726 0.899 20 0 DCADLN Cn1cc(CN2CCC[C@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001442776013 1009723372 /nfs/dbraw/zinc/72/33/72/1009723372.db2.gz AQAQJRGHGRDILU-UWVGGRQHSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CCC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001442776013 1009723379 /nfs/dbraw/zinc/72/33/79/1009723379.db2.gz AQAQJRGHGRDILU-UWVGGRQHSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@H](NC(=O)c1nnn(C)c1C(F)(F)F)c1nn(C)cc1O ZINC001434405557 1009911674 /nfs/dbraw/zinc/91/16/74/1009911674.db2.gz AGTKCVTUJFJYOI-YFKPBYRVSA-N 0 2 318.259 0.764 20 0 DCADLN COCC(=O)N1CCC(N(C)C(=O)C(F)C(F)(F)F)CC1 ZINC001443558965 1010494228 /nfs/dbraw/zinc/49/42/28/1010494228.db2.gz XLPXDIZBNLOTDH-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N1CCC(N(C)C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001443558965 1010494235 /nfs/dbraw/zinc/49/42/35/1010494235.db2.gz XLPXDIZBNLOTDH-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@H+](C)CCc2cnn(C)c2)c1[O-] ZINC001422795271 1010617304 /nfs/dbraw/zinc/61/73/04/1010617304.db2.gz PYDXZVBVSDYNLV-JTQLQIEISA-N 0 2 320.397 0.450 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@@H+](C)CCc2cnn(C)c2)c1[O-] ZINC001422795271 1010617305 /nfs/dbraw/zinc/61/73/05/1010617305.db2.gz PYDXZVBVSDYNLV-JTQLQIEISA-N 0 2 320.397 0.450 20 0 DCADLN Cc1noc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001477802831 1017307599 /nfs/dbraw/zinc/30/75/99/1017307599.db2.gz LEMSWZNUKKSDBB-MRVPVSSYSA-N 0 2 307.314 0.291 20 0 DCADLN C[C@@H](CC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001443847033 1010700905 /nfs/dbraw/zinc/70/09/05/1010700905.db2.gz QELNTBXUHHYVPY-JQWIXIFHSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H](CC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001443847033 1010700909 /nfs/dbraw/zinc/70/09/09/1010700909.db2.gz QELNTBXUHHYVPY-JQWIXIFHSA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)(C)C(=O)NC[C@H](CO)NCC(=O)Nc1nccs1 ZINC001423283157 1011045871 /nfs/dbraw/zinc/04/58/71/1011045871.db2.gz MXZJOFVFNZBAKD-SECBINFHSA-N 0 2 314.411 0.194 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC(C)(C)O ZINC001423550994 1011250353 /nfs/dbraw/zinc/25/03/53/1011250353.db2.gz LHTDZWSMUCBIQJ-UHFFFAOYSA-N 0 2 313.402 0.340 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC(C)(C)O ZINC001423550994 1011250366 /nfs/dbraw/zinc/25/03/66/1011250366.db2.gz LHTDZWSMUCBIQJ-UHFFFAOYSA-N 0 2 313.402 0.340 20 0 DCADLN COC[C@@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001456464295 1011287666 /nfs/dbraw/zinc/28/76/66/1011287666.db2.gz INSHIKZHJCQNKG-VXNVDRBHSA-N 0 2 314.279 0.744 20 0 DCADLN COC[C@@H](C)C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001456464295 1011287679 /nfs/dbraw/zinc/28/76/79/1011287679.db2.gz INSHIKZHJCQNKG-VXNVDRBHSA-N 0 2 314.279 0.744 20 0 DCADLN Cc1cncc(CC(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001445013168 1011318052 /nfs/dbraw/zinc/31/80/52/1011318052.db2.gz IUBQVPRCKZHKAP-UHFFFAOYSA-N 0 2 318.381 0.347 20 0 DCADLN Cc1cncc(CC(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001445013168 1011318060 /nfs/dbraw/zinc/31/80/60/1011318060.db2.gz IUBQVPRCKZHKAP-UHFFFAOYSA-N 0 2 318.381 0.347 20 0 DCADLN C[C@H](NC(=O)c1ccc2n[nH]c(=O)n2c1)c1nn(C)cc1O ZINC001456784328 1011458185 /nfs/dbraw/zinc/45/81/85/1011458185.db2.gz CVWBGPAGTVWTBB-ZETCQYMHSA-N 0 2 302.294 0.365 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001431656054 1011508801 /nfs/dbraw/zinc/50/88/01/1011508801.db2.gz OSGPOWKDDINETP-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)NC(=O)[C@H](F)C(F)(F)F ZINC001431656054 1011508814 /nfs/dbraw/zinc/50/88/14/1011508814.db2.gz OSGPOWKDDINETP-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001432033913 1011889145 /nfs/dbraw/zinc/88/91/45/1011889145.db2.gz HIDPIUOUSDGAGO-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001432033913 1011889161 /nfs/dbraw/zinc/88/91/61/1011889161.db2.gz HIDPIUOUSDGAGO-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001432033909 1011890886 /nfs/dbraw/zinc/89/08/86/1011890886.db2.gz HIDPIUOUSDGAGO-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001432033909 1011890898 /nfs/dbraw/zinc/89/08/98/1011890898.db2.gz HIDPIUOUSDGAGO-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cnccn1 ZINC001535521439 1011916232 /nfs/dbraw/zinc/91/62/32/1011916232.db2.gz VZMGHXBTLWOKCO-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1cnccn1 ZINC001535521439 1011916241 /nfs/dbraw/zinc/91/62/41/1011916241.db2.gz VZMGHXBTLWOKCO-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)/C=C/C2CC2)CC1 ZINC001535697090 1011963517 /nfs/dbraw/zinc/96/35/17/1011963517.db2.gz NLPVEQLBKGWOQC-SNAWJCMRSA-N 0 2 302.378 0.992 20 0 DCADLN O=C(c1nccnc1Cl)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001556371977 1012202328 /nfs/dbraw/zinc/20/23/28/1012202328.db2.gz QNHPXHXIJJVCMH-ZETCQYMHSA-N 0 2 308.729 0.974 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@H]1CCCNC1=O ZINC001556541757 1012211283 /nfs/dbraw/zinc/21/12/83/1012211283.db2.gz FZCBSEYALWIYTH-JTQLQIEISA-N 0 2 301.306 0.642 20 0 DCADLN C[C@H](NC(=O)c1ccccc1-n1cnnn1)c1nn(C)cc1O ZINC001558682719 1012379033 /nfs/dbraw/zinc/37/90/33/1012379033.db2.gz KNTSUYATQMJKRC-VIFPVBQESA-N 0 2 313.321 0.592 20 0 DCADLN CCO[C@@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)C1CCOCC1 ZINC001558940971 1012394620 /nfs/dbraw/zinc/39/46/20/1012394620.db2.gz QGSRQVMLHUUMDN-GFCCVEGCSA-N 0 2 312.370 0.391 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)Cc2cnoc2)C1 ZINC001432400665 1012436201 /nfs/dbraw/zinc/43/62/01/1012436201.db2.gz SEPKKBXJXVEWLA-JTQLQIEISA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)Cc2cnoc2)C1 ZINC001432400665 1012436211 /nfs/dbraw/zinc/43/62/11/1012436211.db2.gz SEPKKBXJXVEWLA-JTQLQIEISA-N 0 2 323.246 0.787 20 0 DCADLN Cc1cnccc1CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446036364 1012546073 /nfs/dbraw/zinc/54/60/73/1012546073.db2.gz GNESJIPEOSMYQA-GFCCVEGCSA-N 0 2 316.365 0.147 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001429754341 1012742852 /nfs/dbraw/zinc/74/28/52/1012742852.db2.gz KPOXOUJRXLFWGD-XPUUQOCRSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2cnon2)C1)[C@H](F)C(F)(F)F ZINC001429754341 1012742875 /nfs/dbraw/zinc/74/28/75/1012742875.db2.gz KPOXOUJRXLFWGD-XPUUQOCRSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506607493 1017403308 /nfs/dbraw/zinc/40/33/08/1017403308.db2.gz GKHNTTUAWWQODR-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cc(C[NH2+]CCC[C@@H](C)NC(=O)[C@H]2C[N@H+](C)CCO2)on1 ZINC001506916523 1017667317 /nfs/dbraw/zinc/66/73/17/1017667317.db2.gz HBUNSGLKJRQEDB-IUODEOHRSA-N 0 2 324.425 0.688 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+]Cc3ncc(C)cn3)CC2)c1[O-] ZINC001499201939 1017739720 /nfs/dbraw/zinc/73/97/20/1017739720.db2.gz XXDNNIYQUUKUTC-UHFFFAOYSA-N 0 2 316.365 0.574 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NCCC[N@@H+](C)Cc1cnc(C)cn1 ZINC001479813277 1017813496 /nfs/dbraw/zinc/81/34/96/1017813496.db2.gz YQABEZYXCLSMCG-UHFFFAOYSA-N 0 2 316.409 0.928 20 0 DCADLN Cc1nc[nH]c1CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498222719 1018771253 /nfs/dbraw/zinc/77/12/53/1018771253.db2.gz IJWFKTUCPNERDS-SNVBAGLBSA-N 0 2 322.262 0.488 20 0 DCADLN CS[C@@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498222811 1018773186 /nfs/dbraw/zinc/77/31/86/1018773186.db2.gz JIBZGYVCLCFGAS-CAHLUQPWSA-N 0 2 302.293 0.965 20 0 DCADLN CS[C@@H](C)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001498222811 1018773200 /nfs/dbraw/zinc/77/32/00/1018773200.db2.gz JIBZGYVCLCFGAS-CAHLUQPWSA-N 0 2 302.293 0.965 20 0 DCADLN C=C/C(C)=C/CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495861170 1018778799 /nfs/dbraw/zinc/77/87/99/1018778799.db2.gz UGESODSLYIVAQD-QNCMIEPLSA-N 0 2 321.381 0.350 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCC(F)(F)F ZINC001498252786 1018834572 /nfs/dbraw/zinc/83/45/72/1018834572.db2.gz RVNUTRVFCNTLRO-SSDOTTSWSA-N 0 2 309.292 0.789 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCC(F)(F)F ZINC001498252786 1018834578 /nfs/dbraw/zinc/83/45/78/1018834578.db2.gz RVNUTRVFCNTLRO-SSDOTTSWSA-N 0 2 309.292 0.789 20 0 DCADLN CN(CCNC(=O)Cc1cc[nH]n1)C(=O)[C@H](F)C(F)(F)F ZINC001495927241 1018879033 /nfs/dbraw/zinc/87/90/33/1018879033.db2.gz JRULDPHDAYHSCP-VIFPVBQESA-N 0 2 310.251 0.427 20 0 DCADLN CN(CCNC(=O)Cc1cc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001495927241 1018879017 /nfs/dbraw/zinc/87/90/17/1018879017.db2.gz JRULDPHDAYHSCP-VIFPVBQESA-N 0 2 310.251 0.427 20 0 DCADLN CC[N@H+](CCNC(=O)c1ncccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001493034761 1019030824 /nfs/dbraw/zinc/03/08/24/1019030824.db2.gz LJOKFLZUUKJEHT-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ncccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001493034761 1019030839 /nfs/dbraw/zinc/03/08/39/1019030839.db2.gz LJOKFLZUUKJEHT-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001498388184 1019042162 /nfs/dbraw/zinc/04/21/62/1019042162.db2.gz FQFDRZCLNQQIPO-VIFPVBQESA-N 0 2 320.353 0.170 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001498388184 1019042175 /nfs/dbraw/zinc/04/21/75/1019042175.db2.gz FQFDRZCLNQQIPO-VIFPVBQESA-N 0 2 320.353 0.170 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493084091 1019079814 /nfs/dbraw/zinc/07/98/14/1019079814.db2.gz HCMTUVUFPJXKIS-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493084091 1019079826 /nfs/dbraw/zinc/07/98/26/1019079826.db2.gz HCMTUVUFPJXKIS-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN COc1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001498410287 1019083455 /nfs/dbraw/zinc/08/34/55/1019083455.db2.gz SITSHLDHOFZZDO-MRVPVSSYSA-N 0 2 309.326 0.362 20 0 DCADLN COc1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001498410287 1019083463 /nfs/dbraw/zinc/08/34/63/1019083463.db2.gz SITSHLDHOFZZDO-MRVPVSSYSA-N 0 2 309.326 0.362 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001493148919 1019146573 /nfs/dbraw/zinc/14/65/73/1019146573.db2.gz UERBCUZFQUNCMU-IINYFYTJSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001493148919 1019146584 /nfs/dbraw/zinc/14/65/84/1019146584.db2.gz UERBCUZFQUNCMU-IINYFYTJSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(C[C@H]1CCCOC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493159078 1019153430 /nfs/dbraw/zinc/15/34/30/1019153430.db2.gz AMRRERRALWTCHQ-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@H]1CCCOC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493159078 1019153434 /nfs/dbraw/zinc/15/34/34/1019153434.db2.gz AMRRERRALWTCHQ-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN CCOCC(=O)NC[C@H](CC)NC(=O)C(F)C(F)(F)F ZINC001498891312 1019504759 /nfs/dbraw/zinc/50/47/59/1019504759.db2.gz DCPVVTUHROVFLM-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)NC[C@H](CC)NC(=O)[C@H](F)C(F)(F)F ZINC001498891312 1019504771 /nfs/dbraw/zinc/50/47/71/1019504771.db2.gz DCPVVTUHROVFLM-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN Cn1cnnc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001498978446 1019605574 /nfs/dbraw/zinc/60/55/74/1019605574.db2.gz DUHHFNZEBYRITD-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cnnc1CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001498978446 1019605589 /nfs/dbraw/zinc/60/55/89/1019605589.db2.gz DUHHFNZEBYRITD-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN O=C(NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cnon1 ZINC001493663686 1019606142 /nfs/dbraw/zinc/60/61/42/1019606142.db2.gz KAGLLCUSKFGLMX-SVRRBLITSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1)c1cnon1 ZINC001493663686 1019606154 /nfs/dbraw/zinc/60/61/54/1019606154.db2.gz KAGLLCUSKFGLMX-SVRRBLITSA-N 0 2 324.234 0.595 20 0 DCADLN CN(CCCNC(=O)c1ncccn1)C(=O)C(F)C(F)(F)F ZINC001687596010 1174132196 /nfs/dbraw/zinc/13/21/96/1174132196.db2.gz WXTYWEAKAUMUMJ-MRVPVSSYSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1ncccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001687596010 1174132200 /nfs/dbraw/zinc/13/22/00/1174132200.db2.gz WXTYWEAKAUMUMJ-MRVPVSSYSA-N 0 2 322.262 0.955 20 0 DCADLN C[C@@H](CC(=O)[O-])OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001607420253 1170448506 /nfs/dbraw/zinc/44/85/06/1170448506.db2.gz ZKHHBFKVFJEKHC-TUAOUCFPSA-N 0 2 301.339 0.273 20 0 DCADLN Cc1ccc(N2CCC(NS(C)(=O)=O)CC2)[nH+]c1C(=O)[O-] ZINC001607792414 1170507067 /nfs/dbraw/zinc/50/70/67/1170507067.db2.gz CUSAYLXJDPFWOI-UHFFFAOYSA-N 0 2 313.379 0.606 20 0 DCADLN C[C@H](NC(=O)C(C)(C)C)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001609762432 1171081251 /nfs/dbraw/zinc/08/12/51/1171081251.db2.gz RLYGISGWSILQDP-VHSXEESVSA-N 0 2 310.354 0.003 20 0 DCADLN O=C(CC1CCCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092618395 185329117 /nfs/dbraw/zinc/32/91/17/185329117.db2.gz PRBPOISLIUXPNP-UHFFFAOYSA-N 0 2 307.398 0.713 20 0 DCADLN CCN(Cc1n[nH]c(=O)[nH]1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000092618737 185329220 /nfs/dbraw/zinc/32/92/20/185329220.db2.gz SFHLDFXOFQQUPX-GFCCVEGCSA-N 0 2 301.350 0.725 20 0 DCADLN Cc1ccc(-n2nnnc2SCc2n[nH]c(=O)[nH]2)cc1C ZINC000092870078 185347519 /nfs/dbraw/zinc/34/75/19/185347519.db2.gz QSTYGEIFYGWYBC-UHFFFAOYSA-N 0 2 303.351 0.983 20 0 DCADLN CN(CC(=O)N1CCOCC1)Cc1nc(=O)c2sccc2[nH]1 ZINC000299276296 529829349 /nfs/dbraw/zinc/82/93/49/529829349.db2.gz NXEZAXJXRMHUDF-UHFFFAOYSA-N 0 2 322.390 0.688 20 0 DCADLN NC(=O)CCn1ccc(NS(=O)(=O)C[C@@H]2CCCO2)n1 ZINC000330821738 232093278 /nfs/dbraw/zinc/09/32/78/232093278.db2.gz NKWOQAWFAQPKCZ-VIFPVBQESA-N 0 2 302.356 0.729 20 0 DCADLN O=C(NN1CCCNC1=O)c1cccnc1OCC(F)F ZINC000272430551 209834373 /nfs/dbraw/zinc/83/43/73/209834373.db2.gz CZSMQTSKTYEVRU-UHFFFAOYSA-N 0 2 300.265 0.786 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc(=O)[nH]1 ZINC000271939298 186410174 /nfs/dbraw/zinc/41/01/74/186410174.db2.gz RESRTNDPVFZLDX-NSHDSACASA-N 0 2 313.379 0.249 20 0 DCADLN Cc1cc(S(=O)(=O)NC(=O)[C@@H]2CCN(C)C(=O)C2)c(C)o1 ZINC000181341130 237262448 /nfs/dbraw/zinc/26/24/48/237262448.db2.gz GGUAGCLKSZSPMS-SNVBAGLBSA-N 0 2 314.363 0.570 20 0 DCADLN COCCN1CCN(C(=O)CSc2nc(C)cc(C)n2)CC1 ZINC000281140288 216105567 /nfs/dbraw/zinc/10/55/67/216105567.db2.gz JXMAKHASXBGTHK-UHFFFAOYSA-N 0 2 324.450 0.976 20 0 DCADLN C[C@H](O)CNc1cc(N2CCC3(C[C@H]3C(=O)[O-])CC2)nc[nH+]1 ZINC000566071998 291267468 /nfs/dbraw/zinc/26/74/68/291267468.db2.gz SOXXAWZPRBVDFM-QWRGUYRKSA-N 0 2 306.366 0.960 20 0 DCADLN C[C@H](O)CNc1cc(N2CCC3(C[C@H]3C(=O)[O-])CC2)[nH+]cn1 ZINC000566071998 291267469 /nfs/dbraw/zinc/26/74/69/291267469.db2.gz SOXXAWZPRBVDFM-QWRGUYRKSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])C[C@H]1CN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)CCO1 ZINC000567356274 291351077 /nfs/dbraw/zinc/35/10/77/291351077.db2.gz FLGWACDMVMKZRJ-RYUDHWBXSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])C[C@H]1CN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)CCO1 ZINC000567356274 291351079 /nfs/dbraw/zinc/35/10/79/291351079.db2.gz FLGWACDMVMKZRJ-RYUDHWBXSA-N 0 2 322.365 0.118 20 0 DCADLN C[C@H](CO[N-]C(=O)[C@@H]1COCC[N@@H+]1C)NC(=O)OC(C)(C)C ZINC000495366642 241214544 /nfs/dbraw/zinc/21/45/44/241214544.db2.gz GNYLEVLDDFITGR-MNOVXSKESA-N 0 2 317.386 0.278 20 0 DCADLN C[C@H](CO[N-]C(=O)[C@@H]1COCC[N@H+]1C)NC(=O)OC(C)(C)C ZINC000495366642 241214548 /nfs/dbraw/zinc/21/45/48/241214548.db2.gz GNYLEVLDDFITGR-MNOVXSKESA-N 0 2 317.386 0.278 20 0 DCADLN O=C(c1cc2n(n1)CCC2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000568274034 291420042 /nfs/dbraw/zinc/42/00/42/291420042.db2.gz QWEAIBYOOMVOSB-VIFPVBQESA-N 0 2 302.338 0.673 20 0 DCADLN CCNS(=O)(=O)c1ccccc1NS(=O)(=O)CCOC ZINC000265653025 204770059 /nfs/dbraw/zinc/77/00/59/204770059.db2.gz VEGZKBCOOVEFRN-UHFFFAOYSA-N 0 2 322.408 0.373 20 0 DCADLN O=C([C@@H]1CCCCC(=O)N1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000572486320 291570796 /nfs/dbraw/zinc/57/07/96/291570796.db2.gz UTLUWYFDYLBLBD-JTQLQIEISA-N 0 2 307.354 0.275 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC001646723530 1172491490 /nfs/dbraw/zinc/49/14/90/1172491490.db2.gz UTBSJYLMLDDUJP-UPJWGTAASA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC001646723530 1172491491 /nfs/dbraw/zinc/49/14/91/1172491491.db2.gz UTBSJYLMLDDUJP-UPJWGTAASA-N 0 2 313.398 0.602 20 0 DCADLN CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000574453443 291696197 /nfs/dbraw/zinc/69/61/97/291696197.db2.gz ZLUDOJGTYVQHSH-NEPJUHHUSA-N 0 2 306.366 0.411 20 0 DCADLN O=C(NC1(CO)CCOCC1)C1=NN(c2ccccc2)CC1=O ZINC000274008467 211265277 /nfs/dbraw/zinc/26/52/77/211265277.db2.gz OBKXHHJPXPYFQZ-UHFFFAOYSA-N 0 2 317.345 0.849 20 0 DCADLN COC(=O)C[C@@H](NC(=O)c1cc(F)c(O)c(F)c1)C(=O)OC ZINC000273957388 211212128 /nfs/dbraw/zinc/21/21/28/211212128.db2.gz OIHDNDAJYSYHDA-SECBINFHSA-N 0 2 317.244 0.505 20 0 DCADLN O=C([O-])NCC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000285797658 218642248 /nfs/dbraw/zinc/64/22/48/218642248.db2.gz VQYKPEBMSLMIJH-SNVBAGLBSA-N 0 2 313.379 0.505 20 0 DCADLN CCN(CCOC)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000338095150 284972150 /nfs/dbraw/zinc/97/21/50/284972150.db2.gz HMBXDEOPUXVFIR-LLVKDONJSA-N 0 2 307.416 0.088 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1)N1CCOCC1 ZINC000339706461 253129817 /nfs/dbraw/zinc/12/98/17/253129817.db2.gz NSLULNMZHWNPAR-JTQLQIEISA-N 0 2 318.333 0.689 20 0 DCADLN C[C@@H]1CC(=O)N[C@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)C1 ZINC000294588994 224105609 /nfs/dbraw/zinc/10/56/09/224105609.db2.gz HHTKMMJRCXOABD-KWQFWETISA-N 0 2 315.333 0.618 20 0 DCADLN Cc1nnc([C@@H](C)NC(=O)C(C)(C)NC(=O)C(F)(F)F)[nH]1 ZINC000286481158 219011609 /nfs/dbraw/zinc/01/16/09/219011609.db2.gz UZSFWNAMORWDIF-RXMQYKEDSA-N 0 2 307.276 0.747 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)C(C)(C)NC(=O)C(F)(F)F)n[nH]1 ZINC000286481158 219011610 /nfs/dbraw/zinc/01/16/10/219011610.db2.gz UZSFWNAMORWDIF-RXMQYKEDSA-N 0 2 307.276 0.747 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cc(Cl)ccc1F ZINC000342042156 253449574 /nfs/dbraw/zinc/44/95/74/253449574.db2.gz HMJMPEXBFBCJNZ-UHFFFAOYSA-N 0 2 313.676 0.558 20 0 DCADLN COC[C@@H]1CCN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000346335475 254037837 /nfs/dbraw/zinc/03/78/37/254037837.db2.gz KXKLDHWAUCBRQE-SECBINFHSA-N 0 2 318.333 0.853 20 0 DCADLN COC[C@H]1CCN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000346336164 254038886 /nfs/dbraw/zinc/03/88/86/254038886.db2.gz KXKLDHWAUCBRQE-VIFPVBQESA-N 0 2 318.333 0.853 20 0 DCADLN Cn1ccc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc2c1=O ZINC000347229440 254141060 /nfs/dbraw/zinc/14/10/60/254141060.db2.gz WPZJNCTXKHUIGB-UHFFFAOYSA-N 0 2 314.305 0.684 20 0 DCADLN CN(CCn1cccn1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000347810681 254193434 /nfs/dbraw/zinc/19/34/34/254193434.db2.gz PGNUHWCBCYBXPS-UHFFFAOYSA-N 0 2 314.305 0.405 20 0 DCADLN Cc1n[nH]c(NC(=O)c2ccc(F)c(NS(C)(=O)=O)c2)n1 ZINC000348044070 254216456 /nfs/dbraw/zinc/21/64/56/254216456.db2.gz LHQQVMPILDBOQG-UHFFFAOYSA-N 0 2 313.314 0.876 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ccn(CC(=O)N(C)C)n2)cn1 ZINC000349990962 254307647 /nfs/dbraw/zinc/30/76/47/254307647.db2.gz NLEKZURYHJVJDY-UHFFFAOYSA-N 0 2 323.378 0.476 20 0 DCADLN COC(=O)[C@H]([C@H](C)O)N(Cc1n[nH]c(=O)[nH]1)Cc1ccccc1 ZINC000351980234 254387055 /nfs/dbraw/zinc/38/70/55/254387055.db2.gz BAAQXVAYBWPGSG-GWCFXTLKSA-N 0 2 320.349 0.435 20 0 DCADLN Cc1cccc([C@@H](CNC(=O)CNC(=O)[O-])[NH+]2CCOCC2)c1 ZINC000285532079 131177811 /nfs/dbraw/zinc/17/78/11/131177811.db2.gz CPCMJVQZXFJHEW-CQSZACIVSA-N 0 2 321.377 0.752 20 0 DCADLN CCN(CC(=O)Nc1cccc(OC)c1)Cc1n[nH]c(=O)[nH]1 ZINC000092618042 395732257 /nfs/dbraw/zinc/73/22/57/395732257.db2.gz KFPWZJDWBYMWMI-UHFFFAOYSA-N 0 2 305.338 0.980 20 0 DCADLN O=c1[nH]nc(CN2CCOC[C@@H]2C[C@H](O)c2ccccc2)[nH]1 ZINC000091592685 395726384 /nfs/dbraw/zinc/72/63/84/395726384.db2.gz AFBIKKXNVAWCIC-STQMWFEESA-N 0 2 304.350 0.423 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc(OCC(N)=O)c1 ZINC000040810250 395734641 /nfs/dbraw/zinc/73/46/41/395734641.db2.gz GWQZITCBBSQOOT-UHFFFAOYSA-N 0 2 310.335 0.383 20 0 DCADLN CCS(=O)(=O)CC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000103522028 395774036 /nfs/dbraw/zinc/77/40/36/395774036.db2.gz UVOUZPIHWBLLJT-UHFFFAOYSA-N 0 2 311.319 0.403 20 0 DCADLN CCC(CC)[C@@H](O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000111655040 395811524 /nfs/dbraw/zinc/81/15/24/395811524.db2.gz ZQYJGTQUPBASMZ-NSHDSACASA-N 0 2 320.349 0.138 20 0 DCADLN COCCOCC(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000219730636 395846295 /nfs/dbraw/zinc/84/62/95/395846295.db2.gz JIZLOKCJIWPFED-UHFFFAOYSA-N 0 2 311.363 0.606 20 0 DCADLN O=C(NCCc1ccccc1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000047402932 395791457 /nfs/dbraw/zinc/79/14/57/395791457.db2.gz HLHWGBRHDIOZRZ-UHFFFAOYSA-N 0 2 310.313 0.996 20 0 DCADLN CC(C)C[C@@H](CNC(=O)CSCC(=O)[O-])[NH+]1CCOCC1 ZINC000128048522 395917456 /nfs/dbraw/zinc/91/74/56/395917456.db2.gz FCHSBHRVMZGGNQ-LBPRGKRZSA-N 0 2 318.439 0.667 20 0 DCADLN COCC[N@H+](CC(=O)[N-]OCc1ccccc1)[C@H](C)C(N)=O ZINC000271398294 395863587 /nfs/dbraw/zinc/86/35/87/395863587.db2.gz SPWOURHDTZQTOW-GFCCVEGCSA-N 0 2 309.366 0.057 20 0 DCADLN COCC[N@@H+](CC(=O)[N-]OCc1ccccc1)[C@H](C)C(N)=O ZINC000271398294 395863589 /nfs/dbraw/zinc/86/35/89/395863589.db2.gz SPWOURHDTZQTOW-GFCCVEGCSA-N 0 2 309.366 0.057 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1nc(C)c(C)o1 ZINC000272188049 395875751 /nfs/dbraw/zinc/87/57/51/395875751.db2.gz UXGPAUPCANGOCT-UHFFFAOYSA-N 0 2 314.323 0.992 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2noc(C)n2)oc1C ZINC000272193255 395876320 /nfs/dbraw/zinc/87/63/20/395876320.db2.gz WAZYVHDOGQPECO-UHFFFAOYSA-N 0 2 301.280 0.867 20 0 DCADLN COC(=O)CC[C@H](Cc1ccccc1)NC(=O)c1nc(=O)[nH][nH]1 ZINC000135235385 395939053 /nfs/dbraw/zinc/93/90/53/395939053.db2.gz AWGLSCFPSGOGPH-LLVKDONJSA-N 0 2 318.333 0.392 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)C(=O)NC1CC1 ZINC000274581748 395959934 /nfs/dbraw/zinc/95/99/34/395959934.db2.gz LFPPUPIGNBKXTO-UHFFFAOYSA-N 0 2 315.333 0.279 20 0 DCADLN Cc1ccc([C@@H](O)CNC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000274859249 395982686 /nfs/dbraw/zinc/98/26/86/395982686.db2.gz ACBJTLFZOHJWBP-JTQLQIEISA-N 0 2 308.363 0.348 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CC[C@@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000276829553 396030901 /nfs/dbraw/zinc/03/09/01/396030901.db2.gz FJUZYDZQEZVQSN-DTWKUNHWSA-N 0 2 318.333 0.635 20 0 DCADLN CN(C)C(=O)Cn1cc(NS(=O)(=O)c2cccs2)cn1 ZINC000066585190 396011036 /nfs/dbraw/zinc/01/10/36/396011036.db2.gz HTAAXHMFZASLEH-UHFFFAOYSA-N 0 2 314.392 0.834 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(C(=O)OC)c2)c1O ZINC000278064332 396104273 /nfs/dbraw/zinc/10/42/73/396104273.db2.gz MVESMASSIBFPTN-SNVBAGLBSA-N 0 2 306.274 0.461 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C)c(C(=O)OC)c2)c1O ZINC000278118966 396107100 /nfs/dbraw/zinc/10/71/00/396107100.db2.gz LNSUJUWMFRPBPF-LLVKDONJSA-N 0 2 320.301 0.770 20 0 DCADLN Cc1cccc(N2CC[NH+](CC(=O)NCC(=O)[O-])CC2)c1C ZINC000262206607 396110706 /nfs/dbraw/zinc/11/07/06/396110706.db2.gz JBMLTBFZXNINHP-UHFFFAOYSA-N 0 2 305.378 0.626 20 0 DCADLN O=C(C[N@H+]1CCCC[C@@H]1C(=O)[O-])NCCCN1CCCC1=O ZINC000262311670 396122211 /nfs/dbraw/zinc/12/22/11/396122211.db2.gz SUNBRTPQCRIUMJ-GFCCVEGCSA-N 0 2 311.382 0.054 20 0 DCADLN O=C(C[N@@H+]1CCCC[C@@H]1C(=O)[O-])NCCCN1CCCC1=O ZINC000262311670 396122215 /nfs/dbraw/zinc/12/22/15/396122215.db2.gz SUNBRTPQCRIUMJ-GFCCVEGCSA-N 0 2 311.382 0.054 20 0 DCADLN CNC(=O)CN1CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000177057291 396165496 /nfs/dbraw/zinc/16/54/96/396165496.db2.gz MABUEVGLCQFWNW-UHFFFAOYSA-N 0 2 313.304 0.174 20 0 DCADLN CN(CC(=O)[O-])CC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000178849761 396210625 /nfs/dbraw/zinc/21/06/25/396210625.db2.gz SKUUZBZAUNXOGB-UHFFFAOYSA-N 0 2 311.407 0.409 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000262674320 396171551 /nfs/dbraw/zinc/17/15/51/396171551.db2.gz SXHOUTBYHYAGSX-SNVBAGLBSA-N 0 2 319.361 0.922 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000262674320 396171554 /nfs/dbraw/zinc/17/15/54/396171554.db2.gz SXHOUTBYHYAGSX-SNVBAGLBSA-N 0 2 319.361 0.922 20 0 DCADLN Cn1cc[nH+]c1C[C@@H](NC(=O)c1n[nH]c(=O)[n-]1)c1ccccc1 ZINC000075212939 396177370 /nfs/dbraw/zinc/17/73/70/396177370.db2.gz CHEDKAQGJKVMQC-LLVKDONJSA-N 0 2 312.333 0.545 20 0 DCADLN O=C(CS(=O)(=O)c1nc2ccccc2[nH]1)N1CCOCC1 ZINC000079949758 396273151 /nfs/dbraw/zinc/27/31/51/396273151.db2.gz OVBKSEKZPPXTGP-UHFFFAOYSA-N 0 2 309.347 0.195 20 0 DCADLN O=C([O-])c1cccc(NCCS(=O)(=O)NCC2CCC2)[nH+]1 ZINC000263406384 396216272 /nfs/dbraw/zinc/21/62/72/396216272.db2.gz KTODPUDDEOXYJC-UHFFFAOYSA-N 0 2 313.379 0.911 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cncn1-c1ccccc1 ZINC000080973742 396289880 /nfs/dbraw/zinc/28/98/80/396289880.db2.gz DRPQPXFFNJGUEF-UHFFFAOYSA-N 0 2 312.333 0.646 20 0 DCADLN CCN(C(=O)c1cc(F)c(F)c(O)c1F)[C@H]1CC(=O)NC1=O ZINC000185711916 396333025 /nfs/dbraw/zinc/33/30/25/396333025.db2.gz NIJKZESTOCOPAF-ZETCQYMHSA-N 0 2 316.235 0.687 20 0 DCADLN CS[C@H]1CCC[C@@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000187105988 396363818 /nfs/dbraw/zinc/36/38/18/396363818.db2.gz IKLSOIDICZDGEV-SFYZADRCSA-N 0 2 302.425 0.981 20 0 DCADLN CCS[C@@H]1CCC[C@H]1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000187165798 396364565 /nfs/dbraw/zinc/36/45/65/396364565.db2.gz RKHXPFPKAKETNN-HTQZYQBOSA-N 0 2 302.425 0.981 20 0 DCADLN Cc1ccc([C@@H](CNC(=O)CNC(=O)[O-])[NH+]2CCOCC2)cc1 ZINC000285499271 396350359 /nfs/dbraw/zinc/35/03/59/396350359.db2.gz AFPKJFAGOKDYHG-CQSZACIVSA-N 0 2 321.377 0.752 20 0 DCADLN CO[C@H](C)[C@@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000291508359 396495424 /nfs/dbraw/zinc/49/54/24/396495424.db2.gz WEEFEHQTTYSEAJ-HTQZYQBOSA-N 0 2 306.322 0.898 20 0 DCADLN O=C([O-])C1(NS(=O)(=O)c2ccccc2Cn2cc[nH+]c2)CC1 ZINC000580772053 396498556 /nfs/dbraw/zinc/49/85/56/396498556.db2.gz QYGUNDJDYLDTBE-UHFFFAOYSA-N 0 2 321.358 0.827 20 0 DCADLN COC(=O)[C@H]1CC[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288981852 396451846 /nfs/dbraw/zinc/45/18/46/396451846.db2.gz GMGVOAJZBDVDGO-QWRGUYRKSA-N 0 2 316.317 0.903 20 0 DCADLN CN(C)C(=O)CCCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289165308 396455863 /nfs/dbraw/zinc/45/58/63/396455863.db2.gz YRVMCDFSUWWOEF-UHFFFAOYSA-N 0 2 317.349 0.962 20 0 DCADLN C[C@H]1Oc2ccccc2[C@H]1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000290697056 396470277 /nfs/dbraw/zinc/47/02/77/396470277.db2.gz SFSPGEYDWUKFBX-HQJQHLMTSA-N 0 2 306.347 0.829 20 0 DCADLN NS(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000371996965 396481892 /nfs/dbraw/zinc/48/18/92/396481892.db2.gz CLUSCDBTJIOLSD-MRVPVSSYSA-N 0 2 320.317 0.564 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cc(C)nc3ncnn32)cnn1C ZINC000291865509 396516917 /nfs/dbraw/zinc/51/69/17/396516917.db2.gz KNDXLXPNRYBRBZ-UHFFFAOYSA-N 0 2 307.339 0.275 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@@H+]1CCC[C@H](N2CCCC2=O)C1 ZINC000519176728 396518531 /nfs/dbraw/zinc/51/85/31/396518531.db2.gz HRULQJUJGURMGQ-GJZGRUSLSA-N 0 2 313.442 0.012 20 0 DCADLN COC(=O)c1cn(CC[NH2+]Cc2n[nH]c3ccccc32)nn1 ZINC000585743452 396652102 /nfs/dbraw/zinc/65/21/02/396652102.db2.gz PHTALAWCFHPMEA-UHFFFAOYSA-N 0 2 300.322 0.731 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N3C4CCC3CC4)cc-2c(=O)[nH]1 ZINC000531212612 396592078 /nfs/dbraw/zinc/59/20/78/396592078.db2.gz KQTXYFDTQINDHG-UHFFFAOYSA-N 0 2 322.346 0.752 20 0 DCADLN COC(=O)c1ccccc1NC(=O)N1CC[N@@H+](C)C[C@@H]1C[NH3+] ZINC000582348328 396605326 /nfs/dbraw/zinc/60/53/26/396605326.db2.gz FRKQJECPKLJDHZ-NSHDSACASA-N 0 2 306.366 0.580 20 0 DCADLN COC(=O)c1cccc(NC(=O)N2CC[N@@H+](C)C[C@H]2C[NH3+])c1F ZINC000584768703 396621640 /nfs/dbraw/zinc/62/16/40/396621640.db2.gz XTVRXMFLWVOCJR-SNVBAGLBSA-N 0 2 324.356 0.719 20 0 DCADLN COc1ccc(-c2nc(S(=O)(=O)[C@@H](C)C(N)=O)n[nH]2)cc1 ZINC000562598115 396671213 /nfs/dbraw/zinc/67/12/13/396671213.db2.gz CFDYJVWGVABQOA-ZETCQYMHSA-N 0 2 310.335 0.128 20 0 DCADLN CC(C)[C@@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)CCCO1 ZINC000294411707 396673032 /nfs/dbraw/zinc/67/30/32/396673032.db2.gz MWZBIKJUMWJTSA-VIFPVBQESA-N 0 2 300.384 0.464 20 0 DCADLN CC(=O)CCCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000299242239 396712231 /nfs/dbraw/zinc/71/22/31/396712231.db2.gz LABVIDKBTQFTKD-UHFFFAOYSA-N 0 2 306.369 0.607 20 0 DCADLN CNC(=O)Cc1ccc(NS(=O)(=O)c2ccnn2C)cc1 ZINC000589430037 396714491 /nfs/dbraw/zinc/71/44/91/396714491.db2.gz SNLXIQYYSZEBLE-UHFFFAOYSA-N 0 2 308.363 0.509 20 0 DCADLN CC(=O)NC[C@@H]1C[N@H+](CCOc2cccc(C(=O)[O-])c2)CCO1 ZINC000563277212 396720065 /nfs/dbraw/zinc/72/00/65/396720065.db2.gz KWAHHAGROSKKMN-OAHLLOKOSA-N 0 2 322.361 0.601 20 0 DCADLN CC(=O)NC[C@@H]1C[N@@H+](CCOc2cccc(C(=O)[O-])c2)CCO1 ZINC000563277212 396720069 /nfs/dbraw/zinc/72/00/69/396720069.db2.gz KWAHHAGROSKKMN-OAHLLOKOSA-N 0 2 322.361 0.601 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C[C@@H]2CCCC(=O)N2)s[nH]1 ZINC000634149804 396795791 /nfs/dbraw/zinc/79/57/91/396795791.db2.gz QMQUWDOFXGKMJM-QMMMGPOBSA-N 0 2 312.395 0.839 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@]2(O)CCSC2)s[nH]1 ZINC000634151623 396797498 /nfs/dbraw/zinc/79/74/98/396797498.db2.gz GKQOQQDVGYSLEB-LLVKDONJSA-N 0 2 303.409 0.648 20 0 DCADLN C[N@H+](Cc1nnnn1C1CCOCC1)C1(C(=O)[O-])CCCC1 ZINC000564347098 396826268 /nfs/dbraw/zinc/82/62/68/396826268.db2.gz GRRZBHXNQMVUBP-UHFFFAOYSA-N 0 2 309.370 0.854 20 0 DCADLN C[N@@H+](Cc1nnnn1C1CCOCC1)C1(C(=O)[O-])CCCC1 ZINC000564347098 396826274 /nfs/dbraw/zinc/82/62/74/396826274.db2.gz GRRZBHXNQMVUBP-UHFFFAOYSA-N 0 2 309.370 0.854 20 0 DCADLN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cc(F)c(O)c(F)c1 ZINC000617887059 396861780 /nfs/dbraw/zinc/86/17/80/396861780.db2.gz CNFFAAAABVHJMS-VHSXEESVSA-N 0 2 321.301 0.212 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ncc3c(n2)CCOC3)nc1C ZINC000634660612 396896862 /nfs/dbraw/zinc/89/68/62/396896862.db2.gz UVXFFVZXDVJTOG-UHFFFAOYSA-N 0 2 323.378 0.875 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C2(CO)CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000617903833 396871148 /nfs/dbraw/zinc/87/11/48/396871148.db2.gz YTINEXIZBDIHGA-QMMMGPOBSA-N 0 2 318.333 0.635 20 0 DCADLN CCOC(=O)CN(Cc1cc(=O)n2[nH]ccc2n1)C1CCC1 ZINC000342680655 285755344 /nfs/dbraw/zinc/75/53/44/285755344.db2.gz UOQPGMOJQVMAEK-UHFFFAOYSA-N 0 2 304.350 0.940 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)NCc1nnc[nH]1 ZINC000591441181 396920271 /nfs/dbraw/zinc/92/02/71/396920271.db2.gz HZFABIYIUNJJHD-UHFFFAOYSA-N 0 2 301.310 0.029 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@@H+]1CCC[C@@]1(COC)C(=O)[O-] ZINC000591655278 396966964 /nfs/dbraw/zinc/96/69/64/396966964.db2.gz RTMWEWUAMKXKBK-CQSZACIVSA-N 0 2 315.370 0.178 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@H+]1CCC[C@@]1(COC)C(=O)[O-] ZINC000591655278 396966967 /nfs/dbraw/zinc/96/69/67/396966967.db2.gz RTMWEWUAMKXKBK-CQSZACIVSA-N 0 2 315.370 0.178 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)NCCc1ccc(C(=O)[O-])cc1 ZINC000630097622 396940357 /nfs/dbraw/zinc/94/03/57/396940357.db2.gz KOKXWURCKPKPGZ-AWEZNQCLSA-N 0 2 306.362 0.764 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)NCCc1ccc(C(=O)[O-])cc1 ZINC000630097622 396940361 /nfs/dbraw/zinc/94/03/61/396940361.db2.gz KOKXWURCKPKPGZ-AWEZNQCLSA-N 0 2 306.362 0.764 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)Cc2cn3ccccc3[nH+]2)CCOC1 ZINC000630205127 396960289 /nfs/dbraw/zinc/96/02/89/396960289.db2.gz PAUNWGGKPHQJNW-OAHLLOKOSA-N 0 2 303.318 0.627 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@@H](CC(N)=O)C2)c1 ZINC000591780484 397002992 /nfs/dbraw/zinc/00/29/92/397002992.db2.gz LTPZSVJQQLYPAZ-SAHAZLINSA-N 0 2 324.402 0.527 20 0 DCADLN COC[C@@H](CCO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612506139 397074616 /nfs/dbraw/zinc/07/46/16/397074616.db2.gz WQADCPAYZWCLHN-LLVKDONJSA-N 0 2 307.306 0.157 20 0 DCADLN COC[C@](C)(O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000573150034 397139441 /nfs/dbraw/zinc/13/94/41/397139441.db2.gz KCJOXYYMIZITAI-OAHLLOKOSA-N 0 2 305.334 0.705 20 0 DCADLN CN(CC(C)(CO)CO)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613034182 397181682 /nfs/dbraw/zinc/18/16/82/397181682.db2.gz BXEPQJRVWKEQEZ-UHFFFAOYSA-N 0 2 321.333 0.093 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N(C)C[C@H](O)CO)=N1 ZINC000613195597 397215390 /nfs/dbraw/zinc/21/53/90/397215390.db2.gz MOZZOBLYVWHUAY-LBPRGKRZSA-N 0 2 319.361 0.566 20 0 DCADLN O=C(CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)NCC1CC1 ZINC000613315148 397241433 /nfs/dbraw/zinc/24/14/33/397241433.db2.gz KXJDVYVTFRBDHY-UHFFFAOYSA-N 0 2 316.317 0.286 20 0 DCADLN O=C(NC[C@H]1COC2(CCOCC2)O1)[C@H](F)C(F)(F)F ZINC000365596172 397298770 /nfs/dbraw/zinc/29/87/70/397298770.db2.gz RXTQMKBHULKYCR-YUMQZZPRSA-N 0 2 301.236 0.925 20 0 DCADLN O=C(NC[C@H]1COC2(CCOCC2)O1)C(F)C(F)(F)F ZINC000365596172 397298779 /nfs/dbraw/zinc/29/87/79/397298779.db2.gz RXTQMKBHULKYCR-YUMQZZPRSA-N 0 2 301.236 0.925 20 0 DCADLN O=C(NC[C@@H](O)C(F)(F)F)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613932185 397349101 /nfs/dbraw/zinc/34/91/01/397349101.db2.gz ZHNMRSQKLXDXHR-MRVPVSSYSA-N 0 2 317.223 0.683 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)NCCC(F)(F)F)on1 ZINC000599251235 397376187 /nfs/dbraw/zinc/37/61/87/397376187.db2.gz CNKPBWKKERBHMT-UHFFFAOYSA-N 0 2 302.230 0.692 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2ccc3c(c2)CCCN3)[C@@H](C[NH3+])C1 ZINC000577367824 397325553 /nfs/dbraw/zinc/32/55/53/397325553.db2.gz CGJHQRPSGZGPCM-HNNXBMFYSA-N 0 2 317.437 0.829 20 0 DCADLN NS(=O)(=O)c1ccc(N2N=C(c3ccccc3)CC2=O)nc1 ZINC000614186612 397394952 /nfs/dbraw/zinc/39/49/52/397394952.db2.gz HBBNJNZFIGSEPE-UHFFFAOYSA-N 0 2 316.342 0.870 20 0 DCADLN O=C(c1cnc2n1CCC2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518444 397462893 /nfs/dbraw/zinc/46/28/93/397462893.db2.gz HPYJUZDMAYHELH-SECBINFHSA-N 0 2 302.338 0.673 20 0 DCADLN Cc1[nH+][n-]c2nnc(NC(=O)CSc3n[nH]c(=O)[nH]3)c-2c1C ZINC000614654014 397492488 /nfs/dbraw/zinc/49/24/88/397492488.db2.gz QCRQNYVGHHCMPI-UHFFFAOYSA-N 0 2 320.338 0.524 20 0 DCADLN Cc1cc(C[NH2+]C[C@H](O)C[N@@H+](C)C2CC2)nc(N2CCCC2)n1 ZINC000579583246 397581161 /nfs/dbraw/zinc/58/11/61/397581161.db2.gz LFRICLBCEKUICO-INIZCTEOSA-N 0 2 319.453 0.930 20 0 DCADLN COc1cccc(S(=O)(=O)Nc2ccn(CCC(N)=O)n2)c1 ZINC000605118772 397660407 /nfs/dbraw/zinc/66/04/07/397660407.db2.gz DBPNHRANIWXYCD-UHFFFAOYSA-N 0 2 324.362 0.568 20 0 DCADLN C[C@@H]1CC(=O)NCCN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000608298915 397724642 /nfs/dbraw/zinc/72/46/42/397724642.db2.gz FKUSVOFLKWZPDM-MRVPVSSYSA-N 0 2 311.411 1.000 20 0 DCADLN CC(C)(CNC(=O)N[C@@](C)(CCF)C(=O)[O-])[NH+]1CCOCC1 ZINC001647422970 1172880183 /nfs/dbraw/zinc/88/01/83/1172880183.db2.gz OWNZXPUMNHKECH-AWEZNQCLSA-N 0 2 319.377 0.599 20 0 DCADLN NC(=O)CCOc1ccc(NS(=O)(=O)c2c[nH]cn2)cc1 ZINC000070417419 158047747 /nfs/dbraw/zinc/04/77/47/158047747.db2.gz NKSRHAJRMCHVOO-UHFFFAOYSA-N 0 2 310.335 0.465 20 0 DCADLN O=C(CS(=O)(=O)c1nc2ccccc2[nH]1)NC1CCOCC1 ZINC000076585274 158065043 /nfs/dbraw/zinc/06/50/43/158065043.db2.gz WCURMECZTMGALT-UHFFFAOYSA-N 0 2 323.374 0.632 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NS(=O)(=O)NCC(F)(F)F ZINC000127646501 158275659 /nfs/dbraw/zinc/27/56/59/158275659.db2.gz PNVFRBYFYDSZIV-SSDOTTSWSA-N 0 2 306.306 0.560 20 0 DCADLN COCCC1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000129629433 158291037 /nfs/dbraw/zinc/29/10/37/158291037.db2.gz QJDOROKPPXVVLM-UHFFFAOYSA-N 0 2 318.333 0.158 20 0 DCADLN Cc1ccc(O)c(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)c1 ZINC000132698028 158312818 /nfs/dbraw/zinc/31/28/18/158312818.db2.gz HGDOUJKFSYWDDP-UHFFFAOYSA-N 0 2 309.347 0.939 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(N2CCCC2=O)c1 ZINC000136992203 158344357 /nfs/dbraw/zinc/34/43/57/158344357.db2.gz NUALQBXHKROQJI-UHFFFAOYSA-N 0 2 316.321 0.547 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCOc2cc(F)ccc21 ZINC000185687905 158523497 /nfs/dbraw/zinc/52/34/97/158523497.db2.gz NYTADQZMXLFBKZ-UHFFFAOYSA-N 0 2 310.310 0.755 20 0 DCADLN COc1ccc(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000329054867 159056002 /nfs/dbraw/zinc/05/60/02/159056002.db2.gz HVXBZXLPSJBMCF-JTQLQIEISA-N 0 2 317.349 0.863 20 0 DCADLN CCc1nn(C)cc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329076150 159059086 /nfs/dbraw/zinc/05/90/86/159059086.db2.gz PVANUMXOXFRVAM-SECBINFHSA-N 0 2 304.354 0.826 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)c2cnnn2C)[nH]1 ZINC000329538213 159101095 /nfs/dbraw/zinc/10/10/95/159101095.db2.gz BXRVNHJBAYPXCF-SECBINFHSA-N 0 2 305.342 0.447 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000329664888 159110348 /nfs/dbraw/zinc/11/03/48/159110348.db2.gz QOQGIGOICPLFRJ-ZWNOBZJWSA-N 0 2 310.379 0.772 20 0 DCADLN COCCCS(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330411678 159170464 /nfs/dbraw/zinc/17/04/64/159170464.db2.gz AVQSASGALNDPET-VIFPVBQESA-N 0 2 304.372 0.056 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)CC[C@@H]2CC[C@H](C)O2)cnn1C ZINC000408484850 160118251 /nfs/dbraw/zinc/11/82/51/160118251.db2.gz ONMNDEFVTCKAPP-ONGXEEELSA-N 0 2 315.395 0.881 20 0 DCADLN C[C@@H](CC(=O)NCCCc1n[nH]c(=O)[nH]1)NC(=O)C1CCCC1 ZINC000080975561 286928012 /nfs/dbraw/zinc/92/80/12/286928012.db2.gz GSLRLCBZYQJDBV-JTQLQIEISA-N 0 2 323.397 0.232 20 0 DCADLN CCCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000109093622 286940468 /nfs/dbraw/zinc/94/04/68/286940468.db2.gz LRMOTELKACQNDI-UWVGGRQHSA-N 0 2 309.370 0.255 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N(C)[C@H]1CC[N@@H+](C)C[C@H]1C ZINC000246366282 287082407 /nfs/dbraw/zinc/08/24/07/287082407.db2.gz QMSFRZLRDCRFQQ-KFWWJZLASA-N 0 2 312.458 0.689 20 0 DCADLN O=C(NC1(CO)CCCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000352426541 415174584 /nfs/dbraw/zinc/17/45/84/415174584.db2.gz VRYIYKQMHFZHSQ-UHFFFAOYSA-N 0 2 304.306 0.471 20 0 DCADLN CC(C)Nc1nc(N[C@H](C)CC(F)(F)F)nc(NCCO)[nH+]1 ZINC000342835995 415308856 /nfs/dbraw/zinc/30/88/56/415308856.db2.gz RJAQCNJAODUVSF-MRVPVSSYSA-N 0 2 322.335 0.115 20 0 DCADLN O=C(NCc1ccc(-n2cc[nH+]c2)c(F)c1)c1n[nH]c(=O)[n-]1 ZINC000063109413 415348927 /nfs/dbraw/zinc/34/89/27/415348927.db2.gz XNBHFKJNIDGMFM-UHFFFAOYSA-N 0 2 302.269 0.353 20 0 DCADLN CC(C)C(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC000343040347 415420440 /nfs/dbraw/zinc/42/04/40/415420440.db2.gz DGHSBOJIUBQBND-UHFFFAOYSA-N 0 2 303.366 0.323 20 0 DCADLN Cc1ccc(C)c(OCC(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000080974336 415481681 /nfs/dbraw/zinc/48/16/81/415481681.db2.gz XEYSQHFEIHFLEO-UHFFFAOYSA-N 0 2 304.350 0.843 20 0 DCADLN CC(C)NC(=O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000084382571 415496243 /nfs/dbraw/zinc/49/62/43/415496243.db2.gz FOCSRUUOLVJMKX-UHFFFAOYSA-N 0 2 320.324 0.971 20 0 DCADLN O=C([O-])[C@@H]1CC[C@@H](C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)O1 ZINC000276187061 415446714 /nfs/dbraw/zinc/44/67/14/415446714.db2.gz TWJLZICBUSFSHO-WOPDTQHZSA-N 0 2 305.334 0.409 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)O1 ZINC000276187058 415447957 /nfs/dbraw/zinc/44/79/57/415447957.db2.gz TWJLZICBUSFSHO-UTUOFQBUSA-N 0 2 305.334 0.409 20 0 DCADLN C[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)Nc1ccccc1 ZINC000353482235 415562117 /nfs/dbraw/zinc/56/21/17/415562117.db2.gz UFTWTWRFWJEKST-MRVPVSSYSA-N 0 2 321.362 0.746 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CC(=O)N(Cc3ccccn3)C2)n1 ZINC000103256435 415613124 /nfs/dbraw/zinc/61/31/24/415613124.db2.gz MVGQIMKYHQUACM-SNVBAGLBSA-N 0 2 300.322 0.495 20 0 DCADLN COc1ccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1OC ZINC000343528212 415627117 /nfs/dbraw/zinc/62/71/17/415627117.db2.gz IORDFBBBDQEPAS-UHFFFAOYSA-N 0 2 320.349 0.819 20 0 DCADLN CC[C@@H]1C(=O)NCCN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000343567477 415642779 /nfs/dbraw/zinc/64/27/79/415642779.db2.gz IKYABNFZYQQCBW-MRVPVSSYSA-N 0 2 311.411 1.000 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1NC(=O)NC1=O)c1ccc2n[nH]cc2c1 ZINC000343411928 415590043 /nfs/dbraw/zinc/59/00/43/415590043.db2.gz NGPWGRUKOLYVBT-HQJQHLMTSA-N 0 2 301.306 0.338 20 0 DCADLN COCCCONC(=O)CNC(=O)NCc1ccc(C)cc1 ZINC000288126082 415677384 /nfs/dbraw/zinc/67/73/84/415677384.db2.gz MKNFKHNCBGHFSC-UHFFFAOYSA-N 0 2 309.366 0.879 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N(C)C[C@H]1CCC[N@@H+](C)C1 ZINC000115798035 415728883 /nfs/dbraw/zinc/72/88/83/415728883.db2.gz PKIZRWKFTPURPF-GJZGRUSLSA-N 0 2 312.458 0.690 20 0 DCADLN Cc1cc(C[C@H](C)NC(=O)N2CC[N@@H+](C[C@@H](C)O)C[C@H]2C)n[nH]1 ZINC000289023649 415699463 /nfs/dbraw/zinc/69/94/63/415699463.db2.gz AMOJNCDPADIKFE-IACUBPJLSA-N 0 2 323.441 0.746 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)NC(=O)CC2 ZINC000354180909 415780188 /nfs/dbraw/zinc/78/01/88/415780188.db2.gz JVSWICZOZSPLAV-UHFFFAOYSA-N 0 2 302.294 0.717 20 0 DCADLN CCCN1C[C@@H](C(=O)N2CC[C@@H](C)S(=O)(=O)CC2)CC1=O ZINC000334031024 415789595 /nfs/dbraw/zinc/78/95/95/415789595.db2.gz KZNVBTFYKWHDDL-NEPJUHHUSA-N 0 2 316.423 0.281 20 0 DCADLN Nc1nnc(CNC(=O)C2=NN(c3ccc(F)cc3)CC2=O)o1 ZINC000354853776 415826563 /nfs/dbraw/zinc/82/65/63/415826563.db2.gz SGXVHMFJZIOIMM-UHFFFAOYSA-N 0 2 318.268 0.612 20 0 DCADLN Cn1cc(OCC(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)cn1 ZINC000336995245 415885226 /nfs/dbraw/zinc/88/52/26/415885226.db2.gz IKAVZXZTTCLMQN-UHFFFAOYSA-N 0 2 315.289 0.781 20 0 DCADLN COC[C@@H]1C[C@@H](OC)CN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344626648 415962393 /nfs/dbraw/zinc/96/23/93/415962393.db2.gz JIVILKHWVPUMPH-VHSXEESVSA-N 0 2 320.349 0.550 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCC[C@@]12CCOC2 ZINC000357353498 416065764 /nfs/dbraw/zinc/06/57/64/416065764.db2.gz GSXBPMSPTZGPDM-HNNXBMFYSA-N 0 2 316.317 0.831 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)cc1F ZINC000344932726 416011091 /nfs/dbraw/zinc/01/10/91/416011091.db2.gz NFUWYHPNXUQLKB-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN CCOC(=O)C1(CC(=O)NCCCc2n[nH]c(=O)[nH]2)CCC1 ZINC000356956753 416011515 /nfs/dbraw/zinc/01/15/15/416011515.db2.gz MWMXMSCOOKTNLT-UHFFFAOYSA-N 0 2 310.354 0.683 20 0 DCADLN NC(=O)c1cccc(S(O)=CC(=O)NC[C@H]2CCCO2)c1 ZINC000357178343 416041094 /nfs/dbraw/zinc/04/10/94/416041094.db2.gz WWZJPVYLJXWQND-FIKIJFGZSA-N 0 2 310.375 0.188 20 0 DCADLN CC[C@H](C)N(C)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000175356669 416104872 /nfs/dbraw/zinc/10/48/72/416104872.db2.gz RMMPVQZBAPFKMR-ZETCQYMHSA-N 0 2 312.351 0.030 20 0 DCADLN Cc1ccc(CNC(=O)C[N@@H+](C)C2CC[NH+](CCO)CC2)cc1 ZINC000357649242 416111433 /nfs/dbraw/zinc/11/14/33/416111433.db2.gz OSSPCMDPOSPJCS-UHFFFAOYSA-N 0 2 319.449 1.000 20 0 DCADLN C[C@@H]1C[N@@H+](C(C)(C)CNC(=O)CCc2nc[nH]n2)C[C@H](C)O1 ZINC000176790951 416134012 /nfs/dbraw/zinc/13/40/12/416134012.db2.gz PHJTYOQOLMFNQJ-TXEJJXNPSA-N 0 2 309.414 0.741 20 0 DCADLN C[C@@H](CC(=O)NCCCc1n[nH]c(=O)[nH]1)N1CCCCC1=O ZINC000338831337 416145500 /nfs/dbraw/zinc/14/55/00/416145500.db2.gz GHPXHZYVACPKPV-JTQLQIEISA-N 0 2 309.370 0.350 20 0 DCADLN Cc1noc([C@@H](C)NC(=O)c2c[nH]c3c(cnn3C)c2=O)n1 ZINC000358337173 416225011 /nfs/dbraw/zinc/22/50/11/416225011.db2.gz LGJDRJUMOLVTKD-ZCFIWIBFSA-N 0 2 302.294 0.856 20 0 DCADLN CC(C)[C@@H](NC(=O)c1ccco1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358348283 416227373 /nfs/dbraw/zinc/22/73/73/416227373.db2.gz AXVWASBXWQFOMX-SNVBAGLBSA-N 0 2 307.310 0.174 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(-c2ccco2)[nH]c1=O ZINC000358362792 416229407 /nfs/dbraw/zinc/22/94/07/416229407.db2.gz VYAVIDVQWXNUGH-UHFFFAOYSA-N 0 2 301.262 0.801 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@@]1(CCO)CCOC1)c2=O ZINC000358380805 416232325 /nfs/dbraw/zinc/23/23/25/416232325.db2.gz GCQGJOFWWQSJJA-OAHLLOKOSA-N 0 2 320.349 0.193 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2c[nH]nc2C)cc1C(N)=O ZINC000341775404 416232789 /nfs/dbraw/zinc/23/27/89/416232789.db2.gz KLSCGTWFSYGPKP-UHFFFAOYSA-N 0 2 310.335 0.626 20 0 DCADLN O=C(NC1Cc2ccccc2C1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000183590639 416246319 /nfs/dbraw/zinc/24/63/19/416246319.db2.gz XWCZPFYBINFZTI-UHFFFAOYSA-N 0 2 322.324 0.509 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc3c(cn2)CCCC3)c1O ZINC000349027175 416251632 /nfs/dbraw/zinc/25/16/32/416251632.db2.gz PTUSLBICZIPRLH-GFCCVEGCSA-N 0 2 303.318 0.948 20 0 DCADLN CC[C@@H](C)C(=O)N1CCC[C@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000331080394 416262220 /nfs/dbraw/zinc/26/22/20/416262220.db2.gz LMAYTTCJOPXYCP-ZJUUUORDSA-N 0 2 309.370 0.411 20 0 DCADLN CCOc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000342042268 416272522 /nfs/dbraw/zinc/27/25/22/416272522.db2.gz FDOUMJSMRGCLKV-UHFFFAOYSA-N 0 2 305.294 0.164 20 0 DCADLN O=C(Cc1csc(-c2ccccn2)n1)NCc1n[nH]c(=O)[nH]1 ZINC000358941586 416295484 /nfs/dbraw/zinc/29/54/84/416295484.db2.gz AGGIAGIBKUWXHT-UHFFFAOYSA-N 0 2 316.346 0.888 20 0 DCADLN CCOC(=O)CN(CC(C)C)S(=O)(=O)NCC(F)(F)F ZINC000192014449 416297058 /nfs/dbraw/zinc/29/70/58/416297058.db2.gz AKENMHMIYBEDLX-UHFFFAOYSA-N 0 2 320.333 0.904 20 0 DCADLN COc1cccc2c1OCC(C(=O)NCc1n[nH]c(=O)[nH]1)=C2 ZINC000358956518 416298415 /nfs/dbraw/zinc/29/84/15/416298415.db2.gz SHMUXYDZKJRGMM-UHFFFAOYSA-N 0 2 302.290 0.611 20 0 DCADLN O=S(=O)(NC[C@H](CO)c1ccccc1)NCC(F)(F)F ZINC000195337684 416326213 /nfs/dbraw/zinc/32/62/13/416326213.db2.gz QNPRTJDWGWZAHH-SNVBAGLBSA-N 0 2 312.313 0.749 20 0 DCADLN CN(C[C@H]1CCCC[C@@H]1O)S(=O)(=O)NCC(F)(F)F ZINC000195447748 416326288 /nfs/dbraw/zinc/32/62/88/416326288.db2.gz JNLKSTCNENKAIT-BDAKNGLRSA-N 0 2 304.334 0.866 20 0 DCADLN O=C1[C@@H](NS(=O)(=O)NCC(F)(F)F)C[C@@H]2CCCCN12 ZINC000416517639 416373577 /nfs/dbraw/zinc/37/35/77/416373577.db2.gz SAWXZJXWXWXURE-YUMQZZPRSA-N 0 2 315.317 0.126 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(=O)[nH]c2ccc(F)cc21 ZINC000359809758 416360440 /nfs/dbraw/zinc/36/04/40/416360440.db2.gz FEPVOSPURYQMTP-UHFFFAOYSA-N 0 2 303.253 0.833 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1ccc2c(c1)C(=O)NC2 ZINC000583850546 416484237 /nfs/dbraw/zinc/48/42/37/416484237.db2.gz YQJJRRTYVQJTTI-UHFFFAOYSA-N 0 2 308.319 0.609 20 0 DCADLN C[N@H+](Cc1nnnn1CC1CCOCC1)C1(C(=O)[O-])CCCC1 ZINC000584065161 416497136 /nfs/dbraw/zinc/49/71/36/416497136.db2.gz YNMHXPFGQMKWMV-UHFFFAOYSA-N 0 2 323.397 0.929 20 0 DCADLN C[N@@H+](Cc1nnnn1CC1CCOCC1)C1(C(=O)[O-])CCCC1 ZINC000584065161 416497140 /nfs/dbraw/zinc/49/71/40/416497140.db2.gz YNMHXPFGQMKWMV-UHFFFAOYSA-N 0 2 323.397 0.929 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)nn1C ZINC000439055822 416606011 /nfs/dbraw/zinc/60/60/11/416606011.db2.gz MHKZPYYFIRYMMK-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN O=C(NCCNc1cnccn1)C1=NN(c2ccccc2)CC1=O ZINC000362613070 416568626 /nfs/dbraw/zinc/56/86/26/416568626.db2.gz CLTWLBAUIIFVKU-UHFFFAOYSA-N 0 2 324.344 1.210 20 0 DCADLN CCC(CC)(CNC(=O)NCC[N@@H+]1CCOC[C@@H]1C)C(=O)[O-] ZINC000424404738 416639961 /nfs/dbraw/zinc/63/99/61/416639961.db2.gz GXWGWWIMJBRRQM-LBPRGKRZSA-N 0 2 315.414 0.897 20 0 DCADLN CCC(CC)(CNC(=O)NCC[N@H+]1CCOC[C@@H]1C)C(=O)[O-] ZINC000424404738 416639964 /nfs/dbraw/zinc/63/99/64/416639964.db2.gz GXWGWWIMJBRRQM-LBPRGKRZSA-N 0 2 315.414 0.897 20 0 DCADLN CC(C)(CCNC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000424395437 416639997 /nfs/dbraw/zinc/63/99/97/416639997.db2.gz OVFCDVBAFLDEFT-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN CC(C)(CCNC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000424395437 416640001 /nfs/dbraw/zinc/64/00/01/416640001.db2.gz OVFCDVBAFLDEFT-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2C[C@H](C)CC(C)(C)C2)n1 ZINC000557631050 416741332 /nfs/dbraw/zinc/74/13/32/416741332.db2.gz BUGHJFVKIFKINE-GFCCVEGCSA-N 0 2 322.457 0.812 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1ncc(C)o1 ZINC000443361939 416753073 /nfs/dbraw/zinc/75/30/73/416753073.db2.gz WMIYEMURAOONTF-UHFFFAOYSA-N 0 2 300.296 0.684 20 0 DCADLN CC(C)C(=O)N1CCN(S(=O)(=O)NCC(F)(F)F)CC1 ZINC000442896873 416719086 /nfs/dbraw/zinc/71/90/86/416719086.db2.gz CWQDGHYOZWQFAY-UHFFFAOYSA-N 0 2 317.333 0.183 20 0 DCADLN COCCOCCCCNS(=O)(=O)NCC(F)(F)F ZINC000442983402 416725080 /nfs/dbraw/zinc/72/50/80/416725080.db2.gz MYGYQYUYVVNUFP-UHFFFAOYSA-N 0 2 308.322 0.416 20 0 DCADLN CC[C@H]1CN(CCNS(=O)(=O)NCC(F)(F)F)CCO1 ZINC000443190466 416734338 /nfs/dbraw/zinc/73/43/38/416734338.db2.gz FCQHLSAUOJXVFA-VIFPVBQESA-N 0 2 319.349 0.084 20 0 DCADLN CC(C)OC[C@H](CO)N(C)S(=O)(=O)NCC(F)(F)F ZINC000443221573 416735597 /nfs/dbraw/zinc/73/55/97/416735597.db2.gz HBAZHMYTTCMTKT-QMMMGPOBSA-N 0 2 308.322 0.101 20 0 DCADLN CCOC(=O)c1n[nH]c(C2CCN(C(=O)c3cnc[nH]3)CC2)n1 ZINC000444755245 416869442 /nfs/dbraw/zinc/86/94/42/416869442.db2.gz NVVKSQKIHFHSOA-UHFFFAOYSA-N 0 2 318.337 0.724 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC[C@H](O)COC)cn1 ZINC000427554497 416825984 /nfs/dbraw/zinc/82/59/84/416825984.db2.gz GOYVPFSFXWAQAA-NSHDSACASA-N 0 2 307.354 0.420 20 0 DCADLN COC(=O)c1sccc1NC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-] ZINC000565044110 416912550 /nfs/dbraw/zinc/91/25/50/416912550.db2.gz MAENFOUHMXGAQA-ZETCQYMHSA-N 0 2 300.336 0.878 20 0 DCADLN COC(=O)c1sccc1NC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-] ZINC000565044110 416912555 /nfs/dbraw/zinc/91/25/55/416912555.db2.gz MAENFOUHMXGAQA-ZETCQYMHSA-N 0 2 300.336 0.878 20 0 DCADLN CCO[C@@H]1COC[C@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616101898 416965585 /nfs/dbraw/zinc/96/55/85/416965585.db2.gz KTRWVOKACQAGNA-VXGBXAGGSA-N 0 2 319.317 0.564 20 0 DCADLN C[C@]1(CNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCC(=O)N1 ZINC000616183970 416982956 /nfs/dbraw/zinc/98/29/56/416982956.db2.gz SJUGCYFQGPJHGE-OAHLLOKOSA-N 0 2 316.317 0.428 20 0 DCADLN C[C@H](O)CNc1cc(NC[C@@H](C(=O)[O-])c2ccccc2)[nH+]cn1 ZINC000566297728 417043846 /nfs/dbraw/zinc/04/38/46/417043846.db2.gz QDQRRFPLOZKQCB-WCQYABFASA-N 0 2 316.361 1.550 20 0 DCADLN C[C@H](O)CNc1cc(NC[C@@H](C(=O)[O-])c2ccccc2)nc[nH+]1 ZINC000566297728 417043849 /nfs/dbraw/zinc/04/38/49/417043849.db2.gz QDQRRFPLOZKQCB-WCQYABFASA-N 0 2 316.361 1.550 20 0 DCADLN Cc1cc(C(=O)NCC(C)(C)CCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000448251285 417065442 /nfs/dbraw/zinc/06/54/42/417065442.db2.gz DCAABWQOWJMTOZ-UHFFFAOYSA-N 0 2 320.349 0.883 20 0 DCADLN C[C@](CO)(NC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000616805002 417116413 /nfs/dbraw/zinc/11/64/13/417116413.db2.gz DVRQWPSIUCHCTL-CYBMUJFWSA-N 0 2 308.363 0.626 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)S(=O)(=O)c1nc2ccccc2[nH]1 ZINC000414208488 417267671 /nfs/dbraw/zinc/26/76/71/417267671.db2.gz LRRMNDCTOUZVOV-MRVPVSSYSA-N 0 2 324.362 0.571 20 0 DCADLN CN(C)C(=O)C1(NC(=O)c2c[nH]c3c(cnn3C)c2=O)CC1 ZINC000413925262 417251358 /nfs/dbraw/zinc/25/13/58/417251358.db2.gz XXLZZUISTBBLSO-UHFFFAOYSA-N 0 2 303.322 0.025 20 0 DCADLN O=C(c1cn2cccnc2n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000570517405 417362679 /nfs/dbraw/zinc/36/26/79/417362679.db2.gz GXPHIVXYHNILEJ-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN CCO[C@@H]1C[C@]([NH3+])(C(=O)N=c2nc(COC)[n-]s2)C1(C)C ZINC000570159917 417319332 /nfs/dbraw/zinc/31/93/32/417319332.db2.gz RIUFLVOIUIGMMC-OQPBUACISA-N 0 2 314.411 0.577 20 0 DCADLN C[C@H]1CCCN(C(=O)C[N@@H+]2CCC[C@H](NC(=O)N(C)C)C2)C1 ZINC000451998180 417428013 /nfs/dbraw/zinc/42/80/13/417428013.db2.gz SBHUOPLSAZUYCZ-KBPBESRZSA-N 0 2 310.442 0.981 20 0 DCADLN CCOC[C@H]1C[N@H+](CC(=O)[N-]OCc2ccccc2)CCO1 ZINC000528724989 417382428 /nfs/dbraw/zinc/38/24/28/417382428.db2.gz NAYKPOAZVZJZEU-OAHLLOKOSA-N 0 2 308.378 0.972 20 0 DCADLN CCOC[C@H]1C[N@@H+](CC(=O)[N-]OCc2ccccc2)CCO1 ZINC000528724989 417382435 /nfs/dbraw/zinc/38/24/35/417382435.db2.gz NAYKPOAZVZJZEU-OAHLLOKOSA-N 0 2 308.378 0.972 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CC[N@H+]1C1CCCCC1)S(C)(=O)=O ZINC000529717582 417489745 /nfs/dbraw/zinc/48/97/45/417489745.db2.gz HHAMWJZCDRIWMW-YPMHNXCESA-N 0 2 302.440 0.943 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CC[N@H+]1C1CCCCC1)S(C)(=O)=O ZINC000529717580 417490531 /nfs/dbraw/zinc/49/05/31/417490531.db2.gz HHAMWJZCDRIWMW-DGCLKSJQSA-N 0 2 302.440 0.943 20 0 DCADLN CO[C@H]1COCC[C@@H]1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452642343 417494285 /nfs/dbraw/zinc/49/42/85/417494285.db2.gz USKCGGWGDBEOPI-SKDRFNHKSA-N 0 2 320.349 0.455 20 0 DCADLN CN(CCn1cccn1)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000622365768 417433892 /nfs/dbraw/zinc/43/38/92/417433892.db2.gz DIYHCASXAGKWHW-UHFFFAOYSA-N 0 2 313.317 0.999 20 0 DCADLN COC(=O)CC[C@@H]([NH3+])C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000571282730 417441194 /nfs/dbraw/zinc/44/11/94/417441194.db2.gz UIBCZISAVFBADQ-CYBMUJFWSA-N 0 2 316.361 0.973 20 0 DCADLN COC[C@@](C)(O)CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452344122 417469336 /nfs/dbraw/zinc/46/93/36/417469336.db2.gz OBKFHQSPTMDMLC-AWEZNQCLSA-N 0 2 308.338 0.191 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000574990692 417497577 /nfs/dbraw/zinc/49/75/77/417497577.db2.gz HOJYIAFFDOXWNM-SECBINFHSA-N 0 2 322.390 0.785 20 0 DCADLN CC[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)[C@H](O)C(F)F ZINC000452481003 417486550 /nfs/dbraw/zinc/48/65/50/417486550.db2.gz MVXSVFBAJKCQOE-WPRPVWTQSA-N 0 2 314.292 0.808 20 0 DCADLN CC[C@H]1[C@H](CO)CCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452945057 417510360 /nfs/dbraw/zinc/51/03/60/417510360.db2.gz IMNWEMQTKQKBFA-CABZTGNLSA-N 0 2 304.350 0.907 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCN(Cc2cccs2)CC1 ZINC000635136606 417587829 /nfs/dbraw/zinc/58/78/29/417587829.db2.gz ZIKHINLLQIVQPW-UHFFFAOYSA-N 0 2 320.422 0.928 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1c[nH]nc1-c1cccnc1 ZINC000530881458 417595288 /nfs/dbraw/zinc/59/52/88/417595288.db2.gz IKQJDQHWRLFLDI-UHFFFAOYSA-N 0 2 313.321 0.658 20 0 DCADLN CN(Cc1c[nH]c[nH+]1)C(=O)C(=O)N1CC[NH+](C2CCCC2)CC1 ZINC000576649947 417628891 /nfs/dbraw/zinc/62/88/91/417628891.db2.gz VDVMHEMVXVQDNH-UHFFFAOYSA-N 0 2 319.409 0.455 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NC2COCCOC2)s[nH]1 ZINC000645001203 417689864 /nfs/dbraw/zinc/68/98/64/417689864.db2.gz DXZCONMRVVHPMO-UHFFFAOYSA-N 0 2 300.384 0.794 20 0 DCADLN COC(=O)[C@@](C)(NC(=O)CSc1n[nH]c(=O)[nH]1)C1CC1 ZINC000580428308 417699115 /nfs/dbraw/zinc/69/91/15/417699115.db2.gz SBCRMQSNJUGKEG-NSHDSACASA-N 0 2 300.340 0.060 20 0 DCADLN O=C(Nc1ccc2nn[nH]c2c1)[C@@]12CCC(=O)N1CCS2 ZINC000531856924 417644225 /nfs/dbraw/zinc/64/42/25/417644225.db2.gz SEEMAOAWHWGWIQ-ZDUSSCGKSA-N 0 2 303.347 0.962 20 0 DCADLN CN(C)c1[nH+]cc(CN2CC[NH+](C[C@H]3CCCO3)CC2)n1C ZINC000644900323 417675708 /nfs/dbraw/zinc/67/57/08/417675708.db2.gz JFVGNILREMQNJO-OAHLLOKOSA-N 0 2 307.442 0.783 20 0 DCADLN O=C(CN=c1nc(-c2cc(F)cc(F)c2)[nH]s1)NCCO ZINC000645189890 417711492 /nfs/dbraw/zinc/71/14/92/417711492.db2.gz ZJCCBLOAVWBREB-UHFFFAOYSA-N 0 2 314.317 0.426 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)N(C)CCO2 ZINC000629349194 417786367 /nfs/dbraw/zinc/78/63/67/417786367.db2.gz IKIPAPUWZLPLIX-UHFFFAOYSA-N 0 2 309.351 0.445 20 0 DCADLN COC[C@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651844551 417797271 /nfs/dbraw/zinc/79/72/71/417797271.db2.gz NUISMRKVQCTRTE-LBPRGKRZSA-N 0 2 323.397 0.495 20 0 DCADLN CCc1ccc(S(=O)(=O)NCc2nnc(COC)[nH]2)cc1 ZINC000657103257 417811539 /nfs/dbraw/zinc/81/15/39/417811539.db2.gz DETSYMXBKIWWRP-UHFFFAOYSA-N 0 2 310.379 0.992 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CCOCC2CCC2)[nH]1 ZINC000657105148 417812138 /nfs/dbraw/zinc/81/21/38/417812138.db2.gz SXFXTUPRTYJHCQ-UHFFFAOYSA-N 0 2 318.399 0.187 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc3c(c2)CCO3)[nH]1 ZINC000657104468 417812441 /nfs/dbraw/zinc/81/24/41/417812441.db2.gz QIWZPXVNIGCMMI-UHFFFAOYSA-N 0 2 324.362 0.365 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N2C[C@H](C)C[C@@H](C)C2)[nH]1 ZINC000657104935 417812600 /nfs/dbraw/zinc/81/26/00/417812600.db2.gz VTIZHXGADUHMJF-NXEZZACHSA-N 0 2 317.415 0.263 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C2Cc3ccccc3C2)[nH]1 ZINC000657104666 417813332 /nfs/dbraw/zinc/81/33/32/417813332.db2.gz QXHLFJCXNJXMFE-UHFFFAOYSA-N 0 2 322.390 0.538 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2sc(C)nc2C)[nH]1 ZINC000657104968 417813351 /nfs/dbraw/zinc/81/33/51/417813351.db2.gz WDXVJKDHFBGWAI-UHFFFAOYSA-N 0 2 317.396 0.503 20 0 DCADLN O=S(=O)(CC[NH2+]Cc1cc2n(n1)CCC2)NCC1CCC1 ZINC000657358301 417849414 /nfs/dbraw/zinc/84/94/14/417849414.db2.gz JWIREGODPKMEEN-UHFFFAOYSA-N 0 2 312.439 0.638 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)N[C@H](C(=O)[O-])[C@@H]1CCCOC1 ZINC000652067305 417842166 /nfs/dbraw/zinc/84/21/66/417842166.db2.gz SUOZXVFQVQNYJG-ABAIWWIYSA-N 0 2 321.377 0.758 20 0 DCADLN CCCCn1nnnc1C[N@H+](CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000652480262 417919744 /nfs/dbraw/zinc/91/97/44/417919744.db2.gz QJSKJMREWHJXQA-LBPRGKRZSA-N 0 2 311.386 0.929 20 0 DCADLN CCCCn1nnnc1C[N@@H+](CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000652480262 417919748 /nfs/dbraw/zinc/91/97/48/417919748.db2.gz QJSKJMREWHJXQA-LBPRGKRZSA-N 0 2 311.386 0.929 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](Nc2cc(N3CCC[C@@H]3CO)nc[nH+]2)[C@@H](O)C1 ZINC000662895815 417940755 /nfs/dbraw/zinc/94/07/55/417940755.db2.gz HHKRTEORSPZANJ-QCNOEVLYSA-N 0 2 322.365 0.074 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](Nc2cc(N3CCC[C@@H]3CO)[nH+]cn2)[C@@H](O)C1 ZINC000662895815 417940758 /nfs/dbraw/zinc/94/07/58/417940758.db2.gz HHKRTEORSPZANJ-QCNOEVLYSA-N 0 2 322.365 0.074 20 0 DCADLN CCn1cc[nH+]c1[C@H](C)NC(=O)CN1CCCC[C@@H]([NH3+])C1=O ZINC000662901479 417943714 /nfs/dbraw/zinc/94/37/14/417943714.db2.gz IILHFONQZRFWFC-NWDGAFQWSA-N 0 2 307.398 0.420 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC[C@@]2(O)CCOC2)cn1 ZINC000652802500 417971273 /nfs/dbraw/zinc/97/12/73/417971273.db2.gz KEHNCGGQUHGGJJ-CQSZACIVSA-N 0 2 305.338 0.082 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1nncn1-c1cccnc1)C1CC1 ZINC000647275742 418006656 /nfs/dbraw/zinc/00/66/56/418006656.db2.gz CSKVRMWVJXUOTL-LBPRGKRZSA-N 0 2 323.378 0.829 20 0 DCADLN O=C([O-])c1ccc(N2CC[NH+](CC(=O)N3CCCC3)CC2)nc1 ZINC000647448524 418010678 /nfs/dbraw/zinc/01/06/78/418010678.db2.gz OHAZNHSBPPQBBR-UHFFFAOYSA-N 0 2 318.377 0.524 20 0 DCADLN COCc1nc2n(n1)C[C@H](Nc1[nH+]cccc1C(=O)[O-])CC2 ZINC000647452409 418012400 /nfs/dbraw/zinc/01/24/00/418012400.db2.gz YPVOYURCWWNMSY-SECBINFHSA-N 0 2 303.322 0.945 20 0 DCADLN CC1(C)CN(C(=O)CSc2n[nH]c(=O)[nH]2)[C@@H]2COC[C@H]2O1 ZINC000653006814 418012793 /nfs/dbraw/zinc/01/27/93/418012793.db2.gz HMUHLUAFYZRWLE-HTQZYQBOSA-N 0 2 314.367 0.007 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC000647492328 418014040 /nfs/dbraw/zinc/01/40/40/418014040.db2.gz CFLFMRXDFGPKHT-DTWKUNHWSA-N 0 2 307.306 0.398 20 0 DCADLN Nc1n[nH]c(CCNC(=O)c2cc(F)c(F)c(O)c2F)n1 ZINC000647751524 418050159 /nfs/dbraw/zinc/05/01/59/418050159.db2.gz WSRSZJZQTBCGDX-UHFFFAOYSA-N 0 2 301.228 0.313 20 0 DCADLN Cc1cc(C[NH3+])cc(NC(=O)C(=O)N(C)Cc2c[nH+]c[nH]2)c1 ZINC000659088990 418057938 /nfs/dbraw/zinc/05/79/38/418057938.db2.gz VLKKAFMPGMFVAZ-UHFFFAOYSA-N 0 2 301.350 0.774 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2C[C@@H](C)[C@@](C)(CO)C2)n1 ZINC000664595205 418138125 /nfs/dbraw/zinc/13/81/25/418138125.db2.gz FMWBBHRITFLOBZ-IAQYHMDHSA-N 0 2 324.429 0.551 20 0 DCADLN COc1ccc(N2CCN(C(=O)c3n[nH]c(C)c3O)CC2)nc1 ZINC000654481335 418150684 /nfs/dbraw/zinc/15/06/84/418150684.db2.gz KCTPMMLNHQOHLZ-UHFFFAOYSA-N 0 2 317.349 0.790 20 0 DCADLN C[C@@]1(CCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC(=O)N1 ZINC000648893711 418171138 /nfs/dbraw/zinc/17/11/38/418171138.db2.gz URCGBJFVZYLYAP-BONVTDFDSA-N 0 2 321.381 0.665 20 0 DCADLN CN=c1[nH]nc(CC(=O)N2CC3(C[C@@H]2C)CCOCC3)s1 ZINC000649126275 418200716 /nfs/dbraw/zinc/20/07/16/418200716.db2.gz CASJUOBZTGGNQN-JTQLQIEISA-N 0 2 310.423 0.962 20 0 DCADLN Cc1cccc(C(N)=O)c1NS(=O)(=O)N1CCCOCC1 ZINC000650159921 418296206 /nfs/dbraw/zinc/29/62/06/418296206.db2.gz YCSKDDSLGLUPOA-UHFFFAOYSA-N 0 2 313.379 0.473 20 0 DCADLN Cc1nc(=NC(=O)NC[C@@]2(CO)CCc3ccccc32)[nH]n1C ZINC000650215431 418298476 /nfs/dbraw/zinc/29/84/76/418298476.db2.gz HHYSLSPSMWAUDN-MRXNPFEDSA-N 0 2 315.377 0.543 20 0 DCADLN CS(=O)(=O)N1CCC(CNc2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650767752 418323208 /nfs/dbraw/zinc/32/32/08/418323208.db2.gz DXQWYMOZKKRAON-UHFFFAOYSA-N 0 2 313.379 0.285 20 0 DCADLN Cn1cc(N2CCC[C@H](Nc3cc[nH+]c(C(=O)[O-])c3)C2=O)cn1 ZINC000650765440 418323516 /nfs/dbraw/zinc/32/35/16/418323516.db2.gz OPQADUHEGSQRKK-LBPRGKRZSA-N 0 2 315.333 0.543 20 0 DCADLN O=C([O-])c1cc(NC[C@@H](N2CCOCC2)C(F)(F)F)cc[nH+]1 ZINC000650771259 418323718 /nfs/dbraw/zinc/32/37/18/418323718.db2.gz RFYPDYQEQVXWER-LLVKDONJSA-N 0 2 319.283 0.877 20 0 DCADLN C[C@H]1CN(c2ccc(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])c[nH+]2)CCO1 ZINC000649462188 418255444 /nfs/dbraw/zinc/25/54/44/418255444.db2.gz IYGSJOQFQLOBOM-CYZMBNFOSA-N 0 2 319.361 0.644 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CN2CCc3ccccc32)[nH]n1 ZINC000650817058 418326155 /nfs/dbraw/zinc/32/61/55/418326155.db2.gz RHSRZAXKQFHFGY-UHFFFAOYSA-N 0 2 311.345 0.259 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2ccnc(OC(C)C)c2)[nH]n1 ZINC000650817787 418326236 /nfs/dbraw/zinc/32/62/36/418326236.db2.gz NXXSUSNUHCCKGG-UHFFFAOYSA-N 0 2 315.333 0.693 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cn(C)nc2C(C)(C)C)[nH]n1 ZINC000650818158 418326335 /nfs/dbraw/zinc/32/63/35/418326335.db2.gz ZBOLBWMDKGQHRQ-UHFFFAOYSA-N 0 2 316.365 0.541 20 0 DCADLN Cn1cnnc1N1CC[NH+]([C@@H](C(=O)[O-])c2ccsc2)CC1 ZINC000656192017 418334926 /nfs/dbraw/zinc/33/49/26/418334926.db2.gz WDFPNTLXKUXVGY-LLVKDONJSA-N 0 2 307.379 0.825 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)CCOCC2CC2)[nH]1 ZINC000651615795 418397742 /nfs/dbraw/zinc/39/77/42/418397742.db2.gz GGQXEJKRGDJBBL-UHFFFAOYSA-N 0 2 309.370 0.104 20 0 DCADLN COc1cc(NS(=O)(=O)C[C@@H](OC)[C@H]2CCOC2)nn1C ZINC000656629936 418367287 /nfs/dbraw/zinc/36/72/87/418367287.db2.gz ZEKUEVGLSFAQDG-VHSXEESVSA-N 0 2 319.383 0.222 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCCc2ccccc2)[nH]1 ZINC000651492581 418384616 /nfs/dbraw/zinc/38/46/16/418384616.db2.gz ZLJBCUBUQDVYNY-UHFFFAOYSA-N 0 2 315.377 0.968 20 0 DCADLN COC1(CS(=O)(=O)NCc2cn[nH]c2C)CCOCC1 ZINC000651533799 418387683 /nfs/dbraw/zinc/38/76/83/418387683.db2.gz MSRFEXRTHRIION-UHFFFAOYSA-N 0 2 303.384 0.333 20 0 DCADLN Cc1ccsc1CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651537222 418388241 /nfs/dbraw/zinc/38/82/41/418388241.db2.gz XXSFDQNKYKYGQP-UHFFFAOYSA-N 0 2 307.379 0.906 20 0 DCADLN CC[C@@H](C)[C@@](C)(O)CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651580288 418394159 /nfs/dbraw/zinc/39/41/59/418394159.db2.gz FVXJJKYTXVNNJF-YGRLFVJLSA-N 0 2 311.386 0.133 20 0 DCADLN CCOC[C@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C(C)C ZINC000651746042 418408362 /nfs/dbraw/zinc/40/83/62/418408362.db2.gz DZSLUEPFLQXWNX-NSHDSACASA-N 0 2 311.386 0.397 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)C[C@@H]2CCCC[C@H]2O)[nH]1 ZINC000651656282 418401306 /nfs/dbraw/zinc/40/13/06/418401306.db2.gz YHWQTUSXDLHVIN-NWDGAFQWSA-N 0 2 323.397 0.229 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CCn2ncnc2C1 ZINC000354686845 261258516 /nfs/dbraw/zinc/25/85/16/261258516.db2.gz QXMDOODQBIMXGY-UHFFFAOYSA-N 0 2 312.289 0.277 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC[NH+]1CCC1 ZINC000355359423 261334078 /nfs/dbraw/zinc/33/40/78/261334078.db2.gz RPKGDGOTGQJIEM-CQSZACIVSA-N 0 2 321.425 0.305 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)c1nncn1C ZINC000355702585 261357047 /nfs/dbraw/zinc/35/70/47/261357047.db2.gz OGSDUVZCLBBOQG-SSDOTTSWSA-N 0 2 301.310 0.293 20 0 DCADLN O=C(CCCOc1cccnc1)NCCCc1n[nH]c(=O)[nH]1 ZINC000359520421 261751653 /nfs/dbraw/zinc/75/16/53/261751653.db2.gz AITAYFVFWDBPGI-UHFFFAOYSA-N 0 2 305.338 0.813 20 0 DCADLN C[C@@H](C[S@@](C)=O)N(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000362405401 262030910 /nfs/dbraw/zinc/03/09/10/262030910.db2.gz WVUZZTXHACKVJY-GTUYJWLHSA-N 0 2 323.374 0.869 20 0 DCADLN C[C@@H]1C[NH+](CC2CC[NH+](Cc3nnnn3C)CC2)C[C@@H](C)O1 ZINC000363660832 262125075 /nfs/dbraw/zinc/12/50/75/262125075.db2.gz OHOWICVZGUVXPE-CHWSQXEVSA-N 0 2 308.430 0.531 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NC[C@H]([NH3+])c1ccccc1 ZINC000417534922 262254482 /nfs/dbraw/zinc/25/44/82/262254482.db2.gz ZYRUIMBUJFBSRX-ZFWWWQNUSA-N 0 2 306.410 0.706 20 0 DCADLN CSC[C@H](CCO)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358385400 271051688 /nfs/dbraw/zinc/05/16/88/271051688.db2.gz OGPSEOTVPSARRK-QMMMGPOBSA-N 0 2 310.379 0.518 20 0 DCADLN CCN(Cc1cn(C)nn1)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000359605501 271130692 /nfs/dbraw/zinc/13/06/92/271130692.db2.gz PNYJIGSWVAAQCX-UHFFFAOYSA-N 0 2 315.337 0.465 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2ccccc2C)n1 ZINC000437314954 271620028 /nfs/dbraw/zinc/62/00/28/271620028.db2.gz WWCAILBIMDXPAJ-UHFFFAOYSA-N 0 2 310.335 0.560 20 0 DCADLN CCO[C@@H]1C[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@@H]1OC ZINC000443051838 271695753 /nfs/dbraw/zinc/69/57/53/271695753.db2.gz UHZQWARRDUUSLG-PRJMDXOYSA-N 0 2 306.306 0.165 20 0 DCADLN COC(=O)C1(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)CC1 ZINC000487191878 272039447 /nfs/dbraw/zinc/03/94/47/272039447.db2.gz XDPHDVOLMOQGKF-UHFFFAOYSA-N 0 2 304.306 0.357 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1cncc(F)c1 ZINC000493051259 272165645 /nfs/dbraw/zinc/16/56/45/272165645.db2.gz PIHGZPSMWHDKEG-ONEGZZNKSA-N 0 2 324.337 0.781 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1ccc(C)nc1 ZINC000493647543 272202188 /nfs/dbraw/zinc/20/21/88/272202188.db2.gz AFNRFFFNUPKTTF-VOTSOKGWSA-N 0 2 320.374 0.950 20 0 DCADLN CC(C)OCCONC(=O)CNC(=O)OCC(F)(F)F ZINC000496544983 272323263 /nfs/dbraw/zinc/32/32/63/272323263.db2.gz YEPWGWGWKSYITO-UHFFFAOYSA-N 0 2 302.249 0.748 20 0 DCADLN COCCc1nsc(NC2CCN(C(=O)OC)CC2)n1 ZINC000497295822 272381670 /nfs/dbraw/zinc/38/16/70/272381670.db2.gz BKUKRKBCZNBFSA-UHFFFAOYSA-N 0 2 300.384 0.792 20 0 DCADLN CC(=O)N1CCC[C@@H](C(=O)N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)C1 ZINC000329158323 278004584 /nfs/dbraw/zinc/00/45/84/278004584.db2.gz DLTSMZKUTHTZPV-NEPJUHHUSA-N 0 2 321.381 0.822 20 0 DCADLN CN1CCOC[C@@H]1C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000547323537 288038920 /nfs/dbraw/zinc/03/89/20/288038920.db2.gz HMSJDRCKVTUGFO-SNVBAGLBSA-N 0 2 305.363 0.291 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC[C@H]1O ZINC000547671206 288066965 /nfs/dbraw/zinc/06/69/65/288066965.db2.gz ZRUUOWWSGMKXID-JOYOIKCWSA-N 0 2 303.318 0.873 20 0 DCADLN CC(=O)N1CC[NH+](CCN=c2[n-]c(C(F)(F)F)ns2)CC1 ZINC000547760955 288076236 /nfs/dbraw/zinc/07/62/36/288076236.db2.gz GBQFPHDVAFDMTA-UHFFFAOYSA-N 0 2 323.344 0.555 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1C[C@H](O)C2(CC2)C1 ZINC000548651441 288163642 /nfs/dbraw/zinc/16/36/42/288163642.db2.gz HZWQXTINMPVGOC-NSHDSACASA-N 0 2 301.302 0.627 20 0 DCADLN CC[C@H]1[C@@H](C)CCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000187400597 288371367 /nfs/dbraw/zinc/37/13/67/288371367.db2.gz RUHHNUAQPOFNKI-KWQFWETISA-N 0 2 302.334 0.872 20 0 DCADLN Cn1cc[nH+]c1[C@@H](NC(=O)c1cnc([O-])n(C)c1=O)C1CC1 ZINC000557490102 288421313 /nfs/dbraw/zinc/42/13/13/288421313.db2.gz NSLPIQAMTRPSFE-JTQLQIEISA-N 0 2 303.322 0.101 20 0 DCADLN O=S(=O)(NCCOC[C@H]1CCCO1)NCC(F)(F)F ZINC000559791700 288479865 /nfs/dbraw/zinc/47/98/65/288479865.db2.gz UUBHOFFAQZEKTH-MRVPVSSYSA-N 0 2 306.306 0.168 20 0 DCADLN O=C([C@@H]1CC(=O)N(C2CC2)C1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000565835316 288881914 /nfs/dbraw/zinc/88/19/14/288881914.db2.gz HDOLBESOFABZSG-NXEZZACHSA-N 0 2 319.365 0.227 20 0 DCADLN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cc(F)c(F)c(O)c1F ZINC000293908186 300381773 /nfs/dbraw/zinc/38/17/73/300381773.db2.gz ZYCWFFORLSLXGW-YLWLKBPMSA-N 0 2 323.292 0.972 20 0 DCADLN Cc1cc(C(=O)N2CCCO[C@H](C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000345865907 301094003 /nfs/dbraw/zinc/09/40/03/301094003.db2.gz BZQVUAQCDVAEJE-SECBINFHSA-N 0 2 318.333 0.995 20 0 DCADLN Cc1cc(C(=O)N2CCOCC2(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266840525 301102475 /nfs/dbraw/zinc/10/24/75/301102475.db2.gz MMIHBDHJJXHJFS-UHFFFAOYSA-N 0 2 318.333 0.995 20 0 DCADLN O=C(CN1CCCCCC1=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000582243629 336392462 /nfs/dbraw/zinc/39/24/62/336392462.db2.gz SXRCICZGOLSYSK-NSHDSACASA-N 0 2 321.381 0.619 20 0 DCADLN COC(=O)C[C@@H]1C(=O)NCC[N@H+]1Cc1ccc(C(=O)[O-])cc1 ZINC000583696221 337050595 /nfs/dbraw/zinc/05/05/95/337050595.db2.gz JZONWWFTWXCUBB-GFCCVEGCSA-N 0 2 306.318 0.248 20 0 DCADLN COC(=O)C[C@@H]1C(=O)NCC[N@@H+]1Cc1ccc(C(=O)[O-])cc1 ZINC000583696221 337050596 /nfs/dbraw/zinc/05/05/96/337050596.db2.gz JZONWWFTWXCUBB-GFCCVEGCSA-N 0 2 306.318 0.248 20 0 DCADLN CO[C@](C)(CNC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+])c1ccccc1 ZINC000584400501 337318607 /nfs/dbraw/zinc/31/86/07/337318607.db2.gz PKUFQBYAOJPWOB-DOTOQJQBSA-N 0 2 320.437 0.833 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000577061460 341882503 /nfs/dbraw/zinc/88/25/03/341882503.db2.gz PTTQRMCHNQTWCG-OCCSQVGLSA-N 0 2 306.362 0.897 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCN(CC(F)F)CC1 ZINC000442985904 518202914 /nfs/dbraw/zinc/20/29/14/518202914.db2.gz GAZJLYBZQNWLCK-UHFFFAOYSA-N 0 2 311.276 0.266 20 0 DCADLN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)NOCc1ccccc1 ZINC000368811755 523997641 /nfs/dbraw/zinc/99/76/41/523997641.db2.gz ZPIINNAZWUWYAK-UKRRQHHQSA-N 0 2 322.361 0.233 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C2(O)CCCC2)s1 ZINC000267955889 525228586 /nfs/dbraw/zinc/22/85/86/525228586.db2.gz YAQKMBQRMOOJGR-UHFFFAOYSA-N 0 2 306.369 0.153 20 0 DCADLN CCOc1ccc(C(=O)NCC(=O)NOC(C)(C)CO)cc1 ZINC000296730703 528398183 /nfs/dbraw/zinc/39/81/83/528398183.db2.gz ACMZOUVWZWYSTK-UHFFFAOYSA-N 0 2 310.350 0.634 20 0 DCADLN COC(=O)N1CCC(NS(=O)(=O)CC(F)(F)F)CC1 ZINC000496348011 536411755 /nfs/dbraw/zinc/41/17/55/536411755.db2.gz PZQQSUTWJVRSNO-UHFFFAOYSA-N 0 2 304.290 0.699 20 0 DCADLN CS(=O)(=O)N1CCCC[C@@H]1C(=O)Nc1ccc2nn[nH]c2c1 ZINC000153954356 545833840 /nfs/dbraw/zinc/83/38/40/545833840.db2.gz ZNKIAQHDGBJCFK-GFCCVEGCSA-N 0 2 323.378 0.711 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCCC[C@H]1C(=O)N1CCCC1 ZINC000333383842 546079418 /nfs/dbraw/zinc/07/94/18/546079418.db2.gz XWWZHOSCFRGPDX-WDEREUQCSA-N 0 2 321.381 0.555 20 0 DCADLN Cn1nc(C(F)(F)F)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000668258285 546718252 /nfs/dbraw/zinc/71/82/52/546718252.db2.gz CTNVAIVQROMKAC-UHFFFAOYSA-N 0 2 305.220 0.584 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC[C@@H](C[NH+]3CCOCC3)C2)c1[O-] ZINC000669747564 547013486 /nfs/dbraw/zinc/01/34/86/547013486.db2.gz DRAXUKFQKPELLO-LBPRGKRZSA-N 0 2 308.382 0.608 20 0 DCADLN COc1ccc2c(ccn2CC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000673918896 547537339 /nfs/dbraw/zinc/53/73/39/547537339.db2.gz BYHJNUSNADBZKJ-UHFFFAOYSA-N 0 2 301.306 0.790 20 0 DCADLN Cc1ncc(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)c(N)n1 ZINC000676211329 547743766 /nfs/dbraw/zinc/74/37/66/547743766.db2.gz DUZFSSIQXYEYGZ-UHFFFAOYSA-N 0 2 312.289 0.963 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCc1sccc1C ZINC000676230284 547747175 /nfs/dbraw/zinc/74/71/75/547747175.db2.gz VHOKVQJZFCKKTK-UHFFFAOYSA-N 0 2 300.380 0.706 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCc1sccc1C ZINC000676230284 547747176 /nfs/dbraw/zinc/74/71/76/547747176.db2.gz VHOKVQJZFCKKTK-UHFFFAOYSA-N 0 2 300.380 0.706 20 0 DCADLN Cc1nc(SCCC(=O)N2CCC[C@@H](c3nn[nH]n3)C2)n[nH]1 ZINC000678798388 548005477 /nfs/dbraw/zinc/00/54/77/548005477.db2.gz XEXZCJYCXBLYTH-SECBINFHSA-N 0 2 322.398 0.515 20 0 DCADLN Cc1nnc(SCCC(=O)N2CCC[C@@H](c3nn[nH]n3)C2)[nH]1 ZINC000678798388 548005482 /nfs/dbraw/zinc/00/54/82/548005482.db2.gz XEXZCJYCXBLYTH-SECBINFHSA-N 0 2 322.398 0.515 20 0 DCADLN COC[C@](C)(CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000681077968 548200598 /nfs/dbraw/zinc/20/05/98/548200598.db2.gz KFJBZEPJJHANOR-HNNXBMFYSA-N 0 2 305.334 0.705 20 0 DCADLN CC(C)(C)c1nnc2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cn21 ZINC000681109494 548205356 /nfs/dbraw/zinc/20/53/56/548205356.db2.gz CDNAORYQIWDGOY-UHFFFAOYSA-N 0 2 315.337 0.781 20 0 DCADLN CC(=O)N1CC[C@@H](NC(=O)c2cccc3[nH]nnc32)[C@@H](C)C1 ZINC000682164031 548355061 /nfs/dbraw/zinc/35/50/61/548355061.db2.gz UJWCRGBQWIHOHB-JOYOIKCWSA-N 0 2 301.350 0.945 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(N2CCCC2=O)cc1 ZINC000682833147 548430718 /nfs/dbraw/zinc/43/07/18/548430718.db2.gz WPWJQQZXFSJERV-UHFFFAOYSA-N 0 2 321.362 0.743 20 0 DCADLN O=C(N[C@@H]1C[C@H]1c1c(F)cccc1F)c1n[nH]c(=O)[nH]c1=O ZINC000684775212 548646537 /nfs/dbraw/zinc/64/65/37/548646537.db2.gz GITUGMXIDTXJLR-SVGQVSJJSA-N 0 2 308.244 0.847 20 0 DCADLN CCOC(=O)[C@H](CC)[N@H+](C)CCN1CCN(C(=O)[O-])CC1 ZINC000827282579 603563658 /nfs/dbraw/zinc/56/36/58/603563658.db2.gz GZSHNRHAPNJZNI-LBPRGKRZSA-N 0 2 301.387 0.556 20 0 DCADLN CCOC(=O)[C@H](CC)[N@@H+](C)CCN1CCN(C(=O)[O-])CC1 ZINC000827282579 603563662 /nfs/dbraw/zinc/56/36/62/603563662.db2.gz GZSHNRHAPNJZNI-LBPRGKRZSA-N 0 2 301.387 0.556 20 0 DCADLN CCOC(=O)[C@H](CC)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000827282579 603563666 /nfs/dbraw/zinc/56/36/66/603563666.db2.gz GZSHNRHAPNJZNI-LBPRGKRZSA-N 0 2 301.387 0.556 20 0 DCADLN C[C@H](C(=O)NC(=O)NC(C)(C)C)[N@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000825990915 601552604 /nfs/dbraw/zinc/55/26/04/601552604.db2.gz RDCPNBBCZJZVCI-OQPBUACISA-N 0 2 303.334 0.498 20 0 DCADLN Cc1nn(CCC(=O)OC(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC000822500981 599124034 /nfs/dbraw/zinc/12/40/34/599124034.db2.gz PQUOWCFBMKLQSO-UHFFFAOYSA-N 0 2 306.326 0.382 20 0 DCADLN O=C([O-])[C@@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000262082889 596900790 /nfs/dbraw/zinc/90/07/90/596900790.db2.gz FOOSJVVDIFYTMI-VXGBXAGGSA-N 0 2 306.366 0.596 20 0 DCADLN O=C([O-])[C@@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000262082889 596900793 /nfs/dbraw/zinc/90/07/93/596900793.db2.gz FOOSJVVDIFYTMI-VXGBXAGGSA-N 0 2 306.366 0.596 20 0 DCADLN O=C([O-])CCNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000819490025 597211052 /nfs/dbraw/zinc/21/10/52/597211052.db2.gz JVKJQNIGWRJAMZ-UHFFFAOYSA-N 0 2 321.377 0.793 20 0 DCADLN Cn1c(CO)nn(C[N@@H+]2CCC[C@@H](CC(=O)[O-])C2)c1=S ZINC000819063784 597274091 /nfs/dbraw/zinc/27/40/91/597274091.db2.gz LXUWAHQIVDGMSV-VIFPVBQESA-N 0 2 300.384 0.588 20 0 DCADLN Cn1c(CO)nn(C[N@H+]2CCC[C@@H](CC(=O)[O-])C2)c1=S ZINC000819063784 597274094 /nfs/dbraw/zinc/27/40/94/597274094.db2.gz LXUWAHQIVDGMSV-VIFPVBQESA-N 0 2 300.384 0.588 20 0 DCADLN CC(=O)c1ccc2c(c1)CC[N@@H+](CC(=O)NCC(=O)[O-])CC2 ZINC000819804277 597800071 /nfs/dbraw/zinc/80/00/71/597800071.db2.gz BPECPSPOYRYWQG-UHFFFAOYSA-N 0 2 304.346 0.491 20 0 DCADLN CC(=O)c1ccc2c(c1)CC[N@H+](CC(=O)NCC(=O)[O-])CC2 ZINC000819804277 597800073 /nfs/dbraw/zinc/80/00/73/597800073.db2.gz BPECPSPOYRYWQG-UHFFFAOYSA-N 0 2 304.346 0.491 20 0 DCADLN CC(C)(CNC(=O)NCCCCC(=O)[O-])[NH+]1CCOCC1 ZINC000819948227 598166269 /nfs/dbraw/zinc/16/62/69/598166269.db2.gz KTKYZUIJHBFTEG-UHFFFAOYSA-N 0 2 301.387 0.651 20 0 DCADLN CCc1nn(Cc2nnc(C)o2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706384 598642824 /nfs/dbraw/zinc/64/28/24/598642824.db2.gz KJTSEWOXQVYUIH-UHFFFAOYSA-N 0 2 316.325 0.288 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1cn(-c2ccccc2)nn1 ZINC000738372743 598676790 /nfs/dbraw/zinc/67/67/90/598676790.db2.gz UOGJVXSGQNVXAH-UHFFFAOYSA-N 0 2 320.316 0.657 20 0 DCADLN CCc1nn(CCC(C)(C)O)c(=O)c(-c2nn[nH]n2)c1CC ZINC000821518458 599697271 /nfs/dbraw/zinc/69/72/71/599697271.db2.gz VDQFYKJSUBJYOX-UHFFFAOYSA-N 0 2 306.370 0.709 20 0 DCADLN C[N@@H+](CC(=O)NCCC(=O)[O-])C[C@H]1OCCc2ccccc21 ZINC000737395537 599719266 /nfs/dbraw/zinc/71/92/66/599719266.db2.gz YIZHKEZZLJUNLP-CQSZACIVSA-N 0 2 306.362 0.823 20 0 DCADLN C[N@H+](CC(=O)NCCC(=O)[O-])C[C@H]1OCCc2ccccc21 ZINC000737395537 599719267 /nfs/dbraw/zinc/71/92/67/599719267.db2.gz YIZHKEZZLJUNLP-CQSZACIVSA-N 0 2 306.362 0.823 20 0 DCADLN C[C@@H](NC(=O)NCCSCC(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000736646509 599905619 /nfs/dbraw/zinc/90/56/19/599905619.db2.gz LGSUWRQIJSCRSF-GHMZBOCLSA-N 0 2 319.427 0.213 20 0 DCADLN O=C([O-])C1CCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000262066506 599913858 /nfs/dbraw/zinc/91/38/58/599913858.db2.gz BCULTDLUCKSKLA-GFCCVEGCSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])C1CCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000262066506 599913860 /nfs/dbraw/zinc/91/38/60/599913860.db2.gz BCULTDLUCKSKLA-GFCCVEGCSA-N 0 2 306.366 0.739 20 0 DCADLN Cc1nc(CN2CC[NH+](Cc3occc3C(=O)[O-])CC2)no1 ZINC000738857825 600393869 /nfs/dbraw/zinc/39/38/69/600393869.db2.gz PTVPYAJXVUCRFX-UHFFFAOYSA-N 0 2 306.322 0.987 20 0 DCADLN CC[C@@H]1CN(S(=O)(=O)CCCC(=O)[O-])CC[N@@H+]1CCOC ZINC000736942841 600500476 /nfs/dbraw/zinc/50/04/76/600500476.db2.gz DVXYEEFHRNQIHQ-GFCCVEGCSA-N 0 2 322.427 0.224 20 0 DCADLN CC[C@@H]1CN(S(=O)(=O)CCCC(=O)[O-])CC[N@H+]1CCOC ZINC000736942841 600500477 /nfs/dbraw/zinc/50/04/77/600500477.db2.gz DVXYEEFHRNQIHQ-GFCCVEGCSA-N 0 2 322.427 0.224 20 0 DCADLN CCC[C@H](C)CS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1 ZINC000736966212 600650094 /nfs/dbraw/zinc/65/00/94/600650094.db2.gz XXGMMHVIUNUFBI-LBPRGKRZSA-N 0 2 306.428 0.845 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)COC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000828714342 600681148 /nfs/dbraw/zinc/68/11/48/600681148.db2.gz ACVDQHLHALKQRF-DMDPSCGWSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)COC(=O)[C@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000828714342 600681152 /nfs/dbraw/zinc/68/11/52/600681152.db2.gz ACVDQHLHALKQRF-DMDPSCGWSA-N 0 2 314.382 0.629 20 0 DCADLN CC[N@H+](C)[C@@H](C(=O)O[C@@H](C)C(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608790 600683228 /nfs/dbraw/zinc/68/32/28/600683228.db2.gz POKCLMMGDRAMPB-SMDDNHRTSA-N 0 2 322.361 0.812 20 0 DCADLN CC[N@@H+](C)[C@@H](C(=O)O[C@@H](C)C(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608790 600683229 /nfs/dbraw/zinc/68/32/29/600683229.db2.gz POKCLMMGDRAMPB-SMDDNHRTSA-N 0 2 322.361 0.812 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCc2cn3c([nH+]2)CCCC3)c[nH]1 ZINC000761293558 600734740 /nfs/dbraw/zinc/73/47/40/600734740.db2.gz WPNAYUFHFNDFGM-UHFFFAOYSA-N 0 2 324.362 0.724 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])nc[nH+]1 ZINC000831042517 600931972 /nfs/dbraw/zinc/93/19/72/600931972.db2.gz WBDGSNBRFWVOAL-MXWKQRLJSA-N 0 2 319.365 0.324 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])[nH+]cn1 ZINC000831042517 600931975 /nfs/dbraw/zinc/93/19/75/600931975.db2.gz WBDGSNBRFWVOAL-MXWKQRLJSA-N 0 2 319.365 0.324 20 0 DCADLN O=C([O-])CSCCC(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000739846128 601085558 /nfs/dbraw/zinc/08/55/58/601085558.db2.gz WXSINKWBKOERCA-NSHDSACASA-N 0 2 302.396 0.127 20 0 DCADLN O=C([O-])CCCCCNC(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000315294586 601108382 /nfs/dbraw/zinc/10/83/82/601108382.db2.gz JLDPTPUYKMEWTO-ZDUSSCGKSA-N 0 2 313.398 0.748 20 0 DCADLN CCc1oc(C(=O)[O-])cc1C[NH+]1CCN(CC(=O)N(C)C)CC1 ZINC000830374793 601411549 /nfs/dbraw/zinc/41/15/49/601411549.db2.gz GFXDTRZIPBYQBS-UHFFFAOYSA-N 0 2 323.393 0.746 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@@H+]3CC[C@@](F)(C(=O)[O-])C3)C2=O)n1 ZINC000833054933 601446707 /nfs/dbraw/zinc/44/67/07/601446707.db2.gz CWPUQRIGNSEOFQ-YGRLFVJLSA-N 0 2 310.329 0.414 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@H+]3CC[C@@](F)(C(=O)[O-])C3)C2=O)n1 ZINC000833054933 601446709 /nfs/dbraw/zinc/44/67/09/601446709.db2.gz CWPUQRIGNSEOFQ-YGRLFVJLSA-N 0 2 310.329 0.414 20 0 DCADLN C[C@H](C(=O)NC(=O)NC(C)(C)C)[N@@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000825990915 601552602 /nfs/dbraw/zinc/55/26/02/601552602.db2.gz RDCPNBBCZJZVCI-OQPBUACISA-N 0 2 303.334 0.498 20 0 DCADLN CCN1C(=O)CN(C[N@H+](CCC(=O)[O-])Cc2ccncc2)C1=O ZINC000829743765 601560391 /nfs/dbraw/zinc/56/03/91/601560391.db2.gz AWJQWIAMCYUABK-UHFFFAOYSA-N 0 2 320.349 0.600 20 0 DCADLN CCN1C(=O)CN(C[N@@H+](CCC(=O)[O-])Cc2ccncc2)C1=O ZINC000829743765 601560395 /nfs/dbraw/zinc/56/03/95/601560395.db2.gz AWJQWIAMCYUABK-UHFFFAOYSA-N 0 2 320.349 0.600 20 0 DCADLN O=c1[nH]nc(CNc2cc(-c3nn[nH]n3)nc3ccccc32)[nH]1 ZINC000826501160 607521261 /nfs/dbraw/zinc/52/12/61/607521261.db2.gz CEFRROGGSWFIAM-UHFFFAOYSA-N 0 2 309.293 0.851 20 0 DCADLN O=C([O-])[C@@]1(NC(=O)c2cccc(Cn3cc[nH+]c3)c2)CCOC1 ZINC000833127782 601725271 /nfs/dbraw/zinc/72/52/71/601725271.db2.gz VQYUZKUVFCDFBO-MRXNPFEDSA-N 0 2 315.329 0.905 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCc2cccc(C(=O)[O-])c2)CCO1 ZINC000820251993 601866729 /nfs/dbraw/zinc/86/67/29/601866729.db2.gz VFEZVLCFPNCDSP-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCc2cccc(C(=O)[O-])c2)CCO1 ZINC000820251993 601866730 /nfs/dbraw/zinc/86/67/30/601866730.db2.gz VFEZVLCFPNCDSP-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CC[C@](C)(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000827646531 602067253 /nfs/dbraw/zinc/06/72/53/602067253.db2.gz RSDYNULQMMPKIH-ZOWXZIJZSA-N 0 2 313.398 0.602 20 0 DCADLN COc1cc(OC)cc([C@@H]([NH2+][C@H](C(N)=O)C(C)C)C(=O)[O-])c1 ZINC000832051247 602171127 /nfs/dbraw/zinc/17/11/27/602171127.db2.gz VJBUWCUGGMLKMN-QWHCGFSZSA-N 0 2 310.350 0.929 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000736582151 602735210 /nfs/dbraw/zinc/73/52/10/602735210.db2.gz LECMZOVXSQCOAH-NEPJUHHUSA-N 0 2 300.403 0.031 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)N1C[C@@H](C)[C@@H]([NH+]2CCOCC2)C1 ZINC000736359954 603074599 /nfs/dbraw/zinc/07/45/99/603074599.db2.gz DCGQCGJLSPKNRJ-AGIUHOORSA-N 0 2 313.398 0.458 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)CN(C)C(=O)[O-])nc[nH+]1 ZINC000828593512 603095339 /nfs/dbraw/zinc/09/53/39/603095339.db2.gz BNZWLGHYNFAAIR-SNVBAGLBSA-N 0 2 322.369 0.213 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)CN(C)C(=O)[O-])[nH+]cn1 ZINC000828593512 603095343 /nfs/dbraw/zinc/09/53/43/603095343.db2.gz BNZWLGHYNFAAIR-SNVBAGLBSA-N 0 2 322.369 0.213 20 0 DCADLN CC(C)n1ccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000824520063 603517112 /nfs/dbraw/zinc/51/71/12/603517112.db2.gz HMGGQIIIGJBZIV-LLVKDONJSA-N 0 2 309.370 0.488 20 0 DCADLN CC(C)n1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000824520063 603517113 /nfs/dbraw/zinc/51/71/13/603517113.db2.gz HMGGQIIIGJBZIV-LLVKDONJSA-N 0 2 309.370 0.488 20 0 DCADLN O=C([O-])N1CC(CC(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000831842095 603557611 /nfs/dbraw/zinc/55/76/11/603557611.db2.gz OCXCPFKBKKXZBA-UHFFFAOYSA-N 0 2 304.350 0.730 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000831271467 603559851 /nfs/dbraw/zinc/55/98/51/603559851.db2.gz CPPHLWBTTXLKJC-ZYHUDNBSSA-N 0 2 308.338 0.229 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000831271467 603559853 /nfs/dbraw/zinc/55/98/53/603559853.db2.gz CPPHLWBTTXLKJC-ZYHUDNBSSA-N 0 2 308.338 0.229 20 0 DCADLN O=C([O-])N1CCOC[C@@H]1C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000831283846 603560914 /nfs/dbraw/zinc/56/09/14/603560914.db2.gz RPFNFCVZUWURRK-GFCCVEGCSA-N 0 2 308.338 0.495 20 0 DCADLN O=C([O-])N1CCOC[C@@H]1C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000831283846 603560917 /nfs/dbraw/zinc/56/09/17/603560917.db2.gz RPFNFCVZUWURRK-GFCCVEGCSA-N 0 2 308.338 0.495 20 0 DCADLN C[N@H+](CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828345674 603597410 /nfs/dbraw/zinc/59/74/10/603597410.db2.gz GTRCHLPUADFXCC-UTUOFQBUSA-N 0 2 307.350 0.579 20 0 DCADLN C[N@@H+](CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828345674 603597413 /nfs/dbraw/zinc/59/74/13/603597413.db2.gz GTRCHLPUADFXCC-UTUOFQBUSA-N 0 2 307.350 0.579 20 0 DCADLN C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)N[C@@H]1CCc2[nH+]ccn2C1 ZINC000826110709 603701974 /nfs/dbraw/zinc/70/19/74/603701974.db2.gz UGOFEXJJBHMWDE-UMNHJUIQSA-N 0 2 322.365 0.818 20 0 DCADLN C[C@@H]1CCC[N@H+](Cc2cc(=O)n3[nH]ccc3n2)[C@H]1CNC(=O)[O-] ZINC000825925422 603715153 /nfs/dbraw/zinc/71/51/53/603715153.db2.gz PLKKNVXJOQXGMW-PWSUYJOCSA-N 0 2 319.365 0.891 20 0 DCADLN C[C@@H]1CCC[N@@H+](Cc2cc(=O)n3[nH]ccc3n2)[C@H]1CNC(=O)[O-] ZINC000825925422 603715155 /nfs/dbraw/zinc/71/51/55/603715155.db2.gz PLKKNVXJOQXGMW-PWSUYJOCSA-N 0 2 319.365 0.891 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@H+](CC(=O)Nc2ccccc2C(N)=O)C1 ZINC000827882846 603849706 /nfs/dbraw/zinc/84/97/06/603849706.db2.gz SMFCPTMHOITPJH-JTQLQIEISA-N 0 2 320.349 0.408 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2C(N)=O)C1 ZINC000827882846 603849710 /nfs/dbraw/zinc/84/97/10/603849710.db2.gz SMFCPTMHOITPJH-JTQLQIEISA-N 0 2 320.349 0.408 20 0 DCADLN CC(C)(CNC(=O)[C@@]1(C)CCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000825656390 603863422 /nfs/dbraw/zinc/86/34/22/603863422.db2.gz CAUTUHCBXZXQBK-HNNXBMFYSA-N 0 2 313.398 0.603 20 0 DCADLN Cc1ccc(C(=O)N2CC[NH+](CCO)CC2)cc1NC(=O)[O-] ZINC000830199184 604032869 /nfs/dbraw/zinc/03/28/69/604032869.db2.gz VFJCHIOZSFRKBK-UHFFFAOYSA-N 0 2 307.350 0.835 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)N[C@@H]2CCSC2)CC1 ZINC000831688900 604077936 /nfs/dbraw/zinc/07/79/36/604077936.db2.gz GYAJMSZXYXKNMS-SNVBAGLBSA-N 0 2 302.400 0.087 20 0 DCADLN Cc1cc(NC(=O)C[N@H+]2CCC[C@H]2CN(C)C(=O)[O-])n(C)n1 ZINC000830104033 604104098 /nfs/dbraw/zinc/10/40/98/604104098.db2.gz RXKQZMZIZYXINP-NSHDSACASA-N 0 2 309.370 0.741 20 0 DCADLN Cc1cc(NC(=O)C[N@@H+]2CCC[C@H]2CN(C)C(=O)[O-])n(C)n1 ZINC000830104033 604104101 /nfs/dbraw/zinc/10/41/01/604104101.db2.gz RXKQZMZIZYXINP-NSHDSACASA-N 0 2 309.370 0.741 20 0 DCADLN O=C([O-])N1CCCC[C@@H]1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000831273273 604296889 /nfs/dbraw/zinc/29/68/89/604296889.db2.gz PBXYGJHCXGXDBJ-QWHCGFSZSA-N 0 2 311.382 0.452 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000738162764 604309112 /nfs/dbraw/zinc/30/91/12/604309112.db2.gz IGVQBPVUMZSJGS-SNVBAGLBSA-N 0 2 322.409 0.695 20 0 DCADLN Cc1cc(N2CC[C@H]([N@@H+]3CC[C@@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073700 604381847 /nfs/dbraw/zinc/38/18/47/604381847.db2.gz VQHZMGSYTOOAOH-MNOVXSKESA-N 0 2 307.354 0.176 20 0 DCADLN Cc1cc(N2CC[C@H]([N@H+]3CC[C@@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073700 604381852 /nfs/dbraw/zinc/38/18/52/604381852.db2.gz VQHZMGSYTOOAOH-MNOVXSKESA-N 0 2 307.354 0.176 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3nccn3c2)[C@@H](CNC(=O)[O-])C1 ZINC000828514304 604398415 /nfs/dbraw/zinc/39/84/15/604398415.db2.gz WIVVQCMGFPEBAQ-LBPRGKRZSA-N 0 2 317.349 0.358 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3nccn3c2)[C@@H](CNC(=O)[O-])C1 ZINC000828514304 604398417 /nfs/dbraw/zinc/39/84/17/604398417.db2.gz WIVVQCMGFPEBAQ-LBPRGKRZSA-N 0 2 317.349 0.358 20 0 DCADLN CCO[C@@H](CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])C(C)C ZINC000827372760 604400676 /nfs/dbraw/zinc/40/06/76/604400676.db2.gz SQEHASSYOUVVMO-STQMWFEESA-N 0 2 315.414 0.848 20 0 DCADLN CCO[C@@H](CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])C(C)C ZINC000827372760 604400677 /nfs/dbraw/zinc/40/06/77/604400677.db2.gz SQEHASSYOUVVMO-STQMWFEESA-N 0 2 315.414 0.848 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(NC=O)cc2)[C@@H](CNC(=O)[O-])C1 ZINC000828512880 604401411 /nfs/dbraw/zinc/40/14/11/604401411.db2.gz AOWTYHNZQJMLAL-ZDUSSCGKSA-N 0 2 320.349 0.279 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(NC=O)cc2)[C@@H](CNC(=O)[O-])C1 ZINC000828512880 604401413 /nfs/dbraw/zinc/40/14/13/604401413.db2.gz AOWTYHNZQJMLAL-ZDUSSCGKSA-N 0 2 320.349 0.279 20 0 DCADLN C[C@H](CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])n1cccc1 ZINC000824590807 604405721 /nfs/dbraw/zinc/40/57/21/604405721.db2.gz MVYRHECCCVQWNG-OLZOCXBDSA-N 0 2 308.382 0.849 20 0 DCADLN C[C@H](CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])n1cccc1 ZINC000824590807 604405722 /nfs/dbraw/zinc/40/57/22/604405722.db2.gz MVYRHECCCVQWNG-OLZOCXBDSA-N 0 2 308.382 0.849 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)NCCC(=O)[O-] ZINC000818999939 604536705 /nfs/dbraw/zinc/53/67/05/604536705.db2.gz LQRZCIHYTPJRRI-UHFFFAOYSA-N 0 2 308.338 0.823 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833629984 604553158 /nfs/dbraw/zinc/55/31/58/604553158.db2.gz CEGJDMNHRQMGOX-DTWKUNHWSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833629984 604553160 /nfs/dbraw/zinc/55/31/60/604553160.db2.gz CEGJDMNHRQMGOX-DTWKUNHWSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CN(C(=O)CCCn2cc[nH+]c2)CCO1 ZINC000825304273 604701680 /nfs/dbraw/zinc/70/16/80/604701680.db2.gz ZOAXZCFZIIZNFP-NWDGAFQWSA-N 0 2 310.354 0.547 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833632375 604701953 /nfs/dbraw/zinc/70/19/53/604701953.db2.gz VWFWMBWDCVXNGL-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833632375 604701955 /nfs/dbraw/zinc/70/19/55/604701955.db2.gz VWFWMBWDCVXNGL-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000833785639 604858780 /nfs/dbraw/zinc/85/87/80/604858780.db2.gz KRYZBLFBERKFBW-CQSZACIVSA-N 0 2 304.281 0.906 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1=Cc2ccccc2OC1 ZINC000833767913 604902006 /nfs/dbraw/zinc/90/20/06/604902006.db2.gz UXRNZMRRGHYCHL-ZDUSSCGKSA-N 0 2 313.313 0.998 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1=Cc2ccccc2OC1 ZINC000833767913 604902009 /nfs/dbraw/zinc/90/20/09/604902009.db2.gz UXRNZMRRGHYCHL-ZDUSSCGKSA-N 0 2 313.313 0.998 20 0 DCADLN CC(C)S(=O)(=O)CCN1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC000833629216 605193785 /nfs/dbraw/zinc/19/37/85/605193785.db2.gz SFYNKJLTDNIOGS-GFCCVEGCSA-N 0 2 306.428 0.290 20 0 DCADLN CC(C)S(=O)(=O)CCN1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833629216 605193782 /nfs/dbraw/zinc/19/37/82/605193782.db2.gz SFYNKJLTDNIOGS-GFCCVEGCSA-N 0 2 306.428 0.290 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)CN(C(=O)[O-])c1ccccc1 ZINC000828315786 605290862 /nfs/dbraw/zinc/29/08/62/605290862.db2.gz FDMRIRPYVMWECF-UHFFFAOYSA-N 0 2 321.377 0.962 20 0 DCADLN O=C([O-])N1CC[C@@H](CNC(=O)NCCCCn2cc[nH+]c2)C1 ZINC000834040805 605376330 /nfs/dbraw/zinc/37/63/30/605376330.db2.gz TYLFZSHEKYHMAE-LBPRGKRZSA-N 0 2 309.370 0.962 20 0 DCADLN O=C([O-])N1CCCC[C@H]1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000831273272 605393736 /nfs/dbraw/zinc/39/37/36/605393736.db2.gz PBXYGJHCXGXDBJ-OLZOCXBDSA-N 0 2 311.382 0.452 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)O[C@H](C)C[NH+]1CCOCC1 ZINC000833485067 605488443 /nfs/dbraw/zinc/48/84/43/605488443.db2.gz YAHZJPALKANJRU-NEPJUHHUSA-N 0 2 302.371 0.933 20 0 DCADLN C[C@H](NC(=O)N(C)CCN(C)C(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000833813099 605520844 /nfs/dbraw/zinc/52/08/44/605520844.db2.gz PEYQHJPHWGCQCL-RYUDHWBXSA-N 0 2 316.402 0.347 20 0 DCADLN O=C([O-])N1CC[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000834014268 605754638 /nfs/dbraw/zinc/75/46/38/605754638.db2.gz BMTOGWGPSPYYTM-SNVBAGLBSA-N 0 2 301.306 0.749 20 0 DCADLN O=C([O-])N(CC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)C1CC1 ZINC000834067930 605969616 /nfs/dbraw/zinc/96/96/16/605969616.db2.gz ITRGOFWZMBIFIY-CYBMUJFWSA-N 0 2 311.382 0.452 20 0 DCADLN Cn1c[nH+]cc1[C@H]1CCCCN1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833959064 605994708 /nfs/dbraw/zinc/99/47/08/605994708.db2.gz NSSCYCZKVJCCNQ-NWDGAFQWSA-N 0 2 321.381 0.773 20 0 DCADLN CCc1nn(C[C@@H]2CCCOC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000821517109 606770445 /nfs/dbraw/zinc/77/04/45/606770445.db2.gz GLOXJQWBMBBUSW-JTQLQIEISA-N 0 2 318.381 0.975 20 0 DCADLN CCc1nn(CC(=O)CCOC)c(=O)c(-c2nn[nH]n2)c1CC ZINC000821517693 606784479 /nfs/dbraw/zinc/78/44/79/606784479.db2.gz MSVGFTBWMOSZMU-UHFFFAOYSA-N 0 2 320.353 0.154 20 0 DCADLN O=S(=O)(Nc1cn[nH]c1)c1ccc(-c2nn[nH]n2)cc1F ZINC000826492910 608058046 /nfs/dbraw/zinc/05/80/46/608058046.db2.gz KUOWSABCVANBLI-UHFFFAOYSA-N 0 2 309.286 0.530 20 0 DCADLN C[C@H](Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O)c1ccccc1 ZINC000824465665 608095450 /nfs/dbraw/zinc/09/54/50/608095450.db2.gz RCPFSBMZARPRCW-SNVBAGLBSA-N 0 2 312.333 0.531 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NC23CCC(CC2)C3)n(C)c1=O ZINC000826355473 609596928 /nfs/dbraw/zinc/59/69/28/609596928.db2.gz BJBGHOPUUWEQIC-UHFFFAOYSA-N 0 2 317.353 0.009 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccccc1O ZINC001027762525 660703957 /nfs/dbraw/zinc/70/39/57/660703957.db2.gz YNQFTUUZNDPZSJ-SNVBAGLBSA-N 0 2 317.349 0.610 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccccc1O ZINC001027762525 660703958 /nfs/dbraw/zinc/70/39/58/660703958.db2.gz YNQFTUUZNDPZSJ-SNVBAGLBSA-N 0 2 317.349 0.610 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1C[C@@H]1C(F)F ZINC001027857291 660847511 /nfs/dbraw/zinc/84/75/11/660847511.db2.gz GAVDDIVPHZVXTG-CIUDSAMLSA-N 0 2 315.324 0.492 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1C[C@@H]1C(F)F ZINC001027857291 660847512 /nfs/dbraw/zinc/84/75/12/660847512.db2.gz GAVDDIVPHZVXTG-CIUDSAMLSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@H]1C[C@@H](C[NH2+]Cc2cnsn2)CN1C(=O)Cc1ccn[nH]1 ZINC000980460301 660944369 /nfs/dbraw/zinc/94/43/69/660944369.db2.gz HWTHYQWPZZEPMA-QWRGUYRKSA-N 0 2 320.422 0.831 20 0 DCADLN Cc1noc(C[NH2+]C[C@H]2C[C@@H](C)N(C(=O)Cc3ccn[nH]3)C2)n1 ZINC000980460293 660944430 /nfs/dbraw/zinc/94/44/30/660944430.db2.gz HVHVZDTVUIJZDY-ZYHUDNBSSA-N 0 2 318.381 0.670 20 0 DCADLN O=C(NC[C@H]1CC[N@H+]1C[C@@H](O)C1CCCCC1)c1nc[nH]n1 ZINC001038825684 660969929 /nfs/dbraw/zinc/96/99/29/660969929.db2.gz JLTVGVOAASHRAJ-CHWSQXEVSA-N 0 2 307.398 0.550 20 0 DCADLN O=C(CC[C@@H]1CCOC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980944274 661050436 /nfs/dbraw/zinc/05/04/36/661050436.db2.gz PRNCFHDKMFHPKF-GFCCVEGCSA-N 0 2 323.397 0.361 20 0 DCADLN O=C([C@H]1CC1(F)F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981116505 661080718 /nfs/dbraw/zinc/08/07/18/661080718.db2.gz PGMAURYJIZYCKS-MRVPVSSYSA-N 0 2 301.297 0.200 20 0 DCADLN Cc1coc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001028086811 661138427 /nfs/dbraw/zinc/13/84/27/661138427.db2.gz FQPJVPVMVNGEKT-JTQLQIEISA-N 0 2 305.338 0.806 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1CCn1cc(Cl)cn1)c1ncn[nH]1 ZINC001028210793 661279122 /nfs/dbraw/zinc/27/91/22/661279122.db2.gz GYFLOLSEDLOAKL-NSHDSACASA-N 0 2 323.788 0.549 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)[nH]1 ZINC000949139462 661280378 /nfs/dbraw/zinc/28/03/78/661280378.db2.gz QUAXEPOEGUYSCU-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN COc1csc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038588832 665411430 /nfs/dbraw/zinc/41/14/30/665411430.db2.gz KGEOJMHNELEEQE-QMMMGPOBSA-N 0 2 323.378 0.585 20 0 DCADLN O=C(CC1=CCOCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032608031 665417430 /nfs/dbraw/zinc/41/74/30/665417430.db2.gz YVUKUHFEHIHZJJ-RYUDHWBXSA-N 0 2 319.365 0.032 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C(C)C)[NH+](C)C ZINC001032611779 665420975 /nfs/dbraw/zinc/42/09/75/665420975.db2.gz PGBLHOUPBRYNAR-XDQVBPFNSA-N 0 2 310.442 0.122 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)[C@]12C[C@H]1CCCC2 ZINC001032615476 665425841 /nfs/dbraw/zinc/42/58/41/665425841.db2.gz VLXDUTVUTODYEM-KZTGVZKYSA-N 0 2 317.393 0.876 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000742601030 657501948 /nfs/dbraw/zinc/50/19/48/657501948.db2.gz BTOXOTSIFSMVNG-RKDXNWHRSA-N 0 2 313.379 0.487 20 0 DCADLN O=C(C1CC1)N1CCC2(CC1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000949270032 657654041 /nfs/dbraw/zinc/65/40/41/657654041.db2.gz DFMMFQSKHXVLFB-UHFFFAOYSA-N 0 2 321.381 0.114 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H]2C[C@@H](NC(=O)c3cn[nH]n3)C2)s1 ZINC001022354106 657782979 /nfs/dbraw/zinc/78/29/79/657782979.db2.gz DLBFMVGNVOMHOO-VDAHYXPESA-N 0 2 307.383 0.576 20 0 DCADLN CCc1ocnc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969423383 657854494 /nfs/dbraw/zinc/85/44/94/657854494.db2.gz FCZINVIKBIUKPY-MRVPVSSYSA-N 0 2 320.353 0.311 20 0 DCADLN Cc1conc1C[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000969500272 657936203 /nfs/dbraw/zinc/93/62/03/657936203.db2.gz KYMWDPLVZXILDT-SECBINFHSA-N 0 2 319.365 0.970 20 0 DCADLN CC(C)c1n[nH]cc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031807680 665534952 /nfs/dbraw/zinc/53/49/52/665534952.db2.gz ZNDNINGTUUVUBC-UHFFFAOYSA-N 0 2 319.369 0.219 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2CCCN(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC000998953211 665556522 /nfs/dbraw/zinc/55/65/22/665556522.db2.gz JRWDTMNCLONFLM-SNVBAGLBSA-N 0 2 319.369 0.245 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H]([NH2+]Cc3ncccn3)C2)c1[O-] ZINC000998954399 665556645 /nfs/dbraw/zinc/55/66/45/665556645.db2.gz MNGGVSDILQXHPV-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN COc1cc(C[NH2+][C@@H]2CCN(C(=O)CCc3cn[nH]n3)C2)on1 ZINC000970006901 658347676 /nfs/dbraw/zinc/34/76/76/658347676.db2.gz JCZVFSKQKWRPSA-LLVKDONJSA-N 0 2 320.353 0.125 20 0 DCADLN COc1cc(C[NH2+][C@@H]2CCN(C(=O)CCc3c[nH]nn3)C2)on1 ZINC000970006901 658347686 /nfs/dbraw/zinc/34/76/86/658347686.db2.gz JCZVFSKQKWRPSA-LLVKDONJSA-N 0 2 320.353 0.125 20 0 DCADLN CCc1nnc([C@H](C)[NH+]2CC([C@@H](C)NC(=O)c3cnn[n-]3)C2)o1 ZINC000970036369 658383280 /nfs/dbraw/zinc/38/32/80/658383280.db2.gz XZBMNOSCXDFKPH-BDAKNGLRSA-N 0 2 319.369 0.561 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)no1 ZINC000972779340 658410783 /nfs/dbraw/zinc/41/07/83/658410783.db2.gz AZERNIHAEHGNPZ-SNVBAGLBSA-N 0 2 320.353 0.447 20 0 DCADLN CC[C@H](C)C(=O)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972274297 658507225 /nfs/dbraw/zinc/50/72/25/658507225.db2.gz YHUOVTNTCLFVPR-NHYWBVRUSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cnsn1 ZINC001024341834 658576793 /nfs/dbraw/zinc/57/67/93/658576793.db2.gz LQNBAEPPTLUJLU-QMMMGPOBSA-N 0 2 323.382 0.146 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@]3(C2)C[N@H+](C(C)C)CCO3)c1[O-] ZINC000972341054 658589887 /nfs/dbraw/zinc/58/98/87/658589887.db2.gz AIUFTDDPEJNYBF-OAHLLOKOSA-N 0 2 308.382 0.749 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@]3(C2)C[N@@H+](C(C)C)CCO3)c1[O-] ZINC000972341054 658589890 /nfs/dbraw/zinc/58/98/90/658589890.db2.gz AIUFTDDPEJNYBF-OAHLLOKOSA-N 0 2 308.382 0.749 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC1(F)F ZINC001024432483 658617583 /nfs/dbraw/zinc/61/75/83/658617583.db2.gz YHVBUDACTFHNMP-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC1(F)F ZINC001024432483 658617586 /nfs/dbraw/zinc/61/75/86/658617586.db2.gz YHVBUDACTFHNMP-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC1(F)F ZINC001024432483 658617591 /nfs/dbraw/zinc/61/75/91/658617591.db2.gz YHVBUDACTFHNMP-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1oc(C)c(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001031828092 665595070 /nfs/dbraw/zinc/59/50/70/665595070.db2.gz CCPPXOYRYSBXBU-UHFFFAOYSA-N 0 2 319.365 0.890 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)C(C)(F)F)C1 ZINC000972892007 658628296 /nfs/dbraw/zinc/62/82/96/658628296.db2.gz YXMCPWIJQRVLSZ-KYZUINATSA-N 0 2 300.309 0.679 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccns1 ZINC001024466337 658644179 /nfs/dbraw/zinc/64/41/79/658644179.db2.gz ATUJYDJISMGAIH-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccnc(F)c1 ZINC001006903526 658709642 /nfs/dbraw/zinc/70/96/42/658709642.db2.gz HNUIIEYDUMJXAI-SNVBAGLBSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)C1CC=CC1 ZINC001042263977 659027626 /nfs/dbraw/zinc/02/76/26/659027626.db2.gz ABELYHFFBWKYFP-SECBINFHSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1CC=CC1 ZINC001042263977 659027629 /nfs/dbraw/zinc/02/76/29/659027629.db2.gz ABELYHFFBWKYFP-SECBINFHSA-N 0 2 324.274 0.543 20 0 DCADLN CC[C@H](C(=O)N[C@@](C)(COC)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000909365648 659468474 /nfs/dbraw/zinc/46/84/74/659468474.db2.gz SPBPLRPDVQTXJE-JMSVASOKSA-N 0 2 316.398 0.482 20 0 DCADLN CC[C@H](C(=O)N[C@@](C)(COC)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000909365648 659468476 /nfs/dbraw/zinc/46/84/76/659468476.db2.gz SPBPLRPDVQTXJE-JMSVASOKSA-N 0 2 316.398 0.482 20 0 DCADLN CC1(C)C[N@H+](Cc2cccnc2)C[C@H]1NC(=O)c1nnc[nH]1 ZINC000977365025 659668079 /nfs/dbraw/zinc/66/80/79/659668079.db2.gz RULWSBBFIFGMBM-GFCCVEGCSA-N 0 2 300.366 0.840 20 0 DCADLN CC1(C)C[N@@H+](Cc2cccnc2)C[C@H]1NC(=O)c1nnc[nH]1 ZINC000977365025 659668081 /nfs/dbraw/zinc/66/80/81/659668081.db2.gz RULWSBBFIFGMBM-GFCCVEGCSA-N 0 2 300.366 0.840 20 0 DCADLN CC1(C)C[N@H+](CC(N)=O)C[C@@H]1NC(=O)[C@H](C1CC1)[NH+]1CCCC1 ZINC000974864179 659699734 /nfs/dbraw/zinc/69/97/34/659699734.db2.gz QBEUQSOEYBDFNF-ZFWWWQNUSA-N 0 2 322.453 0.173 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000940084072 665696461 /nfs/dbraw/zinc/69/64/61/665696461.db2.gz GJSFAHMBFBPBGV-VGMNWLOBSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000940084072 665696462 /nfs/dbraw/zinc/69/64/62/665696462.db2.gz GJSFAHMBFBPBGV-VGMNWLOBSA-N 0 2 312.263 0.783 20 0 DCADLN O=C([C@@H]1C[C@H]1C1CCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982156158 661299927 /nfs/dbraw/zinc/29/99/27/661299927.db2.gz KSLWPJAJFXVSSD-QWHCGFSZSA-N 0 2 319.409 0.981 20 0 DCADLN Cc1cnoc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028239229 661334386 /nfs/dbraw/zinc/33/43/86/661334386.db2.gz HRLSZPVGVFSRQH-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1nnc(NNC(=O)COc2ccc(F)cc2F)[nH]c1=O ZINC000047432082 661625867 /nfs/dbraw/zinc/62/58/67/661625867.db2.gz ROBBJJMMVGGGPF-UHFFFAOYSA-N 0 2 311.248 0.686 20 0 DCADLN Cc1nnc(NNC(=O)c2ccc(-n3cc[nH+]c3)cc2)[n-]c1=O ZINC000047432048 661625926 /nfs/dbraw/zinc/62/59/26/661625926.db2.gz QOLSVEVBEDUEBN-UHFFFAOYSA-N 0 2 311.305 0.828 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccncc1F ZINC001038127371 661869473 /nfs/dbraw/zinc/86/94/73/661869473.db2.gz HTMUZWUVHQGBPU-QMMMGPOBSA-N 0 2 306.301 0.049 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)CC[C@@H]1NC(=O)c1ccon1 ZINC000940598692 665813751 /nfs/dbraw/zinc/81/37/51/665813751.db2.gz UJBRMUBLUIFYQZ-JTQLQIEISA-N 0 2 320.353 0.529 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC[C@@H]1NC(=O)c1ccon1 ZINC000940598692 665813754 /nfs/dbraw/zinc/81/37/54/665813754.db2.gz UJBRMUBLUIFYQZ-JTQLQIEISA-N 0 2 320.353 0.529 20 0 DCADLN Cc1cnoc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000983552906 661961171 /nfs/dbraw/zinc/96/11/71/661961171.db2.gz FCAWZRLCYDXZMK-UHFFFAOYSA-N 0 2 306.326 0.155 20 0 DCADLN O=C(c1ccccn1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029188297 662033977 /nfs/dbraw/zinc/03/39/77/662033977.db2.gz FYPUOIHNPBEOKG-PHIMTYICSA-N 0 2 314.349 0.394 20 0 DCADLN O=C(C1=COCCC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029239188 662063194 /nfs/dbraw/zinc/06/31/94/662063194.db2.gz OTLRVBOLYACJCM-TXEJJXNPSA-N 0 2 319.365 0.380 20 0 DCADLN O=C(Cc1ccoc1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029282517 662081273 /nfs/dbraw/zinc/08/12/73/662081273.db2.gz DTGWMUAEQSVENB-TXEJJXNPSA-N 0 2 317.349 0.521 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000950189952 662097542 /nfs/dbraw/zinc/09/75/42/662097542.db2.gz DYKFFCLLUTXEAM-YUMQZZPRSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@@H]2CCN2C(=O)[C@H](F)C(F)(F)F)n1 ZINC000950189952 662097545 /nfs/dbraw/zinc/09/75/45/662097545.db2.gz DYKFFCLLUTXEAM-YUMQZZPRSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(c1cc(F)c[nH]1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029395229 662136958 /nfs/dbraw/zinc/13/69/58/662136958.db2.gz NHWQRTZLEPJUJR-AOOOYVTPSA-N 0 2 320.328 0.467 20 0 DCADLN O=C([C@@H]1CC12CCC2)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029493611 662329629 /nfs/dbraw/zinc/32/96/29/662329629.db2.gz JFFADUKIIDLHEA-TUAOUCFPSA-N 0 2 317.393 0.876 20 0 DCADLN Cn1cnnc1C[NH+](C)C[C@H]1CCN(C(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001029672711 662447157 /nfs/dbraw/zinc/44/71/57/662447157.db2.gz NJPLZBODFFHDJE-KGLIPLIRSA-N 0 2 320.441 0.190 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H](C[N@@H+](C)Cc3cnon3)C2)c1[O-] ZINC001029707762 662455621 /nfs/dbraw/zinc/45/56/21/662455621.db2.gz GJCBGSWMNQTZLW-JTQLQIEISA-N 0 2 320.353 0.401 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H](C[N@H+](C)Cc3cnon3)C2)c1[O-] ZINC001029707762 662455622 /nfs/dbraw/zinc/45/56/22/662455622.db2.gz GJCBGSWMNQTZLW-JTQLQIEISA-N 0 2 320.353 0.401 20 0 DCADLN CC[C@H](C(=O)N1CC[C@H](C[N@@H+](C)Cc2nncn2C)C1)[NH+](C)C ZINC001029766551 662467644 /nfs/dbraw/zinc/46/76/44/662467644.db2.gz CTUVSBFDMFQRHX-ZIAGYGMSSA-N 0 2 322.457 0.436 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)CC[C@@H]1NC(=O)c1cnco1 ZINC000940928685 665877868 /nfs/dbraw/zinc/87/78/68/665877868.db2.gz IRVVZNPYKNBPLZ-JTQLQIEISA-N 0 2 320.353 0.529 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([NH2+]Cc3ncccn3)[C@H]2C)c1[O-] ZINC000986162130 662564098 /nfs/dbraw/zinc/56/40/98/662564098.db2.gz SJHVBKXVCWYBRT-GHMZBOCLSA-N 0 2 316.365 0.607 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC[C@@H]1NC(=O)c1cnco1 ZINC000940928685 665877872 /nfs/dbraw/zinc/87/78/72/665877872.db2.gz IRVVZNPYKNBPLZ-JTQLQIEISA-N 0 2 320.353 0.529 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)C1CCOCC1 ZINC000990046886 662996942 /nfs/dbraw/zinc/99/69/42/662996942.db2.gz NUAJOSJBXQBGND-VIFPVBQESA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)C1CCOCC1 ZINC000990046886 662996943 /nfs/dbraw/zinc/99/69/43/662996943.db2.gz NUAJOSJBXQBGND-VIFPVBQESA-N 0 2 312.263 0.640 20 0 DCADLN O=C([O-])c1csc(S(=O)(=O)NCCn2cc[nH+]c2)c1 ZINC000041063982 663059737 /nfs/dbraw/zinc/05/97/37/663059737.db2.gz DMTHRDSCZRHGTL-UHFFFAOYSA-N 0 2 301.349 0.621 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cccc3c2OCCO3)C(=O)N1C ZINC000899033709 663119751 /nfs/dbraw/zinc/11/97/51/663119751.db2.gz YVDSEMCNFWSMFE-QMMMGPOBSA-N 0 2 305.290 0.385 20 0 DCADLN Cn1ncc(NS(=O)(=O)N=S(C)(C)=O)c1C(F)F ZINC000901648711 663359018 /nfs/dbraw/zinc/35/90/18/663359018.db2.gz SJAWDJCOLNKACT-UHFFFAOYSA-N 0 2 302.328 0.742 20 0 DCADLN CCN1C(=O)CN(CN2CCOC[C@@H]2C[C@H]2CCCO2)C1=O ZINC000902612319 663422156 /nfs/dbraw/zinc/42/21/56/663422156.db2.gz YNYGZJSBNDIZOE-QWHCGFSZSA-N 0 2 311.382 0.498 20 0 DCADLN CN(C)c1ccc(CON=C(N)CCS(C)(=O)=O)cn1 ZINC000902614562 663422362 /nfs/dbraw/zinc/42/23/62/663422362.db2.gz WLSQFVFGKMERMZ-UHFFFAOYSA-N 0 2 300.384 0.581 20 0 DCADLN Cc1cc(NC(=O)CON=C(N)CN(C)C)n(C(C)(C)C)n1 ZINC000902612996 663422367 /nfs/dbraw/zinc/42/23/67/663422367.db2.gz NMNRCNMEXXNNMX-UHFFFAOYSA-N 0 2 310.402 0.945 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000902711851 663428595 /nfs/dbraw/zinc/42/85/95/663428595.db2.gz HJXKWHTUEIXBAU-CHWSQXEVSA-N 0 2 303.318 0.840 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000902711856 663428730 /nfs/dbraw/zinc/42/87/30/663428730.db2.gz HJXKWHTUEIXBAU-STQMWFEESA-N 0 2 303.318 0.840 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC000902841559 663436630 /nfs/dbraw/zinc/43/66/30/663436630.db2.gz PUYZQHXCDWCZKB-VXGBXAGGSA-N 0 2 300.399 0.960 20 0 DCADLN Cc1cc2ncc(C(=O)NNC(=O)c3ccccn3)c(C)n2n1 ZINC000031571787 663485912 /nfs/dbraw/zinc/48/59/12/663485912.db2.gz IUDGSFKQBCKEPC-UHFFFAOYSA-N 0 2 310.317 0.816 20 0 DCADLN Cc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)ccc1F ZINC001031945115 665977779 /nfs/dbraw/zinc/97/77/79/665977779.db2.gz PCXOTHGDLUJTKU-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN CCCN(C(=O)NCCCn1cc[nH+]c1)[C@@H](COC)C(=O)[O-] ZINC000908738439 663767459 /nfs/dbraw/zinc/76/74/59/663767459.db2.gz YNHZTHGHDMPDNJ-LBPRGKRZSA-N 0 2 312.370 0.794 20 0 DCADLN CC[C@@H](C)N(CC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000908747409 663767930 /nfs/dbraw/zinc/76/79/30/663767930.db2.gz KZTAJWOTAARBMS-GFCCVEGCSA-N 0 2 315.414 0.992 20 0 DCADLN O=C([O-])C[C@H]1CSCCN1C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000909009283 663777370 /nfs/dbraw/zinc/77/73/70/663777370.db2.gz HANYZEMBQPUWOB-JQWIXIFHSA-N 0 2 309.391 0.864 20 0 DCADLN O=C([O-])C[C@H]1CSCCN1C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000909011969 663777568 /nfs/dbraw/zinc/77/75/68/663777568.db2.gz XDKNBXHVHIHAGR-MNOVXSKESA-N 0 2 309.391 0.864 20 0 DCADLN O=C([O-])C[C@H]1CSCCN1C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000909011970 663777739 /nfs/dbraw/zinc/77/77/39/663777739.db2.gz XDKNBXHVHIHAGR-QWRGUYRKSA-N 0 2 309.391 0.864 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)[C@H]2CCn3cc[nH+]c3C2)CCCOC1 ZINC000909691342 663796726 /nfs/dbraw/zinc/79/67/26/663796726.db2.gz GTRRDAJCZAQHEU-NHYWBVRUSA-N 0 2 307.350 0.586 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCCOC1 ZINC000909693647 663796931 /nfs/dbraw/zinc/79/69/31/663796931.db2.gz RRUBQEVRZQEJHT-IAQYHMDHSA-N 0 2 307.350 0.586 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC2(Br)CC2)S1 ZINC000910198778 663851145 /nfs/dbraw/zinc/85/11/45/663851145.db2.gz PWQOSEHMTDKOND-RXMQYKEDSA-N 0 2 306.185 0.587 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](C[C@@H]1CCCOC1)C(=O)[O-] ZINC000910248897 663859259 /nfs/dbraw/zinc/85/92/59/663859259.db2.gz ZQRCDZSBGCXARV-UMSGYPCISA-N 0 2 321.377 0.910 20 0 DCADLN CO[C@H]1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)[C@](C)(C(=O)[O-])C1 ZINC000910263089 663865959 /nfs/dbraw/zinc/86/59/59/663865959.db2.gz GQKVEZFYWQXYDC-CZFOOCMKSA-N 0 2 307.350 0.614 20 0 DCADLN CC[C@H](C(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000910442219 663883805 /nfs/dbraw/zinc/88/38/05/663883805.db2.gz RHVNMIQRGYHLNH-LOWDOPEQSA-N 0 2 316.373 0.899 20 0 DCADLN CC[C@H](C(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000910442219 663883809 /nfs/dbraw/zinc/88/38/09/663883809.db2.gz RHVNMIQRGYHLNH-LOWDOPEQSA-N 0 2 316.373 0.899 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](CC1CCOCC1)C(=O)[O-] ZINC000910453134 663885685 /nfs/dbraw/zinc/88/56/85/663885685.db2.gz LWVOXVNIKWUDLQ-JHJVBQTASA-N 0 2 321.377 0.910 20 0 DCADLN O=C([O-])[C@]1(F)CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000910457124 663885975 /nfs/dbraw/zinc/88/59/75/663885975.db2.gz UMOOOYJFKIPZJF-ABAIWWIYSA-N 0 2 324.356 0.831 20 0 DCADLN O=C([O-])[C@]1(F)CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000910457124 663885978 /nfs/dbraw/zinc/88/59/78/663885978.db2.gz UMOOOYJFKIPZJF-ABAIWWIYSA-N 0 2 324.356 0.831 20 0 DCADLN C[C@@]1(C(=O)[O-])CN(c2cc(N3CCSCC3)[nH+]cn2)CCO1 ZINC000910479916 663890703 /nfs/dbraw/zinc/89/07/03/663890703.db2.gz OYQDKTJOTHWVLT-AWEZNQCLSA-N 0 2 324.406 0.710 20 0 DCADLN C[C@@]1(C(=O)[O-])CN(c2cc(N3CCSCC3)nc[nH+]2)CCO1 ZINC000910479916 663890705 /nfs/dbraw/zinc/89/07/05/663890705.db2.gz OYQDKTJOTHWVLT-AWEZNQCLSA-N 0 2 324.406 0.710 20 0 DCADLN CCC(CC)[C@H](C(=O)NC[C@@H]1C[C@@H]1C(=O)[O-])[NH+]1CCOCC1 ZINC000910607069 663908898 /nfs/dbraw/zinc/90/88/98/663908898.db2.gz ZFUBRSYAPVTISJ-MELADBBJSA-N 0 2 312.410 0.960 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCN(c3cccc[nH+]3)CC2)O[C@H]1C(=O)[O-] ZINC000911092553 663981337 /nfs/dbraw/zinc/98/13/37/663981337.db2.gz ATEZPPDXBLJNIJ-YRGRVCCFSA-N 0 2 319.361 0.608 20 0 DCADLN CC(C)(O)CC(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911305253 664023864 /nfs/dbraw/zinc/02/38/64/664023864.db2.gz ILVMWOFLCBAEFN-UHFFFAOYSA-N 0 2 321.377 0.980 20 0 DCADLN CC[C@@H](CO)C(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911307991 664025132 /nfs/dbraw/zinc/02/51/32/664025132.db2.gz KSJIJNPVPDMAFX-LBPRGKRZSA-N 0 2 321.377 0.837 20 0 DCADLN C[C@@H](NC(=O)c1cc(C(=O)[O-])ccn1)[C@H](C)[NH+]1CCOCC1 ZINC000911351113 664034216 /nfs/dbraw/zinc/03/42/16/664034216.db2.gz AKLYZBFMRGLXHR-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000911339025 664038481 /nfs/dbraw/zinc/03/84/81/664038481.db2.gz FUMGCMCLWDDSFV-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000911339025 664038483 /nfs/dbraw/zinc/03/84/83/664038483.db2.gz FUMGCMCLWDDSFV-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)c1ccccn1 ZINC000911480917 664062431 /nfs/dbraw/zinc/06/24/31/664062431.db2.gz AAAXXIZXIUARFE-GWCFXTLKSA-N 0 2 300.318 0.783 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)c1ccccn1 ZINC000911481905 664062942 /nfs/dbraw/zinc/06/29/42/664062942.db2.gz LBXNIEMCXQDZDV-GWCFXTLKSA-N 0 2 300.318 0.783 20 0 DCADLN CCCONC(=O)CSc1ccc(S(N)(=O)=O)cc1 ZINC000911552411 664077578 /nfs/dbraw/zinc/07/75/78/664077578.db2.gz HBMSHTROVBDBDN-UHFFFAOYSA-N 0 2 304.393 0.884 20 0 DCADLN O=C(Nc1nccc(Br)c1O)[C@@H]1CCC(=O)N1 ZINC000913027348 664256176 /nfs/dbraw/zinc/25/61/76/664256176.db2.gz OWCXOOFHGOHAFD-LURJTMIESA-N 0 2 300.112 0.767 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)c([O-])c(Cl)c2)C[C@H]1CO ZINC000913544273 664347295 /nfs/dbraw/zinc/34/72/95/664347295.db2.gz AOPVGFDNHIDZLR-VIFPVBQESA-N 0 2 302.733 0.933 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)c([O-])c(Cl)c2)C[C@H]1CO ZINC000913544273 664347298 /nfs/dbraw/zinc/34/72/98/664347298.db2.gz AOPVGFDNHIDZLR-VIFPVBQESA-N 0 2 302.733 0.933 20 0 DCADLN O=C(CC1CC1)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030045072 664403303 /nfs/dbraw/zinc/40/33/03/664403303.db2.gz OIFLBILZQTUFCU-UHFFFAOYSA-N 0 2 301.350 0.123 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1sccc1Cl ZINC001030353769 664519486 /nfs/dbraw/zinc/51/94/86/664519486.db2.gz SOAXEDJUBQGGHT-UHFFFAOYSA-N 0 2 313.770 0.839 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC(F)(F)C1 ZINC001030410025 664534863 /nfs/dbraw/zinc/53/48/63/664534863.db2.gz YMRXFKCGQHBWCJ-SSDOTTSWSA-N 0 2 301.297 0.246 20 0 DCADLN CO[C@H]1CCC[C@@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030417267 664537057 /nfs/dbraw/zinc/53/70/57/664537057.db2.gz MZKUJCBFNDGSDP-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H]1CCC[C@@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030417268 664537060 /nfs/dbraw/zinc/53/70/60/664537060.db2.gz MZKUJCBFNDGSDP-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC[C@@H]1C(F)F ZINC001030440889 664546934 /nfs/dbraw/zinc/54/69/34/664546934.db2.gz STKBZHGAYNPGFB-IUCAKERBSA-N 0 2 315.324 0.492 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cscc1Cl ZINC001030487188 664563962 /nfs/dbraw/zinc/56/39/62/664563962.db2.gz FKXGGBWYJGJAMS-UHFFFAOYSA-N 0 2 313.770 0.839 20 0 DCADLN COc1cccc([C@H](C)[NH+]2CC(NC(=O)c3cnn[n-]3)C2)c1 ZINC001030599999 664599454 /nfs/dbraw/zinc/59/94/54/664599454.db2.gz OKCPDOPMRIZMSV-JTQLQIEISA-N 0 2 301.350 0.989 20 0 DCADLN CC(C)c1cccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001030619381 664607533 /nfs/dbraw/zinc/60/75/33/664607533.db2.gz WRUUCBRVQAQNCB-UHFFFAOYSA-N 0 2 316.365 0.643 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2cccnc21 ZINC001030621655 664608587 /nfs/dbraw/zinc/60/85/87/664608587.db2.gz MJDQLDBWTZUEJM-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN Cc1nc(NC(=O)c2ccc3c(c2)[nH]c(=S)n(C)c3=O)n[nH]1 ZINC000171548444 664610116 /nfs/dbraw/zinc/61/01/16/664610116.db2.gz PVIIZROEEUYZMK-UHFFFAOYSA-N 0 2 316.346 0.901 20 0 DCADLN COc1csc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030781204 664665849 /nfs/dbraw/zinc/66/58/49/664665849.db2.gz QFMAASGFKZKEPI-UHFFFAOYSA-N 0 2 309.351 0.195 20 0 DCADLN CCc1ccccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030863971 664690137 /nfs/dbraw/zinc/69/01/37/664690137.db2.gz NHWCOMDXSAWRCO-UHFFFAOYSA-N 0 2 301.350 0.687 20 0 DCADLN Cn1cncc1C[N@@H+]1CC[C@@]2(NC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC000992326331 664697576 /nfs/dbraw/zinc/69/75/76/664697576.db2.gz GAAOYUZZCVNJCO-DOMZBBRYSA-N 0 2 315.381 0.465 20 0 DCADLN Cn1cncc1C[N@H+]1CC[C@@]2(NC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC000992326331 664697577 /nfs/dbraw/zinc/69/75/77/664697577.db2.gz GAAOYUZZCVNJCO-DOMZBBRYSA-N 0 2 315.381 0.465 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001007114829 664730530 /nfs/dbraw/zinc/73/05/30/664730530.db2.gz VIHDURZDHNIADY-NWDGAFQWSA-N 0 2 318.381 0.654 20 0 DCADLN CN(Cc1cccc(F)c1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730515443 664783852 /nfs/dbraw/zinc/78/38/52/664783852.db2.gz UFCDLLRFQKMSGI-UHFFFAOYSA-N 0 2 315.308 0.869 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CCOCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000992971966 664787737 /nfs/dbraw/zinc/78/77/37/664787737.db2.gz UNYQOJGAWLBCSZ-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CCOCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000992971966 664787738 /nfs/dbraw/zinc/78/77/38/664787738.db2.gz UNYQOJGAWLBCSZ-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c2n[nH]cc21 ZINC000730533871 664789262 /nfs/dbraw/zinc/78/92/62/664789262.db2.gz QEKAJYTWZDDWEC-UHFFFAOYSA-N 0 2 323.316 0.895 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccc[nH]2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993036219 664794384 /nfs/dbraw/zinc/79/43/84/664794384.db2.gz VYROILLSMIYMCU-UWVGGRQHSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccc[nH]2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993036219 664794385 /nfs/dbraw/zinc/79/43/85/664794385.db2.gz VYROILLSMIYMCU-UWVGGRQHSA-N 0 2 304.354 0.621 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1ccccc1F ZINC000730657304 664799355 /nfs/dbraw/zinc/79/93/55/664799355.db2.gz BSDIHHLJFQROPN-UHFFFAOYSA-N 0 2 302.265 0.954 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)NC1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000993870682 665015483 /nfs/dbraw/zinc/01/54/83/665015483.db2.gz PAGOAXFTXUOPFV-CQSZACIVSA-N 0 2 319.409 0.154 20 0 DCADLN Cc1nn(C)cc1C[N@@H+]1CCC[C@H](NC(=O)c2ncn[nH]2)[C@H]1C ZINC000994016237 665026739 /nfs/dbraw/zinc/02/67/39/665026739.db2.gz CODPHYDGCCZFPV-YPMHNXCESA-N 0 2 317.397 0.630 20 0 DCADLN CNS(=O)(=O)CCCNC(=O)c1cccc(Cl)c1O ZINC000863430799 667143404 /nfs/dbraw/zinc/14/34/04/667143404.db2.gz LDVMIBMWIINUPW-UHFFFAOYSA-N 0 2 306.771 0.715 20 0 DCADLN CCOc1ccccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031336364 665092864 /nfs/dbraw/zinc/09/28/64/665092864.db2.gz SJGGJLPQTZYVFI-UHFFFAOYSA-N 0 2 317.349 0.523 20 0 DCADLN Cc1nc(C)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031365195 665116485 /nfs/dbraw/zinc/11/64/85/665116485.db2.gz SXONNPBYGJZKQO-UHFFFAOYSA-N 0 2 308.367 0.198 20 0 DCADLN Cc1ccc(C)n1[C@H](C)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031435858 665149674 /nfs/dbraw/zinc/14/96/74/665149674.db2.gz FJNHQIXPBHDEEK-LLVKDONJSA-N 0 2 318.381 0.490 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC(CC3CCOCC3)C2)S1 ZINC000919721441 665230226 /nfs/dbraw/zinc/23/02/26/665230226.db2.gz VGUIIJHCLMVCJX-NSHDSACASA-N 0 2 311.407 0.818 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2C[NH+](Cc3ccnn3C)C2)c1[O-] ZINC001031617659 665233444 /nfs/dbraw/zinc/23/34/44/665233444.db2.gz LLSAIGHDJNSUBN-UHFFFAOYSA-N 0 2 304.354 0.019 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC12CCC2 ZINC001001209656 666018084 /nfs/dbraw/zinc/01/80/84/666018084.db2.gz KCJGNEHNGTYLSF-LBPRGKRZSA-N 0 2 317.393 0.949 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC12CCC2 ZINC001001209656 666018087 /nfs/dbraw/zinc/01/80/87/666018087.db2.gz KCJGNEHNGTYLSF-LBPRGKRZSA-N 0 2 317.393 0.949 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC001001555142 666072657 /nfs/dbraw/zinc/07/26/57/666072657.db2.gz CXKCYMBUUAWPHT-JTQLQIEISA-N 0 2 324.274 0.808 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC001001555142 666072659 /nfs/dbraw/zinc/07/26/59/666072659.db2.gz CXKCYMBUUAWPHT-JTQLQIEISA-N 0 2 324.274 0.808 20 0 DCADLN Cc1c[nH]c(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC001002150801 666136887 /nfs/dbraw/zinc/13/68/87/666136887.db2.gz UGYLHRDNQUHTAE-QMMMGPOBSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1c[nH]c(C(=O)NCC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001002150801 666136889 /nfs/dbraw/zinc/13/68/89/666136889.db2.gz UGYLHRDNQUHTAE-QMMMGPOBSA-N 0 2 322.262 0.807 20 0 DCADLN CCc1ocnc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002486325 666180672 /nfs/dbraw/zinc/18/06/72/666180672.db2.gz YSUQXJKMGRCYFF-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001032053494 666227241 /nfs/dbraw/zinc/22/72/41/666227241.db2.gz HMHCPLKLALGRCA-UHFFFAOYSA-N 0 2 307.379 0.742 20 0 DCADLN CC[C@H]1C[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001032110075 666332274 /nfs/dbraw/zinc/33/22/74/666332274.db2.gz DPCLZDKUMHXUSI-NEPJUHHUSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1nnsc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032898315 666378804 /nfs/dbraw/zinc/37/88/04/666378804.db2.gz TYAINNDVNJLHRG-MRVPVSSYSA-N 0 2 323.382 0.017 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1C[C@]12CCOC2 ZINC001003926171 666407494 /nfs/dbraw/zinc/40/74/94/666407494.db2.gz HTQUFZUNIYTJNN-ABAIWWIYSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(C[C@H]1CCCOC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001004163545 666465057 /nfs/dbraw/zinc/46/50/57/666465057.db2.gz KXGWGSFBABXVMW-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N(C)[C@@H]2CC[N@H+](CCCO)C2)c[nH+]1 ZINC001033179846 666608329 /nfs/dbraw/zinc/60/83/29/666608329.db2.gz AUFGDIFMPXLLCA-CQSZACIVSA-N 0 2 308.426 0.842 20 0 DCADLN CN(C(=O)[C@H]1CCC1(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033191620 666612444 /nfs/dbraw/zinc/61/24/44/666612444.db2.gz YEHFIRFJNKUHLA-RKDXNWHRSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)[C@H]1CCC1(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033191620 666612447 /nfs/dbraw/zinc/61/24/47/666612447.db2.gz YEHFIRFJNKUHLA-RKDXNWHRSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C(=O)[C@H]1CCC1(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033191620 666612448 /nfs/dbraw/zinc/61/24/48/666612448.db2.gz YEHFIRFJNKUHLA-RKDXNWHRSA-N 0 2 315.324 0.588 20 0 DCADLN C[C@H]1CCCN(C(=O)c2ccn[nH]2)[C@@H]1CNC(=O)c1cn[nH]n1 ZINC000945738895 666645528 /nfs/dbraw/zinc/64/55/28/666645528.db2.gz CTZOVUYBJMRNDC-JOYOIKCWSA-N 0 2 317.353 0.199 20 0 DCADLN CN(C(=O)c1cccnc1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005052202 666649443 /nfs/dbraw/zinc/64/94/43/666649443.db2.gz DCSVZMWQTIVQQV-UHFFFAOYSA-N 0 2 316.365 0.642 20 0 DCADLN CN(C(=O)C1(C)CC=CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033392283 666698032 /nfs/dbraw/zinc/69/80/32/666698032.db2.gz XNMYLLMORKSOOH-LLVKDONJSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)C1(C)CC=CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033392283 666698034 /nfs/dbraw/zinc/69/80/34/666698034.db2.gz XNMYLLMORKSOOH-LLVKDONJSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@@H]1CCCOC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005177158 666739223 /nfs/dbraw/zinc/73/92/23/666739223.db2.gz BCHCMJGFRKUDQY-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cnoc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033552093 666754868 /nfs/dbraw/zinc/75/48/68/666754868.db2.gz XYCJSYFAQONYHN-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN O=C(c1cncs1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032301550 666818023 /nfs/dbraw/zinc/81/80/23/666818023.db2.gz VSKJIXLZXFUCBL-YUMQZZPRSA-N 0 2 306.351 0.066 20 0 DCADLN CCN(C(=O)c1n[nH]cc1C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033823792 666836052 /nfs/dbraw/zinc/83/60/52/666836052.db2.gz SWTSVNLQGUELAE-SNVBAGLBSA-N 0 2 319.369 0.278 20 0 DCADLN CCN(C(=O)[C@@H]1CC12CC2)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033976139 666883080 /nfs/dbraw/zinc/88/30/80/666883080.db2.gz SFHWMOFQMYMNFG-QWRGUYRKSA-N 0 2 305.382 0.733 20 0 DCADLN CCN(C(=O)[C@@H]1CC12CC2)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033976139 666883083 /nfs/dbraw/zinc/88/30/83/666883083.db2.gz SFHWMOFQMYMNFG-QWRGUYRKSA-N 0 2 305.382 0.733 20 0 DCADLN CCN(C(=O)c1ccncn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033991393 666887276 /nfs/dbraw/zinc/88/72/76/666887276.db2.gz WFVKILHIQBJHHI-SNVBAGLBSA-N 0 2 317.353 0.037 20 0 DCADLN C[NH+](C)Cc1cc(C(=O)N[C@@H]2CCCC[N@@H+](CCO)C2)no1 ZINC001034281546 666975975 /nfs/dbraw/zinc/97/59/75/666975975.db2.gz JVMKPEAPCJFZQG-GFCCVEGCSA-N 0 2 310.398 0.313 20 0 DCADLN CCn1ncc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000862382421 667040474 /nfs/dbraw/zinc/04/04/74/667040474.db2.gz SJLBNSMYEBEKLI-UHFFFAOYSA-N 0 2 307.276 0.371 20 0 DCADLN Cc1nn(C)cc1C[NH2+]C[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001035141614 667254631 /nfs/dbraw/zinc/25/46/31/667254631.db2.gz DPGFXPLRIKAHTQ-OAHLLOKOSA-N 0 2 316.409 0.706 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)c1cccs1 ZINC001035291863 667283838 /nfs/dbraw/zinc/28/38/38/667283838.db2.gz YTHBSTNFQUCHTB-VIFPVBQESA-N 0 2 323.378 0.203 20 0 DCADLN Cc1ccoc1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035294337 667284102 /nfs/dbraw/zinc/28/41/02/667284102.db2.gz PWYAPGOUYWKQSV-JTQLQIEISA-N 0 2 321.337 0.043 20 0 DCADLN C/C=C(\C)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035683185 667398364 /nfs/dbraw/zinc/39/83/64/667398364.db2.gz JOCLKSBRJNOSJV-QDEBKDIKSA-N 0 2 305.382 0.901 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@H](C)[C@H](O)C(F)(F)F ZINC000867646322 667480057 /nfs/dbraw/zinc/48/00/57/667480057.db2.gz DYTKKGANCLQVLL-RLYBYLJISA-N 0 2 312.335 0.250 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NC[C@@]1(C(F)(F)F)CCCN1 ZINC000923685416 667576347 /nfs/dbraw/zinc/57/63/47/667576347.db2.gz LSTSDWMRUNVQSL-SSDOTTSWSA-N 0 2 323.362 0.233 20 0 DCADLN CS(C)(=O)=NC(=O)CNC(=O)c1cc(F)c(O)c(F)c1 ZINC000868949953 667615668 /nfs/dbraw/zinc/61/56/68/667615668.db2.gz XUPPLUWODDJCKR-UHFFFAOYSA-N 0 2 306.290 0.654 20 0 DCADLN O=C(NC[C@H]1CC[N@@H+]1C1CCOCC1)[C@H]1CCc2[nH+]ccn2C1 ZINC000885866054 667713570 /nfs/dbraw/zinc/71/35/70/667713570.db2.gz RCLVGQFAIDFLBA-DZGCQCFKSA-N 0 2 318.421 0.815 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nnc3n2CCCCC3)S1 ZINC000742509474 667792554 /nfs/dbraw/zinc/79/25/54/667792554.db2.gz OUDLJVXXZBAOHR-QMMMGPOBSA-N 0 2 322.394 0.177 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2OCCO3)S1 ZINC000742566066 667793938 /nfs/dbraw/zinc/79/39/38/667793938.db2.gz CGCIRNBHQPHTII-VIFPVBQESA-N 0 2 307.331 0.953 20 0 DCADLN C[C@H](COCC(F)(F)F)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000742590309 667794752 /nfs/dbraw/zinc/79/47/52/667794752.db2.gz QGTDWDKALWAIRE-PHDIDXHHSA-N 0 2 313.301 0.626 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(C3OCCO3)CC2)S1 ZINC000742613654 667795152 /nfs/dbraw/zinc/79/51/52/667795152.db2.gz VKUQSWSIIWBCBB-SECBINFHSA-N 0 2 313.379 0.154 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC(=O)Nc2cccc(F)c2)S1 ZINC000742602677 667795233 /nfs/dbraw/zinc/79/52/33/667795233.db2.gz TVWVGPXYUPDQJP-SECBINFHSA-N 0 2 324.337 0.437 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(C3OCCO3)CC2)S1 ZINC000742613655 667795340 /nfs/dbraw/zinc/79/53/40/667795340.db2.gz VKUQSWSIIWBCBB-VIFPVBQESA-N 0 2 313.379 0.154 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCCC[C@H]2C2OCCO2)S1 ZINC000742611689 667795356 /nfs/dbraw/zinc/79/53/56/667795356.db2.gz DBSAOTHFHMXLNU-IUCAKERBSA-N 0 2 313.379 0.297 20 0 DCADLN Cc1ccc(NC(=O)CC2SC(=N)NC2=O)cc1C(N)=O ZINC000742624667 667795837 /nfs/dbraw/zinc/79/58/37/667795837.db2.gz YNCRKWRLGVSELC-VIFPVBQESA-N 0 2 306.347 0.589 20 0 DCADLN Cc1c(F)cc(C(=O)[O-])cc1S(=O)(=O)NCC[NH+]1CCC1 ZINC000871924004 667857457 /nfs/dbraw/zinc/85/74/57/667857457.db2.gz ZUGGAXVSULNDJD-UHFFFAOYSA-N 0 2 316.354 0.816 20 0 DCADLN O=c1c2c([nH]n1-c1nc3ccccn3n1)CCC1(C2)OCCO1 ZINC000887291169 667866455 /nfs/dbraw/zinc/86/64/55/667866455.db2.gz QRJIWJHFGCXNAS-JTQLQIEISA-N 0 2 313.317 0.975 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCOCc2ccccc2)S1 ZINC000746862668 667888583 /nfs/dbraw/zinc/88/85/83/667888583.db2.gz AYYCBCDUKDGGSW-LLVKDONJSA-N 0 2 307.375 0.876 20 0 DCADLN O=C(COc1ccc2ccc(=O)oc2c1)NCc1n[nH]c(=O)[nH]1 ZINC000748006369 667916252 /nfs/dbraw/zinc/91/62/52/667916252.db2.gz HDYMEYDDSCSQLB-UHFFFAOYSA-N 0 2 316.273 0.312 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccn(CC(F)F)n1 ZINC000748761087 667937007 /nfs/dbraw/zinc/93/70/07/667937007.db2.gz SJOFCXBTJNLKHR-UHFFFAOYSA-N 0 2 323.263 0.172 20 0 DCADLN C[C@H](Cc1ccncc1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000749483989 667956192 /nfs/dbraw/zinc/95/61/92/667956192.db2.gz RSWJAJHLTLHMKZ-SNVBAGLBSA-N 0 2 312.333 0.214 20 0 DCADLN COC(=O)Cc1ccccc1NC(=O)CC1SC(=N)NC1=O ZINC000752700591 668020995 /nfs/dbraw/zinc/02/09/95/668020995.db2.gz CGWSOAJYSVPCPE-JTQLQIEISA-N 0 2 321.358 0.897 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2COc3ccc(F)cc3C2)S1 ZINC000752889563 668023052 /nfs/dbraw/zinc/02/30/52/668023052.db2.gz GASDXCFZGRTWTC-ONGXEEELSA-N 0 2 323.349 0.802 20 0 DCADLN COc1cccc(CCNC(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC000753653724 668033432 /nfs/dbraw/zinc/03/34/32/668033432.db2.gz ZDICHVAWIWKNCR-SECBINFHSA-N 0 2 308.363 0.305 20 0 DCADLN Cc1noc(C)c1CCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000753666557 668033846 /nfs/dbraw/zinc/03/38/46/668033846.db2.gz GJQNQJAQAATBOP-SNVBAGLBSA-N 0 2 310.379 0.897 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2CCN(c3ccccn3)C2)S1 ZINC000753736625 668034447 /nfs/dbraw/zinc/03/44/47/668034447.db2.gz YQQNCHBRQGQRKD-UWVGGRQHSA-N 0 2 319.390 0.333 20 0 DCADLN O=S(=O)(Nc1nc2ncccn2n1)c1cccc2nonc21 ZINC000753923765 668038206 /nfs/dbraw/zinc/03/82/06/668038206.db2.gz MCQSZRWFGSDWBO-UHFFFAOYSA-N 0 2 317.290 0.461 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@@H]1CC2(CCC2)CO1 ZINC000873357203 668076040 /nfs/dbraw/zinc/07/60/40/668076040.db2.gz VLIJNOGGLXHLES-JTQLQIEISA-N 0 2 318.333 0.574 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@]23C[C@H]2COC32CCC2)S1 ZINC000890178257 668108912 /nfs/dbraw/zinc/10/89/12/668108912.db2.gz FWEOGGPQHDOMIT-IGJMFERPSA-N 0 2 309.391 0.618 20 0 DCADLN C[NH+]1CCN(c2cc[nH+]cc2NC(=O)CN2CCCC2)CC1 ZINC000874153796 668151977 /nfs/dbraw/zinc/15/19/77/668151977.db2.gz CHFKRYODAQVSMU-UHFFFAOYSA-N 0 2 303.410 0.868 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1ncc(C)cn1 ZINC000758429981 668174982 /nfs/dbraw/zinc/17/49/82/668174982.db2.gz RWDVEAOZKFTTAY-UHFFFAOYSA-N 0 2 314.348 0.829 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2ncc(C)cn2)cn1C ZINC000758428347 668175235 /nfs/dbraw/zinc/17/52/35/668175235.db2.gz HOIFCECKQXQSGM-UHFFFAOYSA-N 0 2 309.351 0.284 20 0 DCADLN Cn1cc(CCOC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC000759124117 668207867 /nfs/dbraw/zinc/20/78/67/668207867.db2.gz USDKEBDRMYKJMX-UHFFFAOYSA-N 0 2 307.272 0.963 20 0 DCADLN COCCC(C)(C)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000759312194 668214949 /nfs/dbraw/zinc/21/49/49/668214949.db2.gz WGBVQCANUCSKOJ-UHFFFAOYSA-N 0 2 307.354 0.250 20 0 DCADLN CCS(=O)(=O)NCCOC(=O)c1cc(F)c(O)c(F)c1 ZINC000759538084 668224588 /nfs/dbraw/zinc/22/45/88/668224588.db2.gz GBOYDVCGNXJUBR-UHFFFAOYSA-N 0 2 309.290 0.767 20 0 DCADLN CCC[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000760101222 668256543 /nfs/dbraw/zinc/25/65/43/668256543.db2.gz UFUCFCNACMSUCQ-JTQLQIEISA-N 0 2 305.338 0.099 20 0 DCADLN Cc1nnc([C@@H]2CCCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)[nH]1 ZINC000761062948 668302038 /nfs/dbraw/zinc/30/20/38/668302038.db2.gz AOYCFDVEQMKXAK-RKDXNWHRSA-N 0 2 322.394 0.376 20 0 DCADLN COC(=O)Cc1csc(NC(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC000761853341 668337732 /nfs/dbraw/zinc/33/77/32/668337732.db2.gz OAVANJCQOZRSMP-UHFFFAOYSA-N 0 2 312.311 0.004 20 0 DCADLN Cc1cnc(-c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)nc1 ZINC000762219191 668352072 /nfs/dbraw/zinc/35/20/72/668352072.db2.gz ZSJLADYVKVGMTF-UHFFFAOYSA-N 0 2 310.277 0.268 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC/C(Cl)=C/Cl ZINC000763972528 668428163 /nfs/dbraw/zinc/42/81/63/668428163.db2.gz YZJRRAMHKLHPQA-BHQIHCQQSA-N 0 2 303.105 0.933 20 0 DCADLN CC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@@H](O)C(F)(F)F ZINC000765941093 668514130 /nfs/dbraw/zinc/51/41/30/668514130.db2.gz INWASASPUCUIIJ-KZLJYQGOSA-N 0 2 313.301 0.361 20 0 DCADLN Cc1ccc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(C)n1 ZINC000772100156 668763898 /nfs/dbraw/zinc/76/38/98/668763898.db2.gz YKNRRYYFZZUAOB-UHFFFAOYSA-N 0 2 313.317 0.826 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)C[C@@H](OC)C3)c1O ZINC000772122832 668764350 /nfs/dbraw/zinc/76/43/50/668764350.db2.gz HGOVTZYZEWYOCR-QWHCGFSZSA-N 0 2 318.329 0.788 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(N2CCOCC2)cn1 ZINC000772411848 668772002 /nfs/dbraw/zinc/77/20/02/668772002.db2.gz YDPLUODWXLUDLD-UHFFFAOYSA-N 0 2 319.325 0.064 20 0 DCADLN CC(C)[C@@H](NC(=O)c1ccccn1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774945522 668852442 /nfs/dbraw/zinc/85/24/42/668852442.db2.gz ZRWBERSFBARBFQ-LLVKDONJSA-N 0 2 319.321 0.403 20 0 DCADLN CCN(C)C(=O)c1cccc(C(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774946833 668852481 /nfs/dbraw/zinc/85/24/81/668852481.db2.gz MGHZHJHHUWEZFJ-UHFFFAOYSA-N 0 2 304.306 0.959 20 0 DCADLN Cn1cc(-c2ncc(C(=O)OCc3n[nH]c(=O)[nH]3)s2)cn1 ZINC000774946113 668852534 /nfs/dbraw/zinc/85/25/34/668852534.db2.gz BEUSCDSDGXPLNG-UHFFFAOYSA-N 0 2 306.307 0.724 20 0 DCADLN CCC(=O)N1CSC[C@H]1C(=O)NCCc1n[nH]c(=S)o1 ZINC000776539273 668877264 /nfs/dbraw/zinc/87/72/64/668877264.db2.gz WQUPQDHQWBCTLD-ZETCQYMHSA-N 0 2 316.408 0.329 20 0 DCADLN CCc1nc(NS(=O)(=O)c2n[nH]c(C)c2C(=O)OC)no1 ZINC000778103653 668894256 /nfs/dbraw/zinc/89/42/56/668894256.db2.gz OMMLUBDUYCAXPM-UHFFFAOYSA-N 0 2 315.311 0.251 20 0 DCADLN CC1(C)C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C(C)(C)O1 ZINC000778132816 668894637 /nfs/dbraw/zinc/89/46/37/668894637.db2.gz NBUVFZBNKJKKTB-JTQLQIEISA-N 0 2 319.365 0.534 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H]2[C@H]2CCCC2=O)S1 ZINC000778405408 668899068 /nfs/dbraw/zinc/89/90/68/668899068.db2.gz MNKIKWIYPDIRPQ-YWVKMMECSA-N 0 2 309.391 0.903 20 0 DCADLN CCS(=O)(=O)c1ccccc1C(=O)NN1CCCNC1=O ZINC000779446637 668911012 /nfs/dbraw/zinc/91/10/12/668911012.db2.gz OHXPPNVXRWQDCK-UHFFFAOYSA-N 0 2 311.363 0.540 20 0 DCADLN NC(=O)NCCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000779520812 668912068 /nfs/dbraw/zinc/91/20/68/668912068.db2.gz VVKOZPKZTBBRIA-UHFFFAOYSA-N 0 2 307.285 0.115 20 0 DCADLN C[C@@H](OC[C@H]1CCCCO1)C(=O)NCCc1n[nH]c(=S)o1 ZINC000779540820 668912417 /nfs/dbraw/zinc/91/24/17/668912417.db2.gz UDTDWMZOAFKTCR-NXEZZACHSA-N 0 2 315.395 0.991 20 0 DCADLN O=C(C[C@H]1Cc2ccccc2O1)NCCCc1n[nH]c(=O)[nH]1 ZINC000879033922 668971805 /nfs/dbraw/zinc/97/18/05/668971805.db2.gz VJFRYSMZTPAMJM-LLVKDONJSA-N 0 2 302.334 0.953 20 0 DCADLN C[C@](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)(C(=O)[O-])C1CC1 ZINC000780511167 668991371 /nfs/dbraw/zinc/99/13/71/668991371.db2.gz NEWADYKUCZEFOP-OAHLLOKOSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)(C(=O)[O-])C1CC1 ZINC000780511167 668991373 /nfs/dbraw/zinc/99/13/73/668991373.db2.gz NEWADYKUCZEFOP-OAHLLOKOSA-N 0 2 313.398 0.650 20 0 DCADLN O=C1CN(Cc2nc(=O)c3sccc3[nH]2)C2(COC2)CN1 ZINC000880163351 669169990 /nfs/dbraw/zinc/16/99/90/669169990.db2.gz JEKYXXXAXWMOQR-UHFFFAOYSA-N 0 2 306.347 0.098 20 0 DCADLN COC(=O)[C@](C)(Cc1ccc(F)cc1)NCc1n[nH]c(=O)[nH]1 ZINC000880390414 669201576 /nfs/dbraw/zinc/20/15/76/669201576.db2.gz RGHGQVCPHCOXFU-AWEZNQCLSA-N 0 2 308.313 0.913 20 0 DCADLN CC(C)(C)[C@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000784571119 669211551 /nfs/dbraw/zinc/21/15/51/669211551.db2.gz HNYLIPDLAZHCKD-JTQLQIEISA-N 0 2 303.366 0.966 20 0 DCADLN Cc1nn(C)cc1Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881354074 669326727 /nfs/dbraw/zinc/32/67/27/669326727.db2.gz IZBXCGJXFAEMDG-UHFFFAOYSA-N 0 2 302.260 0.547 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)OC[C@H]1COC(=O)O1 ZINC000786768123 669328871 /nfs/dbraw/zinc/32/88/71/669328871.db2.gz VULQBGDFGOXXNR-BQBZGAKWSA-N 0 2 313.228 0.768 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)O[C@@H](C)c1nnnn1C ZINC000786776888 669330041 /nfs/dbraw/zinc/33/00/41/669330041.db2.gz LDTLIGXIVJZFGI-BQBZGAKWSA-N 0 2 323.275 0.517 20 0 DCADLN Cc1ccccc1C[C@H](CO)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000787353406 669370838 /nfs/dbraw/zinc/37/08/38/669370838.db2.gz KRAIFSJYFQQIBE-NEPJUHHUSA-N 0 2 321.402 0.571 20 0 DCADLN Cc1ccc(C[C@H](CO)NC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000787379982 669371135 /nfs/dbraw/zinc/37/11/35/669371135.db2.gz FKRPRYWFYPIOBG-VXGBXAGGSA-N 0 2 321.402 0.571 20 0 DCADLN O=c1cc(C[N@@H+]2CCOC[C@@H]2C[C@@H]2CCOC2)nc2cc[n-]n21 ZINC000933672386 669517598 /nfs/dbraw/zinc/51/75/98/669517598.db2.gz HFIXVEXHOKWZMW-JSGCOSHPSA-N 0 2 318.377 0.650 20 0 DCADLN O=c1cc(C[N@H+]2CCOC[C@@H]2C[C@@H]2CCOC2)nc2cc[n-]n21 ZINC000933672386 669517604 /nfs/dbraw/zinc/51/76/04/669517604.db2.gz HFIXVEXHOKWZMW-JSGCOSHPSA-N 0 2 318.377 0.650 20 0 DCADLN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000882676173 669566644 /nfs/dbraw/zinc/56/66/44/669566644.db2.gz GWJQSLCNGLJCOY-ZKCHVHJHSA-N 0 2 305.302 0.976 20 0 DCADLN O=C(C[N@H+]1CC[C@@H]2[C@H]1CCCN2CCO)NCc1ccccc1 ZINC000934258847 669587935 /nfs/dbraw/zinc/58/79/35/669587935.db2.gz NLPRCANNCRNLPI-IAGOWNOFSA-N 0 2 317.433 0.834 20 0 DCADLN Cc1cc2[nH]ncc2cc1NC(=O)CCn1cc[nH]c(=O)c1=O ZINC000791057190 669590324 /nfs/dbraw/zinc/59/03/24/669590324.db2.gz NCOSVBSKSQHSRU-UHFFFAOYSA-N 0 2 313.317 0.750 20 0 DCADLN C[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](C2CC2)O1 ZINC000791839431 669633705 /nfs/dbraw/zinc/63/37/05/669633705.db2.gz KTUKBGNRSTYFBU-JOYOIKCWSA-N 0 2 317.349 0.097 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1ncccc1O ZINC000792609533 669675189 /nfs/dbraw/zinc/67/51/89/669675189.db2.gz ATNRPNRATOGAPY-UHFFFAOYSA-N 0 2 305.256 0.861 20 0 DCADLN c1cnc(C[NH2+][C@@H]2CCCN(CC[NH+]3CCOCC3)C2)cn1 ZINC000883372291 669676343 /nfs/dbraw/zinc/67/63/43/669676343.db2.gz VNRZSOGZWWICIK-OAHLLOKOSA-N 0 2 305.426 0.363 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@@H]1CCO[C@@H]1C ZINC000793432980 669717369 /nfs/dbraw/zinc/71/73/69/669717369.db2.gz XLFGPRTXWCFTDW-MNOVXSKESA-N 0 2 305.334 0.842 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N(CCCO)Cc2ccccn2)S1 ZINC000794355235 669826793 /nfs/dbraw/zinc/82/67/93/669826793.db2.gz SNMZCYBHUHKZTQ-LLVKDONJSA-N 0 2 322.390 0.349 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@H]1CCC[C@H](O)C1 ZINC000795394155 669886966 /nfs/dbraw/zinc/88/69/66/669886966.db2.gz FFHAEWHMTNBTFV-UWVGGRQHSA-N 0 2 306.322 0.166 20 0 DCADLN O=C(c1cnc(C2CC2)o1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948821770 670187314 /nfs/dbraw/zinc/18/73/14/670187314.db2.gz AYAZVRAEDUYMIW-UHFFFAOYSA-N 0 2 318.337 0.334 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1(c2ccccn2)CC1 ZINC000802578488 670195810 /nfs/dbraw/zinc/19/58/10/670195810.db2.gz XPMUFNSFXOTJSO-UHFFFAOYSA-N 0 2 324.344 0.314 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccon1 ZINC000948970942 670228823 /nfs/dbraw/zinc/22/88/23/670228823.db2.gz HDGRZXXXWXRZKX-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ccon1 ZINC000948970942 670228826 /nfs/dbraw/zinc/22/88/26/670228826.db2.gz HDGRZXXXWXRZKX-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccncn1 ZINC000951743956 670353831 /nfs/dbraw/zinc/35/38/31/670353831.db2.gz CPZBWYULIGITLY-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ccncn1 ZINC000951743956 670353836 /nfs/dbraw/zinc/35/38/36/670353836.db2.gz CPZBWYULIGITLY-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN CCOCC(C)(C)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000809360878 670449650 /nfs/dbraw/zinc/44/96/50/670449650.db2.gz CFORJIDNMHFRBI-UHFFFAOYSA-N 0 2 307.354 0.250 20 0 DCADLN CS(=O)(=O)NCCNc1c2ccccc2[nH+]nc1C(=O)[O-] ZINC000081666905 670450291 /nfs/dbraw/zinc/45/02/91/670450291.db2.gz RZYTXIIFJQRJPH-UHFFFAOYSA-N 0 2 310.335 0.289 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC000810515784 670488459 /nfs/dbraw/zinc/48/84/59/670488459.db2.gz UWNUODCJWIZZTA-PRJMDXOYSA-N 0 2 320.308 0.721 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC000810515784 670488463 /nfs/dbraw/zinc/48/84/63/670488463.db2.gz UWNUODCJWIZZTA-PRJMDXOYSA-N 0 2 320.308 0.721 20 0 DCADLN NC(=O)NC(=O)C1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC000810528053 670489149 /nfs/dbraw/zinc/48/91/49/670489149.db2.gz PXIYWLKSVYLSCG-KPGICGJXSA-N 0 2 313.251 0.757 20 0 DCADLN NC(=O)NC(=O)C1CCC(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000810528053 670489151 /nfs/dbraw/zinc/48/91/51/670489151.db2.gz PXIYWLKSVYLSCG-KPGICGJXSA-N 0 2 313.251 0.757 20 0 DCADLN CO[C@@H]1CCC[C@H]1OC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000111973342 670531422 /nfs/dbraw/zinc/53/14/22/670531422.db2.gz XONDODGMVPZGAR-VXGBXAGGSA-N 0 2 305.334 0.984 20 0 DCADLN CCOC(=O)C12CC(C1)CN2C(=O)C[C@@H]1SC(=N)NC1=O ZINC000856250421 670797288 /nfs/dbraw/zinc/79/72/88/670797288.db2.gz OOUUKCOXDDDXLB-DMWDQNTBSA-N 0 2 311.363 0.097 20 0 DCADLN Cc1nn[nH]c1C(=O)N1CC[C@@H](C)[C@@H](NC(=O)C(F)(F)F)C1 ZINC000856417187 670817475 /nfs/dbraw/zinc/81/74/75/670817475.db2.gz KGTUYJPGZNCEHZ-SVRRBLITSA-N 0 2 319.287 0.642 20 0 DCADLN C[C@H](O)[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1ccccc1 ZINC000856432808 670818537 /nfs/dbraw/zinc/81/85/37/670818537.db2.gz IBBZJCHRQSTMTG-MKPLZMMCSA-N 0 2 307.375 0.781 20 0 DCADLN O=C([C@@H]1CCCC12OCCO2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000816542456 670820327 /nfs/dbraw/zinc/82/03/27/670820327.db2.gz PLWNQTKSLAEDGZ-MNOVXSKESA-N 0 2 322.365 0.760 20 0 DCADLN CCOCCn1cc(C(=O)NN2C(=O)N[C@@](C)(CC)C2=O)cn1 ZINC000856736095 670846795 /nfs/dbraw/zinc/84/67/95/670846795.db2.gz CMDSMQOTSMLERN-AWEZNQCLSA-N 0 2 323.353 0.285 20 0 DCADLN CCn1ncn(NC(=O)c2sc([C@@H](C)OC)nc2C)c1=O ZINC000816735938 670853700 /nfs/dbraw/zinc/85/37/00/670853700.db2.gz BVUZOSRZTUGHGR-MRVPVSSYSA-N 0 2 311.367 0.921 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOC[C@H](C3CCC3)C2)S1 ZINC000817042102 670890808 /nfs/dbraw/zinc/89/08/08/670890808.db2.gz SNXGSEXJLZVVAM-MNOVXSKESA-N 0 2 311.407 0.818 20 0 DCADLN O=C(NCCS(=O)(=O)C1CC1)c1cc(F)c(O)c(F)c1 ZINC000857537740 670930935 /nfs/dbraw/zinc/93/09/35/670930935.db2.gz AGSJAIWKLRSTPL-UHFFFAOYSA-N 0 2 305.302 0.977 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2CCc3cccnc32)S1 ZINC000857970017 670965184 /nfs/dbraw/zinc/96/51/84/670965184.db2.gz CCUYVVVYTYSDCN-UWVGGRQHSA-N 0 2 304.375 0.784 20 0 DCADLN CC[C@@H](CO)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858388263 670999200 /nfs/dbraw/zinc/99/92/00/670999200.db2.gz POVYIUYPPZASSP-ZETCQYMHSA-N 0 2 308.256 0.959 20 0 DCADLN CN(C[C@](C)(O)c1ccccc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000819706830 671134104 /nfs/dbraw/zinc/13/41/04/671134104.db2.gz LDHWWHDMXVTFLX-NHYWBVRUSA-N 0 2 321.402 0.909 20 0 DCADLN Cc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)nn1C1CCOCC1 ZINC000859894570 671153071 /nfs/dbraw/zinc/15/30/71/671153071.db2.gz HXPBIQWCRGKIAH-UHFFFAOYSA-N 0 2 307.310 0.724 20 0 DCADLN COCCCn1cc(C(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC000860922768 671250114 /nfs/dbraw/zinc/25/01/14/671250114.db2.gz XDGDLYSETOPYSF-UHFFFAOYSA-N 0 2 311.367 0.564 20 0 DCADLN CO[C@]12CCC[C@@]1(C(=O)NCCc1n[nH]c(=S)o1)CCO2 ZINC000822043874 671304135 /nfs/dbraw/zinc/30/41/35/671304135.db2.gz NQDKBZPASQTTMB-STQMWFEESA-N 0 2 313.379 0.950 20 0 DCADLN O=C([N-]OCc1ccccn1)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000822244420 671311174 /nfs/dbraw/zinc/31/11/74/671311174.db2.gz YWYZDRKXJPRNLA-CABCVRRESA-N 0 2 321.377 0.509 20 0 DCADLN COC(=O)[C@H]1C[C@@H](O)CN1C(=O)c1cc(F)c(O)c(F)c1 ZINC000830361848 671625098 /nfs/dbraw/zinc/62/50/98/671625098.db2.gz UIOJWVYDXNKXSE-GMSGAONNSA-N 0 2 301.245 0.419 20 0 DCADLN O=C(NCC[C@H]1CNC(=O)C1)C1=NN(c2ccccc2)CC1=O ZINC000831943216 671692388 /nfs/dbraw/zinc/69/23/88/671692388.db2.gz FZCRSKBHPCQOMH-LLVKDONJSA-N 0 2 314.345 0.834 20 0 DCADLN Cn1cc(C(=O)CNC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC000832598774 671727612 /nfs/dbraw/zinc/72/76/12/671727612.db2.gz VHEQMZSHHWTHNO-UHFFFAOYSA-N 0 2 320.271 0.176 20 0 DCADLN C[C@H](CS(=O)(=O)c1nc2ccccc2[nH]1)S(C)(=O)=O ZINC000834398606 671758479 /nfs/dbraw/zinc/75/84/79/671758479.db2.gz NNQLTFKCWFTRGQ-MRVPVSSYSA-N 0 2 302.377 0.770 20 0 DCADLN CC[C@@H](C)N(CC(=O)OC)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000836788602 671832429 /nfs/dbraw/zinc/83/24/29/671832429.db2.gz SMAUDOBTIFJQBD-SFYZADRCSA-N 0 2 301.368 0.343 20 0 DCADLN CO[C@@H]1C[C@H](N(C)C(=O)C[C@H]2SC(=N)NC2=O)C12CCC2 ZINC000837015922 671842646 /nfs/dbraw/zinc/84/26/46/671842646.db2.gz ZRZSREWZODPKJY-KXUCPTDWSA-N 0 2 311.407 0.959 20 0 DCADLN CO[C@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)CC(C)C ZINC000842076247 671981003 /nfs/dbraw/zinc/98/10/03/671981003.db2.gz KZOMVMWYFDEDCT-JTQLQIEISA-N 0 2 308.338 0.676 20 0 DCADLN COc1cccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000843878018 672126834 /nfs/dbraw/zinc/12/68/34/672126834.db2.gz KFZQBBDJASVVDW-VIFPVBQESA-N 0 2 303.322 0.934 20 0 DCADLN Cc1[nH]c(C=O)cc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843878605 672127568 /nfs/dbraw/zinc/12/75/68/672127568.db2.gz OJNGXINYSXREKF-SECBINFHSA-N 0 2 303.322 0.979 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2[C@@H]2CCCOC2)S1 ZINC000843924484 672129951 /nfs/dbraw/zinc/12/99/51/672129951.db2.gz QDBPXVBSLOMZFC-MXWKQRLJSA-N 0 2 311.407 0.960 20 0 DCADLN CC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000844090880 672147448 /nfs/dbraw/zinc/14/74/48/672147448.db2.gz APYWMHBBTWILJD-JGVFFNPUSA-N 0 2 315.395 0.779 20 0 DCADLN O=C([O-])COCC(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000844252540 672168834 /nfs/dbraw/zinc/16/88/34/672168834.db2.gz HOOVQCSNGQDGIL-UHFFFAOYSA-N 0 2 307.350 0.789 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)[N-]S(=O)(=O)N1CCCC1 ZINC000845448490 672248893 /nfs/dbraw/zinc/24/88/93/672248893.db2.gz CSZAKNGKTLALFP-UHFFFAOYSA-N 0 2 312.395 0.219 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)C[C@H]1CCCCO1)n1cc[nH+]c1 ZINC000845457735 672249817 /nfs/dbraw/zinc/24/98/17/672249817.db2.gz FXWZUMMUQLJFFQ-VXGBXAGGSA-N 0 2 315.395 0.849 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)C[C@@H]1CCCO1)n1cc[nH+]c1 ZINC000845510112 672254525 /nfs/dbraw/zinc/25/45/25/672254525.db2.gz LOGIJFQUPZZWDY-QWRGUYRKSA-N 0 2 301.368 0.459 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)C[C@H]3CCOC3)CC2)[nH+]c1 ZINC000738682005 685325223 /nfs/dbraw/zinc/32/52/23/685325223.db2.gz WOZYJXBMEUKZDC-GFCCVEGCSA-N 0 2 319.361 0.855 20 0 DCADLN O=C([O-])c1cc(NC(=O)C(=O)NCCn2cc[nH+]c2)ccc1F ZINC000739431672 685328394 /nfs/dbraw/zinc/32/83/94/685328394.db2.gz BCUQQNOKCGVRNM-UHFFFAOYSA-N 0 2 320.280 0.475 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001038016511 693987224 /nfs/dbraw/zinc/98/72/24/693987224.db2.gz HTTFWWFYQCYRDB-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN CCCc1nc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)co1 ZINC000957316893 687028118 /nfs/dbraw/zinc/02/81/18/687028118.db2.gz OFYLXZOBTINCJM-UHFFFAOYSA-N 0 2 320.353 0.409 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1cccs1 ZINC000958138968 687377957 /nfs/dbraw/zinc/37/79/57/687377957.db2.gz NYOSVSPWSVGXIB-VROVMSAKSA-N 0 2 305.363 0.432 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1cccs1 ZINC000958138968 687377963 /nfs/dbraw/zinc/37/79/63/687377963.db2.gz NYOSVSPWSVGXIB-VROVMSAKSA-N 0 2 305.363 0.432 20 0 DCADLN Cc1cc(F)cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038732303 694176771 /nfs/dbraw/zinc/17/67/71/694176771.db2.gz KEMLGPNMAXIEFP-LBPRGKRZSA-N 0 2 319.340 0.962 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccn1 ZINC001038830546 694207475 /nfs/dbraw/zinc/20/74/75/694207475.db2.gz XBMUVCDWXUOMAL-LLVKDONJSA-N 0 2 302.338 0.218 20 0 DCADLN COc1ccsc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038941420 694249760 /nfs/dbraw/zinc/24/97/60/694249760.db2.gz YQGJWXXQKJHPCL-MRVPVSSYSA-N 0 2 323.378 0.585 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cccn1C ZINC000965219105 689376208 /nfs/dbraw/zinc/37/62/08/689376208.db2.gz NCUGVQSZDGXXEY-MNOVXSKESA-N 0 2 318.381 0.489 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cnns1 ZINC000965293531 689399362 /nfs/dbraw/zinc/39/93/62/689399362.db2.gz MMVGVBNYGYXCMX-YUMQZZPRSA-N 0 2 323.382 0.002 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC12CCOCC2 ZINC001039100446 694302692 /nfs/dbraw/zinc/30/26/92/694302692.db2.gz AQUYHDPMAQXUHI-WDEREUQCSA-N 0 2 321.381 0.018 20 0 DCADLN CCN(C(=O)c1cc[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000967801147 690078679 /nfs/dbraw/zinc/07/86/79/690078679.db2.gz KJOWWXOSLPVRBC-VIFPVBQESA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)c1ccn[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000967801147 690078683 /nfs/dbraw/zinc/07/86/83/690078683.db2.gz KJOWWXOSLPVRBC-VIFPVBQESA-N 0 2 322.262 0.983 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cnoc1 ZINC000967971580 690138845 /nfs/dbraw/zinc/13/88/45/690138845.db2.gz UHJGXXAEDLYFHU-MWLCHTKSSA-N 0 2 320.353 0.068 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cnoc1 ZINC000967971580 690138847 /nfs/dbraw/zinc/13/88/47/690138847.db2.gz UHJGXXAEDLYFHU-MWLCHTKSSA-N 0 2 320.353 0.068 20 0 DCADLN Cc1ncc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001006898463 690602017 /nfs/dbraw/zinc/60/20/17/690602017.db2.gz PECLXWCNQDJCBZ-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN O=C(C[C@H]1CCOC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006979083 690614853 /nfs/dbraw/zinc/61/48/53/690614853.db2.gz CNCFNOSOYOYNPH-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN CCc1oncc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008718890 690914826 /nfs/dbraw/zinc/91/48/26/690914826.db2.gz GKOKTDCYBCYLEH-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN CC1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC001008878970 690943817 /nfs/dbraw/zinc/94/38/17/690943817.db2.gz BAURMHONKJBLPR-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1F ZINC001009936656 691134557 /nfs/dbraw/zinc/13/45/57/691134557.db2.gz YGDCOMXWYALADM-SECBINFHSA-N 0 2 305.313 0.654 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001010216509 691190000 /nfs/dbraw/zinc/19/00/00/691190000.db2.gz DCMANKSMSDBTLM-LLVKDONJSA-N 0 2 302.338 0.218 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccnn3C)C2)c1[O-] ZINC001010619995 691327742 /nfs/dbraw/zinc/32/77/42/691327742.db2.gz LPCPVFDRRQQTMT-SNVBAGLBSA-N 0 2 304.354 0.162 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3ccnn3C)C2)c1[O-] ZINC001010619995 691327747 /nfs/dbraw/zinc/32/77/47/691327747.db2.gz LPCPVFDRRQQTMT-SNVBAGLBSA-N 0 2 304.354 0.162 20 0 DCADLN Cc1cccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1F ZINC001014530999 692038621 /nfs/dbraw/zinc/03/86/21/692038621.db2.gz KSOBHJKPRJYCTC-SNVBAGLBSA-N 0 2 319.340 0.962 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001015700319 692401952 /nfs/dbraw/zinc/40/19/52/692401952.db2.gz ULXAKXOLXZWWAJ-ZJUUUORDSA-N 0 2 305.338 0.598 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(F)cc(F)c1 ZINC001016189500 692594868 /nfs/dbraw/zinc/59/48/68/692594868.db2.gz ZJRZWNADXITPML-LLVKDONJSA-N 0 2 323.303 0.793 20 0 DCADLN CC(C)n1cccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016275838 692631349 /nfs/dbraw/zinc/63/13/49/692631349.db2.gz QDOUIYSKCRJPPO-NSHDSACASA-N 0 2 318.381 0.897 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC12CCOCC2 ZINC001016438597 692690115 /nfs/dbraw/zinc/69/01/15/692690115.db2.gz RONUIRRCGYZXSB-GHMZBOCLSA-N 0 2 321.381 0.018 20 0 DCADLN CNC(=O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001017572064 692941200 /nfs/dbraw/zinc/94/12/00/692941200.db2.gz AXJMTKMURKJSHD-KHQFGBGNSA-N 0 2 311.279 0.308 20 0 DCADLN CNC(=O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](F)C(F)(F)F ZINC001017572064 692941204 /nfs/dbraw/zinc/94/12/04/692941204.db2.gz AXJMTKMURKJSHD-KHQFGBGNSA-N 0 2 311.279 0.308 20 0 DCADLN COCC(=O)N1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001019090086 693350989 /nfs/dbraw/zinc/35/09/89/693350989.db2.gz BTBOPJGFNIOYLG-SECBINFHSA-N 0 2 312.263 0.594 20 0 DCADLN COCC(=O)N1CCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001019090086 693350994 /nfs/dbraw/zinc/35/09/94/693350994.db2.gz BTBOPJGFNIOYLG-SECBINFHSA-N 0 2 312.263 0.594 20 0 DCADLN Cc1conc1C(=O)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001073107386 694705478 /nfs/dbraw/zinc/70/54/78/694705478.db2.gz LJVUZIAWMPSZHE-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN Cc1conc1C(=O)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001073107386 694705479 /nfs/dbraw/zinc/70/54/79/694705479.db2.gz LJVUZIAWMPSZHE-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN O=C([C@H]1[C@@H]2CCC[C@@H]21)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075657373 694966604 /nfs/dbraw/zinc/96/66/04/694966604.db2.gz SAYQMSOOTBDOEI-YCGPCKTQSA-N 0 2 317.393 0.589 20 0 DCADLN O=C([C@H]1[C@@H]2CCC[C@@H]21)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075657373 694966605 /nfs/dbraw/zinc/96/66/05/694966605.db2.gz SAYQMSOOTBDOEI-YCGPCKTQSA-N 0 2 317.393 0.589 20 0 DCADLN CCc1cc(C(=O)N(C)CC[NH2+]Cc2cc(C)n(C)n2)n[nH]1 ZINC001691262901 1157623863 /nfs/dbraw/zinc/62/38/63/1157623863.db2.gz WPGIIBRARSAWBY-UHFFFAOYSA-N 0 2 304.398 0.876 20 0 DCADLN CCCOCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001747951994 1158064352 /nfs/dbraw/zinc/06/43/52/1158064352.db2.gz PSBVIVQOVWVSMT-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CCCOCC(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001747951994 1158064359 /nfs/dbraw/zinc/06/43/59/1158064359.db2.gz PSBVIVQOVWVSMT-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CC(C)CC(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001383005630 1159198259 /nfs/dbraw/zinc/19/82/59/1159198259.db2.gz SWFAAIZBPMATET-WCBMZHEXSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)CC(=O)NC[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001383005630 1159198260 /nfs/dbraw/zinc/19/82/60/1159198260.db2.gz SWFAAIZBPMATET-WCBMZHEXSA-N 0 2 316.295 0.868 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001446374355 1159396385 /nfs/dbraw/zinc/39/63/85/1159396385.db2.gz QIROQIYQDQXLNS-LLVKDONJSA-N 0 2 311.386 0.214 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446531418 1159456654 /nfs/dbraw/zinc/45/66/54/1159456654.db2.gz SGZUXGXZEUOKAA-IINYFYTJSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446531418 1159456668 /nfs/dbraw/zinc/45/66/68/1159456668.db2.gz SGZUXGXZEUOKAA-IINYFYTJSA-N 0 2 311.386 0.406 20 0 DCADLN CC(=O)CCCC(=O)N(C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001446670042 1159498075 /nfs/dbraw/zinc/49/80/75/1159498075.db2.gz MMPMOPCNJLLQIC-CQSZACIVSA-N 0 2 320.393 0.771 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001447514955 1159654581 /nfs/dbraw/zinc/65/45/81/1159654581.db2.gz AXISGBZFJUMSDN-OOZYFLPDSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)[nH]1 ZINC001447514955 1159654582 /nfs/dbraw/zinc/65/45/82/1159654582.db2.gz AXISGBZFJUMSDN-OOZYFLPDSA-N 0 2 322.262 0.996 20 0 DCADLN COC(=O)c1cccc(C(=O)NCCc2n[nH]c(=S)o2)n1 ZINC001567852514 1160501144 /nfs/dbraw/zinc/50/11/44/1160501144.db2.gz FUYTYUMGQCACDP-UHFFFAOYSA-N 0 2 308.319 0.512 20 0 DCADLN Cn1ncc2c1C[C@@H](C(=O)NCCc1n[nH]c(=S)o1)CC2 ZINC001567853205 1160501741 /nfs/dbraw/zinc/50/17/41/1160501741.db2.gz UGGWLSVYHMSBGG-QMMMGPOBSA-N 0 2 307.379 0.556 20 0 DCADLN CC(C)(CNS(=O)(=O)c1n[nH]cc1C(=O)[O-])n1cc[nH+]c1 ZINC001573482160 1163446913 /nfs/dbraw/zinc/44/69/13/1163446913.db2.gz PFRGYBUMKUQSHV-UHFFFAOYSA-N 0 2 313.339 0.018 20 0 DCADLN CC(C)C[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)c1nc(C(=O)[O-])n[nH]1 ZINC001573516624 1163457142 /nfs/dbraw/zinc/45/71/42/1163457142.db2.gz ZOUYOZPBTJDOQA-ZJUUUORDSA-N 0 2 309.370 0.801 20 0 DCADLN CC(C)C[N@H+]1CCC[C@H]1C(=O)N[C@H](C)c1nc(C(=O)[O-])n[nH]1 ZINC001573516624 1163457145 /nfs/dbraw/zinc/45/71/45/1163457145.db2.gz ZOUYOZPBTJDOQA-ZJUUUORDSA-N 0 2 309.370 0.801 20 0 DCADLN C[C@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)c1nc(C(=O)[O-])n[nH]1 ZINC001573561570 1163501609 /nfs/dbraw/zinc/50/16/09/1163501609.db2.gz HUFWGNXLZIBLKU-QMMMGPOBSA-N 0 2 318.337 0.456 20 0 DCADLN CCNC(=O)C[N@H+](CC)Cc1cnc2c(C(=O)[O-])cnn2c1 ZINC001573778024 1163550824 /nfs/dbraw/zinc/55/08/24/1163550824.db2.gz LUOMJDDWNAHJHB-UHFFFAOYSA-N 0 2 305.338 0.386 20 0 DCADLN CCNC(=O)C[N@@H+](CC)Cc1cnc2c(C(=O)[O-])cnn2c1 ZINC001573778024 1163550830 /nfs/dbraw/zinc/55/08/30/1163550830.db2.gz LUOMJDDWNAHJHB-UHFFFAOYSA-N 0 2 305.338 0.386 20 0 DCADLN CCc1ncc(C[NH+]2CCC(C(=O)[O-])([S@@](C)=O)CC2)cn1 ZINC001573837185 1163563427 /nfs/dbraw/zinc/56/34/27/1163563427.db2.gz FGVHTEJPIMLAFY-OAQYLSRUSA-N 0 2 311.407 0.837 20 0 DCADLN COC(=O)[C@H](Cc1cnn[nH]1)NC(=O)c1ccc(O)cc1F ZINC001576913696 1164530019 /nfs/dbraw/zinc/53/00/19/1164530019.db2.gz QIYAZVSWOMOHPF-NSHDSACASA-N 0 2 308.269 0.164 20 0 DCADLN O=S(=O)(N[C@@H](CO)c1c[nH]nn1)c1c(F)cccc1F ZINC001578048532 1164907647 /nfs/dbraw/zinc/90/76/47/1164907647.db2.gz OZTHVUOGJUAUDQ-VIFPVBQESA-N 0 2 304.278 0.095 20 0 DCADLN CCO[C@H]1CCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001578383396 1164994052 /nfs/dbraw/zinc/99/40/52/1164994052.db2.gz PCSIZTQKBCTUQD-VIFPVBQESA-N 0 2 318.337 0.527 20 0 DCADLN CO[C@@H]1CC[C@@H]1N(C)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001579614236 1165359913 /nfs/dbraw/zinc/35/99/13/1165359913.db2.gz DGQBCSNGWOXYGH-VHSXEESVSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCOCC1(C)C ZINC001580599761 1165651107 /nfs/dbraw/zinc/65/11/07/1165651107.db2.gz DYFYTBXPARLFJQ-UHFFFAOYSA-N 0 2 318.337 0.527 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H]1CC[C@H](C)O1 ZINC001580604242 1165652758 /nfs/dbraw/zinc/65/27/58/1165652758.db2.gz OZHBKKISDZDUSW-IUCAKERBSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CCO[C@@H](C)C1 ZINC001580602700 1165653445 /nfs/dbraw/zinc/65/34/45/1165653445.db2.gz RIYFAVHLKGTGCB-IUCAKERBSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCc1ccco1 ZINC001580605742 1165654114 /nfs/dbraw/zinc/65/41/14/1165654114.db2.gz HCSYEWIVLSVXHU-UHFFFAOYSA-N 0 2 314.305 0.841 20 0 DCADLN O=C(Cc1n[nH]c2c1CCCC2)Nc1n[nH]cc1-c1nn[nH]n1 ZINC001582179437 1165978146 /nfs/dbraw/zinc/97/81/46/1165978146.db2.gz BBLXSJJSYRKDSM-UHFFFAOYSA-N 0 2 313.325 0.373 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)[C@@H]1Cc2ccccc21 ZINC001582198242 1165981371 /nfs/dbraw/zinc/98/13/71/1165981371.db2.gz RVRLVGMUERIFOK-LLVKDONJSA-N 0 2 308.301 0.332 20 0 DCADLN C[C@@H]1CCCC[C@]1(CNC(=O)C(N)=O)NC(=O)C(C)(F)F ZINC001582666134 1166062966 /nfs/dbraw/zinc/06/29/66/1166062966.db2.gz BYBRVTOKMWRVLI-AMIZOPFISA-N 0 2 305.325 0.308 20 0 DCADLN CNC(=O)CC(=O)NC[C@@](C)(NC(=O)[C@@H](C)C(C)C)C1CC1 ZINC001582663462 1166063303 /nfs/dbraw/zinc/06/33/03/1166063303.db2.gz MDNRGPGDAJVQAN-MEDUHNTESA-N 0 2 311.426 0.816 20 0 DCADLN O=C([C@@H]1C[C@H]1c1cccc(-c2nn[nH]n2)c1)N1CC[N@H+]2CC[C@H]1C2 ZINC001582662506 1166063449 /nfs/dbraw/zinc/06/34/49/1166063449.db2.gz YQGHBMWQXSDZOM-SOUVJXGZSA-N 0 2 324.388 0.887 20 0 DCADLN O=C([C@@H]1C[C@H]1c1cccc(-c2nn[nH]n2)c1)N1CCN2CC[C@H]1C2 ZINC001582662506 1166063453 /nfs/dbraw/zinc/06/34/53/1166063453.db2.gz YQGHBMWQXSDZOM-SOUVJXGZSA-N 0 2 324.388 0.887 20 0 DCADLN C[C@@](CNC(=O)CC(N)=O)(NC(=O)C1CC2(CC2)C1)C1CC1 ZINC001582659466 1166063554 /nfs/dbraw/zinc/06/35/54/1166063554.db2.gz QXUFKRCLRKWTDG-HNNXBMFYSA-N 0 2 307.394 0.453 20 0 DCADLN C[C@@H](C(=O)NC[C@@](C)(NC(=O)C(N)=O)C1CC1)C(F)(F)F ZINC001582658516 1166063597 /nfs/dbraw/zinc/06/35/97/1166063597.db2.gz FXTAIERMHISXNC-UPONEAKYSA-N 0 2 309.288 0.071 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@H](O)c1ccc(F)cc1 ZINC001582806519 1166087237 /nfs/dbraw/zinc/08/72/37/1166087237.db2.gz MWESOAZNZCZKDN-JTQLQIEISA-N 0 2 318.268 0.002 20 0 DCADLN CC(C)[C@@]1(C)C[C@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589489848 1166661956 /nfs/dbraw/zinc/66/19/56/1166661956.db2.gz DDJZUEXFBOZHSN-FUXBKTLASA-N 0 2 305.342 0.513 20 0 DCADLN C[C@@H]1CCC[C@H](C)N1C(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001590243114 1166827797 /nfs/dbraw/zinc/82/77/97/1166827797.db2.gz IZSIYBSKKADWSQ-AOOOYVTPSA-N 0 2 317.353 0.213 20 0 DCADLN C[C@H]1CN(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)CCO1 ZINC001590311986 1166856923 /nfs/dbraw/zinc/85/69/23/1166856923.db2.gz ZFOSWQOFKGBHQY-JTQLQIEISA-N 0 2 316.365 0.195 20 0 DCADLN CCC(C)(C)[C@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590373336 1166892403 /nfs/dbraw/zinc/89/24/03/1166892403.db2.gz NOZYUDLVESLCLU-QMMMGPOBSA-N 0 2 321.385 0.501 20 0 DCADLN CCC[C@H](CC)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590553306 1166941683 /nfs/dbraw/zinc/94/16/83/1166941683.db2.gz CFOLTMVJYDWUKH-QMMMGPOBSA-N 0 2 307.358 0.255 20 0 DCADLN CCCCCNC(=O)Cn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001590599517 1166948435 /nfs/dbraw/zinc/94/84/35/1166948435.db2.gz ZNYDFXDAVZPBFH-UHFFFAOYSA-N 0 2 304.354 0.643 20 0 DCADLN CCOC(=O)[C@@H]1C[C@H]1Cn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001590770712 1167015546 /nfs/dbraw/zinc/01/55/46/1167015546.db2.gz IHTKRLXBDVSXKS-VHSXEESVSA-N 0 2 303.322 0.536 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCC(C)(C)OC)c1=O ZINC001590949836 1167056955 /nfs/dbraw/zinc/05/69/55/1167056955.db2.gz JOTFVOBKNPPOIA-UHFFFAOYSA-N 0 2 308.342 0.025 20 0 DCADLN COC(OC)[C@H](C)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001591336039 1167219122 /nfs/dbraw/zinc/21/91/22/1167219122.db2.gz ZWSDHPYIMOSMOG-ZETCQYMHSA-N 0 2 322.325 0.013 20 0 DCADLN CONC(=O)CNc1ccc(-c2nn[nH]n2)c(C(F)(F)F)c1 ZINC001591466432 1167298816 /nfs/dbraw/zinc/29/88/16/1167298816.db2.gz KYTUQTLPSSIURJ-UHFFFAOYSA-N 0 2 316.243 0.975 20 0 DCADLN COc1coc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)cc1=O ZINC001591659931 1167344451 /nfs/dbraw/zinc/34/44/51/1167344451.db2.gz VVXQUHSAFQVQPN-UHFFFAOYSA-N 0 2 315.289 0.347 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CCCCF)c(=O)n1C1CC1 ZINC001591794052 1167382209 /nfs/dbraw/zinc/38/22/09/1167382209.db2.gz WFDNJTTVVJRJST-UHFFFAOYSA-N 0 2 308.317 0.583 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](CO)C(C)(C)C ZINC001591834844 1167391616 /nfs/dbraw/zinc/39/16/16/1167391616.db2.gz TTXOXQWVYQBPPN-VIFPVBQESA-N 0 2 320.353 0.413 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H](C)Cn1cncn1 ZINC001592498631 1167539949 /nfs/dbraw/zinc/53/99/49/1167539949.db2.gz BDGZBDBYDJTXKJ-SSDOTTSWSA-N 0 2 302.298 0.178 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H](C)Cn2ncnc21 ZINC001592496813 1167540042 /nfs/dbraw/zinc/54/00/42/1167540042.db2.gz LMTRWHMEBKYOSN-SSDOTTSWSA-N 0 2 314.309 0.656 20 0 DCADLN Cn1ncc(-c2nn[nH]n2)c1NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001592598045 1167578305 /nfs/dbraw/zinc/57/83/05/1167578305.db2.gz YTURISVPDAYUOA-MRVPVSSYSA-N 0 2 316.329 0.182 20 0 DCADLN O=C(NC1(c2nnc[nH]2)CCC1)c1ccnc(-c2nn[nH]n2)c1 ZINC001592962364 1167674995 /nfs/dbraw/zinc/67/49/95/1167674995.db2.gz KKLOLMHADLCSNR-UHFFFAOYSA-N 0 2 311.309 0.189 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(Cl)c(-c2nn[nH]n2)c1 ZINC001593152236 1167725375 /nfs/dbraw/zinc/72/53/75/1167725375.db2.gz FEWJEAMNTKWEOJ-UHFFFAOYSA-N 0 2 320.700 0.274 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@H]1CCC2(CCC2)CO1 ZINC001593494197 1167877741 /nfs/dbraw/zinc/87/77/41/1167877741.db2.gz JPSQAWZEOMWFFJ-SNVBAGLBSA-N 0 2 302.338 0.773 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@@H]1NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001595171643 1167969332 /nfs/dbraw/zinc/96/93/32/1167969332.db2.gz MDQKMHQENVDQOD-UPJWGTAASA-N 0 2 311.382 0.356 20 0 DCADLN COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2ccsc2C(=O)[O-])C1 ZINC001598800077 1168042487 /nfs/dbraw/zinc/04/24/87/1168042487.db2.gz SIOPIPFRGSSDCZ-MRVPVSSYSA-N 0 2 312.347 0.880 20 0 DCADLN COC(=O)CNC(=O)[C@H](C)[NH2+][C@H](C)c1ncc(C(=O)[O-])s1 ZINC001598865028 1168050743 /nfs/dbraw/zinc/05/07/43/1168050743.db2.gz OCWJADSUFWUXEY-NKWVEPMBSA-N 0 2 315.351 0.170 20 0 DCADLN Cc1cc(C)c(CNC(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])c[nH+]1 ZINC001600159982 1168129381 /nfs/dbraw/zinc/12/93/81/1168129381.db2.gz LKNIGOZUQQGONO-DGCLKSJQSA-N 0 2 307.350 0.987 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@H](NS(C)(=O)=O)C2)[nH]c1C(=O)[O-] ZINC001600193268 1168135276 /nfs/dbraw/zinc/13/52/76/1168135276.db2.gz JUQFSYCDRSIZIP-SECBINFHSA-N 0 2 301.368 0.145 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@@H](NS(C)(=O)=O)C2)[nH]c1C(=O)[O-] ZINC001600193268 1168135291 /nfs/dbraw/zinc/13/52/91/1168135291.db2.gz JUQFSYCDRSIZIP-SECBINFHSA-N 0 2 301.368 0.145 20 0 DCADLN Cc1cc(Cn2nnnc2N2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)cc(C)[nH+]1 ZINC001600222454 1168139985 /nfs/dbraw/zinc/13/99/85/1168139985.db2.gz KQASWHTUDUIIAD-ITGUQSILSA-N 0 2 314.349 0.500 20 0 DCADLN Cc1ccc(NC(=O)N2CC[C@@](O)(C(=O)[O-])C2)c(N(C)C)[nH+]1 ZINC001600449866 1168186921 /nfs/dbraw/zinc/18/69/21/1168186921.db2.gz IRIFXMSVSMKIRX-AWEZNQCLSA-N 0 2 308.338 0.509 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001600579478 1168202177 /nfs/dbraw/zinc/20/21/77/1168202177.db2.gz FULPLZDTYLEFML-ZIAGYGMSSA-N 0 2 306.362 0.174 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001600579478 1168202183 /nfs/dbraw/zinc/20/21/83/1168202183.db2.gz FULPLZDTYLEFML-ZIAGYGMSSA-N 0 2 306.362 0.174 20 0 DCADLN Cc1nc(C[NH2+]C/C=C/CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])oc1C ZINC001600701676 1168258767 /nfs/dbraw/zinc/25/87/67/1168258767.db2.gz IXMVBHKWQJVIQE-LXYZTQFVSA-N 0 2 307.350 0.774 20 0 DCADLN Cc1nn(CC(=O)[O-])c(C)c1CNC(=O)CCn1cc[nH+]c1 ZINC001600781716 1168295676 /nfs/dbraw/zinc/29/56/76/1168295676.db2.gz YMGNWMLAUDGOCV-UHFFFAOYSA-N 0 2 305.338 0.488 20 0 DCADLN Cc1oc(C[NH+]2CCN(c3nncn3C)CC2)cc1C(=O)[O-] ZINC001600844892 1168481909 /nfs/dbraw/zinc/48/19/09/1168481909.db2.gz YDWSVOXXXWGKHG-UHFFFAOYSA-N 0 2 305.338 0.737 20 0 DCADLN Cn1c2ccncc2n(C[N@@H+]2CCSC[C@H](C(=O)[O-])C2)c1=O ZINC001600897036 1168498190 /nfs/dbraw/zinc/49/81/90/1168498190.db2.gz CLWBGFAKPAPDHJ-SNVBAGLBSA-N 0 2 322.390 0.442 20 0 DCADLN Cn1c2ccncc2n(C[N@H+]2CCSC[C@H](C(=O)[O-])C2)c1=O ZINC001600897036 1168498197 /nfs/dbraw/zinc/49/81/97/1168498197.db2.gz CLWBGFAKPAPDHJ-SNVBAGLBSA-N 0 2 322.390 0.442 20 0 DCADLN Cn1cc(C[NH+]2CCC(C)(NC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)nn1 ZINC001600951141 1168528649 /nfs/dbraw/zinc/52/86/49/1168528649.db2.gz ATBMLUBTMHUAQY-NWDGAFQWSA-N 0 2 321.381 0.007 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)C12CCC(C(=O)[O-])(CC1)CC2 ZINC001600993428 1168550691 /nfs/dbraw/zinc/55/06/91/1168550691.db2.gz NMJZGFWIFOAGTI-SOISORPOSA-N 0 2 321.377 0.995 20 0 DCADLN O=C([O-])c1ncoc1CCC(=O)N[C@@H]1CCc2c[nH+]cn2C1 ZINC001601297424 1168694089 /nfs/dbraw/zinc/69/40/89/1168694089.db2.gz HQUMJNBAEQSPHE-SECBINFHSA-N 0 2 304.306 0.633 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001601372428 1168723003 /nfs/dbraw/zinc/72/30/03/1168723003.db2.gz GOWKZKRNPCVBBZ-QMTHXVAHSA-N 0 2 307.350 0.368 20 0 DCADLN O=C([O-])c1cc(C(=O)NC2CC[NH+]([C@H]3CCOC3=O)CC2)c[nH]1 ZINC001601441905 1168748233 /nfs/dbraw/zinc/74/82/33/1168748233.db2.gz RJGJSDBPKZIMBO-LBPRGKRZSA-N 0 2 321.333 0.223 20 0 DCADLN O=C([O-])C1CCC(NC(=O)C(=O)NCCCn2cc[nH+]c2)CC1 ZINC001601500626 1168766077 /nfs/dbraw/zinc/76/60/77/1168766077.db2.gz GTQFFYZRCPRYGF-UHFFFAOYSA-N 0 2 322.365 0.149 20 0 DCADLN O=C([O-])[C@@H](F)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001601519883 1168773038 /nfs/dbraw/zinc/77/30/38/1168773038.db2.gz IQTBSSZSXXZCOM-STQMWFEESA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](F)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001601519883 1168773046 /nfs/dbraw/zinc/77/30/46/1168773046.db2.gz IQTBSSZSXXZCOM-STQMWFEESA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001601650753 1168804600 /nfs/dbraw/zinc/80/46/00/1168804600.db2.gz QUEHFTBYQFYCHK-CHWSQXEVSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001601650753 1168804617 /nfs/dbraw/zinc/80/46/17/1168804617.db2.gz QUEHFTBYQFYCHK-CHWSQXEVSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2C[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC001601756117 1168852720 /nfs/dbraw/zinc/85/27/20/1168852720.db2.gz BTHQZTXDNTVLEM-UDZFHETQSA-N 0 2 302.334 0.708 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2C[N@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC001601756117 1168852730 /nfs/dbraw/zinc/85/27/30/1168852730.db2.gz BTHQZTXDNTVLEM-UDZFHETQSA-N 0 2 302.334 0.708 20 0 DCADLN O=C([O-])C[C@H]1CCCN1C(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001601950668 1168944897 /nfs/dbraw/zinc/94/48/97/1168944897.db2.gz MGYGCWHMEJBQEJ-LLVKDONJSA-N 0 2 316.317 0.739 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)C2(c3ccccc3)CC(=O)C2)CC1 ZINC001602063547 1168981689 /nfs/dbraw/zinc/98/16/89/1168981689.db2.gz YGGSGPWIGIFNOW-UHFFFAOYSA-N 0 2 316.357 0.516 20 0 DCADLN O=C([O-])c1cc(C[N@@H+]2CCC[C@H]3OCCNC(=O)[C@H]32)cs1 ZINC001602255548 1169044179 /nfs/dbraw/zinc/04/41/79/1169044179.db2.gz LLVFROCZYNOBNE-PWSUYJOCSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1cc(C[N@H+]2CCC[C@H]3OCCNC(=O)[C@H]32)cs1 ZINC001602255548 1169044190 /nfs/dbraw/zinc/04/41/90/1169044190.db2.gz LLVFROCZYNOBNE-PWSUYJOCSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1ccc(C[NH+]2CCN(c3nc[nH]n3)CC2)c(F)c1 ZINC001602338382 1169062835 /nfs/dbraw/zinc/06/28/35/1169062835.db2.gz CSIVAPQGKWPEGM-UHFFFAOYSA-N 0 2 305.313 0.964 20 0 DCADLN O=C([O-])c1ccccc1CS(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC001602469188 1169082444 /nfs/dbraw/zinc/08/24/44/1169082444.db2.gz INUWQFGQTPFDEB-UHFFFAOYSA-N 0 2 321.358 0.968 20 0 DCADLN O=C([O-])c1cn(C2CCN(C(=O)Cn3cc[nH+]c3)CC2)nn1 ZINC001602496533 1169095635 /nfs/dbraw/zinc/09/56/35/1169095635.db2.gz PWMBSACXUJHONQ-UHFFFAOYSA-N 0 2 304.310 0.037 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)cn1 ZINC001602519979 1169104779 /nfs/dbraw/zinc/10/47/79/1169104779.db2.gz FLCSDDOTSOQTSH-MRVPVSSYSA-N 0 2 305.294 0.643 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)cn1 ZINC001602519979 1169104798 /nfs/dbraw/zinc/10/47/98/1169104798.db2.gz FLCSDDOTSOQTSH-MRVPVSSYSA-N 0 2 305.294 0.643 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)cn1 ZINC001602519979 1169104802 /nfs/dbraw/zinc/10/48/02/1169104802.db2.gz FLCSDDOTSOQTSH-MRVPVSSYSA-N 0 2 305.294 0.643 20 0 DCADLN O=C([O-])c1coc(/C=C/C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)c1 ZINC001602553992 1169115135 /nfs/dbraw/zinc/11/51/35/1169115135.db2.gz RVXQEXOYPHLDQV-AORQRIRUSA-N 0 2 316.317 0.887 20 0 DCADLN C[C@@H](C(=O)NCCc1ccccc1)[N@@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001603165914 1169263305 /nfs/dbraw/zinc/26/33/05/1169263305.db2.gz HPNMFZSXEHHUST-IPYPFGDCSA-N 0 2 320.389 0.908 20 0 DCADLN C[C@@H](C(=O)NCCc1ccccc1)[N@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001603165914 1169263309 /nfs/dbraw/zinc/26/33/09/1169263309.db2.gz HPNMFZSXEHHUST-IPYPFGDCSA-N 0 2 320.389 0.908 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(F)cc1F)[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001603178778 1169267662 /nfs/dbraw/zinc/26/76/62/1169267662.db2.gz CVZABTFMLMDILE-RTHLEPHNSA-N 0 2 314.288 0.813 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(F)cc1F)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001603178778 1169267669 /nfs/dbraw/zinc/26/76/69/1169267669.db2.gz CVZABTFMLMDILE-RTHLEPHNSA-N 0 2 314.288 0.813 20 0 DCADLN C[C@@H](CC1CCC1)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603464778 1169308957 /nfs/dbraw/zinc/30/89/57/1169308957.db2.gz RUOKXBRIMOQXII-ZETCQYMHSA-N 0 2 305.342 0.657 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nn1C ZINC001603989976 1169465698 /nfs/dbraw/zinc/46/56/98/1169465698.db2.gz NSSXFMFOQFKIIY-LLVKDONJSA-N 0 2 305.338 0.692 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nn1C ZINC001603989976 1169465703 /nfs/dbraw/zinc/46/57/03/1169465703.db2.gz NSSXFMFOQFKIIY-LLVKDONJSA-N 0 2 305.338 0.692 20 0 DCADLN CC[C@@H](CSC)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001604038872 1169477222 /nfs/dbraw/zinc/47/72/22/1169477222.db2.gz BGLRIRXZFMXTFA-LURJTMIESA-N 0 2 311.371 0.220 20 0 DCADLN C[C@@H](CC(=O)N1CCc2[nH]nc(C(=O)[O-])c2C1)n1cc[nH+]c1 ZINC001604065038 1169484785 /nfs/dbraw/zinc/48/47/85/1169484785.db2.gz NTRRNMKQXAGIOX-VIFPVBQESA-N 0 2 303.322 0.840 20 0 DCADLN CC[C@@H]1CCN1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001604109659 1169494039 /nfs/dbraw/zinc/49/40/39/1169494039.db2.gz VOLABDWYVSFSEQ-LLVKDONJSA-N 0 2 300.366 0.959 20 0 DCADLN CCC[C@H](O)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604148245 1169505813 /nfs/dbraw/zinc/50/58/13/1169505813.db2.gz YRZGEBRAXVMHKX-QMMMGPOBSA-N 0 2 306.326 0.167 20 0 DCADLN C[C@](CO)(NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC001604193063 1169520169 /nfs/dbraw/zinc/52/01/69/1169520169.db2.gz CGMBCSWRTCLKOI-OAHLLOKOSA-N 0 2 303.318 0.367 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)N1CC[NH+](CC[S@@](C)=O)CC1 ZINC001604476392 1169600136 /nfs/dbraw/zinc/60/01/36/1169600136.db2.gz BJMOCWRWEUSAHV-CHNSCGDPSA-N 0 2 315.395 0.430 20 0 DCADLN CC1(C)[C@H](O)CC[N@@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001604508686 1169607612 /nfs/dbraw/zinc/60/76/12/1169607612.db2.gz LTRQTQDOLGEYCY-SECBINFHSA-N 0 2 313.379 0.554 20 0 DCADLN CC1(C)[C@H](O)CC[N@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001604508686 1169607616 /nfs/dbraw/zinc/60/76/16/1169607616.db2.gz LTRQTQDOLGEYCY-SECBINFHSA-N 0 2 313.379 0.554 20 0 DCADLN CC1(C)OC[C@H](C=CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])O1 ZINC001604561842 1169617151 /nfs/dbraw/zinc/61/71/51/1169617151.db2.gz MATVUMNLANGOBS-WZQADMAKSA-N 0 2 309.322 0.229 20 0 DCADLN CC1(C)OC[C@H](/C=C\C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])O1 ZINC001604561842 1169617165 /nfs/dbraw/zinc/61/71/65/1169617165.db2.gz MATVUMNLANGOBS-WZQADMAKSA-N 0 2 309.322 0.229 20 0 DCADLN C[C@@H]1CCn2cc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])nc2C1 ZINC001604766567 1169674215 /nfs/dbraw/zinc/67/42/15/1169674215.db2.gz IAGJBZVBVAQEIJ-PWSUYJOCSA-N 0 2 317.349 0.545 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CCN(c2cccc(F)c2)C[C@@H]1C ZINC001604813816 1169692451 /nfs/dbraw/zinc/69/24/51/1169692451.db2.gz UYJZINLVNJBYFU-NWDGAFQWSA-N 0 2 323.368 0.926 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CCN(c2cccc(F)c2)C[C@@H]1C ZINC001604813816 1169692457 /nfs/dbraw/zinc/69/24/57/1169692457.db2.gz UYJZINLVNJBYFU-NWDGAFQWSA-N 0 2 323.368 0.926 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CCO[C@H]2C)c1 ZINC001604964652 1169765656 /nfs/dbraw/zinc/76/56/56/1169765656.db2.gz YRNTVSVVBWCTDG-DTWKUNHWSA-N 0 2 319.321 0.240 20 0 DCADLN CCNc1nc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cs1 ZINC001605502846 1169940368 /nfs/dbraw/zinc/94/03/68/1169940368.db2.gz OEFIPWBKVFMXNU-MRVPVSSYSA-N 0 2 309.351 0.655 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC(C)(C)CO ZINC001605509157 1169942884 /nfs/dbraw/zinc/94/28/84/1169942884.db2.gz AYNARVHJLUWVLJ-UHFFFAOYSA-N 0 2 306.326 0.024 20 0 DCADLN Cc1ccn(C[N@@H+]2CC[C@]3(CCOC3)C2)c(=O)c1-c1nnn[n-]1 ZINC001605948960 1170031314 /nfs/dbraw/zinc/03/13/14/1170031314.db2.gz JUHSLUWZHBWDCF-HNNXBMFYSA-N 0 2 316.365 0.407 20 0 DCADLN Cc1ccn(C[N@H+]2CC[C@]3(CCOC3)C2)c(=O)c1-c1nnn[n-]1 ZINC001605948960 1170031323 /nfs/dbraw/zinc/03/13/23/1170031323.db2.gz JUHSLUWZHBWDCF-HNNXBMFYSA-N 0 2 316.365 0.407 20 0 DCADLN Cc1ccn(CN(C)Cc2cscn2)c(=O)c1-c1nn[nH]n1 ZINC001605949894 1170033426 /nfs/dbraw/zinc/03/34/26/1170033426.db2.gz UDERNJCIPXTNLI-UHFFFAOYSA-N 0 2 317.378 0.883 20 0 DCADLN Cc1ccn(Cc2ncnn2C(C)C)c(=O)c1-c1nn[nH]n1 ZINC001605952744 1170035246 /nfs/dbraw/zinc/03/52/46/1170035246.db2.gz FJOQYJAXDPEDFH-UHFFFAOYSA-N 0 2 300.326 0.558 20 0 DCADLN CN(C)C(=O)c1ccc(C[N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)[nH]1 ZINC001606006103 1170048193 /nfs/dbraw/zinc/04/81/93/1170048193.db2.gz KRXWMCVENCVYOI-HNNXBMFYSA-N 0 2 320.349 0.522 20 0 DCADLN CN(C)C(=O)c1ccc(C[N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)[nH]1 ZINC001606006103 1170048201 /nfs/dbraw/zinc/04/82/01/1170048201.db2.gz KRXWMCVENCVYOI-HNNXBMFYSA-N 0 2 320.349 0.522 20 0 DCADLN CN(C)c1noc(C[N@@H+]2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)n1 ZINC001606070138 1170069788 /nfs/dbraw/zinc/06/97/88/1170069788.db2.gz IHSKWUXRUMBEDD-SNVBAGLBSA-N 0 2 308.260 0.975 20 0 DCADLN CN(C)c1noc(C[N@H+]2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)n1 ZINC001606070138 1170069792 /nfs/dbraw/zinc/06/97/92/1170069792.db2.gz IHSKWUXRUMBEDD-SNVBAGLBSA-N 0 2 308.260 0.975 20 0 DCADLN Cc1nn(Cc2cn3cccnc3n2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606115898 1170081323 /nfs/dbraw/zinc/08/13/23/1170081323.db2.gz HURXRHAUKAONSW-UHFFFAOYSA-N 0 2 323.320 0.131 20 0 DCADLN Cc1nn(Cc2cc3n(n2)CCC3)c(=O)c(-c2nn[nH]n2)c1C ZINC001606116323 1170082038 /nfs/dbraw/zinc/08/20/38/1170082038.db2.gz VFHHZPSSAOWINK-UHFFFAOYSA-N 0 2 312.337 0.231 20 0 DCADLN Cc1nonc1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001606138672 1170092619 /nfs/dbraw/zinc/09/26/19/1170092619.db2.gz WNOWZGXWGISNSZ-UHFFFAOYSA-N 0 2 313.321 0.647 20 0 DCADLN CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC001606278519 1170147018 /nfs/dbraw/zinc/14/70/18/1170147018.db2.gz YPSHOJONAIWBFR-LBPRGKRZSA-N 0 2 320.349 0.327 20 0 DCADLN CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC001606278519 1170147021 /nfs/dbraw/zinc/14/70/21/1170147021.db2.gz YPSHOJONAIWBFR-LBPRGKRZSA-N 0 2 320.349 0.327 20 0 DCADLN COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1C[C@@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606378634 1170175069 /nfs/dbraw/zinc/17/50/69/1170175069.db2.gz SXIPFFJXFNEAKN-MDZLAQPJSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1C[C@@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606378634 1170175072 /nfs/dbraw/zinc/17/50/72/1170175072.db2.gz SXIPFFJXFNEAKN-MDZLAQPJSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1CCOC(=O)N(C)C ZINC001606388081 1170177726 /nfs/dbraw/zinc/17/77/26/1170177726.db2.gz YNFUXCTWEOJMDT-NXEZZACHSA-N 0 2 302.327 0.023 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1CCOC(=O)N(C)C ZINC001606388081 1170177733 /nfs/dbraw/zinc/17/77/33/1170177733.db2.gz YNFUXCTWEOJMDT-NXEZZACHSA-N 0 2 302.327 0.023 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)[C@@H](C(=O)[O-])C1 ZINC001606404389 1170187206 /nfs/dbraw/zinc/18/72/06/1170187206.db2.gz TZWLGZBLSZQLND-QJPTWQEYSA-N 0 2 323.349 0.699 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2nccn2CC(F)F)C[C@H]1C(=O)[O-] ZINC001606431460 1170198665 /nfs/dbraw/zinc/19/86/65/1170198665.db2.gz KSQIUKSNQXKQIA-RKDXNWHRSA-N 0 2 317.292 0.454 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2nccn2CC(F)F)C[C@H]1C(=O)[O-] ZINC001606431460 1170198667 /nfs/dbraw/zinc/19/86/67/1170198667.db2.gz KSQIUKSNQXKQIA-RKDXNWHRSA-N 0 2 317.292 0.454 20 0 DCADLN O=C(CCc1cnn[nH]1)NCc1ccc(-c2nn[nH]n2)c(F)c1 ZINC001606528790 1170228661 /nfs/dbraw/zinc/22/86/61/1170228661.db2.gz BNFQISCSCMMJLI-UHFFFAOYSA-N 0 2 316.300 0.373 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@@H+]2CCO[C@H](c3ccnn3C)C2)CCC1 ZINC001606709486 1170270398 /nfs/dbraw/zinc/27/03/98/1170270398.db2.gz YZGVEHXQFXFSPE-QWHCGFSZSA-N 0 2 309.366 0.816 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@H+]2CCO[C@H](c3ccnn3C)C2)CCC1 ZINC001606709486 1170270403 /nfs/dbraw/zinc/27/04/03/1170270403.db2.gz YZGVEHXQFXFSPE-QWHCGFSZSA-N 0 2 309.366 0.816 20 0 DCADLN O=C(Nc1cccc2[nH]nnc21)c1cccc(-c2nn[nH]n2)n1 ZINC001606938940 1170333996 /nfs/dbraw/zinc/33/39/96/1170333996.db2.gz LRJTYBJXRHADLP-UHFFFAOYSA-N 0 2 307.277 0.785 20 0 DCADLN COCc1noc(CO[NH+]=C(N)c2cccc(C(=O)[O-])c2)n1 ZINC001606980877 1170345163 /nfs/dbraw/zinc/34/51/63/1170345163.db2.gz DDNFGUZLGJOJCY-UHFFFAOYSA-N 0 2 306.278 0.961 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cn(-c2ccccc2)nn1 ZINC001607091379 1170390273 /nfs/dbraw/zinc/39/02/73/1170390273.db2.gz KZVWZZSIPGFULK-UHFFFAOYSA-N 0 2 321.304 0.052 20 0 DCADLN CSc1cccnc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001607413686 1170447156 /nfs/dbraw/zinc/44/71/56/1170447156.db2.gz QBBPSSOCTYVECW-VIFPVBQESA-N 0 2 306.347 0.952 20 0 DCADLN CSc1cccnc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001607413686 1170447164 /nfs/dbraw/zinc/44/71/64/1170447164.db2.gz QBBPSSOCTYVECW-VIFPVBQESA-N 0 2 306.347 0.952 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)cc1C(=O)[O-] ZINC001607730098 1170501876 /nfs/dbraw/zinc/50/18/76/1170501876.db2.gz FBJVXBSOIRBBKM-ZDUSSCGKSA-N 0 2 303.362 0.423 20 0 DCADLN Cc1cccc(S(=O)(=O)NCCc2cn(C)c[nH+]2)c1C(=O)[O-] ZINC001607863913 1170514565 /nfs/dbraw/zinc/51/45/65/1170514565.db2.gz IUSGTXAJCWQGST-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN Cc1ccn(C[C@@H](C)C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001607890911 1170516759 /nfs/dbraw/zinc/51/67/59/1170516759.db2.gz AEIFAKIGEKRPFM-BXKDBHETSA-N 0 2 305.338 0.363 20 0 DCADLN Cc1ccn(C[C@@H](C)C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001607890911 1170516766 /nfs/dbraw/zinc/51/67/66/1170516766.db2.gz AEIFAKIGEKRPFM-BXKDBHETSA-N 0 2 305.338 0.363 20 0 DCADLN NS(=O)(=O)c1ccc(C[N@@H+]2CC[C@@](F)(C(=O)[O-])C2)cc1 ZINC001608262715 1170636116 /nfs/dbraw/zinc/63/61/16/1170636116.db2.gz BGJWQEIIEFULIP-LBPRGKRZSA-N 0 2 302.327 0.333 20 0 DCADLN NS(=O)(=O)c1ccc(C[N@H+]2CC[C@@](F)(C(=O)[O-])C2)cc1 ZINC001608262715 1170636118 /nfs/dbraw/zinc/63/61/18/1170636118.db2.gz BGJWQEIIEFULIP-LBPRGKRZSA-N 0 2 302.327 0.333 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001608532288 1170697193 /nfs/dbraw/zinc/69/71/93/1170697193.db2.gz RABYUQGQDWBALX-IRCOFANPSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001608532288 1170697196 /nfs/dbraw/zinc/69/71/96/1170697196.db2.gz RABYUQGQDWBALX-IRCOFANPSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC1C[NH+](CCOc2ccccc2)C1 ZINC001608612470 1170722145 /nfs/dbraw/zinc/72/21/45/1170722145.db2.gz WDUYKFWONCRBIU-ZIAGYGMSSA-N 0 2 304.346 0.587 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@@H+]2CCS[C@H]3COCC[C@@H]32)C(=O)O1 ZINC001608809022 1170758566 /nfs/dbraw/zinc/75/85/66/1170758566.db2.gz VCNOWTALAIFVFU-GUBZILKMSA-N 0 2 302.352 0.056 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@H+]2CCS[C@H]3COCC[C@@H]32)C(=O)O1 ZINC001608809022 1170758571 /nfs/dbraw/zinc/75/85/71/1170758571.db2.gz VCNOWTALAIFVFU-GUBZILKMSA-N 0 2 302.352 0.056 20 0 DCADLN O=C([O-])c1cc(-n2cc(C[C@]3(O)CCSC3)nn2)cc[nH+]1 ZINC001608833061 1170763192 /nfs/dbraw/zinc/76/31/92/1170763192.db2.gz TZOUFJNCTWNDGB-CYBMUJFWSA-N 0 2 306.347 0.771 20 0 DCADLN CCN(Cc1ccccn1)Cn1cccc(-c2nn[nH]n2)c1=O ZINC001609225656 1170878151 /nfs/dbraw/zinc/87/81/51/1170878151.db2.gz USTJMSPGCYRJCR-UHFFFAOYSA-N 0 2 311.349 0.903 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CCCS(=O)(=O)C2)c1 ZINC001609386237 1170958983 /nfs/dbraw/zinc/95/89/83/1170958983.db2.gz FEYHXIBOHCWMSC-SNVBAGLBSA-N 0 2 323.378 0.162 20 0 DCADLN Cc1cc(C(=O)Cn2cncc(-c3nn[nH]n3)c2=O)c(C)s1 ZINC001609393773 1170966143 /nfs/dbraw/zinc/96/61/43/1170966143.db2.gz QGCMLAXXCJPHFB-UHFFFAOYSA-N 0 2 316.346 0.985 20 0 DCADLN Cc1ccn(C[C@@H]2CC3(CC3)C(=O)O2)c(=O)c1-c1nn[nH]n1 ZINC001609437613 1170975788 /nfs/dbraw/zinc/97/57/88/1170975788.db2.gz ONFUNSYZVVBZMY-VIFPVBQESA-N 0 2 301.306 0.433 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CC1CCC2(CC1)OCCO2 ZINC001609604200 1171038789 /nfs/dbraw/zinc/03/87/89/1171038789.db2.gz YQXFXHBPCVSLMC-UHFFFAOYSA-N 0 2 317.349 0.962 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCCCC(F)(F)F ZINC001609604356 1171039130 /nfs/dbraw/zinc/03/91/30/1171039130.db2.gz JPIVPTDWLZHKHG-UHFFFAOYSA-N 0 2 304.232 0.862 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CC1OCCO1)CCCC2 ZINC001609604400 1171040064 /nfs/dbraw/zinc/04/00/64/1171040064.db2.gz NADLRNHGLYCJST-UHFFFAOYSA-N 0 2 303.322 0.280 20 0 DCADLN CC(C)(C)N1C[C@H](C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])CC1=O ZINC001609657201 1171060941 /nfs/dbraw/zinc/06/09/41/1171060941.db2.gz KBYYDYONMCDREI-GHMZBOCLSA-N 0 2 322.365 0.100 20 0 DCADLN C[C@@H]([NH2+]CCC(=O)N(C)CC(=O)[O-])c1nc(C(C)(C)C)no1 ZINC001609775036 1171082514 /nfs/dbraw/zinc/08/25/14/1171082514.db2.gz AJBURWYIOIHUCE-SECBINFHSA-N 0 2 312.370 0.951 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2cccc(CC(=O)[O-])c2)CCO1 ZINC001609875216 1171111504 /nfs/dbraw/zinc/11/15/04/1171111504.db2.gz TVYKACKWJJMKFV-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2cccc(CC(=O)[O-])c2)CCO1 ZINC001609875216 1171111506 /nfs/dbraw/zinc/11/15/06/1171111506.db2.gz TVYKACKWJJMKFV-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN CO[C@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])c1ccc(O)cc1 ZINC001610222621 1171200176 /nfs/dbraw/zinc/20/01/76/1171200176.db2.gz XHBIKKMRZZHQQR-OLZOCXBDSA-N 0 2 319.317 0.546 20 0 DCADLN COCCN1CCC[N@H+](Cc2ccc(C(=O)[O-])cn2)CC1=O ZINC001610260992 1171211753 /nfs/dbraw/zinc/21/17/53/1171211753.db2.gz SOEHFLNOQBMQQT-UHFFFAOYSA-N 0 2 307.350 0.461 20 0 DCADLN COCCN1CCC[N@@H+](Cc2ccc(C(=O)[O-])cn2)CC1=O ZINC001610260992 1171211757 /nfs/dbraw/zinc/21/17/57/1171211757.db2.gz SOEHFLNOQBMQQT-UHFFFAOYSA-N 0 2 307.350 0.461 20 0 DCADLN COCc1cccc(CC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001610272020 1171214939 /nfs/dbraw/zinc/21/49/39/1171214939.db2.gz GWNMKRRYGYGLLX-CQSZACIVSA-N 0 2 317.345 0.842 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001610717137 1171297128 /nfs/dbraw/zinc/29/71/28/1171297128.db2.gz DHVAQAVLVRSJQA-STQMWFEESA-N 0 2 303.293 0.932 20 0 DCADLN O=C(CNC(=O)c1ccsc1)NCCc1n[nH]c(=S)o1 ZINC001617080452 1171385339 /nfs/dbraw/zinc/38/53/39/1171385339.db2.gz QUJLACUTARCULM-UHFFFAOYSA-N 0 2 312.376 0.509 20 0 DCADLN CN1C(=O)C[C@@H](C(=O)NNC(=O)c2ccccn2)c2ccccc21 ZINC000029505450 1171417356 /nfs/dbraw/zinc/41/73/56/1171417356.db2.gz RGSPVVRFYFXJHX-GFCCVEGCSA-N 0 2 324.340 0.993 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cccc3[nH]c(=O)oc32)C(=O)N1C ZINC001633410448 1171641337 /nfs/dbraw/zinc/64/13/37/1171641337.db2.gz WXHJGLIYKPHCRO-LURJTMIESA-N 0 2 304.262 0.461 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2nncc3ccccc32)C1=O ZINC001635573096 1171707070 /nfs/dbraw/zinc/70/70/70/1171707070.db2.gz LAJLBZVVAKEUIP-HNNXBMFYSA-N 0 2 313.317 0.995 20 0 DCADLN CN1C(=O)C[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1=O ZINC001639494488 1171839776 /nfs/dbraw/zinc/83/97/76/1171839776.db2.gz MVJWHVIXKHCIKW-SNVBAGLBSA-N 0 2 314.301 0.065 20 0 DCADLN COC(=O)c1coc(C=CC(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001641493704 1171918438 /nfs/dbraw/zinc/91/84/38/1171918438.db2.gz WWTWHMHFVGDWEA-NSCUHMNNSA-N 0 2 319.273 0.597 20 0 DCADLN CCn1ncn(NC(=O)c2cnnc(-c3ccccc3)c2)c1=O ZINC001641711808 1171925365 /nfs/dbraw/zinc/92/53/65/1171925365.db2.gz KHGWGUBPDXMCTJ-UHFFFAOYSA-N 0 2 310.317 0.906 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1CCNC1=O ZINC001643473511 1171978451 /nfs/dbraw/zinc/97/84/51/1171978451.db2.gz JMEXRYOUFGGCNM-QWRGUYRKSA-N 0 2 315.333 0.046 20 0 DCADLN O=CNc1cccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC001645460581 1172043312 /nfs/dbraw/zinc/04/33/12/1172043312.db2.gz ZFCPQXPKENGBQU-UHFFFAOYSA-N 0 2 312.289 0.278 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1(C2CC2)CCOCC1 ZINC001647143034 1172699427 /nfs/dbraw/zinc/69/94/27/1172699427.db2.gz QTZLGQSTHUMXDW-UHFFFAOYSA-N 0 2 317.349 0.147 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ncc[nH]1 ZINC001647150414 1172704170 /nfs/dbraw/zinc/70/41/70/1172704170.db2.gz DRWTVUDLLXJVBR-VIFPVBQESA-N 0 2 301.310 0.062 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)nn1C ZINC001647205788 1172740640 /nfs/dbraw/zinc/74/06/40/1172740640.db2.gz QGKIAZIGRZQANX-VIFPVBQESA-N 0 2 312.355 0.082 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2CCC=CCC2)[nH]1 ZINC001652700469 1173775237 /nfs/dbraw/zinc/77/52/37/1173775237.db2.gz IKAQEQQOSIDIBP-UHFFFAOYSA-N 0 2 312.395 0.712 20 0 DCADLN CCn1c(C)cc2n[nH]c(NC(=O)CCc3cn[nH]n3)c2c1=O ZINC001654663750 1173808903 /nfs/dbraw/zinc/80/89/03/1173808903.db2.gz GZSXQDRVAHEZAR-UHFFFAOYSA-N 0 2 315.337 0.742 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNCc2nc3ccccc3o2)[nH]1 ZINC001663353795 1174289159 /nfs/dbraw/zinc/28/91/59/1174289159.db2.gz VXOQTELYACOAGK-UHFFFAOYSA-N 0 2 321.362 0.780 20 0 DCADLN Cn1cc(-c2nsc(NC(=O)NOC(C)(C)CO)n2)cn1 ZINC001665193991 1174489991 /nfs/dbraw/zinc/48/99/91/1174489991.db2.gz ZSHJHJXQMVJKSZ-UHFFFAOYSA-N 0 2 312.355 0.763 20 0 DCADLN CCc1nc(C[NH2+]CC[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001668030120 1174746787 /nfs/dbraw/zinc/74/67/87/1174746787.db2.gz RADKBUWZFODOCD-MRVPVSSYSA-N 0 2 322.369 0.667 20 0 DCADLN Cc1cc(C)n2ncc(C(=O)NNC(=O)Cc3ccncc3)c2n1 ZINC000082977470 1174754090 /nfs/dbraw/zinc/75/40/90/1174754090.db2.gz URPZJVCRASEYCH-UHFFFAOYSA-N 0 2 324.344 0.745 20 0 DCADLN Cn1cc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)cn1 ZINC001689220016 1176749467 /nfs/dbraw/zinc/74/94/67/1176749467.db2.gz ABWCOYLQCGHBGH-XADBCAIWSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cc(C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001689220016 1176749473 /nfs/dbraw/zinc/74/94/73/1176749473.db2.gz ABWCOYLQCGHBGH-XADBCAIWSA-N 0 2 322.262 0.723 20 0 DCADLN Cc1nc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])co1 ZINC001699248806 1177981723 /nfs/dbraw/zinc/98/17/23/1177981723.db2.gz OBRZAIWVEWNGDX-ONEGZZNKSA-N 0 2 305.338 0.796 20 0 DCADLN O=C(CC1CCOCC1)NCCNC(=O)C(F)C(F)(F)F ZINC001703136724 1179331002 /nfs/dbraw/zinc/33/10/02/1179331002.db2.gz QVDHJEAIXUSIDP-JTQLQIEISA-N 0 2 314.279 0.936 20 0 DCADLN O=C(CC1CCOCC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001703136724 1179331011 /nfs/dbraw/zinc/33/10/11/1179331011.db2.gz QVDHJEAIXUSIDP-JTQLQIEISA-N 0 2 314.279 0.936 20 0 DCADLN O=C(CCc1ccncn1)NCCNC(=O)C(F)C(F)(F)F ZINC001703212625 1179396630 /nfs/dbraw/zinc/39/66/30/1179396630.db2.gz MMTSJYVKIZADDI-JTQLQIEISA-N 0 2 322.262 0.542 20 0 DCADLN O=C(CCc1ccncn1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001703212625 1179396638 /nfs/dbraw/zinc/39/66/38/1179396638.db2.gz MMTSJYVKIZADDI-JTQLQIEISA-N 0 2 322.262 0.542 20 0 DCADLN C[C@@H](c1nnnn1C)[N@H+](C)CCCNC(=O)C[NH+]1CC[C@H](C)C1 ZINC001707411493 1180493180 /nfs/dbraw/zinc/49/31/80/1180493180.db2.gz WNDKATBFJGUUAG-STQMWFEESA-N 0 2 323.445 0.051 20 0 DCADLN O=C(CCc1cn[nH]c1)NCCCNC(=O)C(F)C(F)(F)F ZINC001720286840 1183550426 /nfs/dbraw/zinc/55/04/26/1183550426.db2.gz NEIQAGXAZUOCFI-SNVBAGLBSA-N 0 2 324.278 0.865 20 0 DCADLN O=C(CCc1cn[nH]c1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720286840 1183550431 /nfs/dbraw/zinc/55/04/31/1183550431.db2.gz NEIQAGXAZUOCFI-SNVBAGLBSA-N 0 2 324.278 0.865 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)c1cccnc1C ZINC001720320676 1183562412 /nfs/dbraw/zinc/56/24/12/1183562412.db2.gz GTLZRPGOOHKMCE-UHFFFAOYSA-N 0 2 315.377 0.900 20 0 DCADLN CN(CCOCCNC(=O)c1cnn[nH]1)C/C(Cl)=C/Cl ZINC001725437290 1184827098 /nfs/dbraw/zinc/82/70/98/1184827098.db2.gz XGZATLJPMUEBIU-TWGQIWQCSA-N 0 2 322.196 0.802 20 0 DCADLN C[N@H+](CCOCCNC(=O)c1cnn[n-]1)C/C(Cl)=C/Cl ZINC001725437290 1184827104 /nfs/dbraw/zinc/82/71/04/1184827104.db2.gz XGZATLJPMUEBIU-TWGQIWQCSA-N 0 2 322.196 0.802 20 0 DCADLN C[N@@H+](CCOCCNC(=O)c1cnn[n-]1)C/C(Cl)=C/Cl ZINC001725437290 1184827109 /nfs/dbraw/zinc/82/71/09/1184827109.db2.gz XGZATLJPMUEBIU-TWGQIWQCSA-N 0 2 322.196 0.802 20 0 DCADLN COCCC1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CC1 ZINC001742490298 1187993958 /nfs/dbraw/zinc/99/39/58/1187993958.db2.gz MYZRZETXWYOZHK-MRVPVSSYSA-N 0 2 314.279 0.936 20 0 DCADLN COCCC1(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001742490298 1187993963 /nfs/dbraw/zinc/99/39/63/1187993963.db2.gz MYZRZETXWYOZHK-MRVPVSSYSA-N 0 2 314.279 0.936 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)NCCCNC(=O)Cn1cc[nH+]c1 ZINC001742916953 1188076454 /nfs/dbraw/zinc/07/64/54/1188076454.db2.gz ZQCGWZDGQKAKCL-CQSZACIVSA-N 0 2 321.425 0.380 20 0 DCADLN CC[N@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@H](C)C(=O)NC ZINC001752173327 1188397957 /nfs/dbraw/zinc/39/79/57/1188397957.db2.gz RYBABXZLZMHXSM-SNVBAGLBSA-N 0 2 311.386 0.000 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@H](C)C(=O)NC ZINC001752173327 1188397959 /nfs/dbraw/zinc/39/79/59/1188397959.db2.gz RYBABXZLZMHXSM-SNVBAGLBSA-N 0 2 311.386 0.000 20 0 DCADLN O=C(CCOCC1CC1)NCCNC(=O)C(F)C(F)(F)F ZINC001757994303 1189666736 /nfs/dbraw/zinc/66/67/36/1189666736.db2.gz IETAPXAKYXRYNI-SNVBAGLBSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(CCOCC1CC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001757994303 1189666738 /nfs/dbraw/zinc/66/67/38/1189666738.db2.gz IETAPXAKYXRYNI-SNVBAGLBSA-N 0 2 314.279 0.936 20 0 DCADLN CCC(=O)N[C@H](C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001758027854 1189687139 /nfs/dbraw/zinc/68/71/39/1189687139.db2.gz NPELWVHIRQWPAA-SVRRBLITSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)N[C@H](C)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001758027854 1189687142 /nfs/dbraw/zinc/68/71/42/1189687142.db2.gz NPELWVHIRQWPAA-SVRRBLITSA-N 0 2 315.267 0.034 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1ccc(=O)[nH]c1 ZINC001758191789 1189774680 /nfs/dbraw/zinc/77/46/80/1189774680.db2.gz UYXLEQQSLZJQPC-VIFPVBQESA-N 0 2 323.246 0.924 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1ccc(=O)[nH]c1 ZINC001758191789 1189774683 /nfs/dbraw/zinc/77/46/83/1189774683.db2.gz UYXLEQQSLZJQPC-VIFPVBQESA-N 0 2 323.246 0.924 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cncnc1 ZINC001758386206 1189863881 /nfs/dbraw/zinc/86/38/81/1189863881.db2.gz JKRUXVYPBNEIBG-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cncnc1 ZINC001758386206 1189863885 /nfs/dbraw/zinc/86/38/85/1189863885.db2.gz JKRUXVYPBNEIBG-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN NC(=O)C1(O)CC[NH+](Cc2nc(=O)c3sccc3[n-]2)CC1 ZINC000314580072 1190401686 /nfs/dbraw/zinc/40/16/86/1190401686.db2.gz CXMHNGMEFOKQKF-UHFFFAOYSA-N 0 2 308.363 0.209 20 0 DCADLN NC(=O)C1(O)CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000314580072 1190401690 /nfs/dbraw/zinc/40/16/90/1190401690.db2.gz CXMHNGMEFOKQKF-UHFFFAOYSA-N 0 2 308.363 0.209 20 0 DCADLN CNC(=O)c1ccc(CS(=O)(=O)c2n[nH]c(COC)n2)cc1 ZINC001771620860 1190452577 /nfs/dbraw/zinc/45/25/77/1190452577.db2.gz BKGBRKRVCTWQAE-UHFFFAOYSA-N 0 2 324.362 0.285 20 0 DCADLN C[C@@H](C[C@H](C)Nc1[nH+]cnc2nc[nH]c21)NC(=O)c1nnc[n-]1 ZINC001089374586 751367546 /nfs/dbraw/zinc/36/75/46/751367546.db2.gz KELDBKSGKRCONX-YUMQZZPRSA-N 0 2 315.341 0.480 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057833642 753574125 /nfs/dbraw/zinc/57/41/25/753574125.db2.gz LMDPAGOEVCAXAG-IWSPIJDZSA-N 0 2 314.279 0.480 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C2C[NH+](C[C@@H]3CCO[C@@H](C)C3)C2)c1[O-] ZINC001042619442 751785637 /nfs/dbraw/zinc/78/56/37/751785637.db2.gz DMGHVNPALTXMBI-CMPLNLGQSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1nc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)s1 ZINC001043048986 752052560 /nfs/dbraw/zinc/05/25/60/752052560.db2.gz KIQHSYBZUQYBQL-UHFFFAOYSA-N 0 2 322.394 0.540 20 0 DCADLN COC(=O)C[C@@H]1C[N@H+](Cc2ccccc2)CCN1C(=O)C(=O)[O-] ZINC001143415577 747318735 /nfs/dbraw/zinc/31/87/35/747318735.db2.gz MZTQSTQBSNEDHD-CYBMUJFWSA-N 0 2 320.345 0.347 20 0 DCADLN COC(=O)C[C@@H]1C[N@@H+](Cc2ccccc2)CCN1C(=O)C(=O)[O-] ZINC001143415577 747318743 /nfs/dbraw/zinc/31/87/43/747318743.db2.gz MZTQSTQBSNEDHD-CYBMUJFWSA-N 0 2 320.345 0.347 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001125744600 747546350 /nfs/dbraw/zinc/54/63/50/747546350.db2.gz CXWKGGLLNWYQKE-MRVPVSSYSA-N 0 2 308.342 0.450 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2=CCCC2)C1)C(F)C(F)(F)F ZINC001043378460 752203929 /nfs/dbraw/zinc/20/39/29/752203929.db2.gz XXUAWWACXXNTQV-VIFPVBQESA-N 0 2 324.274 0.687 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2=CCCC2)C1)[C@H](F)C(F)(F)F ZINC001043378460 752203935 /nfs/dbraw/zinc/20/39/35/752203935.db2.gz XXUAWWACXXNTQV-VIFPVBQESA-N 0 2 324.274 0.687 20 0 DCADLN O=S(=O)(N[C@@H](Cc1nc[nH]n1)c1ccccc1)c1ncc[nH]1 ZINC001211691959 748321054 /nfs/dbraw/zinc/32/10/54/748321054.db2.gz UQMLLDJKAWWJHD-NSHDSACASA-N 0 2 318.362 0.790 20 0 DCADLN CN(C(=O)[C@H]1CCCC1(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043573087 752294575 /nfs/dbraw/zinc/29/45/75/752294575.db2.gz WUNBHMHGKMSAGB-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(Cc1c[nH+]c[nH]1)N1CC[C@H](Nc2cc(Cl)c(=O)[nH]n2)C1 ZINC001059079243 748708791 /nfs/dbraw/zinc/70/87/91/748708791.db2.gz FQKDUYZIAUZGAM-QMMMGPOBSA-N 0 2 322.756 0.814 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cscn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087518834 748915788 /nfs/dbraw/zinc/91/57/88/748915788.db2.gz LFQCSRSRZHQXEL-WCBMZHEXSA-N 0 2 322.394 0.750 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cscn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087518834 748915796 /nfs/dbraw/zinc/91/57/96/748915796.db2.gz LFQCSRSRZHQXEL-WCBMZHEXSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ncc[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071494168 761903413 /nfs/dbraw/zinc/90/34/13/761903413.db2.gz OVZPUMXOCRPHRX-DTWKUNHWSA-N 0 2 305.342 0.016 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H]2CCCCO2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087544169 748957009 /nfs/dbraw/zinc/95/70/09/748957009.db2.gz WYJWBEXICQFTHS-QJPTWQEYSA-N 0 2 323.397 0.549 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H]2CCCCO2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087544169 748957017 /nfs/dbraw/zinc/95/70/17/748957017.db2.gz WYJWBEXICQFTHS-QJPTWQEYSA-N 0 2 323.397 0.549 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2cccnc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088525461 749548991 /nfs/dbraw/zinc/54/89/91/749548991.db2.gz YJKFLHIDQSVUIR-PWSUYJOCSA-N 0 2 316.365 0.227 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2cccnc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088525461 749548993 /nfs/dbraw/zinc/54/89/93/749548993.db2.gz YJKFLHIDQSVUIR-PWSUYJOCSA-N 0 2 316.365 0.227 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088552704 749605693 /nfs/dbraw/zinc/60/56/93/749605693.db2.gz RLYZYNKATYHVFJ-SKDRFNHKSA-N 0 2 318.381 0.794 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088552704 749605695 /nfs/dbraw/zinc/60/56/95/749605695.db2.gz RLYZYNKATYHVFJ-SKDRFNHKSA-N 0 2 318.381 0.794 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)/C=C/C(C)(C)C ZINC001212048303 749757454 /nfs/dbraw/zinc/75/74/54/749757454.db2.gz YJKUQFONUIQERU-XIJCSBCJSA-N 0 2 323.397 0.428 20 0 DCADLN Cc1nnc(CN2C[C@@H](NC(=O)c3cnn[nH]3)CC[C@@H]2C)[nH]1 ZINC001071631382 762006135 /nfs/dbraw/zinc/00/61/35/762006135.db2.gz MDNFVEWDYFMVLZ-WPRPVWTQSA-N 0 2 304.358 0.014 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N[C@H]2CC[N@H+](CC(=O)NC3CC3)[C@@H]2C)C1 ZINC001088859615 750660667 /nfs/dbraw/zinc/66/06/67/750660667.db2.gz HJEJLRMVCYYWJV-NFAWXSAZSA-N 0 2 322.453 0.186 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@]2(C)CCCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088945763 750741938 /nfs/dbraw/zinc/74/19/38/750741938.db2.gz RDIGNCXJBWQGFT-ZETOZRRWSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@]2(C)CCCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088945763 750741941 /nfs/dbraw/zinc/74/19/41/750741941.db2.gz RDIGNCXJBWQGFT-ZETOZRRWSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1conc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088962506 750746927 /nfs/dbraw/zinc/74/69/27/750746927.db2.gz IUEGHYGLESYRGL-BDAKNGLRSA-N 0 2 306.326 0.200 20 0 DCADLN Cc1ccnc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106141867 750978020 /nfs/dbraw/zinc/97/80/20/750978020.db2.gz IQVGEAFVVWHWHI-SFYZADRCSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccnc(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001106141867 750978021 /nfs/dbraw/zinc/97/80/21/750978021.db2.gz IQVGEAFVVWHWHI-SFYZADRCSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1cc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001106141513 750978225 /nfs/dbraw/zinc/97/82/25/750978225.db2.gz CPMWZARTVLLQGB-IONNQARKSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1cc(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001106141513 750978232 /nfs/dbraw/zinc/97/82/32/750978232.db2.gz CPMWZARTVLLQGB-IONNQARKSA-N 0 2 310.251 0.574 20 0 DCADLN C[C@H]1CCC[N@@H+]1CC(=O)N(C)C1CN(Cc2c[nH+]cn2C)C1 ZINC001043865379 752428842 /nfs/dbraw/zinc/42/88/42/752428842.db2.gz OIXNLNSCIAVJQB-ZDUSSCGKSA-N 0 2 305.426 0.547 20 0 DCADLN CN(C(=O)c1cc(C2CC2)n[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043961554 752493788 /nfs/dbraw/zinc/49/37/88/752493788.db2.gz FUNUNVKLYUFIMY-UHFFFAOYSA-N 0 2 317.353 0.067 20 0 DCADLN CN(C(=O)c1ccncc1Cl)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043963602 752494360 /nfs/dbraw/zinc/49/43/60/752494360.db2.gz OHNMRBHEWNBGIO-UHFFFAOYSA-N 0 2 322.756 0.515 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071782920 762133862 /nfs/dbraw/zinc/13/38/62/762133862.db2.gz GVFGZRDYBBYQHV-SCZZXKLOSA-N 0 2 320.353 0.590 20 0 DCADLN CN(C(=O)C1(C)CC(F)(F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044170352 752606838 /nfs/dbraw/zinc/60/68/38/752606838.db2.gz OGLZZMRHGAPWRG-UHFFFAOYSA-N 0 2 315.324 0.588 20 0 DCADLN CC[N@H+]1CCCC[C@@H]1C(=O)N[C@@H]1C[NH+](CC=C(C)C)C[C@H]1O ZINC001078229616 753123924 /nfs/dbraw/zinc/12/39/24/753123924.db2.gz QQRSJUWOKZIWPQ-BZUAXINKSA-N 0 2 309.454 0.988 20 0 DCADLN CC1(NC(=O)c2ccsn2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045473556 753209057 /nfs/dbraw/zinc/20/90/57/753209057.db2.gz JFAPLKGMXHXYCS-UHFFFAOYSA-N 0 2 322.394 0.751 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001071886448 762202433 /nfs/dbraw/zinc/20/24/33/762202433.db2.gz DLDZQVSVQSFQJP-UWVGGRQHSA-N 0 2 319.369 0.325 20 0 DCADLN Cc1ncc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001046187792 753538546 /nfs/dbraw/zinc/53/85/46/753538546.db2.gz WRXMSDHGGYEJLM-CYBMUJFWSA-N 0 2 322.394 0.670 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057833642 753574120 /nfs/dbraw/zinc/57/41/20/753574120.db2.gz LMDPAGOEVCAXAG-IWSPIJDZSA-N 0 2 314.279 0.480 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046266353 753601761 /nfs/dbraw/zinc/60/17/61/753601761.db2.gz LBTCSUQENRMOPH-HNNXBMFYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046266353 753601763 /nfs/dbraw/zinc/60/17/63/753601763.db2.gz LBTCSUQENRMOPH-HNNXBMFYSA-N 0 2 318.381 0.552 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CC12CC2 ZINC001047153384 754108812 /nfs/dbraw/zinc/10/88/12/754108812.db2.gz FCADJLNYMQZTFS-YUMQZZPRSA-N 0 2 324.274 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CC12CC2 ZINC001047153384 754108817 /nfs/dbraw/zinc/10/88/17/754108817.db2.gz FCADJLNYMQZTFS-YUMQZZPRSA-N 0 2 324.274 0.376 20 0 DCADLN CC(C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O)=C1CCC1 ZINC001090253864 754463474 /nfs/dbraw/zinc/46/34/74/754463474.db2.gz FZCLJNIUMIAQIE-NWDGAFQWSA-N 0 2 321.381 0.062 20 0 DCADLN C[C@@]1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)CCOC1 ZINC001096244981 754509138 /nfs/dbraw/zinc/50/91/38/754509138.db2.gz CFOQESQLNBRDNZ-DZHLUBAWSA-N 0 2 321.381 0.158 20 0 DCADLN CCOCCC(=O)NCC1(NC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001062695541 754888618 /nfs/dbraw/zinc/88/86/18/754888618.db2.gz ZKJSIFQRDMPBDW-UHFFFAOYSA-N 0 2 322.409 0.842 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001072080526 762343984 /nfs/dbraw/zinc/34/39/84/762343984.db2.gz WZGZESPKPFCVOZ-WDEREUQCSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001072080526 762343990 /nfs/dbraw/zinc/34/39/90/762343990.db2.gz WZGZESPKPFCVOZ-WDEREUQCSA-N 0 2 318.381 0.930 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CCC1)c1cnon1 ZINC001063306140 755145886 /nfs/dbraw/zinc/14/58/86/755145886.db2.gz UMEDXQYANGZPKP-SSDOTTSWSA-N 0 2 324.234 0.739 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CCC1)c1cnon1 ZINC001063306140 755145888 /nfs/dbraw/zinc/14/58/88/755145888.db2.gz UMEDXQYANGZPKP-SSDOTTSWSA-N 0 2 324.234 0.739 20 0 DCADLN O=C(c1ncc[nH]1)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049461822 755273321 /nfs/dbraw/zinc/27/33/21/755273321.db2.gz XCINOPUMRQQRPE-UWVGGRQHSA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1ncc[nH]1)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049461822 755273326 /nfs/dbraw/zinc/27/33/26/755273326.db2.gz XCINOPUMRQQRPE-UWVGGRQHSA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1cnco1)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049625314 755338643 /nfs/dbraw/zinc/33/86/43/755338643.db2.gz KHPWXYMNEKGSDC-UWVGGRQHSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cnco1)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049625314 755338650 /nfs/dbraw/zinc/33/86/50/755338650.db2.gz KHPWXYMNEKGSDC-UWVGGRQHSA-N 0 2 318.337 0.377 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)[nH]n1 ZINC001096849521 755535919 /nfs/dbraw/zinc/53/59/19/755535919.db2.gz IEXTWZLGZPVNMH-KKZNHRDASA-N 0 2 317.353 0.077 20 0 DCADLN Cc1nnc([C@@H](C)N2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnn[nH]2)C3)[nH]1 ZINC001097413589 755659264 /nfs/dbraw/zinc/65/92/64/755659264.db2.gz PJRRNOXPUQIIFB-LTGWCKQJSA-N 0 2 316.369 0.328 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC1CCOCC1 ZINC001079509264 755871888 /nfs/dbraw/zinc/87/18/88/755871888.db2.gz AMFCJLHZNMDIQE-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC1CCOCC1 ZINC001079509264 755871894 /nfs/dbraw/zinc/87/18/94/755871894.db2.gz AMFCJLHZNMDIQE-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCOC2(CN(CCCO)C2)C1 ZINC001053263727 755978909 /nfs/dbraw/zinc/97/89/09/755978909.db2.gz WOHMJXDYKGOHGP-SECBINFHSA-N 0 2 314.279 0.182 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC1=CCOCC1 ZINC001080390561 756188406 /nfs/dbraw/zinc/18/84/06/756188406.db2.gz NMWXZRZFGOTRBR-ZYHUDNBSSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC1=CCOCC1 ZINC001080390561 756188409 /nfs/dbraw/zinc/18/84/09/756188409.db2.gz NMWXZRZFGOTRBR-ZYHUDNBSSA-N 0 2 321.381 0.184 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cc(C)co1 ZINC001082046586 756906124 /nfs/dbraw/zinc/90/61/24/756906124.db2.gz YKAGQDHDEZTEFJ-MWLCHTKSSA-N 0 2 321.337 0.041 20 0 DCADLN C[C@H](CC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1CC1 ZINC001084854985 758087267 /nfs/dbraw/zinc/08/72/67/758087267.db2.gz FDESMBKJLMUSEN-RAIGVLPGSA-N 0 2 319.409 0.979 20 0 DCADLN CC[S@@](=O)c1ccccc1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC000823419203 758246178 /nfs/dbraw/zinc/24/61/78/758246178.db2.gz RNDBKCJZVRBURM-GTUYJWLHSA-N 0 2 323.374 0.741 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cn(C)nc2C(C)(C)C)C(=O)N1C ZINC000825635647 758811988 /nfs/dbraw/zinc/81/19/88/758811988.db2.gz PKSZCQMTYZJARZ-MRVPVSSYSA-N 0 2 307.354 0.645 20 0 DCADLN CN(C[C@H]1CC[N@@H+]1Cc1cc(=O)n2[n-]ccc2n1)C(=O)C1CC1 ZINC001085449813 758889149 /nfs/dbraw/zinc/88/91/49/758889149.db2.gz CSGMXXGKWVFUIS-CYBMUJFWSA-N 0 2 315.377 0.465 20 0 DCADLN CN(C[C@H]1CC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1)C(=O)C1CC1 ZINC001085449813 758889158 /nfs/dbraw/zinc/88/91/58/758889158.db2.gz CSGMXXGKWVFUIS-CYBMUJFWSA-N 0 2 315.377 0.465 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cccnc1 ZINC001085459550 758900467 /nfs/dbraw/zinc/90/04/67/758900467.db2.gz KMPUVNMRGRLFQP-NSHDSACASA-N 0 2 302.338 0.252 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1C[C@@H]1C(F)F ZINC001085572743 759071004 /nfs/dbraw/zinc/07/10/04/759071004.db2.gz DVNFQNRKZKFYGS-VGMNWLOBSA-N 0 2 315.324 0.444 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1C[C@@H]1C(F)F ZINC001085572742 759071145 /nfs/dbraw/zinc/07/11/45/759071145.db2.gz DVNFQNRKZKFYGS-CIUDSAMLSA-N 0 2 315.324 0.444 20 0 DCADLN Cc1nc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cs1 ZINC001085782092 759303848 /nfs/dbraw/zinc/30/38/48/759303848.db2.gz MNJJHRIDYJQHGL-SECBINFHSA-N 0 2 322.394 0.622 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001085867110 759404651 /nfs/dbraw/zinc/40/46/51/759404651.db2.gz CGJLWQFATMVBIU-FRRDWIJNSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(NCCN(CCO)C(=O)C(F)C(F)(F)F)C1CC1 ZINC001057830922 759575251 /nfs/dbraw/zinc/57/52/51/759575251.db2.gz XLCJMSIAUXWVEQ-MRVPVSSYSA-N 0 2 300.252 0.234 20 0 DCADLN O=C(NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001057830922 759575255 /nfs/dbraw/zinc/57/52/55/759575255.db2.gz XLCJMSIAUXWVEQ-MRVPVSSYSA-N 0 2 300.252 0.234 20 0 DCADLN C[C@]1(CNC(=O)c2ncn[nH]2)C[N@H+](CCCCCF)CCO1 ZINC001108286756 760289468 /nfs/dbraw/zinc/28/94/68/760289468.db2.gz BMCULSRRPCCSLV-AWEZNQCLSA-N 0 2 313.377 0.765 20 0 DCADLN C[C@]1(CNC(=O)c2ncn[nH]2)C[N@@H+](CCCCCF)CCO1 ZINC001108286756 760289473 /nfs/dbraw/zinc/28/94/73/760289473.db2.gz BMCULSRRPCCSLV-AWEZNQCLSA-N 0 2 313.377 0.765 20 0 DCADLN CC(C)(C)c1cnc(C[NH2+]CCCNC(=O)c2nnc[nH]2)o1 ZINC001168781228 760327783 /nfs/dbraw/zinc/32/77/83/760327783.db2.gz HUQRHANTEMSYFF-UHFFFAOYSA-N 0 2 306.370 1.000 20 0 DCADLN CC(C)(C)c1cnc(C[NH2+]CCCNC(=O)c2ncn[nH]2)o1 ZINC001168781228 760327793 /nfs/dbraw/zinc/32/77/93/760327793.db2.gz HUQRHANTEMSYFF-UHFFFAOYSA-N 0 2 306.370 1.000 20 0 DCADLN CO[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001109676898 761502381 /nfs/dbraw/zinc/50/23/81/761502381.db2.gz AJRIDFLLRWIAGV-BLFANLJRSA-N 0 2 323.397 0.403 20 0 DCADLN C[NH+]1CCN(C(=O)c2cc(S(=O)(=O)[O-])ccc2O)CC1 ZINC001148915602 768050466 /nfs/dbraw/zinc/05/04/66/768050466.db2.gz URLCZNPLWLXSQY-UHFFFAOYSA-N 0 2 300.336 0.027 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001071327116 761780353 /nfs/dbraw/zinc/78/03/53/761780353.db2.gz KMGBCOQLURJCDR-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001071330044 761781592 /nfs/dbraw/zinc/78/15/92/761781592.db2.gz QUOYRFZKZAVXIC-PSASIEDQSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cnn(C)c2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071338459 761784791 /nfs/dbraw/zinc/78/47/91/761784791.db2.gz UCQKBJAPHTWQDI-ONGXEEELSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C2=CCOCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071458783 761876240 /nfs/dbraw/zinc/87/62/40/761876240.db2.gz WPXZZSXWFDCBOJ-CMPLNLGQSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C2=CCOCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071458783 761876251 /nfs/dbraw/zinc/87/62/51/761876251.db2.gz WPXZZSXWFDCBOJ-CMPLNLGQSA-N 0 2 321.381 0.326 20 0 DCADLN COCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131853120 764080214 /nfs/dbraw/zinc/08/02/14/764080214.db2.gz RPKRYPFVXSLUJM-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN COCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131853120 764080227 /nfs/dbraw/zinc/08/02/27/764080227.db2.gz RPKRYPFVXSLUJM-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C([O-])c1ccc(F)c(S(=O)(=O)NCC[NH+]2CCC2)c1 ZINC000697376051 765474463 /nfs/dbraw/zinc/47/44/63/765474463.db2.gz PQXUVUFJXBOXFI-UHFFFAOYSA-N 0 2 302.327 0.508 20 0 DCADLN Nc1nc2nc(CSc3nccn3C3CC3)cc(=O)n2[nH]1 ZINC001115891137 765831589 /nfs/dbraw/zinc/83/15/89/765831589.db2.gz UZFITUHGXUZEOQ-UHFFFAOYSA-N 0 2 303.351 0.824 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2cnc3c(cnn3C)c2)cn1 ZINC001116658488 765893946 /nfs/dbraw/zinc/89/39/46/765893946.db2.gz MRRTUMDTTBKFSG-UHFFFAOYSA-N 0 2 304.335 0.868 20 0 DCADLN CC(=O)CCCC(=O)N1CC2(C1)CCN(Cc1n[nH]c(=O)[nH]1)C2 ZINC001146992538 767594589 /nfs/dbraw/zinc/59/45/89/767594589.db2.gz RCWRHTXHICPCGM-UHFFFAOYSA-N 0 2 321.381 0.304 20 0 DCADLN Cc1nn(C)c(C)c1CN1CCC[C@@H](NC(=O)C[NH+](C)C)C1 ZINC001203066095 768546413 /nfs/dbraw/zinc/54/64/13/768546413.db2.gz UWTJMSJXHMGRCQ-CQSZACIVSA-N 0 2 307.442 0.679 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(c3ncccn3)C[C@H]21)C(F)C(F)(F)F ZINC001093360523 768556823 /nfs/dbraw/zinc/55/68/23/768556823.db2.gz FYDVMCXSFINFMD-RYPBNFRJSA-N 0 2 304.247 0.928 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(c3ncccn3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001093360523 768556828 /nfs/dbraw/zinc/55/68/28/768556828.db2.gz FYDVMCXSFINFMD-RYPBNFRJSA-N 0 2 304.247 0.928 20 0 DCADLN O=C(NCC1=CCCOC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001141624070 768586277 /nfs/dbraw/zinc/58/62/77/768586277.db2.gz DINZACIQLVYAKU-UHFFFAOYSA-N 0 2 316.317 0.343 20 0 DCADLN CC[NH+]1CC(OC2CCN(C(=O)c3n[nH]c(C)c3[O-])CC2)C1 ZINC001093528672 768603474 /nfs/dbraw/zinc/60/34/74/768603474.db2.gz MDSSSLPPABAFSB-UHFFFAOYSA-N 0 2 308.382 0.749 20 0 DCADLN COCCC1(NC(=O)C2CC2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001150869093 769211232 /nfs/dbraw/zinc/21/12/32/769211232.db2.gz LLAPEEAXMLUTQU-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc2ccccc2[nH]c1=O ZINC001151237813 769296450 /nfs/dbraw/zinc/29/64/50/769296450.db2.gz GFMXVXSHBIWATI-GFCCVEGCSA-N 0 2 303.299 0.979 20 0 DCADLN CC[N@H+]1CCCC[C@H]1C(=O)NCC[NH2+]Cc1nnnn1C(C)C ZINC001152445400 769558059 /nfs/dbraw/zinc/55/80/59/769558059.db2.gz HGZIGTRYLINEOE-ZDUSSCGKSA-N 0 2 323.445 0.334 20 0 DCADLN CN(C[C@H]1CCN1Cc1cnnn1C)C(=O)C(F)C(F)(F)F ZINC001234425765 769653343 /nfs/dbraw/zinc/65/33/43/769653343.db2.gz QBUBTWLZRNWRER-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@H]1CCN1Cc1cnnn1C)C(=O)[C@H](F)C(F)(F)F ZINC001234425765 769653351 /nfs/dbraw/zinc/65/33/51/769653351.db2.gz QBUBTWLZRNWRER-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN CC[C@@H](C)NC(=O)[C@@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153355640 769796331 /nfs/dbraw/zinc/79/63/31/769796331.db2.gz ARBZARHDFFIIRN-ZIAGYGMSSA-N 0 2 323.441 0.672 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(=O)c2cc(Cl)ccc2[nH]1 ZINC001153908898 769996571 /nfs/dbraw/zinc/99/65/71/769996571.db2.gz NBMXWZOYIXSQNG-UHFFFAOYSA-N 0 2 319.708 0.935 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)c2cnn3[nH]c(C)nc23)n1 ZINC001154658467 770257217 /nfs/dbraw/zinc/25/72/17/770257217.db2.gz JCRKZPQFNWPYEK-UHFFFAOYSA-N 0 2 303.282 0.518 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H]1CCCN1Cc1ccco1 ZINC001177627593 770515169 /nfs/dbraw/zinc/51/51/69/770515169.db2.gz JJZLPBUSJAUVCT-CABZTGNLSA-N 0 2 309.347 0.669 20 0 DCADLN C[C@H](C(=O)NC(=N)SCCS(=O)(=O)[O-])n1cc[nH+]c1 ZINC001177926321 770577798 /nfs/dbraw/zinc/57/77/98/770577798.db2.gz BSZZYFFIPFDOGF-SSDOTTSWSA-N 0 2 306.369 0.116 20 0 DCADLN O=Cc1cncc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001155755849 770610006 /nfs/dbraw/zinc/61/00/06/770610006.db2.gz VCKVTCHZQFJXKE-JTQLQIEISA-N 0 2 301.306 0.738 20 0 DCADLN CC[N@@H+](CC(N)=O)[C@H](C)CNC(=O)c1c[nH+]ccc1N(C)C ZINC001156428363 770815678 /nfs/dbraw/zinc/81/56/78/770815678.db2.gz KTIIAGNPNGGRFA-LLVKDONJSA-N 0 2 307.398 0.073 20 0 DCADLN C[C@H](O)CC(=O)Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12 ZINC001181352550 771272457 /nfs/dbraw/zinc/27/24/57/771272457.db2.gz KRXUHEDUWNWJDW-ZETCQYMHSA-N 0 2 315.289 0.683 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1coc(-c2cccnc2)n1 ZINC001182832666 771562798 /nfs/dbraw/zinc/56/27/98/771562798.db2.gz NTBJWUZIMHVTDG-UHFFFAOYSA-N 0 2 314.305 0.923 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H]1CCOc2ccccc2O1 ZINC001184716205 771816473 /nfs/dbraw/zinc/81/64/73/771816473.db2.gz CFPOZOYCJCNECB-CABZTGNLSA-N 0 2 308.315 0.642 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2cccnc2Cl)S1 ZINC001184888694 771836354 /nfs/dbraw/zinc/83/63/54/771836354.db2.gz YSHDADIBFFCQLE-SSDOTTSWSA-N 0 2 307.740 0.322 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1Cc2c[nH]nc2C1 ZINC001159056297 771853778 /nfs/dbraw/zinc/85/37/78/771853778.db2.gz PZFNFXGQLQHYSL-UHFFFAOYSA-N 0 2 312.289 0.145 20 0 DCADLN CCO[C@H]1COCC[C@@H]1CC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001160879646 772131575 /nfs/dbraw/zinc/13/15/75/772131575.db2.gz QGYPGQGPSCCGID-MNOVXSKESA-N 0 2 312.370 0.391 20 0 DCADLN O=C(NCS(=O)(=O)[O-])c1ccccc1C[NH+]1CCOCC1 ZINC001187451063 772187680 /nfs/dbraw/zinc/18/76/80/772187680.db2.gz LSIPKSLEILZNHZ-UHFFFAOYSA-N 0 2 314.363 0.094 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc(N3CCOCC3)nc2)cn1 ZINC001188485679 772307786 /nfs/dbraw/zinc/30/77/86/772307786.db2.gz PMDSLCUKYDYFKH-UHFFFAOYSA-N 0 2 323.378 0.453 20 0 DCADLN CCOC(=O)c1oc2nccnc2c1NC(=O)c1cn[nH]n1 ZINC001189338158 772457402 /nfs/dbraw/zinc/45/74/02/772457402.db2.gz ODOLKAQGURWLQP-UHFFFAOYSA-N 0 2 302.250 0.770 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@@H]([N@H+](C)[C@@H](C)C(=O)NC3CC3)C2)[nH]1 ZINC001189408800 772469969 /nfs/dbraw/zinc/46/99/69/772469969.db2.gz FFOHBIAWAFUSHH-GXFFZTMASA-N 0 2 319.409 0.532 20 0 DCADLN CCNC(=O)C[NH+](C)[C@@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190946927 772696786 /nfs/dbraw/zinc/69/67/86/772696786.db2.gz PQQQGCKVHBTBJX-ZIAGYGMSSA-N 0 2 310.442 0.140 20 0 DCADLN COC(=O)CC[C@H](NC(=O)c1c(F)ccc(F)c1O)C(N)=O ZINC001192718380 772953076 /nfs/dbraw/zinc/95/30/76/772953076.db2.gz XBXTXNCHAIRAOT-QMMMGPOBSA-N 0 2 316.260 0.207 20 0 DCADLN Nc1ccc(N)c(S(=O)(=O)Nc2cnn(C3COC3)c2)c1 ZINC001205598972 773003012 /nfs/dbraw/zinc/00/30/12/773003012.db2.gz NVUWYJOVHHYSKJ-UHFFFAOYSA-N 0 2 309.351 0.420 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1nnn(-c2ccccc2Cl)n1 ZINC001193053608 773003715 /nfs/dbraw/zinc/00/37/15/773003715.db2.gz OMNGTDMDFPFTRC-UHFFFAOYSA-N 0 2 320.700 0.069 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCC(F)(F)F ZINC001206776459 773106530 /nfs/dbraw/zinc/10/65/30/773106530.db2.gz QJTUWGGAEJZMNB-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCC(F)(F)F ZINC001206776459 773106532 /nfs/dbraw/zinc/10/65/32/773106532.db2.gz QJTUWGGAEJZMNB-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN CS[C@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206888840 773121819 /nfs/dbraw/zinc/12/18/19/773121819.db2.gz RRBUBHXEEYWSIW-OPRDCNLKSA-N 0 2 313.427 0.588 20 0 DCADLN CS[C@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206888840 773121821 /nfs/dbraw/zinc/12/18/21/773121821.db2.gz RRBUBHXEEYWSIW-OPRDCNLKSA-N 0 2 313.427 0.588 20 0 DCADLN C[C@@H]1CN(Cc2cnn(C)n2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207022400 773163405 /nfs/dbraw/zinc/16/34/05/773163405.db2.gz HOUXHMBBBNPUIR-SZEHBUNVSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H]1CN(Cc2cnn(C)n2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001207022400 773163411 /nfs/dbraw/zinc/16/34/11/773163411.db2.gz HOUXHMBBBNPUIR-SZEHBUNVSA-N 0 2 323.294 0.652 20 0 DCADLN CCOC(=O)c1n[nH]cc1NC(=O)c1cc(C(=O)OC)n[nH]1 ZINC001194278541 773165749 /nfs/dbraw/zinc/16/57/49/773165749.db2.gz DJLMMAKJHRLYOG-UHFFFAOYSA-N 0 2 307.266 0.348 20 0 DCADLN CCOC(=O)c1n[nH]cc1NC(=O)c1cc(C(=O)OC)[nH]n1 ZINC001194278541 773165750 /nfs/dbraw/zinc/16/57/50/773165750.db2.gz DJLMMAKJHRLYOG-UHFFFAOYSA-N 0 2 307.266 0.348 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cccc(CC(N)=O)c2)[nH]n1 ZINC001194288638 773177621 /nfs/dbraw/zinc/17/76/21/773177621.db2.gz JNJFRAODDJSVIL-UHFFFAOYSA-N 0 2 302.290 0.476 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cccc(CC(N)=O)c2)n[nH]1 ZINC001194288638 773177623 /nfs/dbraw/zinc/17/76/23/773177623.db2.gz JNJFRAODDJSVIL-UHFFFAOYSA-N 0 2 302.290 0.476 20 0 DCADLN Cc1noc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(F)C(F)(F)F)n1 ZINC001114308676 773307969 /nfs/dbraw/zinc/30/79/69/773307969.db2.gz KQRKYVZWHJLEBZ-WDQPUEAGSA-N 0 2 322.262 0.825 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nnco2)c(C)cc1O ZINC001195340870 773380365 /nfs/dbraw/zinc/38/03/65/773380365.db2.gz ZZEWPNXTIXKZQW-UHFFFAOYSA-N 0 2 313.291 0.671 20 0 DCADLN Cc1ccc(O)c(C(=O)Nc2[nH]c(=O)nc3[nH]c(=O)[nH]c32)n1 ZINC001195332139 773390952 /nfs/dbraw/zinc/39/09/52/773390952.db2.gz KUDGRWJHMCKODO-UHFFFAOYSA-N 0 2 302.250 0.377 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cc(C)nn2CCO)cn1 ZINC001195684492 773457071 /nfs/dbraw/zinc/45/70/71/773457071.db2.gz IELFHCYKWXNPTC-UHFFFAOYSA-N 0 2 312.351 0.388 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2ccc(O)cc2)n(C)n1 ZINC001195766815 773471446 /nfs/dbraw/zinc/47/14/46/773471446.db2.gz VLSUJSJLBXBXBE-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN O=C1CCc2ccc(-c3noc(-c4c[nH]c(=O)c(=O)[nH]4)n3)cc21 ZINC001213927886 773902417 /nfs/dbraw/zinc/90/24/17/773902417.db2.gz HKFUZXCHWVMZPO-UHFFFAOYSA-N 0 2 310.269 0.909 20 0 DCADLN O=C1CCc2cc(-c3noc(-c4c[nH]c(=O)c(=O)[nH]4)n3)ccc21 ZINC001213928129 773902921 /nfs/dbraw/zinc/90/29/21/773902921.db2.gz PZZJDOFQMAQKLY-UHFFFAOYSA-N 0 2 310.269 0.909 20 0 DCADLN COc1cc(OC)cc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c1 ZINC001213928354 773902926 /nfs/dbraw/zinc/90/29/26/773902926.db2.gz VNKCBIWVROKFIO-UHFFFAOYSA-N 0 2 316.273 0.797 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnn(CC2CCOCC2)c1 ZINC001199569924 774141849 /nfs/dbraw/zinc/14/18/49/774141849.db2.gz AYEDTKNYPGWTOF-GFCCVEGCSA-N 0 2 324.362 0.349 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1nccc(OC2CCC2)n1 ZINC001199633200 774157192 /nfs/dbraw/zinc/15/71/92/774157192.db2.gz ZSMXOPVJGKRMMQ-NSHDSACASA-N 0 2 308.319 0.447 20 0 DCADLN O=c1cc(I)nc(OC2CC(O)C2)[nH]1 ZINC001218257986 774219298 /nfs/dbraw/zinc/21/92/98/774219298.db2.gz LZMXZEDXXQFGEQ-UHFFFAOYSA-N 0 2 308.075 0.689 20 0 DCADLN CN(C)c1nc(NC(=O)[C@@H](N)C(F)(F)F)c(N=O)c(=O)[nH]1 ZINC001218662435 774276551 /nfs/dbraw/zinc/27/65/51/774276551.db2.gz FQYSSOKZXLXMLH-SCSAIBSYSA-N 0 2 308.220 0.474 20 0 DCADLN CN(C)c1nc(NC(=O)C(N)C(F)(F)F)c(N=O)c(=O)[nH]1 ZINC001218662435 774276553 /nfs/dbraw/zinc/27/65/53/774276553.db2.gz FQYSSOKZXLXMLH-SCSAIBSYSA-N 0 2 308.220 0.474 20 0 DCADLN O=C(CCC1CCCC1)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001219137937 774385336 /nfs/dbraw/zinc/38/53/36/774385336.db2.gz ZAXBACNXWQRWOX-NEPJUHHUSA-N 0 2 323.397 0.142 20 0 DCADLN COC(=O)CCCS(=O)(=O)Nc1ncn(C)c2ncnc1-2 ZINC001201902996 774574264 /nfs/dbraw/zinc/57/42/64/774574264.db2.gz GVHFSIQLGZUWLS-UHFFFAOYSA-N 0 2 313.339 0.010 20 0 DCADLN O=C(N[C@@H]1CN(Cc2cccnc2)C[C@@H]1O)C(F)C(F)(F)F ZINC001219665371 774574889 /nfs/dbraw/zinc/57/48/89/774574889.db2.gz ILJOMBRZJVVQMT-VWYCJHECSA-N 0 2 321.274 0.643 20 0 DCADLN O=C(CCn1cc[nH+]c1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCOCCO ZINC001110877357 775073302 /nfs/dbraw/zinc/07/33/02/775073302.db2.gz NTYSTQRAEKMNQF-KFWWJZLASA-N 0 2 322.409 0.004 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCC[C@@H]1CCOC1 ZINC001224696365 775596979 /nfs/dbraw/zinc/59/69/79/775596979.db2.gz CTDGBJXZOBVPKH-STQMWFEESA-N 0 2 323.411 0.018 20 0 DCADLN O=S(=O)([O-])C[C@H](CN1CCOCC1)Oc1cc[nH+]cc1 ZINC001225291385 775663534 /nfs/dbraw/zinc/66/35/34/775663534.db2.gz UDRXPDNAWZHSLP-LBPRGKRZSA-N 0 2 302.352 0.049 20 0 DCADLN Cc1nccc(NCCN(CCO)C(=O)C(F)C(F)(F)F)n1 ZINC001111579850 775672699 /nfs/dbraw/zinc/67/26/99/775672699.db2.gz URROMOYIXLXSNG-JTQLQIEISA-N 0 2 324.278 0.918 20 0 DCADLN CCO[C@@H](CC)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226385362 775809685 /nfs/dbraw/zinc/80/96/85/775809685.db2.gz XALVRKMBOUKHDK-NSHDSACASA-N 0 2 311.386 0.406 20 0 DCADLN CSC[C@@H](C)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226579572 775839796 /nfs/dbraw/zinc/83/97/96/775839796.db2.gz NMHCZXQNRCHSCU-SECBINFHSA-N 0 2 313.427 0.590 20 0 DCADLN CC1(C)C[C@@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c32)CCO1 ZINC001226886152 775890752 /nfs/dbraw/zinc/89/07/52/775890752.db2.gz LEWCEDZQKBHRNC-LURJTMIESA-N 0 2 308.294 0.873 20 0 DCADLN O=c1nc2c(=O)[nH]c(=O)[nH]c2c(O[C@H]2CCn3ccnc32)[nH]1 ZINC001226888705 775891147 /nfs/dbraw/zinc/89/11/47/775891147.db2.gz VFVLYLPQDPLTKK-YFKPBYRVSA-N 0 2 302.250 0.257 20 0 DCADLN CC[C@@H](O)C(=O)Oc1nc(I)cc(=O)[nH]1 ZINC001228946833 776140262 /nfs/dbraw/zinc/14/02/62/776140262.db2.gz OQZFMDLTFWBRQX-SCSAIBSYSA-N 0 2 324.074 0.463 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)c1ccc[nH]1 ZINC001041447100 777285718 /nfs/dbraw/zinc/28/57/18/777285718.db2.gz GVFYJOXMXVFZPC-QMMMGPOBSA-N 0 2 323.246 0.218 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccc[nH]1 ZINC001041447100 777285727 /nfs/dbraw/zinc/28/57/27/777285727.db2.gz GVFYJOXMXVFZPC-QMMMGPOBSA-N 0 2 323.246 0.218 20 0 DCADLN CC[C@H](C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041560610 777360651 /nfs/dbraw/zinc/36/06/51/777360651.db2.gz USENXOWQIWGECW-JGVFFNPUSA-N 0 2 314.279 0.622 20 0 DCADLN CC[C@H](C)C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001041560610 777360655 /nfs/dbraw/zinc/36/06/55/777360655.db2.gz USENXOWQIWGECW-JGVFFNPUSA-N 0 2 314.279 0.622 20 0 DCADLN C[C@@](CNC(=O)CC1CC(C)(C)C1)(NC(=O)C(N)=O)C1CC1 ZINC001582659245 1166063384 /nfs/dbraw/zinc/06/33/84/1166063384.db2.gz OLADDVYVHVJTGW-INIZCTEOSA-N 0 2 309.410 0.699 20 0 DCADLN C[C@](CNC(=O)[C@H]1CCC(F)(F)C1)(NC(=O)C(N)=O)C1CC1 ZINC001582658724 1166063393 /nfs/dbraw/zinc/06/33/93/1166063393.db2.gz HXQKQRKNIAYCKJ-ISVAXAHUSA-N 0 2 317.336 0.308 20 0 DCADLN CN(CCNC(=O)c1ccnn1C)C(=O)C(F)C(F)(F)F ZINC001408535242 939157441 /nfs/dbraw/zinc/15/74/41/939157441.db2.gz NKSQXWZHLYLADL-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)c1ccnn1C)C(=O)[C@H](F)C(F)(F)F ZINC001408535242 939157442 /nfs/dbraw/zinc/15/74/42/939157442.db2.gz NKSQXWZHLYLADL-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)N(C)CC[NH2+]Cc1nccn1C ZINC001267440894 939457648 /nfs/dbraw/zinc/45/76/48/939457648.db2.gz ZSVAAXOYKMYYFK-UHFFFAOYSA-N 0 2 318.425 0.953 20 0 DCADLN CCC[C@@H](OC)C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481051076 939542039 /nfs/dbraw/zinc/54/20/39/939542039.db2.gz BMWZOXYQCMTJMI-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCC[C@@H](OC)C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481051076 939542040 /nfs/dbraw/zinc/54/20/40/939542040.db2.gz BMWZOXYQCMTJMI-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCN(CCNC(=O)[C@@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001408723528 939608865 /nfs/dbraw/zinc/60/88/65/939608865.db2.gz VMOXANPRDJNWLP-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN CCN(CCNC(=O)[C@@H]1CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001408723528 939608867 /nfs/dbraw/zinc/60/88/67/939608867.db2.gz VMOXANPRDJNWLP-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1)NC1CC1 ZINC001481689158 940042938 /nfs/dbraw/zinc/04/29/38/940042938.db2.gz AGNCMTLRYLLUQZ-WPRPVWTQSA-N 0 2 311.279 0.356 20 0 DCADLN CCCSCC(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481715426 940074708 /nfs/dbraw/zinc/07/47/08/940074708.db2.gz JNZOBSVZMJUAAO-SNVBAGLBSA-N 0 2 313.427 0.686 20 0 DCADLN CN1C[C@@]2(CCN(C(=O)C=C(O)c3ccccc3)C2)OCC1=O ZINC001271008039 941239677 /nfs/dbraw/zinc/23/96/77/941239677.db2.gz HDKIAKZBIPGILT-QGZVFWFLSA-N 0 2 316.357 0.719 20 0 DCADLN O=C(Nc1nnco1)c1noc2c1CN(C(=O)[C@@H]1C[C@@H]1F)CC2 ZINC001271103218 941261017 /nfs/dbraw/zinc/26/10/17/941261017.db2.gz FWTQCWQVXYGXCA-SVRRBLITSA-N 0 2 321.268 0.553 20 0 DCADLN CCc1noc(C[NH2+]C[C@@H](C)NC(=O)C[N@@H+]2CC[C@H](C)C2)n1 ZINC001483167105 941919673 /nfs/dbraw/zinc/91/96/73/941919673.db2.gz TYVGFJMYFRIYHQ-NWDGAFQWSA-N 0 2 309.414 0.568 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(Cc3noc4c3COCC4)C2)[nH]1 ZINC001412995757 942184360 /nfs/dbraw/zinc/18/43/60/942184360.db2.gz DVEOKFAIGHWJSA-SECBINFHSA-N 0 2 305.338 0.951 20 0 DCADLN C[C@H](C[N@H+](C)CCOCCO)NC(=O)c1cccc2[nH+]ccn21 ZINC001483361423 942299123 /nfs/dbraw/zinc/29/91/23/942299123.db2.gz IBFNKAHWCWLPAO-CYBMUJFWSA-N 0 2 320.393 0.393 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001483386876 942321397 /nfs/dbraw/zinc/32/13/97/942321397.db2.gz JFXQVVRGVKSOJF-HBNTYKKESA-N 0 2 319.365 0.844 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001483386876 942321400 /nfs/dbraw/zinc/32/14/00/942321400.db2.gz JFXQVVRGVKSOJF-HBNTYKKESA-N 0 2 319.365 0.844 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2nccn2C)C1 ZINC001483864292 942990741 /nfs/dbraw/zinc/99/07/41/942990741.db2.gz ITUJBTYWVCCURT-JTQLQIEISA-N 0 2 308.279 0.963 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(Cc2nccn2C)C1 ZINC001483864292 942990746 /nfs/dbraw/zinc/99/07/46/942990746.db2.gz ITUJBTYWVCCURT-JTQLQIEISA-N 0 2 308.279 0.963 20 0 DCADLN Cn1cc(C[N@@H+]2CC[C@@](C)(NC(=O)CCc3nc[nH]n3)C2)cn1 ZINC001484039509 943108284 /nfs/dbraw/zinc/10/82/84/943108284.db2.gz UINQNIVAMHLRJH-OAHLLOKOSA-N 0 2 317.397 0.252 20 0 DCADLN Cn1cc(C[N@H+]2CC[C@@](C)(NC(=O)CCc3nc[nH]n3)C2)cn1 ZINC001484039509 943108288 /nfs/dbraw/zinc/10/82/88/943108288.db2.gz UINQNIVAMHLRJH-OAHLLOKOSA-N 0 2 317.397 0.252 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@@]1(C)CC[N@@H+](CCOCC2CC2)C1 ZINC001484067171 943127428 /nfs/dbraw/zinc/12/74/28/943127428.db2.gz HKKMQGJMANJOMN-KRWDZBQOSA-N 0 2 320.437 0.970 20 0 DCADLN CC(C)[NH+](C)CC(=O)NCc1ccccc1C[N@H+](C)CC(N)=O ZINC001484557436 943451431 /nfs/dbraw/zinc/45/14/31/943451431.db2.gz STYPWZRDYFGITR-UHFFFAOYSA-N 0 2 320.437 0.560 20 0 DCADLN CC1=NO[C@@](C)(C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)C1 ZINC001413671483 943561746 /nfs/dbraw/zinc/56/17/46/943561746.db2.gz XDWHLLSQCMBHQT-CQSZACIVSA-N 0 2 308.338 0.752 20 0 DCADLN C[C@H](NC(=O)c1cnn2c1NC[C@@H](C)C2)c1nn(C)cc1O ZINC001413768979 943676363 /nfs/dbraw/zinc/67/63/63/943676363.db2.gz XUUIWIGTVGHUNZ-BDAKNGLRSA-N 0 2 304.354 0.875 20 0 DCADLN CCc1ocnc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491199837 943824441 /nfs/dbraw/zinc/82/44/41/943824441.db2.gz NMTMYYLXFBIOAN-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1ocnc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491199837 943824444 /nfs/dbraw/zinc/82/44/44/943824444.db2.gz NMTMYYLXFBIOAN-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN NC(=O)c1[nH]nc2c1CN(C(=O)c1cc(F)c(O)c(F)c1)CC2 ZINC001276838304 944323364 /nfs/dbraw/zinc/32/33/64/944323364.db2.gz RIJNBPDYPWUEIJ-UHFFFAOYSA-N 0 2 322.271 0.691 20 0 DCADLN COC[C@@H](C)[NH+]1CC(O)(CNC(=O)C[N@H+](C)CC(C)(C)C)C1 ZINC001446882964 1013059303 /nfs/dbraw/zinc/05/93/03/1013059303.db2.gz GDWALSCHKYMUOS-CYBMUJFWSA-N 0 2 315.458 0.162 20 0 DCADLN CN(C(=O)C(=O)C(C)(C)C)C1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001316931781 944656358 /nfs/dbraw/zinc/65/63/58/944656358.db2.gz OFXAMSWHDDFXQK-UHFFFAOYSA-N 0 2 323.397 0.548 20 0 DCADLN O=S(=O)([N-]CC1CC[NH+](C2COC2)CC1)C(F)(F)F ZINC001252400563 945895208 /nfs/dbraw/zinc/89/52/08/945895208.db2.gz CXAZATPRBWMBAK-UHFFFAOYSA-N 0 2 302.318 0.536 20 0 DCADLN COC(=O)c1scc(S(C)(=O)=O)c1NS(C)(=O)=O ZINC001252634732 945973677 /nfs/dbraw/zinc/97/36/77/945973677.db2.gz BQLNCFSJZHAHFK-UHFFFAOYSA-N 0 2 313.378 0.310 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)C(=O)NCCc1n[nH]c(=S)o1 ZINC001320742731 945999177 /nfs/dbraw/zinc/99/91/77/945999177.db2.gz ZFRLZBYZPKFKPQ-UHFFFAOYSA-N 0 2 309.351 0.250 20 0 DCADLN CCCS(=O)(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1O ZINC001253705418 946165223 /nfs/dbraw/zinc/16/52/23/946165223.db2.gz SAHVTPJSTYBKLP-UHFFFAOYSA-N 0 2 322.408 0.794 20 0 DCADLN C[C@H](C(=O)NCCC[N@@H+]1CCC[C@H]1C(=O)N(C)C)n1cc[nH+]c1 ZINC001321489339 946350036 /nfs/dbraw/zinc/35/00/36/946350036.db2.gz IMYNAWGICCHHBD-KGLIPLIRSA-N 0 2 321.425 0.503 20 0 DCADLN CCCCn1ncc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC001254360432 946372845 /nfs/dbraw/zinc/37/28/45/946372845.db2.gz YLOVHYNAHLJOGC-UHFFFAOYSA-N 0 2 321.341 0.070 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001410581531 946602070 /nfs/dbraw/zinc/60/20/70/946602070.db2.gz WKQWNPGZOZBRGD-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001410581531 946602079 /nfs/dbraw/zinc/60/20/79/946602079.db2.gz WKQWNPGZOZBRGD-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=S(=O)(Nc1cnc(OC2COC2)nc1)c1cccnc1 ZINC001259602838 946967641 /nfs/dbraw/zinc/96/76/41/946967641.db2.gz VUHICMIFNXARAX-UHFFFAOYSA-N 0 2 308.319 0.450 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2cnn(C)c2)ccn1 ZINC001259820676 946989438 /nfs/dbraw/zinc/98/94/38/946989438.db2.gz JXRWMWADFMDGTE-UHFFFAOYSA-N 0 2 310.335 0.215 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3c2CNC(=O)C3)cn1 ZINC001259827861 946992721 /nfs/dbraw/zinc/99/27/21/946992721.db2.gz USELTXFEYIKTLZ-UHFFFAOYSA-N 0 2 306.347 0.393 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cnn2cc(F)ccc12 ZINC001260584742 947113786 /nfs/dbraw/zinc/11/37/86/947113786.db2.gz AIEKMRCNXWXFCN-UHFFFAOYSA-N 0 2 307.328 0.217 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cc2ccccc2oc1=O ZINC001260584375 947115948 /nfs/dbraw/zinc/11/59/48/947115948.db2.gz YOLNWGGTDNSTKY-UHFFFAOYSA-N 0 2 317.344 0.537 20 0 DCADLN O=C1COc2ccc(S(=O)(=O)Nc3ccncn3)cc2N1 ZINC001260667087 947135988 /nfs/dbraw/zinc/13/59/88/947135988.db2.gz CAAHSSGHKQRXAX-UHFFFAOYSA-N 0 2 306.303 0.608 20 0 DCADLN O=S(=O)(Nc1ccnn1CC1CCOCC1)c1cn[nH]c1 ZINC001260954889 947155875 /nfs/dbraw/zinc/15/58/75/947155875.db2.gz CODAOBSNYCORFE-UHFFFAOYSA-N 0 2 311.367 0.834 20 0 DCADLN O=S(=O)(Nc1ccc(N2CCC(O)CC2)nc1)c1cn[nH]c1 ZINC001260954692 947156000 /nfs/dbraw/zinc/15/60/00/947156000.db2.gz XAPLIELQHDCTIX-UHFFFAOYSA-N 0 2 323.378 0.567 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1cccnc1OC1COC1 ZINC001261023434 947165202 /nfs/dbraw/zinc/16/52/02/947165202.db2.gz IXHBGHBIUKUOCB-UHFFFAOYSA-N 0 2 324.362 1.000 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)C(=O)N1CCC1 ZINC001323777604 947199591 /nfs/dbraw/zinc/19/95/91/947199591.db2.gz JZTPHANBRKYZGB-UHFFFAOYSA-N 0 2 315.333 0.232 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)C[C@H](O)C[N@H+](C)C/C=C\Cl ZINC001411183714 947451544 /nfs/dbraw/zinc/45/15/44/947451544.db2.gz GHECZRDTLSINJC-DSYXLKISSA-N 0 2 314.817 0.695 20 0 DCADLN CC(C)(C)/C=C/C(=O)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325069917 947635461 /nfs/dbraw/zinc/63/54/61/947635461.db2.gz JWBRUFJMDMNHGM-MBVDDHJVSA-N 0 2 323.397 0.166 20 0 DCADLN CC(C)(C)/C=C/C(=O)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325069917 947635466 /nfs/dbraw/zinc/63/54/66/947635466.db2.gz JWBRUFJMDMNHGM-MBVDDHJVSA-N 0 2 323.397 0.166 20 0 DCADLN C[NH+]1CC2(CN(S(=O)(=O)c3ccc(CCC(=O)[O-])cc3)C2)C1 ZINC001192131611 947995174 /nfs/dbraw/zinc/99/51/74/947995174.db2.gz CJEOAGZEJJFPMH-UHFFFAOYSA-N 0 2 324.402 0.640 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cc(C2CC2)no1 ZINC001462707138 1013086282 /nfs/dbraw/zinc/08/62/82/1013086282.db2.gz GWRSWJGDNBLNDO-UHFFFAOYSA-N 0 2 314.305 0.253 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](CC3(OC)CCC3)C2=O)[nH]1 ZINC001327385329 948489077 /nfs/dbraw/zinc/48/90/77/948489077.db2.gz ATMJCQBFHGWFLU-SECBINFHSA-N 0 2 323.353 0.331 20 0 DCADLN CC[C@@H](C[C@H](C)O)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001570922994 948653557 /nfs/dbraw/zinc/65/35/57/948653557.db2.gz RLMUKTUUXAGIIL-IUCAKERBSA-N 0 2 320.353 0.555 20 0 DCADLN O=C([O-])CC[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000035680904 948810901 /nfs/dbraw/zinc/81/09/01/948810901.db2.gz ANOSAOAEKDWUCS-UHFFFAOYSA-N 0 2 313.335 0.889 20 0 DCADLN CC(C)(C)n1nnc(CC(=O)NCCc2n[nH]c(=S)o2)n1 ZINC001328351115 948846596 /nfs/dbraw/zinc/84/65/96/948846596.db2.gz UYKOLIMHFAFLDK-UHFFFAOYSA-N 0 2 311.371 0.001 20 0 DCADLN CC/C(C)=C\C(=O)NC1(CO)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001328531475 948946166 /nfs/dbraw/zinc/94/61/66/948946166.db2.gz WPGIIOABUMIRIG-FLIBITNWSA-N 0 2 323.397 0.310 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(F)CCCC1 ZINC001488639882 948996163 /nfs/dbraw/zinc/99/61/63/948996163.db2.gz BUMNNQPAKGDIPE-SNVBAGLBSA-N 0 2 311.361 0.825 20 0 DCADLN C[C@@H](C(=O)Nc1nc2n(c1C(=O)[O-])CCOC2)n1cc[nH+]c1 ZINC001602795843 971558103 /nfs/dbraw/zinc/55/81/03/971558103.db2.gz PUOHPAYZXPWVBJ-QMMMGPOBSA-N 0 2 305.294 0.508 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1C[C@H](CO)[C@@H](CCO)C1 ZINC001364541276 949525009 /nfs/dbraw/zinc/52/50/09/949525009.db2.gz BXUYADMMKSRSSE-DTWKUNHWSA-N 0 2 301.289 0.733 20 0 DCADLN COC[C@@H](CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)OC ZINC001364593346 949603101 /nfs/dbraw/zinc/60/31/01/949603101.db2.gz BNPQDPFJCLZLJM-VHSXEESVSA-N 0 2 313.358 0.061 20 0 DCADLN COCC(=O)N1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001489750880 949984512 /nfs/dbraw/zinc/98/45/12/949984512.db2.gz IJACVTBIJDXEDR-IONNQARKSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N1CC[C@H]1CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001489750880 949984523 /nfs/dbraw/zinc/98/45/23/949984523.db2.gz IJACVTBIJDXEDR-IONNQARKSA-N 0 2 300.252 0.593 20 0 DCADLN C[C@@]1(NS(=O)(=O)CCn2cc[nH+]c2)CCCC[C@H]1C(=O)[O-] ZINC001604597795 1169626535 /nfs/dbraw/zinc/62/65/35/1169626535.db2.gz LAWLNWUVFFLSBK-WCQYABFASA-N 0 2 315.395 0.836 20 0 DCADLN Cn1cc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)nn1 ZINC001364944353 950233733 /nfs/dbraw/zinc/23/37/33/950233733.db2.gz NPHPJLUCROUAQE-VIFPVBQESA-N 0 2 309.267 0.360 20 0 DCADLN Cn1cc(CN2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)nn1 ZINC001364944353 950233747 /nfs/dbraw/zinc/23/37/47/950233747.db2.gz NPHPJLUCROUAQE-VIFPVBQESA-N 0 2 309.267 0.360 20 0 DCADLN C[C@@]1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1(F)F ZINC001364997661 950329819 /nfs/dbraw/zinc/32/98/19/950329819.db2.gz LKBZXOOQEPYGMO-VIFPVBQESA-N 0 2 308.310 0.011 20 0 DCADLN COCC(=O)N1C[C@@H](CNC(=O)C(F)C(F)(F)F)[C@H](C)C1 ZINC001490314302 950555239 /nfs/dbraw/zinc/55/52/39/950555239.db2.gz DILZLYKWRPSDHT-NQMVMOMDSA-N 0 2 314.279 0.744 20 0 DCADLN COCC(=O)N1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)[C@H](C)C1 ZINC001490314302 950555251 /nfs/dbraw/zinc/55/52/51/950555251.db2.gz DILZLYKWRPSDHT-NQMVMOMDSA-N 0 2 314.279 0.744 20 0 DCADLN CC1(CCNC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001365148264 950607657 /nfs/dbraw/zinc/60/76/57/950607657.db2.gz KDVWSPFXSVMEOM-UHFFFAOYSA-N 0 2 315.399 0.339 20 0 DCADLN C[S@@](=O)Cc1cccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC001330806429 950676688 /nfs/dbraw/zinc/67/66/88/950676688.db2.gz JKMSNCGRZXYAIZ-JOCHJYFZSA-N 0 2 322.390 0.751 20 0 DCADLN CC1=NO[C@H](CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001365233382 950781462 /nfs/dbraw/zinc/78/14/62/950781462.db2.gz QHBDSCGIBYKGTG-UWVGGRQHSA-N 0 2 308.342 0.564 20 0 DCADLN Cc1nc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nn1C ZINC001365262110 950837841 /nfs/dbraw/zinc/83/78/41/950837841.db2.gz YSJGDOJMYMECIC-QMMMGPOBSA-N 0 2 306.330 0.359 20 0 DCADLN COCc1nnc(CNC(=O)N2CCc3c(O)cccc3C2)[nH]1 ZINC001365415261 951097636 /nfs/dbraw/zinc/09/76/36/951097636.db2.gz YNKZHVHTIIWAQD-UHFFFAOYSA-N 0 2 317.349 0.925 20 0 DCADLN COCC(=O)NCCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001280829669 951098079 /nfs/dbraw/zinc/09/80/79/951098079.db2.gz AJIKVBBTCWMJDX-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN COCC(=O)NCCC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001280829669 951098093 /nfs/dbraw/zinc/09/80/93/951098093.db2.gz AJIKVBBTCWMJDX-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@H](C(=O)N[C@H]2CCn3cc[nH+]c3C2)CO1 ZINC001595001875 951140115 /nfs/dbraw/zinc/14/01/15/951140115.db2.gz PZZTUNMTTOXMDI-SDDRHHMPSA-N 0 2 307.350 0.584 20 0 DCADLN COc1cc(F)c(S(=O)(=O)Nc2nnn(C)n2)c(F)c1 ZINC001365456358 951149547 /nfs/dbraw/zinc/14/95/47/951149547.db2.gz SMGZMINQCUAOTG-UHFFFAOYSA-N 0 2 305.266 0.298 20 0 DCADLN O=C(NCCc1cn[nH]c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365638910 951363265 /nfs/dbraw/zinc/36/32/65/951363265.db2.gz FUMIRSDXPQJIGO-SNVBAGLBSA-N 0 2 305.342 0.365 20 0 DCADLN NC(=O)C1(NS(=O)(=O)c2onc(C3CC3)c2Cl)CC1 ZINC001365681022 951425955 /nfs/dbraw/zinc/42/59/55/951425955.db2.gz AVALWVFMVIJPHG-UHFFFAOYSA-N 0 2 305.743 0.502 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC000381882184 971784609 /nfs/dbraw/zinc/78/46/09/971784609.db2.gz OCDFESMQMPJMQT-ZIAGYGMSSA-N 0 2 306.362 0.555 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC000381882184 971784613 /nfs/dbraw/zinc/78/46/13/971784613.db2.gz OCDFESMQMPJMQT-ZIAGYGMSSA-N 0 2 306.362 0.555 20 0 DCADLN C/C(=C/C(=O)N[C@]1(C(=O)[O-])CCSC1)C[NH+]1CCOCC1 ZINC001333331821 952010498 /nfs/dbraw/zinc/01/04/98/952010498.db2.gz JKRRBWDWIXSDJS-KOTGUFOOSA-N 0 2 314.407 0.341 20 0 DCADLN C/C(=C/C(=O)NC[C@H](CC1CC1)C(=O)[O-])C[NH+]1CCOCC1 ZINC001333335977 952010652 /nfs/dbraw/zinc/01/06/52/952010652.db2.gz ZPCBBKUXCWMZLD-UNJUUPHPSA-N 0 2 310.394 0.882 20 0 DCADLN O=C([O-])[C@@H]1CC[C@@H]1C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001595173143 952227778 /nfs/dbraw/zinc/22/77/78/952227778.db2.gz FKGOZCBFLOOWJT-UONOGXRCSA-N 0 2 318.377 0.274 20 0 DCADLN CONC(=O)CNc1ccc(S(=O)(=O)N(C)C(C)C)cc1 ZINC001334322181 952287175 /nfs/dbraw/zinc/28/71/75/952287175.db2.gz WUZYFRCEKALJNU-UHFFFAOYSA-N 0 2 315.395 0.805 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)[nH]1 ZINC000382669503 971829572 /nfs/dbraw/zinc/82/95/72/971829572.db2.gz WBVONPLBENPPOO-LLVKDONJSA-N 0 2 307.350 0.650 20 0 DCADLN C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001334807358 952396701 /nfs/dbraw/zinc/39/67/01/952396701.db2.gz YFHYZFWDDSWFJB-WBMJQRKESA-N 0 2 318.377 0.274 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CS(=O)(=O)C[C@@H]2C(F)(F)F)n1 ZINC001411741869 952421904 /nfs/dbraw/zinc/42/19/04/952421904.db2.gz IBZJOSHIUNQGJF-WDSKDSINSA-N 0 2 312.273 0.275 20 0 DCADLN COCCC(=O)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001446670859 952456897 /nfs/dbraw/zinc/45/68/97/952456897.db2.gz PIZICERTSVETRX-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN COCCC(=O)N1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001446670859 952456903 /nfs/dbraw/zinc/45/69/03/952456903.db2.gz PIZICERTSVETRX-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN CN(C)C(=O)CN1CCC12C[NH+](Cc1ccc(C(=O)[O-])s1)C2 ZINC001276015942 952621697 /nfs/dbraw/zinc/62/16/97/952621697.db2.gz YAIPRCDYHRGRJR-UHFFFAOYSA-N 0 2 323.418 0.795 20 0 DCADLN COCc1nnc(CNC(=O)[C@H](C)OCc2ccncc2)[nH]1 ZINC001412323934 952747924 /nfs/dbraw/zinc/74/79/24/952747924.db2.gz AHOLISSDEIRLDG-JTQLQIEISA-N 0 2 305.338 0.568 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCCc2cccnc21 ZINC001463172963 1013113963 /nfs/dbraw/zinc/11/39/63/1013113963.db2.gz HDGQEVJSDOIBBX-GFCCVEGCSA-N 0 2 324.344 0.660 20 0 DCADLN COC[C@@H](C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412471285 952826804 /nfs/dbraw/zinc/82/68/04/952826804.db2.gz LJMLDTPBYVDYNF-VIFPVBQESA-N 0 2 306.322 0.279 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC[C@H]2CNC(=O)C2)cn1 ZINC001412556015 952895084 /nfs/dbraw/zinc/89/50/84/952895084.db2.gz XHIRSVYPLIPEMI-SECBINFHSA-N 0 2 302.338 0.066 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cccc3nsnc32)S1 ZINC001412596203 952925510 /nfs/dbraw/zinc/92/55/10/952925510.db2.gz YAFJEJIESDZKBJ-QMMMGPOBSA-N 0 2 321.387 0.864 20 0 DCADLN O=C(Nc1nc(-c2c(F)cccc2F)n[nH]1)[C@@H]1COC(=O)N1 ZINC001412828074 953117523 /nfs/dbraw/zinc/11/75/23/953117523.db2.gz GIXULLMWNRHKCJ-ZETCQYMHSA-N 0 2 309.232 0.797 20 0 DCADLN CC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[nH]n1)C(F)(F)F ZINC001475811938 953136215 /nfs/dbraw/zinc/13/62/15/953136215.db2.gz RFWFMLWIWGFLTI-ZCFIWIBFSA-N 0 2 315.273 0.816 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001365937199 953432021 /nfs/dbraw/zinc/43/20/21/953432021.db2.gz CABJLDQMKJSUAM-JQWIXIFHSA-N 0 2 311.386 0.262 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001594814533 953844763 /nfs/dbraw/zinc/84/47/63/953844763.db2.gz KOJZIXWQZYKEOV-VIFPVBQESA-N 0 2 302.290 0.206 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001283005958 953906605 /nfs/dbraw/zinc/90/66/05/953906605.db2.gz XIXUZALLVUXLKY-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001283005958 953906615 /nfs/dbraw/zinc/90/66/15/953906615.db2.gz XIXUZALLVUXLKY-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN C[C@@H](CNC(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001346520045 953908703 /nfs/dbraw/zinc/90/87/03/953908703.db2.gz ZTHGGQMRWKQFBY-GXFFZTMASA-N 0 2 319.361 0.126 20 0 DCADLN C[C@@H](CNC(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001346520045 953908711 /nfs/dbraw/zinc/90/87/11/953908711.db2.gz ZTHGGQMRWKQFBY-GXFFZTMASA-N 0 2 319.361 0.126 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001283194182 954121761 /nfs/dbraw/zinc/12/17/61/954121761.db2.gz QCZFWIVEBASFJT-MRVPVSSYSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)[nH]n1 ZINC001283194182 954121764 /nfs/dbraw/zinc/12/17/64/954121764.db2.gz QCZFWIVEBASFJT-MRVPVSSYSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1cccnc1C[N@H+](C)CCOCCNC(=O)c1nc[nH]n1 ZINC001283416490 954338493 /nfs/dbraw/zinc/33/84/93/954338493.db2.gz NGFZGEPJGFPOAO-UHFFFAOYSA-N 0 2 318.381 0.387 20 0 DCADLN CN(CCCNC(=O)c1cn(C)cn1)C(=O)C(F)C(F)(F)F ZINC001283521589 954419799 /nfs/dbraw/zinc/41/97/99/954419799.db2.gz LAQJSACWMWQECR-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)c1cn(C)cn1)C(=O)[C@@H](F)C(F)(F)F ZINC001283521589 954419808 /nfs/dbraw/zinc/41/98/08/954419808.db2.gz LAQJSACWMWQECR-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)C(=O)NCC[N@H+](C)Cc1ccccn1 ZINC001366584710 954462301 /nfs/dbraw/zinc/46/23/01/954462301.db2.gz BNONTSPTYYKRKP-HNNXBMFYSA-N 0 2 320.437 0.598 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(C[N@@H+]2CCOC(C3CC3)(C3CC3)C2)C1 ZINC001594898905 954597938 /nfs/dbraw/zinc/59/79/38/954597938.db2.gz UTQOODNFZVXANM-NSHDSACASA-N 0 2 308.378 0.768 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(C[N@H+]2CCOC(C3CC3)(C3CC3)C2)C1 ZINC001594898905 954597949 /nfs/dbraw/zinc/59/79/49/954597949.db2.gz UTQOODNFZVXANM-NSHDSACASA-N 0 2 308.378 0.768 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(CN2CC[NH+](Cc3ccncc3)CC2)C1 ZINC001594898879 954598519 /nfs/dbraw/zinc/59/85/19/954598519.db2.gz UAAJJDRFPDENBA-CQSZACIVSA-N 0 2 318.377 0.090 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CCC1 ZINC001349163875 954622877 /nfs/dbraw/zinc/62/28/77/954622877.db2.gz SUZUSIZEKKDCTO-RKDXNWHRSA-N 0 2 314.279 0.934 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C1CCC1 ZINC001349163875 954622885 /nfs/dbraw/zinc/62/28/85/954622885.db2.gz SUZUSIZEKKDCTO-RKDXNWHRSA-N 0 2 314.279 0.934 20 0 DCADLN CNC(=O)c1cccc(C[N@@H+]2CCO[C@@](COC)(C(=O)[O-])C2)c1 ZINC001593798734 954839205 /nfs/dbraw/zinc/83/92/05/954839205.db2.gz SJJVTOOSHLQNFF-INIZCTEOSA-N 0 2 322.361 0.348 20 0 DCADLN CNC(=O)c1cccc(C[N@H+]2CCO[C@@](COC)(C(=O)[O-])C2)c1 ZINC001593798734 954839217 /nfs/dbraw/zinc/83/92/17/954839217.db2.gz SJJVTOOSHLQNFF-INIZCTEOSA-N 0 2 322.361 0.348 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@@H](OC2CCC2)C1 ZINC000709333860 955008505 /nfs/dbraw/zinc/00/85/05/955008505.db2.gz KPCRKPGLQKTEHT-GFCCVEGCSA-N 0 2 305.396 0.519 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@@H](OC2CCC2)C1 ZINC000709333860 955008517 /nfs/dbraw/zinc/00/85/17/955008517.db2.gz KPCRKPGLQKTEHT-GFCCVEGCSA-N 0 2 305.396 0.519 20 0 DCADLN CCn1ncnc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001367025424 955220608 /nfs/dbraw/zinc/22/06/08/955220608.db2.gz WMOXYRBGDCBYMS-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncnc1CN1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001367025424 955220619 /nfs/dbraw/zinc/22/06/19/955220619.db2.gz WMOXYRBGDCBYMS-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN CCN(C(=O)CCCC(C)=O)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001367073302 955285720 /nfs/dbraw/zinc/28/57/20/955285720.db2.gz XGSHWDMJYCUODA-GFCCVEGCSA-N 0 2 323.397 0.692 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001351569557 955336278 /nfs/dbraw/zinc/33/62/78/955336278.db2.gz PQIFLGIUJSFKDW-SSDOTTSWSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001351569557 955336289 /nfs/dbraw/zinc/33/62/89/955336289.db2.gz PQIFLGIUJSFKDW-SSDOTTSWSA-N 0 2 310.251 0.888 20 0 DCADLN C[C@@H](CNC(=O)c1cc[n+]([O-])cc1)NC(=O)C(F)C(F)(F)F ZINC001285738252 955944964 /nfs/dbraw/zinc/94/49/64/955944964.db2.gz FNYKYFOZTOQPNS-IONNQARKSA-N 0 2 323.246 0.455 20 0 DCADLN C[C@@H](CNC(=O)c1cc[n+]([O-])cc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001285738252 955944973 /nfs/dbraw/zinc/94/49/73/955944973.db2.gz FNYKYFOZTOQPNS-IONNQARKSA-N 0 2 323.246 0.455 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[NH2+]Cc1nncn1-c1ccccc1 ZINC001594583560 955993889 /nfs/dbraw/zinc/99/38/89/955993889.db2.gz UNKJGGBCIOSVEJ-UHFFFAOYSA-N 0 2 312.333 0.989 20 0 DCADLN COCCOCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001354458864 956395632 /nfs/dbraw/zinc/39/56/32/956395632.db2.gz JZYSEDAFTGXCFO-APPZFPTMSA-N 0 2 318.267 0.171 20 0 DCADLN COCCOCC(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001354458864 956395645 /nfs/dbraw/zinc/39/56/45/956395645.db2.gz JZYSEDAFTGXCFO-APPZFPTMSA-N 0 2 318.267 0.171 20 0 DCADLN COC[C@@H](C[N@@H+]1CCO[C@@H](C)C1)OC(=O)[C@@H]1CC[C@@H]1C(=O)[O-] ZINC001593957158 956442473 /nfs/dbraw/zinc/44/24/73/956442473.db2.gz AZCJCLSGOFDARP-QNWHQSFQSA-N 0 2 315.366 0.376 20 0 DCADLN COC[C@@H](C[N@H+]1CCO[C@@H](C)C1)OC(=O)[C@@H]1CC[C@@H]1C(=O)[O-] ZINC001593957158 956442490 /nfs/dbraw/zinc/44/24/90/956442490.db2.gz AZCJCLSGOFDARP-QNWHQSFQSA-N 0 2 315.366 0.376 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001593960302 956482076 /nfs/dbraw/zinc/48/20/76/956482076.db2.gz UMDCBQIRSPBUSN-IAQYHMDHSA-N 0 2 307.350 0.395 20 0 DCADLN NS(=O)(=O)c1ccc(C[NH+]2CC(C(=O)[O-])C2)cc1Cl ZINC001594661367 956539491 /nfs/dbraw/zinc/53/94/91/956539491.db2.gz PNBCYSHIVAQJMV-UHFFFAOYSA-N 0 2 304.755 0.504 20 0 DCADLN CC1(C)C(C)(C)C1(C(=O)[O-])C(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001589205352 956662150 /nfs/dbraw/zinc/66/21/50/956662150.db2.gz IKGZOLKVAURXTN-JTQLQIEISA-N 0 2 309.366 0.566 20 0 DCADLN CC1(C)C(C)(C)C1(C(=O)[O-])C(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001589205352 956662155 /nfs/dbraw/zinc/66/21/55/956662155.db2.gz IKGZOLKVAURXTN-JTQLQIEISA-N 0 2 309.366 0.566 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2ccc3c(n2)CCC3)CCO1 ZINC001593983178 956727706 /nfs/dbraw/zinc/72/77/06/956727706.db2.gz GCZKXHDLVMOJEQ-MRXNPFEDSA-N 0 2 306.362 0.872 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2ccc3c(n2)CCC3)CCO1 ZINC001593983178 956727714 /nfs/dbraw/zinc/72/77/14/956727714.db2.gz GCZKXHDLVMOJEQ-MRXNPFEDSA-N 0 2 306.362 0.872 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(Cc2c[nH+]c3c(C)cccn23)CCO1 ZINC001593983581 956733424 /nfs/dbraw/zinc/73/34/24/956733424.db2.gz KBHGOCDHHPIDRZ-INIZCTEOSA-N 0 2 319.361 0.945 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2cncc(OC)c2C)CCO1 ZINC001593984521 956751320 /nfs/dbraw/zinc/75/13/20/956751320.db2.gz QMIXLEWQOFEHBW-HNNXBMFYSA-N 0 2 310.350 0.701 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2cncc(OC)c2C)CCO1 ZINC001593984521 956751330 /nfs/dbraw/zinc/75/13/30/956751330.db2.gz QMIXLEWQOFEHBW-HNNXBMFYSA-N 0 2 310.350 0.701 20 0 DCADLN COCCN(C)c1ccc(C[N@@H+]2CCO[C@H](C(=O)[O-])C2)cn1 ZINC001593995789 956895222 /nfs/dbraw/zinc/89/52/22/956895222.db2.gz UMHKIYHYGWRTSG-ZDUSSCGKSA-N 0 2 309.366 0.450 20 0 DCADLN COCCN(C)c1ccc(C[N@H+]2CCO[C@H](C(=O)[O-])C2)cn1 ZINC001593995789 956895231 /nfs/dbraw/zinc/89/52/31/956895231.db2.gz UMHKIYHYGWRTSG-ZDUSSCGKSA-N 0 2 309.366 0.450 20 0 DCADLN CN(CCCNC(=O)c1cccnn1)C(=O)C(F)C(F)(F)F ZINC001294268085 957505468 /nfs/dbraw/zinc/50/54/68/957505468.db2.gz WWOJVINWKQJILQ-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1cccnn1)C(=O)[C@@H](F)C(F)(F)F ZINC001294268085 957505473 /nfs/dbraw/zinc/50/54/73/957505473.db2.gz WWOJVINWKQJILQ-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN C[C@@H]1CN(C(=O)c2nc[nH]n2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001414014284 957658242 /nfs/dbraw/zinc/65/82/42/957658242.db2.gz MPVPLJAQGMPJLF-FSDSQADBSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@@H]1CN(C(=O)c2nc[nH]n2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001414014284 957658249 /nfs/dbraw/zinc/65/82/49/957658249.db2.gz MPVPLJAQGMPJLF-FSDSQADBSA-N 0 2 323.250 0.282 20 0 DCADLN CCOCCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001295443693 957709210 /nfs/dbraw/zinc/70/92/10/957709210.db2.gz PRCYZBXIQKAGSL-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCCC(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001295443693 957709222 /nfs/dbraw/zinc/70/92/22/957709222.db2.gz PRCYZBXIQKAGSL-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@H]2CCCO2)C1 ZINC001417254037 957814465 /nfs/dbraw/zinc/81/44/65/957814465.db2.gz GIIXCHSFNXCOIS-RKDXNWHRSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)[C@H]2CCCO2)C1 ZINC001417254037 957814480 /nfs/dbraw/zinc/81/44/80/957814480.db2.gz GIIXCHSFNXCOIS-RKDXNWHRSA-N 0 2 312.263 0.735 20 0 DCADLN Nc1nnn(CC(=O)Nc2cc(Cl)c(O)c(Cl)c2)n1 ZINC001295862819 957836729 /nfs/dbraw/zinc/83/67/29/957836729.db2.gz UYSCUGIDPSXKND-UHFFFAOYSA-N 0 2 303.109 0.906 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N[C@H]2C[C@H](C(=O)[O-])C2)c[nH+]1 ZINC001594293991 957850685 /nfs/dbraw/zinc/85/06/85/957850685.db2.gz HOJWJOSOMCHRKD-UMSPYCQHSA-N 0 2 305.334 0.294 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1CC(F)(F)C1 ZINC001367509175 957924687 /nfs/dbraw/zinc/92/46/87/957924687.db2.gz XEJOASINFIJRKO-UHFFFAOYSA-N 0 2 303.313 0.446 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1CC(F)(F)C1 ZINC001367509175 957924693 /nfs/dbraw/zinc/92/46/93/957924693.db2.gz XEJOASINFIJRKO-UHFFFAOYSA-N 0 2 303.313 0.446 20 0 DCADLN COc1c(C)c[nH+]c(CN(C)C(=O)[C@@H]2CC(C(=O)[O-])=NO2)c1C ZINC001594046433 957932816 /nfs/dbraw/zinc/93/28/16/957932816.db2.gz JBLSLORTYSBSCZ-LBPRGKRZSA-N 0 2 321.333 0.895 20 0 DCADLN COc1ccn2c(c1)ncc2C(=O)NCCc1n[nH]c(=S)o1 ZINC001296733833 958043690 /nfs/dbraw/zinc/04/36/90/958043690.db2.gz JMJUHRGQVVGJOY-UHFFFAOYSA-N 0 2 319.346 0.987 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001361752470 958288726 /nfs/dbraw/zinc/28/87/26/958288726.db2.gz LQJSAWJOOSQVGC-RNFRBKRXSA-N 0 2 306.281 0.285 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001361752470 958288740 /nfs/dbraw/zinc/28/87/40/958288740.db2.gz LQJSAWJOOSQVGC-RNFRBKRXSA-N 0 2 306.281 0.285 20 0 DCADLN C[C@@H]1C[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H](C)O1 ZINC001361874738 958436816 /nfs/dbraw/zinc/43/68/16/958436816.db2.gz YTUNEOVCXQDDQP-KXUCPTDWSA-N 0 2 305.338 0.001 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cncc(Cl)c1 ZINC001361897518 958463371 /nfs/dbraw/zinc/46/33/71/958463371.db2.gz OCWOSZDRDOSFLR-UHFFFAOYSA-N 0 2 304.697 0.758 20 0 DCADLN C/C(=C\C(=O)N1C[C@H](C(=O)[O-])CC[C@@H]1C)C[NH+]1CCOCC1 ZINC001588481253 958593031 /nfs/dbraw/zinc/59/30/31/958593031.db2.gz VRVCRMYAWFQUGV-UYEGUDHTSA-N 0 2 310.394 0.977 20 0 DCADLN C[C@H]1CN(C(=O)c2nc[nH]c(=O)c2Br)CCN1C ZINC001362092735 958723651 /nfs/dbraw/zinc/72/36/51/958723651.db2.gz RRWOCRBPJLQWTE-ZETCQYMHSA-N 0 2 315.171 0.721 20 0 DCADLN COC(=O)Cc1nc(NC(=O)c2ocnc2C(F)(F)F)n[nH]1 ZINC001362137988 958807283 /nfs/dbraw/zinc/80/72/83/958807283.db2.gz UQTYEOXVROONFD-UHFFFAOYSA-N 0 2 319.199 0.779 20 0 DCADLN O=C([O-])c1ncsc1S(=O)(=O)N[C@H]1CC[N@@H+](C2CC2)C1 ZINC000134700496 958854814 /nfs/dbraw/zinc/85/48/14/958854814.db2.gz LGQKDCYGZVDXFN-ZETCQYMHSA-N 0 2 317.392 0.356 20 0 DCADLN O=C([O-])c1ncsc1S(=O)(=O)N[C@H]1CC[N@H+](C2CC2)C1 ZINC000134700496 958854826 /nfs/dbraw/zinc/85/48/26/958854826.db2.gz LGQKDCYGZVDXFN-ZETCQYMHSA-N 0 2 317.392 0.356 20 0 DCADLN COC[C@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C(C)C ZINC001362213469 958938097 /nfs/dbraw/zinc/93/80/97/958938097.db2.gz BMGWDNLVVMXKSI-LBPRGKRZSA-N 0 2 320.349 0.667 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CSC(c2ccccc2)=N1 ZINC001362213094 958938668 /nfs/dbraw/zinc/93/86/68/958938668.db2.gz QKZLOYDADDATLO-VIFPVBQESA-N 0 2 303.347 0.689 20 0 DCADLN O=C(C[C@H](n1cc[nH+]c1)C(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001362215275 958941939 /nfs/dbraw/zinc/94/19/39/958941939.db2.gz FWWYDEIFNQQCDD-LURJTMIESA-N 0 2 304.232 0.517 20 0 DCADLN COC(=O)Cc1nc(NC(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)n[nH]1 ZINC001362259770 959020881 /nfs/dbraw/zinc/02/08/81/959020881.db2.gz WLKZNQMLAAPNER-IONNQARKSA-N 0 2 318.337 0.548 20 0 DCADLN Cc1cn(C(C)(C)C(=O)NCCOCCOCC(=O)[O-])c[nH+]1 ZINC001594425716 959122023 /nfs/dbraw/zinc/12/20/23/959122023.db2.gz JXBIGDJOPBRIET-UHFFFAOYSA-N 0 2 313.354 0.161 20 0 DCADLN CN(C(N)=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC001362412701 959307341 /nfs/dbraw/zinc/30/73/41/959307341.db2.gz XKGBRKUWYOQUQD-SECBINFHSA-N 0 2 321.362 0.696 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1([C@H]2CCCCO2)CCOCC1 ZINC001362423528 959328454 /nfs/dbraw/zinc/32/84/54/959328454.db2.gz FQZZRSYPYBYFRL-SNVBAGLBSA-N 0 2 310.354 0.492 20 0 DCADLN O=C(Cn1nc(C(F)F)cc1C(F)F)NCc1n[nH]c(=O)[nH]1 ZINC001362425080 959332865 /nfs/dbraw/zinc/33/28/65/959332865.db2.gz KJXUHWPBBLADKG-UHFFFAOYSA-N 0 2 322.222 0.898 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])[N@@H+]1CC(=O)Nc1ccccc1C(N)=O ZINC001594219260 959356214 /nfs/dbraw/zinc/35/62/14/959356214.db2.gz LKPSBYOYBFFRRQ-BXKDBHETSA-N 0 2 305.334 0.662 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])[N@H+]1CC(=O)Nc1ccccc1C(N)=O ZINC001594219260 959356227 /nfs/dbraw/zinc/35/62/27/959356227.db2.gz LKPSBYOYBFFRRQ-BXKDBHETSA-N 0 2 305.334 0.662 20 0 DCADLN CC(C)CC(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001377055393 959401227 /nfs/dbraw/zinc/40/12/27/959401227.db2.gz FUYPEXRXGCJZRI-SNVBAGLBSA-N 0 2 309.370 0.016 20 0 DCADLN CC[N@@H+]1CCO[C@@H](C(=O)NCC[C@H](C)[NH2+]Cc2ncc(C)o2)C1 ZINC001377103089 959473535 /nfs/dbraw/zinc/47/35/35/959473535.db2.gz BULIOGXQQZOJEL-GXTWGEPZSA-N 0 2 324.425 0.688 20 0 DCADLN NC(=O)[C@H]1CC[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC001362549925 959578324 /nfs/dbraw/zinc/57/83/24/959578324.db2.gz UISVXCVEYWPFQK-WDEREUQCSA-N 0 2 314.345 0.962 20 0 DCADLN Cn1ncnc1C[N@@H+]1CCC[C@@H]1CCCNC(=O)c1nnc[nH]1 ZINC001492171233 959651887 /nfs/dbraw/zinc/65/18/87/959651887.db2.gz LMKROWKKIVVNQJ-NSHDSACASA-N 0 2 318.385 0.108 20 0 DCADLN Cn1ncnc1C[N@H+]1CCC[C@@H]1CCCNC(=O)c1nnc[nH]1 ZINC001492171233 959651895 /nfs/dbraw/zinc/65/18/95/959651895.db2.gz LMKROWKKIVVNQJ-NSHDSACASA-N 0 2 318.385 0.108 20 0 DCADLN Cc1noc([C@H](C)n2cc(C[NH+]3CCC(C(=O)[O-])CC3)nn2)n1 ZINC001594496185 959760281 /nfs/dbraw/zinc/76/02/81/959760281.db2.gz TZWXIIBWUVVEGY-VIFPVBQESA-N 0 2 320.353 0.875 20 0 DCADLN Cc1noc(C)c1CCC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377255942 959807553 /nfs/dbraw/zinc/80/75/53/959807553.db2.gz KAGSHYGDIKKHAJ-UHFFFAOYSA-N 0 2 322.369 0.296 20 0 DCADLN Cc1noc(C)c1CCC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377255942 959807561 /nfs/dbraw/zinc/80/75/61/959807561.db2.gz KAGSHYGDIKKHAJ-UHFFFAOYSA-N 0 2 322.369 0.296 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]n1 ZINC001492385118 959902616 /nfs/dbraw/zinc/90/26/16/959902616.db2.gz RITXDZBULBIAOZ-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ccn[nH]1 ZINC001492385118 959902626 /nfs/dbraw/zinc/90/26/26/959902626.db2.gz RITXDZBULBIAOZ-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CN(C)Cc1csc(C[NH2+]Cc2cc(C(=O)[O-])nn2C)n1 ZINC001604074861 972466050 /nfs/dbraw/zinc/46/60/50/972466050.db2.gz KPSIGZAAVMZTPX-UHFFFAOYSA-N 0 2 309.395 0.926 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccccc1OC)Cc1n[nH]c(=O)[n-]1 ZINC001377363124 959976079 /nfs/dbraw/zinc/97/60/79/959976079.db2.gz KBLLBISLZHGVQQ-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccccc1OC)Cc1n[nH]c(=O)[n-]1 ZINC001377363124 959976089 /nfs/dbraw/zinc/97/60/89/959976089.db2.gz KBLLBISLZHGVQQ-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN CCC(CC)(NC(=O)[C@H]1CCCc2nn[nH]c21)c1nn[nH]n1 ZINC001362785099 959981932 /nfs/dbraw/zinc/98/19/32/959981932.db2.gz KWJUCDYOYXNHEV-QMMMGPOBSA-N 0 2 304.358 0.569 20 0 DCADLN CCC(CC)(NC(=O)[C@H]1CCCc2n[nH]nc21)c1nn[nH]n1 ZINC001362785099 959981940 /nfs/dbraw/zinc/98/19/40/959981940.db2.gz KWJUCDYOYXNHEV-QMMMGPOBSA-N 0 2 304.358 0.569 20 0 DCADLN CSCc1n[nH]c(CNC(=O)C(=O)c2ccc(O)cc2)n1 ZINC001303739559 960065531 /nfs/dbraw/zinc/06/55/31/960065531.db2.gz QZMMQCMQSOFWPL-UHFFFAOYSA-N 0 2 306.347 0.872 20 0 DCADLN CSCc1nnc(CNC(=O)C(=O)c2ccc(O)cc2)[nH]1 ZINC001303739559 960065543 /nfs/dbraw/zinc/06/55/43/960065543.db2.gz QZMMQCMQSOFWPL-UHFFFAOYSA-N 0 2 306.347 0.872 20 0 DCADLN CCCCO[C@@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001304085467 960076412 /nfs/dbraw/zinc/07/64/12/960076412.db2.gz BOTDKWWCMLIISW-VIFPVBQESA-N 0 2 318.399 0.171 20 0 DCADLN CCOC(=O)c1n[nH]c([C@H](C)NC(=O)Cn2ccnc2C)n1 ZINC001362938253 960202033 /nfs/dbraw/zinc/20/20/33/960202033.db2.gz RXSUXMALFJQPKX-QMMMGPOBSA-N 0 2 306.326 0.364 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2c[nH]c3ncncc23)n1 ZINC001309880143 960213065 /nfs/dbraw/zinc/21/30/65/960213065.db2.gz NTIBVUWCCFWDNL-UHFFFAOYSA-N 0 2 308.327 0.278 20 0 DCADLN Cn1ccnc1[C@@H]1N(C(=O)C[C@H]2SC(=N)NC2=O)CC1(C)C ZINC001363008924 960293068 /nfs/dbraw/zinc/29/30/68/960293068.db2.gz VHKCUHKFRXWGPK-SCZZXKLOSA-N 0 2 321.406 0.886 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1[nH]ncc1C(F)(F)F ZINC001363037429 960329186 /nfs/dbraw/zinc/32/91/86/960329186.db2.gz LALKKKBCABWFCT-UHFFFAOYSA-N 0 2 303.200 0.560 20 0 DCADLN C[C@@H](NC(=O)CCNC(=O)c1ccco1)c1nn(C)cc1O ZINC001363044646 960336891 /nfs/dbraw/zinc/33/68/91/960336891.db2.gz XOPGFYFIKONVDS-SECBINFHSA-N 0 2 306.322 0.716 20 0 DCADLN Cc1ccnc([C@@H](C)NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC001363100203 960396974 /nfs/dbraw/zinc/39/69/74/960396974.db2.gz MCSASGCVHCMHLR-SECBINFHSA-N 0 2 313.321 0.047 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001378247901 960424642 /nfs/dbraw/zinc/42/46/42/960424642.db2.gz CIDXZSCRXHVPHZ-VDTYLAMSSA-N 0 2 313.255 0.395 20 0 DCADLN C[C@@H](SCC(=O)[O-])C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC001603281202 972510256 /nfs/dbraw/zinc/51/02/56/972510256.db2.gz HIOSPHYPDGCXCS-LLVKDONJSA-N 0 2 309.391 0.937 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1coc(C2CCOCC2)n1 ZINC001363148500 960479082 /nfs/dbraw/zinc/47/90/82/960479082.db2.gz AVSFPGWYVLVTEM-UHFFFAOYSA-N 0 2 320.305 0.700 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1coc(C2CCOCC2)n1 ZINC001363148500 960479093 /nfs/dbraw/zinc/47/90/93/960479093.db2.gz AVSFPGWYVLVTEM-UHFFFAOYSA-N 0 2 320.305 0.700 20 0 DCADLN CC(C)Oc1ncccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363148550 960483202 /nfs/dbraw/zinc/48/32/02/960483202.db2.gz AYCRCNPRDMACEU-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN CC(C)Oc1ncccc1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001363148550 960483207 /nfs/dbraw/zinc/48/32/07/960483207.db2.gz AYCRCNPRDMACEU-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN COC(=O)NCC(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001363151649 960489305 /nfs/dbraw/zinc/48/93/05/960489305.db2.gz XIPIVSYHMAQKAL-JTQLQIEISA-N 0 2 319.321 0.266 20 0 DCADLN CC[C@@H](CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)OC ZINC001363177388 960542178 /nfs/dbraw/zinc/54/21/78/960542178.db2.gz WBHZXHRJCWYPCN-NSHDSACASA-N 0 2 306.322 0.421 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCC1(CO)CC1 ZINC001363236724 960672957 /nfs/dbraw/zinc/67/29/57/960672957.db2.gz VGKUYOGXOQPYPD-UHFFFAOYSA-N 0 2 304.350 0.152 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)Cc1cn2c(n1)CCCC2 ZINC001363276737 960762289 /nfs/dbraw/zinc/76/22/89/960762289.db2.gz OMWAHCHFTLWEMD-UHFFFAOYSA-N 0 2 317.397 0.960 20 0 DCADLN Cc1nn(C)c(N2CCC2)c1NC(=O)CC1SC(=N)NC1=O ZINC001363348837 960951073 /nfs/dbraw/zinc/95/10/73/960951073.db2.gz VSEAIKPUTGWRRZ-MRVPVSSYSA-N 0 2 322.394 0.434 20 0 DCADLN CC(C)[C@H](C(=O)[O-])C(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001588803822 961453124 /nfs/dbraw/zinc/45/31/24/961453124.db2.gz JAUYJMUSVSOXRA-RYUDHWBXSA-N 0 2 312.366 0.239 20 0 DCADLN C[C@H](CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001378191249 961632726 /nfs/dbraw/zinc/63/27/26/961632726.db2.gz GMIHOCHWHNDMGK-VXGBXAGGSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@H](CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001378191249 961632729 /nfs/dbraw/zinc/63/27/29/961632729.db2.gz GMIHOCHWHNDMGK-VXGBXAGGSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)c1nn(C)cc1O)[C@H](C)S(C)(=O)=O ZINC001363664165 961652337 /nfs/dbraw/zinc/65/23/37/961652337.db2.gz JRGNDOMJNVRLCX-CIUDSAMLSA-N 0 2 303.384 0.372 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@H]2CCCC23CC3)[nH]1 ZINC001363673952 961673156 /nfs/dbraw/zinc/67/31/56/961673156.db2.gz RDCUZGJIQPYNLG-SECBINFHSA-N 0 2 312.395 0.546 20 0 DCADLN NS(=O)(=O)c1ccc(C[N@@H+]2CCSC[C@H]2CC(=O)[O-])o1 ZINC001571148993 961760973 /nfs/dbraw/zinc/76/09/73/961760973.db2.gz ILJZFSQCCSZAAX-MRVPVSSYSA-N 0 2 320.392 0.319 20 0 DCADLN NS(=O)(=O)c1ccc(C[N@H+]2CCSC[C@H]2CC(=O)[O-])o1 ZINC001571148993 961760980 /nfs/dbraw/zinc/76/09/80/961760980.db2.gz ILJZFSQCCSZAAX-MRVPVSSYSA-N 0 2 320.392 0.319 20 0 DCADLN CCCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@H]3C[C@H]3C(=O)[O-])C2)no1 ZINC001571156225 961872460 /nfs/dbraw/zinc/87/24/60/961872460.db2.gz NZGOQVDXFBPHLF-XWLWVQCSSA-N 0 2 322.365 0.480 20 0 DCADLN Cc1noc(CCC[NH+]2CC(N(C)C(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)n1 ZINC001571156363 961875919 /nfs/dbraw/zinc/87/59/19/961875919.db2.gz TWANWGBYKCLEAQ-RYUDHWBXSA-N 0 2 322.365 0.174 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)O1 ZINC001571156357 961876478 /nfs/dbraw/zinc/87/64/78/961876478.db2.gz SWJPXAKOAYWQCT-YNEHKIRRSA-N 0 2 322.365 0.295 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001379735951 961901040 /nfs/dbraw/zinc/90/10/40/961901040.db2.gz DOYMSAQVUWISPE-VIFPVBQESA-N 0 2 306.370 0.706 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001379735951 961901050 /nfs/dbraw/zinc/90/10/50/961901050.db2.gz DOYMSAQVUWISPE-VIFPVBQESA-N 0 2 306.370 0.706 20 0 DCADLN COCCC(=O)N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001447188630 1013300321 /nfs/dbraw/zinc/30/03/21/1013300321.db2.gz PBSKECGNSZRNNQ-PSASIEDQSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)N[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001447188630 1013300329 /nfs/dbraw/zinc/30/03/29/1013300329.db2.gz PBSKECGNSZRNNQ-PSASIEDQSA-N 0 2 314.279 0.934 20 0 DCADLN CC(C)C[N@@H+](Cc1cnn(C)c1)CN1C[C@H](C(=O)[O-])CC1=O ZINC001588870298 962053000 /nfs/dbraw/zinc/05/30/00/962053000.db2.gz GDUWELMOJHHQSH-CYBMUJFWSA-N 0 2 308.382 0.769 20 0 DCADLN CC(C)C[N@H+](Cc1cnn(C)c1)CN1C[C@H](C(=O)[O-])CC1=O ZINC001588870298 962053018 /nfs/dbraw/zinc/05/30/18/962053018.db2.gz GDUWELMOJHHQSH-CYBMUJFWSA-N 0 2 308.382 0.769 20 0 DCADLN CC(C)[C@@H](NS(=O)(=O)c1onc(C2CC2)c1Cl)C(N)=O ZINC001363925434 962138811 /nfs/dbraw/zinc/13/88/11/962138811.db2.gz JMZGZKSDQUHZMA-MRVPVSSYSA-N 0 2 321.786 0.994 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)N[C@H](C)C(F)(F)F ZINC001364026738 962318194 /nfs/dbraw/zinc/31/81/94/962318194.db2.gz DNFYCCBWCHXGOB-SCSAIBSYSA-N 0 2 301.246 0.425 20 0 DCADLN C[C@@H](CN(C)C(=O)C1(CCF)CC1)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001379196171 962329556 /nfs/dbraw/zinc/32/95/56/962329556.db2.gz VDCPYRNJKGZOFD-JTQLQIEISA-N 0 2 313.377 0.185 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)C[C@@H]1CCO[C@H](C)C1 ZINC001364056259 962379134 /nfs/dbraw/zinc/37/91/34/962379134.db2.gz STKXXIKDNWFFBC-RKDXNWHRSA-N 0 2 315.338 0.529 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC001574102205 962401614 /nfs/dbraw/zinc/40/16/14/962401614.db2.gz SIDNIALPYGRWCW-GTBONMDNSA-N 0 2 318.373 0.944 20 0 DCADLN CN(CCS(N)(=O)=O)C(=O)c1cc(F)cc(Cl)c1O ZINC001364119654 962508533 /nfs/dbraw/zinc/50/85/33/962508533.db2.gz UPSICQLCXLSKOW-UHFFFAOYSA-N 0 2 310.734 0.545 20 0 DCADLN CC(C)[C@@H](NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001588949448 962563990 /nfs/dbraw/zinc/56/39/90/962563990.db2.gz MZAZXHMSINIWGO-FRRDWIJNSA-N 0 2 314.382 0.092 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc(N2CCCC2)nn1 ZINC001364208936 962674856 /nfs/dbraw/zinc/67/48/56/962674856.db2.gz XBZAAVHKPVHUQW-UHFFFAOYSA-N 0 2 316.321 0.208 20 0 DCADLN COC(=O)c1cc(CNC(=O)C[C@H]2SC(=N)NC2=O)ccn1 ZINC001364226349 962707566 /nfs/dbraw/zinc/70/75/66/962707566.db2.gz NXZDLMPJSKOCGH-SECBINFHSA-N 0 2 322.346 0.041 20 0 DCADLN CCC[C@H](C)CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364283741 962815835 /nfs/dbraw/zinc/81/58/35/962815835.db2.gz IFCQKJIHDAWORA-VIFPVBQESA-N 0 2 302.400 0.792 20 0 DCADLN CC[C@@H](C)[C@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364285156 962819173 /nfs/dbraw/zinc/81/91/73/962819173.db2.gz MRWFBEYMSONEMY-BDAKNGLRSA-N 0 2 302.400 0.648 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380219260 962884308 /nfs/dbraw/zinc/88/43/08/962884308.db2.gz WBFCZQBITJSKTD-SNVBAGLBSA-N 0 2 311.386 0.214 20 0 DCADLN CN(C(=O)C1(C(F)F)CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380234773 962902917 /nfs/dbraw/zinc/90/29/17/962902917.db2.gz FRFJYXCJJABHPS-UHFFFAOYSA-N 0 2 315.324 0.588 20 0 DCADLN CCCc1noc(C[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001379496147 963059041 /nfs/dbraw/zinc/05/90/41/963059041.db2.gz RTOMGMRKFWVJTO-QMMMGPOBSA-N 0 2 322.369 0.667 20 0 DCADLN C[C@@H](CN(C)Cc1nncn1C)NC(=O)C(F)C(F)(F)F ZINC001379690107 963390953 /nfs/dbraw/zinc/39/09/53/963390953.db2.gz ZWGYBZHZXBUZGD-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CN(C)Cc1nncn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001379690107 963390961 /nfs/dbraw/zinc/39/09/61/963390961.db2.gz ZWGYBZHZXBUZGD-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2cn[nH]n2)C1 ZINC001379700641 963402050 /nfs/dbraw/zinc/40/20/50/963402050.db2.gz YODLXQSOMIFBNA-OIBJUYFYSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@@]1(NC(=O)[C@H](F)C(F)(F)F)CCN(C(=O)c2cn[nH]n2)C1 ZINC001379700641 963402054 /nfs/dbraw/zinc/40/20/54/963402054.db2.gz YODLXQSOMIFBNA-OIBJUYFYSA-N 0 2 323.250 0.426 20 0 DCADLN CN(C)c1cccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000314108671 963712319 /nfs/dbraw/zinc/71/23/19/963712319.db2.gz JULJKAXDTRNUAG-CYBMUJFWSA-N 0 2 302.334 0.901 20 0 DCADLN CN(C)c1cccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000314108671 963712337 /nfs/dbraw/zinc/71/23/37/963712337.db2.gz JULJKAXDTRNUAG-CYBMUJFWSA-N 0 2 302.334 0.901 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@]1(C)CCCOC1 ZINC001375134775 964036943 /nfs/dbraw/zinc/03/69/43/964036943.db2.gz QBKFSVZOECUVNW-IINYFYTJSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@]1(C)CCCOC1 ZINC001375134775 964036954 /nfs/dbraw/zinc/03/69/54/964036954.db2.gz QBKFSVZOECUVNW-IINYFYTJSA-N 0 2 311.386 0.264 20 0 DCADLN COc1cccnc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375144347 964049179 /nfs/dbraw/zinc/04/91/79/964049179.db2.gz OLXHYJFHPUDQAA-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1cccnc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375144347 964049191 /nfs/dbraw/zinc/04/91/91/964049191.db2.gz OLXHYJFHPUDQAA-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)[N@H+](C)CCc2cnn(C)c2)c1[O-] ZINC001368947003 964175297 /nfs/dbraw/zinc/17/52/97/964175297.db2.gz RPGUVANFZYWMKU-JTQLQIEISA-N 0 2 320.397 0.450 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)[N@@H+](C)CCc2cnn(C)c2)c1[O-] ZINC001368947003 964175305 /nfs/dbraw/zinc/17/53/05/964175305.db2.gz RPGUVANFZYWMKU-JTQLQIEISA-N 0 2 320.397 0.450 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1CC12CCOCC2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013136 964295367 /nfs/dbraw/zinc/29/53/67/964295367.db2.gz UJUWOGMQDDHUOU-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1CC12CCOCC2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013136 964295383 /nfs/dbraw/zinc/29/53/83/964295383.db2.gz UJUWOGMQDDHUOU-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001375374118 964326358 /nfs/dbraw/zinc/32/63/58/964326358.db2.gz QINHICRLQVADRP-LBPRGKRZSA-N 0 2 316.365 0.147 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N1CCC([NH+](C)Cc2nncn2C)CC1 ZINC001369186291 964503611 /nfs/dbraw/zinc/50/36/11/964503611.db2.gz YDAXZISNEJYTSA-UHFFFAOYSA-N 0 2 322.457 0.578 20 0 DCADLN CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+][C@@H](C)c1nc(C)no1 ZINC001373746151 964565903 /nfs/dbraw/zinc/56/59/03/964565903.db2.gz ZSIVQJVOTGWSNX-WPRPVWTQSA-N 0 2 322.369 0.974 20 0 DCADLN CCn1ncc(C[NH2+]CC2(NC(=O)c3cn[nH]n3)CCCC2)n1 ZINC001373850194 964715914 /nfs/dbraw/zinc/71/59/14/964715914.db2.gz DTEWMPOQMSZGEC-UHFFFAOYSA-N 0 2 318.385 0.249 20 0 DCADLN Cc1ccc(CC(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001375823701 964876166 /nfs/dbraw/zinc/87/61/66/964876166.db2.gz VAQKRFFXXUUCSE-HNNXBMFYSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccc(CC(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001375823701 964876176 /nfs/dbraw/zinc/87/61/76/964876176.db2.gz VAQKRFFXXUUCSE-HNNXBMFYSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1noc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001376123255 965236442 /nfs/dbraw/zinc/23/64/42/965236442.db2.gz IQQMPGMFEDMGNY-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1noc(CN2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001376123255 965236450 /nfs/dbraw/zinc/23/64/50/965236450.db2.gz IQQMPGMFEDMGNY-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCC[C@@H](F)C1 ZINC001374492601 965448462 /nfs/dbraw/zinc/44/84/62/965448462.db2.gz LAIKFTWLOJMYDJ-WDEREUQCSA-N 0 2 313.377 0.929 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCC[C@@H](F)C1 ZINC001374492601 965448469 /nfs/dbraw/zinc/44/84/69/965448469.db2.gz LAIKFTWLOJMYDJ-WDEREUQCSA-N 0 2 313.377 0.929 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC001374509704 965467920 /nfs/dbraw/zinc/46/79/20/965467920.db2.gz MVBRCRLQCAWMLX-IJLUTSLNSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC001374509704 965467924 /nfs/dbraw/zinc/46/79/24/965467924.db2.gz MVBRCRLQCAWMLX-IJLUTSLNSA-N 0 2 307.398 0.837 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001370017895 965697469 /nfs/dbraw/zinc/69/74/69/965697469.db2.gz OFJVBHSGETWORK-SFYZADRCSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001447873061 1013613433 /nfs/dbraw/zinc/61/34/33/1013613433.db2.gz OSGPOWKDDINETP-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)NC(=O)[C@H](F)C(F)(F)F ZINC001447873061 1013613436 /nfs/dbraw/zinc/61/34/36/1013613436.db2.gz OSGPOWKDDINETP-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN COC[C@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001374845842 966020954 /nfs/dbraw/zinc/02/09/54/966020954.db2.gz HFDKAERWMCHNNL-CBAPKCEASA-N 0 2 314.279 0.744 20 0 DCADLN COC[C@H](C)C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001374845842 966020965 /nfs/dbraw/zinc/02/09/65/966020965.db2.gz HFDKAERWMCHNNL-CBAPKCEASA-N 0 2 314.279 0.744 20 0 DCADLN CC[C@@H](CNC(=O)CNC(C)=O)NC(=O)C(F)C(F)(F)F ZINC001374985169 966197468 /nfs/dbraw/zinc/19/74/68/966197468.db2.gz OEFDXNMQLFUMFM-IONNQARKSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@@H](CNC(=O)CNC(C)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001374985169 966197472 /nfs/dbraw/zinc/19/74/72/966197472.db2.gz OEFDXNMQLFUMFM-IONNQARKSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001375105642 966359339 /nfs/dbraw/zinc/35/93/39/966359339.db2.gz HAUSVJQLUHETKX-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001375105642 966359349 /nfs/dbraw/zinc/35/93/49/966359349.db2.gz HAUSVJQLUHETKX-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CC1(C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F)CCC1 ZINC001370757040 966447725 /nfs/dbraw/zinc/44/77/25/966447725.db2.gz HPMWHUYLGXSSOV-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)CCC1 ZINC001370757040 966447730 /nfs/dbraw/zinc/44/77/30/966447730.db2.gz HPMWHUYLGXSSOV-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN COC(=O)[C@H]1C[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001371139218 966750554 /nfs/dbraw/zinc/75/05/54/966750554.db2.gz DVGDITCISPNDQA-LPEHRKFASA-N 0 2 323.353 0.351 20 0 DCADLN COCC(=O)NC1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001371183637 966790604 /nfs/dbraw/zinc/79/06/04/966790604.db2.gz JXOQCFPOWXQLBS-QXUHLLMWSA-N 0 2 300.252 0.639 20 0 DCADLN COCC(=O)NC1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001371183637 966790609 /nfs/dbraw/zinc/79/06/09/966790609.db2.gz JXOQCFPOWXQLBS-QXUHLLMWSA-N 0 2 300.252 0.639 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001382025935 966875079 /nfs/dbraw/zinc/87/50/79/966875079.db2.gz LYRVHEJRJZBCTH-FSPLSTOPSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001382025935 966875087 /nfs/dbraw/zinc/87/50/87/966875087.db2.gz LYRVHEJRJZBCTH-FSPLSTOPSA-N 0 2 312.223 0.547 20 0 DCADLN CCc1ncsc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448029517 1013719958 /nfs/dbraw/zinc/71/99/58/1013719958.db2.gz AEFYTJNVWDFXIN-QMMMGPOBSA-N 0 2 324.410 0.780 20 0 DCADLN CCc1ncsc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448029517 1013719965 /nfs/dbraw/zinc/71/99/65/1013719965.db2.gz AEFYTJNVWDFXIN-QMMMGPOBSA-N 0 2 324.410 0.780 20 0 DCADLN CCCC(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001382896958 967732142 /nfs/dbraw/zinc/73/21/42/967732142.db2.gz ASUYNFGYGZXUGY-VXNVDRBHSA-N 0 2 302.268 0.622 20 0 DCADLN CCCC(=O)N(C)C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001382896958 967732151 /nfs/dbraw/zinc/73/21/51/967732151.db2.gz ASUYNFGYGZXUGY-VXNVDRBHSA-N 0 2 302.268 0.622 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372266398 967813866 /nfs/dbraw/zinc/81/38/66/967813866.db2.gz BVTMFMWYDDBLSA-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001372266398 967813882 /nfs/dbraw/zinc/81/38/82/967813882.db2.gz BVTMFMWYDDBLSA-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN CCOCC(=O)NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001448309721 1013868838 /nfs/dbraw/zinc/86/88/38/1013868838.db2.gz IIAIWFTYRAZOQD-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN CCOCC(=O)NC[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001448309721 1013868846 /nfs/dbraw/zinc/86/88/46/1013868846.db2.gz IIAIWFTYRAZOQD-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN O=C([O-])C1(C[NH2+][C@@H](c2nnc[nH]2)c2cccnc2)CCOCC1 ZINC001605950704 972909785 /nfs/dbraw/zinc/90/97/85/972909785.db2.gz MFLMFUKLAGWJNK-GFCCVEGCSA-N 0 2 317.349 0.760 20 0 DCADLN C[C@H]1CCN(C(=O)c2cn(CC(=O)[O-])nn2)C[C@H]1n1cc[nH+]c1 ZINC001603426122 973155174 /nfs/dbraw/zinc/15/51/74/973155174.db2.gz ABCLDMZLZNQFCQ-CMPLNLGQSA-N 0 2 318.337 0.283 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ccnn1C ZINC001448915875 1014094857 /nfs/dbraw/zinc/09/48/57/1014094857.db2.gz DDTSPGRVNYWNAV-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cc1ccnn1C ZINC001448915875 1014094861 /nfs/dbraw/zinc/09/48/61/1014094861.db2.gz DDTSPGRVNYWNAV-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N1CC[NH2+][C@H](c2ccc(F)cc2)C1 ZINC001604454673 973285762 /nfs/dbraw/zinc/28/57/62/973285762.db2.gz AHEJJYMGFIJWQG-WFASDCNBSA-N 0 2 310.325 0.788 20 0 DCADLN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)NCC(C)(C)N1CCOCC1 ZINC001603498777 973464599 /nfs/dbraw/zinc/46/45/99/973464599.db2.gz ZVVTWKUEDNWVET-GFCCVEGCSA-N 0 2 315.414 0.008 20 0 DCADLN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NCC(C)(C)N1CCOCC1 ZINC001603498777 973464606 /nfs/dbraw/zinc/46/46/06/973464606.db2.gz ZVVTWKUEDNWVET-GFCCVEGCSA-N 0 2 315.414 0.008 20 0 DCADLN O=C([O-])CN1CCC[C@@H](C(=O)Nc2ccc3[nH+]ccn3c2)C1=O ZINC001606253758 973578856 /nfs/dbraw/zinc/57/88/56/973578856.db2.gz XOMUUMPWWRMJNY-NSHDSACASA-N 0 2 316.317 0.596 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH+]1CCC(c2ccccn2)CC1 ZINC001606291514 973695696 /nfs/dbraw/zinc/69/56/96/973695696.db2.gz NFXFLKHXBUTLAQ-UHFFFAOYSA-N 0 2 312.391 0.760 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH2+]Cc1c(F)cc(F)cc1F ZINC001606297183 973705193 /nfs/dbraw/zinc/70/51/93/973705193.db2.gz QBWJOXYDGJLNCG-UHFFFAOYSA-N 0 2 311.281 0.693 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)[nH]n1 ZINC001606464834 973922829 /nfs/dbraw/zinc/92/28/29/973922829.db2.gz IJMDNIFVAKRZDL-JTQLQIEISA-N 0 2 308.338 0.045 20 0 DCADLN CC(C)OCC(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001449037032 1014150990 /nfs/dbraw/zinc/15/09/90/1014150990.db2.gz WLJALRYAOXSMCD-QWRGUYRKSA-N 0 2 311.386 0.404 20 0 DCADLN CC(C)OCC(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001449037032 1014150993 /nfs/dbraw/zinc/15/09/93/1014150993.db2.gz WLJALRYAOXSMCD-QWRGUYRKSA-N 0 2 311.386 0.404 20 0 DCADLN CCCCNC(=O)[C@@H](C)[N@@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001603647465 974006694 /nfs/dbraw/zinc/00/66/94/974006694.db2.gz SCMXXDAUKAQAPB-RISCZKNCSA-N 0 2 302.371 0.093 20 0 DCADLN CCCCNC(=O)[C@@H](C)[N@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001603647465 974006705 /nfs/dbraw/zinc/00/67/05/974006705.db2.gz SCMXXDAUKAQAPB-RISCZKNCSA-N 0 2 302.371 0.093 20 0 DCADLN COc1ccccc1Cc1cn(CC(=O)NCC(=O)[O-])c[nH+]1 ZINC001604892694 974149238 /nfs/dbraw/zinc/14/92/38/974149238.db2.gz LYTXXBJEZRHWGG-UHFFFAOYSA-N 0 2 303.318 0.683 20 0 DCADLN CCc1nnc(C2CC[NH+]([C@H]3CCN(CC(=O)[O-])C3=O)CC2)[nH]1 ZINC001603984109 974487597 /nfs/dbraw/zinc/48/75/97/974487597.db2.gz VWXGFIBMMJKWIO-NSHDSACASA-N 0 2 321.381 0.232 20 0 DCADLN CCc1nc(C2CC[NH+]([C@H]3CCN(CC(=O)[O-])C3=O)CC2)n[nH]1 ZINC001603984109 974487603 /nfs/dbraw/zinc/48/76/03/974487603.db2.gz VWXGFIBMMJKWIO-NSHDSACASA-N 0 2 321.381 0.232 20 0 DCADLN CCc1n[nH]c(C2CC[NH+]([C@H]3CCN(CC(=O)[O-])C3=O)CC2)n1 ZINC001603984109 974487609 /nfs/dbraw/zinc/48/76/09/974487609.db2.gz VWXGFIBMMJKWIO-NSHDSACASA-N 0 2 321.381 0.232 20 0 DCADLN CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@@](C)(C(=O)[O-])C1 ZINC001604008812 974519284 /nfs/dbraw/zinc/51/92/84/974519284.db2.gz UEAAJVNUSRWRTP-OAHLLOKOSA-N 0 2 318.381 0.923 20 0 DCADLN CN(C)C(=O)N(C)[C@H]1CC[N@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001604040455 974563690 /nfs/dbraw/zinc/56/36/90/974563690.db2.gz BEVIONPEUMOSSK-JTQLQIEISA-N 0 2 309.370 0.306 20 0 DCADLN CN(C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001604040455 974563697 /nfs/dbraw/zinc/56/36/97/974563697.db2.gz BEVIONPEUMOSSK-JTQLQIEISA-N 0 2 309.370 0.306 20 0 DCADLN Cc1nc[nH]c1CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001528248022 1014239128 /nfs/dbraw/zinc/23/91/28/1014239128.db2.gz JVMNMJJGRZTBLO-JTQLQIEISA-N 0 2 324.278 0.736 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cn2c(n1)CCC2 ZINC001522673369 1014291854 /nfs/dbraw/zinc/29/18/54/1014291854.db2.gz XEWKVGFIKLBZES-VIFPVBQESA-N 0 2 322.262 0.576 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cn2c(n1)CCC2 ZINC001522673369 1014291860 /nfs/dbraw/zinc/29/18/60/1014291860.db2.gz XEWKVGFIKLBZES-VIFPVBQESA-N 0 2 322.262 0.576 20 0 DCADLN O=C(Cc1ccon1)NCCCNC(=O)C(F)C(F)(F)F ZINC001522865524 1014437342 /nfs/dbraw/zinc/43/73/42/1014437342.db2.gz UJLDZCUZMCGMAO-VIFPVBQESA-N 0 2 311.235 0.740 20 0 DCADLN O=C(Cc1ccon1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001522865524 1014437346 /nfs/dbraw/zinc/43/73/46/1014437346.db2.gz UJLDZCUZMCGMAO-VIFPVBQESA-N 0 2 311.235 0.740 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(S(=O)(=O)c2ccco2)CC1 ZINC001592418839 978029279 /nfs/dbraw/zinc/02/92/79/978029279.db2.gz XQKADMSRDWOLJE-SNVBAGLBSA-N 0 2 302.352 0.449 20 0 DCADLN C[C@H](CNS(=O)(=O)c1cc(C(=O)[O-])co1)Cn1cc[nH+]c1 ZINC001592715391 979212234 /nfs/dbraw/zinc/21/22/34/979212234.db2.gz DBLZKORUIPVOSN-SECBINFHSA-N 0 2 313.335 0.789 20 0 DCADLN CCC(CC)[C@H](C(=O)NC[C@@H](O)CC(=O)[O-])[NH+]1CCOCC1 ZINC001595105635 979425304 /nfs/dbraw/zinc/42/53/04/979425304.db2.gz PEERQAASQBZUJI-GXTWGEPZSA-N 0 2 316.398 0.075 20 0 DCADLN CCn1nc(C)c(S(=O)(=O)Nc2nnn(C(C)C)n2)c1C ZINC001475702824 1017137120 /nfs/dbraw/zinc/13/71/20/1017137120.db2.gz CPIRXHUVPPAFMV-UHFFFAOYSA-N 0 2 313.387 0.888 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1cccc(C(=O)[O-])c1 ZINC001592931326 979981407 /nfs/dbraw/zinc/98/14/07/979981407.db2.gz ULDZPOWPTKEOOQ-SNVBAGLBSA-N 0 2 316.317 0.725 20 0 DCADLN CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])cn1C ZINC001595484213 981217556 /nfs/dbraw/zinc/21/75/56/981217556.db2.gz URNQUEGUTJXBKO-LLVKDONJSA-N 0 2 315.395 0.438 20 0 DCADLN CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])cn1C ZINC001595484213 981217559 /nfs/dbraw/zinc/21/75/59/981217559.db2.gz URNQUEGUTJXBKO-LLVKDONJSA-N 0 2 315.395 0.438 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)N(C)C(=O)C(F)C(F)(F)F ZINC001449909482 1014774206 /nfs/dbraw/zinc/77/42/06/1014774206.db2.gz XOKNQLAMRDHSHX-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001449909482 1014774216 /nfs/dbraw/zinc/77/42/16/1014774216.db2.gz XOKNQLAMRDHSHX-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CN1C[C@@](CO)(c2ccccn2)CC1=O ZINC001595855268 982785264 /nfs/dbraw/zinc/78/52/64/982785264.db2.gz NEUOWZYEVMNUOJ-INIZCTEOSA-N 0 2 321.377 0.298 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CN1C[C@@](CO)(c2ccccn2)CC1=O ZINC001595855268 982785270 /nfs/dbraw/zinc/78/52/70/982785270.db2.gz NEUOWZYEVMNUOJ-INIZCTEOSA-N 0 2 321.377 0.298 20 0 DCADLN CCCc1c(C(=O)N2CC[NH+](CCO)CC2)[nH]c(C)c1C(=O)[O-] ZINC001596032543 983311088 /nfs/dbraw/zinc/31/10/88/983311088.db2.gz ZPAOXTWBEORMON-UHFFFAOYSA-N 0 2 323.393 0.724 20 0 DCADLN CCCn1cc(C[N@@H+]2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)nn1 ZINC001596113723 983443973 /nfs/dbraw/zinc/44/39/73/983443973.db2.gz RGJXZTPJOIZDDV-GFCCVEGCSA-N 0 2 319.369 0.815 20 0 DCADLN CCCn1cc(C[N@H+]2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)nn1 ZINC001596113723 983443976 /nfs/dbraw/zinc/44/39/76/983443976.db2.gz RGJXZTPJOIZDDV-GFCCVEGCSA-N 0 2 319.369 0.815 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2c[nH]nc2C(=O)[O-])C1 ZINC001596575461 984477628 /nfs/dbraw/zinc/47/76/28/984477628.db2.gz APYIVJOMVIQRKF-SECBINFHSA-N 0 2 324.337 0.322 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2c[nH]nc2C(=O)[O-])C1 ZINC001596575461 984477634 /nfs/dbraw/zinc/47/76/34/984477634.db2.gz APYIVJOMVIQRKF-SECBINFHSA-N 0 2 324.337 0.322 20 0 DCADLN COCCC(=O)N(C)C[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001383645610 984967258 /nfs/dbraw/zinc/96/72/58/984967258.db2.gz UVSTXSUXTDYIBU-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N(C)C[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001383645610 984967264 /nfs/dbraw/zinc/96/72/64/984967264.db2.gz UVSTXSUXTDYIBU-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CCOC(C[N@@H+]1CC[C@H](C(=O)OC)C[C@@H]1C(=O)[O-])OCC ZINC001596792703 985285318 /nfs/dbraw/zinc/28/53/18/985285318.db2.gz XOASPMYPRBTHJO-WDEREUQCSA-N 0 2 303.355 0.724 20 0 DCADLN CCOC(C[N@H+]1CC[C@H](C(=O)OC)C[C@@H]1C(=O)[O-])OCC ZINC001596792703 985285330 /nfs/dbraw/zinc/28/53/30/985285330.db2.gz XOASPMYPRBTHJO-WDEREUQCSA-N 0 2 303.355 0.724 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])cn2C)C[C@H](C)[N@H+]1C ZINC001599930906 985312608 /nfs/dbraw/zinc/31/26/08/985312608.db2.gz LZGPLWWOCUWYRW-AOOOYVTPSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])cn2C)C[C@H](C)[N@@H+]1C ZINC001599930906 985312617 /nfs/dbraw/zinc/31/26/17/985312617.db2.gz LZGPLWWOCUWYRW-AOOOYVTPSA-N 0 2 315.395 0.436 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ccon1 ZINC001525316102 1015123259 /nfs/dbraw/zinc/12/32/59/1015123259.db2.gz KPUUVPRYABPMNX-BBVRLYRLSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ccon1 ZINC001525316102 1015123265 /nfs/dbraw/zinc/12/32/65/1015123265.db2.gz KPUUVPRYABPMNX-BBVRLYRLSA-N 0 2 309.219 0.952 20 0 DCADLN C[C@H]1CN(c2nnc([C@@H]3C[C@@H](O)C[N@@H+]3C)n2C)CC[C@H]1C(=O)[O-] ZINC001599936008 985395299 /nfs/dbraw/zinc/39/52/99/985395299.db2.gz VCVQOUVLJLSGPJ-QCNOEVLYSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@H]1CN(c2nnc([C@@H]3C[C@@H](O)C[N@H+]3C)n2C)CC[C@H]1C(=O)[O-] ZINC001599936008 985395311 /nfs/dbraw/zinc/39/53/11/985395311.db2.gz VCVQOUVLJLSGPJ-QCNOEVLYSA-N 0 2 323.397 0.100 20 0 DCADLN CCO[C@@H]1C[C@@]([NH2+]Cc2nnc3n2CCOC3)(C(=O)[O-])C1(C)C ZINC001596836283 985480331 /nfs/dbraw/zinc/48/03/31/985480331.db2.gz AHAQZOOEUXIROW-MEBBXXQBSA-N 0 2 324.381 0.556 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+](C[C@@H](C)O)[C@@H](C)CO)c(C)c1C(=O)[O-] ZINC001599944583 985502967 /nfs/dbraw/zinc/50/29/67/985502967.db2.gz OJFWDSNOUQHDNE-DTWKUNHWSA-N 0 2 312.366 0.576 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+](C[C@@H](C)O)[C@@H](C)CO)c(C)c1C(=O)[O-] ZINC001599944583 985502969 /nfs/dbraw/zinc/50/29/69/985502969.db2.gz OJFWDSNOUQHDNE-DTWKUNHWSA-N 0 2 312.366 0.576 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+](C[C@@H](C)O)[C@H](C)CO)c(C)c1C(=O)[O-] ZINC001599944587 985503122 /nfs/dbraw/zinc/50/31/22/985503122.db2.gz OJFWDSNOUQHDNE-RKDXNWHRSA-N 0 2 312.366 0.576 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+](C[C@@H](C)O)[C@H](C)CO)c(C)c1C(=O)[O-] ZINC001599944587 985503129 /nfs/dbraw/zinc/50/31/29/985503129.db2.gz OJFWDSNOUQHDNE-RKDXNWHRSA-N 0 2 312.366 0.576 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H](O)C2CCC2)n[nH]1 ZINC001551656521 1015166159 /nfs/dbraw/zinc/16/61/59/1015166159.db2.gz CQMXVQMGQSDOAM-JHJVBQTASA-N 0 2 308.382 0.605 20 0 DCADLN Cc1cn(C)nc1C(=O)N(C)C[C@H]1OCC[C@H]1c1n[nH]c(C)n1 ZINC001551663452 1015168691 /nfs/dbraw/zinc/16/86/91/1015168691.db2.gz YNHZHPCZHKMKEH-VXGBXAGGSA-N 0 2 318.381 0.800 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@]2(C)CCOC2)n[nH]1 ZINC001551668900 1015170736 /nfs/dbraw/zinc/17/07/36/1015170736.db2.gz IADXSHDLVYHGAZ-TYNCELHUSA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2cnn(C)c2C)n[nH]1 ZINC001551670880 1015173727 /nfs/dbraw/zinc/17/37/27/1015173727.db2.gz WMHFZJXTLFRZQZ-DGCLKSJQSA-N 0 2 318.381 0.800 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001385323830 986253169 /nfs/dbraw/zinc/25/31/69/986253169.db2.gz RAZHVWILCVJLIW-UHFFFAOYSA-N 0 2 321.425 0.286 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385577105 986442733 /nfs/dbraw/zinc/44/27/33/986442733.db2.gz DMPFSAKOQFBMPJ-SCZZXKLOSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385577105 986442738 /nfs/dbraw/zinc/44/27/38/986442738.db2.gz DMPFSAKOQFBMPJ-SCZZXKLOSA-N 0 2 322.262 0.501 20 0 DCADLN C[C@@H](C(=O)NC1(CC(=O)[O-])CCCCC1)N1CC[NH2+]CC1=O ZINC001589390451 986504974 /nfs/dbraw/zinc/50/49/74/986504974.db2.gz CGRIRUHGUYHQPV-NSHDSACASA-N 0 2 311.382 0.101 20 0 DCADLN COCCC(=O)NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001385811444 986603502 /nfs/dbraw/zinc/60/35/02/986603502.db2.gz YXXSHQLTSQWUIC-WCBMZHEXSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001385811444 986603509 /nfs/dbraw/zinc/60/35/09/986603509.db2.gz YXXSHQLTSQWUIC-WCBMZHEXSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001450611568 1015247240 /nfs/dbraw/zinc/24/72/40/1015247240.db2.gz QDAWZHFTMQVUNT-NRPADANISA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001450611568 1015247246 /nfs/dbraw/zinc/24/72/46/1015247246.db2.gz QDAWZHFTMQVUNT-NRPADANISA-N 0 2 314.279 0.934 20 0 DCADLN CSCC(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001386066333 986796565 /nfs/dbraw/zinc/79/65/65/986796565.db2.gz HDOLORQASJVEPI-POYBYMJQSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001386066333 986796574 /nfs/dbraw/zinc/79/65/74/986796574.db2.gz HDOLORQASJVEPI-POYBYMJQSA-N 0 2 302.293 0.967 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cnco1 ZINC001386319669 987070142 /nfs/dbraw/zinc/07/01/42/987070142.db2.gz DXAGPVJCEPQEGT-BBVRLYRLSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cnco1 ZINC001386319669 987070149 /nfs/dbraw/zinc/07/01/49/987070149.db2.gz DXAGPVJCEPQEGT-BBVRLYRLSA-N 0 2 309.219 0.952 20 0 DCADLN CCOc1nc(C)ccc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001597193532 987078418 /nfs/dbraw/zinc/07/84/18/987078418.db2.gz FDTGOIGWXGBOAO-LBPRGKRZSA-N 0 2 318.333 0.938 20 0 DCADLN CCOc1nc(C)ccc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001597193532 987078426 /nfs/dbraw/zinc/07/84/26/987078426.db2.gz FDTGOIGWXGBOAO-LBPRGKRZSA-N 0 2 318.333 0.938 20 0 DCADLN CCC(=O)NCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001526456526 1015279776 /nfs/dbraw/zinc/27/97/76/1015279776.db2.gz SDKFTGYAKZDNNV-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)NCC(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001526456526 1015279784 /nfs/dbraw/zinc/27/97/84/1015279784.db2.gz SDKFTGYAKZDNNV-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN Cc1nn[nH]c1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001387031182 987578783 /nfs/dbraw/zinc/57/87/83/987578783.db2.gz NLELUIYNDHKRGZ-SSDOTTSWSA-N 0 2 311.239 0.202 20 0 DCADLN Cc1nn[nH]c1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001387031182 987578790 /nfs/dbraw/zinc/57/87/90/987578790.db2.gz NLELUIYNDHKRGZ-SSDOTTSWSA-N 0 2 311.239 0.202 20 0 DCADLN O=C(NC1CN(C(=O)c2cscn2)C1)C(F)C(F)(F)F ZINC001387742999 988101059 /nfs/dbraw/zinc/10/10/59/988101059.db2.gz BFBKIGRKDNWCLL-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)c2cscn2)C1)[C@H](F)C(F)(F)F ZINC001387742999 988101074 /nfs/dbraw/zinc/10/10/74/988101074.db2.gz BFBKIGRKDNWCLL-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN CCN(CCNC(=O)c1ccnn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001388208915 988143693 /nfs/dbraw/zinc/14/36/93/988143693.db2.gz BWFPADYJTHFSSK-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)c1ccnn1C)C(=O)C(F)C(F)(F)F ZINC001388208915 988143685 /nfs/dbraw/zinc/14/36/85/988143685.db2.gz BWFPADYJTHFSSK-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CC[C@H](OC)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001387792202 988156560 /nfs/dbraw/zinc/15/65/60/988156560.db2.gz AXEZAGDWKSFYJC-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@H](OC)C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001387792202 988156565 /nfs/dbraw/zinc/15/65/65/988156565.db2.gz AXEZAGDWKSFYJC-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN O=C(Cn1cccn1)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001387876639 988241831 /nfs/dbraw/zinc/24/18/31/988241831.db2.gz CQJOLDMZBKNYKJ-JTQLQIEISA-N 0 2 322.262 0.358 20 0 DCADLN O=C(Cn1cccn1)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387876639 988241842 /nfs/dbraw/zinc/24/18/42/988241842.db2.gz CQJOLDMZBKNYKJ-JTQLQIEISA-N 0 2 322.262 0.358 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001387877420 988245396 /nfs/dbraw/zinc/24/53/96/988245396.db2.gz KCJKMYVAASMVJT-SSDOTTSWSA-N 0 2 310.207 0.158 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnon2)C1)[C@@H](F)C(F)(F)F ZINC001387877420 988245405 /nfs/dbraw/zinc/24/54/05/988245405.db2.gz KCJKMYVAASMVJT-SSDOTTSWSA-N 0 2 310.207 0.158 20 0 DCADLN O=C(Cc1cnoc1)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001387879284 988246015 /nfs/dbraw/zinc/24/60/15/988246015.db2.gz SQLXJLFNHAQZQK-SNVBAGLBSA-N 0 2 323.246 0.692 20 0 DCADLN O=C(Cc1cnoc1)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387879284 988246020 /nfs/dbraw/zinc/24/60/20/988246020.db2.gz SQLXJLFNHAQZQK-SNVBAGLBSA-N 0 2 323.246 0.692 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1cnnn1C ZINC001388785164 988592183 /nfs/dbraw/zinc/59/21/83/988592183.db2.gz BDFHNLKZOMEJOC-JEZHCXPESA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H]1C[N@H+](CN2C(=O)N[C@](C)(CCC(=O)[O-])C2=O)CCS1 ZINC001599866290 989266833 /nfs/dbraw/zinc/26/68/33/989266833.db2.gz YLVSXXQNAPTCSX-NOZJJQNGSA-N 0 2 315.395 0.557 20 0 DCADLN C[C@@H]1C[N@@H+](CN2C(=O)N[C@](C)(CCC(=O)[O-])C2=O)CCS1 ZINC001599866290 989266841 /nfs/dbraw/zinc/26/68/41/989266841.db2.gz YLVSXXQNAPTCSX-NOZJJQNGSA-N 0 2 315.395 0.557 20 0 DCADLN CCn1nncc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001389462847 989287098 /nfs/dbraw/zinc/28/70/98/989287098.db2.gz PORYZXOKPRPXCY-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1nncc1CN1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001389462847 989287107 /nfs/dbraw/zinc/28/71/07/989287107.db2.gz PORYZXOKPRPXCY-SCZZXKLOSA-N 0 2 323.294 0.889 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CCCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001390144760 989864993 /nfs/dbraw/zinc/86/49/93/989864993.db2.gz VQYIXVPQCFKEFT-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CCCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001390144760 989864999 /nfs/dbraw/zinc/86/49/99/989864999.db2.gz VQYIXVPQCFKEFT-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)(CCNC(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC001590670338 990369383 /nfs/dbraw/zinc/36/93/83/990369383.db2.gz JTVVYSTYLRPNFI-UHFFFAOYSA-N 0 2 318.333 0.890 20 0 DCADLN CS[C@H](C)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391050575 990542062 /nfs/dbraw/zinc/54/20/62/990542062.db2.gz QSUGCOMKWJFAEH-NXEZZACHSA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@H](C)CC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391050575 990542068 /nfs/dbraw/zinc/54/20/68/990542068.db2.gz QSUGCOMKWJFAEH-NXEZZACHSA-N 0 2 313.427 0.733 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1(C2CC2)CC1 ZINC001391059380 990549240 /nfs/dbraw/zinc/54/92/40/990549240.db2.gz UIBJTULYTMGVNZ-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1(C2CC2)CC1 ZINC001391059380 990549244 /nfs/dbraw/zinc/54/92/44/990549244.db2.gz UIBJTULYTMGVNZ-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(CCOc1ccccc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391458790 990856804 /nfs/dbraw/zinc/85/68/04/990856804.db2.gz ISSHLFUGGNZSNI-UHFFFAOYSA-N 0 2 317.349 0.280 20 0 DCADLN C[C@@H](CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCO1 ZINC001391517561 990908891 /nfs/dbraw/zinc/90/88/91/990908891.db2.gz SBEIVFOXEISXOY-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)N2CC[C@H](C(=O)[O-])C2)n1 ZINC001598256532 991066970 /nfs/dbraw/zinc/06/69/70/991066970.db2.gz RNMGEKYMJRHYPT-VIFPVBQESA-N 0 2 312.395 0.821 20 0 DCADLN CCC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001391982239 991359885 /nfs/dbraw/zinc/35/98/85/991359885.db2.gz QBGPPBVZGBMEQF-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN CCCc1cc(C(=O)N(C)C[C@H](C)NC(=O)c2ncn[nH]2)[nH]n1 ZINC001451184874 1015633561 /nfs/dbraw/zinc/63/35/61/1015633561.db2.gz ZTMSGZGFQCIZBD-VIFPVBQESA-N 0 2 319.369 0.371 20 0 DCADLN COc1cc[nH+]c(CN2CCS(=O)(=O)C[C@H]2CC(=O)[O-])c1 ZINC001599721168 991540307 /nfs/dbraw/zinc/54/03/07/991540307.db2.gz IUXYORYXAMGDAO-LLVKDONJSA-N 0 2 314.363 0.164 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+]1CCO[C@](C)(C(F)(F)F)C1 ZINC001598409361 991726775 /nfs/dbraw/zinc/72/67/75/991726775.db2.gz KOGPVCGQGLHHLD-NSHDSACASA-N 0 2 312.288 0.573 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+]1CCO[C@](C)(C(F)(F)F)C1 ZINC001598409361 991726781 /nfs/dbraw/zinc/72/67/81/991726781.db2.gz KOGPVCGQGLHHLD-NSHDSACASA-N 0 2 312.288 0.573 20 0 DCADLN CC(C)[C@H](C(=O)N(C)CC[N@@H+](C)Cc1cncn1C)[NH+](C)C ZINC001392318587 991746841 /nfs/dbraw/zinc/74/68/41/991746841.db2.gz YHBJUUYMJYYXTB-OAHLLOKOSA-N 0 2 309.458 0.897 20 0 DCADLN C[N@H+](CCCC(=O)OC(C)(C)C)Cc1cn(CC(=O)[O-])nn1 ZINC001598474850 992069273 /nfs/dbraw/zinc/06/92/73/992069273.db2.gz QWAORTCVJORQFG-UHFFFAOYSA-N 0 2 312.370 0.916 20 0 DCADLN C[N@@H+](CCCC(=O)OC(C)(C)C)Cc1cn(CC(=O)[O-])nn1 ZINC001598474850 992069279 /nfs/dbraw/zinc/06/92/79/992069279.db2.gz QWAORTCVJORQFG-UHFFFAOYSA-N 0 2 312.370 0.916 20 0 DCADLN CC(C)n1nccc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392652106 992209255 /nfs/dbraw/zinc/20/92/55/992209255.db2.gz WPZZWQBVINMUKK-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1nccc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392652106 992209260 /nfs/dbraw/zinc/20/92/60/992209260.db2.gz WPZZWQBVINMUKK-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN CCOCC1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001392841622 992451362 /nfs/dbraw/zinc/45/13/62/992451362.db2.gz NMXHADBLJXROSW-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001393021746 992632116 /nfs/dbraw/zinc/63/21/16/992632116.db2.gz OXGMOPARSGBHON-SNVBAGLBSA-N 0 2 319.365 0.940 20 0 DCADLN CC(C)Cn1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cn1 ZINC001591520496 992687769 /nfs/dbraw/zinc/68/77/69/992687769.db2.gz UKRYUGFIIPIDPE-LBPRGKRZSA-N 0 2 305.338 0.619 20 0 DCADLN CC(C)N(C)C(=O)C1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001591542373 992722414 /nfs/dbraw/zinc/72/24/14/992722414.db2.gz KAHYNMFVZYWKGY-UHFFFAOYSA-N 0 2 323.397 0.442 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1ccn(C)n1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001591593838 992811749 /nfs/dbraw/zinc/81/17/49/992811749.db2.gz JKAIOWRIWDMSFX-ZIAGYGMSSA-N 0 2 322.409 0.810 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1ccn(C)n1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001591593838 992811760 /nfs/dbraw/zinc/81/17/60/992811760.db2.gz JKAIOWRIWDMSFX-ZIAGYGMSSA-N 0 2 322.409 0.810 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])cn2C)CC1 ZINC001591633666 992938318 /nfs/dbraw/zinc/93/83/18/992938318.db2.gz QVHYOXNANSBOPG-UHFFFAOYSA-N 0 2 315.395 0.438 20 0 DCADLN C[N@@H+]1C2(CCC2)COC[C@]1(CO)CNc1ncncc1C(=O)[O-] ZINC001598555961 993057164 /nfs/dbraw/zinc/05/71/64/993057164.db2.gz GADQPJPOCBUVCN-OAHLLOKOSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@H+]1C2(CCC2)COC[C@]1(CO)CNc1ncncc1C(=O)[O-] ZINC001598555961 993057170 /nfs/dbraw/zinc/05/71/70/993057170.db2.gz GADQPJPOCBUVCN-OAHLLOKOSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2ccc(Cn3cccn3)o2)C[C@@H]1C(=O)[O-] ZINC001598575504 993268144 /nfs/dbraw/zinc/26/81/44/993268144.db2.gz OPKKHUZMJVKPGZ-VXGBXAGGSA-N 0 2 319.317 0.839 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2ccc(Cn3cccn3)o2)C[C@@H]1C(=O)[O-] ZINC001598575504 993268150 /nfs/dbraw/zinc/26/81/50/993268150.db2.gz OPKKHUZMJVKPGZ-VXGBXAGGSA-N 0 2 319.317 0.839 20 0 DCADLN CCC[NH+]1CCC[C@H]1C(=O)NC[C@@H](C)[N@H+](C)Cc1cnnn1C ZINC001394065733 993449231 /nfs/dbraw/zinc/44/92/31/993449231.db2.gz HVHICWLMBPYVSX-HIFRSBDPSA-N 0 2 322.457 0.626 20 0 DCADLN CC(C)c1nocc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394075983 993457170 /nfs/dbraw/zinc/45/71/70/993457170.db2.gz FGVPFGGBKJRXCB-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1nocc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394075983 993457174 /nfs/dbraw/zinc/45/71/74/993457174.db2.gz FGVPFGGBKJRXCB-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN C[C@@H](CNC(=O)CCn1cc[nH+]c1)[N@H+](C)CCc1ccnn1C ZINC001394098480 993475420 /nfs/dbraw/zinc/47/54/20/993475420.db2.gz UWGUKPSXJDKUHH-AWEZNQCLSA-N 0 2 318.425 0.686 20 0 DCADLN C[C@H](NC(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC001593070026 993616650 /nfs/dbraw/zinc/61/66/50/993616650.db2.gz DEAWJOQAGVJHQG-MROQNXINSA-N 0 2 313.398 0.458 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001399755630 993741274 /nfs/dbraw/zinc/74/12/74/993741274.db2.gz JRZWQEYBVKBNCS-UWVGGRQHSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccccc1 ZINC001399755630 993741284 /nfs/dbraw/zinc/74/12/84/993741284.db2.gz JRZWQEYBVKBNCS-UWVGGRQHSA-N 0 2 322.258 0.794 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCOC[C@@H]1C)Cc1n[nH]c(=O)[n-]1 ZINC001399770066 993755061 /nfs/dbraw/zinc/75/50/61/993755061.db2.gz WYDHNECSGBYPQH-WDEREUQCSA-N 0 2 311.386 0.121 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCOC[C@@H]1C)Cc1n[nH]c(=O)[n-]1 ZINC001399770066 993755066 /nfs/dbraw/zinc/75/50/66/993755066.db2.gz WYDHNECSGBYPQH-WDEREUQCSA-N 0 2 311.386 0.121 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCO[C@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001399791867 993778693 /nfs/dbraw/zinc/77/86/93/993778693.db2.gz FTZIKQPMPKYADQ-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCO[C@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001399791867 993778704 /nfs/dbraw/zinc/77/87/04/993778704.db2.gz FTZIKQPMPKYADQ-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H]([NH2+]C(c1nccn1C)c1nccn1C)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001593208707 994229859 /nfs/dbraw/zinc/22/98/59/994229859.db2.gz DZQFZYBSXVSDEU-DCAQKATOSA-N 0 2 303.366 0.942 20 0 DCADLN CNC(=O)[C@H]1C[N@H+](CCOc2cccc(C(=O)[O-])c2)CCO1 ZINC001598636753 994257852 /nfs/dbraw/zinc/25/78/52/994257852.db2.gz BMZUUNSBCPJYKJ-CYBMUJFWSA-N 0 2 308.334 0.210 20 0 DCADLN CNC(=O)[C@H]1C[N@@H+](CCOc2cccc(C(=O)[O-])c2)CCO1 ZINC001598636753 994257865 /nfs/dbraw/zinc/25/78/65/994257865.db2.gz BMZUUNSBCPJYKJ-CYBMUJFWSA-N 0 2 308.334 0.210 20 0 DCADLN C[C@@H](CNC(=O)c1occc1C[NH+](C)C)NC(=O)c1ncn[nH]1 ZINC001400266419 994357560 /nfs/dbraw/zinc/35/75/60/994357560.db2.gz BTAXCVWIOPJRLT-VIFPVBQESA-N 0 2 320.353 0.008 20 0 DCADLN C[C@@H](CNC(=O)c1occc1C[NH+](C)C)NC(=O)c1nc[nH]n1 ZINC001400266419 994357562 /nfs/dbraw/zinc/35/75/62/994357562.db2.gz BTAXCVWIOPJRLT-VIFPVBQESA-N 0 2 320.353 0.008 20 0 DCADLN C[C@@H]([NH2+]CC(=O)Nc1ccncc1)c1ncc(C(=O)[O-])s1 ZINC001593236519 994405461 /nfs/dbraw/zinc/40/54/61/994405461.db2.gz FQJHUQZCNAUCLE-MRVPVSSYSA-N 0 2 306.347 0.948 20 0 DCADLN C[C@H]([NH2+]CC(=O)Nc1cnccn1)c1ncc(C(=O)[O-])s1 ZINC001593238200 994419170 /nfs/dbraw/zinc/41/91/70/994419170.db2.gz XZOMDUQMRQDQEU-ZETCQYMHSA-N 0 2 307.335 0.921 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001598655684 994517516 /nfs/dbraw/zinc/51/75/16/994517516.db2.gz MFGSGHVFUAXJLZ-FOGDFJRCSA-N 0 2 322.365 0.074 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001598655684 994517527 /nfs/dbraw/zinc/51/75/27/994517527.db2.gz MFGSGHVFUAXJLZ-FOGDFJRCSA-N 0 2 322.365 0.074 20 0 DCADLN Cc1nc(CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001400572150 994663882 /nfs/dbraw/zinc/66/38/82/994663882.db2.gz IMUAPCAUWAVHMQ-UHFFFAOYSA-N 0 2 322.394 0.066 20 0 DCADLN CN(C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001396062837 995185422 /nfs/dbraw/zinc/18/54/22/995185422.db2.gz GONIGRNLALFRPB-PHDIDXHHSA-N 0 2 324.221 0.430 20 0 DCADLN CN(C[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)C(F)F ZINC001396062837 995185428 /nfs/dbraw/zinc/18/54/28/995185428.db2.gz GONIGRNLALFRPB-PHDIDXHHSA-N 0 2 324.221 0.430 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001396930787 995689652 /nfs/dbraw/zinc/68/96/52/995689652.db2.gz PSRHFDZYQLHUGM-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC001396930787 995689656 /nfs/dbraw/zinc/68/96/56/995689656.db2.gz PSRHFDZYQLHUGM-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN C[C@@H]([NH2+]Cc1ccc(O[C@@H](C)C(=O)[O-])cc1)c1nnnn1C ZINC001593617255 995850688 /nfs/dbraw/zinc/85/06/88/995850688.db2.gz CZRLMJGFSWPYML-ZJUUUORDSA-N 0 2 305.338 0.913 20 0 DCADLN C[C@H](C(=O)N(C)C)[N@@H+]1CC[C@H]1CN(C)C(=O)C[NH+]1CCCC1 ZINC001397553980 996063625 /nfs/dbraw/zinc/06/36/25/996063625.db2.gz KWKIOSCTTLTLRM-KGLIPLIRSA-N 0 2 310.442 0.092 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001398097667 996363889 /nfs/dbraw/zinc/36/38/89/996363889.db2.gz JJDFMJPCQDTSOV-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001398097667 996363894 /nfs/dbraw/zinc/36/38/94/996363894.db2.gz JJDFMJPCQDTSOV-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN COC(=O)CC1(C[N@@H+]2CC[C@@H](C(=O)[O-])C[C@H]2C(=O)OC)CC1 ZINC001598841406 996528382 /nfs/dbraw/zinc/52/83/82/996528382.db2.gz PTQBDGURXVLVHT-MNOVXSKESA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)CC1(C[N@H+]2CC[C@@H](C(=O)[O-])C[C@H]2C(=O)OC)CC1 ZINC001598841406 996528386 /nfs/dbraw/zinc/52/83/86/996528386.db2.gz PTQBDGURXVLVHT-MNOVXSKESA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)CN1CC[NH+](Cc2cc(C(=O)[O-])ccc2OC)CC1 ZINC001598863596 996695378 /nfs/dbraw/zinc/69/53/78/996695378.db2.gz DDUNFPGQAYXCIQ-UHFFFAOYSA-N 0 2 322.361 0.684 20 0 DCADLN COC(=O)COc1ccccc1C[N@@H+]1CCO[C@@H](CC(=O)[O-])C1 ZINC001598868037 996720368 /nfs/dbraw/zinc/72/03/68/996720368.db2.gz HEMAXQHGJVKQLP-ZDUSSCGKSA-N 0 2 323.345 0.914 20 0 DCADLN COC(=O)COc1ccccc1C[N@H+]1CCO[C@@H](CC(=O)[O-])C1 ZINC001598868037 996720373 /nfs/dbraw/zinc/72/03/73/996720373.db2.gz HEMAXQHGJVKQLP-ZDUSSCGKSA-N 0 2 323.345 0.914 20 0 DCADLN Cc1cnc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001404197484 996800389 /nfs/dbraw/zinc/80/03/89/996800389.db2.gz VSBRPBRLARCTNL-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1cnc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001404197484 996800395 /nfs/dbraw/zinc/80/03/95/996800395.db2.gz VSBRPBRLARCTNL-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN COCc1ncsc1C(=O)O[C@@H]1C[N@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001599305437 997304990 /nfs/dbraw/zinc/30/49/90/997304990.db2.gz BJKQDLFLWDDLGN-ISVAXAHUSA-N 0 2 314.363 0.994 20 0 DCADLN COCc1ncsc1C(=O)O[C@@H]1C[N@@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001599305437 997305003 /nfs/dbraw/zinc/30/50/03/997305003.db2.gz BJKQDLFLWDDLGN-ISVAXAHUSA-N 0 2 314.363 0.994 20 0 DCADLN COCc1noc(C[N@@H+]2[C@@H]3C[C@H](C(=O)[O-])O[C@H]3CC[C@H]2C)n1 ZINC001599307889 997358004 /nfs/dbraw/zinc/35/80/04/997358004.db2.gz HMZKYFMGCATZBO-CHWFTXMASA-N 0 2 311.338 0.811 20 0 DCADLN COCc1noc(C[N@H+]2[C@@H]3C[C@H](C(=O)[O-])O[C@H]3CC[C@H]2C)n1 ZINC001599307889 997358013 /nfs/dbraw/zinc/35/80/13/997358013.db2.gz HMZKYFMGCATZBO-CHWFTXMASA-N 0 2 311.338 0.811 20 0 DCADLN COCC[C@@H](NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)C(=O)[O-] ZINC001599216090 997584610 /nfs/dbraw/zinc/58/46/10/997584610.db2.gz IRDUZUSZRNQUQS-BXKDBHETSA-N 0 2 310.354 0.396 20 0 DCADLN COCC[C@@H](NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)C(=O)[O-] ZINC001599216090 997584620 /nfs/dbraw/zinc/58/46/20/997584620.db2.gz IRDUZUSZRNQUQS-BXKDBHETSA-N 0 2 310.354 0.396 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@@H]2COCC[C@@H]21 ZINC001598926988 997665666 /nfs/dbraw/zinc/66/56/66/997665666.db2.gz ZEGGUUNTYYOAPW-PWSUYJOCSA-N 0 2 323.349 0.826 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@@H]2COCC[C@@H]21 ZINC001598926988 997665674 /nfs/dbraw/zinc/66/56/74/997665674.db2.gz ZEGGUUNTYYOAPW-PWSUYJOCSA-N 0 2 323.349 0.826 20 0 DCADLN C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)C1 ZINC001594046950 997707985 /nfs/dbraw/zinc/70/79/85/997707985.db2.gz IOEAEUGJTKDSCQ-GFCCVEGCSA-N 0 2 317.342 0.102 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])oc1C ZINC001598932505 997793227 /nfs/dbraw/zinc/79/32/27/997793227.db2.gz JLCDNSHMYAVCDT-LBPRGKRZSA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])oc1C ZINC001598932505 997793236 /nfs/dbraw/zinc/79/32/36/997793236.db2.gz JLCDNSHMYAVCDT-LBPRGKRZSA-N 0 2 324.333 0.540 20 0 DCADLN O=C(CC1CC1)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001405183172 997899539 /nfs/dbraw/zinc/89/95/39/997899539.db2.gz FLLJURHMAOXSAO-CBAPKCEASA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001405183172 997899548 /nfs/dbraw/zinc/89/95/48/997899548.db2.gz FLLJURHMAOXSAO-CBAPKCEASA-N 0 2 300.252 0.280 20 0 DCADLN CCN(C(=O)Cc1cnoc1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405186805 997903368 /nfs/dbraw/zinc/90/33/68/997903368.db2.gz XLRNRIRFAPWPCD-LLVKDONJSA-N 0 2 320.353 0.164 20 0 DCADLN CCN(C(=O)Cc1cnoc1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405186805 997903377 /nfs/dbraw/zinc/90/33/77/997903377.db2.gz XLRNRIRFAPWPCD-LLVKDONJSA-N 0 2 320.353 0.164 20 0 DCADLN CC(C)(C)CC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405293812 998050419 /nfs/dbraw/zinc/05/04/19/998050419.db2.gz ONIONDDUOAVLMS-CBAPKCEASA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001405293812 998050423 /nfs/dbraw/zinc/05/04/23/998050423.db2.gz ONIONDDUOAVLMS-CBAPKCEASA-N 0 2 316.295 0.916 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CCN1C(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001594139419 998422030 /nfs/dbraw/zinc/42/20/30/998422030.db2.gz BEEDMWUOTGKRKB-ONGXEEELSA-N 0 2 316.317 0.594 20 0 DCADLN CCC(=O)[C@@H]1CCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001468051197 1016219194 /nfs/dbraw/zinc/21/91/94/1016219194.db2.gz VQOKXOMDSTULNK-JTQLQIEISA-N 0 2 303.322 0.041 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccoc1CC(=O)[O-] ZINC001594251165 998966125 /nfs/dbraw/zinc/96/61/25/998966125.db2.gz SVBBEGPLPABCNP-VXGBXAGGSA-N 0 2 322.361 0.842 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NCC(=O)OC(C)(C)C)[C@@H](C(=O)[O-])C1 ZINC001594414611 999548462 /nfs/dbraw/zinc/54/84/62/999548462.db2.gz LCOAWMHHGWOWRV-WDEREUQCSA-N 0 2 314.382 0.629 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NCC(=O)OC(C)(C)C)[C@@H](C(=O)[O-])C1 ZINC001594414611 999548464 /nfs/dbraw/zinc/54/84/64/999548464.db2.gz LCOAWMHHGWOWRV-WDEREUQCSA-N 0 2 314.382 0.629 20 0 DCADLN C[C@@H]1CN(C(=O)C[N@H+](C)Cc2ccc(C(=O)[O-])cn2)C[C@@H](C)O1 ZINC001594465113 999682454 /nfs/dbraw/zinc/68/24/54/999682454.db2.gz LGJWTAFBUMYSTF-VXGBXAGGSA-N 0 2 321.377 0.847 20 0 DCADLN C[C@@H]1CN(C(=O)C[N@@H+](C)Cc2ccc(C(=O)[O-])cn2)C[C@@H](C)O1 ZINC001594465113 999682457 /nfs/dbraw/zinc/68/24/57/999682457.db2.gz LGJWTAFBUMYSTF-VXGBXAGGSA-N 0 2 321.377 0.847 20 0 DCADLN O=C(CC1CCCC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001401193911 1000258824 /nfs/dbraw/zinc/25/88/24/1000258824.db2.gz MSBVUVPWXSDJFG-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN CO[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001418583848 1000363883 /nfs/dbraw/zinc/36/38/83/1000363883.db2.gz TYYWAQVRWSQHGA-DGCLKSJQSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1cnc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)nc1 ZINC001418971056 1000655840 /nfs/dbraw/zinc/65/58/40/1000655840.db2.gz IDYOPHOHROGPRF-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN Cc1cnc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)nc1 ZINC001418971056 1000655842 /nfs/dbraw/zinc/65/58/42/1000655842.db2.gz IDYOPHOHROGPRF-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN Cn1ccc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001419019071 1000695416 /nfs/dbraw/zinc/69/54/16/1000695416.db2.gz DDQUJUZBAJDSIL-SNVBAGLBSA-N 0 2 308.279 0.869 20 0 DCADLN Cn1ccc(CN2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001419019071 1000695420 /nfs/dbraw/zinc/69/54/20/1000695420.db2.gz DDQUJUZBAJDSIL-SNVBAGLBSA-N 0 2 308.279 0.869 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001402072592 1000992675 /nfs/dbraw/zinc/99/26/75/1000992675.db2.gz NPIGKERJXXHIRH-DGCLKSJQSA-N 0 2 323.397 0.406 20 0 DCADLN COc1ccc(C(=O)NCC2=NC(=O)CC(=O)N2)c(Cl)n1 ZINC001470588377 1016461298 /nfs/dbraw/zinc/46/12/98/1016461298.db2.gz ZDLHUFJJXFIOHJ-UHFFFAOYSA-N 0 2 310.697 0.875 20 0 DCADLN C[C@@]1(C(=O)NCc2nc(O)cc(=O)[nH]2)COc2ccccc2O1 ZINC001470585435 1016461458 /nfs/dbraw/zinc/46/14/58/1016461458.db2.gz CRMOUAHDJAAUEF-HNNXBMFYSA-N 0 2 317.301 0.734 20 0 DCADLN CC(F)(F)C1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001402186487 1001094262 /nfs/dbraw/zinc/09/42/62/1001094262.db2.gz PYMTVMSFNHYOHA-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001505749098 1016518088 /nfs/dbraw/zinc/51/80/88/1016518088.db2.gz CDPVBPZMMWZFLJ-XVKPBYJWSA-N 0 2 322.262 0.570 20 0 DCADLN C[C@@H](CNC(=O)CC1CC(F)(F)C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403142204 1001692869 /nfs/dbraw/zinc/69/28/69/1001692869.db2.gz FXUNVDXJLJXKSK-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](CNC(=O)CC1CC(F)(F)C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403142204 1001692871 /nfs/dbraw/zinc/69/28/71/1001692871.db2.gz FXUNVDXJLJXKSK-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(N[C@H](CO)[C@@H](O)c1cccnc1)c1cc(F)c(O)c(F)c1 ZINC001471071129 1016519903 /nfs/dbraw/zinc/51/99/03/1016519903.db2.gz VSKISTTVEGJURC-OLZOCXBDSA-N 0 2 324.283 0.890 20 0 DCADLN CCO[C@@H](C)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507759360 1016564637 /nfs/dbraw/zinc/56/46/37/1016564637.db2.gz DVGRYIOJNDSXCQ-NSHDSACASA-N 0 2 313.402 0.604 20 0 DCADLN CCO[C@@H](C)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507759360 1016564644 /nfs/dbraw/zinc/56/46/44/1016564644.db2.gz DVGRYIOJNDSXCQ-NSHDSACASA-N 0 2 313.402 0.604 20 0 DCADLN COc1cncc(CN(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001403562095 1002142635 /nfs/dbraw/zinc/14/26/35/1002142635.db2.gz GYDRLTWWLHISQW-SNVBAGLBSA-N 0 2 324.278 0.934 20 0 DCADLN C[C@H](CNC(=O)C1(C(F)F)CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424937387 1002163663 /nfs/dbraw/zinc/16/36/63/1002163663.db2.gz JHRSEZZMUWVRHX-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](CNC(=O)C1(C(F)F)CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424937387 1002163672 /nfs/dbraw/zinc/16/36/72/1002163672.db2.gz JHRSEZZMUWVRHX-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN Cc1cccc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420283232 1002165033 /nfs/dbraw/zinc/16/50/33/1002165033.db2.gz QCNZWYQJJPBBLG-UHFFFAOYSA-N 0 2 303.366 0.609 20 0 DCADLN Cc1cccc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420283232 1002165039 /nfs/dbraw/zinc/16/50/39/1002165039.db2.gz QCNZWYQJJPBBLG-UHFFFAOYSA-N 0 2 303.366 0.609 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001420332793 1002238905 /nfs/dbraw/zinc/23/89/05/1002238905.db2.gz GGHQJJIFYCQGPR-JTQLQIEISA-N 0 2 304.354 0.257 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001420332793 1002238913 /nfs/dbraw/zinc/23/89/13/1002238913.db2.gz GGHQJJIFYCQGPR-JTQLQIEISA-N 0 2 304.354 0.257 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCC[NH+]1C)Cc1cncc(OC)n1 ZINC001420379494 1002308993 /nfs/dbraw/zinc/30/89/93/1002308993.db2.gz CNEQYLBRDNQEND-CQSZACIVSA-N 0 2 321.425 0.518 20 0 DCADLN CCc1nnc([C@H](C)[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001420406513 1002369544 /nfs/dbraw/zinc/36/95/44/1002369544.db2.gz BTQNUZPWJYLKCD-CBAPKCEASA-N 0 2 322.369 0.838 20 0 DCADLN CCc1noc(C[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001420409162 1002371965 /nfs/dbraw/zinc/37/19/65/1002371965.db2.gz MXTBFQGBXHNFNX-ZETCQYMHSA-N 0 2 308.342 0.277 20 0 DCADLN Cc1nc(SCCC(=O)N[C@H](C)C2=NN(C)CC2=O)n[nH]1 ZINC001420440121 1002421867 /nfs/dbraw/zinc/42/18/67/1002421867.db2.gz NQQMVUYQKQATCY-SSDOTTSWSA-N 0 2 310.383 0.912 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1CCCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425271796 1002499485 /nfs/dbraw/zinc/49/94/85/1002499485.db2.gz ZEZQVCCGRHDUOD-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cccnc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420553888 1002609876 /nfs/dbraw/zinc/60/98/76/1002609876.db2.gz NWXHPQRMPYHTEU-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cccnc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420553888 1002609880 /nfs/dbraw/zinc/60/98/80/1002609880.db2.gz NWXHPQRMPYHTEU-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccncc1C)Cc1n[nH]c(=O)[n-]1 ZINC001403854532 1002634784 /nfs/dbraw/zinc/63/47/84/1002634784.db2.gz UZNWZWXNYIBKJK-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccncc1C)Cc1n[nH]c(=O)[n-]1 ZINC001403854532 1002634790 /nfs/dbraw/zinc/63/47/90/1002634790.db2.gz UZNWZWXNYIBKJK-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420637051 1002720744 /nfs/dbraw/zinc/72/07/44/1002720744.db2.gz PXGRFKLDKXLRFM-MNOVXSKESA-N 0 2 309.370 0.016 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420637051 1002720757 /nfs/dbraw/zinc/72/07/57/1002720757.db2.gz PXGRFKLDKXLRFM-MNOVXSKESA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001420649743 1002749045 /nfs/dbraw/zinc/74/90/45/1002749045.db2.gz SIBQZXVVVUWKQR-WDEREUQCSA-N 0 2 321.385 0.295 20 0 DCADLN C[C@H](CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001420649743 1002749053 /nfs/dbraw/zinc/74/90/53/1002749053.db2.gz SIBQZXVVVUWKQR-WDEREUQCSA-N 0 2 321.385 0.295 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1C[C@H]1C1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001403929689 1002760685 /nfs/dbraw/zinc/76/06/85/1002760685.db2.gz MFSZBEXCBYTMAG-NWDGAFQWSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001403929689 1002760700 /nfs/dbraw/zinc/76/07/00/1002760700.db2.gz MFSZBEXCBYTMAG-NWDGAFQWSA-N 0 2 307.398 0.885 20 0 DCADLN Cc1ccncc1CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420853639 1003034478 /nfs/dbraw/zinc/03/44/78/1003034478.db2.gz NCUHNFOMAAGEMS-LBPRGKRZSA-N 0 2 316.365 0.147 20 0 DCADLN C[C@H](NC(=O)c1cccc(-n2cncn2)n1)c1nn(C)cc1O ZINC001472236792 1016769571 /nfs/dbraw/zinc/76/95/71/1016769571.db2.gz OIRYPJMUYZPGJY-VIFPVBQESA-N 0 2 313.321 0.592 20 0 DCADLN Cn1nnnc1CN1CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001421214919 1003450299 /nfs/dbraw/zinc/45/02/99/1003450299.db2.gz YSJWCEPGSVFSNN-WCBMZHEXSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CC[C@@](C)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001421214919 1003450305 /nfs/dbraw/zinc/45/03/05/1003450305.db2.gz YSJWCEPGSVFSNN-WCBMZHEXSA-N 0 2 324.282 0.191 20 0 DCADLN C[C@]1(NC(=O)CCc2ccon2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421220022 1003457001 /nfs/dbraw/zinc/45/70/01/1003457001.db2.gz RKYMETUIGZONBC-AWEZNQCLSA-N 0 2 320.353 0.212 20 0 DCADLN C[C@]1(NC(=O)CCc2ccon2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421220022 1003457004 /nfs/dbraw/zinc/45/70/04/1003457004.db2.gz RKYMETUIGZONBC-AWEZNQCLSA-N 0 2 320.353 0.212 20 0 DCADLN COCCN(CCNC(=O)c1ccccc1)Cc1n[nH]c(=O)[nH]1 ZINC001426160541 1003629695 /nfs/dbraw/zinc/62/96/95/1003629695.db2.gz PGMTVDGNAWZRLN-UHFFFAOYSA-N 0 2 319.365 0.389 20 0 DCADLN CC(C)N1CC[C@@H]([NH2+]C[C@@H](C)NC(=O)CCn2cc[nH+]c2)C1=O ZINC001406381096 1003661833 /nfs/dbraw/zinc/66/18/33/1003661833.db2.gz PKAGKNPBHKRIML-ZIAGYGMSSA-N 0 2 321.425 0.377 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CC(NCc2nnn(C)n2)C1 ZINC001426225830 1003664635 /nfs/dbraw/zinc/66/46/35/1003664635.db2.gz VIJNXYJWXHDXBS-IEIXJENWSA-N 0 2 324.282 0.190 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505996362 1016848156 /nfs/dbraw/zinc/84/81/56/1016848156.db2.gz FEGYRYSZXPMWMY-MNOVXSKESA-N 0 2 313.402 0.508 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505996362 1016848173 /nfs/dbraw/zinc/84/81/73/1016848173.db2.gz FEGYRYSZXPMWMY-MNOVXSKESA-N 0 2 313.402 0.508 20 0 DCADLN CC(F)(F)CCC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001406861001 1004161508 /nfs/dbraw/zinc/16/15/08/1004161508.db2.gz SBYRZZPOEPYVQB-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN COC[C@@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001427233882 1004269732 /nfs/dbraw/zinc/26/97/32/1004269732.db2.gz RIUWGHIDYWXAQD-GHMZBOCLSA-N 0 2 309.370 0.824 20 0 DCADLN CCOCC(=O)NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001427277398 1004299897 /nfs/dbraw/zinc/29/98/97/1004299897.db2.gz ONCGUWOGNLHBAL-NRPADANISA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NC[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001427277398 1004299904 /nfs/dbraw/zinc/29/99/04/1004299904.db2.gz ONCGUWOGNLHBAL-NRPADANISA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)[C@@H]1CCOC1 ZINC001427411770 1004371447 /nfs/dbraw/zinc/37/14/47/1004371447.db2.gz GWORYXFVQHQYRF-HBNTYKKESA-N 0 2 309.370 0.824 20 0 DCADLN C[C@@]1(NC(=O)Cc2ccccc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407162266 1004431589 /nfs/dbraw/zinc/43/15/89/1004431589.db2.gz KKICWICETISYMK-MRXNPFEDSA-N 0 2 315.377 0.834 20 0 DCADLN C[C@@]1(NC(=O)Cc2ccccc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407162266 1004431597 /nfs/dbraw/zinc/43/15/97/1004431597.db2.gz KKICWICETISYMK-MRXNPFEDSA-N 0 2 315.377 0.834 20 0 DCADLN Cc1nn(C)cc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001429304328 1004518227 /nfs/dbraw/zinc/51/82/27/1004518227.db2.gz PJBLGIMBUAIBOU-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1nn(C)cc1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001429304328 1004518234 /nfs/dbraw/zinc/51/82/34/1004518234.db2.gz PJBLGIMBUAIBOU-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CCCC1(C(=O)NC[C@]2(O)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001407356771 1004582057 /nfs/dbraw/zinc/58/20/57/1004582057.db2.gz GKVYVBMOFVBHLV-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN CCCC1(C(=O)NC[C@]2(O)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001407356771 1004582065 /nfs/dbraw/zinc/58/20/65/1004582065.db2.gz GKVYVBMOFVBHLV-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN C[C@@H](C(=O)N(C)C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001472960750 1016892073 /nfs/dbraw/zinc/89/20/73/1016892073.db2.gz XVAWLJQVAJUQQC-DTWKUNHWSA-N 0 2 313.295 0.508 20 0 DCADLN C[C@@H](C(=O)N(C)C)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001472960750 1016892084 /nfs/dbraw/zinc/89/20/84/1016892084.db2.gz XVAWLJQVAJUQQC-DTWKUNHWSA-N 0 2 313.295 0.508 20 0 DCADLN CC1(C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001427827037 1004631950 /nfs/dbraw/zinc/63/19/50/1004631950.db2.gz QBALJVZTYMEKQC-NKWVEPMBSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001427827037 1004631952 /nfs/dbraw/zinc/63/19/52/1004631952.db2.gz QBALJVZTYMEKQC-NKWVEPMBSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(NC1CCN(C(=O)c2nc[nH]n2)CC1)C(F)C(F)(F)F ZINC001429679817 1004727821 /nfs/dbraw/zinc/72/78/21/1004727821.db2.gz AMAPXXAWUICOEM-ZETCQYMHSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC1CCN(C(=O)c2nc[nH]n2)CC1)[C@H](F)C(F)(F)F ZINC001429679817 1004727830 /nfs/dbraw/zinc/72/78/30/1004727830.db2.gz AMAPXXAWUICOEM-ZETCQYMHSA-N 0 2 323.250 0.426 20 0 DCADLN CCCCC(=O)N[C@@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407611216 1004760146 /nfs/dbraw/zinc/76/01/46/1004760146.db2.gz LJILJRSYFGXRGH-AWEZNQCLSA-N 0 2 311.386 0.144 20 0 DCADLN CC(C)C1(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001413941482 1005229535 /nfs/dbraw/zinc/22/95/35/1005229535.db2.gz CRMMWYDYYCGYLS-UHFFFAOYSA-N 0 2 315.399 0.337 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cnn(CC(F)F)c2)n1 ZINC001414344649 1005292990 /nfs/dbraw/zinc/29/29/90/1005292990.db2.gz ZLVHCVMTUKEMEN-UHFFFAOYSA-N 0 2 321.313 0.516 20 0 DCADLN CC1(C)C[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CO1 ZINC001414736080 1005392849 /nfs/dbraw/zinc/39/28/49/1005392849.db2.gz NXJNNYXPFMNOPC-ZJUUUORDSA-N 0 2 309.370 0.967 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C)C(=O)C[N@H+]1CCC[C@H]1C ZINC001415570529 1005564907 /nfs/dbraw/zinc/56/49/07/1005564907.db2.gz OZLJPLLHTMITBQ-GFCCVEGCSA-N 0 2 321.425 0.320 20 0 DCADLN CC[C@H](CNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001416179689 1005667649 /nfs/dbraw/zinc/66/76/49/1005667649.db2.gz KUQPEZHRUDGMRP-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN CC[C@H](CNC(=O)Cn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001416179689 1005667652 /nfs/dbraw/zinc/66/76/52/1005667652.db2.gz KUQPEZHRUDGMRP-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN Cn1ccc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001417147967 1005793208 /nfs/dbraw/zinc/79/32/08/1005793208.db2.gz XRMVKAUQISHFBS-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1ccc(C(=O)NC2(CNC(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001417147967 1005793211 /nfs/dbraw/zinc/79/32/11/1005793211.db2.gz XRMVKAUQISHFBS-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN COC(=O)c1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cn1 ZINC001417660261 1005862761 /nfs/dbraw/zinc/86/27/61/1005862761.db2.gz PLPOWFZSUMGLDY-VIFPVBQESA-N 0 2 322.346 0.041 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(NC(=O)C2CC2)s1 ZINC001417663227 1005863114 /nfs/dbraw/zinc/86/31/14/1005863114.db2.gz DWKHSHILBIUISQ-UHFFFAOYSA-N 0 2 307.335 0.850 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)C ZINC001417850989 1005903613 /nfs/dbraw/zinc/90/36/13/1005903613.db2.gz YOXPCCHNRHOJOH-JFGNBEQYSA-N 0 2 311.386 0.260 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)C ZINC001417850989 1005903615 /nfs/dbraw/zinc/90/36/15/1005903615.db2.gz YOXPCCHNRHOJOH-JFGNBEQYSA-N 0 2 311.386 0.260 20 0 DCADLN CCn1ncc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001434824030 1006012604 /nfs/dbraw/zinc/01/26/04/1006012604.db2.gz PQIFCEPTNZKQOT-SECBINFHSA-N 0 2 320.357 0.211 20 0 DCADLN Cc1nnc([C@H](C)NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001434838248 1006029551 /nfs/dbraw/zinc/02/95/51/1006029551.db2.gz OFTJIUACKUOHNG-IONNQARKSA-N 0 2 321.341 0.852 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cn(C3CCC3)nn2)S1 ZINC001452681541 1006985176 /nfs/dbraw/zinc/98/51/76/1006985176.db2.gz AJZHACSRXQTPQS-SECBINFHSA-N 0 2 308.367 0.176 20 0 DCADLN CCOC1CC(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001437505995 1007066547 /nfs/dbraw/zinc/06/65/47/1007066547.db2.gz HMZICYISCZFZFH-UHFFFAOYSA-N 0 2 311.386 0.264 20 0 DCADLN CCOC1CC(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001437505995 1007066563 /nfs/dbraw/zinc/06/65/63/1007066563.db2.gz HMZICYISCZFZFH-UHFFFAOYSA-N 0 2 311.386 0.264 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001439831428 1007224577 /nfs/dbraw/zinc/22/45/77/1007224577.db2.gz KCUUEJDBABPBPH-NOZJJQNGSA-N 0 2 313.427 0.590 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001439831428 1007224591 /nfs/dbraw/zinc/22/45/91/1007224591.db2.gz KCUUEJDBABPBPH-NOZJJQNGSA-N 0 2 313.427 0.590 20 0 DCADLN Cc1nccc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001437817051 1007429118 /nfs/dbraw/zinc/42/91/18/1007429118.db2.gz FNLOXOPWTLHJFT-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1nccc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001437817051 1007429121 /nfs/dbraw/zinc/42/91/21/1007429121.db2.gz FNLOXOPWTLHJFT-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN CC(C)[C@H](C)C(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001441068810 1008204344 /nfs/dbraw/zinc/20/43/44/1008204344.db2.gz LIHMENSGMROTOJ-LBPRGKRZSA-N 0 2 324.429 0.036 20 0 DCADLN Cc1ccn2ncc(C(=O)NCc3nc(O)cc(=O)[nH]3)c2n1 ZINC001454192685 1008992956 /nfs/dbraw/zinc/99/29/56/1008992956.db2.gz ZOEMIJQSBGINRC-UHFFFAOYSA-N 0 2 300.278 0.169 20 0 DCADLN Cc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1N1CCOCC1 ZINC001433774643 1009167926 /nfs/dbraw/zinc/16/79/26/1009167926.db2.gz QZFWSCKMRPQONO-UHFFFAOYSA-N 0 2 317.349 0.585 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2CCN(CC(F)F)C2)S1 ZINC001455156273 1009530516 /nfs/dbraw/zinc/53/05/16/1009530516.db2.gz POSRYLQLCCDGSI-JGVFFNPUSA-N 0 2 320.365 0.246 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnc(C)s1)Cc1n[nH]c(=O)[n-]1 ZINC001442633428 1009547813 /nfs/dbraw/zinc/54/78/13/1009547813.db2.gz BPSWEOUYZNGTIV-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cnc(C)s1)Cc1n[nH]c(=O)[n-]1 ZINC001442633428 1009547824 /nfs/dbraw/zinc/54/78/24/1009547824.db2.gz BPSWEOUYZNGTIV-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN O=C(NN1CCOC1=O)C(F)(F)n1cc(-c2ccccc2)nn1 ZINC001434191586 1009647121 /nfs/dbraw/zinc/64/71/21/1009647121.db2.gz HYQXGNCQZLWVFQ-UHFFFAOYSA-N 0 2 323.259 0.978 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)[nH]1 ZINC001434385905 1009877469 /nfs/dbraw/zinc/87/74/69/1009877469.db2.gz VCTGCJYAPQMMFX-UTLUCORTSA-N 0 2 312.395 0.402 20 0 DCADLN C[C@H](NC(=O)[C@H]1COc2ccc(O)cc2O1)c1nn(C)cc1O ZINC001434407538 1009914849 /nfs/dbraw/zinc/91/48/49/1009914849.db2.gz PBWGCERNKZJSDA-ISVAXAHUSA-N 0 2 319.317 0.849 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c1C ZINC001442992497 1010017467 /nfs/dbraw/zinc/01/74/67/1010017467.db2.gz GMRKZBIXNLRPKY-UHFFFAOYSA-N 0 2 319.369 0.245 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c1C ZINC001442992497 1010017477 /nfs/dbraw/zinc/01/74/77/1010017477.db2.gz GMRKZBIXNLRPKY-UHFFFAOYSA-N 0 2 319.369 0.245 20 0 DCADLN COc1ccc(O)c(S(=O)(=O)N[C@@H](C)c2n[nH]c(C)n2)c1 ZINC001475272433 1017081746 /nfs/dbraw/zinc/08/17/46/1017081746.db2.gz BEBUNZPTOYTAAQ-ZETCQYMHSA-N 0 2 312.351 0.867 20 0 DCADLN C[C@@]1(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCOC1 ZINC001443039459 1010081565 /nfs/dbraw/zinc/08/15/65/1010081565.db2.gz GPAYKTMNUMSZHW-CQSZACIVSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCOC1 ZINC001443039459 1010081581 /nfs/dbraw/zinc/08/15/81/1010081581.db2.gz GPAYKTMNUMSZHW-CQSZACIVSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1ccn(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001456290666 1010173413 /nfs/dbraw/zinc/17/34/13/1010173413.db2.gz WUJGNXKDGAYVJG-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN Cc1ccn(CC(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001456290666 1010173416 /nfs/dbraw/zinc/17/34/16/1010173416.db2.gz WUJGNXKDGAYVJG-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN CCc1cncc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422535190 1010256962 /nfs/dbraw/zinc/25/69/62/1010256962.db2.gz HEAXCOKRIHHFHZ-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cncc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422535190 1010256971 /nfs/dbraw/zinc/25/69/71/1010256971.db2.gz HEAXCOKRIHHFHZ-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN C[C@H](CN(C)Cc1nnnn1C)NC(=O)C(F)C(F)(F)F ZINC001422810115 1010634318 /nfs/dbraw/zinc/63/43/18/1010634318.db2.gz LFTIUQQBPAOFBB-SVRRBLITSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@H](CN(C)Cc1nnnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001422810115 1010634326 /nfs/dbraw/zinc/63/43/26/1010634326.db2.gz LFTIUQQBPAOFBB-SVRRBLITSA-N 0 2 312.271 0.047 20 0 DCADLN CCc1ncsc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422836633 1010658370 /nfs/dbraw/zinc/65/83/70/1010658370.db2.gz QJZHSVFPZRIOFQ-MRVPVSSYSA-N 0 2 324.410 0.780 20 0 DCADLN CCc1ncsc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422836633 1010658378 /nfs/dbraw/zinc/65/83/78/1010658378.db2.gz QJZHSVFPZRIOFQ-MRVPVSSYSA-N 0 2 324.410 0.780 20 0 DCADLN C[C@H](CN(C)C(=O)c1nc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001443958123 1010777169 /nfs/dbraw/zinc/77/71/69/1010777169.db2.gz WOHQZRBRGTVFAS-PHDIDXHHSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@H](CN(C)C(=O)c1nc[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001443958123 1010777173 /nfs/dbraw/zinc/77/71/73/1010777173.db2.gz WOHQZRBRGTVFAS-PHDIDXHHSA-N 0 2 311.239 0.282 20 0 DCADLN Cc1nn(C)c(Cl)c1CNC1(CNC(=O)c2cnon2)CC1 ZINC001423282417 1011045988 /nfs/dbraw/zinc/04/59/88/1011045988.db2.gz LHIBGGHLSFVOAT-UHFFFAOYSA-N 0 2 324.772 0.817 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2cnn(C)c2)C1 ZINC001423401533 1011125221 /nfs/dbraw/zinc/12/52/21/1011125221.db2.gz HNDFRVCUWRWQDL-JTQLQIEISA-N 0 2 308.279 0.963 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(Cc2cnn(C)c2)C1 ZINC001423401533 1011125222 /nfs/dbraw/zinc/12/52/22/1011125222.db2.gz HNDFRVCUWRWQDL-JTQLQIEISA-N 0 2 308.279 0.963 20 0 DCADLN CN(CCN(C)C(=O)C1(C(F)F)CCC1)Cc1n[nH]c(=O)[nH]1 ZINC001445025538 1011332187 /nfs/dbraw/zinc/33/21/87/1011332187.db2.gz UOASGCIISKKERL-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C(F)F)CCC1 ZINC001445025538 1011332198 /nfs/dbraw/zinc/33/21/98/1011332198.db2.gz UOASGCIISKKERL-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C(F)F)CCC1 ZINC001445025538 1011332210 /nfs/dbraw/zinc/33/22/10/1011332210.db2.gz UOASGCIISKKERL-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN CCC[C@H](NC(=O)c1ccc2n[nH]c(=S)n2c1)c1nn[nH]n1 ZINC001445342278 1011671630 /nfs/dbraw/zinc/67/16/30/1011671630.db2.gz AWHBASDXFNMRAW-QMMMGPOBSA-N 0 2 318.366 0.802 20 0 DCADLN CCC[C@@H](NC(=O)c1ccc2n[nH]c(=S)n2c1)c1nn[nH]n1 ZINC001445342272 1011673294 /nfs/dbraw/zinc/67/32/94/1011673294.db2.gz AWHBASDXFNMRAW-MRVPVSSYSA-N 0 2 318.366 0.802 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)NC[C@@H]2CCCO2)n[nH]1 ZINC001553342473 1011903110 /nfs/dbraw/zinc/90/31/10/1011903110.db2.gz GTGUFWXXSSXNJH-XQQFMLRXSA-N 0 2 323.397 0.806 20 0 DCADLN CC1(O)CCN(c2cc(NC[C@H]3C[C@@H]3C(=O)[O-])[nH+]cn2)CC1 ZINC001553346618 1011904999 /nfs/dbraw/zinc/90/49/99/1011904999.db2.gz SGCIBGSMXPZIKH-MNOVXSKESA-N 0 2 306.366 0.960 20 0 DCADLN CC1(O)CCN(c2cc(NC[C@H]3C[C@@H]3C(=O)[O-])nc[nH+]2)CC1 ZINC001553346618 1011905007 /nfs/dbraw/zinc/90/50/07/1011905007.db2.gz SGCIBGSMXPZIKH-MNOVXSKESA-N 0 2 306.366 0.960 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)C(=O)C(F)C(F)(F)F ZINC001424102452 1011998383 /nfs/dbraw/zinc/99/83/83/1011998383.db2.gz GBZVXEOSRVIFRV-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001424102452 1011998394 /nfs/dbraw/zinc/99/83/94/1011998394.db2.gz GBZVXEOSRVIFRV-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN COCCC(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458631108 1012235925 /nfs/dbraw/zinc/23/59/25/1012235925.db2.gz VHSHKAFGFBCCJE-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001458631108 1012235944 /nfs/dbraw/zinc/23/59/44/1012235944.db2.gz VHSHKAFGFBCCJE-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1ccoc1CC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445818417 1012299201 /nfs/dbraw/zinc/29/92/01/1012299201.db2.gz WCBVTGJKNFSTPN-SNVBAGLBSA-N 0 2 307.354 0.591 20 0 DCADLN Cc1ccoc1CC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445818417 1012299219 /nfs/dbraw/zinc/29/92/19/1012299219.db2.gz WCBVTGJKNFSTPN-SNVBAGLBSA-N 0 2 307.354 0.591 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)COC(=O)N2 ZINC001558941085 1012394396 /nfs/dbraw/zinc/39/43/96/1012394396.db2.gz WNIUUZJSGIDCJU-UHFFFAOYSA-N 0 2 317.305 0.935 20 0 DCADLN O=C(NCC1=CCCOC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001564854916 1012535191 /nfs/dbraw/zinc/53/51/91/1012535191.db2.gz CYWZQTGLFJDXNY-LLVKDONJSA-N 0 2 307.354 0.746 20 0 DCADLN Nc1nc2nc(CN3CCO[C@H](c4ccco4)C3)cc(=O)n2[nH]1 ZINC001459632435 1012792713 /nfs/dbraw/zinc/79/27/13/1012792713.db2.gz QORFBSHXDXVVGI-NSHDSACASA-N 0 2 316.321 0.166 20 0 DCADLN Cc1ccsc1[C@@H](CO)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001446693747 1012908993 /nfs/dbraw/zinc/90/89/93/1012908993.db2.gz BLVVHHHTINTVDX-HTQZYQBOSA-N 0 2 313.404 0.763 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)n1 ZINC001475703238 1017137708 /nfs/dbraw/zinc/13/77/08/1017137708.db2.gz KZYWDHVQQBTWCG-UHFFFAOYSA-N 0 2 323.338 0.637 20 0 DCADLN CC(=O)N(C)[C@@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001509115605 1017189047 /nfs/dbraw/zinc/18/90/47/1017189047.db2.gz XWQGVRJLROOZAD-VIFPVBQESA-N 0 2 304.354 0.407 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1ccn(C)n1 ZINC001478796841 1017387893 /nfs/dbraw/zinc/38/78/93/1017387893.db2.gz SETBGJWXDZLWQC-VHSXEESVSA-N 0 2 319.369 0.894 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nnnn2C)C1 ZINC001506616362 1017411264 /nfs/dbraw/zinc/41/12/64/1017411264.db2.gz CJLIYOWXBBKRLB-MUWHJKNJSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2nnnn2C)C1 ZINC001506616362 1017411274 /nfs/dbraw/zinc/41/12/74/1017411274.db2.gz CJLIYOWXBBKRLB-MUWHJKNJSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@@H](NC(=O)Cc1ccccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506632136 1017422909 /nfs/dbraw/zinc/42/29/09/1017422909.db2.gz LKDQGUKNTLDSBC-LLVKDONJSA-N 0 2 315.377 0.690 20 0 DCADLN CC(C)(C)/C=C/C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001494649335 1017914727 /nfs/dbraw/zinc/91/47/27/1017914727.db2.gz UILGAYNIADTZCX-NNOMMRTBSA-N 0 2 305.382 0.898 20 0 DCADLN CC[C@H](OC)C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001479884798 1017937690 /nfs/dbraw/zinc/93/76/90/1017937690.db2.gz MBGMUAFAYNLLGR-NSHDSACASA-N 0 2 311.386 0.358 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001494758242 1018006049 /nfs/dbraw/zinc/00/60/49/1018006049.db2.gz QGAHKAKZPCZYDH-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001494758242 1018006061 /nfs/dbraw/zinc/00/60/61/1018006061.db2.gz QGAHKAKZPCZYDH-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001494830012 1018086653 /nfs/dbraw/zinc/08/66/53/1018086653.db2.gz SXLHVPMLHFSFLJ-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001494830012 1018086668 /nfs/dbraw/zinc/08/66/68/1018086668.db2.gz SXLHVPMLHFSFLJ-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN CCO[C@H](C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001494829011 1018087812 /nfs/dbraw/zinc/08/78/12/1018087812.db2.gz VCCBUXGRUYYVON-AAEUAGOBSA-N 0 2 323.397 0.358 20 0 DCADLN CS[C@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498222817 1018771390 /nfs/dbraw/zinc/77/13/90/1018771390.db2.gz JIBZGYVCLCFGAS-IYSWYEEDSA-N 0 2 302.293 0.965 20 0 DCADLN CS[C@H](C)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001498222817 1018771401 /nfs/dbraw/zinc/77/14/01/1018771401.db2.gz JIBZGYVCLCFGAS-IYSWYEEDSA-N 0 2 302.293 0.965 20 0 DCADLN CC(C)[C@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495871019 1018791553 /nfs/dbraw/zinc/79/15/53/1018791553.db2.gz QUKXYFWZVHPYKS-WDEREUQCSA-N 0 2 311.386 0.119 20 0 DCADLN CC(C)CC[N@@H+]1CCO[C@@H](CNC(=O)CCc2cnn[nH]2)C1 ZINC001495871141 1018794205 /nfs/dbraw/zinc/79/42/05/1018794205.db2.gz MFCFQEQUQQSMLM-AWEZNQCLSA-N 0 2 309.414 0.600 20 0 DCADLN CC(C)CC[N@H+]1CCO[C@@H](CNC(=O)CCc2cnn[nH]2)C1 ZINC001495871141 1018794216 /nfs/dbraw/zinc/79/42/16/1018794216.db2.gz MFCFQEQUQQSMLM-AWEZNQCLSA-N 0 2 309.414 0.600 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001495894461 1018834915 /nfs/dbraw/zinc/83/49/15/1018834915.db2.gz QOMNLNJLUGYDNU-HTQZYQBOSA-N 0 2 300.252 0.498 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001495894461 1018834941 /nfs/dbraw/zinc/83/49/41/1018834941.db2.gz QOMNLNJLUGYDNU-HTQZYQBOSA-N 0 2 300.252 0.498 20 0 DCADLN COc1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001498410295 1019081033 /nfs/dbraw/zinc/08/10/33/1019081033.db2.gz SITSHLDHOFZZDO-QMMMGPOBSA-N 0 2 309.326 0.362 20 0 DCADLN COc1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001498410295 1019081048 /nfs/dbraw/zinc/08/10/48/1019081048.db2.gz SITSHLDHOFZZDO-QMMMGPOBSA-N 0 2 309.326 0.362 20 0 DCADLN O=C(COC1CCCC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001498934191 1019542973 /nfs/dbraw/zinc/54/29/73/1019542973.db2.gz GKVAVBOBHCQNMN-JTQLQIEISA-N 0 2 309.370 0.160 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)COc1ccccc1 ZINC001496825868 1019674159 /nfs/dbraw/zinc/67/41/59/1019674159.db2.gz QYRKTPYSCNKDIA-UHFFFAOYSA-N 0 2 319.365 0.480 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)COc1ccccc1 ZINC001496825868 1019674167 /nfs/dbraw/zinc/67/41/67/1019674167.db2.gz QYRKTPYSCNKDIA-UHFFFAOYSA-N 0 2 319.365 0.480 20 0 DCADLN O=C(CN1CC(NC(=O)C(F)C(F)(F)F)C1)Nc1ccon1 ZINC001494388368 1020166939 /nfs/dbraw/zinc/16/69/39/1020166939.db2.gz CEFGHYQSBNTLNN-VIFPVBQESA-N 0 2 324.234 0.314 20 0 DCADLN O=C(CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1)Nc1ccon1 ZINC001494388368 1020166945 /nfs/dbraw/zinc/16/69/45/1020166945.db2.gz CEFGHYQSBNTLNN-VIFPVBQESA-N 0 2 324.234 0.314 20 0 DCADLN CN(C)S(=O)(=O)CC[NH2+]C(C)(C)c1nocc1C(=O)[O-] ZINC001606038992 1170062370 /nfs/dbraw/zinc/06/23/70/1170062370.db2.gz IKKCCNIAVRDOKW-UHFFFAOYSA-N 0 2 305.356 0.089 20 0 DCADLN C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(F)cc(C(=O)[O-])c1F ZINC001606218239 1170117880 /nfs/dbraw/zinc/11/78/80/1170117880.db2.gz ARICOOMXKPJPCD-MRVPVSSYSA-N 0 2 320.317 0.645 20 0 DCADLN C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(F)cc(C(=O)[O-])c1F ZINC001606218239 1170117885 /nfs/dbraw/zinc/11/78/85/1170117885.db2.gz ARICOOMXKPJPCD-MRVPVSSYSA-N 0 2 320.317 0.645 20 0 DCADLN O=C([O-])c1csc(C[NH+]2CC(C3CS(=O)(=O)C3)C2)c1 ZINC001608991231 1170782002 /nfs/dbraw/zinc/78/20/02/1170782002.db2.gz LLINRZVPYZJVCM-UHFFFAOYSA-N 0 2 301.389 0.923 20 0 DCADLN CNC(=O)Cn1cc(NC(=O)c2cc(F)c(O)c(F)c2)cn1 ZINC000186655336 529876955 /nfs/dbraw/zinc/87/69/55/529876955.db2.gz ZHXHZRXGLXQBIP-UHFFFAOYSA-N 0 2 310.260 0.865 20 0 DCADLN C[C@H](O)C[C@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000279819839 215173529 /nfs/dbraw/zinc/17/35/29/215173529.db2.gz QLIAGMHEGWOHAJ-DTWKUNHWSA-N 0 2 300.384 0.342 20 0 DCADLN NS(=O)(=O)CCNC(=O)Nc1cccnc1Br ZINC000179701695 186207482 /nfs/dbraw/zinc/20/74/82/186207482.db2.gz RWXLQPLLONOVCY-UHFFFAOYSA-N 0 2 323.172 0.254 20 0 DCADLN O=C(N[C@@H]1CCCN(c2ccccc2F)C1)c1nc(=O)[nH][nH]1 ZINC000155934132 291222812 /nfs/dbraw/zinc/22/28/12/291222812.db2.gz AHBNOFUSHMSMKI-SECBINFHSA-N 0 2 305.313 0.636 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCc2cccc(F)c2C1 ZINC000281472173 216324386 /nfs/dbraw/zinc/32/43/86/216324386.db2.gz PKIQAZWVGFOUPX-UHFFFAOYSA-N 0 2 308.338 0.914 20 0 DCADLN CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)C(=O)NCCC(=O)[O-] ZINC000420585773 240047932 /nfs/dbraw/zinc/04/79/32/240047932.db2.gz BUZZNDGSYYVMRE-NSHDSACASA-N 0 2 322.365 0.548 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1cccc(C)c1C ZINC000421809672 240105740 /nfs/dbraw/zinc/10/57/40/240105740.db2.gz CAROXTVRQAUWBK-CYBMUJFWSA-N 0 2 303.318 0.907 20 0 DCADLN CCc1ccc(NC(=O)c2c(CC(=O)OC)[nH][nH]c2=O)cc1 ZINC000421811991 240106619 /nfs/dbraw/zinc/10/66/19/240106619.db2.gz JPFRFRBSFNZWIY-ZDUSSCGKSA-N 0 2 303.318 0.853 20 0 DCADLN CC(C)(C)c1cc(N2CC[C@@H](O)[C@H](CO)C2)nc(C(=O)[O-])[nH+]1 ZINC000567978558 291394694 /nfs/dbraw/zinc/39/46/94/291394694.db2.gz KHVRKWTUXHPEMN-VHSXEESVSA-N 0 2 309.366 0.652 20 0 DCADLN CC(C)(C)c1cc(N2CC[C@H](O)[C@@H](CO)C2)nc(C(=O)[O-])[nH+]1 ZINC000567978559 291394738 /nfs/dbraw/zinc/39/47/38/291394738.db2.gz KHVRKWTUXHPEMN-ZJUUUORDSA-N 0 2 309.366 0.652 20 0 DCADLN Cc1cc(C(=O)NCCC(C)(C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000274881360 212006097 /nfs/dbraw/zinc/00/60/97/212006097.db2.gz ZEZVEIOXWGGLMR-UHFFFAOYSA-N 0 2 306.322 0.635 20 0 DCADLN C[Si](C)(CNC(=O)CN1CSCC1=O)c1ccccc1 ZINC000274512926 211680362 /nfs/dbraw/zinc/68/03/62/211680362.db2.gz HJQQKPUVCAHSEP-UHFFFAOYSA-N 0 2 308.479 0.790 20 0 DCADLN O=C([O-])[C@H]1CCCN1c1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000262969411 304188340 /nfs/dbraw/zinc/18/83/40/304188340.db2.gz AYRHONRCRFORPB-VXGBXAGGSA-N 0 2 306.366 0.881 20 0 DCADLN O=C([O-])[C@H]1CCCN1c1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000262969411 304188341 /nfs/dbraw/zinc/18/83/41/304188341.db2.gz AYRHONRCRFORPB-VXGBXAGGSA-N 0 2 306.366 0.881 20 0 DCADLN O=C([O-])[C@H]1CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000263525774 304192293 /nfs/dbraw/zinc/19/22/93/304192293.db2.gz TXQYRWJLNQNQOQ-RYUDHWBXSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@H]1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000263525774 304192294 /nfs/dbraw/zinc/19/22/94/304192294.db2.gz TXQYRWJLNQNQOQ-RYUDHWBXSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])C[NH+]1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC000035126688 182754938 /nfs/dbraw/zinc/75/49/38/182754938.db2.gz QYSXOXAPMHZKJZ-UHFFFAOYSA-N 0 2 318.782 0.731 20 0 DCADLN NS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccnn2CC(F)F)C1 ZINC000330969728 252648906 /nfs/dbraw/zinc/64/89/06/252648906.db2.gz NQHXCCOQMKGVOY-MRVPVSSYSA-N 0 2 322.337 0.041 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(N2CCNC2=O)c1 ZINC000340078634 253196629 /nfs/dbraw/zinc/19/66/29/253196629.db2.gz AHBUFQLTISHTKR-UHFFFAOYSA-N 0 2 321.362 0.751 20 0 DCADLN Cc1cc(C)c(S(=O)(=O)NN2CC(=O)N(C)C2=O)c(C)c1 ZINC000272894539 210283561 /nfs/dbraw/zinc/28/35/61/210283561.db2.gz OMNCCJABVYXSDP-UHFFFAOYSA-N 0 2 311.363 0.699 20 0 DCADLN COC(=O)[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(C)C ZINC000344659383 253813598 /nfs/dbraw/zinc/81/35/98/253813598.db2.gz IDEKPRQDJQRIKG-LLVKDONJSA-N 0 2 319.317 0.957 20 0 DCADLN COC(C)(C)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000346224972 254024185 /nfs/dbraw/zinc/02/41/85/254024185.db2.gz MMINVDMBKMYGGM-UHFFFAOYSA-N 0 2 306.322 0.899 20 0 DCADLN Cc1cc(C(=O)NC[C@@](C)(O)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000347758262 254187599 /nfs/dbraw/zinc/18/75/99/254187599.db2.gz WUHQTFBRMIZJMZ-OAHLLOKOSA-N 0 2 320.349 0.881 20 0 DCADLN CC[C@@H](C)NC(=O)CCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000351868555 254376098 /nfs/dbraw/zinc/37/60/98/254376098.db2.gz JXIBSTUDSCWUHA-SSDOTTSWSA-N 0 2 301.372 0.023 20 0 DCADLN COCC1(O)CN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000295601747 533154744 /nfs/dbraw/zinc/15/47/44/533154744.db2.gz SNGYELAQTVVNFF-UHFFFAOYSA-N 0 2 303.318 0.411 20 0 DCADLN CC(C)C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275474801 130325938 /nfs/dbraw/zinc/32/59/38/130325938.db2.gz MBYLMFFXLWISGF-UHFFFAOYSA-N 0 2 304.354 0.312 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H]1CCOc2ccc(F)cc21 ZINC000275573143 130340989 /nfs/dbraw/zinc/34/09/89/130340989.db2.gz NRYPGPXWELHMEU-VIFPVBQESA-N 0 2 324.337 0.969 20 0 DCADLN COCCS(=O)(=O)Nc1cc(Cl)cc(C(N)=O)c1OC ZINC000283797460 131024551 /nfs/dbraw/zinc/02/45/51/131024551.db2.gz GOYUQYUQQHGFGN-UHFFFAOYSA-N 0 2 322.770 0.836 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc(S(C)(=O)=O)cc2)cn1 ZINC000032630777 395711480 /nfs/dbraw/zinc/71/14/80/395711480.db2.gz NOWXZKLXLFHITC-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN Cc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)s1 ZINC000092617845 395732464 /nfs/dbraw/zinc/73/24/64/395732464.db2.gz IQJJIHMNCRRTBY-UHFFFAOYSA-N 0 2 307.379 0.426 20 0 DCADLN O=C(c1cccc(F)c1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000091538304 395726132 /nfs/dbraw/zinc/72/61/32/395726132.db2.gz RKZAODWPDZYMHT-UHFFFAOYSA-N 0 2 319.340 0.585 20 0 DCADLN O=C(c1ccccc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000091534696 395726184 /nfs/dbraw/zinc/72/61/84/395726184.db2.gz DRAFIHKGCMEHLZ-UHFFFAOYSA-N 0 2 301.350 0.446 20 0 DCADLN O=C(Nc1ccc(F)cc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000091617771 395726704 /nfs/dbraw/zinc/72/67/04/395726704.db2.gz UYTMFYNRMUWXFV-NSHDSACASA-N 0 2 320.328 0.633 20 0 DCADLN O=C1NCCN1c1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000040827000 395735200 /nfs/dbraw/zinc/73/52/00/395735200.db2.gz JRMIENFMTSYOTG-UHFFFAOYSA-N 0 2 307.335 0.740 20 0 DCADLN COC(=O)CC[C@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccccc1 ZINC000106291506 395782047 /nfs/dbraw/zinc/78/20/47/395782047.db2.gz BVOJEAYWPYHBEX-JTQLQIEISA-N 0 2 304.306 0.522 20 0 DCADLN CCN1C[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC1=O ZINC000195074459 395814859 /nfs/dbraw/zinc/81/48/59/395814859.db2.gz PAGMTYWNEHIDNJ-JTQLQIEISA-N 0 2 316.317 0.837 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1ccc2c(c1)oc(=O)n2C ZINC000195525467 395831840 /nfs/dbraw/zinc/83/18/40/395831840.db2.gz UDEVIYJTVVMTHZ-UHFFFAOYSA-N 0 2 308.319 0.964 20 0 DCADLN O=C(Nc1ccc(NC(=O)c2nc(=O)[nH][nH]2)cc1)NC1CC1 ZINC000129240957 395919761 /nfs/dbraw/zinc/91/97/61/395919761.db2.gz HJJDIGISJOKEOK-UHFFFAOYSA-N 0 2 302.294 0.634 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(OCC(N)=O)cc2)cn1 ZINC000055583502 395920101 /nfs/dbraw/zinc/92/01/01/395920101.db2.gz NTACPKSCANXSSY-UHFFFAOYSA-N 0 2 310.335 0.085 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccccc2OCCC(N)=O)cn1 ZINC000055631362 395920583 /nfs/dbraw/zinc/92/05/83/395920583.db2.gz ATJLDYVYMWOOFJ-UHFFFAOYSA-N 0 2 324.362 0.475 20 0 DCADLN COc1ncccc1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000055617978 395920702 /nfs/dbraw/zinc/92/07/02/395920702.db2.gz NVPCBEOZZPVBAG-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2ccccc2OC)cn1 ZINC000055641129 395920715 /nfs/dbraw/zinc/92/07/15/395920715.db2.gz ZJHWWQSEMRVFJR-UHFFFAOYSA-N 0 2 324.362 0.439 20 0 DCADLN CCN(C[C@@H]1CCCO1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000065898496 395998469 /nfs/dbraw/zinc/99/84/69/395998469.db2.gz TWTHZGQJLZGEND-JTQLQIEISA-N 0 2 318.333 0.665 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(-n3cncn3)nc2)cn1 ZINC000066579465 396010047 /nfs/dbraw/zinc/01/00/47/396010047.db2.gz GXWHVLIWGOLISS-UHFFFAOYSA-N 0 2 319.350 0.680 20 0 DCADLN CSC[C@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000066828272 396014923 /nfs/dbraw/zinc/01/49/23/396014923.db2.gz NRJZWEYTWLCNRT-YFKPBYRVSA-N 0 2 310.426 0.847 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc2c(c1)oc(=O)n2C ZINC000171153147 396108867 /nfs/dbraw/zinc/10/88/67/396108867.db2.gz CLCFMBBJFUDBKB-UHFFFAOYSA-N 0 2 308.319 0.666 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(C(=O)N(C)C)c2)c1O ZINC000278242723 396114088 /nfs/dbraw/zinc/11/40/88/396114088.db2.gz ZGIGXGYOILKPRB-LLVKDONJSA-N 0 2 319.317 0.376 20 0 DCADLN COC(C)(C)CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000278447058 396125742 /nfs/dbraw/zinc/12/57/42/396125742.db2.gz MMBDIXFNCJPGNU-UHFFFAOYSA-N 0 2 308.385 0.663 20 0 DCADLN COc1ccc(NC(=O)NC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])cc1 ZINC000262678609 396171619 /nfs/dbraw/zinc/17/16/19/396171619.db2.gz VDOOIUAFUVEJJD-VIFPVBQESA-N 0 2 309.322 0.748 20 0 DCADLN COc1ccc(NC(=O)NC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])cc1 ZINC000262678609 396171621 /nfs/dbraw/zinc/17/16/21/396171621.db2.gz VDOOIUAFUVEJJD-VIFPVBQESA-N 0 2 309.322 0.748 20 0 DCADLN CCN(C(=O)C1=NN(c2ccccc2)CC1=O)[C@H]1CCNC1=O ZINC000282324786 396281273 /nfs/dbraw/zinc/28/12/73/396281273.db2.gz WHPMRSIVSNNQDF-LBPRGKRZSA-N 0 2 314.345 0.929 20 0 DCADLN Cc1cc(C)cc(OCC(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000080973904 396289834 /nfs/dbraw/zinc/28/98/34/396289834.db2.gz BYGJEXYAOKQZOV-UHFFFAOYSA-N 0 2 304.350 0.843 20 0 DCADLN C[C@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)Oc1ccccc1 ZINC000185654606 396332089 /nfs/dbraw/zinc/33/20/89/396332089.db2.gz HEFWZUXLCLTKEF-SECBINFHSA-N 0 2 308.363 0.774 20 0 DCADLN CN(CCOc1ccccc1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000186768942 396357845 /nfs/dbraw/zinc/35/78/45/396357845.db2.gz KQZPKAXRBMENOW-UHFFFAOYSA-N 0 2 308.363 0.728 20 0 DCADLN C[C@@H]1CCCC[C@H]1OCCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000186944558 396360239 /nfs/dbraw/zinc/36/02/39/396360239.db2.gz JBJKNHXHCQYOFS-NXEZZACHSA-N 0 2 314.411 0.902 20 0 DCADLN O=c1cc(C[N@@H+]2C[C@@H](O)[C@@H](O)C2)c2cc(Cl)c([O-])cc2o1 ZINC000286004072 396369320 /nfs/dbraw/zinc/36/93/20/396369320.db2.gz GLVKGDLXWYUGMA-TXEJJXNPSA-N 0 2 311.721 0.689 20 0 DCADLN O=c1cc(C[N@H+]2C[C@@H](O)[C@@H](O)C2)c2cc(Cl)c([O-])cc2o1 ZINC000286004072 396369321 /nfs/dbraw/zinc/36/93/21/396369321.db2.gz GLVKGDLXWYUGMA-TXEJJXNPSA-N 0 2 311.721 0.689 20 0 DCADLN O=C(NCCSCCCO)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000269078955 396384578 /nfs/dbraw/zinc/38/45/78/396384578.db2.gz CGAWWOGDWIWMBG-UHFFFAOYSA-N 0 2 324.362 0.281 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000269299531 396405377 /nfs/dbraw/zinc/40/53/77/396405377.db2.gz PWUUHFJUBHHOOL-GFCCVEGCSA-N 0 2 321.377 0.867 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000269299531 396405380 /nfs/dbraw/zinc/40/53/80/396405380.db2.gz PWUUHFJUBHHOOL-GFCCVEGCSA-N 0 2 321.377 0.867 20 0 DCADLN CCc1nnc(NS(=O)(=O)c2cnn(CCOC)c2)s1 ZINC000269623004 396430395 /nfs/dbraw/zinc/43/03/95/396430395.db2.gz NLTODOCDQCBHCC-UHFFFAOYSA-N 0 2 317.396 0.744 20 0 DCADLN CN(C)c1noc(CNS(=O)(=O)c2c(F)cccc2F)n1 ZINC000188059382 396378293 /nfs/dbraw/zinc/37/82/93/396378293.db2.gz IXASMSAGOGFYFR-UHFFFAOYSA-N 0 2 318.305 0.892 20 0 DCADLN CCC[C@@H](NC(N)=O)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289055015 396453870 /nfs/dbraw/zinc/45/38/70/396453870.db2.gz NHDVNLURBQJSIN-SNVBAGLBSA-N 0 2 318.337 0.541 20 0 DCADLN COCC[C@@H]1COCC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000291251091 396481730 /nfs/dbraw/zinc/48/17/30/396481730.db2.gz NYSWYQVNPJIWSO-OAHLLOKOSA-N 0 2 308.378 0.972 20 0 DCADLN COCC[C@@H]1COCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000291251091 396481732 /nfs/dbraw/zinc/48/17/32/396481732.db2.gz NYSWYQVNPJIWSO-OAHLLOKOSA-N 0 2 308.378 0.972 20 0 DCADLN CO[C@H](CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000294386334 396671417 /nfs/dbraw/zinc/67/14/17/396671417.db2.gz DLLLJMUFFVGURX-SNVBAGLBSA-N 0 2 304.306 0.591 20 0 DCADLN CCC[C@@H](CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)OC ZINC000295320531 396692901 /nfs/dbraw/zinc/69/29/01/396692901.db2.gz DDCRADOZTXNYJE-VIFPVBQESA-N 0 2 306.322 0.981 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)CO1 ZINC000563886372 396790502 /nfs/dbraw/zinc/79/05/02/396790502.db2.gz UHCUQLGZFUYZPY-JGVFFNPUSA-N 0 2 312.395 0.490 20 0 DCADLN O=C([O-])C1(C(=O)NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)CC1 ZINC000311653088 396761274 /nfs/dbraw/zinc/76/12/74/396761274.db2.gz CYWPMJKKVULVOM-CQSZACIVSA-N 0 2 318.373 0.868 20 0 DCADLN O=C([O-])C1(C(=O)NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)CC1 ZINC000311653088 396761276 /nfs/dbraw/zinc/76/12/76/396761276.db2.gz CYWPMJKKVULVOM-CQSZACIVSA-N 0 2 318.373 0.868 20 0 DCADLN C[N@H+](C[C@H](O)C[NH2+][C@H]1CCN(c2ccccc2F)C1=O)C1CC1 ZINC000564441991 396832899 /nfs/dbraw/zinc/83/28/99/396832899.db2.gz AMTYBVVRLOBWIS-HIFRSBDPSA-N 0 2 321.396 0.976 20 0 DCADLN CC(C)C[C@@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000564917765 396897542 /nfs/dbraw/zinc/89/75/42/396897542.db2.gz CYJDVRMFETVPNK-GFCCVEGCSA-N 0 2 322.427 0.127 20 0 DCADLN COC(=O)Cc1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000600652769 396905238 /nfs/dbraw/zinc/90/52/38/396905238.db2.gz CTJASYJSWLKTQJ-UHFFFAOYSA-N 0 2 310.335 0.331 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000571759546 396984196 /nfs/dbraw/zinc/98/41/96/396984196.db2.gz WTBWSVAYQBCCLQ-XQQFMLRXSA-N 0 2 313.398 0.459 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCCC1)[C@H]1CCNCC1(F)F ZINC000343418383 396996273 /nfs/dbraw/zinc/99/62/73/396996273.db2.gz OFNHXGKUPKTPQO-MRVPVSSYSA-N 0 2 300.313 0.330 20 0 DCADLN O=C(NC[C@@H](CO)CC1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000619045010 397070366 /nfs/dbraw/zinc/07/03/66/397070366.db2.gz PTKXOLRHTGNNGY-VIFPVBQESA-N 0 2 318.333 0.574 20 0 DCADLN COCC[N@@H+](C)C[C@@H](O)C[NH+]1CCCC[C@@H]1c1ccnn1C ZINC000573054573 397127998 /nfs/dbraw/zinc/12/79/98/397127998.db2.gz ZBCRKMPAEOOPFG-GDBMZVCRSA-N 0 2 310.442 0.886 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@@H](CO)[C@H]2CCOC2)cn1 ZINC000577561071 397340679 /nfs/dbraw/zinc/34/06/79/397340679.db2.gz MDIARUPUGASUDF-JQWIXIFHSA-N 0 2 319.365 0.185 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1[C@@H](C)CC[C@H]1C(=O)[O-])C(=O)OC ZINC000593290513 397348875 /nfs/dbraw/zinc/34/88/75/397348875.db2.gz KXMSNPRGCVRPDJ-ZPFDUUQYSA-N 0 2 314.382 0.628 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1[C@@H](C)CC[C@H]1C(=O)[O-])C(=O)OC ZINC000593290513 397348877 /nfs/dbraw/zinc/34/88/77/397348877.db2.gz KXMSNPRGCVRPDJ-ZPFDUUQYSA-N 0 2 314.382 0.628 20 0 DCADLN O=C(N[C@]1(CCO)CCOC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614076986 397379623 /nfs/dbraw/zinc/37/96/23/397379623.db2.gz HCTJBRFKHDNLCF-OAHLLOKOSA-N 0 2 319.317 0.301 20 0 DCADLN CC(C)OC(=O)C[C@@H](Nc1nc(N)nc(N)[nH+]1)c1ccccc1 ZINC000599054495 397329530 /nfs/dbraw/zinc/32/95/30/397329530.db2.gz YEEGLXZAZTYGSN-LLVKDONJSA-N 0 2 316.365 0.614 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)[C@@H]([NH3+])CCC(C)C)[C@@H](C)C1 ZINC000602514255 397425560 /nfs/dbraw/zinc/42/55/60/397425560.db2.gz RWGULNSSTUKYQS-KBPBESRZSA-N 0 2 313.442 0.846 20 0 DCADLN O=C(NCc1nc(C[NH+]2CCCCC2)no1)c1cc(=O)[nH][n-]1 ZINC000614465365 397445316 /nfs/dbraw/zinc/44/53/16/397445316.db2.gz PGAJDZSGULYRAW-UHFFFAOYSA-N 0 2 306.326 0.414 20 0 DCADLN CO[C@H]1C[C@@H]([N@H+](C)CN2C[C@@]3(C[NH+]4CCC3CC4)OC2=O)C1 ZINC000495744388 397553901 /nfs/dbraw/zinc/55/39/01/397553901.db2.gz GWXPIRGERHQDJQ-IJEWVQPXSA-N 0 2 309.410 0.970 20 0 DCADLN O=C(C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1ccc(O)cc1 ZINC000579963074 397601308 /nfs/dbraw/zinc/60/13/08/397601308.db2.gz XCMKMEJCFVCHNX-JTQLQIEISA-N 0 2 316.317 0.805 20 0 DCADLN COC[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CCO1 ZINC000608431294 397730266 /nfs/dbraw/zinc/73/02/66/397730266.db2.gz YMMAYMDLUFEQQN-LBPRGKRZSA-N 0 2 319.317 0.517 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@@H](O)[C@H]3CCOC3)c[nH]c2n1 ZINC000605895436 397674451 /nfs/dbraw/zinc/67/44/51/397674451.db2.gz WCHFMLUSKPYFOP-GXFFZTMASA-N 0 2 317.345 0.771 20 0 DCADLN CN(C)C(=O)CN(C)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000124973714 158249167 /nfs/dbraw/zinc/24/91/67/158249167.db2.gz VNEPSVMJDBTOAT-UHFFFAOYSA-N 0 2 302.334 0.738 20 0 DCADLN COCCCNC(=O)[C@H](C)[N@@H+]1CC[C@H](C[NH+]2CCOCC2)C1 ZINC000129221005 158285953 /nfs/dbraw/zinc/28/59/53/158285953.db2.gz MSRKZYXMASVAOP-LSDHHAIUSA-N 0 2 313.442 0.182 20 0 DCADLN C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000132589843 158312673 /nfs/dbraw/zinc/31/26/73/158312673.db2.gz ZGTZJAFCIRYMJT-RKDXNWHRSA-N 0 2 317.349 0.400 20 0 DCADLN COc1ccccc1S(O)=CC(=O)N[C@H](C)C(=O)N(C)C ZINC000133857618 158322125 /nfs/dbraw/zinc/32/21/25/158322125.db2.gz IOWLMCAJAGWPKE-LADRHHBVSA-N 0 2 312.391 0.396 20 0 DCADLN C[C@H]1CCN(S(=O)(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000328723310 159024416 /nfs/dbraw/zinc/02/44/16/159024416.db2.gz AMMROKJHBTZBCZ-UWVGGRQHSA-N 0 2 315.399 0.276 20 0 DCADLN O=C(c1nccn2ccnc12)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328768588 159027948 /nfs/dbraw/zinc/02/79/48/159027948.db2.gz IBSRZTJFPYJADK-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)[C@@H]2CNC(=O)C2)[nH]1 ZINC000329602867 159106514 /nfs/dbraw/zinc/10/65/14/159106514.db2.gz LAWHOKJLULEEOR-UWVGGRQHSA-N 0 2 307.354 0.981 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1[C@@H]2CC[C@H]1CC(=O)C2 ZINC000330188927 159148880 /nfs/dbraw/zinc/14/88/80/159148880.db2.gz UUPISXQYYXWVFG-DTORHVGOSA-N 0 2 314.301 0.772 20 0 DCADLN CN1CCO[C@H]2CN(Cc3nc(=O)c4sccc4[nH]3)C[C@H]21 ZINC000330357340 159162051 /nfs/dbraw/zinc/16/20/51/159162051.db2.gz SQZXLDMOMXOLHW-MNOVXSKESA-N 0 2 306.391 0.912 20 0 DCADLN CC(=O)N[C@H](CC(=O)NCc1n[nH]c(=O)[nH]1)c1cccs1 ZINC000359280756 159261403 /nfs/dbraw/zinc/26/14/03/159261403.db2.gz VGMAXOVUUWJCHN-MRVPVSSYSA-N 0 2 309.351 0.456 20 0 DCADLN CC(=O)N1CCC[C@H](C(=O)NS(=O)(=O)c2cccnc2)C1 ZINC000408194904 160057524 /nfs/dbraw/zinc/05/75/24/160057524.db2.gz ZZNBHXMUBQHTAO-NSHDSACASA-N 0 2 311.363 0.145 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)CC1CCOCC1 ZINC000408453126 160110768 /nfs/dbraw/zinc/11/07/68/160110768.db2.gz QTDPCABQSSNLJQ-UHFFFAOYSA-N 0 2 301.368 0.350 20 0 DCADLN Cn1ncc2c1C[C@@H](C(=O)NS(=O)(=O)c1cccnc1)CC2 ZINC000408475945 160116063 /nfs/dbraw/zinc/11/60/63/160116063.db2.gz IMWRJONCEQYJDX-JTQLQIEISA-N 0 2 320.374 0.425 20 0 DCADLN O=C(NC[C@@H]1CCCS1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000130401323 286993983 /nfs/dbraw/zinc/99/39/83/286993983.db2.gz IUGVWYBAXLXISK-QMMMGPOBSA-N 0 2 306.347 0.237 20 0 DCADLN C[S@@](=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1F ZINC000354198168 287168316 /nfs/dbraw/zinc/16/83/16/287168316.db2.gz DYKLGNCYJBMIIJ-OAQYLSRUSA-N 0 2 313.314 0.709 20 0 DCADLN CC[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H](C)O1 ZINC000111018874 287177806 /nfs/dbraw/zinc/17/78/06/287177806.db2.gz MBQDWHOGDFIPEC-WCBMZHEXSA-N 0 2 318.333 0.251 20 0 DCADLN CCOC1CC(CCNC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)C1 ZINC000352463441 415183620 /nfs/dbraw/zinc/18/36/20/415183620.db2.gz YKLAAWMBFNOVKB-SHARSMKWSA-N 0 2 312.458 0.737 20 0 DCADLN CN(C(=O)c1ccc(Cn2cc[nH+]c2)cc1)[C@@H]1COC[C@@H]1O ZINC000342517797 415196413 /nfs/dbraw/zinc/19/64/13/415196413.db2.gz XVXSDXTZDJZVGD-CABCVRRESA-N 0 2 301.346 0.763 20 0 DCADLN C[N@H+](CC(=O)[N-]OCc1ccccc1)CC(=O)N1CCOCC1 ZINC000272060609 415274836 /nfs/dbraw/zinc/27/48/36/415274836.db2.gz ZSYFUMPHNACKMX-UHFFFAOYSA-N 0 2 321.377 0.025 20 0 DCADLN C[N@@H+](CC(=O)[N-]OCc1ccccc1)CC(=O)N1CCOCC1 ZINC000272060609 415274847 /nfs/dbraw/zinc/27/48/47/415274847.db2.gz ZSYFUMPHNACKMX-UHFFFAOYSA-N 0 2 321.377 0.025 20 0 DCADLN NS(=O)(=O)c1ccc(NCCc2ccc3cn[nH]c3c2)nc1 ZINC000343088868 415439813 /nfs/dbraw/zinc/43/98/13/415439813.db2.gz MWAMMVRVTWVRRU-UHFFFAOYSA-N 0 2 317.374 1.260 20 0 DCADLN Cn1c2ccccc2[nH+]c1N1CCS(=O)(=O)[C@H](C(=O)[O-])C1 ZINC000424462472 287306446 /nfs/dbraw/zinc/30/64/46/287306446.db2.gz ASFZHHSCDRAKRG-NSHDSACASA-N 0 2 309.347 0.261 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCC(=O)NC2)c1 ZINC000424634598 287311547 /nfs/dbraw/zinc/31/15/47/287311547.db2.gz FMIISTQTEXGIDW-CWKPULSASA-N 0 2 310.375 0.198 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCC(=O)NC2)c1 ZINC000424634600 287311658 /nfs/dbraw/zinc/31/16/58/287311658.db2.gz FMIISTQTEXGIDW-LADRHHBVSA-N 0 2 310.375 0.198 20 0 DCADLN CN1C(=O)CN(NC(=O)c2ncsc2C(F)(F)F)C1=O ZINC000281669534 415566823 /nfs/dbraw/zinc/56/68/23/415566823.db2.gz SYAWCPDAUGVGEC-UHFFFAOYSA-N 0 2 308.241 0.701 20 0 DCADLN COCc1nc(S(=O)(=O)[C@@H](C)C(=O)NCCC(C)C)n[nH]1 ZINC000353885068 415686647 /nfs/dbraw/zinc/68/66/47/415686647.db2.gz BJVHXBKZNJYMIJ-VIFPVBQESA-N 0 2 318.399 0.276 20 0 DCADLN O=C(Nc1nc(SCCO)n[nH]1)c1ccc2n[nH]nc2c1 ZINC000343853880 415753825 /nfs/dbraw/zinc/75/38/25/415753825.db2.gz TWNLTZFMEKZWLJ-UHFFFAOYSA-N 0 2 305.323 0.413 20 0 DCADLN CCNC(=O)CC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000354102805 415755148 /nfs/dbraw/zinc/75/51/48/415755148.db2.gz AMHDKNNPVRPKBJ-UHFFFAOYSA-N 0 2 317.349 0.526 20 0 DCADLN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)NCc1cn[nH]c1C ZINC000344144243 415849415 /nfs/dbraw/zinc/84/94/15/415849415.db2.gz WJFCXCWKUHNWPT-LDYMZIIASA-N 0 2 302.400 0.298 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@H](C)[N@@H+]2CC[C@@H](c3nc(C)n[nH]3)C2)CC1 ZINC000334023442 415785752 /nfs/dbraw/zinc/78/57/52/415785752.db2.gz FILWYUIFPFAHCL-GXTWGEPZSA-N 0 2 320.441 0.455 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@H](C)[N@H+]2CC[C@@H](c3nc(C)n[nH]3)C2)CC1 ZINC000334023442 415785759 /nfs/dbraw/zinc/78/57/59/415785759.db2.gz FILWYUIFPFAHCL-GXTWGEPZSA-N 0 2 320.441 0.455 20 0 DCADLN COCC(C)(C)NC(=O)N[C@@H]1CCC(=O)N[C@H]1[C@H]1CCCO1 ZINC000334035415 415787807 /nfs/dbraw/zinc/78/78/07/415787807.db2.gz MSKGYHTXBCQMPY-NQBHXWOUSA-N 0 2 313.398 0.537 20 0 DCADLN C[C@@H](CS(C)(=O)=O)NC(=O)N(C)C[C@@H]1CCC[N@H+](C)C1 ZINC000334034348 415788159 /nfs/dbraw/zinc/78/81/59/415788159.db2.gz TWMGVTAGTBCQFD-NWDGAFQWSA-N 0 2 305.444 0.403 20 0 DCADLN CC(C)CNC(=O)N1CCC[C@@H](CC(=O)N[C@@H]2CNC(=O)C2)C1 ZINC000334026113 415789341 /nfs/dbraw/zinc/78/93/41/415789341.db2.gz FUHQGTJKMROADZ-STQMWFEESA-N 0 2 324.425 0.459 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cccc(F)c1C(=O)OC ZINC000290472978 415809506 /nfs/dbraw/zinc/80/95/06/415809506.db2.gz GWIDEGBJFLRVJV-UHFFFAOYSA-N 0 2 319.310 0.917 20 0 DCADLN Cc1cnn(-c2ccc(NC(=O)c3nc(=O)[nH][nH]3)cc2F)c1 ZINC000128146722 415894470 /nfs/dbraw/zinc/89/44/70/415894470.db2.gz VBJMZOPJIDCRON-UHFFFAOYSA-N 0 2 302.269 0.984 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(C(=O)NC2CC2)c1 ZINC000128646526 415901667 /nfs/dbraw/zinc/90/16/67/415901667.db2.gz DFUCFLJIULQTPC-UHFFFAOYSA-N 0 2 316.321 0.312 20 0 DCADLN Cc1ccsc1S(=O)(=O)Nc1cnn(CC(N)=O)c1 ZINC000356751550 415981549 /nfs/dbraw/zinc/98/15/49/415981549.db2.gz LOZLKCDXCODTPH-UHFFFAOYSA-N 0 2 300.365 0.539 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(=O)NCCF ZINC000337692682 415996838 /nfs/dbraw/zinc/99/68/38/415996838.db2.gz PWNZXAYVCUQZSF-MRVPVSSYSA-N 0 2 322.296 0.234 20 0 DCADLN CCNC(=O)CC(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000357206515 416044951 /nfs/dbraw/zinc/04/49/51/416044951.db2.gz PSKSTAOPTZZHCJ-UHFFFAOYSA-N 0 2 308.363 0.882 20 0 DCADLN O=S(=O)(Nc1nc2ncccn2n1)c1cccc2c1OCO2 ZINC000337747499 416005297 /nfs/dbraw/zinc/00/52/97/416005297.db2.gz DLQBUMWNSIEPLN-UHFFFAOYSA-N 0 2 319.302 0.654 20 0 DCADLN Cc1ccc(-c2noc(N[C@@H]3CCCN(CCO)C3=O)n2)cc1 ZINC000337865742 416017962 /nfs/dbraw/zinc/01/79/62/416017962.db2.gz KRJOHPYYCRXYPG-CYBMUJFWSA-N 0 2 316.361 1.440 20 0 DCADLN CCOCCO[C@H]1CCN(c2cc(NC[C@@H](C)O)[nH+]cn2)C1 ZINC000298854732 416033500 /nfs/dbraw/zinc/03/35/00/416033500.db2.gz JDGKAGYOLJAQJR-OLZOCXBDSA-N 0 2 310.398 0.901 20 0 DCADLN Cc1coc2ccc(NC(=O)C(=O)NCc3n[nH]c(=O)[nH]3)cc12 ZINC000357169575 416040963 /nfs/dbraw/zinc/04/09/63/416040963.db2.gz MOJKXSKWIKDMNC-UHFFFAOYSA-N 0 2 315.289 0.820 20 0 DCADLN CN(C)c1cc(C(F)(F)F)nc(NCc2n[nH]c(=O)[nH]2)n1 ZINC000301590104 416087456 /nfs/dbraw/zinc/08/74/56/416087456.db2.gz XMHDRFCGRDUCLB-UHFFFAOYSA-N 0 2 303.248 0.585 20 0 DCADLN CCOC(=O)c1c[nH]ccc1=NS(=O)(=O)c1cccnc1 ZINC000357556159 416095809 /nfs/dbraw/zinc/09/58/09/416095809.db2.gz FOFCKSQDNXYYPS-UHFFFAOYSA-N 0 2 307.331 0.876 20 0 DCADLN CCOC(=O)c1cnccc1NS(=O)(=O)c1cccnc1 ZINC000357556159 416095812 /nfs/dbraw/zinc/09/58/12/416095812.db2.gz FOFCKSQDNXYYPS-UHFFFAOYSA-N 0 2 307.331 0.876 20 0 DCADLN CC(=O)NCCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000176263688 416122578 /nfs/dbraw/zinc/12/25/78/416122578.db2.gz IMCPXWUKIRHMOZ-UHFFFAOYSA-N 0 2 306.297 0.583 20 0 DCADLN COC(=O)C1(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)CC1 ZINC000345906769 416167205 /nfs/dbraw/zinc/16/72/05/416167205.db2.gz QZOXVKOOHYAITE-UHFFFAOYSA-N 0 2 318.289 0.180 20 0 DCADLN CC(C)NC(=O)CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179432912 416186448 /nfs/dbraw/zinc/18/64/48/416186448.db2.gz NTQXQHLFEIRUMX-UHFFFAOYSA-N 0 2 305.338 0.318 20 0 DCADLN CC(C)(CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O)C(N)=O ZINC000180669081 416199365 /nfs/dbraw/zinc/19/93/65/416199365.db2.gz DJYPIPJLLSNHIS-UHFFFAOYSA-N 0 2 320.324 0.958 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCc1ccnc3ccnn31)c2=O ZINC000358333984 416225047 /nfs/dbraw/zinc/22/50/47/416225047.db2.gz GPIMVJTVBJHZFQ-UHFFFAOYSA-N 0 2 323.316 0.647 20 0 DCADLN O=C(C[C@@H]1Sc2ccccc2NC1=O)NCc1n[nH]c(=O)[nH]1 ZINC000358359580 416227993 /nfs/dbraw/zinc/22/79/93/416227993.db2.gz REHABDNPCICLSY-VIFPVBQESA-N 0 2 319.346 0.630 20 0 DCADLN Cc1cccc(O)c1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000183075731 416235065 /nfs/dbraw/zinc/23/50/65/416235065.db2.gz LVEPUJMFXSYAFE-UHFFFAOYSA-N 0 2 312.285 0.878 20 0 DCADLN CNS(=O)(=O)c1cccc([C@H](C)[NH2+]Cc2n[nH]c(=O)[n-]2)c1 ZINC000341787603 416235747 /nfs/dbraw/zinc/23/57/47/416235747.db2.gz HDSJMLQTBLIXCI-QMMMGPOBSA-N 0 2 311.367 0.269 20 0 DCADLN CNS(=O)(=O)c1cccc([C@@H](C)[NH2+]Cc2n[nH]c(=O)[n-]2)c1 ZINC000341787601 416235836 /nfs/dbraw/zinc/23/58/36/416235836.db2.gz HDSJMLQTBLIXCI-MRVPVSSYSA-N 0 2 311.367 0.269 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000359798816 416359034 /nfs/dbraw/zinc/35/90/34/416359034.db2.gz ICACSURYVYSLNO-MRVPVSSYSA-N 0 2 319.296 0.319 20 0 DCADLN Cc1c([C@@H](C)NS(=O)(=O)NCC(F)(F)F)cnn1C ZINC000195084336 416325132 /nfs/dbraw/zinc/32/51/32/416325132.db2.gz UKTMSRRYVRIIKH-ZCFIWIBFSA-N 0 2 300.306 0.776 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(Nc2cnccn2)c1 ZINC000359838015 416362076 /nfs/dbraw/zinc/36/20/76/416362076.db2.gz OQNJNUIODFSVLZ-UHFFFAOYSA-N 0 2 311.305 0.974 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2ccccn2)cn1 ZINC000360768653 416409041 /nfs/dbraw/zinc/40/90/41/416409041.db2.gz XXNZKUASEYOWOE-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN CCO[C@@H]1C[C@@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)[C@H]1OC ZINC000361627502 416464082 /nfs/dbraw/zinc/46/40/82/416464082.db2.gz DTXSFQQXXCDIJN-NQBHXWOUSA-N 0 2 320.349 0.596 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2cccc[nH+]2)CC1)[N@H+](C)CC(C)(C)O ZINC000361386839 416433776 /nfs/dbraw/zinc/43/37/76/416433776.db2.gz PMLQWMROOMTNDD-AWEZNQCLSA-N 0 2 320.437 0.821 20 0 DCADLN Cc1cc(C(=O)N2CCO[C@H](C)[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000361446424 416437083 /nfs/dbraw/zinc/43/70/83/416437083.db2.gz SHVVBDOHHLBZTO-DTWKUNHWSA-N 0 2 318.333 0.994 20 0 DCADLN CC[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@H]1O ZINC000535571091 416522347 /nfs/dbraw/zinc/52/23/47/416522347.db2.gz WWXXPBPSMRJODY-JOYOIKCWSA-N 0 2 303.318 0.873 20 0 DCADLN CCc1cc(=O)[nH]c(C[N@@H+]2CCCC[C@@H]2CNC(=O)CC[NH3+])n1 ZINC000436055418 416545791 /nfs/dbraw/zinc/54/57/91/416545791.db2.gz UCHQUBXYDURCTL-CYBMUJFWSA-N 0 2 321.425 0.564 20 0 DCADLN C[C@@H](O)CCCNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000435166986 416511374 /nfs/dbraw/zinc/51/13/74/416511374.db2.gz WVQMLUVVCFARFQ-MRVPVSSYSA-N 0 2 314.411 0.473 20 0 DCADLN COC(=O)Cn1ccc(NS(=O)(=O)CCOCC2CC2)n1 ZINC000424071297 416609047 /nfs/dbraw/zinc/60/90/47/416609047.db2.gz ZMAAKLNATQIJTR-UHFFFAOYSA-N 0 2 317.367 0.224 20 0 DCADLN Cc1sc(NC[C@](C)(O)C[NH+]2CCOCC2)nc1C(=O)[O-] ZINC000514792900 416677193 /nfs/dbraw/zinc/67/71/93/416677193.db2.gz QWTFQSKHHYNFGW-ZDUSSCGKSA-N 0 2 315.395 0.645 20 0 DCADLN COC[C@](C)(CO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613549058 416681570 /nfs/dbraw/zinc/68/15/70/416681570.db2.gz BHQMPYYQFSYCQE-AWEZNQCLSA-N 0 2 307.306 0.157 20 0 DCADLN COC[C@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C[C@@H](C)O1 ZINC000439935413 416623794 /nfs/dbraw/zinc/62/37/94/416623794.db2.gz MYRJROSSDDSHCJ-NXEZZACHSA-N 0 2 320.349 0.550 20 0 DCADLN CC(C)C[C@@H](CNC(=O)NCC[N@@H+]1CCOC[C@H]1C)C(=O)[O-] ZINC000424403322 416639978 /nfs/dbraw/zinc/63/99/78/416639978.db2.gz FLAMXJDVFWAULP-OLZOCXBDSA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)C[C@@H](CNC(=O)NCC[N@H+]1CCOC[C@H]1C)C(=O)[O-] ZINC000424403322 416639981 /nfs/dbraw/zinc/63/99/81/416639981.db2.gz FLAMXJDVFWAULP-OLZOCXBDSA-N 0 2 315.414 0.753 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NCCC(C)(C)C(=O)[O-])[NH+]1CCOCC1 ZINC000424417816 416641671 /nfs/dbraw/zinc/64/16/71/416641671.db2.gz WAZYDULVLRYPOP-NWDGAFQWSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2CC[C@H](C(C)(C)C)C2)n1 ZINC000557631057 416741404 /nfs/dbraw/zinc/74/14/04/416741404.db2.gz BYJZDKWNKJLZHV-LBPRGKRZSA-N 0 2 322.457 0.812 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2[nH]c(=O)n(C)c2c1 ZINC000442244588 416686944 /nfs/dbraw/zinc/68/69/44/416686944.db2.gz SXJFSHGUBFFJME-UHFFFAOYSA-N 0 2 307.335 0.813 20 0 DCADLN C[C@H](CO)N(C[C@H](C)O)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000442702356 416706253 /nfs/dbraw/zinc/70/62/53/416706253.db2.gz FFUARUQBPSRWNQ-ZJUUUORDSA-N 0 2 321.333 0.234 20 0 DCADLN O=S(=O)(NCC[C@H](O)C(F)(F)F)NCC(F)(F)F ZINC000442953784 416723199 /nfs/dbraw/zinc/72/31/99/416723199.db2.gz PHPBTLFVDPWNNZ-BYPYZUCNSA-N 0 2 304.212 0.286 20 0 DCADLN O=S(=O)(NC[C@H]1CC12CCOCC2)NCC(F)(F)F ZINC000443217169 416735570 /nfs/dbraw/zinc/73/55/70/416735570.db2.gz RHQGSJGISVTVPC-MRVPVSSYSA-N 0 2 302.318 0.789 20 0 DCADLN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC000623198685 416766795 /nfs/dbraw/zinc/76/67/95/416766795.db2.gz ULXDMVWVRJDPEJ-SCZZXKLOSA-N 0 2 304.354 0.648 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCn2ccccc2=O)cn1 ZINC000444028885 416795123 /nfs/dbraw/zinc/79/51/23/416795123.db2.gz AWRQHIWGOZGNCC-UHFFFAOYSA-N 0 2 312.333 0.402 20 0 DCADLN CC(C)(C)[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(N)=O ZINC000427003659 416795468 /nfs/dbraw/zinc/79/54/68/416795468.db2.gz ZEZHFHMYSSJZQF-SNVBAGLBSA-N 0 2 318.333 0.660 20 0 DCADLN COCCc1nsc(NCCS(=O)(=O)NC(C)(C)C)n1 ZINC000517671735 416814604 /nfs/dbraw/zinc/81/46/04/416814604.db2.gz SIUYFFSCNPFOBX-UHFFFAOYSA-N 0 2 322.456 0.279 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000370022896 416868972 /nfs/dbraw/zinc/86/89/72/416868972.db2.gz VVXXYFCEILEFCQ-DTWKUNHWSA-N 0 2 302.318 0.742 20 0 DCADLN COCCO[C@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000444480877 416844402 /nfs/dbraw/zinc/84/44/02/416844402.db2.gz PKTCGMAOBNXFLD-JTQLQIEISA-N 0 2 320.349 0.551 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H](CO)[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000427818093 416849152 /nfs/dbraw/zinc/84/91/52/416849152.db2.gz HDRBQYSMDUWRRM-BDAKNGLRSA-N 0 2 318.333 0.587 20 0 DCADLN CCOC1CC(O)(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000444609716 416850323 /nfs/dbraw/zinc/85/03/23/416850323.db2.gz NDYIDGSUVQQGPD-UHFFFAOYSA-N 0 2 320.349 0.334 20 0 DCADLN O=C([O-])[C@H]1COCC[N@H+]1C[C@H](O)COCc1ccccc1F ZINC000565837759 417025104 /nfs/dbraw/zinc/02/51/04/417025104.db2.gz GTAAFGUFIGDVJY-GXTWGEPZSA-N 0 2 313.325 0.489 20 0 DCADLN O=C([O-])[C@H]1COCC[N@@H+]1C[C@H](O)COCc1ccccc1F ZINC000565837759 417025113 /nfs/dbraw/zinc/02/51/13/417025113.db2.gz GTAAFGUFIGDVJY-GXTWGEPZSA-N 0 2 313.325 0.489 20 0 DCADLN COC(=O)C12CCC(C(=O)NCCCc3n[nH]c(=O)[nH]3)(CC1)C2 ZINC000430603081 417051152 /nfs/dbraw/zinc/05/11/52/417051152.db2.gz XRRLMKGJQXCNCM-UHFFFAOYSA-N 0 2 322.365 0.683 20 0 DCADLN CCO[C@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CC1 ZINC000624517437 417054515 /nfs/dbraw/zinc/05/45/15/417054515.db2.gz WYXVYDDBPYEZGM-ZETCQYMHSA-N 0 2 320.396 0.663 20 0 DCADLN CCn1cc(NS(=O)(=O)c2ccc3[nH]c(=O)cnc3c2)cn1 ZINC000568468199 417138827 /nfs/dbraw/zinc/13/88/27/417138827.db2.gz CRVIINUPXGYTMI-UHFFFAOYSA-N 0 2 319.346 0.940 20 0 DCADLN Cc1cc(C(=O)NCC[C@H](C)CCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000295927172 225386022 /nfs/dbraw/zinc/38/60/22/225386022.db2.gz JOKPLDFYXZNGDA-QMMMGPOBSA-N 0 2 320.349 0.883 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000408408984 417158671 /nfs/dbraw/zinc/15/86/71/417158671.db2.gz SFPHMGPANKFEDN-VIFPVBQESA-N 0 2 311.244 0.047 20 0 DCADLN O[C@@H]1C[C@H](O)C[N@@H+](Cc2cccc(C[NH+]3CCOCC3)c2)C1 ZINC000643250740 417169367 /nfs/dbraw/zinc/16/93/67/417169367.db2.gz LHOAWYUHZGRUBY-CALCHBBNSA-N 0 2 306.406 0.446 20 0 DCADLN CC[C@@](C)(CO)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000569223999 417225600 /nfs/dbraw/zinc/22/56/00/417225600.db2.gz CJXVXXRRVLNJMF-ZDUSSCGKSA-N 0 2 314.411 0.473 20 0 DCADLN O=C(CNC(=O)C1CC1)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000525953281 417166501 /nfs/dbraw/zinc/16/65/01/417166501.db2.gz KSKHQCFIFGWZCJ-UHFFFAOYSA-N 0 2 302.290 0.495 20 0 DCADLN CN(C)c1nc(N2CC[NH+](CC[C@H]3CCNC3=O)CC2)cc[nH+]1 ZINC000569618821 417275715 /nfs/dbraw/zinc/27/57/15/417275715.db2.gz LWHLHIYIAHBIAV-CYBMUJFWSA-N 0 2 318.425 0.191 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1cc(C(F)(F)F)nn1C ZINC000528310853 417324309 /nfs/dbraw/zinc/32/43/09/417324309.db2.gz CXHDYGXGOOYIAF-UHFFFAOYSA-N 0 2 323.300 0.949 20 0 DCADLN CN(CC(C)(C)CO)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000622077147 417389007 /nfs/dbraw/zinc/38/90/07/417389007.db2.gz LJWGUHBHCHUCKN-UHFFFAOYSA-N 0 2 306.322 0.527 20 0 DCADLN C[C@@H]1CCCN(C(=O)C[N@@H+]2CCC[C@H](NC(=O)N(C)C)C2)C1 ZINC000451998182 417429623 /nfs/dbraw/zinc/42/96/23/417429623.db2.gz SBHUOPLSAZUYCZ-KGLIPLIRSA-N 0 2 310.442 0.981 20 0 DCADLN C[C@@H]1CN(C(=O)C2=NN(c3ccccc3)CC2=O)CC(=O)N1 ZINC000296369589 225806788 /nfs/dbraw/zinc/80/67/88/225806788.db2.gz VRRGXPWOIYJYIG-SNVBAGLBSA-N 0 2 300.318 0.538 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000574990693 417499749 /nfs/dbraw/zinc/49/97/49/417499749.db2.gz HOJYIAFFDOXWNM-VIFPVBQESA-N 0 2 322.390 0.785 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000635514679 417684273 /nfs/dbraw/zinc/68/42/73/417684273.db2.gz MARGYCYAXSPBHI-ABAIWWIYSA-N 0 2 322.365 0.331 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H]1CCCN1CC(F)F ZINC000628619602 417671594 /nfs/dbraw/zinc/67/15/94/417671594.db2.gz CSMJTCBHENWWFM-ZETCQYMHSA-N 0 2 321.353 0.448 20 0 DCADLN Cc1n[nH]cc1C[N@@H+](C)CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000639966317 417770980 /nfs/dbraw/zinc/77/09/80/417770980.db2.gz AIKWWYHMTDYATA-CYBMUJFWSA-N 0 2 321.425 0.667 20 0 DCADLN CC(C)NC(=O)c1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000629287352 417772166 /nfs/dbraw/zinc/77/21/66/417772166.db2.gz LHTCEYPCYUTOMZ-UHFFFAOYSA-N 0 2 323.378 0.754 20 0 DCADLN O=C(N=c1ncn(C2CCCCC2)[nH]1)NC1COCCOC1 ZINC000652071640 417844894 /nfs/dbraw/zinc/84/48/94/417844894.db2.gz YHTXYXYRJVXPHV-UHFFFAOYSA-N 0 2 309.370 0.742 20 0 DCADLN CCO[C@@H]1C[C@@H](NC(=O)N=c2cccn[nH]2)[C@@H]1n1cccn1 ZINC000652678366 417942301 /nfs/dbraw/zinc/94/23/01/417942301.db2.gz PLRFFQAVEPFOOL-WZRBSPASSA-N 0 2 302.338 0.635 20 0 DCADLN CS(=O)(=O)N1CC[C@@H](NC(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000652766908 417965899 /nfs/dbraw/zinc/96/58/99/417965899.db2.gz OZEDMNDBONLKKV-MRVPVSSYSA-N 0 2 320.317 0.434 20 0 DCADLN CCn1cnc(S(=O)(=O)Nc2ccnc(OCCO)c2)c1 ZINC000663301901 418008740 /nfs/dbraw/zinc/00/87/40/418008740.db2.gz SDPSCOOZFRBEPL-UHFFFAOYSA-N 0 2 312.351 0.470 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccnc(OCCO)c1 ZINC000663299445 418009100 /nfs/dbraw/zinc/00/91/00/418009100.db2.gz LGPNXZHQTUPMNE-UHFFFAOYSA-N 0 2 312.351 0.295 20 0 DCADLN CN1CCN(c2cc(N[C@@H]3CC[C@H](C(=O)[O-])C3)[nH+]cn2)CC1=O ZINC000647458975 418012377 /nfs/dbraw/zinc/01/23/77/418012377.db2.gz FHLXIDMFMPSSGQ-WDEREUQCSA-N 0 2 319.365 0.420 20 0 DCADLN CN1CCN(c2cc(N[C@@H]3CC[C@H](C(=O)[O-])C3)nc[nH+]2)CC1=O ZINC000647458975 418012379 /nfs/dbraw/zinc/01/23/79/418012379.db2.gz FHLXIDMFMPSSGQ-WDEREUQCSA-N 0 2 319.365 0.420 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1ccn(CCC(N)=O)n1)C(C)C ZINC000647127560 417991241 /nfs/dbraw/zinc/99/12/41/417991241.db2.gz UVLPCZZXWQRWTN-JTQLQIEISA-N 0 2 318.399 0.171 20 0 DCADLN O=C([O-])[C@@]1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC=CCC1 ZINC000663130390 417994896 /nfs/dbraw/zinc/99/48/96/417994896.db2.gz HTJCZEVCEJWSSG-BBRMVZONSA-N 0 2 308.378 0.731 20 0 DCADLN O=C([O-])CC1(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)CCC1 ZINC000653321332 418058004 /nfs/dbraw/zinc/05/80/04/418058004.db2.gz LUJYEGMQJVQNCS-UHFFFAOYSA-N 0 2 301.306 0.790 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c2[nH+]ccn21 ZINC000659406524 418092404 /nfs/dbraw/zinc/09/24/04/418092404.db2.gz SMEJOXOLOKCQMB-MYQWFSQQSA-N 0 2 320.349 0.632 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCOC[C@@H]3C(=O)[O-])[nH+]c12 ZINC000648450847 418137022 /nfs/dbraw/zinc/13/70/22/418137022.db2.gz OERIFYXINBWYTQ-GFCCVEGCSA-N 0 2 303.318 0.497 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000654698933 418178997 /nfs/dbraw/zinc/17/89/97/418178997.db2.gz OYXOBDVRSMIYEL-ZJUUUORDSA-N 0 2 302.290 0.480 20 0 DCADLN CC(C)Cn1cc[nH+]c1CNC(=O)N1CC[N@@H+]2C[C@H](O)C[C@H]2C1 ZINC000654459371 418147517 /nfs/dbraw/zinc/14/75/17/418147517.db2.gz XFFVLGQFHXYMBQ-UONOGXRCSA-N 0 2 321.425 0.500 20 0 DCADLN O=C(c1cnn(CCF)c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893024 418171193 /nfs/dbraw/zinc/17/11/93/418171193.db2.gz CGGRGKYGZOZPOP-SECBINFHSA-N 0 2 308.317 0.696 20 0 DCADLN O=C(NCc1n[nH]c([C@H]2CCCO2)n1)c1ccc2n[nH]nc2c1 ZINC000655236955 418242216 /nfs/dbraw/zinc/24/22/16/418242216.db2.gz JEOHGGOAAXUYCE-LLVKDONJSA-N 0 2 313.321 0.858 20 0 DCADLN C[C@H]1CN(c2cc(N3CCOCC3)nc[nH+]2)CC[C@H]1C(=O)[O-] ZINC000649404677 418250867 /nfs/dbraw/zinc/25/08/67/418250867.db2.gz RQKQLFOLZLDZSZ-NWDGAFQWSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@H]1CN(c2cc(N3CCOCC3)[nH+]cn2)CC[C@H]1C(=O)[O-] ZINC000649404677 418250868 /nfs/dbraw/zinc/25/08/68/418250868.db2.gz RQKQLFOLZLDZSZ-NWDGAFQWSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCOCC3)nc[nH+]2)CC[C@@H]1C(=O)[O-] ZINC000649404676 418251085 /nfs/dbraw/zinc/25/10/85/418251085.db2.gz RQKQLFOLZLDZSZ-NEPJUHHUSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCOCC3)[nH+]cn2)CC[C@@H]1C(=O)[O-] ZINC000649404676 418251086 /nfs/dbraw/zinc/25/10/86/418251086.db2.gz RQKQLFOLZLDZSZ-NEPJUHHUSA-N 0 2 306.366 0.860 20 0 DCADLN NC(=O)c1[nH]nnc1NC(=O)[C@@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000650025574 418288555 /nfs/dbraw/zinc/28/85/55/418288555.db2.gz YZZGMCNEMMLZJD-NTSWFWBYSA-N 0 2 321.259 0.590 20 0 DCADLN C[C@@H](CCO)N(C)C(=O)N=c1ccc(-c2cnn(C)c2)n[nH]1 ZINC000650167519 418296423 /nfs/dbraw/zinc/29/64/23/418296423.db2.gz SWNFOVWEGRUAAF-JTQLQIEISA-N 0 2 304.354 0.534 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C[C@H]2CCC[C@H](OC)C2)[nH]n1 ZINC000650818800 418326290 /nfs/dbraw/zinc/32/62/90/418326290.db2.gz YFITXPAJRPAVJG-QWRGUYRKSA-N 0 2 306.366 0.792 20 0 DCADLN CCc1noc(CC)c1CC(=O)N=c1ccc(C(=O)NC)n[nH]1 ZINC000650817450 418326327 /nfs/dbraw/zinc/32/63/27/418326327.db2.gz CRQZJNMAMPYIHH-UHFFFAOYSA-N 0 2 317.349 0.552 20 0 DCADLN CCC(CC)[C@H](C(=O)NC[C@H](C)C(=O)[O-])[NH+]1CCOCC1 ZINC000655562130 418275937 /nfs/dbraw/zinc/27/59/37/418275937.db2.gz SMKXFDVNGMSSJS-WCQYABFASA-N 0 2 300.399 0.960 20 0 DCADLN COc1cccnc1NS(=O)(=O)C[C@@H](OC)[C@@H]1CCOC1 ZINC000656727525 418374317 /nfs/dbraw/zinc/37/43/17/418374317.db2.gz NBRHRCSUNYAGLD-ZYHUDNBSSA-N 0 2 316.379 0.883 20 0 DCADLN CO[C@H](CS(=O)(=O)NCc1cn[nH]c1C)[C@H]1CCOC1 ZINC000656884816 418382435 /nfs/dbraw/zinc/38/24/35/418382435.db2.gz FIXSYCMHAJPFFO-CMPLNLGQSA-N 0 2 303.384 0.189 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCOC2CCCCC2)[nH]1 ZINC000651530269 418388058 /nfs/dbraw/zinc/38/80/58/418388058.db2.gz OBSOGOJHMUVGBX-UHFFFAOYSA-N 0 2 323.397 0.685 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCN(c3ccc(F)cc3)CC2)C1=O ZINC000662204068 418388962 /nfs/dbraw/zinc/38/89/62/418388962.db2.gz GKQHVWBHOZVAKP-CQSZACIVSA-N 0 2 321.352 0.633 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCS[C@@H](C)[C@@H]2C)[nH]1 ZINC000651576721 418392988 /nfs/dbraw/zinc/39/29/88/418392988.db2.gz PEQBZHWEVPBNCY-UWVGGRQHSA-N 0 2 311.411 0.572 20 0 DCADLN COC(C)(C)C[C@@H](C)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651588971 418395000 /nfs/dbraw/zinc/39/50/00/418395000.db2.gz LQOFBJYAXMGHEZ-SNVBAGLBSA-N 0 2 311.386 0.539 20 0 DCADLN CC(C)C[C@@H](CO)N(C)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651726139 418406955 /nfs/dbraw/zinc/40/69/55/418406955.db2.gz BFXHSLHGDJZBKJ-NSHDSACASA-N 0 2 311.386 0.085 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCC[C@@H]2C[C@@H](C)O)[nH]1 ZINC000651762003 418408864 /nfs/dbraw/zinc/40/88/64/418408864.db2.gz URWZGAABNJNVFS-VXGBXAGGSA-N 0 2 323.397 0.371 20 0 DCADLN CC[C@@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@H]1CCCO1 ZINC000651638257 418399416 /nfs/dbraw/zinc/39/94/16/418399416.db2.gz SKGANHSGPIFSTJ-GHMZBOCLSA-N 0 2 309.370 0.293 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC2Cc3ccccc3C2)[nH]1 ZINC000651665278 418402068 /nfs/dbraw/zinc/40/20/68/418402068.db2.gz SCXXJPQQTLQVNT-UHFFFAOYSA-N 0 2 313.361 0.503 20 0 DCADLN CCn1cc(NS(=O)(=O)c2ccc(C(=O)OC)nc2)cn1 ZINC000354256010 261197892 /nfs/dbraw/zinc/19/78/92/261197892.db2.gz UMQXHIKVKKLUIE-UHFFFAOYSA-N 0 2 310.335 0.885 20 0 DCADLN CC=Cc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000354429879 261218642 /nfs/dbraw/zinc/21/86/42/261218642.db2.gz WXBVOFQXAOVTLR-NSCUHMNNSA-N 0 2 301.306 0.798 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCn2ncnc2C1 ZINC000354487015 261225342 /nfs/dbraw/zinc/22/53/42/261225342.db2.gz BHJBFAQOWDKDMU-UHFFFAOYSA-N 0 2 310.317 0.825 20 0 DCADLN CCOC(=O)CCCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355859824 261371763 /nfs/dbraw/zinc/37/17/63/261371763.db2.gz FBFOIRINYFKMQQ-UHFFFAOYSA-N 0 2 306.322 0.747 20 0 DCADLN NC(=O)NC1(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CC1 ZINC000356027740 261383548 /nfs/dbraw/zinc/38/35/48/261383548.db2.gz YIKPGXJYGCAZDX-UHFFFAOYSA-N 0 2 302.294 0.317 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@@H]1CCC(=O)NC1 ZINC000356028348 261383593 /nfs/dbraw/zinc/38/35/93/261383593.db2.gz ZOBBXRLVLJIQDJ-SECBINFHSA-N 0 2 301.306 0.642 20 0 DCADLN CCCCC(=O)N1CSC[C@@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000359809721 261770981 /nfs/dbraw/zinc/77/09/81/261770981.db2.gz DZRNJHRXCCNPOR-MRVPVSSYSA-N 0 2 313.383 0.218 20 0 DCADLN C[C@](O)(C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CCC1 ZINC000362586311 262049109 /nfs/dbraw/zinc/04/91/09/262049109.db2.gz ATPSUTHNTIBMKJ-SNVBAGLBSA-N 0 2 320.396 0.399 20 0 DCADLN CC[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H](O)C(C)C ZINC000412758434 262194211 /nfs/dbraw/zinc/19/42/11/262194211.db2.gz VBHXJYTYRKLCFE-QWRGUYRKSA-N 0 2 320.349 0.961 20 0 DCADLN COc1ccc(C[C@@H](C)NC(=O)c2nc(=O)[nH][nH]2)cc1OC ZINC000106921523 270946548 /nfs/dbraw/zinc/94/65/48/270946548.db2.gz GFLJTBOFBMPSLS-MRVPVSSYSA-N 0 2 306.322 0.476 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2ccc(-n3cccn3)nc2)c1 ZINC000355255129 271003470 /nfs/dbraw/zinc/00/34/70/271003470.db2.gz RLWJPHNZFKSODZ-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@H](C(N)=O)C2)c1 ZINC000424655230 271413949 /nfs/dbraw/zinc/41/39/49/271413949.db2.gz ZQUNNUQPOUCKRU-CWKPULSASA-N 0 2 310.375 0.137 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccsc1Cl ZINC000445915683 271750682 /nfs/dbraw/zinc/75/06/82/271750682.db2.gz JNZXNTZMTRNAIZ-UHFFFAOYSA-N 0 2 301.715 0.480 20 0 DCADLN CCOC(=O)NCC(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000492438735 272129623 /nfs/dbraw/zinc/12/96/23/272129623.db2.gz UFMVKXUIFGWFPD-UHFFFAOYSA-N 0 2 306.278 0.715 20 0 DCADLN Cn1ccc(/C=C\C(=O)NS(=O)(=O)c2cnc3n2CCC3)c1 ZINC000492824122 272152719 /nfs/dbraw/zinc/15/27/19/272152719.db2.gz PNCQRTQKDXJNAI-PLNGDYQASA-N 0 2 320.374 0.686 20 0 DCADLN C[C@H](NC(=O)OC(C)(C)C)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000492863886 272154720 /nfs/dbraw/zinc/15/47/20/272154720.db2.gz IATCZPWQYNTRAJ-QMMMGPOBSA-N 0 2 313.358 0.472 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C/c2ccn(C)c2)cn1 ZINC000493285524 272179796 /nfs/dbraw/zinc/17/97/96/272179796.db2.gz JWVUWFZTUCMOIL-SNAWJCMRSA-N 0 2 308.363 0.760 20 0 DCADLN CC(C)[C@@H](CO)ONC(=O)CNC(=O)OCc1ccccc1 ZINC000496983926 272359536 /nfs/dbraw/zinc/35/95/36/272359536.db2.gz GIGUKAFYKNUJQA-CYBMUJFWSA-N 0 2 310.350 0.978 20 0 DCADLN CC(C)C[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)nn1)C(=O)[O-] ZINC000533196707 272803264 /nfs/dbraw/zinc/80/32/64/272803264.db2.gz GHEGOGDYKRTPCK-NSHDSACASA-N 0 2 303.322 0.891 20 0 DCADLN COC[C@@](C)(O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000531119016 287767795 /nfs/dbraw/zinc/76/77/95/287767795.db2.gz UHMLZUFHTKPEIP-AWEZNQCLSA-N 0 2 307.306 0.157 20 0 DCADLN COC[C@@](C)(O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000531464205 287777892 /nfs/dbraw/zinc/77/78/92/287777892.db2.gz LROFUPFTJDBNLF-HNNXBMFYSA-N 0 2 323.324 0.844 20 0 DCADLN O=C(c1cc(O)cc([N+](=O)[O-])c1)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000547485433 288051747 /nfs/dbraw/zinc/05/17/47/288051747.db2.gz AWIXUTSXLULQMU-QMMMGPOBSA-N 0 2 318.293 0.833 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCc2ncn(C)n2)cn1 ZINC000547652529 288065332 /nfs/dbraw/zinc/06/53/32/288065332.db2.gz HDSKEJRJYPKJIF-UHFFFAOYSA-N 0 2 314.353 0.394 20 0 DCADLN COC(=O)c1ccc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)o1 ZINC000549796498 288206359 /nfs/dbraw/zinc/20/63/59/288206359.db2.gz GDIGISBNHNIQIT-UHFFFAOYSA-N 0 2 320.305 0.910 20 0 DCADLN CN1C(=O)C[C@@H](NC(=O)c2cc(F)c(F)c(O)c2F)C1=O ZINC000550160704 288212621 /nfs/dbraw/zinc/21/26/21/288212621.db2.gz OSQYSAAPQGPKEB-ZCFIWIBFSA-N 0 2 302.208 0.297 20 0 DCADLN CC1(C)[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)[C@@H]2CCCO[C@@H]21 ZINC000376731887 281484554 /nfs/dbraw/zinc/48/45/54/281484554.db2.gz AVMQZUSCWWAWCA-SFGNSQDASA-N 0 2 312.395 0.922 20 0 DCADLN CC[C@@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@@H](C)[S@]1=O ZINC000556840832 288399022 /nfs/dbraw/zinc/39/90/22/288399022.db2.gz STFJPLZAMMREMX-NNJUXLKUSA-N 0 2 308.407 0.754 20 0 DCADLN CS(=O)(=O)c1ccc2c(c1)CCN(Cc1n[nH]c(=O)[nH]1)CC2 ZINC000338591449 295558469 /nfs/dbraw/zinc/55/84/69/295558469.db2.gz ICLBWKYSYNOJLG-UHFFFAOYSA-N 0 2 322.390 0.515 20 0 DCADLN C[C@H](C(=O)NCCC(=O)[O-])[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000262291453 298151769 /nfs/dbraw/zinc/15/17/69/298151769.db2.gz YQKFJSNWWKAHRH-GFCCVEGCSA-N 0 2 323.368 0.927 20 0 DCADLN C[C@H]1OCC[C@]12CN(S(=O)(=O)NCC(F)(F)F)CCO2 ZINC000369128267 300642800 /nfs/dbraw/zinc/64/28/00/300642800.db2.gz RZNRFWQAXHIHDG-BDAKNGLRSA-N 0 2 318.317 0.263 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](C)[C@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000352766500 301120273 /nfs/dbraw/zinc/12/02/73/301120273.db2.gz XJJOKKYPLKNYTD-VXNVDRBHSA-N 0 2 304.306 0.197 20 0 DCADLN O=C(CC(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1)NCC1CC1 ZINC000582124555 332336390 /nfs/dbraw/zinc/33/63/90/332336390.db2.gz JSMZMZBVDULDFY-UHFFFAOYSA-N 0 2 307.354 0.133 20 0 DCADLN O=C([O-])C[C@H]1CCCN1c1cc(N2CCC[C@H]2CO)nc[nH+]1 ZINC000580201638 333002164 /nfs/dbraw/zinc/00/21/64/333002164.db2.gz XSQMVXZWTANTOY-NEPJUHHUSA-N 0 2 306.366 0.881 20 0 DCADLN O=C([O-])C[C@H]1CCCN1c1cc(N2CCC[C@H]2CO)[nH+]cn1 ZINC000580201638 333002166 /nfs/dbraw/zinc/00/21/66/333002166.db2.gz XSQMVXZWTANTOY-NEPJUHHUSA-N 0 2 306.366 0.881 20 0 DCADLN CC1(C)C[C@H](O)CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000395429178 337215919 /nfs/dbraw/zinc/21/59/19/337215919.db2.gz DYWYFIJMGMAACF-MRVPVSSYSA-N 0 2 304.334 0.866 20 0 DCADLN CO[C@@](C)(CNC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+])c1ccccc1 ZINC000584400503 337318658 /nfs/dbraw/zinc/31/86/58/337318658.db2.gz PKUFQBYAOJPWOB-RDJZCZTQSA-N 0 2 320.437 0.833 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCN2CCCC2=O)c1 ZINC000584649634 337380479 /nfs/dbraw/zinc/38/04/79/337380479.db2.gz QTEUIZAJOALGIV-QFIPXVFZSA-N 0 2 324.402 0.541 20 0 DCADLN CCO[C@H]1C[C@@H]1NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000576579407 341853329 /nfs/dbraw/zinc/85/33/29/341853329.db2.gz GNQHBSHWYPCKLS-YUMQZZPRSA-N 0 2 312.395 0.490 20 0 DCADLN CCS(=O)(=O)NCCCNc1cc(CC(=O)[O-])cc[nH+]1 ZINC001605727621 1159794330 /nfs/dbraw/zinc/79/43/30/1159794330.db2.gz IKHHPJRTGMZIDB-UHFFFAOYSA-N 0 2 301.368 0.450 20 0 DCADLN CCC[C@@](C)(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000266551835 520936614 /nfs/dbraw/zinc/93/66/14/520936614.db2.gz OQGYBYNOFYGEIO-CQSZACIVSA-N 0 2 306.322 0.717 20 0 DCADLN COC(=O)[C@]1(C)CCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000365997654 524008625 /nfs/dbraw/zinc/00/86/25/524008625.db2.gz BHBSNOJTFQJWOG-LLVKDONJSA-N 0 2 300.340 0.014 20 0 DCADLN C[C@H](NC(=O)CSc1n[nH]c(=S)s1)c1nnc[nH]1 ZINC000267735078 524978940 /nfs/dbraw/zinc/97/89/40/524978940.db2.gz NRPUSWPPGNZWJA-BYPYZUCNSA-N 0 2 302.410 0.914 20 0 DCADLN Cc1cc(C(=O)NCCC[C@@H](C)CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000270420688 526266864 /nfs/dbraw/zinc/26/68/64/526266864.db2.gz GSDMGDJBUTWFFH-MRVPVSSYSA-N 0 2 320.349 0.883 20 0 DCADLN CC(C)[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000332539227 528737725 /nfs/dbraw/zinc/73/77/25/528737725.db2.gz WOLFEBZMWGRTER-NSHDSACASA-N 0 2 318.333 0.933 20 0 DCADLN Cc1cc(S(=O)(=O)NCc2c[nH+]cn2C)ccc1C(=O)[O-] ZINC000357155042 546186769 /nfs/dbraw/zinc/18/67/69/546186769.db2.gz WMJLJRHFAABJAG-UHFFFAOYSA-N 0 2 309.347 0.905 20 0 DCADLN CNC(=O)C1(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CCC1 ZINC000592035087 546305441 /nfs/dbraw/zinc/30/54/41/546305441.db2.gz NEDHFMAVOQKWTB-UHFFFAOYSA-N 0 2 316.317 0.885 20 0 DCADLN Cn1[n-]c(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)cc1=O ZINC000665795660 546402754 /nfs/dbraw/zinc/40/27/54/546402754.db2.gz XZIOUILHRATHSP-UHFFFAOYSA-N 0 2 317.349 0.287 20 0 DCADLN Cc1ccn2cc(CNC(=O)N3CCO[C@H](C(=O)[O-])C3)[nH+]c2c1 ZINC000666521055 546479574 /nfs/dbraw/zinc/47/95/74/546479574.db2.gz RJNUIEALFNJHPW-LBPRGKRZSA-N 0 2 318.333 0.638 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000668170024 546704097 /nfs/dbraw/zinc/70/40/97/546704097.db2.gz AZHRQHBHDPAZKG-UHFFFAOYSA-N 0 2 320.349 0.769 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000668170024 546704102 /nfs/dbraw/zinc/70/41/02/546704102.db2.gz AZHRQHBHDPAZKG-UHFFFAOYSA-N 0 2 320.349 0.769 20 0 DCADLN CNC(=O)CCCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000668686524 546824554 /nfs/dbraw/zinc/82/45/54/546824554.db2.gz QEFAWCAECNBGHR-UHFFFAOYSA-N 0 2 304.306 0.286 20 0 DCADLN CCN1CC[C@H](NC(=O)c2c[nH]c3nc(C)ccc3c2=O)C1=O ZINC000670289498 547069822 /nfs/dbraw/zinc/06/98/22/547069822.db2.gz PRPVMKDEHCCEJM-LBPRGKRZSA-N 0 2 314.345 0.994 20 0 DCADLN C[C@@H](c1ccccc1)[C@@H](CO)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000673056990 547442078 /nfs/dbraw/zinc/44/20/78/547442078.db2.gz OSMUSXODIKVZMQ-GXSJLCMTSA-N 0 2 322.390 0.883 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)c1cn(-c2ccccc2)nn1 ZINC000673479644 547484346 /nfs/dbraw/zinc/48/43/46/547484346.db2.gz OKHLGGQRUUYZMM-UHFFFAOYSA-N 0 2 300.278 0.628 20 0 DCADLN Cc1cc(CNC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)[nH]n1 ZINC000673671000 547513484 /nfs/dbraw/zinc/51/34/84/547513484.db2.gz SERJELMJUIAHRU-UHFFFAOYSA-N 0 2 314.305 0.706 20 0 DCADLN NC(=O)C1(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CCC1 ZINC000673905068 547536175 /nfs/dbraw/zinc/53/61/75/547536175.db2.gz BHEMEMDKCNTECM-UHFFFAOYSA-N 0 2 302.290 0.624 20 0 DCADLN COC[C@H]1CCCN1C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000674590595 547609623 /nfs/dbraw/zinc/60/96/23/547609623.db2.gz KKUJTAWSSDZNHJ-SECBINFHSA-N 0 2 318.333 0.995 20 0 DCADLN O=C(NCc1cn[nH]n1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000674606524 547610947 /nfs/dbraw/zinc/61/09/47/547610947.db2.gz JSYICNZAIHGLFI-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN C[C@H](C(=O)NCc1ccc(F)cc1)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676232260 547748014 /nfs/dbraw/zinc/74/80/14/547748014.db2.gz ZASZTQAYHBJZFZ-MFKMUULPSA-N 0 2 310.325 0.616 20 0 DCADLN C[C@H](C(=O)NCc1ccc(F)cc1)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676232260 547748018 /nfs/dbraw/zinc/74/80/18/547748018.db2.gz ZASZTQAYHBJZFZ-MFKMUULPSA-N 0 2 310.325 0.616 20 0 DCADLN C[C@H](C(=O)N1CCc2ccccc21)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676232078 547748120 /nfs/dbraw/zinc/74/81/20/547748120.db2.gz RKYIITOPRKYMSR-RISCZKNCSA-N 0 2 304.346 0.750 20 0 DCADLN C[C@H](C(=O)N1CCc2ccccc21)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676232078 547748122 /nfs/dbraw/zinc/74/81/22/547748122.db2.gz RKYIITOPRKYMSR-RISCZKNCSA-N 0 2 304.346 0.750 20 0 DCADLN C[C@H](C(=O)NCc1ccccc1F)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231719 547748248 /nfs/dbraw/zinc/74/82/48/547748248.db2.gz LYOVOGZHAIXXLX-ZWNOBZJWSA-N 0 2 310.325 0.616 20 0 DCADLN C[C@H](C(=O)NCc1ccccc1F)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231719 547748249 /nfs/dbraw/zinc/74/82/49/547748249.db2.gz LYOVOGZHAIXXLX-ZWNOBZJWSA-N 0 2 310.325 0.616 20 0 DCADLN Cc1n[nH]c(C(=O)NC(C)(C)c2noc(C[NH+](C)C)n2)c1[O-] ZINC000676695311 547804734 /nfs/dbraw/zinc/80/47/34/547804734.db2.gz KCLGDQLRDJYBLE-UHFFFAOYSA-N 0 2 308.342 0.533 20 0 DCADLN Cc1cc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)ncn1 ZINC000677462166 547878559 /nfs/dbraw/zinc/87/85/59/547878559.db2.gz INMICTCBQRRSHH-UHFFFAOYSA-N 0 2 312.289 0.464 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cc(F)cc(F)c2)[nH]n1 ZINC000677644635 547894294 /nfs/dbraw/zinc/89/42/94/547894294.db2.gz BZILJDXNYLTJOZ-UHFFFAOYSA-N 0 2 302.262 0.588 20 0 DCADLN CC(=O)Nc1nc(C)c(S(=O)(=O)Nc2noc(C)n2)s1 ZINC000679204893 548033907 /nfs/dbraw/zinc/03/39/07/548033907.db2.gz TWMCYYNTRDHQKM-UHFFFAOYSA-N 0 2 317.352 0.902 20 0 DCADLN CC(C)(CNC(=O)c1cc(F)c(O)c(F)c1)NS(C)(=O)=O ZINC000679651824 548080508 /nfs/dbraw/zinc/08/05/08/548080508.db2.gz JCRNRNNMFFDRDE-UHFFFAOYSA-N 0 2 322.333 0.728 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(c3ncc(F)cn3)CC2)c1O ZINC000680612108 548163701 /nfs/dbraw/zinc/16/37/01/548163701.db2.gz IFRGYXTXSUPPRG-UHFFFAOYSA-N 0 2 306.301 0.315 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nc2cnoc2)c1 ZINC000681037885 548194753 /nfs/dbraw/zinc/19/47/53/548194753.db2.gz SIBKKCCMLFDHDE-UHFFFAOYSA-N 0 2 311.319 0.883 20 0 DCADLN CN1CC[C@H](C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)C1=O ZINC000681255460 548225124 /nfs/dbraw/zinc/22/51/24/548225124.db2.gz KJDHPUCDEQWLHY-SNVBAGLBSA-N 0 2 302.290 0.447 20 0 DCADLN Cc1nncn1-c1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000682832777 548429552 /nfs/dbraw/zinc/42/95/52/548429552.db2.gz ILNIXLJFHOZQKT-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN Cn1cnc(-c2ccc(NS(=O)(=O)c3cnnn3C)cc2)n1 ZINC000682832778 548429738 /nfs/dbraw/zinc/42/97/38/548429738.db2.gz INAUGKKNWHMQNL-UHFFFAOYSA-N 0 2 319.350 0.411 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ncc(Br)s1 ZINC000683410523 548480053 /nfs/dbraw/zinc/48/00/53/548480053.db2.gz VQCRLPWXVNRLOD-UHFFFAOYSA-N 0 2 304.129 0.659 20 0 DCADLN CN1C(=O)COc2cc(NC(=O)NCc3n[nH]c(=O)[nH]3)ccc21 ZINC000685023164 548674964 /nfs/dbraw/zinc/67/49/64/548674964.db2.gz VTDFOZZSMVKVOV-UHFFFAOYSA-N 0 2 318.293 0.187 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000739631606 596904384 /nfs/dbraw/zinc/90/43/84/596904384.db2.gz OIRKCLBCOAMDJM-UHFFFAOYSA-N 0 2 302.334 0.505 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000739631606 596904386 /nfs/dbraw/zinc/90/43/86/596904386.db2.gz OIRKCLBCOAMDJM-UHFFFAOYSA-N 0 2 302.334 0.505 20 0 DCADLN C[C@@H](NC(=O)NCCSCC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000736646511 599905716 /nfs/dbraw/zinc/90/57/16/599905716.db2.gz LGSUWRQIJSCRSF-MNOVXSKESA-N 0 2 319.427 0.213 20 0 DCADLN Cn1cc(C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)c(-c2ccncc2)n1 ZINC000821287127 598125086 /nfs/dbraw/zinc/12/50/86/598125086.db2.gz FMCNXNYFAXRVPM-CYBMUJFWSA-N 0 2 302.334 0.768 20 0 DCADLN Cn1cc(C[N@H+]2CCO[C@@H](C(=O)[O-])C2)c(-c2ccncc2)n1 ZINC000821287127 598125088 /nfs/dbraw/zinc/12/50/88/598125088.db2.gz FMCNXNYFAXRVPM-CYBMUJFWSA-N 0 2 302.334 0.768 20 0 DCADLN Cc1[nH]c(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c(C)c1C(=O)[O-] ZINC000738156215 599755381 /nfs/dbraw/zinc/75/53/81/599755381.db2.gz QHDCSVWJDLEEGF-VIFPVBQESA-N 0 2 309.366 0.780 20 0 DCADLN Cc1[nH]c(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c(C)c1C(=O)[O-] ZINC000738156215 599755382 /nfs/dbraw/zinc/75/53/82/599755382.db2.gz QHDCSVWJDLEEGF-VIFPVBQESA-N 0 2 309.366 0.780 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000319803400 599777214 /nfs/dbraw/zinc/77/72/14/599777214.db2.gz JIJALVBLSWECKS-LBPRGKRZSA-N 0 2 318.289 0.673 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000319803400 599777218 /nfs/dbraw/zinc/77/72/18/599777218.db2.gz JIJALVBLSWECKS-LBPRGKRZSA-N 0 2 318.289 0.673 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CCOc1ccccc1 ZINC000170582777 599777314 /nfs/dbraw/zinc/77/73/14/599777314.db2.gz MBZKSTTZOBZLDW-ZDUSSCGKSA-N 0 2 303.318 0.991 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CCOc1ccccc1 ZINC000170582777 599777317 /nfs/dbraw/zinc/77/73/17/599777317.db2.gz MBZKSTTZOBZLDW-ZDUSSCGKSA-N 0 2 303.318 0.991 20 0 DCADLN COc1ccc([C@H]([NH2+]CC(=O)N2CCOCC2)C(=O)[O-])cc1 ZINC000737971922 599857068 /nfs/dbraw/zinc/85/70/68/599857068.db2.gz LTFRSJWNOWAVRL-AWEZNQCLSA-N 0 2 308.334 0.269 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1cccc(C(=O)[O-])n1 ZINC000736737322 599869410 /nfs/dbraw/zinc/86/94/10/599869410.db2.gz PYMCEPMESOSREU-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)c1cccc(C(=O)[O-])n1 ZINC000736737322 599869411 /nfs/dbraw/zinc/86/94/11/599869411.db2.gz PYMCEPMESOSREU-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN Cc1nc(C[C@H]2CCC[N@H+](Cn3cc(C(=O)[O-])nn3)C2)no1 ZINC000738850953 599958283 /nfs/dbraw/zinc/95/82/83/599958283.db2.gz GIGXXQZCNOFQMO-SNVBAGLBSA-N 0 2 306.326 0.580 20 0 DCADLN Cc1nc(C[C@H]2CCC[N@@H+](Cn3cc(C(=O)[O-])nn3)C2)no1 ZINC000738850953 599958284 /nfs/dbraw/zinc/95/82/84/599958284.db2.gz GIGXXQZCNOFQMO-SNVBAGLBSA-N 0 2 306.326 0.580 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NC1CCC(C(=O)[O-])CC1 ZINC000315054212 600015410 /nfs/dbraw/zinc/01/54/10/600015410.db2.gz YTJURCDYHQJOGT-HIFPTAJRSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@H]2CCCC[C@@H]21 ZINC000740056456 600139404 /nfs/dbraw/zinc/13/94/04/600139404.db2.gz DYBUVADTVHALSY-MQYQWHSLSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@H]2CCCC[C@@H]21 ZINC000740056456 600139406 /nfs/dbraw/zinc/13/94/06/600139406.db2.gz DYBUVADTVHALSY-MQYQWHSLSA-N 0 2 310.394 0.687 20 0 DCADLN C[C@@H](c1ccc(F)cc1)N(C)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736718231 600139733 /nfs/dbraw/zinc/13/97/33/600139733.db2.gz ROVNCOAVJHVVSC-GDLCADMTSA-N 0 2 324.352 0.865 20 0 DCADLN C[C@@H](c1ccc(F)cc1)N(C)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736718231 600139736 /nfs/dbraw/zinc/13/97/36/600139736.db2.gz ROVNCOAVJHVVSC-GDLCADMTSA-N 0 2 324.352 0.865 20 0 DCADLN CC(C)[C@@H](CNS(=O)(=O)CCCC(=O)[O-])[NH+]1CCOCC1 ZINC000736358227 600401480 /nfs/dbraw/zinc/40/14/80/600401480.db2.gz GPICEIJISMYEFB-GFCCVEGCSA-N 0 2 322.427 0.127 20 0 DCADLN C[N@H+](CCN1CCOCC1)CC(=O)Nc1ccccc1C(=O)[O-] ZINC000737441425 600441958 /nfs/dbraw/zinc/44/19/58/600441958.db2.gz ODZPZHXQGZICMD-UHFFFAOYSA-N 0 2 321.377 0.587 20 0 DCADLN C[N@@H+](CCN1CCOCC1)CC(=O)Nc1ccccc1C(=O)[O-] ZINC000737441425 600441959 /nfs/dbraw/zinc/44/19/59/600441959.db2.gz ODZPZHXQGZICMD-UHFFFAOYSA-N 0 2 321.377 0.587 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)Nc2ccc3[nH]nc(C(=O)[O-])c3c2)C1 ZINC000830960529 600883142 /nfs/dbraw/zinc/88/31/42/600883142.db2.gz QEMNUUCZOWOIBX-LLVKDONJSA-N 0 2 304.306 0.530 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)Nc2ccc3[nH]nc(C(=O)[O-])c3c2)C1 ZINC000830960529 600883143 /nfs/dbraw/zinc/88/31/43/600883143.db2.gz QEMNUUCZOWOIBX-LLVKDONJSA-N 0 2 304.306 0.530 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCC2(C1)OCCO2 ZINC000736206902 600952430 /nfs/dbraw/zinc/95/24/30/600952430.db2.gz ZTZSYPARNSKKEC-LLVKDONJSA-N 0 2 312.366 0.147 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCC2(C1)OCCO2 ZINC000736206902 600952431 /nfs/dbraw/zinc/95/24/31/600952431.db2.gz ZTZSYPARNSKKEC-LLVKDONJSA-N 0 2 312.366 0.147 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)C1 ZINC000387252936 600972389 /nfs/dbraw/zinc/97/23/89/600972389.db2.gz MHYFIZAXJWOSFX-BFHYXJOUSA-N 0 2 310.394 0.811 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+](C)Cc1nccn1C(F)F ZINC000737412658 600976987 /nfs/dbraw/zinc/97/69/87/600976987.db2.gz SWSMVOMGUCAROP-UHFFFAOYSA-N 0 2 304.297 0.643 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+](C)Cc1nccn1C(F)F ZINC000737412658 600976990 /nfs/dbraw/zinc/97/69/90/600976990.db2.gz SWSMVOMGUCAROP-UHFFFAOYSA-N 0 2 304.297 0.643 20 0 DCADLN O=C([O-])CCCNC(=S)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000739677725 600993491 /nfs/dbraw/zinc/99/34/91/600993491.db2.gz KEYYSPZBCSPFGN-LLVKDONJSA-N 0 2 301.412 0.132 20 0 DCADLN C/C(=C\C[N@@H+]1CCc2ncnc(N3CCOCC3)c2C1)C(=O)[O-] ZINC000825745595 601161269 /nfs/dbraw/zinc/16/12/69/601161269.db2.gz AKSGIJYDWBTZFG-SWGQDTFXSA-N 0 2 318.377 0.702 20 0 DCADLN C/C(=C\C[N@H+]1CCc2ncnc(N3CCOCC3)c2C1)C(=O)[O-] ZINC000825745595 601161271 /nfs/dbraw/zinc/16/12/71/601161271.db2.gz AKSGIJYDWBTZFG-SWGQDTFXSA-N 0 2 318.377 0.702 20 0 DCADLN O=C([O-])c1cn(CC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)nn1 ZINC000833340775 601281897 /nfs/dbraw/zinc/28/18/97/601281897.db2.gz WJFXJIFBCRVMQX-SNVBAGLBSA-N 0 2 304.310 0.037 20 0 DCADLN CN(C[C@@H](O)C[NH+]1CCOCC1)C(=O)c1cccc(C(=O)[O-])c1 ZINC000820574299 601294757 /nfs/dbraw/zinc/29/47/57/601294757.db2.gz OEGGIFIJBKIZCD-CQSZACIVSA-N 0 2 322.361 0.150 20 0 DCADLN O=C([O-])[C@H]1CCCC[N@@H+]1CC(=O)NC(=O)NCc1ccco1 ZINC000386123962 601575827 /nfs/dbraw/zinc/57/58/27/601575827.db2.gz BGFCCCOIWGNSJI-LLVKDONJSA-N 0 2 309.322 0.545 20 0 DCADLN O=C([O-])[C@H]1CCCC[N@H+]1CC(=O)NC(=O)NCc1ccco1 ZINC000386123962 601575830 /nfs/dbraw/zinc/57/58/30/601575830.db2.gz BGFCCCOIWGNSJI-LLVKDONJSA-N 0 2 309.322 0.545 20 0 DCADLN CC(=O)N1CCN(C(=O)[C@H](C)n2cc(C(=O)[O-])[nH+]c2C)CC1 ZINC000825840459 601636933 /nfs/dbraw/zinc/63/69/33/601636933.db2.gz KUEMPHRKRVDAQU-VIFPVBQESA-N 0 2 308.338 0.141 20 0 DCADLN C[C@H]1CN(C(=O)NCC(C)(C)[NH+]2CCOCC2)C[C@H]1C(=O)[O-] ZINC000828355574 601640897 /nfs/dbraw/zinc/64/08/97/601640897.db2.gz YRTVDZQAVCIGKA-NWDGAFQWSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H](C(=O)[O-])[C@@H]([NH2+]Cc1nc(N)nc(N)n1)c1ccccc1 ZINC000826055374 601657912 /nfs/dbraw/zinc/65/79/12/601657912.db2.gz ZNYRNLQNNCTUEL-LDYMZIIASA-N 0 2 302.338 0.588 20 0 DCADLN O=C([O-])C[C@H]1CN(C(=O)NCCCCn2cc[nH+]c2)CCO1 ZINC000316660508 601768864 /nfs/dbraw/zinc/76/88/64/601768864.db2.gz BASPQNXIGFJEDB-LBPRGKRZSA-N 0 2 310.354 0.548 20 0 DCADLN CC(=O)N[C@H]1CCCN(C(=O)Cn2cc(C(=O)[O-])[nH+]c2C)C1 ZINC000825871862 601902005 /nfs/dbraw/zinc/90/20/05/601902005.db2.gz YPANVOYRHNIIHP-NSHDSACASA-N 0 2 308.338 0.017 20 0 DCADLN O=C([O-])C[C@@H]1CN(C(=O)NCc2ccn3cc[nH+]c3c2)CCO1 ZINC000833217511 602402102 /nfs/dbraw/zinc/40/21/02/602402102.db2.gz HXJKAZWACIYZHW-GFCCVEGCSA-N 0 2 318.333 0.719 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736820619 602616796 /nfs/dbraw/zinc/61/67/96/602616796.db2.gz CGKLSXAAMDNADC-NSHDSACASA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736820619 602616799 /nfs/dbraw/zinc/61/67/99/602616799.db2.gz CGKLSXAAMDNADC-NSHDSACASA-N 0 2 314.386 0.149 20 0 DCADLN COc1ccnc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000829649851 603519232 /nfs/dbraw/zinc/51/92/32/603519232.db2.gz LRVPEYQHXJIWOF-SNVBAGLBSA-N 0 2 308.338 0.114 20 0 DCADLN COc1ccnc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000829649851 603519236 /nfs/dbraw/zinc/51/92/36/603519236.db2.gz LRVPEYQHXJIWOF-SNVBAGLBSA-N 0 2 308.338 0.114 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)CCOCC1CC1 ZINC000828312699 603534631 /nfs/dbraw/zinc/53/46/31/603534631.db2.gz QILGGGWRUQUCGD-UHFFFAOYSA-N 0 2 313.398 0.557 20 0 DCADLN CC(=O)CCN1CCN(c2nccnc2-c2nn[nH]n2)C[C@@H]1C ZINC000823967901 607639720 /nfs/dbraw/zinc/63/97/20/607639720.db2.gz SMAXAZDEUOZGQU-JTQLQIEISA-N 0 2 316.369 0.146 20 0 DCADLN CC(C)(CNC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000823956200 603614668 /nfs/dbraw/zinc/61/46/68/603614668.db2.gz KZDFORWUUZLKPY-UHFFFAOYSA-N 0 2 301.387 0.507 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000831273421 603713088 /nfs/dbraw/zinc/71/30/88/603713088.db2.gz QLUMDZYQFOAXIS-QWRGUYRKSA-N 0 2 308.338 0.229 20 0 DCADLN Cc1cn2cc(NC(=O)[C@@H]3C[C@H](O)CN3C(=O)[O-])ccc2[nH+]1 ZINC000830619823 603731295 /nfs/dbraw/zinc/73/12/95/603731295.db2.gz HWSZRGRUWOJFDH-QWRGUYRKSA-N 0 2 304.306 0.694 20 0 DCADLN CCCC[C@@H](CNC(=O)[O-])NC(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000826796188 603853464 /nfs/dbraw/zinc/85/34/64/603853464.db2.gz UPEXQPHBKYICEI-RYUDHWBXSA-N 0 2 316.402 0.443 20 0 DCADLN CCCC[C@@H](CNC(=O)[O-])NC(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000826796188 603853468 /nfs/dbraw/zinc/85/34/68/603853468.db2.gz UPEXQPHBKYICEI-RYUDHWBXSA-N 0 2 316.402 0.443 20 0 DCADLN CC(C)C[C@@H]1NC(=O)N(C[N@@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000824231043 603915180 /nfs/dbraw/zinc/91/51/80/603915180.db2.gz CHEFFEBWJPUOJS-MNOVXSKESA-N 0 2 312.370 0.595 20 0 DCADLN CC(C)C[C@@H]1NC(=O)N(C[N@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000824231043 603915184 /nfs/dbraw/zinc/91/51/84/603915184.db2.gz CHEFFEBWJPUOJS-MNOVXSKESA-N 0 2 312.370 0.595 20 0 DCADLN CC(C)C[C@H]1NC(=O)N(C[N@@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000824231045 603915219 /nfs/dbraw/zinc/91/52/19/603915219.db2.gz CHEFFEBWJPUOJS-WDEREUQCSA-N 0 2 312.370 0.595 20 0 DCADLN CC(C)C[C@H]1NC(=O)N(C[N@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000824231045 603915223 /nfs/dbraw/zinc/91/52/23/603915223.db2.gz CHEFFEBWJPUOJS-WDEREUQCSA-N 0 2 312.370 0.595 20 0 DCADLN C[C@H](c1ccccn1)[N@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000825645246 603960846 /nfs/dbraw/zinc/96/08/46/603960846.db2.gz MXHYCXNPJSDYGQ-GFCCVEGCSA-N 0 2 306.366 0.897 20 0 DCADLN C[C@H](c1ccccn1)[N@@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000825645246 603960849 /nfs/dbraw/zinc/96/08/49/603960849.db2.gz MXHYCXNPJSDYGQ-GFCCVEGCSA-N 0 2 306.366 0.897 20 0 DCADLN C[C@H](CNC(=O)NCC(C)(C)NC(=O)[O-])[NH+]1CCOCC1 ZINC000824939699 603991103 /nfs/dbraw/zinc/99/11/03/603991103.db2.gz OCCDJQYDVMHHHH-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN CC[C@H](O)CCCNC(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000826627031 604024709 /nfs/dbraw/zinc/02/47/09/604024709.db2.gz ZZGDTQMYHMXMDR-LBPRGKRZSA-N 0 2 315.414 0.728 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@@H+]3CCC[C@@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073685 604034528 /nfs/dbraw/zinc/03/45/28/604034528.db2.gz VMHYGADNXWIKFF-VXGBXAGGSA-N 0 2 321.381 0.566 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@H+]3CCC[C@@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073685 604034532 /nfs/dbraw/zinc/03/45/32/604034532.db2.gz VMHYGADNXWIKFF-VXGBXAGGSA-N 0 2 321.381 0.566 20 0 DCADLN C[C@H]1CCN(C(=O)COCCNC(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000825937257 604324318 /nfs/dbraw/zinc/32/43/18/604324318.db2.gz HYVNUEWIHAKMBI-RYUDHWBXSA-N 0 2 310.354 0.577 20 0 DCADLN C[C@]1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CCN(C(=O)[O-])C1 ZINC000825656937 604344867 /nfs/dbraw/zinc/34/48/67/604344867.db2.gz NKIDYTSXLSXDQQ-DOMZBBRYSA-N 0 2 311.382 0.310 20 0 DCADLN C[C@H](c1nc(C2CC2)no1)N1CC[NH+](CCNC(=O)[O-])CC1 ZINC000825644424 604364459 /nfs/dbraw/zinc/36/44/59/604364459.db2.gz DNZPLXQFYXAPGA-SNVBAGLBSA-N 0 2 309.370 0.893 20 0 DCADLN Cn1c(CO)nn(C[N@@H+]2CCCC[C@@H]2CC(=O)[O-])c1=S ZINC000833039841 604399539 /nfs/dbraw/zinc/39/95/39/604399539.db2.gz NHDKBFXDTDXWRD-SECBINFHSA-N 0 2 300.384 0.730 20 0 DCADLN Cn1c(CO)nn(C[N@H+]2CCCC[C@@H]2CC(=O)[O-])c1=S ZINC000833039841 604399542 /nfs/dbraw/zinc/39/95/42/604399542.db2.gz NHDKBFXDTDXWRD-SECBINFHSA-N 0 2 300.384 0.730 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2COc3ccccc32)[C@H](CNC(=O)[O-])C1 ZINC000828493904 604402107 /nfs/dbraw/zinc/40/21/07/604402107.db2.gz FBKUPTJHZQBARO-DGCLKSJQSA-N 0 2 319.361 0.573 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2COc3ccccc32)[C@H](CNC(=O)[O-])C1 ZINC000828493904 604402110 /nfs/dbraw/zinc/40/21/10/604402110.db2.gz FBKUPTJHZQBARO-DGCLKSJQSA-N 0 2 319.361 0.573 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2CC2(Cl)Cl)[C@@H](CNC(=O)[O-])C1 ZINC000828494561 604406472 /nfs/dbraw/zinc/40/64/72/604406472.db2.gz KWITVYFWKWAJRF-YUMQZZPRSA-N 0 2 310.181 0.590 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2CC2(Cl)Cl)[C@@H](CNC(=O)[O-])C1 ZINC000828494561 604406474 /nfs/dbraw/zinc/40/64/74/604406474.db2.gz KWITVYFWKWAJRF-YUMQZZPRSA-N 0 2 310.181 0.590 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2CCCc3n[nH]cc32)[C@@H](CNC(=O)[O-])C1 ZINC000828496499 604411634 /nfs/dbraw/zinc/41/16/34/604411634.db2.gz XMLDXQVTKGMPKH-WDEREUQCSA-N 0 2 321.381 0.240 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2CCCc3n[nH]cc32)[C@@H](CNC(=O)[O-])C1 ZINC000828496499 604411635 /nfs/dbraw/zinc/41/16/35/604411635.db2.gz XMLDXQVTKGMPKH-WDEREUQCSA-N 0 2 321.381 0.240 20 0 DCADLN C[N@@H+]1CCN(C(=O)CC[C@@H]2CCCCO2)[C@H](CNC(=O)[O-])C1 ZINC000828493917 604411832 /nfs/dbraw/zinc/41/18/32/604411832.db2.gz FNBXDLVGHBQTAM-OLZOCXBDSA-N 0 2 313.398 0.746 20 0 DCADLN C[N@H+]1CCN(C(=O)CC[C@@H]2CCCCO2)[C@H](CNC(=O)[O-])C1 ZINC000828493917 604411834 /nfs/dbraw/zinc/41/18/34/604411834.db2.gz FNBXDLVGHBQTAM-OLZOCXBDSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@H](CCCO)NC(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000824778169 604414091 /nfs/dbraw/zinc/41/40/91/604414091.db2.gz TYCFPSRYQQELCE-NSHDSACASA-N 0 2 301.387 0.338 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)N[C@H]2CCC(F)(F)C2)CC1 ZINC000831688472 604436198 /nfs/dbraw/zinc/43/61/98/604436198.db2.gz CWUKIBMXZDLPHX-JTQLQIEISA-N 0 2 320.340 0.769 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[NH+]1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000826001172 604498912 /nfs/dbraw/zinc/49/89/12/604498912.db2.gz SZXOMJVSBJMDKU-OIBJUYFYSA-N 0 2 312.288 0.211 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[NH+]1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000826001170 604499229 /nfs/dbraw/zinc/49/92/29/604499229.db2.gz SZXOMJVSBJMDKU-GMSGAONNSA-N 0 2 312.288 0.211 20 0 DCADLN C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833629985 604553083 /nfs/dbraw/zinc/55/30/83/604553083.db2.gz CEGJDMNHRQMGOX-IUCAKERBSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833629985 604553085 /nfs/dbraw/zinc/55/30/85/604553085.db2.gz CEGJDMNHRQMGOX-IUCAKERBSA-N 0 2 302.352 0.691 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)CCCC(=O)[O-])C1 ZINC000833760054 604567649 /nfs/dbraw/zinc/56/76/49/604567649.db2.gz KTZVMYCKYDRWBD-SNVBAGLBSA-N 0 2 301.368 0.404 20 0 DCADLN O=C([O-])N1CC(NC(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000831704309 604573805 /nfs/dbraw/zinc/57/38/05/604573805.db2.gz LBCVCUPPTKAYRT-UHFFFAOYSA-N 0 2 307.354 0.423 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1CN(C(=O)CCCn2cc[nH+]c2)CCO1 ZINC000825304276 604701751 /nfs/dbraw/zinc/70/17/51/604701751.db2.gz ZOAXZCFZIIZNFP-VXGBXAGGSA-N 0 2 310.354 0.547 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000833183959 604744591 /nfs/dbraw/zinc/74/45/91/604744591.db2.gz BEIKASIPPWEMRW-MGPQQGTHSA-N 0 2 310.394 0.811 20 0 DCADLN CC(C)(C)[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CN(C(=O)[O-])CCO1 ZINC000823760130 604745017 /nfs/dbraw/zinc/74/50/17/604745017.db2.gz OPFFWGSHKIKCTG-NWDGAFQWSA-N 0 2 324.381 0.793 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C)C1 ZINC000826001300 604758688 /nfs/dbraw/zinc/75/86/88/604758688.db2.gz VLBNAPKERAKIMH-QWRGUYRKSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@H](NC(=O)C(C)(C)C)C1 ZINC000826001300 604758689 /nfs/dbraw/zinc/75/86/89/604758689.db2.gz VLBNAPKERAKIMH-QWRGUYRKSA-N 0 2 313.398 0.202 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)C1 ZINC000832049901 604794258 /nfs/dbraw/zinc/79/42/58/604794258.db2.gz KSJFXKGYBANPIT-NSHDSACASA-N 0 2 308.338 0.495 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2cc(C(=O)[O-])co2)CC[N@H+]1C ZINC000833588484 604801654 /nfs/dbraw/zinc/80/16/54/604801654.db2.gz PUKNDLZADIVIIZ-BDAKNGLRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2cc(C(=O)[O-])co2)CC[N@@H+]1C ZINC000833588484 604801656 /nfs/dbraw/zinc/80/16/56/604801656.db2.gz PUKNDLZADIVIIZ-BDAKNGLRSA-N 0 2 302.352 0.691 20 0 DCADLN Cn1nnc2cc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])ccc21 ZINC000833759686 604897983 /nfs/dbraw/zinc/89/79/83/604897983.db2.gz QMTVZEALWVTEMY-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN Cn1nnc2cc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])ccc21 ZINC000833759686 604897985 /nfs/dbraw/zinc/89/79/85/604897985.db2.gz QMTVZEALWVTEMY-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN Cc1[nH]c(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)c(C)c1C(=O)[O-] ZINC000833740396 604912718 /nfs/dbraw/zinc/91/27/18/604912718.db2.gz RNSMKUCTJVWVPA-LLVKDONJSA-N 0 2 306.366 0.059 20 0 DCADLN C[C@@H](C(N)=O)[N@H+](C)[C@@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000833408558 604972811 /nfs/dbraw/zinc/97/28/11/604972811.db2.gz UKIIEGMBNSYIFO-UWVGGRQHSA-N 0 2 307.350 0.195 20 0 DCADLN C[C@@H](C(N)=O)[N@@H+](C)[C@@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000833408558 604972813 /nfs/dbraw/zinc/97/28/13/604972813.db2.gz UKIIEGMBNSYIFO-UWVGGRQHSA-N 0 2 307.350 0.195 20 0 DCADLN O=C(CO[C@H]1CCCN(C(=O)[O-])C1)N[C@H]1CCc2[nH+]ccn2C1 ZINC000831514602 605282537 /nfs/dbraw/zinc/28/25/37/605282537.db2.gz YMDSHBBWAZIZBO-RYUDHWBXSA-N 0 2 322.365 0.473 20 0 DCADLN O=C([O-])N1CC(OCCC(=O)N2CC(Oc3cc[nH+]cc3)C2)C1 ZINC000834070346 605307457 /nfs/dbraw/zinc/30/74/57/605307457.db2.gz VXFDAXFUYAASHF-UHFFFAOYSA-N 0 2 321.333 0.440 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)CCOC1CN(C(=O)[O-])C1)C2 ZINC000833915032 605308999 /nfs/dbraw/zinc/30/89/99/605308999.db2.gz IJQXUCSEYDGFCA-UHFFFAOYSA-N 0 2 322.365 0.611 20 0 DCADLN O=C([O-])N1CCC[N@H+](CC(=O)N2CCOC[C@@H]2C2CC2)CC1 ZINC000834103764 605329520 /nfs/dbraw/zinc/32/95/20/605329520.db2.gz LWCGOPLGXONMBJ-CYBMUJFWSA-N 0 2 311.382 0.310 20 0 DCADLN O=C([O-])N1CCC[N@@H+](CC(=O)N2CCOC[C@@H]2C2CC2)CC1 ZINC000834103764 605329526 /nfs/dbraw/zinc/32/95/26/605329526.db2.gz LWCGOPLGXONMBJ-CYBMUJFWSA-N 0 2 311.382 0.310 20 0 DCADLN O=C([O-])N1CC[C@@H]([NH+]2CCN(C(=O)c3ccccn3)CC2)C1 ZINC000834082399 605584063 /nfs/dbraw/zinc/58/40/63/605584063.db2.gz DIXLKQFZDPQGHX-GFCCVEGCSA-N 0 2 304.350 0.592 20 0 DCADLN O=C([O-])NC1CCN(C(=O)C2([NH+]3CCOCC3)CCC2)CC1 ZINC000834185035 605692084 /nfs/dbraw/zinc/69/20/84/605692084.db2.gz KKSQMLHWSWHPHG-UHFFFAOYSA-N 0 2 311.382 0.500 20 0 DCADLN CC(C)(C)[C@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000823846362 605696738 /nfs/dbraw/zinc/69/67/38/605696738.db2.gz GNUPRSHBGJDNAT-LLVKDONJSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)(C)[C@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000823846362 605696740 /nfs/dbraw/zinc/69/67/40/605696740.db2.gz GNUPRSHBGJDNAT-LLVKDONJSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000736421796 605701776 /nfs/dbraw/zinc/70/17/76/605701776.db2.gz NBASMICCPZISFL-UPJWGTAASA-N 0 2 315.414 0.894 20 0 DCADLN O=C([O-])N1CC[C@@H](NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834014578 605964901 /nfs/dbraw/zinc/96/49/01/605964901.db2.gz DGQTWLNUAYQBDP-NWDGAFQWSA-N 0 2 319.365 0.712 20 0 DCADLN Cc1ocnc1CNC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000833938250 605971562 /nfs/dbraw/zinc/97/15/62/605971562.db2.gz IWLCZONVKPKKKZ-JTQLQIEISA-N 0 2 311.342 0.076 20 0 DCADLN Cc1ocnc1CNC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000833938250 605971563 /nfs/dbraw/zinc/97/15/63/605971563.db2.gz IWLCZONVKPKKKZ-JTQLQIEISA-N 0 2 311.342 0.076 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(CN1C[C@@H](NC(=O)[O-])CC1=O)C2 ZINC000833915263 605995784 /nfs/dbraw/zinc/99/57/84/605995784.db2.gz LLHZFIHPMFDRRV-NSHDSACASA-N 0 2 307.354 0.142 20 0 DCADLN O=C([O-])N[C@H]1CC(=O)N(C[N@@H+]2CCC[C@H](n3ccnc3)C2)C1 ZINC000834157696 605995894 /nfs/dbraw/zinc/99/58/94/605995894.db2.gz LKZUWHLITOTSAZ-RYUDHWBXSA-N 0 2 307.354 0.346 20 0 DCADLN O=C([O-])N[C@H]1CC(=O)N(C[N@H+]2CCC[C@H](n3ccnc3)C2)C1 ZINC000834157696 605995896 /nfs/dbraw/zinc/99/58/96/605995896.db2.gz LKZUWHLITOTSAZ-RYUDHWBXSA-N 0 2 307.354 0.346 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](CCn2nc3ccccn3c2=O)CCN1C(=O)[O-] ZINC000833826907 606040577 /nfs/dbraw/zinc/04/05/77/606040577.db2.gz IYNGYTJQIQTKNA-VXGBXAGGSA-N 0 2 319.365 0.569 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](CCn2nc3ccccn3c2=O)CCN1C(=O)[O-] ZINC000833826907 606040583 /nfs/dbraw/zinc/04/05/83/606040583.db2.gz IYNGYTJQIQTKNA-VXGBXAGGSA-N 0 2 319.365 0.569 20 0 DCADLN O=C([O-])N[C@@H]1CCC[C@@H]2CN(C(=O)NCCn3cc[nH+]c3)C[C@H]21 ZINC000834177736 606073647 /nfs/dbraw/zinc/07/36/47/606073647.db2.gz HHSNKUXCGABVAJ-JHJVBQTASA-N 0 2 321.381 0.961 20 0 DCADLN CS[C@@H](C)CC(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000833893802 606100992 /nfs/dbraw/zinc/10/09/92/606100992.db2.gz SHZWVTIUYBOXRL-NSHDSACASA-N 0 2 303.428 0.882 20 0 DCADLN O=C(C=Cc1ccccc1-c1nn[nH]n1)OCCc1cnn[nH]1 ZINC000822935829 606180474 /nfs/dbraw/zinc/18/04/74/606180474.db2.gz ORHBKVVVWMPTSZ-WAYWQWQTSA-N 0 2 311.305 0.784 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)N1CCC1 ZINC000822005693 606295530 /nfs/dbraw/zinc/29/55/30/606295530.db2.gz UDZLOBFOABGDOB-UHFFFAOYSA-N 0 2 302.338 0.749 20 0 DCADLN COc1ccc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)cn1 ZINC000821827718 606637784 /nfs/dbraw/zinc/63/77/84/606637784.db2.gz JKLPGPAGYUCFJZ-UHFFFAOYSA-N 0 2 313.321 0.492 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2c(F)cccc2F)c1=O ZINC000826356667 609515209 /nfs/dbraw/zinc/51/52/09/609515209.db2.gz AJURIINVRDEIPA-UHFFFAOYSA-N 0 2 320.259 0.054 20 0 DCADLN COc1ccccc1C[C@H](CNC(=O)Cn1cc[nH+]c1)C(=O)[O-] ZINC000909384837 660480853 /nfs/dbraw/zinc/48/08/53/660480853.db2.gz NRDKZARZHBFPTC-CYBMUJFWSA-N 0 2 317.345 0.951 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](CNC(=O)[C@H]2CCOC2)C1 ZINC000979549204 660775441 /nfs/dbraw/zinc/77/54/41/660775441.db2.gz SPAPJIFRHDNBMM-AVGNSLFASA-N 0 2 320.393 0.308 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)cn1 ZINC001027842437 660827673 /nfs/dbraw/zinc/82/76/73/660827673.db2.gz VAPAFFGSKIVDGR-SNVBAGLBSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1noc(C[NH2+]C[C@@H]2C[C@@H](C)N(C(=O)Cc3ccn[nH]3)C2)n1 ZINC000980460290 660944470 /nfs/dbraw/zinc/94/44/70/660944470.db2.gz HVHVZDTVUIJZDY-PWSUYJOCSA-N 0 2 318.381 0.670 20 0 DCADLN C[C@H]1C[C@@H](C[NH2+]Cc2cnns2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC000980707893 660988494 /nfs/dbraw/zinc/98/84/94/660988494.db2.gz HSUOMDMYKXKUBL-QWRGUYRKSA-N 0 2 320.422 0.831 20 0 DCADLN O=C([C@H]1[C@@H]2CCCC[C@@H]21)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980907246 661044253 /nfs/dbraw/zinc/04/42/53/661044253.db2.gz RWVGGCXVIZEGDI-IMRBUKKESA-N 0 2 319.409 0.981 20 0 DCADLN Cc1ccn(C)c1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981051562 661070279 /nfs/dbraw/zinc/07/02/79/661070279.db2.gz HFVGOUHCGQTZAW-UHFFFAOYSA-N 0 2 318.381 0.505 20 0 DCADLN O=C(c1ccns1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981266858 661123286 /nfs/dbraw/zinc/12/32/86/661123286.db2.gz OVVLBCJWDMHUDO-UHFFFAOYSA-N 0 2 308.367 0.315 20 0 DCADLN C[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCC1 ZINC000981425707 661151557 /nfs/dbraw/zinc/15/15/57/661151557.db2.gz PNOYAWZBYWUWLF-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN O=C(C1CCC1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981572800 661173639 /nfs/dbraw/zinc/17/36/39/661173639.db2.gz DUQOEDRFURHINF-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN O=C(C1CCC1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981572800 661173640 /nfs/dbraw/zinc/17/36/40/661173640.db2.gz DUQOEDRFURHINF-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN CO[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCC1 ZINC000981701618 661200752 /nfs/dbraw/zinc/20/07/52/661200752.db2.gz LNROALUNGKZRTI-ZDUSSCGKSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cocc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981770537 661215753 /nfs/dbraw/zinc/21/57/53/661215753.db2.gz OBNDBDSFWBIFIM-UHFFFAOYSA-N 0 2 305.338 0.760 20 0 DCADLN CCc1ncoc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028125482 661220840 /nfs/dbraw/zinc/22/08/40/661220840.db2.gz RTPUXKJVPLRYBX-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN C[C@H]1COCC[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981903988 661243605 /nfs/dbraw/zinc/24/36/05/661243605.db2.gz BHGDMNZHPURVNM-RYUDHWBXSA-N 0 2 323.397 0.217 20 0 DCADLN CCc1oncc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028175043 661258252 /nfs/dbraw/zinc/25/82/52/661258252.db2.gz ZYDPMPUCUAVXPC-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CN(C(=O)O[C@H]2CCOC2)C[C@H]1C(=O)[O-] ZINC000926486369 657479620 /nfs/dbraw/zinc/47/96/20/657479620.db2.gz OFMJSQLHRFPENY-HBNTYKKESA-N 0 2 309.322 0.446 20 0 DCADLN C[C@@H](NC(=O)c1ccccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969224971 657597926 /nfs/dbraw/zinc/59/79/26/657597926.db2.gz PDLKDIKHPHYMRV-SNVBAGLBSA-N 0 2 301.350 0.761 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1C[C@H]1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970563809 657635498 /nfs/dbraw/zinc/63/54/98/657635498.db2.gz YHSPZWXJMZPJSI-JFUSQASVSA-N 0 2 305.382 0.493 20 0 DCADLN Cc1ccn(C)c1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038191125 657668070 /nfs/dbraw/zinc/66/80/70/657668070.db2.gz OJCKBWDFJAOGHI-JTQLQIEISA-N 0 2 304.354 0.162 20 0 DCADLN C[C@@H](NC(=O)c1cncs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969359512 657753833 /nfs/dbraw/zinc/75/38/33/657753833.db2.gz VFBYQPVKOFAKBE-SSDOTTSWSA-N 0 2 308.367 0.217 20 0 DCADLN CCN(C(=O)c1cccnc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949579654 657822281 /nfs/dbraw/zinc/82/22/81/657822281.db2.gz HLXVLMZGDUTEDV-UHFFFAOYSA-N 0 2 302.338 0.252 20 0 DCADLN Cc1nc([C@@H](C)[N@@H+]2CCC[C@H](CNC(=O)c3cnn[n-]3)C2)no1 ZINC001023460955 657894316 /nfs/dbraw/zinc/89/43/16/657894316.db2.gz KVYYMEGCVULXRT-MWLCHTKSSA-N 0 2 319.369 0.699 20 0 DCADLN Cc1nc([C@@H](C)[N@H+]2CCC[C@H](CNC(=O)c3cnn[n-]3)C2)no1 ZINC001023460955 657894325 /nfs/dbraw/zinc/89/43/25/657894325.db2.gz KVYYMEGCVULXRT-MWLCHTKSSA-N 0 2 319.369 0.699 20 0 DCADLN Cc1ncc(C(=O)N2CCC[C@@H]3C[N@@H+](CC(N)=O)CC[C@H]32)[nH]1 ZINC001021541869 657952117 /nfs/dbraw/zinc/95/21/17/657952117.db2.gz CCOXEXZYMJHYAY-DGCLKSJQSA-N 0 2 305.382 0.130 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969583485 658006399 /nfs/dbraw/zinc/00/63/99/658006399.db2.gz JYJUVVIMCQHPTB-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)N[C@H](C)C1C[NH+](CC(=O)NC)C1 ZINC000970930357 658089624 /nfs/dbraw/zinc/08/96/24/658089624.db2.gz YNQSNHQAEOPQRM-OCCSQVGLSA-N 0 2 310.442 0.043 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+](Cc2cncs2)C1)c1nc[nH]n1 ZINC001023656412 658104184 /nfs/dbraw/zinc/10/41/84/658104184.db2.gz WZKWSJSOWANLQQ-SNVBAGLBSA-N 0 2 306.395 0.903 20 0 DCADLN CCn1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1C ZINC000949796317 658178682 /nfs/dbraw/zinc/17/86/82/658178682.db2.gz RWGBBQWSZKFUDH-UHFFFAOYSA-N 0 2 318.381 0.598 20 0 DCADLN CCc1ccccc1S(=O)(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC000926581307 658190768 /nfs/dbraw/zinc/19/07/68/658190768.db2.gz AEASPOXGQCTXHQ-UHFFFAOYSA-N 0 2 312.391 0.640 20 0 DCADLN CCc1ccc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC000969978389 658314431 /nfs/dbraw/zinc/31/44/31/658314431.db2.gz DBZALCHARKACCJ-VIFPVBQESA-N 0 2 319.365 0.916 20 0 DCADLN Cc1ccncc1C[NH+]1CC([C@H](C)NC(=O)c2cn[nH]n2)C1 ZINC000970025222 658367763 /nfs/dbraw/zinc/36/77/63/658367763.db2.gz UUZRNAKJFKCEOT-NSHDSACASA-N 0 2 300.366 0.758 20 0 DCADLN Cc1nnc([C@@H](C)N2CC([C@H](C)NC(=O)c3cnn[nH]3)C2)o1 ZINC000970031849 658370382 /nfs/dbraw/zinc/37/03/82/658370382.db2.gz LVKYSCJFVJWDOL-JGVFFNPUSA-N 0 2 305.342 0.307 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccccn1 ZINC001024302015 658555610 /nfs/dbraw/zinc/55/56/10/658555610.db2.gz KMRFKGLHMLJFPM-NSHDSACASA-N 0 2 316.365 0.690 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cnccn1 ZINC001024311243 658565554 /nfs/dbraw/zinc/56/55/54/658565554.db2.gz WTYQQIWNIQEHMN-SNVBAGLBSA-N 0 2 317.353 0.085 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCOC1 ZINC001024350480 658583466 /nfs/dbraw/zinc/58/34/66/658583466.db2.gz OOIBVIGDLIMZDO-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCOC1 ZINC001024350480 658583475 /nfs/dbraw/zinc/58/34/75/658583475.db2.gz OOIBVIGDLIMZDO-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cncs1 ZINC001024351152 658584273 /nfs/dbraw/zinc/58/42/73/658584273.db2.gz SYAHOBLZIRBCTN-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN Cc1ocnc1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024354926 658585356 /nfs/dbraw/zinc/58/53/56/658585356.db2.gz QOJPZZXMKODBCS-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN Cc1[nH]ccc1C(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024384312 658595929 /nfs/dbraw/zinc/59/59/29/658595929.db2.gz ARCCOKOFMNEGOG-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN Cc1[nH]ccc1C(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024384312 658595939 /nfs/dbraw/zinc/59/59/39/658595939.db2.gz ARCCOKOFMNEGOG-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOC[C@H]2CC(F)F)S1 ZINC000804723805 658616674 /nfs/dbraw/zinc/61/66/74/658616674.db2.gz FRLMKQRMRCTZNS-RNFRBKRXSA-N 0 2 307.322 0.425 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024435584 658620498 /nfs/dbraw/zinc/62/04/98/658620498.db2.gz YKZHVMQXFZNMHZ-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccsn1 ZINC001024504006 658668399 /nfs/dbraw/zinc/66/83/99/658668399.db2.gz GBFLVZAWQBFILJ-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN Cc1nnsc1C[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010641036 658823665 /nfs/dbraw/zinc/82/36/65/658823665.db2.gz QGIMUMOMVWAWOA-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN Cc1nnsc1C[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010641036 658823673 /nfs/dbraw/zinc/82/36/73/658823673.db2.gz QGIMUMOMVWAWOA-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)c1ccc[nH]1 ZINC001023047603 658932265 /nfs/dbraw/zinc/93/22/65/658932265.db2.gz PCFAGYWESMGNNF-HLTSFMKQSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O)c1ccc[nH]1 ZINC001023047603 658932266 /nfs/dbraw/zinc/93/22/66/658932266.db2.gz PCFAGYWESMGNNF-HLTSFMKQSA-N 0 2 323.246 0.217 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973050539 658981436 /nfs/dbraw/zinc/98/14/36/658981436.db2.gz IIAWTBCHQATXEZ-NXEZZACHSA-N 0 2 307.398 0.883 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973050539 658981438 /nfs/dbraw/zinc/98/14/38/658981438.db2.gz IIAWTBCHQATXEZ-NXEZZACHSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@@H](NC(=O)C2=CCOCC2)C1 ZINC000974060706 659177669 /nfs/dbraw/zinc/17/76/69/659177669.db2.gz CZLLZYLIEOFLRL-BETUJISGSA-N 0 2 318.377 0.371 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@@H](NC(=O)c2ccns2)C1 ZINC000974203379 659252518 /nfs/dbraw/zinc/25/25/18/659252518.db2.gz PHPPNCNTGWSGFF-AOOOYVTPSA-N 0 2 319.390 0.794 20 0 DCADLN CC1(C)C[N@H+](CCO)C[C@H]1NC(=O)Cn1c[nH+]c2c1CCCC2 ZINC000974822312 659681952 /nfs/dbraw/zinc/68/19/52/659681952.db2.gz FGQKEPQEIWMPRO-OAHLLOKOSA-N 0 2 320.437 0.581 20 0 DCADLN CC1(C)C[N@H+](CCO)C[C@@H]1NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000975038987 659769678 /nfs/dbraw/zinc/76/96/78/659769678.db2.gz IWFZPRNHMAONJY-YPMHNXCESA-N 0 2 306.410 0.649 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000975050007 659777919 /nfs/dbraw/zinc/77/79/19/659777919.db2.gz QIFSOJFXXDZFDE-SCVCMEIPSA-N 0 2 323.397 0.262 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+]1CCCN(C(=O)C[NH+]2CCC(C)CC2)CC1 ZINC000982705563 661631282 /nfs/dbraw/zinc/63/12/82/661631282.db2.gz DFIWPJVHADPPMJ-HNNXBMFYSA-N 0 2 324.469 0.517 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000982849713 661699029 /nfs/dbraw/zinc/69/90/29/661699029.db2.gz SDLMMGOTFWQZKW-HTQZYQBOSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000982849713 661699031 /nfs/dbraw/zinc/69/90/31/661699031.db2.gz SDLMMGOTFWQZKW-HTQZYQBOSA-N 0 2 322.262 0.888 20 0 DCADLN CC(C)[C@@H]1C[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000983223668 661793776 /nfs/dbraw/zinc/79/37/76/661793776.db2.gz FLXHNKOUDPIJEP-NWDGAFQWSA-N 0 2 307.398 0.837 20 0 DCADLN CC(C)[C@H]1C[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000983223659 661793801 /nfs/dbraw/zinc/79/38/01/661793801.db2.gz FLXHNKOUDPIJEP-NEPJUHHUSA-N 0 2 307.398 0.837 20 0 DCADLN C[C@H]([NH2+][C@@H]1CCN(CC[NH+]2CCOCC2)C1)c1cn(C)cn1 ZINC000925082338 662009310 /nfs/dbraw/zinc/00/93/10/662009310.db2.gz VSMLGMCKINBVOF-LSDHHAIUSA-N 0 2 307.442 0.477 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC2(CCC2)C1 ZINC001031909605 665849802 /nfs/dbraw/zinc/84/98/02/665849802.db2.gz YSVFESPIEWBQTQ-UHFFFAOYSA-N 0 2 305.382 0.639 20 0 DCADLN C[C@@]1(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CCOC1 ZINC001029530019 662361704 /nfs/dbraw/zinc/36/17/04/662361704.db2.gz PSMIBFURMOEVPW-JRPNMDOOSA-N 0 2 321.381 0.112 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1=COCCC1 ZINC001000510554 665863642 /nfs/dbraw/zinc/86/36/42/665863642.db2.gz JIUUJGIOXQIDPR-UHFFFAOYSA-N 0 2 319.365 0.453 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1=COCCC1 ZINC001000510554 665863645 /nfs/dbraw/zinc/86/36/45/665863645.db2.gz JIUUJGIOXQIDPR-UHFFFAOYSA-N 0 2 319.365 0.453 20 0 DCADLN Cc1ccsc1[C@H](CO)NS(=O)(=O)N=S(C)(C)=O ZINC000866923312 662440211 /nfs/dbraw/zinc/44/02/11/662440211.db2.gz JCSSRRJZQPFPPD-QMMMGPOBSA-N 0 2 312.438 0.652 20 0 DCADLN Cn1cc(C[N@@H+](C)C[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001029876052 662528790 /nfs/dbraw/zinc/52/87/90/662528790.db2.gz RKZIILUMBBOKBW-ZDUSSCGKSA-N 0 2 316.409 0.666 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([NH2+]Cc3nncs3)[C@H]2C)c1[O-] ZINC000986157878 662562558 /nfs/dbraw/zinc/56/25/58/662562558.db2.gz JLYHTSYNEVDZIC-RKDXNWHRSA-N 0 2 322.394 0.668 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2CCN(C(=O)C[N@@H+](C)C3CCC3)[C@H]2C)o1 ZINC000986352073 662584957 /nfs/dbraw/zinc/58/49/57/662584957.db2.gz FNXRKBJCNAIGAT-SMDDNHRTSA-N 0 2 321.425 0.941 20 0 DCADLN Cc1ncoc1C[N@H+]1CC[C@H](NC(=O)c2ncn[nH]2)C[C@H]1C ZINC000947828453 662645444 /nfs/dbraw/zinc/64/54/44/662645444.db2.gz GNTRLJCQYIBJLY-KOLCDFICSA-N 0 2 304.354 0.884 20 0 DCADLN Cc1cc(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001000621741 665896934 /nfs/dbraw/zinc/89/69/34/665896934.db2.gz QUBIGDYMKUHIRJ-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN Cc1cc(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001000621741 665896939 /nfs/dbraw/zinc/89/69/39/665896939.db2.gz QUBIGDYMKUHIRJ-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000937713589 662808156 /nfs/dbraw/zinc/80/81/56/662808156.db2.gz WXHBETDLBVUDSC-JGVFFNPUSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000937713589 662808157 /nfs/dbraw/zinc/80/81/57/662808157.db2.gz WXHBETDLBVUDSC-JGVFFNPUSA-N 0 2 308.235 0.641 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H]2CCCN(C(=O)Cc3nnc[nH]3)C2)o1 ZINC001000661333 665905875 /nfs/dbraw/zinc/90/58/75/665905875.db2.gz VNHYLAVAVIFKFZ-ONGXEEELSA-N 0 2 319.369 0.380 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937924912 662842070 /nfs/dbraw/zinc/84/20/70/662842070.db2.gz VESBOYBLLMFLCE-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000937924912 662842071 /nfs/dbraw/zinc/84/20/71/662842071.db2.gz VESBOYBLLMFLCE-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000938022867 662852985 /nfs/dbraw/zinc/85/29/85/662852985.db2.gz FQDRKPJLYRWIIY-YUMQZZPRSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000938022867 662852986 /nfs/dbraw/zinc/85/29/86/662852986.db2.gz FQDRKPJLYRWIIY-YUMQZZPRSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC000938064243 662859305 /nfs/dbraw/zinc/85/93/05/662859305.db2.gz MJSRTMOXPJIYKT-CIUDSAMLSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC000938064243 662859306 /nfs/dbraw/zinc/85/93/06/662859306.db2.gz MJSRTMOXPJIYKT-CIUDSAMLSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@H](CC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC000989750080 662975731 /nfs/dbraw/zinc/97/57/31/662975731.db2.gz IQERCSBERWUMBV-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1ncncc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990331420 663034349 /nfs/dbraw/zinc/03/43/49/663034349.db2.gz AQOMYUFANMWWIO-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ncncc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990331420 663034350 /nfs/dbraw/zinc/03/43/50/663034350.db2.gz AQOMYUFANMWWIO-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN COCc1ccc(CNC(=O)CON=C(N)CN(C)C)cc1 ZINC000902612465 663422232 /nfs/dbraw/zinc/42/22/32/663422232.db2.gz JXORFLZQYBHHKW-UHFFFAOYSA-N 0 2 308.382 0.509 20 0 DCADLN CCc1nn(C)cc1S(=O)(=O)Nc1cnc2ccnn2c1 ZINC000903187866 663449193 /nfs/dbraw/zinc/44/91/93/663449193.db2.gz JCQUJIVWAWCWGZ-UHFFFAOYSA-N 0 2 306.351 0.826 20 0 DCADLN COCCN(CCC(=O)[O-])C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000908956546 663776974 /nfs/dbraw/zinc/77/69/74/663776974.db2.gz BWYGQOZNKHJMFR-UHFFFAOYSA-N 0 2 318.333 0.831 20 0 DCADLN O=C([O-])C[C@@H]1CSCCN1C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000909008443 663777360 /nfs/dbraw/zinc/77/73/60/663777360.db2.gz AMDJFUDHYWGFKM-ZYHUDNBSSA-N 0 2 309.391 0.864 20 0 DCADLN CC[C@H](C(=O)N1CCOC[C@H]1C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000909059705 663780240 /nfs/dbraw/zinc/78/02/40/663780240.db2.gz NYSPVSOFTMLCIL-UPJWGTAASA-N 0 2 314.382 0.188 20 0 DCADLN CC[C@H](C(=O)N1CCOC[C@H]1C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000909059705 663780241 /nfs/dbraw/zinc/78/02/41/663780241.db2.gz NYSPVSOFTMLCIL-UPJWGTAASA-N 0 2 314.382 0.188 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@@](C)(CC(=O)[O-])c2cccc(F)c2)C1 ZINC000909681851 663793059 /nfs/dbraw/zinc/79/30/59/663793059.db2.gz MNCPKYITMMMEKD-CJNGLKHVSA-N 0 2 324.352 0.962 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N[C@@](C)(CC(=O)[O-])c2cccc(F)c2)C1 ZINC000909681851 663793061 /nfs/dbraw/zinc/79/30/61/663793061.db2.gz MNCPKYITMMMEKD-CJNGLKHVSA-N 0 2 324.352 0.962 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000909709808 663795804 /nfs/dbraw/zinc/79/58/04/663795804.db2.gz ALMVWLDGFXBCDP-WBMJQRKESA-N 0 2 321.377 0.785 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCCOC1 ZINC000909693645 663797029 /nfs/dbraw/zinc/79/70/29/663797029.db2.gz RRUBQEVRZQEJHT-ABAIWWIYSA-N 0 2 307.350 0.586 20 0 DCADLN CO[C@@H]1CCC[C@H]([C@@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])C1 ZINC000909910344 663820010 /nfs/dbraw/zinc/82/00/10/663820010.db2.gz YOIFHIUGZNYVHS-ZKYQVNSYSA-N 0 2 309.366 0.737 20 0 DCADLN CC1(O)CCN(c2cc(N3CCO[C@@H](C(=O)[O-])C3)nc[nH+]2)CC1 ZINC000910277250 663863206 /nfs/dbraw/zinc/86/32/06/663863206.db2.gz CFRQCJDWJKFWMH-LLVKDONJSA-N 0 2 322.365 0.118 20 0 DCADLN CC1(O)CCN(c2cc(N3CCO[C@@H](C(=O)[O-])C3)[nH+]cn2)CC1 ZINC000910277250 663863207 /nfs/dbraw/zinc/86/32/07/663863207.db2.gz CFRQCJDWJKFWMH-LLVKDONJSA-N 0 2 322.365 0.118 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000910402914 663877242 /nfs/dbraw/zinc/87/72/42/663877242.db2.gz VTXPGJWIOOHGEH-LBPRGKRZSA-N 0 2 324.381 0.223 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000910402914 663877244 /nfs/dbraw/zinc/87/72/44/663877244.db2.gz VTXPGJWIOOHGEH-LBPRGKRZSA-N 0 2 324.381 0.223 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910407399 663883264 /nfs/dbraw/zinc/88/32/64/663883264.db2.gz ZBOIIORPYIEPTO-SDDRHHMPSA-N 0 2 306.366 0.595 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910407399 663883266 /nfs/dbraw/zinc/88/32/66/663883266.db2.gz ZBOIIORPYIEPTO-SDDRHHMPSA-N 0 2 306.366 0.595 20 0 DCADLN CC1(O)CCN(c2cc(N3CC4CC3(C(=O)[O-])C4)nc[nH+]2)CC1 ZINC000910462043 663887395 /nfs/dbraw/zinc/88/73/95/663887395.db2.gz BHODXCMYSRGGGC-UHFFFAOYSA-N 0 2 318.377 0.881 20 0 DCADLN CC1(O)CCN(c2cc(N3CC4CC3(C(=O)[O-])C4)[nH+]cn2)CC1 ZINC000910462043 663887397 /nfs/dbraw/zinc/88/73/97/663887397.db2.gz BHODXCMYSRGGGC-UHFFFAOYSA-N 0 2 318.377 0.881 20 0 DCADLN C[C@H]1CCN(C(=O)[C@@H]2CC[C@H](C(=O)[O-])O2)C[C@@H]1n1cc[nH+]c1 ZINC000910687166 663923684 /nfs/dbraw/zinc/92/36/84/663923684.db2.gz LINFEFXUYLCPMF-ZDEQEGDKSA-N 0 2 307.350 0.925 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)C3(O)CCCC3)CC2)[nH+]c1 ZINC000911171050 664000613 /nfs/dbraw/zinc/00/06/13/664000613.db2.gz ASSRYADHNYKLRG-UHFFFAOYSA-N 0 2 319.361 0.734 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)C[C@H]3CCCO3)CC2)[nH+]c1 ZINC000911169974 664000799 /nfs/dbraw/zinc/00/07/99/664000799.db2.gz LSQOJLAPRUTAOI-CYBMUJFWSA-N 0 2 319.361 0.998 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1csc(C(=O)[O-])n1 ZINC000911339811 664038435 /nfs/dbraw/zinc/03/84/35/664038435.db2.gz OJFGYIUXWJDVIT-UHFFFAOYSA-N 0 2 313.379 0.682 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)c1csc(C(=O)[O-])n1 ZINC000911339811 664038438 /nfs/dbraw/zinc/03/84/38/664038438.db2.gz OJFGYIUXWJDVIT-UHFFFAOYSA-N 0 2 313.379 0.682 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)c2cccc(OCC(=O)[O-])c2)c1 ZINC000911399066 664048027 /nfs/dbraw/zinc/04/80/27/664048027.db2.gz NFFZDKCPSRYLHK-UHFFFAOYSA-N 0 2 303.318 0.856 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000911538951 664081157 /nfs/dbraw/zinc/08/11/57/664081157.db2.gz YFWJJZFBIVUVHJ-UONOGXRCSA-N 0 2 304.346 0.757 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N[C@@H]2CCc3c[nH+]cn3C2)O1 ZINC000911773239 664107257 /nfs/dbraw/zinc/10/72/57/664107257.db2.gz OBVGSAHAHPLFJI-KGYLQXTDSA-N 0 2 307.350 0.727 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3[n-]c(=S)oc3c2)[C@@H](CO)C1 ZINC000912744150 664218937 /nfs/dbraw/zinc/21/89/37/664218937.db2.gz PKTDJYNHKWKDEA-SNVBAGLBSA-N 0 2 307.375 0.865 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3[n-]c(=S)oc3c2)[C@@H](CO)C1 ZINC000912744150 664218939 /nfs/dbraw/zinc/21/89/39/664218939.db2.gz PKTDJYNHKWKDEA-SNVBAGLBSA-N 0 2 307.375 0.865 20 0 DCADLN CCOc1nccc(C)c1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC000912818493 664232293 /nfs/dbraw/zinc/23/22/93/664232293.db2.gz FFFWJOSYCNUJAC-VIFPVBQESA-N 0 2 306.322 0.716 20 0 DCADLN O=C(Nc1nccc(Br)c1O)[C@H]1CCNC1=O ZINC000913025649 664256027 /nfs/dbraw/zinc/25/60/27/664256027.db2.gz WHEJVFWRJHXLTE-YFKPBYRVSA-N 0 2 300.112 0.624 20 0 DCADLN CC(=O)Nc1ccc(O)c(C(=O)NNC(=O)c2ccccn2)c1 ZINC000055093168 664363472 /nfs/dbraw/zinc/36/34/72/664363472.db2.gz IGSRLLRVFDGMDF-UHFFFAOYSA-N 0 2 314.301 0.820 20 0 DCADLN O=C(NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1)C1CCC1 ZINC001030043598 664402850 /nfs/dbraw/zinc/40/28/50/664402850.db2.gz NUCPWEDCPNZESX-UHFFFAOYSA-N 0 2 301.350 0.123 20 0 DCADLN CSc1[nH]c(=O)c(C(=O)n2ncc(C#N)c2N)cc1C#N ZINC000057555876 664438717 /nfs/dbraw/zinc/43/87/17/664438717.db2.gz RBKQQEZECHMXMB-UHFFFAOYSA-N 0 2 300.303 0.720 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnccn1 ZINC000948786656 664569982 /nfs/dbraw/zinc/56/99/82/664569982.db2.gz HBHOEUJGSVZBAZ-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cnccn1 ZINC000948786656 664569984 /nfs/dbraw/zinc/56/99/84/664569984.db2.gz HBHOEUJGSVZBAZ-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN CC(C)(C)c1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001030662103 664623362 /nfs/dbraw/zinc/62/33/62/664623362.db2.gz RXWBTLWTHAZTBK-UHFFFAOYSA-N 0 2 320.353 0.410 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N3CC[C@@H](O)C3)cc2[nH]c1=S ZINC000174565317 664640330 /nfs/dbraw/zinc/64/03/30/664640330.db2.gz YMMNYNPMTJWWPW-SNVBAGLBSA-N 0 2 319.386 0.912 20 0 DCADLN C[C@H]1C[C@@H]1CC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030901276 664698705 /nfs/dbraw/zinc/69/87/05/664698705.db2.gz FOHOBQZANXCTAQ-WDEREUQCSA-N 0 2 315.377 0.369 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCn2ccnc21 ZINC000913671140 664710949 /nfs/dbraw/zinc/71/09/49/664710949.db2.gz NPNMZVGEBDUINM-QMMMGPOBSA-N 0 2 304.272 0.933 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)C[C@@]12CC[N@H+](C1)CCC2 ZINC000913926503 664735256 /nfs/dbraw/zinc/73/52/56/664735256.db2.gz FGENECVPGBLDES-KBPBESRZSA-N 0 2 312.439 0.677 20 0 DCADLN C[C@@H]1C[NH+](C(C)(C)CNC(=O)NC[C@H]2CC[N@H+]2C)C[C@H](C)O1 ZINC000913977796 664739960 /nfs/dbraw/zinc/73/99/60/664739960.db2.gz RVPWDXQTEFCHHE-HZSPNIEDSA-N 0 2 312.458 0.878 20 0 DCADLN C[C@@H]1C[NH+](C(C)(C)CNC(=O)NC[C@@H]2CC[N@H+]2C)C[C@H](C)O1 ZINC000913977801 664739999 /nfs/dbraw/zinc/73/99/99/664739999.db2.gz RVPWDXQTEFCHHE-MJBXVCDLSA-N 0 2 312.458 0.878 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2cc[nH]c21 ZINC001030991796 664750566 /nfs/dbraw/zinc/75/05/66/664750566.db2.gz DXTFVNGVQIZYNX-UHFFFAOYSA-N 0 2 312.333 0.606 20 0 DCADLN Cc1nc(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cs1 ZINC000730520651 664784857 /nfs/dbraw/zinc/78/48/57/664784857.db2.gz JIYUUCCPEMYNMQ-UHFFFAOYSA-N 0 2 318.362 0.195 20 0 DCADLN C[C@H](Cc1cccs1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730525178 664785291 /nfs/dbraw/zinc/78/52/91/664785291.db2.gz JMHLAWXLWDPFGC-SECBINFHSA-N 0 2 317.374 0.880 20 0 DCADLN Cc1ncc(CN(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000730530212 664785548 /nfs/dbraw/zinc/78/55/48/664785548.db2.gz VESPDFJRAOLVMQ-UHFFFAOYSA-N 0 2 318.362 0.495 20 0 DCADLN CN(C[C@H]1CCCCO1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730535225 664790060 /nfs/dbraw/zinc/79/00/60/664790060.db2.gz IFURHYZKVDTWHL-SNVBAGLBSA-N 0 2 305.338 0.099 20 0 DCADLN CCN(Cc1ccncc1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730543846 664791389 /nfs/dbraw/zinc/79/13/89/664791389.db2.gz NHTJKRDQGQKLRJ-UHFFFAOYSA-N 0 2 312.333 0.515 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1ccnc(Cl)c1 ZINC000730657180 664799261 /nfs/dbraw/zinc/79/92/61/664799261.db2.gz OBGWPVPQHUQNFE-UHFFFAOYSA-N 0 2 319.708 0.863 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Oc1ccc2c(c1)OCO2 ZINC000730685259 664800318 /nfs/dbraw/zinc/80/03/18/664800318.db2.gz DGXVVEITEDOLIZ-UHFFFAOYSA-N 0 2 314.257 0.406 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000993103064 664808895 /nfs/dbraw/zinc/80/88/95/664808895.db2.gz LQNHHFVVNZEELR-SCZZXKLOSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1c(F)cccc1F ZINC000731838831 664885430 /nfs/dbraw/zinc/88/54/30/664885430.db2.gz MNXPVDNHPBCCIZ-UHFFFAOYSA-N 0 2 305.244 0.988 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1nc2ccccc2o1 ZINC000731965981 664895177 /nfs/dbraw/zinc/89/51/77/664895177.db2.gz DNVQGOMTNLHHNG-UHFFFAOYSA-N 0 2 324.300 0.875 20 0 DCADLN C[C@H]1[C@H](NC(=O)Cc2ccoc2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993251121 664917507 /nfs/dbraw/zinc/91/75/07/664917507.db2.gz RSGKKGNUYQWZFO-CMPLNLGQSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1[C@H](NC(=O)Cc2ccoc2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993251121 664917508 /nfs/dbraw/zinc/91/75/08/664917508.db2.gz RSGKKGNUYQWZFO-CMPLNLGQSA-N 0 2 319.365 0.815 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N(C)CC(N)=O)cc2[nH]c1=S ZINC000915656548 664935304 /nfs/dbraw/zinc/93/53/04/664935304.db2.gz JHYXJZDMQCSTJR-UHFFFAOYSA-N 0 2 320.374 0.262 20 0 DCADLN CCOCCC(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000737878725 664938127 /nfs/dbraw/zinc/93/81/27/664938127.db2.gz VJHDRYAZFACWHC-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cncn2C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993572922 664980047 /nfs/dbraw/zinc/98/00/47/664980047.db2.gz SAQYOTZHVOIKQJ-UWVGGRQHSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccco2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994049730 665032060 /nfs/dbraw/zinc/03/20/60/665032060.db2.gz HXBFOULKSOUMPY-PWSUYJOCSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccco2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994049730 665032063 /nfs/dbraw/zinc/03/20/63/665032063.db2.gz HXBFOULKSOUMPY-PWSUYJOCSA-N 0 2 319.365 0.815 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(Cl)nn1 ZINC000733102793 665070920 /nfs/dbraw/zinc/07/09/20/665070920.db2.gz FRBHHFAAVNJHSN-UHFFFAOYSA-N 0 2 305.685 0.153 20 0 DCADLN Cc1ncsc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031560238 665199733 /nfs/dbraw/zinc/19/97/33/665199733.db2.gz LAJRQCLFBPLVLE-UHFFFAOYSA-N 0 2 308.367 0.137 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001031598629 665221639 /nfs/dbraw/zinc/22/16/39/665221639.db2.gz PHGUJTHMEFYQQO-PJXYFTJBSA-N 0 2 305.382 0.495 20 0 DCADLN C[C@](O)(CNS(=O)(=O)N=S(C)(C)=O)c1cccc(F)c1 ZINC000921400228 665300555 /nfs/dbraw/zinc/30/05/55/665300555.db2.gz QCQGDSMEJRJBIY-NSHDSACASA-N 0 2 324.399 0.595 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000938503946 665373755 /nfs/dbraw/zinc/37/37/55/665373755.db2.gz OYRDXPYMFUSZSX-FSPLSTOPSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC000938503946 665373758 /nfs/dbraw/zinc/37/37/58/665373758.db2.gz OYRDXPYMFUSZSX-FSPLSTOPSA-N 0 2 310.207 0.301 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000941477718 666005492 /nfs/dbraw/zinc/00/54/92/666005492.db2.gz LGJIUYCLJIXVQJ-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000941477718 666005493 /nfs/dbraw/zinc/00/54/93/666005493.db2.gz LGJIUYCLJIXVQJ-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1c1ccco1 ZINC001031994822 666109975 /nfs/dbraw/zinc/10/99/75/666109975.db2.gz NEYDYPFDYQSVKF-GHMZBOCLSA-N 0 2 317.349 0.455 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1cc(Cl)c[nH]1 ZINC001002335143 666158096 /nfs/dbraw/zinc/15/80/96/666158096.db2.gz QRDKJPQEUCYOEM-UHFFFAOYSA-N 0 2 324.772 0.886 20 0 DCADLN CC(C)n1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001032020691 666177970 /nfs/dbraw/zinc/17/79/70/666177970.db2.gz UETVGTZSWOVBOR-UHFFFAOYSA-N 0 2 319.369 0.150 20 0 DCADLN Cc1ncoc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002546978 666187908 /nfs/dbraw/zinc/18/79/08/666187908.db2.gz ZPTBZECRUZFUOB-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001002679555 666204632 /nfs/dbraw/zinc/20/46/32/666204632.db2.gz LIHAVXFDGOKBOJ-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CCC(O)CC1 ZINC001002712983 666208658 /nfs/dbraw/zinc/20/86/58/666208658.db2.gz CFJHKRCSEBJPJC-UHFFFAOYSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1C=CC=CC=C1 ZINC001002929502 666247069 /nfs/dbraw/zinc/24/70/69/666247069.db2.gz IFUQYTXGVIRQEP-UHFFFAOYSA-N 0 2 315.377 0.889 20 0 DCADLN CO[C@H]1CCC[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003207111 666282706 /nfs/dbraw/zinc/28/27/06/666282706.db2.gz HMUOATBHNRSGTB-RYUDHWBXSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1C1CCCC1 ZINC001032083645 666286553 /nfs/dbraw/zinc/28/65/53/666286553.db2.gz AKQVZTSUZVTBBY-QWHCGFSZSA-N 0 2 319.409 0.885 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1cc(F)c[nH]1 ZINC001003320700 666295067 /nfs/dbraw/zinc/29/50/67/666295067.db2.gz CXCXGYPPUTYWKU-UHFFFAOYSA-N 0 2 308.317 0.372 20 0 DCADLN C[C@H]1CO[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001003333771 666296093 /nfs/dbraw/zinc/29/60/93/666296093.db2.gz SXLQGZDFLIPBCE-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN CN1CCC[C@H]([NH+]2CC[C@@H](NC(=O)[C@@H]3CCCC[N@@H+]3C)C2)C1=O ZINC001032839589 666299599 /nfs/dbraw/zinc/29/95/99/666299599.db2.gz BWCBTNBIXQRUKT-ILXRZTDVSA-N 0 2 322.453 0.282 20 0 DCADLN CN(C(=O)c1ccccc1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032881946 666372565 /nfs/dbraw/zinc/37/25/65/666372565.db2.gz YFYKDEZKFZZDMK-LBPRGKRZSA-N 0 2 301.350 0.857 20 0 DCADLN CN(C(=O)c1ccccc1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032881946 666372566 /nfs/dbraw/zinc/37/25/66/666372566.db2.gz YFYKDEZKFZZDMK-LBPRGKRZSA-N 0 2 301.350 0.857 20 0 DCADLN C[C@H]1C[C@@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCO1 ZINC001003850402 666383584 /nfs/dbraw/zinc/38/35/84/666383584.db2.gz FSHKYMBGKZWNAG-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)C(F)C(F)(F)F)c1cnon1 ZINC000944068225 666385314 /nfs/dbraw/zinc/38/53/14/666385314.db2.gz SXUJHFYWHBPGFA-HTRCEHHLSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)[C@@H](F)C(F)(F)F)c1cnon1 ZINC000944068225 666385315 /nfs/dbraw/zinc/38/53/15/666385315.db2.gz SXUJHFYWHBPGFA-HTRCEHHLSA-N 0 2 324.234 0.691 20 0 DCADLN CN(C(=O)c1ccc(F)nc1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032958471 666405539 /nfs/dbraw/zinc/40/55/39/666405539.db2.gz AMNJSSZMTZAGLQ-SNVBAGLBSA-N 0 2 320.328 0.391 20 0 DCADLN Cc1[nH]ccc1C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032991601 666421987 /nfs/dbraw/zinc/42/19/87/666421987.db2.gz FHWYKGCSQRXKJH-SNVBAGLBSA-N 0 2 304.354 0.493 20 0 DCADLN Cc1[nH]ccc1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032991601 666421988 /nfs/dbraw/zinc/42/19/88/666421988.db2.gz FHWYKGCSQRXKJH-SNVBAGLBSA-N 0 2 304.354 0.493 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001004163549 666465270 /nfs/dbraw/zinc/46/52/70/666465270.db2.gz KXGWGSFBABXVMW-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN Cc1ccsc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032252732 666597166 /nfs/dbraw/zinc/59/71/66/666597166.db2.gz QYONORRGSJBSJL-UWVGGRQHSA-N 0 2 319.390 0.979 20 0 DCADLN CN(C(=O)c1cnccn1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005056003 666651876 /nfs/dbraw/zinc/65/18/76/666651876.db2.gz NYMYYTIHFORALN-UHFFFAOYSA-N 0 2 317.353 0.037 20 0 DCADLN CN(C(=O)C[C@@H]1C[C@H]1C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033276331 666658737 /nfs/dbraw/zinc/65/87/37/666658737.db2.gz CJESCUBTRAXIRQ-XQQFMLRXSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)C[C@@H]1C[C@H]1C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033276331 666658738 /nfs/dbraw/zinc/65/87/38/666658738.db2.gz CJESCUBTRAXIRQ-XQQFMLRXSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)[C@]1(C)C[C@H]2C[C@H]2C1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033289767 666663826 /nfs/dbraw/zinc/66/38/26/666663826.db2.gz FEDXWAMWLMEOSC-BUWBCJGYSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)[C@]1(C)C[C@H]2C[C@H]2C1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033289767 666663827 /nfs/dbraw/zinc/66/38/27/666663827.db2.gz FEDXWAMWLMEOSC-BUWBCJGYSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)C[C@H]1CCOC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005204692 666752478 /nfs/dbraw/zinc/75/24/78/666752478.db2.gz MQBIWPNCBPTDML-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)Cc1ccc[nH]1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033681761 666794488 /nfs/dbraw/zinc/79/44/88/666794488.db2.gz KZPNWHNHZWQEAZ-LLVKDONJSA-N 0 2 304.354 0.114 20 0 DCADLN CN(C(=O)Cc1ccc[nH]1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033681761 666794489 /nfs/dbraw/zinc/79/44/89/666794489.db2.gz KZPNWHNHZWQEAZ-LLVKDONJSA-N 0 2 304.354 0.114 20 0 DCADLN CCOC[C@@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000733764641 666816984 /nfs/dbraw/zinc/81/69/84/666816984.db2.gz RYUWDSWIWXOWOB-LLVKDONJSA-N 0 2 319.365 0.346 20 0 DCADLN CCN(C(=O)c1ccon1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033758986 666820654 /nfs/dbraw/zinc/82/06/54/666820654.db2.gz LCRKGDXLMVQMTD-VIFPVBQESA-N 0 2 306.326 0.235 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N1CCC([NH2+]Cc2csnn2)CC1 ZINC000996797631 666917140 /nfs/dbraw/zinc/91/71/40/666917140.db2.gz HPPBBONUQHZMSL-UHFFFAOYSA-N 0 2 320.422 0.824 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1=COCCC1 ZINC001034125327 666921465 /nfs/dbraw/zinc/92/14/65/666921465.db2.gz JPTSODGNKFWZPG-LBPRGKRZSA-N 0 2 321.381 0.675 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1=COCCC1 ZINC001034125327 666921468 /nfs/dbraw/zinc/92/14/68/666921468.db2.gz JPTSODGNKFWZPG-LBPRGKRZSA-N 0 2 321.381 0.675 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cnsn1 ZINC001034115496 666926145 /nfs/dbraw/zinc/92/61/45/666926145.db2.gz WBMJLTXFHJTBLU-MRVPVSSYSA-N 0 2 323.382 0.146 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCOC1 ZINC001034118492 666926616 /nfs/dbraw/zinc/92/66/16/666926616.db2.gz DXISUPVBCIFHLU-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCOC1 ZINC001034118492 666926619 /nfs/dbraw/zinc/92/66/19/666926619.db2.gz DXISUPVBCIFHLU-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001034118393 666926804 /nfs/dbraw/zinc/92/68/04/666926804.db2.gz VKIYBYGLVXJYSC-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001034118393 666926808 /nfs/dbraw/zinc/92/68/08/666926808.db2.gz VKIYBYGLVXJYSC-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cnns1 ZINC001034121535 666928419 /nfs/dbraw/zinc/92/84/19/666928419.db2.gz QYXYGZXLAUXAFK-QMMMGPOBSA-N 0 2 323.382 0.146 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cocn1 ZINC001034130563 666933217 /nfs/dbraw/zinc/93/32/17/666933217.db2.gz IQXCMYXHDMCVQB-VIFPVBQESA-N 0 2 306.326 0.283 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@]12C[C@H]1COC2 ZINC001034218392 666957318 /nfs/dbraw/zinc/95/73/18/666957318.db2.gz ZSKGBEPJTRQIIS-RWSFTLGLSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@]12C[C@H]1COC2 ZINC001034218392 666957321 /nfs/dbraw/zinc/95/73/21/666957321.db2.gz ZSKGBEPJTRQIIS-RWSFTLGLSA-N 0 2 321.381 0.018 20 0 DCADLN COC1CC(C(=O)N[C@@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001034259316 666967112 /nfs/dbraw/zinc/96/71/12/666967112.db2.gz RVPUGABSYAKQQD-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN COC1CC(C(=O)N[C@@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001034259316 666967115 /nfs/dbraw/zinc/96/71/15/666967115.db2.gz RVPUGABSYAKQQD-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034386771 667009386 /nfs/dbraw/zinc/00/93/86/667009386.db2.gz TXUCEVWCYDMFJP-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN O=C(NC/C=C/CO)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000862170958 667024546 /nfs/dbraw/zinc/02/45/46/667024546.db2.gz LCFWGBWVHRYKNH-OWOJBTEDSA-N 0 2 306.240 0.736 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1nccs1 ZINC001034461823 667029169 /nfs/dbraw/zinc/02/91/69/667029169.db2.gz QCHUZGUPVRMIFC-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN CCC(C)(C)OC1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000862716553 667074118 /nfs/dbraw/zinc/07/41/18/667074118.db2.gz KAFJJUSLHXDTRQ-UHFFFAOYSA-N 0 2 319.365 0.487 20 0 DCADLN COc1cc(NC(=O)CC2SC(=N)NC2=O)cnc1OC ZINC000862722908 667074266 /nfs/dbraw/zinc/07/42/66/667074266.db2.gz OMRMMUDPAFMGBJ-MRVPVSSYSA-N 0 2 310.335 0.594 20 0 DCADLN CCc1[nH]ccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032387650 667148032 /nfs/dbraw/zinc/14/80/32/667148032.db2.gz GXANKQQBIMKOEC-UWVGGRQHSA-N 0 2 316.365 0.500 20 0 DCADLN CN(C(=O)c1cc(F)c[nH]1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005731251 667154936 /nfs/dbraw/zinc/15/49/36/667154936.db2.gz MCCDYVHUOLQENU-UHFFFAOYSA-N 0 2 322.344 0.714 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)N2C[C@H](C)C[C@@H](C)C2)n1 ZINC000921532039 667161829 /nfs/dbraw/zinc/16/18/29/667161829.db2.gz JRAGLWYYVBAACX-NXEZZACHSA-N 0 2 302.404 0.889 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000863824486 667186170 /nfs/dbraw/zinc/18/61/70/667186170.db2.gz FDFZJCZVKSWZMJ-YUMQZZPRSA-N 0 2 320.308 0.675 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000863824486 667186175 /nfs/dbraw/zinc/18/61/75/667186175.db2.gz FDFZJCZVKSWZMJ-YUMQZZPRSA-N 0 2 320.308 0.675 20 0 DCADLN CC1(C)CC[C@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035487990 667356236 /nfs/dbraw/zinc/35/62/36/667356236.db2.gz MUNXPZDTPBFYQR-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN Cc1noc(C(=O)N2CC[C@](C)(NC(=O)C(F)(F)F)C2)n1 ZINC000866180250 667367312 /nfs/dbraw/zinc/36/73/12/667367312.db2.gz XPERHOHBZRLQOC-JTQLQIEISA-N 0 2 306.244 0.661 20 0 DCADLN Cc1noc(C(=O)N2CC[C@@](C)(NC(=O)C(F)(F)F)C2)n1 ZINC000866180251 667367446 /nfs/dbraw/zinc/36/74/46/667367446.db2.gz XPERHOHBZRLQOC-SNVBAGLBSA-N 0 2 306.244 0.661 20 0 DCADLN C[C@@H](NC(=O)c1ncc[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006051947 667511025 /nfs/dbraw/zinc/51/10/25/667511025.db2.gz ZKAWQARTJPUUDM-HTRCEHHLSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)c1ncc[nH]1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001006051947 667511027 /nfs/dbraw/zinc/51/10/27/667511027.db2.gz ZKAWQARTJPUUDM-HTRCEHHLSA-N 0 2 322.262 0.887 20 0 DCADLN CN(C(=O)c1cccnn1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006172666 667573944 /nfs/dbraw/zinc/57/39/44/667573944.db2.gz DKHRPFJXMZWBME-UHFFFAOYSA-N 0 2 317.353 0.037 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NC[C@]1(C(F)(F)F)CCCN1 ZINC000923685417 667576368 /nfs/dbraw/zinc/57/63/68/667576368.db2.gz LSTSDWMRUNVQSL-ZETCQYMHSA-N 0 2 323.362 0.233 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)N1CCO[C@@H](c2nnn[n-]2)C1 ZINC000737499815 667611686 /nfs/dbraw/zinc/61/16/86/667611686.db2.gz KXXKZDNYYYCVJM-LLVKDONJSA-N 0 2 305.342 0.085 20 0 DCADLN CN(C(=O)C[C@H]1SC(=N)NC1=O)[C@@H]1CCCCN(C)C1=O ZINC000870607423 667746894 /nfs/dbraw/zinc/74/68/94/667746894.db2.gz ZOKFFTXMSYZWTO-RKDXNWHRSA-N 0 2 312.395 0.012 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]2CCC[C@@H]21 ZINC000741986209 667779113 /nfs/dbraw/zinc/77/91/13/667779113.db2.gz YYNWZZXDVXMVSM-JQWIXIFHSA-N 0 2 301.350 0.862 20 0 DCADLN COC(=O)CCCCCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742520639 667792947 /nfs/dbraw/zinc/79/29/47/667792947.db2.gz MPEPMXDHLRVSGV-VIFPVBQESA-N 0 2 315.395 0.783 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2CCn3c4ccccc4nc32)S1 ZINC000742522764 667793132 /nfs/dbraw/zinc/79/31/32/667793132.db2.gz FCJUCHPOEHUPPX-JTQLQIEISA-N 0 2 315.358 0.939 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(OCC(N)=O)c2)S1 ZINC000742512977 667793323 /nfs/dbraw/zinc/79/33/23/667793323.db2.gz YKHPFOLWASCIBM-SECBINFHSA-N 0 2 322.346 0.046 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2COc3ccccc3C2)S1 ZINC000742540651 667793763 /nfs/dbraw/zinc/79/37/63/667793763.db2.gz OKDGMMOSXVQTEG-ONGXEEELSA-N 0 2 305.359 0.663 20 0 DCADLN COCCN(Cc1ccccn1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742568872 667793902 /nfs/dbraw/zinc/79/39/02/667793902.db2.gz HLFPUBVWAMNOIF-NSHDSACASA-N 0 2 322.390 0.613 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc3c(c2)CCO3)S1 ZINC000742613614 667795113 /nfs/dbraw/zinc/79/51/13/667795113.db2.gz OEGKNRBTSILDIS-LLVKDONJSA-N 0 2 305.359 0.794 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCOC1CCC1 ZINC000742818369 667799844 /nfs/dbraw/zinc/79/98/44/667799844.db2.gz OWIRJFFTNJEPQH-UHFFFAOYSA-N 0 2 304.350 0.559 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC[C@H](O)c2ccccc2)S1 ZINC000742821633 667799975 /nfs/dbraw/zinc/79/99/75/667799975.db2.gz NZMSZBGDHKJNGD-WDEREUQCSA-N 0 2 307.375 0.783 20 0 DCADLN CO[C@@]1(C)C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000744719749 667831609 /nfs/dbraw/zinc/83/16/09/667831609.db2.gz IFYZWRMUBJTEKS-BMIGLBTASA-N 0 2 319.365 0.391 20 0 DCADLN CS(=O)(=O)C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC000887754915 667909008 /nfs/dbraw/zinc/90/90/08/667909008.db2.gz OOGCTIRCJZWSGM-RQJHMYQMSA-N 0 2 307.265 0.159 20 0 DCADLN CS(=O)(=O)C[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC000887754915 667909014 /nfs/dbraw/zinc/90/90/14/667909014.db2.gz OOGCTIRCJZWSGM-RQJHMYQMSA-N 0 2 307.265 0.159 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1CS(N)(=O)=O ZINC000887864076 667922828 /nfs/dbraw/zinc/92/28/28/667922828.db2.gz QQZDAWCAPBHKPE-DSYKOEDSSA-N 0 2 306.281 0.270 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1CS(N)(=O)=O ZINC000887864076 667922830 /nfs/dbraw/zinc/92/28/30/667922830.db2.gz QQZDAWCAPBHKPE-DSYKOEDSSA-N 0 2 306.281 0.270 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)NC2(C)CC(F)(F)C2)on1 ZINC000872663100 667926280 /nfs/dbraw/zinc/92/62/80/667926280.db2.gz FJFLTNSIXVQZBX-UHFFFAOYSA-N 0 2 310.278 0.927 20 0 DCADLN COC(=O)c1cc(NC(=O)CC2SC(=N)NC2=O)cn1C ZINC000749447069 667955558 /nfs/dbraw/zinc/95/55/58/667955558.db2.gz TZWIHOBZXPBACZ-QMMMGPOBSA-N 0 2 310.335 0.307 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc3[nH]c(=O)[nH]c3c2)S1 ZINC000752817836 668022538 /nfs/dbraw/zinc/02/25/38/668022538.db2.gz CJFFCEPVEFIRHT-SECBINFHSA-N 0 2 319.346 0.441 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000753476394 668031617 /nfs/dbraw/zinc/03/16/17/668031617.db2.gz LEEFAUKZMDNZGT-UHFFFAOYSA-N 0 2 303.366 0.778 20 0 DCADLN Cn1c2cccc(NC(=O)CC3SC(=N)NC3=O)c2oc1=O ZINC000753737368 668034549 /nfs/dbraw/zinc/03/45/49/668034549.db2.gz MTTFMXSUFSJVBP-QMMMGPOBSA-N 0 2 320.330 0.626 20 0 DCADLN CC(C)[C@H](O)C(C)(C)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000754138513 668042129 /nfs/dbraw/zinc/04/21/29/668042129.db2.gz XRTNJPMBKGMNAI-SCZZXKLOSA-N 0 2 301.412 0.702 20 0 DCADLN COC(=O)C(=O)[C@@H](C)OC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000759124115 668207658 /nfs/dbraw/zinc/20/76/58/668207658.db2.gz URRZMEQXCZJHSL-RXMQYKEDSA-N 0 2 313.228 0.117 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000759466423 668220923 /nfs/dbraw/zinc/22/09/23/668220923.db2.gz YFNBOLGHMRXVJO-QWRGUYRKSA-N 0 2 321.406 0.948 20 0 DCADLN C[C@H]([NH2+]Cc1ccc(N2CC[NH+](C)CC2)nc1)c1cnnn1C ZINC000924627583 668223189 /nfs/dbraw/zinc/22/31/89/668223189.db2.gz PRWWXLLHONDGDZ-ZDUSSCGKSA-N 0 2 315.425 0.813 20 0 DCADLN CCOC(=O)C[C@H](C)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000762246320 668353349 /nfs/dbraw/zinc/35/33/49/668353349.db2.gz WRDFKLQGLRNXCW-JGVFFNPUSA-N 0 2 301.368 0.248 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](O)c2cccc(F)c2)S1 ZINC000762392637 668359645 /nfs/dbraw/zinc/35/96/45/668359645.db2.gz KBRUWMJHMALCCL-UWVGGRQHSA-N 0 2 311.338 0.532 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2c3ccccc3C[C@H]2O)S1 ZINC000763086041 668397038 /nfs/dbraw/zinc/39/70/38/668397038.db2.gz UPCWMGLUXDNOFT-SCVCMEIPSA-N 0 2 305.359 0.317 20 0 DCADLN O=c1ncnc2n(Cc3nc(-c4ccc(F)cn4)no3)[nH]cc1-2 ZINC000763346494 668406365 /nfs/dbraw/zinc/40/63/65/668406365.db2.gz GPPKRSWSXJSGOY-UHFFFAOYSA-N 0 2 313.252 0.704 20 0 DCADLN Cn1cc(NC(=O)CC2SC(=N)NC2=O)c(C(F)(F)F)n1 ZINC000765210116 668481292 /nfs/dbraw/zinc/48/12/92/668481292.db2.gz LTKAGERXGIXRMG-YFKPBYRVSA-N 0 2 321.284 0.934 20 0 DCADLN O=C(Nn1cnc2ccccc2c1=O)c1cnn2ncccc12 ZINC000765860865 668511906 /nfs/dbraw/zinc/51/19/06/668511906.db2.gz VNNXEDJUUXBXET-UHFFFAOYSA-N 0 2 306.285 0.823 20 0 DCADLN Cn1c[nH+]cc1CC[N@H+](C)C[C@@H](O)CNC(=O)OC(C)(C)C ZINC000878661716 668753834 /nfs/dbraw/zinc/75/38/34/668753834.db2.gz HIMXOAXRGCVWFD-ZDUSSCGKSA-N 0 2 312.414 0.780 20 0 DCADLN CNC(=O)c1cccc(C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC000773085021 668792963 /nfs/dbraw/zinc/79/29/63/668792963.db2.gz DEDXOIZRTIYHGV-UHFFFAOYSA-N 0 2 306.347 0.690 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)OCC(=O)[N-]OCc2ccccc2)C1 ZINC000805477714 668793872 /nfs/dbraw/zinc/79/38/72/668793872.db2.gz NZXSHXBQGDYDCI-AWEZNQCLSA-N 0 2 322.361 0.498 20 0 DCADLN CC[N@H+]1CCO[C@H](C(=O)OCC(=O)[N-]OCc2ccccc2)C1 ZINC000805477714 668793873 /nfs/dbraw/zinc/79/38/73/668793873.db2.gz NZXSHXBQGDYDCI-AWEZNQCLSA-N 0 2 322.361 0.498 20 0 DCADLN O=C(c1ccc2n[nH]nc2c1)N1CCSC[C@H]1c1nn[nH]n1 ZINC000773159792 668794688 /nfs/dbraw/zinc/79/46/88/668794688.db2.gz SMYJGJKQLPCWIV-JTQLQIEISA-N 0 2 316.350 0.401 20 0 DCADLN O=C(Cn1cccc(C(F)(F)F)c1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774895567 668849990 /nfs/dbraw/zinc/84/99/90/668849990.db2.gz FLOQYRGQKONJKY-UHFFFAOYSA-N 0 2 318.211 0.434 20 0 DCADLN CCCC(=O)N1CSC[C@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774944399 668852314 /nfs/dbraw/zinc/85/23/14/668852314.db2.gz CLFXNMJSQGMRDL-ZETCQYMHSA-N 0 2 300.340 0.255 20 0 DCADLN O=C(Cn1cc(-c2ccccc2)nn1)OCc1n[nH]c(=O)[nH]1 ZINC000774969683 668852758 /nfs/dbraw/zinc/85/27/58/668852758.db2.gz UKBCYXCCAVHOOF-UHFFFAOYSA-N 0 2 300.278 0.512 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cc(-c3ccccc3)on2)C1=O ZINC000776243287 668873950 /nfs/dbraw/zinc/87/39/50/668873950.db2.gz VOUAIGYQRNYANR-UHFFFAOYSA-N 0 2 300.274 0.880 20 0 DCADLN CC1(C)CC[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)O1 ZINC000778209407 668895213 /nfs/dbraw/zinc/89/52/13/668895213.db2.gz PRXVWQPQOYNGQI-SECBINFHSA-N 0 2 305.338 0.145 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1ccc(CO)cc1 ZINC000778801736 668904037 /nfs/dbraw/zinc/90/40/37/668904037.db2.gz RXTDPUSEZTULNZ-UHFFFAOYSA-N 0 2 314.301 0.307 20 0 DCADLN CC(C)(CNC(=O)N1CCC[C@@]1(C)C(=O)[O-])[NH+]1CCOCC1 ZINC000780342071 668980266 /nfs/dbraw/zinc/98/02/66/668980266.db2.gz SHFDCLISMQUVQU-HNNXBMFYSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)(C(=O)[O-])C1CC1 ZINC000780511165 668991044 /nfs/dbraw/zinc/99/10/44/668991044.db2.gz NEWADYKUCZEFOP-HNNXBMFYSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)(C(=O)[O-])C1CC1 ZINC000780511165 668991048 /nfs/dbraw/zinc/99/10/48/668991048.db2.gz NEWADYKUCZEFOP-HNNXBMFYSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000780694439 669006022 /nfs/dbraw/zinc/00/60/22/669006022.db2.gz BPJHIRKLKDALPW-NWDGAFQWSA-N 0 2 320.349 0.155 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000780697558 669006106 /nfs/dbraw/zinc/00/61/06/669006106.db2.gz VVBXDIXPDFUZNH-GFCCVEGCSA-N 0 2 322.365 0.403 20 0 DCADLN CC1(C)CN(C(=O)CN2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCO1 ZINC000930498792 669029715 /nfs/dbraw/zinc/02/97/15/669029715.db2.gz POYLQJHFBQUUII-NSHDSACASA-N 0 2 323.397 0.327 20 0 DCADLN CC1(C)CCC[C@](O)(CNC(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000783769612 669172766 /nfs/dbraw/zinc/17/27/66/669172766.db2.gz NYKWSQWZEXFXGW-YMTOWFKASA-N 0 2 313.423 0.990 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC([C@@H]3CCCO3)CC2)S1 ZINC000784617319 669215189 /nfs/dbraw/zinc/21/51/89/669215189.db2.gz PUVVUOQYDARSJK-WDEREUQCSA-N 0 2 311.407 0.960 20 0 DCADLN Cc1nccc(Cn2cc(CNC(=O)C(F)(F)F)nn2)n1 ZINC000881294578 669319114 /nfs/dbraw/zinc/31/91/14/669319114.db2.gz YKYQQRZIMKGVSO-UHFFFAOYSA-N 0 2 300.244 0.603 20 0 DCADLN CCCOC(=O)[C@@H](C)n1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881296674 669319350 /nfs/dbraw/zinc/31/93/50/669319350.db2.gz WBCVJCDMZWMNJU-SSDOTTSWSA-N 0 2 308.260 0.971 20 0 DCADLN CC(C)OC[C@H](O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881392691 669333995 /nfs/dbraw/zinc/33/39/95/669333995.db2.gz CEDNRLURTOLUPI-SECBINFHSA-N 0 2 310.276 0.243 20 0 DCADLN CC[N@H+]1CC[C@H]1CNC(=O)C(=O)NCCNc1cccc(C)[nH+]1 ZINC000932817962 669424607 /nfs/dbraw/zinc/42/46/07/669424607.db2.gz MRKWJAUBLCRXAX-ZDUSSCGKSA-N 0 2 319.409 0.129 20 0 DCADLN CCOC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)C ZINC000789691210 669504952 /nfs/dbraw/zinc/50/49/52/669504952.db2.gz MPKOZJLKOOAFFX-NSHDSACASA-N 0 2 307.354 0.249 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CC=C(Cl)Cl)[nH]1 ZINC000882393490 669515976 /nfs/dbraw/zinc/51/59/76/669515976.db2.gz ZXGAUPWUYWYUMZ-UHFFFAOYSA-N 0 2 315.182 0.690 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCCc1cnccn1 ZINC000790009646 669529496 /nfs/dbraw/zinc/52/94/96/669529496.db2.gz INFNUAUKYOCFCH-JTQLQIEISA-N 0 2 318.299 0.838 20 0 DCADLN Cc1ccc(NS(=O)(=O)N=[S@](C)(=O)N(C)C)c(O)c1 ZINC000882664224 669562891 /nfs/dbraw/zinc/56/28/91/669562891.db2.gz OYSDMBVRAKWJAZ-SFHVURJKSA-N 0 2 307.397 0.932 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H](C)C(=O)NCC(F)(F)F ZINC000883413720 669681102 /nfs/dbraw/zinc/68/11/02/669681102.db2.gz YTELFJBSVBMEEK-SSDOTTSWSA-N 0 2 314.260 0.003 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)NCC(F)(F)F ZINC000883413720 669681106 /nfs/dbraw/zinc/68/11/06/669681106.db2.gz YTELFJBSVBMEEK-SSDOTTSWSA-N 0 2 314.260 0.003 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)C(=O)NCC3)S1 ZINC000792812647 669688190 /nfs/dbraw/zinc/68/81/90/669688190.db2.gz LNHPUERSIFIFAO-JTQLQIEISA-N 0 2 318.358 0.467 20 0 DCADLN Cn1cnc(C=CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC000935844217 669783219 /nfs/dbraw/zinc/78/32/19/669783219.db2.gz GVJSFJJFXJRHBJ-UMCURTJPSA-N 0 2 302.338 0.663 20 0 DCADLN Cc1ccc(CN(CCO)C(=O)C[C@@H]2SC(=N)NC2=O)o1 ZINC000794318219 669821126 /nfs/dbraw/zinc/82/11/26/669821126.db2.gz UORNGXAQRSNFIX-JTQLQIEISA-N 0 2 311.363 0.465 20 0 DCADLN COc1ccccc1CONC(=O)CC1SC(=N)NC1=O ZINC000799095439 670039740 /nfs/dbraw/zinc/03/97/40/670039740.db2.gz NIBDYJQYHALDRI-JTQLQIEISA-N 0 2 309.347 0.799 20 0 DCADLN COc1ccccc1CONC(=O)C[C@@H]1SC(=N)NC1=O ZINC000799095439 670039741 /nfs/dbraw/zinc/03/97/41/670039741.db2.gz NIBDYJQYHALDRI-JTQLQIEISA-N 0 2 309.347 0.799 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@@H](OC)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950663409 670088369 /nfs/dbraw/zinc/08/83/69/670088369.db2.gz RQEHYABIPPDMGG-CMPLNLGQSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1ccc(C(=O)NNC(=O)[C@@H]2CC(c3cccnc3)=NO2)o1 ZINC000189136682 670093518 /nfs/dbraw/zinc/09/35/18/670093518.db2.gz BRZNRDMJCAVPEB-ZDUSSCGKSA-N 0 2 314.301 0.937 20 0 DCADLN COCCO[C@@H]1CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000801313482 670123913 /nfs/dbraw/zinc/12/39/13/670123913.db2.gz HOQZQDOHMKUYPX-ZJUUUORDSA-N 0 2 315.395 0.197 20 0 DCADLN Cn1cc2c(n1)CCC[C@H]2NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000801584142 670135736 /nfs/dbraw/zinc/13/57/36/670135736.db2.gz BRGIZYJZNNDJIF-SCZZXKLOSA-N 0 2 307.379 0.470 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2ccc3c[nH]nc3c2)S1 ZINC000803564037 670253935 /nfs/dbraw/zinc/25/39/35/670253935.db2.gz GQPABZWKYJIPDE-LLVKDONJSA-N 0 2 317.374 0.778 20 0 DCADLN O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000949110974 670261368 /nfs/dbraw/zinc/26/13/68/670261368.db2.gz AKFZFMUDKQWXBF-UHFFFAOYSA-N 0 2 321.362 0.302 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000951696062 670341883 /nfs/dbraw/zinc/34/18/83/670341883.db2.gz JKVMDTPVWRXPEX-LLVKDONJSA-N 0 2 319.365 0.626 20 0 DCADLN O=C([C@@H](O)c1ccccc1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000807974089 670383671 /nfs/dbraw/zinc/38/36/71/670383671.db2.gz SJAVIGNJLNHINL-LBPRGKRZSA-N 0 2 302.334 0.950 20 0 DCADLN O=C(CN1CCSC1=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000808027458 670386254 /nfs/dbraw/zinc/38/62/54/670386254.db2.gz BSSWNIAMUJXRCC-QMMMGPOBSA-N 0 2 311.367 0.385 20 0 DCADLN CCN(C(=O)[C@H]1CC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949657745 670397578 /nfs/dbraw/zinc/39/75/78/670397578.db2.gz GRCMJTDUUKMORS-NSHDSACASA-N 0 2 305.382 0.899 20 0 DCADLN Cc1nc2ccc(NC(=O)CC3SC(=N)NC3=O)cn2n1 ZINC000848024429 670622829 /nfs/dbraw/zinc/62/28/29/670622829.db2.gz SLYCHBSVSCRJCV-QMMMGPOBSA-N 0 2 304.335 0.533 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@H](O)c1ccccc1 ZINC000813848182 670656054 /nfs/dbraw/zinc/65/60/54/670656054.db2.gz XNFWEGMELCSZBL-XRNSZHNASA-N 0 2 307.375 0.781 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@H](O)c1ccccc1 ZINC000813848180 670656127 /nfs/dbraw/zinc/65/61/27/670656127.db2.gz XNFWEGMELCSZBL-PEXQALLHSA-N 0 2 307.375 0.781 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCc1ccncn1 ZINC000816612854 670826098 /nfs/dbraw/zinc/82/60/98/670826098.db2.gz ZHRNRSDWLPOFHQ-VIFPVBQESA-N 0 2 304.272 0.796 20 0 DCADLN CCCOc1nc(C)ccc1C(=O)Nn1cnn(CC)c1=O ZINC000816737040 670854242 /nfs/dbraw/zinc/85/42/42/670854242.db2.gz WRHFCPSIFZGYEX-UHFFFAOYSA-N 0 2 305.338 0.941 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2CCc3cccnc32)S1 ZINC000857970016 670965228 /nfs/dbraw/zinc/96/52/28/670965228.db2.gz CCUYVVVYTYSDCN-NXEZZACHSA-N 0 2 304.375 0.784 20 0 DCADLN CC(C)Oc1cccc([C@H](O)CNC(=O)c2nc(=O)[nH][nH]2)c1 ZINC000116985015 671148737 /nfs/dbraw/zinc/14/87/37/671148737.db2.gz BWAKZJCOGKVEHI-LLVKDONJSA-N 0 2 306.322 0.349 20 0 DCADLN CC(C)c1[nH][nH]c(=O)c1C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000853000969 671201346 /nfs/dbraw/zinc/20/13/46/671201346.db2.gz JHCQZFMHMCKEBO-JTQLQIEISA-N 0 2 324.362 0.031 20 0 DCADLN NC(=O)CCOC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860629252 671224859 /nfs/dbraw/zinc/22/48/59/671224859.db2.gz BTFBOEQKNVXTMR-UHFFFAOYSA-N 0 2 308.212 0.490 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CNC(=O)c2ccccc21 ZINC000860922454 671250017 /nfs/dbraw/zinc/25/00/17/671250017.db2.gz SBMRMWRPZYNMKT-JTQLQIEISA-N 0 2 318.358 0.544 20 0 DCADLN COCc1nnc(CNC(=O)NCCNc2ccccc2)[nH]1 ZINC000821166284 671258723 /nfs/dbraw/zinc/25/87/23/671258723.db2.gz TVANTPVMIMREBD-UHFFFAOYSA-N 0 2 304.354 0.862 20 0 DCADLN CN1C[C@H](NC(=O)C[C@H]2SC(=N)NC2=O)Cc2ccccc21 ZINC000824938684 671444712 /nfs/dbraw/zinc/44/47/12/671444712.db2.gz UTTCZTRXWWHGNI-ZYHUDNBSSA-N 0 2 318.402 0.720 20 0 DCADLN Nc1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c(O)c1 ZINC000832185493 671708285 /nfs/dbraw/zinc/70/82/85/671708285.db2.gz BWESTDLMCDRACV-QMMMGPOBSA-N 0 2 303.322 0.818 20 0 DCADLN COc1nccc(COC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000837670187 671872034 /nfs/dbraw/zinc/87/20/34/671872034.db2.gz KMSVHHBQEQVSBL-UHFFFAOYSA-N 0 2 321.255 0.986 20 0 DCADLN CC(C)(C)OC(=O)N[C@H]1C[C@@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000843813278 672122772 /nfs/dbraw/zinc/12/27/72/672122772.db2.gz HXWXWEYRTIFUBE-OCAPTIKFSA-N 0 2 311.342 0.430 20 0 DCADLN CC(C)(C)N1CC[C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)C1=O ZINC000843942442 672130484 /nfs/dbraw/zinc/13/04/84/672130484.db2.gz SJMYQZRXHZTKBB-YUMQZZPRSA-N 0 2 312.395 0.058 20 0 DCADLN CCCN(C)S(=O)(=O)[N-]C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845488779 672252887 /nfs/dbraw/zinc/25/28/87/672252887.db2.gz YSOODBCRFDJFAH-UHFFFAOYSA-N 0 2 314.411 0.465 20 0 DCADLN O=S(=O)(NC[C@H]1COCO1)c1onc(C2CC2)c1Cl ZINC000867396521 672275060 /nfs/dbraw/zinc/27/50/60/672275060.db2.gz YQEQDVXTCLYKFS-ZETCQYMHSA-N 0 2 308.743 0.857 20 0 DCADLN O=C([O-])CC1(CC(=O)NC[C@H]2CN3CC[N@@H+]2CCC3)CCCC1 ZINC000394662093 685267098 /nfs/dbraw/zinc/26/70/98/685267098.db2.gz FIBBQSFGSLQLGZ-AWEZNQCLSA-N 0 2 323.437 0.918 20 0 DCADLN O=C([O-])CC1(CC(=O)NC[C@H]2CN3CC[N@H+]2CCC3)CCCC1 ZINC000394662093 685267101 /nfs/dbraw/zinc/26/71/01/685267101.db2.gz FIBBQSFGSLQLGZ-AWEZNQCLSA-N 0 2 323.437 0.918 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cnon2)CCN1C(=O)C(F)C(F)(F)F ZINC001020320643 693767990 /nfs/dbraw/zinc/76/79/90/693767990.db2.gz QIMZIQMDLNJRJI-HAFWLYHUSA-N 0 2 324.234 0.689 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cnon2)CCN1C(=O)[C@H](F)C(F)(F)F ZINC001020320643 693767993 /nfs/dbraw/zinc/76/79/93/693767993.db2.gz QIMZIQMDLNJRJI-HAFWLYHUSA-N 0 2 324.234 0.689 20 0 DCADLN O=C(NC[C@@H](O)c1cccc(C(F)(F)F)c1)c1nc(=O)[nH][nH]1 ZINC000304005215 685209981 /nfs/dbraw/zinc/20/99/81/685209981.db2.gz SNNZGUMRCPDXCV-MRVPVSSYSA-N 0 2 316.239 0.580 20 0 DCADLN CN(C(=O)c1ccn(C)n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954318990 685991861 /nfs/dbraw/zinc/99/18/61/685991861.db2.gz IAXQXQDSKMKCDU-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1ccn(C)n1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954318990 685991862 /nfs/dbraw/zinc/99/18/62/685991862.db2.gz IAXQXQDSKMKCDU-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc(C)n1 ZINC001038293189 694036468 /nfs/dbraw/zinc/03/64/68/694036468.db2.gz QHTSBZBWAXTIJI-LBPRGKRZSA-N 0 2 316.365 0.526 20 0 DCADLN O=C(CC[C@H]1CCCOC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956952660 686920437 /nfs/dbraw/zinc/92/04/37/686920437.db2.gz KUQMALFKVGQDDO-GFCCVEGCSA-N 0 2 323.397 0.361 20 0 DCADLN C[C@@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)c1ccco1 ZINC000957354088 687040220 /nfs/dbraw/zinc/04/02/20/687040220.db2.gz MKQUQLJHZMEUCY-NSHDSACASA-N 0 2 319.365 0.941 20 0 DCADLN CC(F)(F)C(C)(C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957479818 687069396 /nfs/dbraw/zinc/06/93/96/687069396.db2.gz TXXJLUDMFGYGIQ-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN O=C(Cc1ccc(F)cc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957519437 687076242 /nfs/dbraw/zinc/07/62/42/687076242.db2.gz PTWXOHSFWIPNDQ-UHFFFAOYSA-N 0 2 319.340 0.536 20 0 DCADLN Cc1cncc(C)c1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038511337 694102998 /nfs/dbraw/zinc/10/29/98/694102998.db2.gz VDDRGMTXKMAWER-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN CCC(=O)N(C)[C@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000958349518 687570481 /nfs/dbraw/zinc/57/04/81/687570481.db2.gz FFHQEDNOXHKBIF-ACLDMZEESA-N 0 2 300.252 0.327 20 0 DCADLN CCC(=O)N(C)[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC000958349518 687570482 /nfs/dbraw/zinc/57/04/82/687570482.db2.gz FFHQEDNOXHKBIF-ACLDMZEESA-N 0 2 300.252 0.327 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038722271 694175000 /nfs/dbraw/zinc/17/50/00/694175000.db2.gz MZLAEMULQJDODM-IJLUTSLNSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(C(F)C(F)(F)F)N1C[C@H]2OCCN(C(=O)C3CC3)[C@H]2C1 ZINC000959879563 688247714 /nfs/dbraw/zinc/24/77/14/688247714.db2.gz YRWXTSUCTLRZLM-AEJSXWLSSA-N 0 2 324.274 0.735 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1C[C@H]2OCCN(C(=O)C3CC3)[C@H]2C1 ZINC000959879563 688247720 /nfs/dbraw/zinc/24/77/20/688247720.db2.gz YRWXTSUCTLRZLM-AEJSXWLSSA-N 0 2 324.274 0.735 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cs1 ZINC001038815844 694200212 /nfs/dbraw/zinc/20/02/12/694200212.db2.gz HPFREJWBPGOHKX-JTQLQIEISA-N 0 2 307.379 0.885 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)cs1 ZINC000962062603 688801645 /nfs/dbraw/zinc/80/16/45/688801645.db2.gz ZTIUYHOJYAAVPH-IAZYJMLFSA-N 0 2 319.390 0.740 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)cs1 ZINC000962062603 688801649 /nfs/dbraw/zinc/80/16/49/688801649.db2.gz ZTIUYHOJYAAVPH-IAZYJMLFSA-N 0 2 319.390 0.740 20 0 DCADLN Cc1nc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)sc1C ZINC001039037763 694282080 /nfs/dbraw/zinc/28/20/80/694282080.db2.gz SYIJDHINOYCRAL-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)n1 ZINC001039039973 694282156 /nfs/dbraw/zinc/28/21/56/694282156.db2.gz MLODCMLLNNXDRB-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C=C1CCC1 ZINC000965891412 689571183 /nfs/dbraw/zinc/57/11/83/689571183.db2.gz VKZXSSYVRMEQRI-PWSUYJOCSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C=C1CCC1 ZINC000965891412 689571185 /nfs/dbraw/zinc/57/11/85/689571185.db2.gz VKZXSSYVRMEQRI-PWSUYJOCSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCOCC1 ZINC000965936712 689588140 /nfs/dbraw/zinc/58/81/40/689588140.db2.gz UIFSSXSWVFELRX-CMPLNLGQSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCOCC1 ZINC000965936712 689588142 /nfs/dbraw/zinc/58/81/42/689588142.db2.gz UIFSSXSWVFELRX-CMPLNLGQSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1csnn1 ZINC000967500570 690018308 /nfs/dbraw/zinc/01/83/08/690018308.db2.gz SIVPMAYFASMUQK-HTQZYQBOSA-N 0 2 323.382 0.002 20 0 DCADLN CC(C)[C@@H](C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1)[NH+](C)C ZINC000968990254 690445512 /nfs/dbraw/zinc/44/55/12/690445512.db2.gz ZGRMRKMMDFRCEO-YPMHNXCESA-N 0 2 311.455 0.815 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@@H]2C[NH+](CCCF)CC2(C)C)C1 ZINC000972992762 690502989 /nfs/dbraw/zinc/50/29/89/690502989.db2.gz TXWSSMNZLYWVSJ-QWHCGFSZSA-N 0 2 301.406 0.503 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CCC[N@@H+](Cc3ccnn3C)C2)c1[O-] ZINC001007122203 690630460 /nfs/dbraw/zinc/63/04/60/690630460.db2.gz QBIPZCKGKHLEOG-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CCC[N@H+](Cc3ccnn3C)C2)c1[O-] ZINC001007122203 690630462 /nfs/dbraw/zinc/63/04/62/690630462.db2.gz QBIPZCKGKHLEOG-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN O=C(CC1=CCOCC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008415526 690860872 /nfs/dbraw/zinc/86/08/72/690860872.db2.gz DFNLRFNNGCAWBB-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cc1 ZINC001009915401 691131198 /nfs/dbraw/zinc/13/11/98/691131198.db2.gz CNBMPSQNGXIBDU-LLVKDONJSA-N 0 2 305.313 0.654 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(F)c1 ZINC001009987892 691141300 /nfs/dbraw/zinc/14/13/00/691141300.db2.gz QOIUWFXNDHRWIV-NSHDSACASA-N 0 2 305.313 0.654 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1O ZINC001010265973 691203105 /nfs/dbraw/zinc/20/31/05/691203105.db2.gz FBSFYJVSACVTBV-VIFPVBQESA-N 0 2 303.322 0.220 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3cncc(F)c3)C2)c1[O-] ZINC001010618353 691326763 /nfs/dbraw/zinc/32/67/63/691326763.db2.gz AHGWOBDKRGOQTE-GFCCVEGCSA-N 0 2 319.340 0.962 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3cncc(F)c3)C2)c1[O-] ZINC001010618353 691326767 /nfs/dbraw/zinc/32/67/67/691326767.db2.gz AHGWOBDKRGOQTE-GFCCVEGCSA-N 0 2 319.340 0.962 20 0 DCADLN CC(C)c1ocnc1CN1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001015068946 692181052 /nfs/dbraw/zinc/18/10/52/692181052.db2.gz YDHWPXIRIKUOEI-JTQLQIEISA-N 0 2 304.354 0.920 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C2CC2)CCC1 ZINC001015414099 692292194 /nfs/dbraw/zinc/29/21/94/692292194.db2.gz HNFWNPKCDMVQGZ-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN Cc1cc(F)cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015746293 692425653 /nfs/dbraw/zinc/42/56/53/692425653.db2.gz OKQHIJXLFMRPLX-LBPRGKRZSA-N 0 2 319.340 0.962 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1CC=CC1 ZINC001073560035 694742846 /nfs/dbraw/zinc/74/28/46/694742846.db2.gz BPOUTCOCVBHKOB-GFCCVEGCSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(c1cscn1)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075612359 694963283 /nfs/dbraw/zinc/96/32/83/694963283.db2.gz JRAMWPXNHHTLQU-WCBMZHEXSA-N 0 2 320.378 0.313 20 0 DCADLN C[C@@H](C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001075655314 694966478 /nfs/dbraw/zinc/96/64/78/694966478.db2.gz IIIABBQUFMPXCS-ADEWGFFLSA-N 0 2 305.382 0.589 20 0 DCADLN C[C@@H](C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001075655314 694966479 /nfs/dbraw/zinc/96/64/79/694966479.db2.gz IIIABBQUFMPXCS-ADEWGFFLSA-N 0 2 305.382 0.589 20 0 DCADLN O=C([C@H]1CCCCO1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075692103 694968463 /nfs/dbraw/zinc/96/84/63/694968463.db2.gz JYBXOBMIEKMUSN-QJPTWQEYSA-N 0 2 321.381 0.112 20 0 DCADLN O=C([C@H]1CCCCO1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075692103 694968464 /nfs/dbraw/zinc/96/84/64/694968464.db2.gz JYBXOBMIEKMUSN-QJPTWQEYSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@@H](CCNC(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001076405688 695020078 /nfs/dbraw/zinc/02/00/78/695020078.db2.gz BDKROOPPYASVAD-NKWVEPMBSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)c1ncc[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001076405688 695020079 /nfs/dbraw/zinc/02/00/79/695020079.db2.gz BDKROOPPYASVAD-NKWVEPMBSA-N 0 2 310.251 0.935 20 0 DCADLN O=C(C=C1CCCCC1)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001076788323 695052931 /nfs/dbraw/zinc/05/29/31/695052931.db2.gz GAVOANOXTUPKRS-VXGBXAGGSA-N 0 2 321.381 0.062 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001076857023 695077502 /nfs/dbraw/zinc/07/75/02/695077502.db2.gz IQZILXDMHOPRAJ-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001076857023 695077505 /nfs/dbraw/zinc/07/75/05/695077505.db2.gz IQZILXDMHOPRAJ-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001076860238 695078715 /nfs/dbraw/zinc/07/87/15/695078715.db2.gz RZRXDNPRQCTTDX-CAHLUQPWSA-N 0 2 311.239 0.330 20 0 DCADLN Cc1ccc2cccnc2c1S(=O)(=O)Nc1nnn(C)n1 ZINC001671309801 1157682250 /nfs/dbraw/zinc/68/22/50/1157682250.db2.gz IZTSGXFYVUEGMH-UHFFFAOYSA-N 0 2 304.335 0.868 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)CCC2CCCC2)CC1 ZINC001649944830 1158631850 /nfs/dbraw/zinc/63/18/50/1158631850.db2.gz SCUZXQZZTZKZDK-UHFFFAOYSA-N 0 2 318.439 0.989 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)on1 ZINC001720070329 1158796719 /nfs/dbraw/zinc/79/67/19/1158796719.db2.gz XKIUIQTYWOPLPR-ZETCQYMHSA-N 0 2 313.207 0.430 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)on1 ZINC001720070329 1158796723 /nfs/dbraw/zinc/79/67/23/1158796723.db2.gz XKIUIQTYWOPLPR-ZETCQYMHSA-N 0 2 313.207 0.430 20 0 DCADLN CN(CCCNC(=O)c1cn[nH]n1)C(=O)C(F)C(F)(F)F ZINC001687537905 1158884275 /nfs/dbraw/zinc/88/42/75/1158884275.db2.gz DKBNIYUWYQXCSL-ZETCQYMHSA-N 0 2 311.239 0.283 20 0 DCADLN O=C(NCCn1cnnn1)C1=NN(c2ccc(F)cc2)CC1=O ZINC001445662669 1159309924 /nfs/dbraw/zinc/30/99/24/1159309924.db2.gz ZRAXEHZZFXUBOL-UHFFFAOYSA-N 0 2 317.284 0.134 20 0 DCADLN Cn1cc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001446034416 1159329963 /nfs/dbraw/zinc/32/99/63/1159329963.db2.gz YPGNHICJDGVGPX-IUCAKERBSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cc(CN2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001446034416 1159329971 /nfs/dbraw/zinc/32/99/71/1159329971.db2.gz YPGNHICJDGVGPX-IUCAKERBSA-N 0 2 309.267 0.406 20 0 DCADLN C[C@H](CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001446127310 1159349258 /nfs/dbraw/zinc/34/92/58/1159349258.db2.gz OTSHUGFQAPQVRX-NEPJUHHUSA-N 0 2 318.381 0.654 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1ccn2nnnc2c1 ZINC001567334569 1160314689 /nfs/dbraw/zinc/31/46/89/1160314689.db2.gz MWIGBTCZTVATAZ-UHFFFAOYSA-N 0 2 308.257 0.065 20 0 DCADLN CCOCCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567359496 1160326799 /nfs/dbraw/zinc/32/67/99/1160326799.db2.gz MGXAFEYLJMVTJI-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CCOCCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567359496 1160326805 /nfs/dbraw/zinc/32/68/05/1160326805.db2.gz MGXAFEYLJMVTJI-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN O=C([O-])c1n[nH]nc1[C@H]1CCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001574422724 1163718824 /nfs/dbraw/zinc/71/88/24/1163718824.db2.gz ARVPZOQVSXPZQM-SECBINFHSA-N 0 2 304.310 0.522 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001574924443 1163802812 /nfs/dbraw/zinc/80/28/12/1163802812.db2.gz OILDVQAWJSCYJN-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n(C)n1 ZINC001574924443 1163802814 /nfs/dbraw/zinc/80/28/14/1163802814.db2.gz OILDVQAWJSCYJN-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1cc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)no1 ZINC001574978441 1163830783 /nfs/dbraw/zinc/83/07/83/1163830783.db2.gz QUFNBVXASORIGV-SECBINFHSA-N 0 2 311.235 0.658 20 0 DCADLN Cc1cc(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)no1 ZINC001574978441 1163830789 /nfs/dbraw/zinc/83/07/89/1163830789.db2.gz QUFNBVXASORIGV-SECBINFHSA-N 0 2 311.235 0.658 20 0 DCADLN C[C@@H](CC(=O)N[C@H]1C[C@H]([NH2+]Cc2c[nH]nn2)C1)[C@@H]1CCCO1 ZINC001575512395 1164041831 /nfs/dbraw/zinc/04/18/31/1164041831.db2.gz OJGSAVWMMFSUAM-MNXVOIDGSA-N 0 2 307.398 0.747 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](N)c1c(F)cccc1F)c1nn[nH]n1 ZINC001575971744 1164198366 /nfs/dbraw/zinc/19/83/66/1164198366.db2.gz GVRLUAYWUQLAQB-CPCISQLKSA-N 0 2 310.308 0.740 20 0 DCADLN C[C@]1([N-]C(=O)C(F)(F)F)CC[N@H+](Cc2ccc(N)nn2)C1 ZINC001576535248 1164382166 /nfs/dbraw/zinc/38/21/66/1164382166.db2.gz PVPLGOHQJIEGRM-NSHDSACASA-N 0 2 303.288 0.702 20 0 DCADLN C[C@]1([N-]C(=O)C(F)(F)F)CC[N@@H+](Cc2ccc(N)nn2)C1 ZINC001576535248 1164382169 /nfs/dbraw/zinc/38/21/69/1164382169.db2.gz PVPLGOHQJIEGRM-NSHDSACASA-N 0 2 303.288 0.702 20 0 DCADLN COC(=O)c1ccc(NCc2n[nH]c(C)n2)c(-c2nn[nH]n2)n1 ZINC001579484307 1165328034 /nfs/dbraw/zinc/32/80/34/1165328034.db2.gz AHKOAWUZFRVPTG-UHFFFAOYSA-N 0 2 315.297 0.087 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)Cc1cc[nH]n1 ZINC001580596191 1165649651 /nfs/dbraw/zinc/64/96/51/1165649651.db2.gz QLMPEJSDBSRUKH-UHFFFAOYSA-N 0 2 314.309 0.271 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)C2(F)F ZINC001580597754 1165650500 /nfs/dbraw/zinc/65/05/00/1165650500.db2.gz LYCYUKGSYIGALM-OCAPTIKFSA-N 0 2 322.275 0.613 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@H](C)[C@H](O)C1 ZINC001580597814 1165650594 /nfs/dbraw/zinc/65/05/94/1165650594.db2.gz OAQTVMMHTDENOF-OIBJUYFYSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H]1CCCS1 ZINC001580601579 1165651640 /nfs/dbraw/zinc/65/16/40/1165651640.db2.gz BISGYPRUIMJLNC-QMMMGPOBSA-N 0 2 320.378 0.901 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@](C)(O)C1CC1 ZINC001580602164 1165652905 /nfs/dbraw/zinc/65/29/05/1165652905.db2.gz DWUGWXSMXMLMNO-CQSZACIVSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1ncoc1CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001580606754 1165654550 /nfs/dbraw/zinc/65/45/50/1165654550.db2.gz MPJDGUWMJDNYMD-UHFFFAOYSA-N 0 2 315.293 0.502 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001582998296 1166167003 /nfs/dbraw/zinc/16/70/03/1166167003.db2.gz UNIBFNWWWLHETL-RWESXFBSSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001582998296 1166167010 /nfs/dbraw/zinc/16/70/10/1166167010.db2.gz UNIBFNWWWLHETL-RWESXFBSSA-N 0 2 314.279 0.836 20 0 DCADLN CC(C)CCCNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001589597978 1166666678 /nfs/dbraw/zinc/66/66/78/1166666678.db2.gz PUIMGAFBJBRQOV-UHFFFAOYSA-N 0 2 307.358 0.112 20 0 DCADLN CC(C)NC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001589721924 1166679235 /nfs/dbraw/zinc/67/92/35/1166679235.db2.gz ZEYRKYMIRAUBIK-UHFFFAOYSA-N 0 2 302.338 0.042 20 0 DCADLN CC(C)c1nsc(Cn2cnc(-c3nn[nH]n3)cc2=O)n1 ZINC001589779526 1166686907 /nfs/dbraw/zinc/68/69/07/1166686907.db2.gz DXPBTMLQZLFEFB-UHFFFAOYSA-N 0 2 304.339 0.447 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccsc1-c1nn[nH]n1)c1nn[nH]n1 ZINC001589841745 1166697370 /nfs/dbraw/zinc/69/73/70/1166697370.db2.gz OFQPVRHVPKVIEC-LURJTMIESA-N 0 2 319.354 0.317 20 0 DCADLN C[C@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)C(C)(C)C ZINC001589875442 1166703533 /nfs/dbraw/zinc/70/35/33/1166703533.db2.gz GBUMYSICFSNJGT-SSDOTTSWSA-N 0 2 307.358 0.760 20 0 DCADLN C[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCC1(F)F ZINC001590310434 1166857661 /nfs/dbraw/zinc/85/76/61/1166857661.db2.gz RKYNCWZODYTDTL-VIFPVBQESA-N 0 2 310.308 0.963 20 0 DCADLN CC[C@@H](NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)[C@H](C)O ZINC001590457522 1166914586 /nfs/dbraw/zinc/91/45/86/1166914586.db2.gz REASBEMLPNPFQQ-IONNQARKSA-N 0 2 306.326 0.165 20 0 DCADLN CC[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)[C@@H]1CC1(C)C ZINC001590472032 1166916767 /nfs/dbraw/zinc/91/67/67/1166916767.db2.gz QILXMDSAEJYPKO-YUMQZZPRSA-N 0 2 319.369 0.903 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCOC2CCCC2)c1=O ZINC001590949584 1167055733 /nfs/dbraw/zinc/05/57/33/1167055733.db2.gz IKHANOYNUFZJRQ-UHFFFAOYSA-N 0 2 320.353 0.169 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CC(C)(C)CO2)c1=O ZINC001590950112 1167056716 /nfs/dbraw/zinc/05/67/16/1167056716.db2.gz RDJHVZWSGLDTOU-SECBINFHSA-N 0 2 320.353 0.025 20 0 DCADLN COC[C@@H]1CCN1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001591393865 1167260284 /nfs/dbraw/zinc/26/02/84/1167260284.db2.gz JOMWUSVYHBEZTN-NSHDSACASA-N 0 2 316.365 0.195 20 0 DCADLN COc1cccc(C(=O)Cn2cncc(-c3nn[nH]n3)c2=O)c1 ZINC001591631911 1167341936 /nfs/dbraw/zinc/34/19/36/1167341936.db2.gz ILYAHUDPKCMZLV-UHFFFAOYSA-N 0 2 312.289 0.315 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)C[C@H](C)[N@H+]1C ZINC001591773737 1167372757 /nfs/dbraw/zinc/37/27/57/1167372757.db2.gz BGYJHITWQCCGLX-AOOOYVTPSA-N 0 2 316.365 0.737 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)C[C@H](C)[N@@H+]1C ZINC001591773737 1167372763 /nfs/dbraw/zinc/37/27/63/1167372763.db2.gz BGYJHITWQCCGLX-AOOOYVTPSA-N 0 2 316.365 0.737 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC1=CCCOC1 ZINC001591836032 1167389705 /nfs/dbraw/zinc/38/97/05/1167389705.db2.gz VGZJWIHUXQFYTQ-UHFFFAOYSA-N 0 2 316.321 0.352 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2nn(C)cc2Cl)c1 ZINC001591837205 1167391109 /nfs/dbraw/zinc/39/11/09/1167391109.db2.gz VNVDICJLBWAXKG-UHFFFAOYSA-N 0 2 305.729 0.772 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCc1ccncc1 ZINC001591835901 1167391393 /nfs/dbraw/zinc/39/13/93/1167391393.db2.gz ZURIAFJQSPSQKL-UHFFFAOYSA-N 0 2 311.305 0.601 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)Nc2n[nH]cc2-c2nn[nH]n2)c1 ZINC001591958953 1167425006 /nfs/dbraw/zinc/42/50/06/1167425006.db2.gz VFEZOPIVPKYJKP-UHFFFAOYSA-N 0 2 313.277 0.669 20 0 DCADLN Cc1ccn(CN(C)C[C@@H]2CCCCO2)c(=O)c1-c1nn[nH]n1 ZINC001592266016 1167479858 /nfs/dbraw/zinc/47/98/58/1167479858.db2.gz MOCGCRLEFRHTNK-LBPRGKRZSA-N 0 2 318.381 0.795 20 0 DCADLN Cc1nn(C[C@@H]2C[C@@H]3CCC[C@H]3O2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436681 1167522597 /nfs/dbraw/zinc/52/25/97/1167522597.db2.gz ZFCYMNNMLQFGSP-SDDRHHMPSA-N 0 2 316.365 0.998 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)Cc2ccc(-c3nn[nH]n3)nc2)n[nH]1 ZINC001592440725 1167525860 /nfs/dbraw/zinc/52/58/60/1167525860.db2.gz IGLMCUVGCGBPTJ-SSDOTTSWSA-N 0 2 313.325 0.108 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H](CO)OC(C)(C)C1 ZINC001592496678 1167539784 /nfs/dbraw/zinc/53/97/84/1167539784.db2.gz IZYDBXJSZGXNBL-VIFPVBQESA-N 0 2 321.337 0.380 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H]1CCN(C)C(=O)C1 ZINC001592499480 1167539845 /nfs/dbraw/zinc/53/98/45/1167539845.db2.gz NOJYSHBSDNWZNC-QMMMGPOBSA-N 0 2 304.310 0.119 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@H](O)CC(F)(F)C1 ZINC001592497079 1167539932 /nfs/dbraw/zinc/53/99/32/1167539932.db2.gz UOOGIJXYTWZPAN-SSDOTTSWSA-N 0 2 313.264 0.610 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)NC1(C(N)=O)CCCC1 ZINC001592498683 1167539986 /nfs/dbraw/zinc/53/99/86/1167539986.db2.gz CVTGNUJCYVDRJM-UHFFFAOYSA-N 0 2 304.310 0.296 20 0 DCADLN Cn1c(=O)[nH]c(N2CCCCCCCC2)c(-c2nn[nH]n2)c1=O ZINC001592544621 1167550596 /nfs/dbraw/zinc/55/05/96/1167550596.db2.gz UDGBHADDABOKLW-UHFFFAOYSA-N 0 2 319.369 0.414 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CC[C@@H]2C[C@H]2C2CC2)c1=O ZINC001592558197 1167557176 /nfs/dbraw/zinc/55/71/76/1167557176.db2.gz UDFZLHTXDZWDML-ZJUUUORDSA-N 0 2 302.338 0.163 20 0 DCADLN Cn1c(NC(C)(C)C(C)(C)C)c(-c2nn[nH]n2)c(=O)n(C)c1=O ZINC001592555937 1167557964 /nfs/dbraw/zinc/55/79/64/1167557964.db2.gz VLKGHFZFBCNGIJ-UHFFFAOYSA-N 0 2 321.385 0.501 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CCCC(C)(F)F)c1=O ZINC001592557668 1167559359 /nfs/dbraw/zinc/55/93/59/1167559359.db2.gz BNLUOKSENDVMMD-UHFFFAOYSA-N 0 2 300.269 0.163 20 0 DCADLN O=C(Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O)C1CCCCC1 ZINC001592934419 1167666951 /nfs/dbraw/zinc/66/69/51/1167666951.db2.gz FRYHOIVVWXKERM-UHFFFAOYSA-N 0 2 304.310 0.278 20 0 DCADLN O=C(NCc1n[nH]c(C2CC2)n1)c1cccc(-c2nn[nH]n2)n1 ZINC001593152498 1167725991 /nfs/dbraw/zinc/72/59/91/1167725991.db2.gz BONLMQPBMZIQQQ-UHFFFAOYSA-N 0 2 311.309 0.187 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CC[C@H]1CCOC1)CCC2 ZINC001593492169 1167877668 /nfs/dbraw/zinc/87/76/68/1167877668.db2.gz JPYXKWQWCJLLJU-JTQLQIEISA-N 0 2 301.350 0.944 20 0 DCADLN c1sc(CNCCOC[C@H]2CCCO2)nc1-c1nn[nH]n1 ZINC001593595227 1167956986 /nfs/dbraw/zinc/95/69/86/1167956986.db2.gz GGZWQRLVOKJZTA-SECBINFHSA-N 0 2 310.383 0.608 20 0 DCADLN C=CC(=O)N(CC)CC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001597554124 1168008802 /nfs/dbraw/zinc/00/88/02/1168008802.db2.gz JOBCKQMBOUCYMH-UHFFFAOYSA-N 0 2 322.365 0.232 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1cc(C)c(C(=O)[O-])o1 ZINC001598865569 1168053359 /nfs/dbraw/zinc/05/33/59/1168053359.db2.gz SVBDPKHABZISTE-LLVKDONJSA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1cc(C)c(C(=O)[O-])o1 ZINC001598865569 1168053381 /nfs/dbraw/zinc/05/33/81/1168053381.db2.gz SVBDPKHABZISTE-LLVKDONJSA-N 0 2 324.333 0.540 20 0 DCADLN Cc1cc(C(=O)[O-])oc1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001600130715 1168124553 /nfs/dbraw/zinc/12/45/53/1168124553.db2.gz QRAMFFWMXKTTRY-JTQLQIEISA-N 0 2 304.306 0.796 20 0 DCADLN Cc1cc(C2(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])CC2)on1 ZINC001600182345 1168132636 /nfs/dbraw/zinc/13/26/36/1168132636.db2.gz CLQNONGMFAVSAU-SNVBAGLBSA-N 0 2 304.306 0.481 20 0 DCADLN Cc1cc(C[N@H+](CCNC(=O)[C@H]2C[C@H]2C(=O)[O-])C2CC2)nn1C ZINC001600189887 1168133449 /nfs/dbraw/zinc/13/34/49/1168133449.db2.gz AYKOIGPFHYMTNU-UONOGXRCSA-N 0 2 320.393 0.530 20 0 DCADLN Cc1cc(C[N@@H+](CCNC(=O)[C@H]2C[C@H]2C(=O)[O-])C2CC2)nn1C ZINC001600189887 1168133452 /nfs/dbraw/zinc/13/34/52/1168133452.db2.gz AYKOIGPFHYMTNU-UONOGXRCSA-N 0 2 320.393 0.530 20 0 DCADLN Cc1cc(C[N@@H+]2CCCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)ncn1 ZINC001600194862 1168135871 /nfs/dbraw/zinc/13/58/71/1168135871.db2.gz BSWZREQKIDDZIR-UONOGXRCSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1cc(C[N@H+]2CCCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)ncn1 ZINC001600194862 1168135876 /nfs/dbraw/zinc/13/58/76/1168135876.db2.gz BSWZREQKIDDZIR-UONOGXRCSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1cc(Cn2nnnc2N2C[C@@H](C)[C@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001600222186 1168140456 /nfs/dbraw/zinc/14/04/56/1168140456.db2.gz ABCWAZFPUQSDFO-NOZJJQNGSA-N 0 2 316.365 0.890 20 0 DCADLN Cc1ccc2[nH+]cc(CN3CCNC(=O)[C@@H]3CC(=O)[O-])n2c1 ZINC001600502848 1168192677 /nfs/dbraw/zinc/19/26/77/1168192677.db2.gz AYNQTQZSCJYMAE-LBPRGKRZSA-N 0 2 302.334 0.418 20 0 DCADLN Cc1ccoc1C[N@@H+]1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)[O-] ZINC001600608262 1168212720 /nfs/dbraw/zinc/21/27/20/1168212720.db2.gz QCWJXFXKGSOUHA-UWVGGRQHSA-N 0 2 307.306 0.167 20 0 DCADLN Cc1ccoc1C[N@H+]1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)[O-] ZINC001600608262 1168212728 /nfs/dbraw/zinc/21/27/28/1168212728.db2.gz QCWJXFXKGSOUHA-UWVGGRQHSA-N 0 2 307.306 0.167 20 0 DCADLN Cc1cnc(C[NH2+]C/C=C/CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])nc1 ZINC001600621140 1168216405 /nfs/dbraw/zinc/21/64/05/1168216405.db2.gz JDVIWIGIELHFPS-FQVTYBBZSA-N 0 2 304.350 0.268 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)O[C@H](C)C(=O)NCC(=O)[O-])ccn12 ZINC001600626017 1168217444 /nfs/dbraw/zinc/21/74/44/1168217444.db2.gz BSPJSFJFPZLBKK-SECBINFHSA-N 0 2 305.290 0.389 20 0 DCADLN Cc1nc(C[NH2+]C2(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)oc1C ZINC001600702118 1168258155 /nfs/dbraw/zinc/25/81/55/1168258155.db2.gz JZXFGSWNTNUINN-GHMZBOCLSA-N 0 2 307.350 0.751 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)[C@H]1C[C@@H](C(=O)[O-])C1)C2 ZINC001600724427 1168262824 /nfs/dbraw/zinc/26/28/24/1168262824.db2.gz QWVDMWSPVINTQO-GRYCIOLGSA-N 0 2 321.377 0.268 20 0 DCADLN Cc1ncc(S(=O)(=O)N[C@H](C)Cn2cc[nH+]c2)cc1C(=O)[O-] ZINC001600744736 1168272422 /nfs/dbraw/zinc/27/24/22/1168272422.db2.gz DIHUXUPYMDCDPM-SECBINFHSA-N 0 2 324.362 0.652 20 0 DCADLN Cc1ncccc1C[NH+]1CCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001600752740 1168276939 /nfs/dbraw/zinc/27/69/39/1168276939.db2.gz RSRZAOLBVFWVJK-KBPBESRZSA-N 0 2 303.362 0.755 20 0 DCADLN Cc1noc(C[N@@H+](C)CCCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600812500 1168341603 /nfs/dbraw/zinc/34/16/03/1168341603.db2.gz HVVHXBIREQSMJK-MNOVXSKESA-N 0 2 310.354 0.379 20 0 DCADLN Cc1noc(C[N@H+](C)CCCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600812500 1168341611 /nfs/dbraw/zinc/34/16/11/1168341611.db2.gz HVVHXBIREQSMJK-MNOVXSKESA-N 0 2 310.354 0.379 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)c3cc(C(=O)[O-])co3)CC[NH2+]2)cn1 ZINC001600943423 1168523347 /nfs/dbraw/zinc/52/33/47/1168523347.db2.gz HXWROWFCLZJORM-LLVKDONJSA-N 0 2 304.306 0.498 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@H]1CCCn2nc(C(=O)[O-])cc21 ZINC001600993882 1168552173 /nfs/dbraw/zinc/55/21/73/1168552173.db2.gz ZALVJJPUONDGRM-NSHDSACASA-N 0 2 317.349 0.899 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCC[N@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001601193708 1168650732 /nfs/dbraw/zinc/65/07/32/1168650732.db2.gz GUAFUKYMWNJOAI-MRVPVSSYSA-N 0 2 319.408 0.342 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCC[N@@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001601193708 1168650759 /nfs/dbraw/zinc/65/07/59/1168650759.db2.gz GUAFUKYMWNJOAI-MRVPVSSYSA-N 0 2 319.408 0.342 20 0 DCADLN Nc1[nH+]ccc2c1CN(C(=O)Cc1ccc(C(=O)[O-])nc1)CC2 ZINC001601221724 1168665472 /nfs/dbraw/zinc/66/54/72/1168665472.db2.gz NRCSRNOBXJYSJQ-UHFFFAOYSA-N 0 2 312.329 0.884 20 0 DCADLN O=C(CN1CCn2c[nH+]cc2C1)NC1(C(=O)[O-])CCCCC1 ZINC001601318367 1168705314 /nfs/dbraw/zinc/70/53/14/1168705314.db2.gz SJIZAAHUSROFDZ-UHFFFAOYSA-N 0 2 306.366 0.602 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2nsnc2c1 ZINC001601426056 1168742640 /nfs/dbraw/zinc/74/26/40/1168742640.db2.gz QLHFOEWPYHOQSL-LLVKDONJSA-N 0 2 317.330 0.771 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2cnccc2n1 ZINC001601426142 1168742686 /nfs/dbraw/zinc/74/26/86/1168742686.db2.gz SGGYNDAZSLIYGJ-CYBMUJFWSA-N 0 2 311.301 0.710 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cn2c(n1)CCCC2 ZINC001601426125 1168742947 /nfs/dbraw/zinc/74/29/47/1168742947.db2.gz RSDVMYDHHCFACK-NSHDSACASA-N 0 2 303.322 0.299 20 0 DCADLN O=C([O-])[C@]1(CNC(=O)Nc2ccc3[nH+]ccn3c2)CCOC1 ZINC001601474316 1168758062 /nfs/dbraw/zinc/75/80/62/1168758062.db2.gz XYZXKTISESTDRL-AWEZNQCLSA-N 0 2 304.306 0.947 20 0 DCADLN O=C([O-])c1coc(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC001601531682 1168778568 /nfs/dbraw/zinc/77/85/68/1168778568.db2.gz QKLWGFJNZBZBFN-SNVBAGLBSA-N 0 2 304.306 0.989 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1nc2cccnc2s1 ZINC001601564897 1168782621 /nfs/dbraw/zinc/78/26/21/1168782621.db2.gz ISYYUHKPMDLLPH-VIFPVBQESA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1nc2cccnc2s1 ZINC001601564897 1168782628 /nfs/dbraw/zinc/78/26/28/1168782628.db2.gz ISYYUHKPMDLLPH-VIFPVBQESA-N 0 2 317.330 0.840 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCOC2(CCC2)C1 ZINC001601565462 1168782934 /nfs/dbraw/zinc/78/29/34/1168782934.db2.gz APSRNJIZSYZPES-JQWIXIFHSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCOC2(CCC2)C1 ZINC001601565462 1168782941 /nfs/dbraw/zinc/78/29/41/1168782941.db2.gz APSRNJIZSYZPES-JQWIXIFHSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1COc2ccccc2O1 ZINC001601662820 1168808553 /nfs/dbraw/zinc/80/85/53/1168808553.db2.gz LBTRDOAKESSTDY-GWCFXTLKSA-N 0 2 317.301 0.293 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NC1C[NH+](CCCOc2ccccc2)C1 ZINC001601783200 1168894747 /nfs/dbraw/zinc/89/47/47/1168894747.db2.gz WHUYMNWBVZMTES-LSDHHAIUSA-N 0 2 318.373 0.977 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC001601922850 1168935415 /nfs/dbraw/zinc/93/54/15/1168935415.db2.gz ZTDSTOXCMORAQH-JTQLQIEISA-N 0 2 313.379 0.372 20 0 DCADLN O=C([O-])C[C@@H]1CCC[N@@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001601955154 1168946961 /nfs/dbraw/zinc/94/69/61/1168946961.db2.gz NVCMRXMHAJKHBJ-JTQLQIEISA-N 0 2 323.374 0.948 20 0 DCADLN O=C([O-])C[C@@H]1CCC[N@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001601955154 1168946965 /nfs/dbraw/zinc/94/69/65/1168946965.db2.gz NVCMRXMHAJKHBJ-JTQLQIEISA-N 0 2 323.374 0.948 20 0 DCADLN O=C([O-])CC[N@@H+](Cc1nnc2n1CCOC2)C[C@@H]1CCCO1 ZINC001602008359 1168960888 /nfs/dbraw/zinc/96/08/88/1168960888.db2.gz PPQHACXXYXNHIT-NSHDSACASA-N 0 2 310.354 0.264 20 0 DCADLN O=C([O-])CC[N@H+](Cc1nnc2n1CCOC2)C[C@@H]1CCCO1 ZINC001602008359 1168960892 /nfs/dbraw/zinc/96/08/92/1168960892.db2.gz PPQHACXXYXNHIT-NSHDSACASA-N 0 2 310.354 0.264 20 0 DCADLN O=C([O-])c1cc(C2C[NH+](Cc3cnc4n3CCOC4)C2)[nH]n1 ZINC001602254599 1169042588 /nfs/dbraw/zinc/04/25/88/1169042588.db2.gz DJSXCADSUKXTIN-UHFFFAOYSA-N 0 2 303.322 0.434 20 0 DCADLN O=C([O-])c1ccc(F)c(C[NH+]2CCN(c3nc[nH]n3)CC2)c1 ZINC001602364037 1169065288 /nfs/dbraw/zinc/06/52/88/1169065288.db2.gz LRMFQWXUJJHJNP-UHFFFAOYSA-N 0 2 305.313 0.964 20 0 DCADLN O=C([O-])c1cccc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)n1 ZINC001602436942 1169079945 /nfs/dbraw/zinc/07/99/45/1169079945.db2.gz PSJOXSKJWZBTKD-JTQLQIEISA-N 0 2 322.283 0.887 20 0 DCADLN O=C([O-])c1cccc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)n1 ZINC001602436942 1169079951 /nfs/dbraw/zinc/07/99/51/1169079951.db2.gz PSJOXSKJWZBTKD-JTQLQIEISA-N 0 2 322.283 0.887 20 0 DCADLN CC(C)CC[C@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001603278729 1169287258 /nfs/dbraw/zinc/28/72/58/1169287258.db2.gz UKOPMVIXRFEOJV-VIFPVBQESA-N 0 2 321.385 0.501 20 0 DCADLN CC(C)CCOCCNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603282758 1169287351 /nfs/dbraw/zinc/28/73/51/1169287351.db2.gz JGOREJKSIXDVSQ-UHFFFAOYSA-N 0 2 323.357 0.141 20 0 DCADLN CC(C)n1ccc(CC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001604037351 1169477013 /nfs/dbraw/zinc/47/70/13/1169477013.db2.gz UMQPKJRJZNRGQW-LBPRGKRZSA-N 0 2 305.338 0.473 20 0 DCADLN C[C@@](O)(Cc1ccccc1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001604378295 1169576661 /nfs/dbraw/zinc/57/66/61/1169576661.db2.gz MDSMRIKASJWDGS-XJKSGUPXSA-N 0 2 317.345 0.515 20 0 DCADLN C[C@@](O)(Cc1ccccc1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001604378295 1169576666 /nfs/dbraw/zinc/57/66/66/1169576666.db2.gz MDSMRIKASJWDGS-XJKSGUPXSA-N 0 2 317.345 0.515 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001604439784 1169594828 /nfs/dbraw/zinc/59/48/28/1169594828.db2.gz RCOQHSBQUHPUGU-AAEUAGOBSA-N 0 2 303.318 0.920 20 0 DCADLN CCc1nn(CCC2OCCO2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604579751 1169621961 /nfs/dbraw/zinc/62/19/61/1169621961.db2.gz DLEXYSBTACDCFO-UHFFFAOYSA-N 0 2 320.353 0.311 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(/C=C\C(=O)[O-])o2)CC[N@@H+]1CCO ZINC001604780937 1169679782 /nfs/dbraw/zinc/67/97/82/1169679782.db2.gz QHRAXDMVEZDIHN-MZBZXASESA-N 0 2 308.334 0.516 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(/C=C\C(=O)[O-])o2)CC[N@H+]1CCO ZINC001604780937 1169679792 /nfs/dbraw/zinc/67/97/92/1169679792.db2.gz QHRAXDMVEZDIHN-MZBZXASESA-N 0 2 308.334 0.516 20 0 DCADLN C[C@@H]1CNc2c(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cnn2C1 ZINC001604814666 1169693085 /nfs/dbraw/zinc/69/30/85/1169693085.db2.gz DGCPTKQJYPXNMQ-LDYMZIIASA-N 0 2 318.337 0.094 20 0 DCADLN C[C@@H]1CNc2c(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cnn2C1 ZINC001604814666 1169693088 /nfs/dbraw/zinc/69/30/88/1169693088.db2.gz DGCPTKQJYPXNMQ-LDYMZIIASA-N 0 2 318.337 0.094 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCOC[C@H]2C)C[C@H]1CC(=O)[O-] ZINC001604820050 1169697040 /nfs/dbraw/zinc/69/70/40/1169697040.db2.gz MZBQIZQOJQRJIL-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCOC[C@H]2C)C[C@H]1CC(=O)[O-] ZINC001604820050 1169697041 /nfs/dbraw/zinc/69/70/41/1169697041.db2.gz MZBQIZQOJQRJIL-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN CN(Cc1n[nH]c(=O)o1)c1ccc(Cl)c(-c2nn[nH]n2)n1 ZINC001604823607 1169698342 /nfs/dbraw/zinc/69/83/42/1169698342.db2.gz ILBRCDSHFAQTLI-UHFFFAOYSA-N 0 2 308.689 0.640 20 0 DCADLN CC[C@H](C(=O)[O-])C(=O)Nc1cc(N2CC[NH+](C)CC2)ccn1 ZINC001604878831 1169728270 /nfs/dbraw/zinc/72/82/70/1169728270.db2.gz JNRHJRRWRZGSRG-LBPRGKRZSA-N 0 2 306.366 0.883 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)N(C)C)C(=O)[O-] ZINC001604932372 1169752955 /nfs/dbraw/zinc/75/29/55/1169752955.db2.gz NGZFJGDEHWLJLC-MDZLAQPJSA-N 0 2 313.398 0.155 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)N(C)C)C(=O)[O-] ZINC001604932372 1169752963 /nfs/dbraw/zinc/75/29/63/1169752963.db2.gz NGZFJGDEHWLJLC-MDZLAQPJSA-N 0 2 313.398 0.155 20 0 DCADLN COC[C@@H]1CCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001605071144 1169805161 /nfs/dbraw/zinc/80/51/61/1169805161.db2.gz GSIFUWVPRAFBRA-SECBINFHSA-N 0 2 318.337 0.384 20 0 DCADLN COc1cc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)ccn1 ZINC001605222999 1169878094 /nfs/dbraw/zinc/87/80/94/1169878094.db2.gz IMZGPCCVVLQYLR-UHFFFAOYSA-N 0 2 324.344 0.969 20 0 DCADLN CC[N@H+](CC(=O)NCCC(=O)[O-])CC(=O)N1CCCCCC1 ZINC001605414017 1169916064 /nfs/dbraw/zinc/91/60/64/1169916064.db2.gz VPHQSIRQNQYGGG-UHFFFAOYSA-N 0 2 313.398 0.302 20 0 DCADLN CC[N@@H+](CC(=O)NCCC(=O)[O-])CC(=O)N1CCCCCC1 ZINC001605414017 1169916069 /nfs/dbraw/zinc/91/60/69/1169916069.db2.gz VPHQSIRQNQYGGG-UHFFFAOYSA-N 0 2 313.398 0.302 20 0 DCADLN CCNc1cc(CNC(=O)N2CCO[C@H](CC(=O)[O-])C2)cc[nH+]1 ZINC001605500965 1169940504 /nfs/dbraw/zinc/94/05/04/1169940504.db2.gz FYTFUYWEQJPLCY-GFCCVEGCSA-N 0 2 322.365 0.899 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H](O)C1CCC1 ZINC001605510675 1169942595 /nfs/dbraw/zinc/94/25/95/1169942595.db2.gz VYUSBEMVLONFOV-JTQLQIEISA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(Cn2c(=O)c(-c3nn[nH]n3)c(C)n(C)c2=O)cs1 ZINC001605670539 1169984284 /nfs/dbraw/zinc/98/42/84/1169984284.db2.gz CKRSEONDNMPVAX-UHFFFAOYSA-N 0 2 318.362 0.454 20 0 DCADLN CCn1c(N2CC[C@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001605912460 1170025034 /nfs/dbraw/zinc/02/50/34/1170025034.db2.gz UIDRRLVPJLUMPC-FIXISWKDSA-N 0 2 323.397 0.121 20 0 DCADLN CCn1c(N2CC[C@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001605912460 1170025042 /nfs/dbraw/zinc/02/50/42/1170025042.db2.gz UIDRRLVPJLUMPC-FIXISWKDSA-N 0 2 323.397 0.121 20 0 DCADLN CCn1nc(C)c(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1C ZINC001605937066 1170029521 /nfs/dbraw/zinc/02/95/21/1170029521.db2.gz NNLMVFBVNDXPDQ-CYBMUJFWSA-N 0 2 319.365 0.598 20 0 DCADLN CCn1nc(C)c(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1C ZINC001605937066 1170029528 /nfs/dbraw/zinc/02/95/28/1170029528.db2.gz NNLMVFBVNDXPDQ-CYBMUJFWSA-N 0 2 319.365 0.598 20 0 DCADLN Cc1ccn(C[C@@H]2C[C@H]3COC[C@H]3O2)c(=O)c1-c1nn[nH]n1 ZINC001605950559 1170032581 /nfs/dbraw/zinc/03/25/81/1170032581.db2.gz YMCSBKPFKYGFMS-GARJFASQSA-N 0 2 303.322 0.141 20 0 DCADLN Cn1cc(C(=O)[O-])c(NC(=O)NCc2coc(C[NH+](C)C)n2)n1 ZINC001606036920 1170062755 /nfs/dbraw/zinc/06/27/55/1170062755.db2.gz HQFYAEFQEWWJEG-UHFFFAOYSA-N 0 2 322.325 0.490 20 0 DCADLN Cc1nn(CCn2cc(Cl)cn2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114526 1170079288 /nfs/dbraw/zinc/07/92/88/1170079288.db2.gz TYVAGKDJZMEQMJ-UHFFFAOYSA-N 0 2 320.744 0.590 20 0 DCADLN Cn1c(=O)[nH]c(NCCC(C)(C)C2CC2)c(-c2nn[nH]n2)c1=O ZINC001606205429 1170112785 /nfs/dbraw/zinc/11/27/85/1170112785.db2.gz DNVGQNXSLWIQAC-UHFFFAOYSA-N 0 2 319.369 0.904 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCc2nc(C(=O)[O-])co2)[nH]n1 ZINC001606226464 1170125087 /nfs/dbraw/zinc/12/50/87/1170125087.db2.gz HJUNVAJVDLDHBC-NSHDSACASA-N 0 2 319.321 0.793 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)NCc2nc(C(=O)[O-])co2)[nH]n1 ZINC001606226464 1170125090 /nfs/dbraw/zinc/12/50/90/1170125090.db2.gz HJUNVAJVDLDHBC-NSHDSACASA-N 0 2 319.321 0.793 20 0 DCADLN NC(=O)NCCCCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001626190002 1170135486 /nfs/dbraw/zinc/13/54/86/1170135486.db2.gz VOZYEQXAJQGRPZ-VIFPVBQESA-N 0 2 310.358 0.055 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001606299146 1170154514 /nfs/dbraw/zinc/15/45/14/1170154514.db2.gz UJJPRDXSXONRQM-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001606299146 1170154519 /nfs/dbraw/zinc/15/45/19/1170154519.db2.gz UJJPRDXSXONRQM-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC001606329668 1170160872 /nfs/dbraw/zinc/16/08/72/1170160872.db2.gz DKBIICCHIZTLGU-XUJVJEKNSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC001606329668 1170160876 /nfs/dbraw/zinc/16/08/76/1170160876.db2.gz DKBIICCHIZTLGU-XUJVJEKNSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC001606334676 1170162079 /nfs/dbraw/zinc/16/20/79/1170162079.db2.gz ZAWYOMMCXUZSKA-LDYMZIIASA-N 0 2 313.272 0.640 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC001606334676 1170162082 /nfs/dbraw/zinc/16/20/82/1170162082.db2.gz ZAWYOMMCXUZSKA-LDYMZIIASA-N 0 2 313.272 0.640 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+]([C@@H](C(=O)[O-])C2(OC)CCC2)C[C@H](C)O1 ZINC001606510973 1170224753 /nfs/dbraw/zinc/22/47/53/1170224753.db2.gz UEYOPXAAVYYGCQ-DCAQKATOSA-N 0 2 301.339 0.271 20 0 DCADLN COC(=O)[C@@H]1C[N@H+]([C@@H](C(=O)[O-])C2(OC)CCC2)C[C@H](C)O1 ZINC001606510973 1170224754 /nfs/dbraw/zinc/22/47/54/1170224754.db2.gz UEYOPXAAVYYGCQ-DCAQKATOSA-N 0 2 301.339 0.271 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc(-c2nn[nH]n2)o1 ZINC001606802411 1170294938 /nfs/dbraw/zinc/29/49/38/1170294938.db2.gz QBQSVLISMSCGQF-UHFFFAOYSA-N 0 2 307.295 0.104 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@H]1CCCC2(CCC2)O1 ZINC001607089693 1170385814 /nfs/dbraw/zinc/38/58/14/1170385814.db2.gz IMXYFTGEKMKLSO-SNVBAGLBSA-N 0 2 302.338 0.915 20 0 DCADLN COc1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c(OC)c1 ZINC001607204681 1170414170 /nfs/dbraw/zinc/41/41/70/1170414170.db2.gz DUYUAVYMAQRWRN-LBPRGKRZSA-N 0 2 319.317 0.784 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCCCN(C)c2cccc[nH+]2)O[C@H]1C(=O)[O-] ZINC001607442957 1170454620 /nfs/dbraw/zinc/45/46/20/1170454620.db2.gz YUHCCRJODVIWER-YRGRVCCFSA-N 0 2 321.377 0.902 20 0 DCADLN Cc1cc(C[N@H+](C)C[C@H]2CCS(=O)(=O)C2)[nH]c1C(=O)[O-] ZINC001607636213 1170487427 /nfs/dbraw/zinc/48/74/27/1170487427.db2.gz OFSGEGNZVOTLIE-SNVBAGLBSA-N 0 2 300.380 0.888 20 0 DCADLN Cc1cc(C[N@@H+](C)C[C@H]2CCS(=O)(=O)C2)[nH]c1C(=O)[O-] ZINC001607636213 1170487430 /nfs/dbraw/zinc/48/74/30/1170487430.db2.gz OFSGEGNZVOTLIE-SNVBAGLBSA-N 0 2 300.380 0.888 20 0 DCADLN Cc1ccc(C[N@@H+]2CCO[C@@H](CNC(=O)CC(=O)[O-])C2)cc1 ZINC001607781835 1170506503 /nfs/dbraw/zinc/50/65/03/1170506503.db2.gz YPTPJZYSKKKDSI-AWEZNQCLSA-N 0 2 306.362 0.787 20 0 DCADLN Cc1ccc(C[N@H+]2CCO[C@@H](CNC(=O)CC(=O)[O-])C2)cc1 ZINC001607781835 1170506506 /nfs/dbraw/zinc/50/65/06/1170506506.db2.gz YPTPJZYSKKKDSI-AWEZNQCLSA-N 0 2 306.362 0.787 20 0 DCADLN Cc1ncc(NC(=O)/C=C/C[NH+]2CCOCC2)cc1C(=O)[O-] ZINC001607983041 1170538499 /nfs/dbraw/zinc/53/84/99/1170538499.db2.gz MEQCYKJRVJJGPY-NSCUHMNNSA-N 0 2 305.334 0.915 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@H+](CN3C[C@@H](C(=O)[O-])OC3=O)C2)n1 ZINC001608026202 1170552644 /nfs/dbraw/zinc/55/26/44/1170552644.db2.gz VMWLJMYDCZJWNF-MNOVXSKESA-N 0 2 324.337 0.495 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@@H+](CN3C[C@@H](C(=O)[O-])OC3=O)C2)n1 ZINC001608026202 1170552650 /nfs/dbraw/zinc/55/26/50/1170552650.db2.gz VMWLJMYDCZJWNF-MNOVXSKESA-N 0 2 324.337 0.495 20 0 DCADLN Cc1noc(CCC[NH+]2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC001608026228 1170553029 /nfs/dbraw/zinc/55/30/29/1170553029.db2.gz PANUISXFWSRRKY-NEPJUHHUSA-N 0 2 322.365 0.176 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](Cc1cncs1)C(=O)[O-] ZINC001608137211 1170590088 /nfs/dbraw/zinc/59/00/88/1170590088.db2.gz ZSQVHOJCCZGZEF-MXWKQRLJSA-N 0 2 320.374 0.792 20 0 DCADLN Cn1ncc(Br)c1C[N@@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001608153010 1170599098 /nfs/dbraw/zinc/59/90/98/1170599098.db2.gz AEIXIMSFDJNEGE-YUMQZZPRSA-N 0 2 318.171 0.450 20 0 DCADLN Cn1ncc(Br)c1C[N@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001608153010 1170599101 /nfs/dbraw/zinc/59/91/01/1170599101.db2.gz AEIXIMSFDJNEGE-YUMQZZPRSA-N 0 2 318.171 0.450 20 0 DCADLN Nc1[nH+]ccc2c1CN(C(=O)Cn1ccc(C(=O)[O-])n1)CC2 ZINC001608277987 1170640762 /nfs/dbraw/zinc/64/07/62/1170640762.db2.gz STFIDRPFAAXNGR-UHFFFAOYSA-N 0 2 301.306 0.143 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCC[N@@H+]1CC(=O)NCCCc1ccccc1 ZINC001608333301 1170652923 /nfs/dbraw/zinc/65/29/23/1170652923.db2.gz SIQFELLYEBNVAZ-GDBMZVCRSA-N 0 2 320.389 0.645 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCC[N@H+]1CC(=O)NCCCc1ccccc1 ZINC001608333301 1170652926 /nfs/dbraw/zinc/65/29/26/1170652926.db2.gz SIQFELLYEBNVAZ-GDBMZVCRSA-N 0 2 320.389 0.645 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cn1ncc2ccccc21 ZINC001608381990 1170665705 /nfs/dbraw/zinc/66/57/05/1170665705.db2.gz XOWQRBBNXLXCAB-LBPRGKRZSA-N 0 2 313.317 0.502 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)CNc3cccc[nH+]3)C2)C1 ZINC001608590706 1170709595 /nfs/dbraw/zinc/70/95/95/1170709595.db2.gz XNAVGJZAGOFMHB-AWEZNQCLSA-N 0 2 304.306 0.326 20 0 DCADLN O=C([O-])[C@@H]1[C@H](C[NH+]2CCN(S(=O)(=O)C3CC3)CC2)C1(F)F ZINC001608599242 1170712045 /nfs/dbraw/zinc/71/20/45/1170712045.db2.gz RNONSMKCRSRECW-UWVGGRQHSA-N 0 2 324.349 0.062 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC001608675405 1170734589 /nfs/dbraw/zinc/73/45/89/1170734589.db2.gz GBCIBCRXWIODHY-QWHCGFSZSA-N 0 2 317.345 0.884 20 0 DCADLN O=C([O-])C[C@H]1CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CCO1 ZINC001608699175 1170739842 /nfs/dbraw/zinc/73/98/42/1170739842.db2.gz AKBFRDKEVUUSHN-QWRGUYRKSA-N 0 2 308.338 0.083 20 0 DCADLN O=C([O-])c1cn(C2C[NH+](C[C@H](O)COc3ccccc3)C2)nn1 ZINC001608963123 1170776747 /nfs/dbraw/zinc/77/67/47/1170776747.db2.gz XBXYZZVXRQENOA-LBPRGKRZSA-N 0 2 318.333 0.273 20 0 DCADLN CC(=O)c1cc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)on1 ZINC001609065493 1170797479 /nfs/dbraw/zinc/79/74/79/1170797479.db2.gz MLAKVMALKMPVHZ-UHFFFAOYSA-N 0 2 315.293 0.279 20 0 DCADLN CC(C)c1nnc(Cn2cnc(-c3nn[nH]n3)cc2=O)s1 ZINC001609107062 1170812527 /nfs/dbraw/zinc/81/25/27/1170812527.db2.gz OBJPRIZPAXLULI-UHFFFAOYSA-N 0 2 304.339 0.447 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cc(-c2nn[nH]n2)ccc1Cl ZINC001609578319 1171027328 /nfs/dbraw/zinc/02/73/28/1171027328.db2.gz GXGPMXDBVFRXER-UHFFFAOYSA-N 0 2 321.684 0.701 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc(Br)cn1Cc1ccon1 ZINC001609603831 1171038889 /nfs/dbraw/zinc/03/88/89/1171038889.db2.gz GEJJSESHMHZGPC-UHFFFAOYSA-N 0 2 323.110 0.827 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(CC(=O)[O-])n1 ZINC001609876632 1171112821 /nfs/dbraw/zinc/11/28/21/1171112821.db2.gz JMHLWBMNHSWSEH-NSHDSACASA-N 0 2 322.365 0.551 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(CC(=O)[O-])n1 ZINC001609876632 1171112826 /nfs/dbraw/zinc/11/28/26/1171112826.db2.gz JMHLWBMNHSWSEH-NSHDSACASA-N 0 2 322.365 0.551 20 0 DCADLN C[C@@H]1CN(c2ccc(C(=O)[O-])cc2)CC[N@@H+]1CC(=O)NC(N)=O ZINC001609876319 1171113441 /nfs/dbraw/zinc/11/34/41/1171113441.db2.gz WDQWSWXHKZWXFD-SNVBAGLBSA-N 0 2 320.349 0.090 20 0 DCADLN C[C@@H]1CN(c2ccc(C(=O)[O-])cc2)CC[N@H+]1CC(=O)NC(N)=O ZINC001609876319 1171113448 /nfs/dbraw/zinc/11/34/48/1171113448.db2.gz WDQWSWXHKZWXFD-SNVBAGLBSA-N 0 2 320.349 0.090 20 0 DCADLN CCCS(=O)(=O)CC[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609981474 1171131017 /nfs/dbraw/zinc/13/10/17/1171131017.db2.gz HXVWQGXHYMKCQB-SNVBAGLBSA-N 0 2 316.383 0.048 20 0 DCADLN CCCS(=O)(=O)CC[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609981474 1171131020 /nfs/dbraw/zinc/13/10/20/1171131020.db2.gz HXVWQGXHYMKCQB-SNVBAGLBSA-N 0 2 316.383 0.048 20 0 DCADLN CCOc1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cn1 ZINC001610039901 1171145434 /nfs/dbraw/zinc/14/54/34/1171145434.db2.gz ZEAYGGLNEJHRNR-NSHDSACASA-N 0 2 304.306 0.560 20 0 DCADLN COc1ccc([C@@H](NC(=O)CCc2c[nH]c[nH+]2)C(=O)[O-])cc1O ZINC001610322443 1171220388 /nfs/dbraw/zinc/22/03/88/1171220388.db2.gz RURPPMHQIFKZQP-CQSZACIVSA-N 0 2 319.317 0.999 20 0 DCADLN COc1ccc([C@@H](NC(=O)CCc2c[nH+]c[nH]2)C(=O)[O-])cc1O ZINC001610322443 1171220391 /nfs/dbraw/zinc/22/03/91/1171220391.db2.gz RURPPMHQIFKZQP-CQSZACIVSA-N 0 2 319.317 0.999 20 0 DCADLN COc1cccnc1CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610370009 1171225338 /nfs/dbraw/zinc/22/53/38/1171225338.db2.gz WEEUOWGQXXFFBV-NSHDSACASA-N 0 2 304.306 0.168 20 0 DCADLN COc1cccnc1CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610370009 1171225340 /nfs/dbraw/zinc/22/53/40/1171225340.db2.gz WEEUOWGQXXFFBV-NSHDSACASA-N 0 2 304.306 0.168 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+]2CC[C@@H](NC(N)=O)C2)c(C)c1C(=O)[O-] ZINC001610396935 1171230901 /nfs/dbraw/zinc/23/09/01/1171230901.db2.gz LIKJIPRGGMFYQU-VHSXEESVSA-N 0 2 322.365 0.644 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+]2CC[C@@H](NC(N)=O)C2)c(C)c1C(=O)[O-] ZINC001610396935 1171230905 /nfs/dbraw/zinc/23/09/05/1171230905.db2.gz LIKJIPRGGMFYQU-VHSXEESVSA-N 0 2 322.365 0.644 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+](C)CC(=O)NC1CC1 ZINC001610570537 1171256834 /nfs/dbraw/zinc/25/68/34/1171256834.db2.gz FMCUEJMDIZVHOC-UHFFFAOYSA-N 0 2 308.382 0.685 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+](C)CC(=O)NC1CC1 ZINC001610570537 1171256837 /nfs/dbraw/zinc/25/68/37/1171256837.db2.gz FMCUEJMDIZVHOC-UHFFFAOYSA-N 0 2 308.382 0.685 20 0 DCADLN Cn1cnc2cc(C[N@@H+]3CCNC(=O)[C@@H]3CC(=O)[O-])ccc21 ZINC001610611172 1171267207 /nfs/dbraw/zinc/26/72/07/1171267207.db2.gz CTMFLPATHTZQGD-ZDUSSCGKSA-N 0 2 302.334 0.348 20 0 DCADLN Cn1cnc2cc(C[N@H+]3CCNC(=O)[C@@H]3CC(=O)[O-])ccc21 ZINC001610611172 1171267210 /nfs/dbraw/zinc/26/72/10/1171267210.db2.gz CTMFLPATHTZQGD-ZDUSSCGKSA-N 0 2 302.334 0.348 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](CC(=O)Nc2ccccc2F)CC1 ZINC001610662868 1171283963 /nfs/dbraw/zinc/28/39/63/1171283963.db2.gz RXPYJORIBUXDTQ-AWEZNQCLSA-N 0 2 310.325 0.922 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)COc1ccc(O)cc1 ZINC001610671981 1171287919 /nfs/dbraw/zinc/28/79/19/1171287919.db2.gz ZDDQIEPBXOLDHU-LBPRGKRZSA-N 0 2 305.290 0.237 20 0 DCADLN O=C([O-])c1cn(C2C[NH+](C[C@H](O)COCc3ccco3)C2)nn1 ZINC001610860330 1171343480 /nfs/dbraw/zinc/34/34/80/1171343480.db2.gz JSHLUJBQXUKWLS-NSHDSACASA-N 0 2 322.321 0.004 20 0 DCADLN NC(=O)NCCCCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001611315171 1171353878 /nfs/dbraw/zinc/35/38/78/1171353878.db2.gz AMVBGBFXIOGQMD-SNVBAGLBSA-N 0 2 324.385 0.445 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@]12CCC(=O)N1CCS2 ZINC001617080798 1171384548 /nfs/dbraw/zinc/38/45/48/1171384548.db2.gz WQDXXQFRASNQIW-NSHDSACASA-N 0 2 314.392 0.083 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccn(-c2cc(F)cc(F)c2)n1 ZINC001621868592 1171416306 /nfs/dbraw/zinc/41/63/06/1171416306.db2.gz BIJZDAQMILYACB-UHFFFAOYSA-N 0 2 320.259 0.904 20 0 DCADLN Cc1cc(C(F)F)n2ncc(C(=O)NCc3n[nH]c(=O)[nH]3)c2n1 ZINC001621869263 1171416624 /nfs/dbraw/zinc/41/66/24/1171416624.db2.gz RLYBZQOJRJLXDF-UHFFFAOYSA-N 0 2 323.263 0.729 20 0 DCADLN Cc1nc(C2CC2)[nH]c(=S)c1C(=O)NCc1nnc(CO)[nH]1 ZINC001633515291 1171646032 /nfs/dbraw/zinc/64/60/32/1171646032.db2.gz TYAQELZDABNNPL-UHFFFAOYSA-N 0 2 320.378 0.492 20 0 DCADLN O=C(c1cnc2n[nH]cc2c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001634039713 1171657979 /nfs/dbraw/zinc/65/79/79/1171657979.db2.gz LAGYSOVVXNOMFY-MRVPVSSYSA-N 0 2 313.321 0.801 20 0 DCADLN O=C(NCc1ccon1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001634873483 1171687678 /nfs/dbraw/zinc/68/76/78/1171687678.db2.gz VAIYUIYTNQYJQQ-UHFFFAOYSA-N 0 2 301.262 0.184 20 0 DCADLN CCCCCc1cc(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)[nH]n1 ZINC001635090017 1171693068 /nfs/dbraw/zinc/69/30/68/1171693068.db2.gz FNJOFMDPLAKRKS-GFCCVEGCSA-N 0 2 319.369 0.869 20 0 DCADLN CNS(=O)(=O)c1cc(NC(=O)c2ccc(O)cn2)ccc1O ZINC001635089286 1171693231 /nfs/dbraw/zinc/69/32/31/1171693231.db2.gz WQVYDTVLKQXUDU-UHFFFAOYSA-N 0 2 323.330 0.653 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2nncc3ccccc32)C1=O ZINC001635573097 1171707665 /nfs/dbraw/zinc/70/76/65/1171707665.db2.gz LAJLBZVVAKEUIP-OAHLLOKOSA-N 0 2 313.317 0.995 20 0 DCADLN CC(=CC(C)(C)C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001635955733 1171720145 /nfs/dbraw/zinc/72/01/45/1171720145.db2.gz HCCMYMBNYWZYLH-RMKNXTFCSA-N 0 2 314.411 0.958 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)CCC[C@@]1(C)NC(=O)NC1=O ZINC001639788204 1171851995 /nfs/dbraw/zinc/85/19/95/1171851995.db2.gz PZBBHENPDQBXOQ-CQSZACIVSA-N 0 2 307.354 0.445 20 0 DCADLN Cc1nc(=NC(=O)c2nc[nH]c2C(=O)c2ccccc2)n(C)[nH]1 ZINC001641453592 1171915420 /nfs/dbraw/zinc/91/54/20/1171915420.db2.gz UITCWLJWPKWIAK-UHFFFAOYSA-N 0 2 310.317 0.752 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cnc(-c2ccccn2)nc1 ZINC001641493158 1171917539 /nfs/dbraw/zinc/91/75/39/1171917539.db2.gz FKNFYQWQUKKPIV-UHFFFAOYSA-N 0 2 324.300 0.670 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnnc(-c2ccccc2)c1 ZINC001642619943 1171952685 /nfs/dbraw/zinc/95/26/85/1171952685.db2.gz VAXSLMBACDZPNR-UHFFFAOYSA-N 0 2 309.285 0.790 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(C(F)(F)F)[nH]c1=O ZINC001645598412 1172069205 /nfs/dbraw/zinc/06/92/05/1172069205.db2.gz GPOBPQSTRCARHG-UHFFFAOYSA-N 0 2 303.200 0.560 20 0 DCADLN O=c1ncnc2n(Cc3nc(C[C@@H]4CCCO4)no3)[nH]cc1-2 ZINC001645681132 1172099227 /nfs/dbraw/zinc/09/92/27/1172099227.db2.gz LKOYJSHMRBQNFP-QMMMGPOBSA-N 0 2 302.294 0.224 20 0 DCADLN CN(Cc1ccccc1)C(=O)N[C@@H](C[NH+]1CCOCC1)C(=O)[O-] ZINC001645695023 1172105522 /nfs/dbraw/zinc/10/55/22/1172105522.db2.gz RFPXYCUFKHFIAS-AWEZNQCLSA-N 0 2 321.377 0.613 20 0 DCADLN Cc1cc(C2(C(=O)OCc3n[nH]c(=O)[nH]3)CCOCC2)on1 ZINC001646033495 1172224040 /nfs/dbraw/zinc/22/40/40/1172224040.db2.gz HUVBYAGGJLZSKN-UHFFFAOYSA-N 0 2 308.294 0.598 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](C(=O)[O-])[C@H]1CCCOC1 ZINC001646254035 1172305429 /nfs/dbraw/zinc/30/54/29/1172305429.db2.gz MMXQHFJOXSYZLF-SBFPOUOMSA-N 0 2 307.350 0.520 20 0 DCADLN Cc1nc(C(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)ccc1C(=O)[O-] ZINC001646262939 1172311247 /nfs/dbraw/zinc/31/12/47/1172311247.db2.gz PFVNREMKCRVWQC-NSHDSACASA-N 0 2 321.377 0.881 20 0 DCADLN Cc1nc(C(=O)N(C)[C@H](C)C[NH+]2CCOCC2)ccc1C(=O)[O-] ZINC001646262938 1172311963 /nfs/dbraw/zinc/31/19/63/1172311963.db2.gz PFVNREMKCRVWQC-LLVKDONJSA-N 0 2 321.377 0.881 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CC2(C1)C[C@H](O)CNC2=O ZINC001646988785 1172625451 /nfs/dbraw/zinc/62/54/51/1172625451.db2.gz AIXRTFSVAZJYOO-QMMMGPOBSA-N 0 2 310.737 0.369 20 0 DCADLN CCOCc1nnc(NS(=O)(=O)c2cnn(CC)c2)o1 ZINC001647163899 1172713464 /nfs/dbraw/zinc/71/34/64/1172713464.db2.gz MXTBGJSRXTTYRZ-UHFFFAOYSA-N 0 2 301.328 0.623 20 0 DCADLN COCC[C@@H](NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)C(=O)[O-] ZINC001647326822 1172814149 /nfs/dbraw/zinc/81/41/49/1172814149.db2.gz KCVYGVSREHKVTB-OLZOCXBDSA-N 0 2 314.382 0.236 20 0 DCADLN COCC[C@@H](NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)C(=O)[O-] ZINC001647326822 1172814155 /nfs/dbraw/zinc/81/41/55/1172814155.db2.gz KCVYGVSREHKVTB-OLZOCXBDSA-N 0 2 314.382 0.236 20 0 DCADLN Cn1nccc1C[C@@H]1CCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC001649356277 1173128270 /nfs/dbraw/zinc/12/82/70/1173128270.db2.gz QBQKISCFTWSGRB-QWRGUYRKSA-N 0 2 321.406 0.510 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1Cc1nnn(CC(F)(F)F)n1 ZINC001649881668 1173279680 /nfs/dbraw/zinc/27/96/80/1173279680.db2.gz CNHAXCPMUAXWTJ-HTQZYQBOSA-N 0 2 307.276 0.921 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cc1nnn(CC(F)(F)F)n1 ZINC001649881668 1173279685 /nfs/dbraw/zinc/27/96/85/1173279685.db2.gz CNHAXCPMUAXWTJ-HTQZYQBOSA-N 0 2 307.276 0.921 20 0 DCADLN COCc1nnc(CNC(=O)N[C@@H](C)Cc2ccccn2)[nH]1 ZINC001649892776 1173287800 /nfs/dbraw/zinc/28/78/00/1173287800.db2.gz SGXGZTUSPDJRBH-JTQLQIEISA-N 0 2 304.354 0.777 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC[C@H]1CCCOC1 ZINC001649930366 1173316810 /nfs/dbraw/zinc/31/68/10/1173316810.db2.gz CQVLTZMLPKBRGZ-GFCCVEGCSA-N 0 2 318.377 0.806 20 0 DCADLN O=S(=O)(CCn1cc[nH+]c1)[N-][C@H](CCO)C(F)(F)F ZINC001649987623 1173360883 /nfs/dbraw/zinc/36/08/83/1173360883.db2.gz LYVXOSTUXMJBNE-MRVPVSSYSA-N 0 2 301.290 0.116 20 0 DCADLN Cn1nc2c(c1C[NH2+]Cc1nc(C(=O)[O-])cs1)COCC2 ZINC001650087948 1173434098 /nfs/dbraw/zinc/43/40/98/1173434098.db2.gz PHSIZTCDFKREBC-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1CC(Cl)(Cl)C1 ZINC001652608048 1173773528 /nfs/dbraw/zinc/77/35/28/1173773528.db2.gz VACMLLJJWSHNCG-UHFFFAOYSA-N 0 2 316.148 0.524 20 0 DCADLN O=c1nc(CN2CC[C@@H](c3nn[nH]n3)C2)[nH]c2ccsc21 ZINC001659943709 1173937031 /nfs/dbraw/zinc/93/70/31/1173937031.db2.gz KLCNBIVANSAVKY-SSDOTTSWSA-N 0 2 303.351 0.900 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC1(C)CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001671403068 1175011002 /nfs/dbraw/zinc/01/10/02/1175011002.db2.gz NIQQSZIPKDMEGY-UHFFFAOYSA-N 0 2 323.397 0.596 20 0 DCADLN C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001682397022 1175936891 /nfs/dbraw/zinc/93/68/91/1175936891.db2.gz KHWAYDBTPSVWGY-AEENODFFSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001682397022 1175936895 /nfs/dbraw/zinc/93/68/95/1175936895.db2.gz KHWAYDBTPSVWGY-AEENODFFSA-N 0 2 312.263 0.541 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ccc(F)nc1 ZINC001703132428 1179325787 /nfs/dbraw/zinc/32/57/87/1179325787.db2.gz OTFQIVSOLSPHJK-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1ccc(F)nc1 ZINC001703132428 1179325795 /nfs/dbraw/zinc/32/57/95/1179325795.db2.gz OTFQIVSOLSPHJK-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN CN(CCCNC(=O)c1cn[nH]c1)C(=O)C(F)C(F)(F)F ZINC001703544488 1179581077 /nfs/dbraw/zinc/58/10/77/1179581077.db2.gz LGTWDFCNKWFEAJ-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)c1cn[nH]c1)C(=O)[C@@H](F)C(F)(F)F ZINC001703544488 1179581078 /nfs/dbraw/zinc/58/10/78/1179581078.db2.gz LGTWDFCNKWFEAJ-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)Cc1cnn(C)n1 ZINC001707376830 1180465408 /nfs/dbraw/zinc/46/54/08/1180465408.db2.gz KABILLQZIPEMNM-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN CCN(CC[NH2+]Cc1n[nH]c(=O)[n-]1)C(=O)C(F)C(F)(F)F ZINC001715735377 1181966100 /nfs/dbraw/zinc/96/61/00/1181966100.db2.gz KTEBTJZTXPGLON-ZETCQYMHSA-N 0 2 313.255 0.349 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)NCCNC(=O)C(F)(F)F ZINC000169909240 1183623321 /nfs/dbraw/zinc/62/33/21/1183623321.db2.gz HVGCJILIVODADZ-UHFFFAOYSA-N 0 2 315.273 0.248 20 0 DCADLN CC(=O)NC1(C(=O)NCCCN(C)Cc2cnon2)CCCC1 ZINC001731271157 1185231250 /nfs/dbraw/zinc/23/12/50/1185231250.db2.gz CWTYVQSTVIVXMA-UHFFFAOYSA-N 0 2 323.397 0.457 20 0 DCADLN CCCn1cc(C(=O)NCCCN(C)Cc2nnc(C)[nH]2)cn1 ZINC001731269491 1185231579 /nfs/dbraw/zinc/23/15/79/1185231579.db2.gz DHJAHUZFWZBEOP-UHFFFAOYSA-N 0 2 319.413 0.972 20 0 DCADLN CCCn1cc(C(=O)NCCCN(C)Cc2n[nH]c(C)n2)cn1 ZINC001731269491 1185231585 /nfs/dbraw/zinc/23/15/85/1185231585.db2.gz DHJAHUZFWZBEOP-UHFFFAOYSA-N 0 2 319.413 0.972 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cnns1 ZINC001736682336 1187035968 /nfs/dbraw/zinc/03/59/68/1187035968.db2.gz SLFSRTUUVYIGGD-RXMQYKEDSA-N 0 2 300.237 0.285 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cnns1 ZINC001736682336 1187035972 /nfs/dbraw/zinc/03/59/72/1187035972.db2.gz SLFSRTUUVYIGGD-RXMQYKEDSA-N 0 2 300.237 0.285 20 0 DCADLN COCCCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001738005494 1187444269 /nfs/dbraw/zinc/44/42/69/1187444269.db2.gz AECMVSAGKHCEBU-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN COCCCC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001738005494 1187444273 /nfs/dbraw/zinc/44/42/73/1187444273.db2.gz AECMVSAGKHCEBU-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CCS(=O)(=O)C1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC000193235039 1187994177 /nfs/dbraw/zinc/99/41/77/1187994177.db2.gz BGOIOOXIBBSGCQ-UHFFFAOYSA-N 0 2 324.406 0.422 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@@H]1CCC[C@@H](O)C1 ZINC001771609714 1190450009 /nfs/dbraw/zinc/45/00/09/1190450009.db2.gz XNHPTAMIINEWGD-VXGBXAGGSA-N 0 2 318.377 0.541 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2c(C)nn(C)c2C)cn1 ZINC001771628764 1190455377 /nfs/dbraw/zinc/45/53/77/1190455377.db2.gz FGKCEMKMUFBLRR-UHFFFAOYSA-N 0 2 313.383 0.681 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@]2(C(=O)[O-])CCSC2)[nH]n1 ZINC001771918568 1190555563 /nfs/dbraw/zinc/55/55/63/1190555563.db2.gz PWXNFZFZJUMWRM-SMDDNHRTSA-N 0 2 324.406 0.867 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@]2(C(=O)[O-])CCSC2)[nH]n1 ZINC001771918568 1190555566 /nfs/dbraw/zinc/55/55/66/1190555566.db2.gz PWXNFZFZJUMWRM-SMDDNHRTSA-N 0 2 324.406 0.867 20 0 DCADLN CN(C(=O)Cc1cc[nH]n1)C1CN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001042565180 751750322 /nfs/dbraw/zinc/75/03/22/751750322.db2.gz UNLIPXTTXBHTGX-UHFFFAOYSA-N 0 2 315.381 0.291 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042574792 751757328 /nfs/dbraw/zinc/75/73/28/751757328.db2.gz ARLSYRLBHAAKAR-PJXYFTJBSA-N 0 2 305.382 0.589 20 0 DCADLN Cc1cccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1F ZINC001042761527 751894425 /nfs/dbraw/zinc/89/44/25/751894425.db2.gz JBFGFBQJFOZPSA-UHFFFAOYSA-N 0 2 319.340 0.914 20 0 DCADLN CN(C(=O)[C@H]1CCC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043035315 752046420 /nfs/dbraw/zinc/04/64/20/752046420.db2.gz CVBWWJBFZNZXRW-MRVPVSSYSA-N 0 2 301.297 0.198 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)o1 ZINC001167404706 747161507 /nfs/dbraw/zinc/16/15/07/747161507.db2.gz DWNLGYLHWPGFIL-GRKOMRHMSA-N 0 2 321.289 0.393 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nc(CC3CC3)no2)c1[O-] ZINC001125734699 747543661 /nfs/dbraw/zinc/54/36/61/747543661.db2.gz WXUZGNVRFOLVED-UHFFFAOYSA-N 0 2 320.353 0.279 20 0 DCADLN COCc1noc([C@@H](C)[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001125734452 747543720 /nfs/dbraw/zinc/54/37/20/747543720.db2.gz QCZZRGUQQJPMSP-MRVPVSSYSA-N 0 2 324.341 0.034 20 0 DCADLN CC(C)=CC(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043373800 752200362 /nfs/dbraw/zinc/20/03/62/752200362.db2.gz FAKJKYVWYPDLJQ-VIFPVBQESA-N 0 2 312.263 0.543 20 0 DCADLN CC(C)=CC(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043373800 752200369 /nfs/dbraw/zinc/20/03/69/752200369.db2.gz FAKJKYVWYPDLJQ-VIFPVBQESA-N 0 2 312.263 0.543 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCc1ccccc1 ZINC001204638324 748016596 /nfs/dbraw/zinc/01/65/96/748016596.db2.gz KEYXOOCJGBEJNG-CQSZACIVSA-N 0 2 315.391 0.792 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC)[NH+](C)C ZINC001213455863 748471029 /nfs/dbraw/zinc/47/10/29/748471029.db2.gz RCYKAMHIRMBWEB-QLFBSQMISA-N 0 2 323.441 0.076 20 0 DCADLN CCc1noc(C[N@H+]2CC[C@H](NC(=O)c3cnn[n-]3)[C@H]2CC)n1 ZINC001087638502 749000035 /nfs/dbraw/zinc/00/00/35/749000035.db2.gz XDXBDDBMFNERCL-GXSJLCMTSA-N 0 2 319.369 0.533 20 0 DCADLN CCc1noc(C[N@@H+]2CC[C@H](NC(=O)c3cnn[n-]3)[C@H]2CC)n1 ZINC001087638502 749000041 /nfs/dbraw/zinc/00/00/41/749000041.db2.gz XDXBDDBMFNERCL-GXSJLCMTSA-N 0 2 319.369 0.533 20 0 DCADLN C[C@@H]([NH2+][C@@H]1CCCCCN(C(=O)Cc2c[nH+]cn2C)C1)C(N)=O ZINC001088413403 749426994 /nfs/dbraw/zinc/42/69/94/749426994.db2.gz FLHGCQCLJWPMKZ-CHWSQXEVSA-N 0 2 321.425 0.197 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cccs2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088453642 749459816 /nfs/dbraw/zinc/45/98/16/749459816.db2.gz XSJFIELZVOUPPJ-BDAKNGLRSA-N 0 2 307.379 0.965 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2=COCCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088491093 749505020 /nfs/dbraw/zinc/50/50/20/749505020.db2.gz IMOROXKSJRBPPT-KOLCDFICSA-N 0 2 307.354 0.284 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2=COCCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088491093 749505025 /nfs/dbraw/zinc/50/50/25/749505025.db2.gz IMOROXKSJRBPPT-KOLCDFICSA-N 0 2 307.354 0.284 20 0 DCADLN CCO[C@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C(C)C ZINC001112839621 761959959 /nfs/dbraw/zinc/95/99/59/761959959.db2.gz PIIUWEHLUCPLNR-LBPRGKRZSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCCO2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088526789 749551120 /nfs/dbraw/zinc/55/11/20/749551120.db2.gz VKIATIVSARFZNQ-VWYCJHECSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCCO2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088526789 749551124 /nfs/dbraw/zinc/55/11/24/749551124.db2.gz VKIATIVSARFZNQ-VWYCJHECSA-N 0 2 309.370 0.158 20 0 DCADLN Cc1ccnc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)n1 ZINC001088543596 749569336 /nfs/dbraw/zinc/56/93/36/749569336.db2.gz LGBDGEZVWCGMAY-ZJUUUORDSA-N 0 2 317.353 0.002 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CC2(F)F)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088555455 749631740 /nfs/dbraw/zinc/63/17/40/749631740.db2.gz JAVGVLWDTFJLFU-CSMHCCOUSA-N 0 2 301.297 0.245 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CC2(F)F)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088555455 749631743 /nfs/dbraw/zinc/63/17/43/749631743.db2.gz JAVGVLWDTFJLFU-CSMHCCOUSA-N 0 2 301.297 0.245 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CC2(F)F)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088555455 749631748 /nfs/dbraw/zinc/63/17/48/749631748.db2.gz JAVGVLWDTFJLFU-CSMHCCOUSA-N 0 2 301.297 0.245 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cc(C[NH+](C)C)on2)CC[N@H+]1CCCO ZINC001088687887 749843727 /nfs/dbraw/zinc/84/37/27/749843727.db2.gz XDKQJSAEVJJARJ-YPMHNXCESA-N 0 2 310.398 0.311 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccc(=O)[nH]c2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001089018938 750764722 /nfs/dbraw/zinc/76/47/22/750764722.db2.gz JZXFRUNIOXCSRX-SCZZXKLOSA-N 0 2 318.337 0.004 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccc(=O)[nH]c2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001089018938 750764725 /nfs/dbraw/zinc/76/47/25/750764725.db2.gz JZXFRUNIOXCSRX-SCZZXKLOSA-N 0 2 318.337 0.004 20 0 DCADLN C[C@H](CNC(=O)C[N@@H+]1CC[C@@H](C)C1)Nc1ncnc2[nH]cnc21 ZINC001098284417 750769567 /nfs/dbraw/zinc/76/95/67/750769567.db2.gz CYIYNZGPOADHGQ-GHMZBOCLSA-N 0 2 317.397 0.611 20 0 DCADLN C[C@H](CNC(=O)C[N@H+]1CC[C@@H](C)C1)Nc1ncnc2[nH]cnc21 ZINC001098284417 750769570 /nfs/dbraw/zinc/76/95/70/750769570.db2.gz CYIYNZGPOADHGQ-GHMZBOCLSA-N 0 2 317.397 0.611 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CC[N@@H+]1CCn1cccn1 ZINC001089074218 750785530 /nfs/dbraw/zinc/78/55/30/750785530.db2.gz PORRDTNGNBXBRF-KGLIPLIRSA-N 0 2 302.382 0.359 20 0 DCADLN Cc1ccnc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106141868 750978347 /nfs/dbraw/zinc/97/83/47/750978347.db2.gz IQVGEAFVVWHWHI-YUMQZZPRSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccnc(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001106141868 750978352 /nfs/dbraw/zinc/97/83/52/750978352.db2.gz IQVGEAFVVWHWHI-YUMQZZPRSA-N 0 2 310.251 0.574 20 0 DCADLN CN(C(=O)c1ocnc1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043867626 752431396 /nfs/dbraw/zinc/43/13/96/752431396.db2.gz GAAKSWJKLQBUNH-UHFFFAOYSA-N 0 2 318.337 0.332 20 0 DCADLN CC1(C)C[C@@H]1C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107877090 752464993 /nfs/dbraw/zinc/46/49/93/752464993.db2.gz PNFBOHUZNPTECR-MEBBXXQBSA-N 0 2 323.397 0.264 20 0 DCADLN CC(C)[NH+]1CCC[C@@H]1C(=O)NC[C@]1(C)C[N@@H+](CCO)CCO1 ZINC001107943037 752572526 /nfs/dbraw/zinc/57/25/26/752572526.db2.gz YOTSUGIYDGHVPV-GDBMZVCRSA-N 0 2 313.442 0.059 20 0 DCADLN Cc1ccc(CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001044299207 752677075 /nfs/dbraw/zinc/67/70/75/752677075.db2.gz XCLDYWSOFURGKK-UHFFFAOYSA-N 0 2 316.365 0.099 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC2(CC2)C1 ZINC001095992404 752826365 /nfs/dbraw/zinc/82/63/65/752826365.db2.gz UFORRRGOFNEKLF-WOPDTQHZSA-N 0 2 317.393 0.922 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096032642 753246442 /nfs/dbraw/zinc/24/64/42/753246442.db2.gz HZPNUGJIUMDDNK-IIEKFROSSA-N 0 2 321.381 0.157 20 0 DCADLN Cc1cc(C[NH2+][C@H]2C[C@H](NC(=O)c3cnn[nH]3)C23CCC3)no1 ZINC001078664965 753332744 /nfs/dbraw/zinc/33/27/44/753332744.db2.gz CQTFHTRORHUBOR-STQMWFEESA-N 0 2 316.365 0.932 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046128601 753496264 /nfs/dbraw/zinc/49/62/64/753496264.db2.gz OXNNCSAGCWMPNC-AWEZNQCLSA-N 0 2 320.353 0.510 20 0 DCADLN CCc1cc(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001046156058 753515066 /nfs/dbraw/zinc/51/50/66/753515066.db2.gz LZMLBLVYMCTANU-AWEZNQCLSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1cc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001046156058 753515073 /nfs/dbraw/zinc/51/50/73/753515073.db2.gz LZMLBLVYMCTANU-AWEZNQCLSA-N 0 2 319.369 0.190 20 0 DCADLN CCC(=O)NC[C@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC001061158985 753521433 /nfs/dbraw/zinc/52/14/33/753521433.db2.gz KDXOVKJWEATYBN-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)NC[C@H]1COCCN1C(=O)[C@H](F)C(F)(F)F ZINC001061158985 753521440 /nfs/dbraw/zinc/52/14/40/753521440.db2.gz KDXOVKJWEATYBN-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN O=C(CC1CCCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001090029990 753741128 /nfs/dbraw/zinc/74/11/28/753741128.db2.gz HUANDPHMOUKZRG-VXGBXAGGSA-N 0 2 323.397 0.142 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071961750 762257155 /nfs/dbraw/zinc/25/71/55/762257155.db2.gz VNGAREGKUWRBFU-ZJUUUORDSA-N 0 2 320.353 0.590 20 0 DCADLN CC[N@H+]1C[C@@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)[C@@H](O)C1 ZINC001083895794 755411920 /nfs/dbraw/zinc/41/19/20/755411920.db2.gz GNYMUNSNEMOJIF-KGLIPLIRSA-N 0 2 301.350 0.062 20 0 DCADLN O=C(c1ccon1)N1CCC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049985473 755480114 /nfs/dbraw/zinc/48/01/14/755480114.db2.gz YYTFOSZQOXMXAC-GXSJLCMTSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1ccon1)N1CCC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049985473 755480118 /nfs/dbraw/zinc/48/01/18/755480118.db2.gz YYTFOSZQOXMXAC-GXSJLCMTSA-N 0 2 318.337 0.235 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)oc1C ZINC001079575762 755913632 /nfs/dbraw/zinc/91/36/32/755913632.db2.gz YLKCUATYUQORAG-MWLCHTKSSA-N 0 2 319.365 0.970 20 0 DCADLN CCc1ccoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001080394563 756189278 /nfs/dbraw/zinc/18/92/78/756189278.db2.gz OCCPFNGBBKUJLP-MWLCHTKSSA-N 0 2 319.365 0.916 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1(CO)CCN(C(=O)C(C)C)CC1 ZINC001080929999 756388205 /nfs/dbraw/zinc/38/82/05/756388205.db2.gz GGORNEBDEVILED-UHFFFAOYSA-N 0 2 322.409 0.386 20 0 DCADLN Cc1cnc(C[NH2+][C@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]2C)o1 ZINC001054578969 756547708 /nfs/dbraw/zinc/54/77/08/756547708.db2.gz FMPOKYYOAICJNI-KWQFWETISA-N 0 2 319.365 0.970 20 0 DCADLN CCC(=O)N[C@]1(CO)CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001055664579 756848625 /nfs/dbraw/zinc/84/86/25/756848625.db2.gz XGQRSFZTKWSZMW-GXSJLCMTSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N[C@]1(CO)CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001055664579 756848631 /nfs/dbraw/zinc/84/86/31/756848631.db2.gz XGQRSFZTKWSZMW-GXSJLCMTSA-N 0 2 314.279 0.767 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NCc1nnn(C)n1 ZINC001082524034 757175263 /nfs/dbraw/zinc/17/52/63/757175263.db2.gz CHSPSJXXMQGDCL-BHNWBGBOSA-N 0 2 324.282 0.047 20 0 DCADLN O=C(c1ccsc1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084176319 757709435 /nfs/dbraw/zinc/70/94/35/757709435.db2.gz SEPMJCKBDNDLIX-MWLCHTKSSA-N 0 2 319.390 0.918 20 0 DCADLN O=C(c1ccoc1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084186781 757715254 /nfs/dbraw/zinc/71/52/54/757715254.db2.gz SWHUJLQPSKIBPU-MWLCHTKSSA-N 0 2 303.322 0.450 20 0 DCADLN CC1(C)C[C@H]1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084287465 757757994 /nfs/dbraw/zinc/75/79/94/757757994.db2.gz QQLCAJVORSVGKS-OUAUKWLOSA-N 0 2 305.382 0.589 20 0 DCADLN C[C@@H](CC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1CC1 ZINC001084854984 758088564 /nfs/dbraw/zinc/08/85/64/758088564.db2.gz FDESMBKJLMUSEN-CYZMBNFOSA-N 0 2 319.409 0.979 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001050806303 758092216 /nfs/dbraw/zinc/09/22/16/758092216.db2.gz TZPCCTTTZUVMIZ-JTQLQIEISA-N 0 2 321.337 0.043 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001098396298 762663730 /nfs/dbraw/zinc/66/37/30/762663730.db2.gz AOKLFZDBHBAYCS-JLLWLGSASA-N 0 2 316.365 0.682 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)on1 ZINC001085477242 758927481 /nfs/dbraw/zinc/92/74/81/758927481.db2.gz TYAXBLRVPKZOAA-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccnc(F)c1 ZINC001085510648 758974413 /nfs/dbraw/zinc/97/44/13/758974413.db2.gz AEYGHFWTGLQSES-JTQLQIEISA-N 0 2 320.328 0.391 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccc(F)cn1 ZINC001085557048 759046525 /nfs/dbraw/zinc/04/65/25/759046525.db2.gz IKGQDZKWOHRXMV-JTQLQIEISA-N 0 2 320.328 0.391 20 0 DCADLN CN(C[C@H]1CC[N@@H+]1C/C=C\Cl)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699846 759211557 /nfs/dbraw/zinc/21/15/57/759211557.db2.gz XMOVZPXLTWZEKH-DLRBJTRDSA-N 0 2 313.745 0.182 20 0 DCADLN CN(C[C@H]1CC[N@H+]1C/C=C\Cl)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699846 759211563 /nfs/dbraw/zinc/21/15/63/759211563.db2.gz XMOVZPXLTWZEKH-DLRBJTRDSA-N 0 2 313.745 0.182 20 0 DCADLN CC(=O)N1CC2(C1)CC[C@@H](CNC(=O)C(F)C(F)(F)F)O2 ZINC001068583672 760424809 /nfs/dbraw/zinc/42/48/09/760424809.db2.gz SYVUVSPMOVAIBF-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN CC(=O)N1CC2(C1)CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)O2 ZINC001068583672 760424812 /nfs/dbraw/zinc/42/48/12/760424812.db2.gz SYVUVSPMOVAIBF-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN CC(=O)NCC1(NC(=O)C(F)C(F)(F)F)CCOCC1 ZINC001070139145 761102853 /nfs/dbraw/zinc/10/28/53/761102853.db2.gz LFKXIRIHYZARDH-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN CC(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CCOCC1 ZINC001070139145 761102861 /nfs/dbraw/zinc/10/28/61/761102861.db2.gz LFKXIRIHYZARDH-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN C[C@H]1CN(C(=O)C2CC2)C[C@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071119009 761633625 /nfs/dbraw/zinc/63/36/25/761633625.db2.gz IHZJNZRZUMVJAW-ZUZCIYMTSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@H]1CN(C(=O)C2CC2)C[C@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071119009 761633627 /nfs/dbraw/zinc/63/36/27/761633627.db2.gz IHZJNZRZUMVJAW-ZUZCIYMTSA-N 0 2 321.381 0.112 20 0 DCADLN CC(C)C(=O)N1C[C@H](C)O[C@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071138418 761647041 /nfs/dbraw/zinc/64/70/41/761647041.db2.gz DMKUSMUCLCGSDG-NHYWBVRUSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)C(=O)N1C[C@H](C)O[C@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071138418 761647045 /nfs/dbraw/zinc/64/70/45/761647045.db2.gz DMKUSMUCLCGSDG-NHYWBVRUSA-N 0 2 323.397 0.358 20 0 DCADLN CCCCO[C@@H](C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112677739 761784689 /nfs/dbraw/zinc/78/46/89/761784689.db2.gz KENVQQOXXAJLFF-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccon2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071361945 761795928 /nfs/dbraw/zinc/79/59/28/761795928.db2.gz XHSQXYYOTRZLEF-IUCAKERBSA-N 0 2 306.326 0.281 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cnsn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071371810 761802690 /nfs/dbraw/zinc/80/26/90/761802690.db2.gz UKJPRESTXVKXBX-SFYZADRCSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C2CC=CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071404830 761824970 /nfs/dbraw/zinc/82/49/70/761824970.db2.gz VEAHRWLUMBSDSY-ZYHUDNBSSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C2CC=CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071404830 761824978 /nfs/dbraw/zinc/82/49/78/761824978.db2.gz VEAHRWLUMBSDSY-ZYHUDNBSSA-N 0 2 305.382 0.946 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@H+](Cc1n[nH]c(=O)[n-]1)C2)c1ncc[nH]1 ZINC001098828062 762737169 /nfs/dbraw/zinc/73/71/69/762737169.db2.gz FQMALMRUZIDURT-XPTSAGLGSA-N 0 2 317.353 0.018 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@@H+](Cc1n[nH]c(=O)[n-]1)C2)c1ncc[nH]1 ZINC001098828062 762737175 /nfs/dbraw/zinc/73/71/75/762737175.db2.gz FQMALMRUZIDURT-XPTSAGLGSA-N 0 2 317.353 0.018 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)CC(C)(C)O)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132010807 764195630 /nfs/dbraw/zinc/19/56/30/764195630.db2.gz XCLURINLQIVIKC-NXEZZACHSA-N 0 2 311.386 0.140 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)CC(C)(C)O)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132010807 764195631 /nfs/dbraw/zinc/19/56/31/764195631.db2.gz XCLURINLQIVIKC-NXEZZACHSA-N 0 2 311.386 0.140 20 0 DCADLN O=C(NCC1(NCc2csnn2)CCCCC1)c1cn[nH]n1 ZINC001115612896 765768735 /nfs/dbraw/zinc/76/87/35/765768735.db2.gz PYLBYPUZVSVQJM-UHFFFAOYSA-N 0 2 321.410 0.879 20 0 DCADLN O=C(c1ccnc2n[nH]nc21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001118280212 766276850 /nfs/dbraw/zinc/27/68/50/766276850.db2.gz IEBTWXHKHQIOSC-SSDOTTSWSA-N 0 2 314.309 0.196 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc2[nH]c(CO)nc2c1 ZINC001149308746 768729288 /nfs/dbraw/zinc/72/92/88/768729288.db2.gz ZJNGVMNOCPJPER-NSHDSACASA-N 0 2 306.303 0.094 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@H]1CCCO1 ZINC001230868252 768895305 /nfs/dbraw/zinc/89/53/05/768895305.db2.gz ZQUQBDBTBFCHRK-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc2ccccc2oc1=O ZINC001150956739 769226493 /nfs/dbraw/zinc/22/64/93/769226493.db2.gz NVMLNYJQAVFQMN-LLVKDONJSA-N 0 2 304.283 0.832 20 0 DCADLN CC1(C)C[N@H+](Cc2cc(C(=O)[O-])ccc2F)CCN1CC(N)=O ZINC001231458768 769268252 /nfs/dbraw/zinc/26/82/52/769268252.db2.gz MCSKPZYUOBDORY-UHFFFAOYSA-N 0 2 323.368 0.905 20 0 DCADLN CC1(C)C[N@@H+](Cc2cc(C(=O)[O-])ccc2F)CCN1CC(N)=O ZINC001231458768 769268258 /nfs/dbraw/zinc/26/82/58/769268258.db2.gz MCSKPZYUOBDORY-UHFFFAOYSA-N 0 2 323.368 0.905 20 0 DCADLN CC1(C)CN(Cc2cc(C(=O)[O-])ccc2F)CC[N@@H+]1CC(N)=O ZINC001231458768 769268264 /nfs/dbraw/zinc/26/82/64/769268264.db2.gz MCSKPZYUOBDORY-UHFFFAOYSA-N 0 2 323.368 0.905 20 0 DCADLN CC1(C)CN(Cc2cc(C(=O)[O-])ccc2F)CC[N@H+]1CC(N)=O ZINC001231458768 769268271 /nfs/dbraw/zinc/26/82/71/769268271.db2.gz MCSKPZYUOBDORY-UHFFFAOYSA-N 0 2 323.368 0.905 20 0 DCADLN O=C(NCCCNc1ccc2nnnn2n1)C(F)C(F)(F)F ZINC001094845474 769376734 /nfs/dbraw/zinc/37/67/34/769376734.db2.gz CFPWYZTYZVUWPS-MRVPVSSYSA-N 0 2 321.238 0.338 20 0 DCADLN O=C(NCCCNc1ccc2nnnn2n1)[C@@H](F)C(F)(F)F ZINC001094845474 769376738 /nfs/dbraw/zinc/37/67/38/769376738.db2.gz CFPWYZTYZVUWPS-MRVPVSSYSA-N 0 2 321.238 0.338 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@H]1CCCCO1 ZINC001233664793 769423291 /nfs/dbraw/zinc/42/32/91/769423291.db2.gz WWYNNIRLEIWFRT-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001235321976 769810232 /nfs/dbraw/zinc/81/02/32/769810232.db2.gz WBFGYNUYKASIFZ-QWHCGFSZSA-N 0 2 310.442 0.138 20 0 DCADLN C[C@H]1COCCN1CCNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153862535 769970730 /nfs/dbraw/zinc/97/07/30/769970730.db2.gz XYGVFIFVSILQQX-NSHDSACASA-N 0 2 316.361 0.374 20 0 DCADLN C[NH+]1CCN(c2cccc(C[N@@H+]3CCO[C@H](CO)C3)c2)CC1 ZINC001236887420 770021453 /nfs/dbraw/zinc/02/14/53/770021453.db2.gz LDLBJSHSHQNGOD-KRWDZBQOSA-N 0 2 305.422 0.632 20 0 DCADLN O=C(Cc1nn[nH]n1)Nc1nnc2c[nH]cc(Br)c1-2 ZINC001176837956 770184774 /nfs/dbraw/zinc/18/47/74/770184774.db2.gz NWTSQPARRDHPMM-UHFFFAOYSA-N 0 2 323.114 0.415 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1c[nH]c2cc(=O)ccc-2c1 ZINC001155169099 770421078 /nfs/dbraw/zinc/42/10/78/770421078.db2.gz OJVILWWRQDHSJM-GFCCVEGCSA-N 0 2 303.299 0.979 20 0 DCADLN CC(C)(C)c1cc2ncc(C(=O)NCc3n[nH]c(=O)[nH]3)cn2n1 ZINC001155847916 770638371 /nfs/dbraw/zinc/63/83/71/770638371.db2.gz NCPORRRCWPMKIF-UHFFFAOYSA-N 0 2 315.337 0.781 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H]1CCc2ccccc2[C@@H]1O ZINC001183382495 771647361 /nfs/dbraw/zinc/64/73/61/771647361.db2.gz FXSSAFUYGUAVAP-GBIKHYSHSA-N 0 2 306.343 0.708 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H](O)Cc1c[nH]c2ccccc12 ZINC001184299525 771768843 /nfs/dbraw/zinc/76/88/43/771768843.db2.gz GHXFVZANXLEWMF-MFKMUULPSA-N 0 2 319.342 0.497 20 0 DCADLN O=C(Cn1c(=O)[nH]c2cc(F)ccc21)NCc1n[nH]c(=O)[nH]1 ZINC001184519060 771793447 /nfs/dbraw/zinc/79/34/47/771793447.db2.gz XSAHILMKNACFEC-UHFFFAOYSA-N 0 2 306.257 0.021 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2[nH]nc3nccnc32)n1 ZINC001185162028 771871704 /nfs/dbraw/zinc/87/17/04/771871704.db2.gz YOFNWXXWUBSAFL-UHFFFAOYSA-N 0 2 303.307 0.774 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2n[nH]c3nccnc23)n1 ZINC001185162028 771871707 /nfs/dbraw/zinc/87/17/07/771871707.db2.gz YOFNWXXWUBSAFL-UHFFFAOYSA-N 0 2 303.307 0.774 20 0 DCADLN Nc1cc(F)c(Cl)nc1Nc1c(O)[nH]c(=O)[nH]c1=S ZINC001160853555 772124681 /nfs/dbraw/zinc/12/46/81/772124681.db2.gz OYNCTXALHZTTOC-BYPYZUCNSA-N 0 2 303.706 0.404 20 0 DCADLN O=S(=O)(Nc1ncco1)c1ccc(N2CCOCC2)nc1 ZINC001188489727 772308043 /nfs/dbraw/zinc/30/80/43/772308043.db2.gz ZLMIKAYCHJDPFX-UHFFFAOYSA-N 0 2 310.335 0.707 20 0 DCADLN Cn1cncc1NS(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188495657 772314184 /nfs/dbraw/zinc/31/41/84/772314184.db2.gz QSDYJMACDFFMDP-UHFFFAOYSA-N 0 2 323.378 0.453 20 0 DCADLN O=C(N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1(CCF)CC1 ZINC001188673307 772348750 /nfs/dbraw/zinc/34/87/50/772348750.db2.gz IOIRPTBESPCJGO-WDEREUQCSA-N 0 2 323.372 0.683 20 0 DCADLN O=C(N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1(CCF)CC1 ZINC001188673307 772348757 /nfs/dbraw/zinc/34/87/57/772348757.db2.gz IOIRPTBESPCJGO-WDEREUQCSA-N 0 2 323.372 0.683 20 0 DCADLN COC(=O)c1nc2ccc(NC[C@H]3CCNC(=O)C3)nc2[nH]1 ZINC001162338458 772363347 /nfs/dbraw/zinc/36/33/47/772363347.db2.gz WRSDHKMQALGLQR-QMMMGPOBSA-N 0 2 303.322 0.683 20 0 DCADLN COC[C@@H](C)CC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001189605817 772505055 /nfs/dbraw/zinc/50/50/55/772505055.db2.gz ZLXATDZYDVXZKA-SDDRHHMPSA-N 0 2 323.397 0.216 20 0 DCADLN COC[C@@H](C)CC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001189605817 772505056 /nfs/dbraw/zinc/50/50/56/772505056.db2.gz ZLXATDZYDVXZKA-SDDRHHMPSA-N 0 2 323.397 0.216 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc(-n2cccc2)nc1 ZINC001190400413 772623200 /nfs/dbraw/zinc/62/32/00/772623200.db2.gz WZKRQBCAXJAWTI-LBPRGKRZSA-N 0 2 302.315 0.911 20 0 DCADLN O=C(NCc1cc(=O)[nH]c(=S)[nH]1)c1ccc2[nH]nnc2c1 ZINC001164340116 772647997 /nfs/dbraw/zinc/64/79/97/772647997.db2.gz ZXRVWAJOARHXLU-UHFFFAOYSA-N 0 2 302.319 0.672 20 0 DCADLN Cn1c[nH+]cc1NS(=O)(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001192686093 772948321 /nfs/dbraw/zinc/94/83/21/772948321.db2.gz LQHKATOVRGCPCF-UHFFFAOYSA-N 0 2 311.319 0.684 20 0 DCADLN CS(=O)(=O)N1CC(NC(=O)c2cc(F)c(O)cc2F)C1 ZINC001192876473 772967402 /nfs/dbraw/zinc/96/74/02/772967402.db2.gz JTXMEHKKBLKZKM-UHFFFAOYSA-N 0 2 306.290 0.044 20 0 DCADLN CC(=O)Nc1cc(NS(=O)(=O)C[C@H]2CCCO2)ncn1 ZINC001193206350 773024776 /nfs/dbraw/zinc/02/47/76/773024776.db2.gz CHFSTHYONMXGFD-SECBINFHSA-N 0 2 300.340 0.356 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCc1cccnc1 ZINC001206317916 773066142 /nfs/dbraw/zinc/06/61/42/773066142.db2.gz NTTMDWFSTCMWKX-ZDUSSCGKSA-N 0 2 316.379 0.187 20 0 DCADLN COC(=O)c1ncc(NS(=O)(=O)c2ccccc2N)cn1 ZINC001193895626 773126378 /nfs/dbraw/zinc/12/63/78/773126378.db2.gz APYSSXZMDOFJLQ-UHFFFAOYSA-N 0 2 308.319 0.646 20 0 DCADLN COCC1(CC(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001206953722 773147191 /nfs/dbraw/zinc/14/71/91/773147191.db2.gz BFXYTTDEMMNKRT-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN COCC1(CC(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001206953722 773147193 /nfs/dbraw/zinc/14/71/93/773147193.db2.gz BFXYTTDEMMNKRT-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1CN(Cc2nnnn2C)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207023774 773163538 /nfs/dbraw/zinc/16/35/38/773163538.db2.gz YODNVQVDHLIVNV-BHNWBGBOSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@@H]1CN(Cc2nnnn2C)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001207023774 773163540 /nfs/dbraw/zinc/16/35/40/773163540.db2.gz YODNVQVDHLIVNV-BHNWBGBOSA-N 0 2 324.282 0.047 20 0 DCADLN CCOCCCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001194978182 773297670 /nfs/dbraw/zinc/29/76/70/773297670.db2.gz UDNGXSPZPLCHSC-UHFFFAOYSA-N 0 2 311.386 0.361 20 0 DCADLN O=C(Nc1nnc(Br)s1)c1snnc1CO ZINC001196347736 773582109 /nfs/dbraw/zinc/58/21/09/773582109.db2.gz FUFSIGVRSDFCGD-UHFFFAOYSA-N 0 2 322.169 0.897 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnn(CC(F)(F)F)c1 ZINC001197561964 773767774 /nfs/dbraw/zinc/76/77/74/773767774.db2.gz VEGSTDMEMISAIA-ZETCQYMHSA-N 0 2 308.241 0.484 20 0 DCADLN O=C(N[C@@H]1C[C@H]1F)c1cc(-n2ncc(=O)[nH]c2=O)ccc1Cl ZINC001198008654 773833787 /nfs/dbraw/zinc/83/37/87/773833787.db2.gz UINHERITJQFBMR-NXEZZACHSA-N 0 2 324.699 0.827 20 0 DCADLN COCC[C@@H](C)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001198175818 773870785 /nfs/dbraw/zinc/87/07/85/773870785.db2.gz JBJNEOTUXJJZKN-LLVKDONJSA-N 0 2 311.386 0.217 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccn3c(C)nnc3c2)n1C ZINC001198361941 773906149 /nfs/dbraw/zinc/90/61/49/773906149.db2.gz XVAFCPIFVZUJLT-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN Cc1nn(-c2cccnc2)c(C)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001198639816 773955431 /nfs/dbraw/zinc/95/54/31/773955431.db2.gz WAVFFSKPCAUQPG-UHFFFAOYSA-N 0 2 313.321 0.638 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cn(CC(F)(F)F)cn1 ZINC001199394773 774093728 /nfs/dbraw/zinc/09/37/28/774093728.db2.gz MCMLTCVTWBEYTE-ZETCQYMHSA-N 0 2 308.241 0.484 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1nccc(OC2CCC2)n1 ZINC001199633199 774157027 /nfs/dbraw/zinc/15/70/27/774157027.db2.gz ZSMXOPVJGKRMMQ-LLVKDONJSA-N 0 2 308.319 0.447 20 0 DCADLN CC[C@H](F)C[N@H+]1C[C@H]2OCCN(C(=O)C[NH+](C)C(C)C)[C@H]2C1 ZINC001219054863 774355821 /nfs/dbraw/zinc/35/58/21/774355821.db2.gz NRGLVTTWYVQQNZ-SOUVJXGZSA-N 0 2 315.433 0.986 20 0 DCADLN CC1(CC(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CCCC1 ZINC001219569846 774540122 /nfs/dbraw/zinc/54/01/22/774540122.db2.gz JVTDSXARPLVAIB-MNOVXSKESA-N 0 2 323.397 0.142 20 0 DCADLN O=C(N[C@@H]1CN(C/C=C\Cl)C[C@@H]1O)C(F)C(F)(F)F ZINC001219670677 774575755 /nfs/dbraw/zinc/57/57/55/774575755.db2.gz XJCMMHFXRZMVPP-KFJHRKGHSA-N 0 2 304.671 0.801 20 0 DCADLN O=C(NC[C@]1(O)CCN(c2ncccn2)C1)C(F)C(F)(F)F ZINC001111140489 775539661 /nfs/dbraw/zinc/53/96/61/775539661.db2.gz LMZFMMGDOZULEB-LDYMZIIASA-N 0 2 322.262 0.434 20 0 DCADLN O=C(NC[C@]1(O)CCN(c2ncccn2)C1)[C@@H](F)C(F)(F)F ZINC001111140489 775539673 /nfs/dbraw/zinc/53/96/73/775539673.db2.gz LMZFMMGDOZULEB-LDYMZIIASA-N 0 2 322.262 0.434 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OC[C@H]1CCCOC1 ZINC001224650371 775592103 /nfs/dbraw/zinc/59/21/03/775592103.db2.gz SRZJTLIDJYZKJU-STQMWFEESA-N 0 2 323.411 0.018 20 0 DCADLN Cc1ccc(O[C@@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])cc1 ZINC001225257491 775659246 /nfs/dbraw/zinc/65/92/46/775659246.db2.gz LWBJFZKOOCXNKC-AWEZNQCLSA-N 0 2 315.391 0.962 20 0 DCADLN COC(=O)[C@@]1(C)C[C@@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)C1 ZINC001226887107 775892042 /nfs/dbraw/zinc/89/20/42/775892042.db2.gz ZFGAEIOUMPOLID-BLJODBISSA-N 0 2 322.277 0.257 20 0 DCADLN C[C@H](CN1CCOCC1)Oc1[nH]c(=O)nnc1Br ZINC001227063447 775920444 /nfs/dbraw/zinc/92/04/44/775920444.db2.gz FUNOCBWESNGNJR-SSDOTTSWSA-N 0 2 319.159 0.439 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cn(C)nc2C)cc1 ZINC000172817626 776024721 /nfs/dbraw/zinc/02/47/21/776024721.db2.gz NBTHFSRLQIKBPN-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN CCCC(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041193253 777118070 /nfs/dbraw/zinc/11/80/70/777118070.db2.gz HEEDYKZBHCYXOF-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN CCCC(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001041193253 777118101 /nfs/dbraw/zinc/11/81/01/777118101.db2.gz HEEDYKZBHCYXOF-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN Cn1[n-]nnc1=NC(=O)c1n[nH]c2c1CC[N@H+](CC(C)(C)C)C2 ZINC001278104429 945138581 /nfs/dbraw/zinc/13/85/81/945138581.db2.gz WYBZCXRUUAQPHH-UHFFFAOYSA-N 0 2 318.385 0.012 20 0 DCADLN Cn1[n-]nnc1=NC(=O)c1n[nH]c2c1CC[N@@H+](CC(C)(C)C)C2 ZINC001278104429 945138585 /nfs/dbraw/zinc/13/85/85/945138585.db2.gz WYBZCXRUUAQPHH-UHFFFAOYSA-N 0 2 318.385 0.012 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC=CCC1 ZINC001266584209 939170940 /nfs/dbraw/zinc/17/09/40/939170940.db2.gz XBTSRRLULFZYTF-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC=CCC1 ZINC001266584209 939170942 /nfs/dbraw/zinc/17/09/42/939170942.db2.gz XBTSRRLULFZYTF-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN C[N@H+](CCNC(=O)c1noc2c1CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001480918267 939350019 /nfs/dbraw/zinc/35/00/19/939350019.db2.gz ZMQSUGBFGWJEKD-UHFFFAOYSA-N 0 2 320.353 0.239 20 0 DCADLN C[N@@H+](CCNC(=O)c1noc2c1CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001480918267 939350024 /nfs/dbraw/zinc/35/00/24/939350024.db2.gz ZMQSUGBFGWJEKD-UHFFFAOYSA-N 0 2 320.353 0.239 20 0 DCADLN CCCn1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001480928106 939403406 /nfs/dbraw/zinc/40/34/06/939403406.db2.gz SVODWZOSSQGZGN-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CCCn1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001480928106 939403407 /nfs/dbraw/zinc/40/34/07/939403407.db2.gz SVODWZOSSQGZGN-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001267266681 939410135 /nfs/dbraw/zinc/41/01/35/939410135.db2.gz LNIDXAFVLFIXPQ-FJOGCWAESA-N 0 2 316.365 0.632 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001267266681 939410136 /nfs/dbraw/zinc/41/01/36/939410136.db2.gz LNIDXAFVLFIXPQ-FJOGCWAESA-N 0 2 316.365 0.632 20 0 DCADLN O=C(CCC(F)F)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481050019 939541554 /nfs/dbraw/zinc/54/15/54/939541554.db2.gz VGXLUPBJGWTIPP-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN O=C(CCC(F)F)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481050019 939541555 /nfs/dbraw/zinc/54/15/55/939541555.db2.gz VGXLUPBJGWTIPP-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN CCC[C@H](OC)C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481051078 939541882 /nfs/dbraw/zinc/54/18/82/939541882.db2.gz BMWZOXYQCMTJMI-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CCC[C@H](OC)C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481051078 939541883 /nfs/dbraw/zinc/54/18/83/939541883.db2.gz BMWZOXYQCMTJMI-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)[NH+](C)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001481752720 940111826 /nfs/dbraw/zinc/11/18/26/940111826.db2.gz HZEIVOFUHCDSLU-CYBMUJFWSA-N 0 2 308.430 0.188 20 0 DCADLN CCOCC[N@H+]1CC[C@@](C)(NC(=O)CCc2[nH+]ccn2C)C1 ZINC001278415648 945297316 /nfs/dbraw/zinc/29/73/16/945297316.db2.gz ZNKXTZYCNLMJNX-MRXNPFEDSA-N 0 2 308.426 0.970 20 0 DCADLN O=C(CCc1cn[nH]c1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409061212 941301327 /nfs/dbraw/zinc/30/13/27/941301327.db2.gz DVEWWTAMPLPBNR-SNVBAGLBSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(CCc1cn[nH]c1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409061212 941301329 /nfs/dbraw/zinc/30/13/29/941301329.db2.gz DVEWWTAMPLPBNR-SNVBAGLBSA-N 0 2 322.262 0.570 20 0 DCADLN CN1CC2(CN(C(=O)c3ccc(O)c(F)c3F)C2)OCC1=O ZINC001272263791 942017253 /nfs/dbraw/zinc/01/72/53/942017253.db2.gz QULNIHHKAZUWLX-UHFFFAOYSA-N 0 2 312.272 0.354 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1Cc2ccccc21)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409643808 942110755 /nfs/dbraw/zinc/11/07/55/942110755.db2.gz ZUODGBLWCBZPKG-GWCFXTLKSA-N 0 2 315.377 0.787 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1Cc2ccccc21)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409643808 942110761 /nfs/dbraw/zinc/11/07/61/942110761.db2.gz ZUODGBLWCBZPKG-GWCFXTLKSA-N 0 2 315.377 0.787 20 0 DCADLN Cn1ccc(NC(=O)CNC(=O)c2c(O)cc(F)cc2F)n1 ZINC001412859572 942112045 /nfs/dbraw/zinc/11/20/45/942112045.db2.gz WPYSABRXBGAUTK-UHFFFAOYSA-N 0 2 310.260 0.772 20 0 DCADLN CC(C)CCC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001412875626 942120363 /nfs/dbraw/zinc/12/03/63/942120363.db2.gz MWFKMMSKTKPSEE-WPRPVWTQSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001412875626 942120364 /nfs/dbraw/zinc/12/03/64/942120364.db2.gz MWFKMMSKTKPSEE-WPRPVWTQSA-N 0 2 316.295 0.916 20 0 DCADLN CC[C@H](SC)C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483325921 942267966 /nfs/dbraw/zinc/26/79/66/942267966.db2.gz ODCOLVBVXVFPSD-BDAKNGLRSA-N 0 2 301.416 0.588 20 0 DCADLN CC[C@H](SC)C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483325921 942267969 /nfs/dbraw/zinc/26/79/69/942267969.db2.gz ODCOLVBVXVFPSD-BDAKNGLRSA-N 0 2 301.416 0.588 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483358763 942296906 /nfs/dbraw/zinc/29/69/06/942296906.db2.gz AJLOJINLYOTVRB-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483358763 942296911 /nfs/dbraw/zinc/29/69/11/942296911.db2.gz AJLOJINLYOTVRB-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN Cc1nc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001483399014 942330156 /nfs/dbraw/zinc/33/01/56/942330156.db2.gz FJZRPLIJEUZXAR-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1nc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001483399014 942330160 /nfs/dbraw/zinc/33/01/60/942330160.db2.gz FJZRPLIJEUZXAR-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN Cn1cc[nH+]c1Cn1cc(CN2CCCC[C@H]2C(=O)[O-])nn1 ZINC001600986772 970893779 /nfs/dbraw/zinc/89/37/79/970893779.db2.gz MYCXSBUEYNAUOX-LBPRGKRZSA-N 0 2 304.354 0.499 20 0 DCADLN CN(CCC[NH2+]Cc1ccn(C)n1)C(=O)CCc1[nH+]ccn1C ZINC001483483115 942381157 /nfs/dbraw/zinc/38/11/57/942381157.db2.gz PMPMIEANMKAJFU-UHFFFAOYSA-N 0 2 318.425 0.725 20 0 DCADLN CC(=O)c1ccc(O)c(C(=O)N2CC3(C2)CN(C)C(=O)CO3)c1 ZINC001272316639 942685517 /nfs/dbraw/zinc/68/55/17/942685517.db2.gz BNKFULNXOXNAAD-UHFFFAOYSA-N 0 2 318.329 0.278 20 0 DCADLN C[C@H]1CCCc2ncc(C(=O)NCc3nc(O)cc(=O)[nH]3)n21 ZINC001413338324 942905028 /nfs/dbraw/zinc/90/50/28/942905028.db2.gz DVWDOPQUVIVHCS-QMMMGPOBSA-N 0 2 303.322 0.912 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NCC1([NH2+]Cc2nccnc2C)CC1 ZINC001483791542 942956952 /nfs/dbraw/zinc/95/69/52/942956952.db2.gz XHSDBIYSWAAGAT-UHFFFAOYSA-N 0 2 314.393 0.729 20 0 DCADLN COCC(=O)N(C)C1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001413538244 943056428 /nfs/dbraw/zinc/05/64/28/943056428.db2.gz GQUUTRXMSUPIFU-IEIXJENWSA-N 0 2 300.252 0.639 20 0 DCADLN COCC(=O)N(C)C1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001413538244 943056435 /nfs/dbraw/zinc/05/64/35/943056435.db2.gz GQUUTRXMSUPIFU-IEIXJENWSA-N 0 2 300.252 0.639 20 0 DCADLN COC(=O)[C@@H](Cn1cncn1)NC(=O)c1cccc(Cl)c1O ZINC001413715099 943602532 /nfs/dbraw/zinc/60/25/32/943602532.db2.gz WENQTIHNOCIKEC-SNVBAGLBSA-N 0 2 324.724 0.609 20 0 DCADLN C[C@H](NC(=O)c1ccco1)C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001413761351 943669838 /nfs/dbraw/zinc/66/98/38/943669838.db2.gz LTVIGPAVNNOYPL-IUCAKERBSA-N 0 2 306.322 0.714 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)NCc1ccco1)c1nn(C)cc1O ZINC001413769639 943676759 /nfs/dbraw/zinc/67/67/59/943676759.db2.gz XXPHHXZTZCBXOV-SECBINFHSA-N 0 2 321.337 0.395 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1C=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485489219 943958738 /nfs/dbraw/zinc/95/87/38/943958738.db2.gz OXHACUUSLAOATJ-WDEREUQCSA-N 0 2 305.382 0.803 20 0 DCADLN COCCCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001485560270 944005205 /nfs/dbraw/zinc/00/52/05/944005205.db2.gz CQURECKAXISQJD-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COCCCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001485560270 944005209 /nfs/dbraw/zinc/00/52/09/944005209.db2.gz CQURECKAXISQJD-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1CCc2[nH+]ccn2C1)[NH2+]Cc1ccnn1C ZINC001491508524 944052379 /nfs/dbraw/zinc/05/23/79/944052379.db2.gz UPBQLFVWZUOIRL-STQMWFEESA-N 0 2 316.409 0.474 20 0 DCADLN O=C([O-])[C@H]1CCN(C[C@@H](O)C[NH+]2CCOCC2)c2ccccc21 ZINC000323951412 971065280 /nfs/dbraw/zinc/06/52/80/971065280.db2.gz DBMCXQNOLYLPIT-ZFWWWQNUSA-N 0 2 320.389 0.758 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001316941806 944666178 /nfs/dbraw/zinc/66/61/78/944666178.db2.gz UFGLDSFQQOOTFG-BTDICHCPSA-N 0 2 316.365 0.632 20 0 DCADLN COC(=O)CCCc1nnc(NC(=O)c2cccc[n+]2[O-])s1 ZINC001319016186 945482168 /nfs/dbraw/zinc/48/21/68/945482168.db2.gz KACWSPYBTWWRCE-UHFFFAOYSA-N 0 2 322.346 0.920 20 0 DCADLN O=C(CCc1nnc(-c2ccccc2)o1)NCc1n[nH]c(=O)[nH]1 ZINC001319185049 945516177 /nfs/dbraw/zinc/51/61/77/945516177.db2.gz USBLGYDBYGYENO-UHFFFAOYSA-N 0 2 314.305 0.809 20 0 DCADLN Cn1cc(-c2nc(C(=O)NN3CC(=O)N(C)C3=O)cs2)cn1 ZINC001320081169 945735476 /nfs/dbraw/zinc/73/54/76/945735476.db2.gz FQLKOQYFYNPDIF-UHFFFAOYSA-N 0 2 320.334 0.082 20 0 DCADLN O=C(NN1CCCC1=O)c1cnn2c1[nH]c(=O)c1ccccc12 ZINC001320199292 945765462 /nfs/dbraw/zinc/76/54/62/945765462.db2.gz BNKAJJBOCXELNX-UHFFFAOYSA-N 0 2 311.301 0.443 20 0 DCADLN Cc1nc(S(=O)(=O)NNc2nncc(N)c2Cl)cn1C ZINC001253087220 946056855 /nfs/dbraw/zinc/05/68/55/946056855.db2.gz VPEOWZXLIDEYPG-UHFFFAOYSA-N 0 2 317.762 0.060 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)NC(=O)C(F)C(F)(F)F ZINC001410381769 946278028 /nfs/dbraw/zinc/27/80/28/946278028.db2.gz CDQQYISVALGCGI-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)NC(=O)[C@H](F)C(F)(F)F ZINC001410381769 946278038 /nfs/dbraw/zinc/27/80/38/946278038.db2.gz CDQQYISVALGCGI-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](C(=O)NCCC[N@@H+]1CCC[C@@H]1C(=O)N(C)C)n1cc[nH+]c1 ZINC001321489342 946349290 /nfs/dbraw/zinc/34/92/90/946349290.db2.gz IMYNAWGICCHHBD-ZIAGYGMSSA-N 0 2 321.425 0.503 20 0 DCADLN CC(C(=O)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)=C1CCC1 ZINC001278777375 946364206 /nfs/dbraw/zinc/36/42/06/946364206.db2.gz ZBUXWPNJESVNKO-HNNXBMFYSA-N 0 2 321.381 0.064 20 0 DCADLN CC(C(=O)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)=C1CCC1 ZINC001278777375 946364220 /nfs/dbraw/zinc/36/42/20/946364220.db2.gz ZBUXWPNJESVNKO-HNNXBMFYSA-N 0 2 321.381 0.064 20 0 DCADLN CC[C@@H](C(=O)N[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)[NH+](C)C ZINC001410470945 946457130 /nfs/dbraw/zinc/45/71/30/946457130.db2.gz XTNJPXFHOPDDAB-OBJOEFQTSA-N 0 2 323.441 0.549 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2cccc(Cl)c2)S1 ZINC001256818315 946666093 /nfs/dbraw/zinc/66/60/93/946666093.db2.gz WDDNPHZKTCJZQD-MRVPVSSYSA-N 0 2 306.752 0.927 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cc(F)ccc2F)ccn1 ZINC001258942471 946873213 /nfs/dbraw/zinc/87/32/13/946873213.db2.gz REPSXPFTQWLESP-UHFFFAOYSA-N 0 2 313.285 0.681 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2ccccn2)ccn1 ZINC001259281738 946936518 /nfs/dbraw/zinc/93/65/18/946936518.db2.gz FLRMLUCRJRIWPQ-UHFFFAOYSA-N 0 2 307.331 0.876 20 0 DCADLN COC(=O)CCc1ccc(S(=O)(=O)Nc2ncn(C)n2)cc1 ZINC001259316473 946944334 /nfs/dbraw/zinc/94/43/34/946944334.db2.gz WBQVQJDORIFCGO-UHFFFAOYSA-N 0 2 324.362 0.722 20 0 DCADLN CCCCN(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413946238 946946568 /nfs/dbraw/zinc/94/65/68/946946568.db2.gz NELFIDHELMCDAC-UHFFFAOYSA-N 0 2 303.388 0.291 20 0 DCADLN O=S(=O)(CC(F)(F)F)NCCC1CCS(=O)(=O)CC1 ZINC000356620855 946968117 /nfs/dbraw/zinc/96/81/17/946968117.db2.gz LBFXHTSMBZFPIG-UHFFFAOYSA-N 0 2 323.358 0.683 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc(CC(N)=O)c2)cn1 ZINC001259838298 946996415 /nfs/dbraw/zinc/99/64/15/946996415.db2.gz BYJFHPTYVAOGLR-UHFFFAOYSA-N 0 2 308.363 0.732 20 0 DCADLN COCCC[C@@H](C)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001323334521 947039972 /nfs/dbraw/zinc/03/99/72/947039972.db2.gz HXZOBBMFSXZMTI-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN Cn1cc2c(cccc2NS(=O)(=O)CS(C)(=O)=O)n1 ZINC001260590744 947120562 /nfs/dbraw/zinc/12/05/62/947120562.db2.gz NOCFLCMBCPCREE-UHFFFAOYSA-N 0 2 303.365 0.317 20 0 DCADLN C[C@@H]1[C@H](NC(=O)CC(C)(C)O)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001410936302 947149459 /nfs/dbraw/zinc/14/94/59/947149459.db2.gz WWBRHYQIJWNRDS-NXEZZACHSA-N 0 2 311.386 0.140 20 0 DCADLN C[C@@H]1[C@H](NC(=O)CC(C)(C)O)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001410936302 947149466 /nfs/dbraw/zinc/14/94/66/947149466.db2.gz WWBRHYQIJWNRDS-NXEZZACHSA-N 0 2 311.386 0.140 20 0 DCADLN COc1cc(NS(=O)(=O)c2cn[nH]c2)cc(-n2cncn2)c1 ZINC001260961330 947160231 /nfs/dbraw/zinc/16/02/31/947160231.db2.gz RTDMHFNBLGXZDK-UHFFFAOYSA-N 0 2 320.334 0.800 20 0 DCADLN COCCN(CCNC(=O)CC(C)(C)C)Cc1n[nH]c(=O)[nH]1 ZINC001411214161 947496578 /nfs/dbraw/zinc/49/65/78/947496578.db2.gz FHDLORHLSMGSOC-UHFFFAOYSA-N 0 2 313.402 0.511 20 0 DCADLN C[C@@H](NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1ncn(C)n1 ZINC001475199739 947803095 /nfs/dbraw/zinc/80/30/95/947803095.db2.gz UJZBNUCKQPEZEQ-LILOVNGRSA-N 0 2 323.444 0.607 20 0 DCADLN O=S(=O)(Nc1cnc2cnccn21)c1cnc(Cl)nc1 ZINC001185003528 947927321 /nfs/dbraw/zinc/92/73/21/947927321.db2.gz CTSMVZAVTQRXJD-UHFFFAOYSA-N 0 2 310.726 0.974 20 0 DCADLN CC/C=C(/C)C(=O)N[C@@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001325817126 947932131 /nfs/dbraw/zinc/93/21/31/947932131.db2.gz AJMDYXOAZPABLP-GHAIFCDISA-N 0 2 323.397 0.310 20 0 DCADLN Cc1c(NS(=O)(=O)c2cnc3c(cnn3C)c2)cnn1C ZINC001325820537 947937584 /nfs/dbraw/zinc/93/75/84/947937584.db2.gz MUZNKSHEIJYXGF-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001273656132 948008558 /nfs/dbraw/zinc/00/85/58/948008558.db2.gz VHVHHPOSJPNBKJ-JNZVDCKRSA-N 0 2 321.381 0.326 20 0 DCADLN Cn1cnnc1CS(=O)(=O)c1n[nH]c(-c2ccccc2F)n1 ZINC001326560354 948201325 /nfs/dbraw/zinc/20/13/25/948201325.db2.gz DUIWGFPXPCJOII-UHFFFAOYSA-N 0 2 322.325 0.713 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CCc2cccc(F)c2)c1=O ZINC001570917882 948564979 /nfs/dbraw/zinc/56/49/79/948564979.db2.gz HLJJAZXBNXWAOV-UHFFFAOYSA-N 0 2 316.296 0.109 20 0 DCADLN Cc1ccc(Sc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)cc1 ZINC001570918472 948575207 /nfs/dbraw/zinc/57/52/07/948575207.db2.gz QDSLHYWCBZQJEE-UHFFFAOYSA-N 0 2 316.346 0.713 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cnc3c(cnn3C)c2)nn1C ZINC001327721328 948606684 /nfs/dbraw/zinc/60/66/84/948606684.db2.gz FYVDUXKTCOPZNF-UHFFFAOYSA-N 0 2 307.339 0.206 20 0 DCADLN CC(C)c1nsc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)n1 ZINC001574441623 949069927 /nfs/dbraw/zinc/06/99/27/949069927.db2.gz POKZGSMRDJQAEH-UHFFFAOYSA-N 0 2 320.338 0.152 20 0 DCADLN NS(=O)(=O)[C@@H]1CCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001364364740 949128592 /nfs/dbraw/zinc/12/85/92/949128592.db2.gz MYPYPALBNBMPCR-SSDOTTSWSA-N 0 2 314.392 0.260 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2C2CCOCC2)S1 ZINC001364416576 949231999 /nfs/dbraw/zinc/23/19/99/949231999.db2.gz HVCJMAYZJPKLCS-SECBINFHSA-N 0 2 323.378 0.730 20 0 DCADLN O=C([N-]OC[C@@H]1CCOC1)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001329081028 949341069 /nfs/dbraw/zinc/34/10/69/949341069.db2.gz KEENBURWNCFRQS-GFCCVEGCSA-N 0 2 318.333 0.035 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@@H](O)C1CCCC1 ZINC001364498920 949431605 /nfs/dbraw/zinc/43/16/05/949431605.db2.gz OVKPLXWYRMOTOJ-GFCCVEGCSA-N 0 2 319.365 0.129 20 0 DCADLN O=C(NCc1nnc2c(=O)[nH]ccn12)c1cccc(Cl)c1O ZINC001462815856 1013093435 /nfs/dbraw/zinc/09/34/35/1013093435.db2.gz IXFHGZBSGKNNHP-UHFFFAOYSA-N 0 2 319.708 0.707 20 0 DCADLN O=C([O-])c1ccnc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC001595263353 949712931 /nfs/dbraw/zinc/71/29/31/949712931.db2.gz NEPMJBGDWIGYKR-GFCCVEGCSA-N 0 2 320.349 0.718 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NCc1cccnn1 ZINC001364826854 950020529 /nfs/dbraw/zinc/02/05/29/950020529.db2.gz KSMCPRZEZRWLTG-GOSISDBHSA-N 0 2 306.413 0.707 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H](c1ccccn1)C1CC1 ZINC001462942702 1013100688 /nfs/dbraw/zinc/10/06/88/1013100688.db2.gz VRKXMHWBKMORPK-CQSZACIVSA-N 0 2 324.344 0.734 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)c1ccccc1)c1nn(C)cc1O ZINC001365121267 950557413 /nfs/dbraw/zinc/55/74/13/950557413.db2.gz RIYNSQFATIJBMM-SNVBAGLBSA-N 0 2 302.334 0.733 20 0 DCADLN C[C@H](NC(=O)c1ccoc1)C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001365123307 950560218 /nfs/dbraw/zinc/56/02/18/950560218.db2.gz ZYGLBGJGMXRCJD-IUCAKERBSA-N 0 2 306.322 0.714 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])ccn1 ZINC001604640168 1169639945 /nfs/dbraw/zinc/63/99/45/1169639945.db2.gz UTYQGTCQBPSKLV-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN CC(C)OCCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001490580620 950900755 /nfs/dbraw/zinc/90/07/55/950900755.db2.gz FLKBVHKGIXEJNF-LLVKDONJSA-N 0 2 311.386 0.406 20 0 DCADLN O=C([O-])CC1(C(=O)N[C@H]2CCn3c[nH+]cc3C2)CCOCC1 ZINC001594974597 950909385 /nfs/dbraw/zinc/90/93/85/950909385.db2.gz USRBPAYPFNTVPK-NSHDSACASA-N 0 2 307.350 0.586 20 0 DCADLN O=S(=O)(NCC1(CO)COC1)c1onc(C2CC2)c1Cl ZINC001365377056 951039918 /nfs/dbraw/zinc/03/99/18/951039918.db2.gz BOTPAOPGKBUDEP-UHFFFAOYSA-N 0 2 322.770 0.493 20 0 DCADLN COCC(=O)NCCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001280829668 951111015 /nfs/dbraw/zinc/11/10/15/951111015.db2.gz AJIKVBBTCWMJDX-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN COCC(=O)NCCC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001280829668 951111022 /nfs/dbraw/zinc/11/10/22/951111022.db2.gz AJIKVBBTCWMJDX-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN COc1ncc(S(=O)(=O)Nc2nnn(C)n2)cc1Cl ZINC001365458321 951152620 /nfs/dbraw/zinc/15/26/20/951152620.db2.gz XNZMBKMGUOKTAM-UHFFFAOYSA-N 0 2 304.719 0.068 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)cn1 ZINC000382623305 951227151 /nfs/dbraw/zinc/22/71/51/951227151.db2.gz GPFFWJGVINMMFE-CYBMUJFWSA-N 0 2 319.361 0.717 20 0 DCADLN CCN1CC[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001365657850 951394603 /nfs/dbraw/zinc/39/46/03/951394603.db2.gz DDMPCMURMHYAFG-ZJUUUORDSA-N 0 2 322.369 0.020 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001332710936 951771160 /nfs/dbraw/zinc/77/11/60/951771160.db2.gz GRPGYMRUKPVSMT-CQSZACIVSA-N 0 2 320.393 0.620 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001332710936 951771164 /nfs/dbraw/zinc/77/11/64/951771164.db2.gz GRPGYMRUKPVSMT-CQSZACIVSA-N 0 2 320.393 0.620 20 0 DCADLN Cc1ccc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)cn1 ZINC001411907191 952529692 /nfs/dbraw/zinc/52/96/92/952529692.db2.gz KBGGGHDGHXQIJF-UHFFFAOYSA-N 0 2 307.331 0.995 20 0 DCADLN CCc1nccn1[C@H](C)CC(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001412375021 952767276 /nfs/dbraw/zinc/76/72/76/952767276.db2.gz KXGQJBPOVZGPTC-SECBINFHSA-N 0 2 305.338 0.914 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H](C)CN(C)C(=O)Cc1[nH]cc[nH+]1)[NH+](C)C ZINC001412681691 952988787 /nfs/dbraw/zinc/98/87/87/952988787.db2.gz WTACCDSLBUPZQA-DOMZBBRYSA-N 0 2 323.441 0.502 20 0 DCADLN CNc1cnc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)cn1 ZINC001412688111 952997381 /nfs/dbraw/zinc/99/73/81/952997381.db2.gz GJZUGZSQHUKBOS-UHFFFAOYSA-N 0 2 318.337 0.343 20 0 DCADLN CO[C@H](C)CC(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001365919799 953410337 /nfs/dbraw/zinc/41/03/37/953410337.db2.gz SYPWLSPARKCEDE-SNVBAGLBSA-N 0 2 311.386 0.358 20 0 DCADLN C[C@@H]1C[C@H]([NH2+][C@@H]2CCCCN(CC(=O)[O-])C2=O)c2ncnn21 ZINC001589291708 953608996 /nfs/dbraw/zinc/60/89/96/953608996.db2.gz QDGMDFLEMGYJQH-MXWKQRLJSA-N 0 2 307.354 0.339 20 0 DCADLN CC(C)NC(=O)C[NH2+][C@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001366096245 953677229 /nfs/dbraw/zinc/67/72/29/953677229.db2.gz BOZJIFNPDNASOX-LBPRGKRZSA-N 0 2 319.409 0.616 20 0 DCADLN CCc1nc([C@@H](C)[NH2+]CCS(=O)(=O)CC(=O)[O-])cs1 ZINC001593648537 953692936 /nfs/dbraw/zinc/69/29/36/953692936.db2.gz JIJUBXRITDMNOH-MRVPVSSYSA-N 0 2 306.409 0.856 20 0 DCADLN CCn1c[nH+]cc1COC(=O)[C@H]1CCCN(CC(=O)[O-])C1=O ZINC001593669322 953866821 /nfs/dbraw/zinc/86/68/21/953866821.db2.gz GFIFZASUIVUBII-NSHDSACASA-N 0 2 309.322 0.269 20 0 DCADLN CCOCCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001348532485 954347280 /nfs/dbraw/zinc/34/72/80/954347280.db2.gz JIWZSKHWLRGAFF-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN CCOCCCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001348532485 954347288 /nfs/dbraw/zinc/34/72/88/954347288.db2.gz JIWZSKHWLRGAFF-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN C[C@@H]1CN(c2cccc(F)c2)CC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001589403023 954457094 /nfs/dbraw/zinc/45/70/94/954457094.db2.gz WHLJLXGVOSLMIY-LLVKDONJSA-N 0 2 309.341 0.537 20 0 DCADLN C[C@@H]1CN(c2cccc(F)c2)CC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001589403023 954457099 /nfs/dbraw/zinc/45/70/99/954457099.db2.gz WHLJLXGVOSLMIY-LLVKDONJSA-N 0 2 309.341 0.537 20 0 DCADLN CCn1nncc1C[N@H+](CC)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001366611894 954513975 /nfs/dbraw/zinc/51/39/75/954513975.db2.gz KIYOMCPTGHFUHE-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CCn1nncc1C[N@@H+](CC)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001366611894 954513982 /nfs/dbraw/zinc/51/39/82/954513982.db2.gz KIYOMCPTGHFUHE-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN Cn1c(N2CCC(C(=O)[O-])CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001593773737 954568154 /nfs/dbraw/zinc/56/81/54/954568154.db2.gz ISBHEMSXRCGRNC-NHYWBVRUSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC(C(=O)[O-])CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001593773737 954568162 /nfs/dbraw/zinc/56/81/62/954568162.db2.gz ISBHEMSXRCGRNC-NHYWBVRUSA-N 0 2 323.397 0.028 20 0 DCADLN CN1CC[NH+](Cc2cccc(NC(=O)COCC(=O)[O-])c2)CC1 ZINC001593784332 954681925 /nfs/dbraw/zinc/68/19/25/954681925.db2.gz DKZUGWWYAQFHAN-UHFFFAOYSA-N 0 2 321.377 0.474 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@@H](C(=O)[O-])C2)C1 ZINC001593787375 954722386 /nfs/dbraw/zinc/72/23/86/954722386.db2.gz FPBKUNBVHVWHAP-GFCCVEGCSA-N 0 2 324.402 0.770 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@@H](C(=O)[O-])C2)C1 ZINC001593787375 954722394 /nfs/dbraw/zinc/72/23/94/954722394.db2.gz FPBKUNBVHVWHAP-GFCCVEGCSA-N 0 2 324.402 0.770 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)c1cn(CC(=O)[O-])nn1 ZINC001593788250 954736854 /nfs/dbraw/zinc/73/68/54/954736854.db2.gz OCPRBWZTHAXGAS-ZDUSSCGKSA-N 0 2 315.333 0.279 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)c1cn(CC(=O)[O-])nn1 ZINC001593788250 954736861 /nfs/dbraw/zinc/73/68/61/954736861.db2.gz OCPRBWZTHAXGAS-ZDUSSCGKSA-N 0 2 315.333 0.279 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC[C@@H](F)C1 ZINC001366754132 954779832 /nfs/dbraw/zinc/77/98/32/954779832.db2.gz RBSWPFRDEAPHEK-VHSXEESVSA-N 0 2 311.361 0.729 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC[C@@H](F)C1 ZINC001366754132 954779843 /nfs/dbraw/zinc/77/98/43/954779843.db2.gz RBSWPFRDEAPHEK-VHSXEESVSA-N 0 2 311.361 0.729 20 0 DCADLN O=C([O-])C1CC[NH+](Cc2nnnn2CC2CCOCC2)CC1 ZINC001594935368 954856132 /nfs/dbraw/zinc/85/61/32/954856132.db2.gz MLYXNGVWDAJQMX-UHFFFAOYSA-N 0 2 309.370 0.396 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N(C)C[C@@H](C)C(=O)[O-] ZINC001589515003 955063103 /nfs/dbraw/zinc/06/31/03/955063103.db2.gz QVPAJYZOMKIKPE-VXGBXAGGSA-N 0 2 301.387 0.459 20 0 DCADLN CC[C@H](CNC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1)C(=O)[O-] ZINC001589529560 955145820 /nfs/dbraw/zinc/14/58/20/955145820.db2.gz XLUUTHOZTSDCKW-GFCCVEGCSA-N 0 2 321.381 0.727 20 0 DCADLN CC(C)[C@H](C(=O)N[C@@H]1CC[N@H+](Cc2cncn2C)C1)[NH+](C)C ZINC001367037776 955241810 /nfs/dbraw/zinc/24/18/10/955241810.db2.gz HNJKZJWDAAIDTQ-UKRRQHHQSA-N 0 2 307.442 0.697 20 0 DCADLN CC[C@@H](OC)C(=O)N(CC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367142906 955392993 /nfs/dbraw/zinc/39/29/93/955392993.db2.gz RACWPJPXLPBZLD-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@@H](OC)C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367142906 955393004 /nfs/dbraw/zinc/39/30/04/955393004.db2.gz RACWPJPXLPBZLD-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN COC[C@@H](C)C(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001351787512 955410874 /nfs/dbraw/zinc/41/08/74/955410874.db2.gz NHTNIUGMSRVLLS-LLVKDONJSA-N 0 2 310.398 0.508 20 0 DCADLN Cn1cc([C@H]2C[C@H](C(=O)[O-])CN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001594537166 955586179 /nfs/dbraw/zinc/58/61/79/955586179.db2.gz AOTOBHHJFOXBOT-RYUDHWBXSA-N 0 2 317.349 0.334 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001589040051 955588568 /nfs/dbraw/zinc/58/85/68/955588568.db2.gz XNDJGIHNMXIHED-AGIUHOORSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccccc1C(=O)[O-])[NH+]1CCN(C)CC1 ZINC001589045679 955625466 /nfs/dbraw/zinc/62/54/66/955625466.db2.gz DCIVOCQTXBWKOA-ZDUSSCGKSA-N 0 2 319.405 0.679 20 0 DCADLN Cn1cc[nH+]c1Cc1nnc(N2CCC[C@@H](C(=O)[O-])C2)n1C ZINC001594556017 955759870 /nfs/dbraw/zinc/75/98/70/955759870.db2.gz PPBGOVKCLXVGNP-SNVBAGLBSA-N 0 2 304.354 0.440 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC001594557739 955779208 /nfs/dbraw/zinc/77/92/08/955779208.db2.gz WFFJBDQUTLMTIP-ATPLWMGHSA-N 0 2 305.290 0.574 20 0 DCADLN CCCOCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001285713282 955929595 /nfs/dbraw/zinc/92/95/95/955929595.db2.gz BXOIKERJYWCUSY-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001285713282 955929606 /nfs/dbraw/zinc/92/96/06/955929606.db2.gz BXOIKERJYWCUSY-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN Cc1n[nH]c(C(F)(F)F)c1C(=O)NCCCc1c[nH][nH]c1=O ZINC001354101774 956285010 /nfs/dbraw/zinc/28/50/10/956285010.db2.gz HKIISDLADPUDGI-SSDOTTSWSA-N 0 2 317.271 0.979 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+]1CC(=O)NCCCc1ccccc1 ZINC000388544199 972175874 /nfs/dbraw/zinc/17/58/74/972175874.db2.gz FUAKMEDRHPZXIJ-UONOGXRCSA-N 0 2 306.362 0.255 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+]1CC(=O)NCCCc1ccccc1 ZINC000388544199 972175877 /nfs/dbraw/zinc/17/58/77/972175877.db2.gz FUAKMEDRHPZXIJ-UONOGXRCSA-N 0 2 306.362 0.255 20 0 DCADLN CC/C(C)=C/C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001287793982 956473853 /nfs/dbraw/zinc/47/38/53/956473853.db2.gz ZTYJHPJHJHZTPY-GZMNNTSCSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C(C)=C/C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001287793982 956473858 /nfs/dbraw/zinc/47/38/58/956473858.db2.gz ZTYJHPJHJHZTPY-GZMNNTSCSA-N 0 2 314.279 0.836 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001593963581 956519004 /nfs/dbraw/zinc/51/90/04/956519004.db2.gz IEZBOULXNOXSDG-HFAKWTLXSA-N 0 2 307.350 0.473 20 0 DCADLN O=C(CCc1[nH]cc[nH+]1)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594689908 956738949 /nfs/dbraw/zinc/73/89/49/956738949.db2.gz CHOYAFVMMYSBJM-LOIXOFCESA-N 0 2 307.350 0.871 20 0 DCADLN O=C(Cc1cscn1)NCCNC(=O)C(F)C(F)(F)F ZINC001292755471 957138049 /nfs/dbraw/zinc/13/80/49/957138049.db2.gz NNMHZWMKMPSOAO-MRVPVSSYSA-N 0 2 313.276 0.818 20 0 DCADLN O=C(Cc1cscn1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001292755471 957138056 /nfs/dbraw/zinc/13/80/56/957138056.db2.gz NNMHZWMKMPSOAO-MRVPVSSYSA-N 0 2 313.276 0.818 20 0 DCADLN CCCn1ncc(C(=O)NN2C(=O)N[C@](C)(CC)C2=O)c1C ZINC001358187752 957435125 /nfs/dbraw/zinc/43/51/25/957435125.db2.gz YSCOMAOAYOQNBO-CQSZACIVSA-N 0 2 307.354 0.967 20 0 DCADLN CC(C)(C)[NH+]1CCN(S(=O)(=O)c2n[nH]cc2C(=O)[O-])CC1 ZINC000048945952 957470450 /nfs/dbraw/zinc/47/04/50/957470450.db2.gz BOEFQXQZMMMVCH-UHFFFAOYSA-N 0 2 316.383 0.213 20 0 DCADLN C[C@@H](CNC(=O)C(C)(C)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001358518127 957474177 /nfs/dbraw/zinc/47/41/77/957474177.db2.gz WWQJZYUPZARTHX-WDSKDSINSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@@H](CNC(=O)C(C)(C)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001358518127 957474179 /nfs/dbraw/zinc/47/41/79/957474179.db2.gz WWQJZYUPZARTHX-WDSKDSINSA-N 0 2 315.267 0.019 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cnn3cccc(Cl)c23)C1=O ZINC001295172933 957653036 /nfs/dbraw/zinc/65/30/36/957653036.db2.gz VFSZOEPXVMAPDE-UHFFFAOYSA-N 0 2 307.697 0.527 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1cnnn1C ZINC001367510135 957928650 /nfs/dbraw/zinc/92/86/50/957928650.db2.gz DECJOEZJHFLLSX-VIFPVBQESA-N 0 2 311.283 0.606 20 0 DCADLN COC[C@H](C)[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367515378 957943664 /nfs/dbraw/zinc/94/36/64/957943664.db2.gz SVXQXXIZPNIXNV-WDEREUQCSA-N 0 2 313.402 0.319 20 0 DCADLN COC[C@H](C)[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367515378 957943694 /nfs/dbraw/zinc/94/36/94/957943694.db2.gz SVXQXXIZPNIXNV-WDEREUQCSA-N 0 2 313.402 0.319 20 0 DCADLN Cc1noc(C)c1N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361735090 958269952 /nfs/dbraw/zinc/26/99/52/958269952.db2.gz OTHCNALQUGWYJF-UHFFFAOYSA-N 0 2 302.294 0.339 20 0 DCADLN C/C(=C\C(=O)N1CCC(C)(C(=O)[O-])CC1)C[NH+]1CCOCC1 ZINC001588479738 958578647 /nfs/dbraw/zinc/57/86/47/958578647.db2.gz CPKDRBYBZVUOGU-ACCUITESSA-N 0 2 310.394 0.978 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(F)C(F)(F)F ZINC001298435819 958604198 /nfs/dbraw/zinc/60/41/98/958604198.db2.gz LOMVTHSZCQTLQC-FNDGJUAASA-N 0 2 324.274 0.568 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@H](F)C(F)(F)F ZINC001298435819 958604202 /nfs/dbraw/zinc/60/42/02/958604202.db2.gz LOMVTHSZCQTLQC-FNDGJUAASA-N 0 2 324.274 0.568 20 0 DCADLN C[C@@H](O)C[C@@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362277558 959055239 /nfs/dbraw/zinc/05/52/39/959055239.db2.gz JKAKASSQSXEGIA-RKDXNWHRSA-N 0 2 306.322 0.155 20 0 DCADLN Cc1cc(C(=O)N2CC[N@H+](C)[C@H](C)C2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC001362295583 959089576 /nfs/dbraw/zinc/08/95/76/959089576.db2.gz YKOFXZDGOPWTBV-SECBINFHSA-N 0 2 317.349 0.521 20 0 DCADLN Cc1cc(C(=O)N2CC[N@@H+](C)[C@H](C)C2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC001362295583 959089588 /nfs/dbraw/zinc/08/95/88/959089588.db2.gz YKOFXZDGOPWTBV-SECBINFHSA-N 0 2 317.349 0.521 20 0 DCADLN CSc1ncc(C(=O)N(C)C[C@@H](C)c2nn[nH]n2)c(=O)[nH]1 ZINC001362303752 959104555 /nfs/dbraw/zinc/10/45/55/959104555.db2.gz XBLSQKCJFYQXKL-ZCFIWIBFSA-N 0 2 309.355 0.293 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cn[nH]c(=O)c1 ZINC001491725818 959148988 /nfs/dbraw/zinc/14/89/88/959148988.db2.gz LCWKECGDGMFNDX-QMMMGPOBSA-N 0 2 324.234 0.319 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1cn[nH]c(=O)c1 ZINC001491725818 959148995 /nfs/dbraw/zinc/14/89/95/959148995.db2.gz LCWKECGDGMFNDX-QMMMGPOBSA-N 0 2 324.234 0.319 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1ncccn1 ZINC001491726985 959151880 /nfs/dbraw/zinc/15/18/80/959151880.db2.gz XIVOXTSPTBNSQB-SSDOTTSWSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1ncccn1 ZINC001491726985 959151890 /nfs/dbraw/zinc/15/18/90/959151890.db2.gz XIVOXTSPTBNSQB-SSDOTTSWSA-N 0 2 308.235 0.613 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC001588583444 959276917 /nfs/dbraw/zinc/27/69/17/959276917.db2.gz BILJIYMASUPFLV-NSHDSACASA-N 0 2 319.361 0.733 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368151448 959339363 /nfs/dbraw/zinc/33/93/63/959339363.db2.gz NRZPPOIMDBRTRP-QMTHXVAHSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368151448 959339372 /nfs/dbraw/zinc/33/93/72/959339372.db2.gz NRZPPOIMDBRTRP-QMTHXVAHSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001368181789 959416550 /nfs/dbraw/zinc/41/65/50/959416550.db2.gz RWXCMCGINFQHBJ-QPUJVOFHSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001368181789 959416562 /nfs/dbraw/zinc/41/65/62/959416562.db2.gz RWXCMCGINFQHBJ-QPUJVOFHSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001368181789 959416578 /nfs/dbraw/zinc/41/65/78/959416578.db2.gz RWXCMCGINFQHBJ-QPUJVOFHSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(N[C@H]1COC2(CN(CCF)C2)C1)C(F)C(F)(F)F ZINC001377084079 959444570 /nfs/dbraw/zinc/44/45/70/959444570.db2.gz UYALHAKPZWOOET-SFYZADRCSA-N 0 2 302.243 0.816 20 0 DCADLN O=C(N[C@H]1COC2(CN(CCF)C2)C1)[C@H](F)C(F)(F)F ZINC001377084079 959444580 /nfs/dbraw/zinc/44/45/80/959444580.db2.gz UYALHAKPZWOOET-SFYZADRCSA-N 0 2 302.243 0.816 20 0 DCADLN CCn1ncnc1CN(C)CCNC(=O)C(F)C(F)(F)F ZINC001377161404 959598179 /nfs/dbraw/zinc/59/81/79/959598179.db2.gz KUZCZBGOKTYDDG-VIFPVBQESA-N 0 2 311.283 0.746 20 0 DCADLN CCn1ncnc1CN(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001377161404 959598190 /nfs/dbraw/zinc/59/81/90/959598190.db2.gz KUZCZBGOKTYDDG-VIFPVBQESA-N 0 2 311.283 0.746 20 0 DCADLN O=C(C1=C[S@](=O)CCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362589407 959654706 /nfs/dbraw/zinc/65/47/06/959654706.db2.gz ZLNJBYCCSROVFT-JLTPVHFHSA-N 0 2 310.379 0.643 20 0 DCADLN CC(=O)[C@H](Cc1ccccc1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001362618286 959708005 /nfs/dbraw/zinc/70/80/05/959708005.db2.gz RQASWGQOMNCZSA-NWDGAFQWSA-N 0 2 319.386 0.859 20 0 DCADLN C[N@H+](CCNC(=O)C1CCC(F)(F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377229693 959745192 /nfs/dbraw/zinc/74/51/92/959745192.db2.gz RLSSVMQYMDBRIJ-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN C[N@@H+](CCNC(=O)C1CCC(F)(F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377229693 959745213 /nfs/dbraw/zinc/74/52/13/959745213.db2.gz RLSSVMQYMDBRIJ-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001377248887 959788824 /nfs/dbraw/zinc/78/88/24/959788824.db2.gz USMLYWYKDYYMOQ-LLVKDONJSA-N 0 2 303.366 0.862 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001377248887 959788837 /nfs/dbraw/zinc/78/88/37/959788837.db2.gz USMLYWYKDYYMOQ-LLVKDONJSA-N 0 2 303.366 0.862 20 0 DCADLN C[C@@H](CC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001368451122 959880723 /nfs/dbraw/zinc/88/07/23/959880723.db2.gz NWDDHHPRJBPZRP-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](CC(N)=O)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001362768472 959961756 /nfs/dbraw/zinc/96/17/56/959961756.db2.gz JWCRXQKDOUDCMK-GXSJLCMTSA-N 0 2 317.349 0.422 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1cc(-c2cccc(O)c2)on1 ZINC001301192584 959998119 /nfs/dbraw/zinc/99/81/19/959998119.db2.gz GJYPTNVZIMOAOX-UHFFFAOYSA-N 0 2 315.289 0.588 20 0 DCADLN CC=C(C=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)CC ZINC001304087510 960075041 /nfs/dbraw/zinc/07/50/41/960075041.db2.gz WRERUWZGGWTLRM-UPRYYGGVSA-N 0 2 312.395 0.878 20 0 DCADLN C[C@@H]1CN=C(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)S1 ZINC001362918015 960176736 /nfs/dbraw/zinc/17/67/36/960176736.db2.gz WKNLYWGWFYYMSO-SSDOTTSWSA-N 0 2 319.346 0.487 20 0 DCADLN CO[C@@H]1CC[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362925729 960185339 /nfs/dbraw/zinc/18/53/39/960185339.db2.gz WQBRTUNZYLBWHM-CMPLNLGQSA-N 0 2 318.333 0.564 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(F)(F)F)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368765711 960243299 /nfs/dbraw/zinc/24/32/99/960243299.db2.gz XDCXISHCTYJZHZ-RQJHMYQMSA-N 0 2 307.276 0.542 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(F)(F)F)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368765711 960243309 /nfs/dbraw/zinc/24/33/09/960243309.db2.gz XDCXISHCTYJZHZ-RQJHMYQMSA-N 0 2 307.276 0.542 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(F)(F)F)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001368765711 960243315 /nfs/dbraw/zinc/24/33/15/960243315.db2.gz XDCXISHCTYJZHZ-RQJHMYQMSA-N 0 2 307.276 0.542 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@]23C[C@@H]2CCCC3)[nH]1 ZINC001362988821 960262630 /nfs/dbraw/zinc/26/26/30/960262630.db2.gz USAZYRNZUJJFHW-ZANVPECISA-N 0 2 312.395 0.546 20 0 DCADLN Cc1ncc2n1CC[C@@H](C(=O)NCc1nc(O)cc(=O)[nH]1)C2 ZINC001363121165 960429449 /nfs/dbraw/zinc/42/94/49/960429449.db2.gz JENOSBORTQRNRR-SECBINFHSA-N 0 2 303.322 0.272 20 0 DCADLN C[C@@H]1CSC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363175099 960537668 /nfs/dbraw/zinc/53/76/68/960537668.db2.gz BUBUMNOOYSBQQB-LDYMZIIASA-N 0 2 320.374 0.748 20 0 DCADLN Cc1nonc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001377773822 960624732 /nfs/dbraw/zinc/62/47/32/960624732.db2.gz SYHLMSHUVCMYGE-MHTLYPKNSA-N 0 2 312.223 0.513 20 0 DCADLN Cc1nonc1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001377773822 960624741 /nfs/dbraw/zinc/62/47/41/960624741.db2.gz SYHLMSHUVCMYGE-MHTLYPKNSA-N 0 2 312.223 0.513 20 0 DCADLN Cc1nc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)c[nH]1 ZINC001378380742 960673994 /nfs/dbraw/zinc/67/39/94/960673994.db2.gz UXNGVBGXQABENB-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc(C(=O)N2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)c[nH]1 ZINC001378380742 960674006 /nfs/dbraw/zinc/67/40/06/960674006.db2.gz UXNGVBGXQABENB-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN COC1(CC(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001378491542 960854726 /nfs/dbraw/zinc/85/47/26/960854726.db2.gz BYSQRAGMEPERGN-SNVBAGLBSA-N 0 2 323.397 0.406 20 0 DCADLN CN1CCC[C@H](N2CC(NC(=O)C(F)C(F)(F)F)C2)C1=O ZINC001377893212 960918362 /nfs/dbraw/zinc/91/83/62/960918362.db2.gz ZANULFLOKDVBBZ-DTWKUNHWSA-N 0 2 311.279 0.308 20 0 DCADLN CN1CCC[C@H](N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)C1=O ZINC001377893212 960918370 /nfs/dbraw/zinc/91/83/70/960918370.db2.gz ZANULFLOKDVBBZ-DTWKUNHWSA-N 0 2 311.279 0.308 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCC[N@@H+]1Cc1cc(C(=O)[O-])co1 ZINC001571080623 961001527 /nfs/dbraw/zinc/00/15/27/961001527.db2.gz YWULSZSPBIKZPL-JTQLQIEISA-N 0 2 302.352 0.621 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCC[N@H+]1Cc1cc(C(=O)[O-])co1 ZINC001571080623 961001550 /nfs/dbraw/zinc/00/15/50/961001550.db2.gz YWULSZSPBIKZPL-JTQLQIEISA-N 0 2 302.352 0.621 20 0 DCADLN O=C(CCc1ccoc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377960816 961072034 /nfs/dbraw/zinc/07/20/34/961072034.db2.gz XFFAPDJTHJVGKG-UHFFFAOYSA-N 0 2 305.338 0.284 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(CO)c(CO)c2)S1 ZINC001363489999 961264996 /nfs/dbraw/zinc/26/49/96/961264996.db2.gz KOFGBSXEZCNPLS-SNVBAGLBSA-N 0 2 309.347 0.166 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1CCC(=O)N1 ZINC001363507538 961307598 /nfs/dbraw/zinc/30/75/98/961307598.db2.gz FFBOMWRGHBQWAB-GHMZBOCLSA-N 0 2 315.333 0.189 20 0 DCADLN CC(C)(NC(=O)C[C@@H]1SC(=N)NC1=O)[C@@H](O)C(F)(F)F ZINC001363515901 961329869 /nfs/dbraw/zinc/32/98/69/961329869.db2.gz CBOKYMDZAJOZAG-MHTLYPKNSA-N 0 2 313.301 0.361 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2NC(=O)CO3)S1 ZINC001363541816 961400566 /nfs/dbraw/zinc/40/05/66/961400566.db2.gz YVWFVZHQFZZELN-QMMMGPOBSA-N 0 2 320.330 0.512 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001430213413 1013254535 /nfs/dbraw/zinc/25/45/35/1013254535.db2.gz UCUQSHGQHLZGMD-BKPPORCPSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC001430213413 1013254547 /nfs/dbraw/zinc/25/45/47/1013254547.db2.gz UCUQSHGQHLZGMD-BKPPORCPSA-N 0 2 322.262 0.698 20 0 DCADLN Cc1conc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001430216524 1013255836 /nfs/dbraw/zinc/25/58/36/1013255836.db2.gz GVHQBPUHXGGUHG-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1conc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430216524 1013255843 /nfs/dbraw/zinc/25/58/43/1013255843.db2.gz GVHQBPUHXGGUHG-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nc(C[NH2+]C[C@@H](C)N(C)C(=O)CCc2cn[nH]n2)co1 ZINC001378827458 961555670 /nfs/dbraw/zinc/55/56/70/961555670.db2.gz NDCDTHHVWAQHBI-SNVBAGLBSA-N 0 2 306.370 0.670 20 0 DCADLN CO[C@H](C)CCC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378158502 961555624 /nfs/dbraw/zinc/55/56/24/961555624.db2.gz YCQLFHNVIHHVTB-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CO[C@H](C)CCC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378158502 961555639 /nfs/dbraw/zinc/55/56/39/961555639.db2.gz YCQLFHNVIHHVTB-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1)C(=O)[O-] ZINC001571133196 961583163 /nfs/dbraw/zinc/58/31/63/961583163.db2.gz MGOMTAHYYWKKCH-OSMZGAPFSA-N 0 2 317.345 0.882 20 0 DCADLN CCCc1nc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001378186109 961619334 /nfs/dbraw/zinc/61/93/34/961619334.db2.gz MDFQKBQDVSVUSQ-SECBINFHSA-N 0 2 322.369 0.701 20 0 DCADLN CCCc1nc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001378186109 961619349 /nfs/dbraw/zinc/61/93/49/961619349.db2.gz MDFQKBQDVSVUSQ-SECBINFHSA-N 0 2 322.369 0.701 20 0 DCADLN CC(C)[C@H](CNC(=O)NC[C@H](C)[NH+]1CCN(C)CC1)C(=O)[O-] ZINC001588824733 961655867 /nfs/dbraw/zinc/65/58/67/961655867.db2.gz AWIJNHJCPDFJNE-STQMWFEESA-N 0 2 314.430 0.278 20 0 DCADLN COCCn1ncc(C(=O)N[C@@H](C)c2nn(C)cc2O)c1C ZINC001363668687 961659067 /nfs/dbraw/zinc/65/90/67/961659067.db2.gz VCYXMORNOZSFJE-VIFPVBQESA-N 0 2 307.354 0.768 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378216912 961683867 /nfs/dbraw/zinc/68/38/67/961683867.db2.gz ATJMQWJRXNWVGT-MRVPVSSYSA-N 0 2 321.385 0.029 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378216912 961683880 /nfs/dbraw/zinc/68/38/80/961683880.db2.gz ATJMQWJRXNWVGT-MRVPVSSYSA-N 0 2 321.385 0.029 20 0 DCADLN CCOc1nc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001379716800 961845613 /nfs/dbraw/zinc/84/56/13/961845613.db2.gz XLUPOIKEDIPLBE-MRVPVSSYSA-N 0 2 324.341 0.147 20 0 DCADLN CCOc1nc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001379716800 961845633 /nfs/dbraw/zinc/84/56/33/961845633.db2.gz XLUPOIKEDIPLBE-MRVPVSSYSA-N 0 2 324.341 0.147 20 0 DCADLN Cc1nnc(C[NH+]2CCC(N(C)C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)o1 ZINC001571155860 961862498 /nfs/dbraw/zinc/86/24/98/961862498.db2.gz GJEKGAHNJITNKH-VXGBXAGGSA-N 0 2 322.365 0.522 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1CCCS(=O)(=O)C1)c1nn(C)cc1O ZINC001363839016 961993900 /nfs/dbraw/zinc/99/39/00/961993900.db2.gz OCEZKGDFGZSNDO-NXEZZACHSA-N 0 2 315.395 0.518 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)c1cccc(O)c1)c1nn(C)cc1O ZINC001363848895 962015522 /nfs/dbraw/zinc/01/55/22/962015522.db2.gz IOYYNXJVBPTKJS-SECBINFHSA-N 0 2 318.333 0.439 20 0 DCADLN COC(=O)c1ccnc(C(=O)N[C@H](C)c2nn(C)cc2O)c1 ZINC001363855879 962033603 /nfs/dbraw/zinc/03/36/03/962033603.db2.gz XSJDPCAFMXXHTL-MRVPVSSYSA-N 0 2 304.306 0.798 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)NCCCCC(=O)[O-] ZINC001339925236 962086160 /nfs/dbraw/zinc/08/61/60/962086160.db2.gz GGJOZVGJEXSSLV-GFCCVEGCSA-N 0 2 301.387 0.651 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@@H](C)CN(C)C(=O)Cn2c[nH+]cc2C)[nH]1 ZINC001379191732 962312383 /nfs/dbraw/zinc/31/23/83/962312383.db2.gz QKXUOOMZGMVFPH-CMPLNLGQSA-N 0 2 319.413 0.816 20 0 DCADLN Cc1nnc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001379971882 962427986 /nfs/dbraw/zinc/42/79/86/962427986.db2.gz QFVRFFQETYPLOZ-APPZFPTMSA-N 0 2 309.267 0.704 20 0 DCADLN Cc1nnc(CN2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)[nH]1 ZINC001379971882 962427992 /nfs/dbraw/zinc/42/79/92/962427992.db2.gz QFVRFFQETYPLOZ-APPZFPTMSA-N 0 2 309.267 0.704 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001380006867 962488261 /nfs/dbraw/zinc/48/82/61/962488261.db2.gz BMNHVUCWCOCVTC-NKWVEPMBSA-N 0 2 307.276 0.399 20 0 DCADLN NC(=O)Cn1cc(NC(=O)c2c(O)cc(F)cc2F)ccc1=O ZINC001364110382 962489365 /nfs/dbraw/zinc/48/93/65/962489365.db2.gz AMKBOPNNYBLLOC-UHFFFAOYSA-N 0 2 323.255 0.570 20 0 DCADLN COc1ccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)cc1 ZINC001364286509 962818725 /nfs/dbraw/zinc/81/87/25/962818725.db2.gz QGVZXRGWUDBEND-UHFFFAOYSA-N 0 2 324.362 0.288 20 0 DCADLN CN(C)c1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC000314091524 963709729 /nfs/dbraw/zinc/70/97/29/963709729.db2.gz HHBWCEOQNGRFRU-LLVKDONJSA-N 0 2 303.322 0.296 20 0 DCADLN CN(C)c1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC000314091524 963709731 /nfs/dbraw/zinc/70/97/31/963709731.db2.gz HHBWCEOQNGRFRU-LLVKDONJSA-N 0 2 303.322 0.296 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)CCC[NH+](C)Cc1cnn(C)n1 ZINC001380986538 963743792 /nfs/dbraw/zinc/74/37/92/963743792.db2.gz APPWRZBEPIOSQY-UHFFFAOYSA-N 0 2 310.446 0.436 20 0 DCADLN CO[C@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1ccccc1 ZINC000315010637 963843444 /nfs/dbraw/zinc/84/34/44/963843444.db2.gz FZIMPPHDLYNDMR-OLZOCXBDSA-N 0 2 303.318 0.909 20 0 DCADLN CO[C@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1ccccc1 ZINC000315010637 963843448 /nfs/dbraw/zinc/84/34/48/963843448.db2.gz FZIMPPHDLYNDMR-OLZOCXBDSA-N 0 2 303.318 0.909 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC000315891689 963948495 /nfs/dbraw/zinc/94/84/95/963948495.db2.gz BYVZHIGOMOWOAY-MRVPVSSYSA-N 0 2 303.340 0.135 20 0 DCADLN C[N@H+]1CCCC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC000315891689 963948505 /nfs/dbraw/zinc/94/85/05/963948505.db2.gz BYVZHIGOMOWOAY-MRVPVSSYSA-N 0 2 303.340 0.135 20 0 DCADLN C[C@@H](CNC(=O)CC1CCOCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368924721 964134019 /nfs/dbraw/zinc/13/40/19/964134019.db2.gz BQAINIFWQPEVHS-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)CC1CCOCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368924721 964134021 /nfs/dbraw/zinc/13/40/21/964134021.db2.gz BQAINIFWQPEVHS-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COCc1noc([C@H](C)N(C)[C@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001368966902 964209174 /nfs/dbraw/zinc/20/91/74/964209174.db2.gz UXBWNENPXNGLMB-BDAKNGLRSA-N 0 2 323.357 0.145 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)Cc1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981207 964235419 /nfs/dbraw/zinc/23/54/19/964235419.db2.gz NXUZBFNLEBHDDK-WDEREUQCSA-N 0 2 321.381 0.919 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)Cc1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981207 964235432 /nfs/dbraw/zinc/23/54/32/964235432.db2.gz NXUZBFNLEBHDDK-WDEREUQCSA-N 0 2 321.381 0.919 20 0 DCADLN Cc1nnc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001373627621 964386915 /nfs/dbraw/zinc/38/69/15/964386915.db2.gz JMJCCVZZWPGQGX-APPZFPTMSA-N 0 2 309.267 0.704 20 0 DCADLN Cc1nn(C)cc1C[N@H+]1CC[C@H]1CN(C)C(=O)C[NH+]1CCCC1 ZINC001375477012 964454214 /nfs/dbraw/zinc/45/42/14/964454214.db2.gz QHUBPDQIDDRYAD-INIZCTEOSA-N 0 2 319.453 0.857 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)CNC(=O)C(F)C(F)(F)F ZINC001375602486 964596202 /nfs/dbraw/zinc/59/62/02/964596202.db2.gz UXIJYGPCBBZWOL-VXNVDRBHSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001375602486 964596212 /nfs/dbraw/zinc/59/62/12/964596212.db2.gz UXIJYGPCBBZWOL-VXNVDRBHSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1ncc[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001375706908 964740535 /nfs/dbraw/zinc/74/05/35/964740535.db2.gz QGFWEOBSPZUZMM-RNFRBKRXSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@@H](CNC(=O)c1ncc[nH]1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001375706908 964740544 /nfs/dbraw/zinc/74/05/44/964740544.db2.gz QGFWEOBSPZUZMM-RNFRBKRXSA-N 0 2 310.251 0.792 20 0 DCADLN CN(C(=O)CCn1cccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001375714072 964750968 /nfs/dbraw/zinc/75/09/68/964750968.db2.gz DEQGZOZKXFAAIC-UHFFFAOYSA-N 0 2 304.354 0.045 20 0 DCADLN COCC1(C(=O)N[C@@H]2CCC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C)CC1 ZINC001369423650 964953001 /nfs/dbraw/zinc/95/30/01/964953001.db2.gz GYOGZEMBDDYJJT-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN COCC1(C(=O)N[C@@H]2CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C)CC1 ZINC001369423650 964953005 /nfs/dbraw/zinc/95/30/05/964953005.db2.gz GYOGZEMBDDYJJT-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc(C)c(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC001374066690 964968206 /nfs/dbraw/zinc/96/82/06/964968206.db2.gz YTUILGPIBHTQFK-UHFFFAOYSA-N 0 2 306.326 0.073 20 0 DCADLN CCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCC1(F)F ZINC001375984346 965039656 /nfs/dbraw/zinc/03/96/56/965039656.db2.gz VAMNYKRPKLZPJV-MRVPVSSYSA-N 0 2 303.313 0.494 20 0 DCADLN COCC(=O)NCCN(C(=O)C(F)C(F)(F)F)C1CC1 ZINC001374183649 965103221 /nfs/dbraw/zinc/10/32/21/965103221.db2.gz GAZYMUDCNQIXSZ-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)NCCN(C(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001374183649 965103228 /nfs/dbraw/zinc/10/32/28/965103228.db2.gz GAZYMUDCNQIXSZ-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CC(C)[C@H](C)C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001376661759 965952621 /nfs/dbraw/zinc/95/26/21/965952621.db2.gz XLRISMNWLHFBKK-WDEREUQCSA-N 0 2 311.386 0.119 20 0 DCADLN CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])NC(=O)Cc1[nH]cc[nH+]1 ZINC001375045187 966279642 /nfs/dbraw/zinc/27/96/42/966279642.db2.gz GQJCANNXYVSNHS-SECBINFHSA-N 0 2 320.353 0.014 20 0 DCADLN CC(C)(F)C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370634213 966306329 /nfs/dbraw/zinc/30/63/29/966306329.db2.gz GQGGNYUXCXVVJY-NTSWFWBYSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001370634213 966306335 /nfs/dbraw/zinc/30/63/35/966306335.db2.gz GQGGNYUXCXVVJY-NTSWFWBYSA-N 0 2 306.231 0.228 20 0 DCADLN C[C@@H](CNC(=O)CCCn1cccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381619600 966586480 /nfs/dbraw/zinc/58/64/80/966586480.db2.gz OYYPWUCHXNZTMR-LBPRGKRZSA-N 0 2 320.397 0.729 20 0 DCADLN C[C@@H](CNC(=O)CCCn1cccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381619600 966586494 /nfs/dbraw/zinc/58/64/94/966586494.db2.gz OYYPWUCHXNZTMR-LBPRGKRZSA-N 0 2 320.397 0.729 20 0 DCADLN Cc1nccc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001447976968 1013678654 /nfs/dbraw/zinc/67/86/54/1013678654.db2.gz BNFFZUPYRXMVHU-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nccc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001447976968 1013678662 /nfs/dbraw/zinc/67/86/62/1013678662.db2.gz BNFFZUPYRXMVHU-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN CCN(CCNC(=O)c1cnn[nH]1)Cc1nnc(C(C)C)[nH]1 ZINC001492983187 967448084 /nfs/dbraw/zinc/44/80/84/967448084.db2.gz WWFRJLAXDWHGPQ-UHFFFAOYSA-N 0 2 306.374 0.298 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccc[nH]1 ZINC001382505791 967451880 /nfs/dbraw/zinc/45/18/80/967451880.db2.gz QDWDOGVITHBEKP-UHFFFAOYSA-N 0 2 320.397 0.750 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccc[nH]1 ZINC001382505791 967451884 /nfs/dbraw/zinc/45/18/84/967451884.db2.gz QDWDOGVITHBEKP-UHFFFAOYSA-N 0 2 320.397 0.750 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001372031883 967585589 /nfs/dbraw/zinc/58/55/89/967585589.db2.gz YMCZYOFLBCVRTN-VDTYLAMSSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cn[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001372031883 967585596 /nfs/dbraw/zinc/58/55/96/967585596.db2.gz YMCZYOFLBCVRTN-VDTYLAMSSA-N 0 2 309.223 0.036 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372266400 967814047 /nfs/dbraw/zinc/81/40/47/967814047.db2.gz BVTMFMWYDDBLSA-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001372266400 967814061 /nfs/dbraw/zinc/81/40/61/967814061.db2.gz BVTMFMWYDDBLSA-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN C/C=C\C[C@@H](CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001448125033 1013767492 /nfs/dbraw/zinc/76/74/92/1013767492.db2.gz XFVWCSHMQADZMP-QUCGXOGASA-N 0 2 318.333 0.323 20 0 DCADLN CCc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001448126166 1013767554 /nfs/dbraw/zinc/76/75/54/1013767554.db2.gz WKXNPAUXBBWFBU-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN CCc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001448126166 1013767566 /nfs/dbraw/zinc/76/75/66/1013767566.db2.gz WKXNPAUXBBWFBU-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cnco1 ZINC001372561650 968125576 /nfs/dbraw/zinc/12/55/76/968125576.db2.gz DXAGPVJCEPQEGT-HAFWLYHUSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cnco1 ZINC001372561650 968125584 /nfs/dbraw/zinc/12/55/84/968125584.db2.gz DXAGPVJCEPQEGT-HAFWLYHUSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccccn1 ZINC001372754728 968327680 /nfs/dbraw/zinc/32/76/80/968327680.db2.gz MINCDMDBNYBBCI-UHFFFAOYSA-N 0 2 302.338 0.300 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccccn1 ZINC001372754728 968327688 /nfs/dbraw/zinc/32/76/88/968327688.db2.gz MINCDMDBNYBBCI-UHFFFAOYSA-N 0 2 302.338 0.300 20 0 DCADLN Cc1cn(C)nc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001372862280 968472916 /nfs/dbraw/zinc/47/29/16/968472916.db2.gz DPRCIGRVANYHMF-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cn(C)nc1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001372862280 968472925 /nfs/dbraw/zinc/47/29/25/968472925.db2.gz DPRCIGRVANYHMF-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001373400226 969041964 /nfs/dbraw/zinc/04/19/64/969041964.db2.gz XLJZRDSHRXZZLT-YUMQZZPRSA-N 0 2 321.303 0.789 20 0 DCADLN CC(C)CNC(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001373426409 969080529 /nfs/dbraw/zinc/08/05/29/969080529.db2.gz HHTOOBBFWMVVAG-SNVBAGLBSA-N 0 2 313.295 0.459 20 0 DCADLN CC(C)CNC(=O)CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001373426409 969080534 /nfs/dbraw/zinc/08/05/34/969080534.db2.gz HHTOOBBFWMVVAG-SNVBAGLBSA-N 0 2 313.295 0.459 20 0 DCADLN C[C@@H](Cc1ccccc1)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373482371 969141848 /nfs/dbraw/zinc/14/18/48/969141848.db2.gz YNAWCZJPFQICRG-NSHDSACASA-N 0 2 315.377 0.690 20 0 DCADLN C[C@@H](NC(=O)CCc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001448282479 1013857911 /nfs/dbraw/zinc/85/79/11/1013857911.db2.gz XAQOVNLSCHUSTR-SNVBAGLBSA-N 0 2 319.365 0.673 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H]1CCCO1 ZINC001431305037 1013988119 /nfs/dbraw/zinc/98/81/19/1013988119.db2.gz QZFMUGQVXGRMML-JGVFFNPUSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@@H]1CCCO1 ZINC001431305037 1013988126 /nfs/dbraw/zinc/98/81/26/1013988126.db2.gz QZFMUGQVXGRMML-JGVFFNPUSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1ccc2nc(C[N@@H+]3C[C@@H](O)C[C@H]3C(=O)[O-])cc(=O)n2c1 ZINC000318375515 970429355 /nfs/dbraw/zinc/42/93/55/970429355.db2.gz BGDHGJGUZLCNJG-RYUDHWBXSA-N 0 2 303.318 0.023 20 0 DCADLN Cc1ccc2nc(C[N@H+]3C[C@@H](O)C[C@H]3C(=O)[O-])cc(=O)n2c1 ZINC000318375515 970429365 /nfs/dbraw/zinc/42/93/65/970429365.db2.gz BGDHGJGUZLCNJG-RYUDHWBXSA-N 0 2 303.318 0.023 20 0 DCADLN C[C@H](CN(C)C(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C(=O)[O-] ZINC001609887765 970582186 /nfs/dbraw/zinc/58/21/86/970582186.db2.gz UXCKUPBIIDLWEM-SNVBAGLBSA-N 0 2 322.365 0.295 20 0 DCADLN C[C@H](CN(C)C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC001609902111 970593452 /nfs/dbraw/zinc/59/34/52/970593452.db2.gz NBOQWNPIWQOTQH-IJLUTSLNSA-N 0 2 301.387 0.458 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)[C@@]1(C(=O)[O-])CCCO1 ZINC001604174876 972591879 /nfs/dbraw/zinc/59/18/79/972591879.db2.gz HQRJTLXVLIEVGW-OAHLLOKOSA-N 0 2 314.382 0.189 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)[C@@]1(C(=O)[O-])CCCO1 ZINC001604174876 972591883 /nfs/dbraw/zinc/59/18/83/972591883.db2.gz HQRJTLXVLIEVGW-OAHLLOKOSA-N 0 2 314.382 0.189 20 0 DCADLN COC(=O)[C@H](c1cccnc1)[N@@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001604271016 972823132 /nfs/dbraw/zinc/82/31/32/972823132.db2.gz FYGOKLYVHPUSQV-HUBLWGQQSA-N 0 2 306.318 0.329 20 0 DCADLN COC(=O)[C@H](c1cccnc1)[N@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001604271016 972823143 /nfs/dbraw/zinc/82/31/43/972823143.db2.gz FYGOKLYVHPUSQV-HUBLWGQQSA-N 0 2 306.318 0.329 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001448917314 1014095856 /nfs/dbraw/zinc/09/58/56/1014095856.db2.gz HXIMVSLNLBAEAK-SECBINFHSA-N 0 2 310.251 0.427 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001448917314 1014095859 /nfs/dbraw/zinc/09/58/59/1014095859.db2.gz HXIMVSLNLBAEAK-SECBINFHSA-N 0 2 310.251 0.427 20 0 DCADLN C[C@H]1C[N@H+](Cc2c(C(=O)[O-])cnn2C)C[C@@]2(CCCOC2)O1 ZINC001603461186 973298144 /nfs/dbraw/zinc/29/81/44/973298144.db2.gz ODMHVDBMXCQCPJ-XHDPSFHLSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@H]1C[N@@H+](Cc2c(C(=O)[O-])cnn2C)C[C@@]2(CCCOC2)O1 ZINC001603461186 973298153 /nfs/dbraw/zinc/29/81/53/973298153.db2.gz ODMHVDBMXCQCPJ-XHDPSFHLSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@H]1C[N@H+](CCOC(=O)C2(CC(=O)[O-])CCOCC2)CCO1 ZINC001603461588 973303903 /nfs/dbraw/zinc/30/39/03/973303903.db2.gz RNIMDBSTWHQVBB-LBPRGKRZSA-N 0 2 315.366 0.522 20 0 DCADLN C[C@H]1C[N@@H+](CCOC(=O)C2(CC(=O)[O-])CCOCC2)CCO1 ZINC001603461588 973303915 /nfs/dbraw/zinc/30/39/15/973303915.db2.gz RNIMDBSTWHQVBB-LBPRGKRZSA-N 0 2 315.366 0.522 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001604526682 973480581 /nfs/dbraw/zinc/48/05/81/973480581.db2.gz LDVYGZALMSGKFC-IAQYHMDHSA-N 0 2 307.350 0.395 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001603504702 973481362 /nfs/dbraw/zinc/48/13/62/973481362.db2.gz LYTVAMDLSFJTQF-ABAIWWIYSA-N 0 2 316.398 0.482 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[N@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001603504702 973481369 /nfs/dbraw/zinc/48/13/69/973481369.db2.gz LYTVAMDLSFJTQF-ABAIWWIYSA-N 0 2 316.398 0.482 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCN(c3ccccc3O)CC2)C1=O ZINC001606232022 973497306 /nfs/dbraw/zinc/49/73/06/973497306.db2.gz OLWNQKQDBJWQFA-CYBMUJFWSA-N 0 2 319.361 0.200 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH2+][C@H](CO)c2ccc(F)cc2F)C1=O ZINC001606244174 973525775 /nfs/dbraw/zinc/52/57/75/973525775.db2.gz ZJYJEOIKHJBAPR-NWDGAFQWSA-N 0 2 314.288 0.273 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2c(C)nc3ccccn32)CCO1 ZINC001604564253 973622286 /nfs/dbraw/zinc/62/22/86/973622286.db2.gz IYAIIMHYAGFBKB-INIZCTEOSA-N 0 2 319.361 0.945 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2c(C)nc3ccccn32)CCO1 ZINC001604564253 973622297 /nfs/dbraw/zinc/62/22/97/973622297.db2.gz IYAIIMHYAGFBKB-INIZCTEOSA-N 0 2 319.361 0.945 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH2+]Cc1noc2c1CCCC2 ZINC001606297933 973706591 /nfs/dbraw/zinc/70/65/91/973706591.db2.gz YTJPQBICWILEOW-UHFFFAOYSA-N 0 2 302.352 0.142 20 0 DCADLN O=C([O-])Cn1cccc(C(=O)Nc2cccc3[nH+]ccn32)c1=O ZINC001606354022 973800567 /nfs/dbraw/zinc/80/05/67/973800567.db2.gz OZZSKTOVAFFLND-UHFFFAOYSA-N 0 2 312.285 0.833 20 0 DCADLN O=C([O-])c1cc(-n2cc(CC3(O)CCOCC3)nn2)cc[nH+]1 ZINC001606460301 973914524 /nfs/dbraw/zinc/91/45/24/973914524.db2.gz QPSJMPZSOQUYEB-UHFFFAOYSA-N 0 2 304.306 0.445 20 0 DCADLN CC[C@@H](C(=O)N(OC)[C@@H](C)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001603625552 973936305 /nfs/dbraw/zinc/93/63/05/973936305.db2.gz YLPBTKKOPYWSOX-TUAOUCFPSA-N 0 2 302.371 0.739 20 0 DCADLN CC[C@@H](C(=O)N(OC)[C@@H](C)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001603625552 973936314 /nfs/dbraw/zinc/93/63/14/973936314.db2.gz YLPBTKKOPYWSOX-TUAOUCFPSA-N 0 2 302.371 0.739 20 0 DCADLN O=C([O-])c1ccc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)cc1 ZINC001606495970 973983883 /nfs/dbraw/zinc/98/38/83/973983883.db2.gz UJNODHLIGAXBAL-UHFFFAOYSA-N 0 2 316.361 0.981 20 0 DCADLN CCc1nnc(C[NH+]2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)o1 ZINC001603993328 974494671 /nfs/dbraw/zinc/49/46/71/974494671.db2.gz SSZRATZLNYTSOL-SNVBAGLBSA-N 0 2 322.365 0.530 20 0 DCADLN O=C([O-])[C@]12COC[C@@H]1CN(C(=O)[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC001549539390 1014304962 /nfs/dbraw/zinc/30/49/62/1014304962.db2.gz ATCOMGJCDAPIAU-JRPNMDOOSA-N 0 2 305.334 0.005 20 0 DCADLN C[C@@H](C1CC1)N(C(=O)C[N@@H+]1C[C@H](C)O[C@H](C(=O)[O-])C1)C1CC1 ZINC001592178777 977086081 /nfs/dbraw/zinc/08/60/81/977086081.db2.gz SYKFIQVLRSMIMH-MJVIPROJSA-N 0 2 310.394 0.950 20 0 DCADLN C[C@@H](C1CC1)N(C(=O)C[N@H+]1C[C@H](C)O[C@H](C(=O)[O-])C1)C1CC1 ZINC001592178777 977086091 /nfs/dbraw/zinc/08/60/91/977086091.db2.gz SYKFIQVLRSMIMH-MJVIPROJSA-N 0 2 310.394 0.950 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)NC[C@H]1CC[N@H+]1CC ZINC001605886379 1170021880 /nfs/dbraw/zinc/02/18/80/1170021880.db2.gz CPUCYHQWLRVDKD-SECBINFHSA-N 0 2 316.379 0.913 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)NC[C@H]1CC[N@@H+]1CC ZINC001605886379 1170021887 /nfs/dbraw/zinc/02/18/87/1170021887.db2.gz CPUCYHQWLRVDKD-SECBINFHSA-N 0 2 316.379 0.913 20 0 DCADLN NC(=O)CCCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001522935221 1014479429 /nfs/dbraw/zinc/47/94/29/1014479429.db2.gz JGHRYTSHZQHCQW-SECBINFHSA-N 0 2 315.267 0.165 20 0 DCADLN NC(=O)CCCC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522935221 1014479433 /nfs/dbraw/zinc/47/94/33/1014479433.db2.gz JGHRYTSHZQHCQW-SECBINFHSA-N 0 2 315.267 0.165 20 0 DCADLN C[C@@H](CCNc1ncc(C(=O)[O-])cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001592529499 978387859 /nfs/dbraw/zinc/38/78/59/978387859.db2.gz BSAAKALKDNYVEX-VIFPVBQESA-N 0 2 318.337 0.447 20 0 DCADLN C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C(=O)[O-])cn1 ZINC001592654292 978635937 /nfs/dbraw/zinc/63/59/37/978635937.db2.gz GRKQENQJERKLOE-MRVPVSSYSA-N 0 2 304.310 0.057 20 0 DCADLN CCC(CC)[C@@H](C(=O)NC[C@H](O)CC(=O)[O-])[NH+]1CCOCC1 ZINC001595105638 979425235 /nfs/dbraw/zinc/42/52/35/979425235.db2.gz PEERQAASQBZUJI-OCCSQVGLSA-N 0 2 316.398 0.075 20 0 DCADLN CC[C@](COC)([NH2+]Cc1ccc(S(C)(=O)=O)o1)C(=O)[O-] ZINC001595200070 979946258 /nfs/dbraw/zinc/94/62/58/979946258.db2.gz SVTKDEGURNBCQP-GFCCVEGCSA-N 0 2 305.352 0.653 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001595500568 981273958 /nfs/dbraw/zinc/27/39/58/981273958.db2.gz PXFSRSQMKIPMPL-XQQFMLRXSA-N 0 2 313.398 0.650 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001595500568 981273962 /nfs/dbraw/zinc/27/39/62/981273962.db2.gz PXFSRSQMKIPMPL-XQQFMLRXSA-N 0 2 313.398 0.650 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530422779 1014740684 /nfs/dbraw/zinc/74/06/84/1014740684.db2.gz GIOZQDYFTZMOLE-HTQZYQBOSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530422779 1014740692 /nfs/dbraw/zinc/74/06/92/1014740692.db2.gz GIOZQDYFTZMOLE-HTQZYQBOSA-N 0 2 300.252 0.231 20 0 DCADLN CC[C@H](C(=O)[O-])C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC001594760138 981690590 /nfs/dbraw/zinc/69/05/90/981690590.db2.gz VWYWKYYJLGYLEV-ZDUSSCGKSA-N 0 2 319.361 0.579 20 0 DCADLN CC[C@H](C(=O)[O-])C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC001594760138 981690594 /nfs/dbraw/zinc/69/05/94/981690594.db2.gz VWYWKYYJLGYLEV-ZDUSSCGKSA-N 0 2 319.361 0.579 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](C)[C@@H](C)C[S@@](C)=O)C(=O)[O-] ZINC001594887568 982273213 /nfs/dbraw/zinc/27/32/13/982273213.db2.gz RACDOENGWDRUJG-RERVYKNFSA-N 0 2 306.428 0.301 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)[C@@H](C)C[S@@](C)=O)C(=O)[O-] ZINC001594887568 982273218 /nfs/dbraw/zinc/27/32/18/982273218.db2.gz RACDOENGWDRUJG-RERVYKNFSA-N 0 2 306.428 0.301 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CC[C@H](NC(=O)OC)C1)C(=O)[O-] ZINC001594893885 982312103 /nfs/dbraw/zinc/31/21/03/982312103.db2.gz LLMKJABOTWSBRW-NHCYSSNCSA-N 0 2 315.370 0.032 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CC[C@H](NC(=O)OC)C1)C(=O)[O-] ZINC001594893885 982312115 /nfs/dbraw/zinc/31/21/15/982312115.db2.gz LLMKJABOTWSBRW-NHCYSSNCSA-N 0 2 315.370 0.032 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@@H](CO)c1c[nH+]cn1C ZINC001574110934 983169706 /nfs/dbraw/zinc/16/97/06/983169706.db2.gz OIWAGLMBRCZWFO-VIFPVBQESA-N 0 2 306.322 0.527 20 0 DCADLN CCN(CC[NH2+]Cc1nnc(C2CC2)o1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001596339035 983782752 /nfs/dbraw/zinc/78/27/52/983782752.db2.gz CHGCCECWONYPEA-MNOVXSKESA-N 0 2 322.365 0.606 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001525052255 1015093385 /nfs/dbraw/zinc/09/33/85/1015093385.db2.gz BASYCBZRDKHRQQ-FXQIFTODSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2C[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001525052255 1015093394 /nfs/dbraw/zinc/09/33/94/1015093394.db2.gz BASYCBZRDKHRQQ-FXQIFTODSA-N 0 2 322.262 0.996 20 0 DCADLN Cn1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001450375980 1015099629 /nfs/dbraw/zinc/09/96/29/1015099629.db2.gz MPIOVNJYDJIWKN-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001450375980 1015099643 /nfs/dbraw/zinc/09/96/43/1015099643.db2.gz MPIOVNJYDJIWKN-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cc1nn(C)cc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450376257 1015100136 /nfs/dbraw/zinc/10/01/36/1015100136.db2.gz MWRORERBCZSMML-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1nn(C)cc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001450376257 1015100142 /nfs/dbraw/zinc/10/01/42/1015100142.db2.gz MWRORERBCZSMML-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1(C)CC1 ZINC001384219538 985445350 /nfs/dbraw/zinc/44/53/50/985445350.db2.gz DZAYVAQWYCVHRI-YUMQZZPRSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C1(C)CC1 ZINC001384219538 985445353 /nfs/dbraw/zinc/44/53/53/985445353.db2.gz DZAYVAQWYCVHRI-YUMQZZPRSA-N 0 2 314.279 0.622 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)Cc2cnoc2)n[nH]1 ZINC001551657342 1015166126 /nfs/dbraw/zinc/16/61/26/1015166126.db2.gz GFBQCKHMPNTBRW-NWDGAFQWSA-N 0 2 305.338 0.675 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)Cc2cnc(C)o2)n[nH]1 ZINC001551661842 1015168982 /nfs/dbraw/zinc/16/89/82/1015168982.db2.gz UHDNSCVGRQUWFG-CHWSQXEVSA-N 0 2 319.365 0.983 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)CN2CCCC2=O)n[nH]1 ZINC001551661720 1015169103 /nfs/dbraw/zinc/16/91/03/1015169103.db2.gz CZPIXYPKFHIASO-VXGBXAGGSA-N 0 2 321.381 0.066 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2CCO[C@H]2C)n[nH]1 ZINC001551669936 1015174208 /nfs/dbraw/zinc/17/42/08/1015174208.db2.gz JFBIFNICQGCVIW-WKSBVSIWSA-N 0 2 308.382 0.869 20 0 DCADLN CCOCCNC(=O)C[N@@H+]1CCCC[C@@]1(COC)C(=O)[O-] ZINC001596929781 986022852 /nfs/dbraw/zinc/02/28/52/986022852.db2.gz WZCHIOYVHFSCBR-CQSZACIVSA-N 0 2 302.371 0.095 20 0 DCADLN CCOCCNC(=O)C[N@H+]1CCCC[C@@]1(COC)C(=O)[O-] ZINC001596929781 986022866 /nfs/dbraw/zinc/02/28/66/986022866.db2.gz WZCHIOYVHFSCBR-CQSZACIVSA-N 0 2 302.371 0.095 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2csc(C(=O)[O-])n2)[C@H](C)CO1 ZINC001594527508 986079739 /nfs/dbraw/zinc/07/97/39/986079739.db2.gz LFFNWBQSXDVTHR-RKDXNWHRSA-N 0 2 313.379 0.680 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2csc(C(=O)[O-])n2)[C@H](C)CO1 ZINC001594527508 986079750 /nfs/dbraw/zinc/07/97/50/986079750.db2.gz LFFNWBQSXDVTHR-RKDXNWHRSA-N 0 2 313.379 0.680 20 0 DCADLN Cc1nc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC001385300405 986229606 /nfs/dbraw/zinc/22/96/06/986229606.db2.gz IUTMPCCYBAYIEO-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc(C(=O)N2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)c[nH]1 ZINC001385300405 986229621 /nfs/dbraw/zinc/22/96/21/986229621.db2.gz IUTMPCCYBAYIEO-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594562545 986335593 /nfs/dbraw/zinc/33/55/93/986335593.db2.gz USIVYPKWCAVKSN-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594562545 986335603 /nfs/dbraw/zinc/33/56/03/986335603.db2.gz USIVYPKWCAVKSN-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H](C(=O)N1CCC[C@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC001589356947 986343000 /nfs/dbraw/zinc/34/30/00/986343000.db2.gz SZFHQKIXBCMXRN-MNOVXSKESA-N 0 2 318.337 0.598 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001385576887 986442672 /nfs/dbraw/zinc/44/26/72/986442672.db2.gz CYMIBBWIHPFXEP-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001385576887 986442680 /nfs/dbraw/zinc/44/26/80/986442680.db2.gz CYMIBBWIHPFXEP-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN C[C@H](C(=O)N[C@@H](CC(F)(F)F)C(=O)[O-])[NH+]1CCSCC1 ZINC001589385997 986483417 /nfs/dbraw/zinc/48/34/17/986483417.db2.gz RKZWKYCNTNNMKM-SFYZADRCSA-N 0 2 314.329 0.946 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385652414 986496250 /nfs/dbraw/zinc/49/62/50/986496250.db2.gz KFSCJMPDQAGMAK-POYBYMJQSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385652414 986496254 /nfs/dbraw/zinc/49/62/54/986496254.db2.gz KFSCJMPDQAGMAK-POYBYMJQSA-N 0 2 324.234 0.609 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[NH+]1CCN(c2cccc(F)c2)CC1 ZINC001589399921 986564364 /nfs/dbraw/zinc/56/43/64/986564364.db2.gz HPCDKFHBNCQQBL-NSHDSACASA-N 0 2 309.341 0.537 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC001594607225 986577236 /nfs/dbraw/zinc/57/72/36/986577236.db2.gz FPKXPQZHHJREAI-KXMPLOMGSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC001594607225 986577246 /nfs/dbraw/zinc/57/72/46/986577246.db2.gz FPKXPQZHHJREAI-KXMPLOMGSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@](C)(C(=O)[O-])C1 ZINC001594607305 986578423 /nfs/dbraw/zinc/57/84/23/986578423.db2.gz HHUFHXFUZVGSJP-DOMZBBRYSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@](C)(C(=O)[O-])C1 ZINC001594607305 986578426 /nfs/dbraw/zinc/57/84/26/986578426.db2.gz HHUFHXFUZVGSJP-DOMZBBRYSA-N 0 2 313.398 0.603 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001450611567 1015246273 /nfs/dbraw/zinc/24/62/73/1015246273.db2.gz QDAWZHFTMQVUNT-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450611567 1015246299 /nfs/dbraw/zinc/24/62/99/1015246299.db2.gz QDAWZHFTMQVUNT-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001386326503 987075772 /nfs/dbraw/zinc/07/57/72/987075772.db2.gz YLEORFXFGBBUPM-GKROBHDKSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001386326503 987075780 /nfs/dbraw/zinc/07/57/80/987075780.db2.gz YLEORFXFGBBUPM-GKROBHDKSA-N 0 2 323.250 0.093 20 0 DCADLN COC[C@H](C)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001386826929 987422088 /nfs/dbraw/zinc/42/20/88/987422088.db2.gz GAGKNWRBHDQCIZ-YUMQZZPRSA-N 0 2 302.268 0.744 20 0 DCADLN COC[C@H](C)C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001386826929 987422089 /nfs/dbraw/zinc/42/20/89/987422089.db2.gz GAGKNWRBHDQCIZ-YUMQZZPRSA-N 0 2 302.268 0.744 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)COC)C1 ZINC001450779755 1015351673 /nfs/dbraw/zinc/35/16/73/1015351673.db2.gz HWQBTYSVJCGYAT-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)COC)C1 ZINC001450779755 1015351684 /nfs/dbraw/zinc/35/16/84/1015351684.db2.gz HWQBTYSVJCGYAT-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(NC1CN(C(=O)c2cscn2)C1)C(F)C(F)(F)F ZINC001387742996 988108020 /nfs/dbraw/zinc/10/80/20/988108020.db2.gz BFBKIGRKDNWCLL-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)c2cscn2)C1)[C@@H](F)C(F)(F)F ZINC001387742996 988108026 /nfs/dbraw/zinc/10/80/26/988108026.db2.gz BFBKIGRKDNWCLL-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CC(C)(C)O ZINC001387797507 988160758 /nfs/dbraw/zinc/16/07/58/988160758.db2.gz XBEJOFJEICYCHB-MRVPVSSYSA-N 0 2 302.268 0.622 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)CC(C)(C)O ZINC001387797507 988160764 /nfs/dbraw/zinc/16/07/64/988160764.db2.gz XBEJOFJEICYCHB-MRVPVSSYSA-N 0 2 302.268 0.622 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@@H+]2C[C@@H](O)C[C@H]2C(=O)[O-])cc1 ZINC001597524633 988161916 /nfs/dbraw/zinc/16/19/16/988161916.db2.gz DBJFGPOVBNHPIL-GJZGRUSLSA-N 0 2 320.389 0.727 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@H+]2C[C@@H](O)C[C@H]2C(=O)[O-])cc1 ZINC001597524633 988161920 /nfs/dbraw/zinc/16/19/20/988161920.db2.gz DBJFGPOVBNHPIL-GJZGRUSLSA-N 0 2 320.389 0.727 20 0 DCADLN CN(CCNC(=O)c1ncccn1)C(=O)C(F)C(F)(F)F ZINC001388006700 988379315 /nfs/dbraw/zinc/37/93/15/988379315.db2.gz NPMHFXSWUKWAKZ-SSDOTTSWSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1ncccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001388006700 988379325 /nfs/dbraw/zinc/37/93/25/988379325.db2.gz NPMHFXSWUKWAKZ-SSDOTTSWSA-N 0 2 308.235 0.565 20 0 DCADLN CCc1[nH]c(CNS(=O)(=O)c2cccnc2C(=O)[O-])c[nH+]1 ZINC001597673741 988776935 /nfs/dbraw/zinc/77/69/35/988776935.db2.gz GZUCJUYCFKAXGW-UHFFFAOYSA-N 0 2 310.335 0.544 20 0 DCADLN CCc1[nH]cc(CNS(=O)(=O)c2cccnc2C(=O)[O-])[nH+]1 ZINC001597673741 988776942 /nfs/dbraw/zinc/77/69/42/988776942.db2.gz GZUCJUYCFKAXGW-UHFFFAOYSA-N 0 2 310.335 0.544 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ccc(S(N)(=O)=O)cc2)C[C@@H](C(=O)[O-])O1 ZINC001599866385 989268334 /nfs/dbraw/zinc/26/83/34/989268334.db2.gz JTGUXDIQIKJIGI-SKDRFNHKSA-N 0 2 314.363 0.008 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2ccc(S(N)(=O)=O)cc2)C[C@@H](C(=O)[O-])O1 ZINC001599866385 989268344 /nfs/dbraw/zinc/26/83/44/989268344.db2.gz JTGUXDIQIKJIGI-SKDRFNHKSA-N 0 2 314.363 0.008 20 0 DCADLN CCn1nc(C)c(C[NH2+]C/C=C\CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001597938296 989910969 /nfs/dbraw/zinc/91/09/69/989910969.db2.gz PBCVLSMRQQNUJL-JYYSYOFASA-N 0 2 321.381 0.089 20 0 DCADLN COCc1ccccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001390782270 990380482 /nfs/dbraw/zinc/38/04/82/990380482.db2.gz RPAYVGJDLPCZOJ-UHFFFAOYSA-N 0 2 319.365 0.519 20 0 DCADLN COCc1ccccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001390782270 990380487 /nfs/dbraw/zinc/38/04/87/990380487.db2.gz RPAYVGJDLPCZOJ-UHFFFAOYSA-N 0 2 319.365 0.519 20 0 DCADLN Cc1ccc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001390856496 990440328 /nfs/dbraw/zinc/44/03/28/990440328.db2.gz CJQPZQJGMMOPRX-UHFFFAOYSA-N 0 2 304.354 0.004 20 0 DCADLN Cc1ccc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001390856496 990440331 /nfs/dbraw/zinc/44/03/31/990440331.db2.gz CJQPZQJGMMOPRX-UHFFFAOYSA-N 0 2 304.354 0.004 20 0 DCADLN CC[NH+]1CCCC[C@H]1C(=O)NCC[N@H+]([C@H](C)C(=O)NC)C1CC1 ZINC001391194559 990656784 /nfs/dbraw/zinc/65/67/84/990656784.db2.gz BAGMXUFYLNCCBW-HIFRSBDPSA-N 0 2 324.469 0.576 20 0 DCADLN Cn1ncc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001391478120 990878421 /nfs/dbraw/zinc/87/84/21/990878421.db2.gz GITXVHNLRNGILV-VIFPVBQESA-N 0 2 309.267 0.264 20 0 DCADLN Cn1ncc(CN2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001391478120 990878431 /nfs/dbraw/zinc/87/84/31/990878431.db2.gz GITXVHNLRNGILV-VIFPVBQESA-N 0 2 309.267 0.264 20 0 DCADLN O=C(CCn1cccc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391563208 990953521 /nfs/dbraw/zinc/95/35/21/990953521.db2.gz ZUZRSGSANVIQOM-NSHDSACASA-N 0 2 304.354 0.093 20 0 DCADLN CN(C)c1cc(N2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)nc[nH+]1 ZINC001598307129 991267008 /nfs/dbraw/zinc/26/70/08/991267008.db2.gz DPFVQPOBBHIQDU-JTQLQIEISA-N 0 2 317.353 0.674 20 0 DCADLN CN(C)c1cc(N2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)[nH+]cn1 ZINC001598307129 991267015 /nfs/dbraw/zinc/26/70/15/991267015.db2.gz DPFVQPOBBHIQDU-JTQLQIEISA-N 0 2 317.353 0.674 20 0 DCADLN COc1cc[nH+]c(CN2CC(NC(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)c1 ZINC001599721058 991538694 /nfs/dbraw/zinc/53/86/94/991538694.db2.gz DISOEBZGRJXDKT-STQMWFEESA-N 0 2 305.334 0.111 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001392244635 991650434 /nfs/dbraw/zinc/65/04/34/991650434.db2.gz YYZJUYSICHSCBP-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001392244635 991650441 /nfs/dbraw/zinc/65/04/41/991650441.db2.gz YYZJUYSICHSCBP-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN CN(CC[N@H+](C)CC(=O)NC(C)(C)C)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001598486145 992208795 /nfs/dbraw/zinc/20/87/95/992208795.db2.gz KCMAWNVSNZUMGK-WDEREUQCSA-N 0 2 313.398 0.012 20 0 DCADLN CN(CC[N@@H+](C)CC(=O)NC(C)(C)C)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001598486145 992208805 /nfs/dbraw/zinc/20/88/05/992208805.db2.gz KCMAWNVSNZUMGK-WDEREUQCSA-N 0 2 313.398 0.012 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)[C@H]1CC[C@@H](C(=O)[O-])O1 ZINC001598488055 992235910 /nfs/dbraw/zinc/23/59/10/992235910.db2.gz HAJXUCGDVJYNQH-NEPJUHHUSA-N 0 2 314.382 0.188 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)[C@H]1CC[C@@H](C(=O)[O-])O1 ZINC001598488055 992235918 /nfs/dbraw/zinc/23/59/18/992235918.db2.gz HAJXUCGDVJYNQH-NEPJUHHUSA-N 0 2 314.382 0.188 20 0 DCADLN CN(CC[NH2+]Cc1nnc(C(C)(C)C)o1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001598495919 992360429 /nfs/dbraw/zinc/36/04/29/992360429.db2.gz UCYRLIMKQAHOTF-UWVGGRQHSA-N 0 2 324.381 0.636 20 0 DCADLN CCCCC(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001392816004 992421683 /nfs/dbraw/zinc/42/16/83/992421683.db2.gz NMRLAUJLEXVTAC-CYBMUJFWSA-N 0 2 317.393 0.903 20 0 DCADLN Cc1ccn(CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001392864470 992474591 /nfs/dbraw/zinc/47/45/91/992474591.db2.gz MMYSNYCKRHPKEE-WCBMZHEXSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccn(CC(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001392864470 992474594 /nfs/dbraw/zinc/47/45/94/992474594.db2.gz MMYSNYCKRHPKEE-WCBMZHEXSA-N 0 2 324.278 0.713 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)CCn1cc[nH+]c1)C(=O)[O-] ZINC001591457442 992563696 /nfs/dbraw/zinc/56/36/96/992563696.db2.gz HRBFROUCUAOKJG-NSHDSACASA-N 0 2 310.354 0.005 20 0 DCADLN C[N@H+](Cc1cn(CC(N)=O)nn1)Cc1ccc(C(=O)[O-])cc1 ZINC001598513870 992596783 /nfs/dbraw/zinc/59/67/83/992596783.db2.gz FFXPYIITPOEMSX-UHFFFAOYSA-N 0 2 303.322 0.094 20 0 DCADLN C[N@@H+](Cc1cn(CC(N)=O)nn1)Cc1ccc(C(=O)[O-])cc1 ZINC001598513870 992596790 /nfs/dbraw/zinc/59/67/90/992596790.db2.gz FFXPYIITPOEMSX-UHFFFAOYSA-N 0 2 303.322 0.094 20 0 DCADLN Cn1cc(C[N@H+](C)C[C@H](O)COc2ccc(C(=O)[O-])cc2)nn1 ZINC001598533391 992815030 /nfs/dbraw/zinc/81/50/30/992815030.db2.gz NMFMFHRBBBSEDZ-ZDUSSCGKSA-N 0 2 320.349 0.385 20 0 DCADLN Cn1cc(C[N@@H+](C)C[C@H](O)COc2ccc(C(=O)[O-])cc2)nn1 ZINC001598533391 992815038 /nfs/dbraw/zinc/81/50/38/992815038.db2.gz NMFMFHRBBBSEDZ-ZDUSSCGKSA-N 0 2 320.349 0.385 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ncccn1)C(F)C(F)(F)F ZINC001393350850 992906882 /nfs/dbraw/zinc/90/68/82/992906882.db2.gz WTZUHODXPHPBLI-YUMQZZPRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ncccn1)[C@H](F)C(F)(F)F ZINC001393350850 992906890 /nfs/dbraw/zinc/90/68/90/992906890.db2.gz WTZUHODXPHPBLI-YUMQZZPRSA-N 0 2 320.246 0.708 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)[C@@H]2CCCC[C@@H]2C(=O)[O-])CC1 ZINC001591633295 992936576 /nfs/dbraw/zinc/93/65/76/992936576.db2.gz CYBMVYWNJFAGGT-QWHCGFSZSA-N 0 2 318.439 0.986 20 0 DCADLN Cn1cnc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)c1 ZINC001393589522 993109721 /nfs/dbraw/zinc/10/97/21/993109721.db2.gz HYAAXZVAUNQARX-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cnc(C(=O)NCC2(NC(=O)[C@H](F)C(F)(F)F)CC2)c1 ZINC001393589522 993109723 /nfs/dbraw/zinc/10/97/23/993109723.db2.gz HYAAXZVAUNQARX-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN O=C(Cc1nc[nH]n1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001393854877 993298363 /nfs/dbraw/zinc/29/83/63/993298363.db2.gz VUXMBGDZOFRJNN-MRVPVSSYSA-N 0 2 323.250 0.013 20 0 DCADLN COCCN1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001394150705 993516950 /nfs/dbraw/zinc/51/69/50/993516950.db2.gz CJMWLKHDSJMMMC-QMMMGPOBSA-N 0 2 302.268 0.086 20 0 DCADLN COCCN1CC(CCO)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001394150705 993516957 /nfs/dbraw/zinc/51/69/57/993516957.db2.gz CJMWLKHDSJMMMC-QMMMGPOBSA-N 0 2 302.268 0.086 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NC2(C(=O)[O-])CC(CO)C2)[nH]n1 ZINC001598598337 993538878 /nfs/dbraw/zinc/53/88/78/993538878.db2.gz NAIYRXKYCHNMQU-YAKODHLKSA-N 0 2 322.365 0.132 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)NC2(C(=O)[O-])CC(CO)C2)[nH]n1 ZINC001598598337 993538882 /nfs/dbraw/zinc/53/88/82/993538882.db2.gz NAIYRXKYCHNMQU-YAKODHLKSA-N 0 2 322.365 0.132 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@@]2(CC(=O)[O-])CCOC2)[nH]n1 ZINC001598599300 993552578 /nfs/dbraw/zinc/55/25/78/993552578.db2.gz VOZHUQUYGYUFBM-SWLSCSKDSA-N 0 2 322.365 0.540 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@@]2(CC(=O)[O-])CCOC2)[nH]n1 ZINC001598599300 993552585 /nfs/dbraw/zinc/55/25/85/993552585.db2.gz VOZHUQUYGYUFBM-SWLSCSKDSA-N 0 2 322.365 0.540 20 0 DCADLN COc1ccc(F)cc1CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001599583505 993582113 /nfs/dbraw/zinc/58/21/13/993582113.db2.gz UMLKHTFNJDTFQA-LBPRGKRZSA-N 0 2 321.308 0.912 20 0 DCADLN COc1ccc(F)cc1CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001599583505 993582118 /nfs/dbraw/zinc/58/21/18/993582118.db2.gz UMLKHTFNJDTFQA-LBPRGKRZSA-N 0 2 321.308 0.912 20 0 DCADLN CN(CCNC(=O)c1c(F)cccc1F)Cc1n[nH]c(=O)[nH]1 ZINC001399648060 993631882 /nfs/dbraw/zinc/63/18/82/993631882.db2.gz XMFVBQNFQURZGX-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[N@H+](CCNC(=O)c1c(F)cccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001399648060 993631888 /nfs/dbraw/zinc/63/18/88/993631888.db2.gz XMFVBQNFQURZGX-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[N@@H+](CCNC(=O)c1c(F)cccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001399648060 993631891 /nfs/dbraw/zinc/63/18/91/993631891.db2.gz XMFVBQNFQURZGX-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN CCCC[C@H](C(N)=O)[N@@H+]1CCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001399869843 993863718 /nfs/dbraw/zinc/86/37/18/993863718.db2.gz GEXDYDNELQOLFL-WDEREUQCSA-N 0 2 308.386 0.043 20 0 DCADLN CCCC[C@H](C(N)=O)[N@H+]1CCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001399869843 993863722 /nfs/dbraw/zinc/86/37/22/993863722.db2.gz GEXDYDNELQOLFL-WDEREUQCSA-N 0 2 308.386 0.043 20 0 DCADLN CCNC(=O)C[NH+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCC[N@@H+]1CC ZINC001399903098 993901138 /nfs/dbraw/zinc/90/11/38/993901138.db2.gz UWAYDBHNHUUGGY-CABCVRRESA-N 0 2 324.469 0.578 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-])[C@H]1CN(C)CC[N@@H+]1C ZINC001593138747 993909566 /nfs/dbraw/zinc/90/95/66/993909566.db2.gz KJSGNOGQHBLILC-IGQOVBAYSA-N 0 2 311.426 0.628 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-])[C@H]1CN(C)CC[N@H+]1C ZINC001593138747 993909574 /nfs/dbraw/zinc/90/95/74/993909574.db2.gz KJSGNOGQHBLILC-IGQOVBAYSA-N 0 2 311.426 0.628 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)NC(=O)C(F)C(F)(F)F ZINC001399983038 994018231 /nfs/dbraw/zinc/01/82/31/994018231.db2.gz OVCUHSOBPSSNPQ-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)NC(=O)[C@H](F)C(F)(F)F ZINC001399983038 994018239 /nfs/dbraw/zinc/01/82/39/994018239.db2.gz OVCUHSOBPSSNPQ-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccsn1 ZINC001400006854 994048182 /nfs/dbraw/zinc/04/81/82/994048182.db2.gz ZZADJZYMVOWJCI-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccsn1 ZINC001400006854 994048185 /nfs/dbraw/zinc/04/81/85/994048185.db2.gz ZZADJZYMVOWJCI-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cn[nH]n1 ZINC001400616037 994709713 /nfs/dbraw/zinc/70/97/13/994709713.db2.gz OCZFZDKMIZWCEW-LURJTMIESA-N 0 2 309.223 0.084 20 0 DCADLN C[C@H]([NH2+]Cc1nnc2n1CCNC2=O)c1cc(C(=O)[O-])cs1 ZINC001593318060 994826509 /nfs/dbraw/zinc/82/65/09/994826509.db2.gz ZIKCNKRAOKMSBA-ZETCQYMHSA-N 0 2 321.362 0.632 20 0 DCADLN CN(C(=O)C[NH+](C)C1CCC1)[C@H]1CC[N@H+](Cc2ccnn2C)C1 ZINC001400716506 994835214 /nfs/dbraw/zinc/83/52/14/994835214.db2.gz RFDLXRIFWGVSMS-HNNXBMFYSA-N 0 2 319.453 0.937 20 0 DCADLN CCN(C)C(=O)C[NH+]1CC[C@@H](N(CC)C(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001400731570 994853843 /nfs/dbraw/zinc/85/38/43/994853843.db2.gz ARHRYVAWYHTWKQ-HUUCEWRRSA-N 0 2 324.469 0.482 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NCc1cc(C(F)F)on1 ZINC001464546433 1015921533 /nfs/dbraw/zinc/92/15/33/1015921533.db2.gz NWEVWWXHLXNHPA-UHFFFAOYSA-N 0 2 303.312 0.674 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1ccccc1 ZINC001396987266 995725444 /nfs/dbraw/zinc/72/54/44/995725444.db2.gz WCDLRCUUXCKQOD-CYBMUJFWSA-N 0 2 315.377 0.786 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397247719 995885130 /nfs/dbraw/zinc/88/51/30/995885130.db2.gz WDOUPWUHFJCXLC-KHQFGBGNSA-N 0 2 322.262 0.547 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397247719 995885136 /nfs/dbraw/zinc/88/51/36/995885136.db2.gz WDOUPWUHFJCXLC-KHQFGBGNSA-N 0 2 322.262 0.547 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1CCn1ccccc1=O ZINC001598776798 995938281 /nfs/dbraw/zinc/93/82/81/995938281.db2.gz KNNNUONLPHDCRN-VXGBXAGGSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1CCn1ccccc1=O ZINC001598776798 995938285 /nfs/dbraw/zinc/93/82/85/995938285.db2.gz KNNNUONLPHDCRN-VXGBXAGGSA-N 0 2 308.334 0.187 20 0 DCADLN C[C@@H](Oc1ccccc1C[NH2+]Cc1cc(C(N)=O)no1)C(=O)[O-] ZINC001593647884 995994576 /nfs/dbraw/zinc/99/45/76/995994576.db2.gz CYAFCVAOYMEQKY-SECBINFHSA-N 0 2 319.317 0.915 20 0 DCADLN COC1CC(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)C1 ZINC001397936486 996266972 /nfs/dbraw/zinc/26/69/72/996266972.db2.gz HDLHRSKKCPLOIR-HACHORDNSA-N 0 2 314.279 0.886 20 0 DCADLN COC1CC(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001397936486 996266976 /nfs/dbraw/zinc/26/69/76/996266976.db2.gz HDLHRSKKCPLOIR-HACHORDNSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCn1nc(C)c(CC(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC001465724960 1016021749 /nfs/dbraw/zinc/02/17/49/1016021749.db2.gz HALHCNNXISWPSC-UHFFFAOYSA-N 0 2 308.342 0.177 20 0 DCADLN CN(CCNC(=O)c1cccnn1)C(=O)C(F)C(F)(F)F ZINC001398015995 996314669 /nfs/dbraw/zinc/31/46/69/996314669.db2.gz TYIJFYBVDAIIQJ-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1cccnn1)C(=O)[C@H](F)C(F)(F)F ZINC001398015995 996314674 /nfs/dbraw/zinc/31/46/74/996314674.db2.gz TYIJFYBVDAIIQJ-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN COC(=O)CC[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C(=O)[O-] ZINC001598856796 996644659 /nfs/dbraw/zinc/64/46/59/996644659.db2.gz GJLGODAVKPWAKQ-OPRDCNLKSA-N 0 2 309.322 0.046 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(c2nnc(C[NH+]3CCCCC3)n2C)C1 ZINC001599080051 996976615 /nfs/dbraw/zinc/97/66/15/996976615.db2.gz FUEOXRDAXOFGDV-OAHLLOKOSA-N 0 2 323.397 0.481 20 0 DCADLN COCc1nc(C)c(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001599300314 997219376 /nfs/dbraw/zinc/21/93/76/997219376.db2.gz BFWKZSMKSBZKOO-SECBINFHSA-N 0 2 324.362 0.747 20 0 DCADLN COCc1nc(C)c(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001599300314 997219392 /nfs/dbraw/zinc/21/93/92/997219392.db2.gz BFWKZSMKSBZKOO-SECBINFHSA-N 0 2 324.362 0.747 20 0 DCADLN COCc1sccc1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001599307843 997357384 /nfs/dbraw/zinc/35/73/84/997357384.db2.gz VTSHGWXUZHTZDJ-SNVBAGLBSA-N 0 2 309.347 0.974 20 0 DCADLN CC[C@@H](C)CC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001404769410 997447444 /nfs/dbraw/zinc/44/74/44/997447444.db2.gz LQZPVUIJYNQHBW-LLVKDONJSA-N 0 2 317.393 0.759 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@@H+]2CC[C@@H](C)O[C@@H](C)C2)n1 ZINC001598922927 997602098 /nfs/dbraw/zinc/60/20/98/997602098.db2.gz VEKFXUKNWSGBTH-ZJUUUORDSA-N 0 2 311.338 0.825 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@H+]2CC[C@@H](C)O[C@@H](C)C2)n1 ZINC001598922927 997602105 /nfs/dbraw/zinc/60/21/05/997602105.db2.gz VEKFXUKNWSGBTH-ZJUUUORDSA-N 0 2 311.338 0.825 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(F)CCCCC1 ZINC001404940083 997610047 /nfs/dbraw/zinc/61/00/47/997610047.db2.gz UYJGWODPMUAUIU-UHFFFAOYSA-N 0 2 311.361 0.731 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(C)(C)C(N)=O ZINC001503125985 1016152385 /nfs/dbraw/zinc/15/23/85/1016152385.db2.gz SQSNYMKVQJTOOL-WDSKDSINSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(C)(C)C(N)=O ZINC001503125985 1016152394 /nfs/dbraw/zinc/15/23/94/1016152394.db2.gz SQSNYMKVQJTOOL-WDSKDSINSA-N 0 2 315.267 0.019 20 0 DCADLN CN(C(=O)CC(F)(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405094823 997785459 /nfs/dbraw/zinc/78/54/59/997785459.db2.gz UMPZENPNKAHQFM-ZETCQYMHSA-N 0 2 307.276 0.495 20 0 DCADLN CN(C(=O)CC(F)(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405094823 997785471 /nfs/dbraw/zinc/78/54/71/997785471.db2.gz UMPZENPNKAHQFM-ZETCQYMHSA-N 0 2 307.276 0.495 20 0 DCADLN CN(C(=O)CC(F)(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405094823 997785480 /nfs/dbraw/zinc/78/54/80/997785480.db2.gz UMPZENPNKAHQFM-ZETCQYMHSA-N 0 2 307.276 0.495 20 0 DCADLN CC(C)(C)[C@H](CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001467566407 1016184611 /nfs/dbraw/zinc/18/46/11/1016184611.db2.gz WSVYAKSNKZDZKO-NSHDSACASA-N 0 2 320.349 0.403 20 0 DCADLN COc1cc(OC)cc([C@@H]([NH2+][C@H]2COC[C@H]2OC)C(=O)[O-])c1 ZINC001599452541 998129816 /nfs/dbraw/zinc/12/98/16/998129816.db2.gz DAOUBZDDCDIQMD-BFHYXJOUSA-N 0 2 311.334 0.833 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn(CCOC)c2C)C1=O ZINC001467663726 1016189644 /nfs/dbraw/zinc/18/96/44/1016189644.db2.gz MKDGVRPPQOMUGF-AWEZNQCLSA-N 0 2 323.353 0.203 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn(CCOC)c2C)C1=O ZINC001467663727 1016189989 /nfs/dbraw/zinc/18/99/89/1016189989.db2.gz MKDGVRPPQOMUGF-CQSZACIVSA-N 0 2 323.353 0.203 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])o1 ZINC001598958796 998294402 /nfs/dbraw/zinc/29/44/02/998294402.db2.gz FUPNVEZDQCSHHX-MRVPVSSYSA-N 0 2 307.262 0.146 20 0 DCADLN COCCn1c([C@H]2CCC[N@@H+]2C)nnc1N1CC[C@H](C(=O)[O-])C1 ZINC001599269455 998524072 /nfs/dbraw/zinc/52/40/72/998524072.db2.gz SOFFPMIBICLDDC-NWDGAFQWSA-N 0 2 323.397 0.602 20 0 DCADLN COCCn1c([C@H]2CCC[N@H+]2C)nnc1N1CC[C@H](C(=O)[O-])C1 ZINC001599269455 998524082 /nfs/dbraw/zinc/52/40/82/998524082.db2.gz SOFFPMIBICLDDC-NWDGAFQWSA-N 0 2 323.397 0.602 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001594249474 998954370 /nfs/dbraw/zinc/95/43/70/998954370.db2.gz IEWNVSFVLCMVED-RVMXOQNASA-N 0 2 311.382 0.354 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C(=O)[O-])nn1C ZINC001594249606 998955374 /nfs/dbraw/zinc/95/53/74/998955374.db2.gz IQPMUCBSCUBAJJ-GHMZBOCLSA-N 0 2 322.365 0.054 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])n1C ZINC001594251924 998970490 /nfs/dbraw/zinc/97/04/90/998970490.db2.gz ZVHVWVUVOGCWDP-NEPJUHHUSA-N 0 2 321.377 0.659 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)CCCF ZINC001511592254 1016366449 /nfs/dbraw/zinc/36/64/49/1016366449.db2.gz NMEOGCWSMQOAKI-CBAPKCEASA-N 0 2 320.258 0.572 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)CCCF ZINC001511592254 1016366461 /nfs/dbraw/zinc/36/64/61/1016366461.db2.gz NMEOGCWSMQOAKI-CBAPKCEASA-N 0 2 320.258 0.572 20 0 DCADLN CC[N@H+](CCNC(=O)Cn1ccnc1C)[C@H](C)C(=O)NC1CC1 ZINC001418583495 1000365206 /nfs/dbraw/zinc/36/52/06/1000365206.db2.gz VZEYEJFJCJBTMX-GFCCVEGCSA-N 0 2 321.425 0.297 20 0 DCADLN Cc1nc(CC(=O)NC[C@H]2C[C@@H]([NH2+]Cc3ccon3)C2)n[nH]1 ZINC001418610807 1000389485 /nfs/dbraw/zinc/38/94/85/1000389485.db2.gz AOGHOXGGAAZBQF-KLPPZKSPSA-N 0 2 304.354 0.328 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001418806964 1000536032 /nfs/dbraw/zinc/53/60/32/1000536032.db2.gz KXXKFTMZNWXKEQ-VWYCJHECSA-N 0 2 311.386 0.404 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001418806964 1000536035 /nfs/dbraw/zinc/53/60/35/1000536035.db2.gz KXXKFTMZNWXKEQ-VWYCJHECSA-N 0 2 311.386 0.404 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N2CC(C(N)=O)C2)=N1 ZINC001418997638 1000676141 /nfs/dbraw/zinc/67/61/41/1000676141.db2.gz NIMJIALEHIXVHK-UHFFFAOYSA-N 0 2 318.308 0.583 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cc2cnccc2o1 ZINC001419012045 1000687852 /nfs/dbraw/zinc/68/78/52/1000687852.db2.gz AFNIRHIYLWMGKY-UHFFFAOYSA-N 0 2 324.300 0.529 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1(CF)CCC1 ZINC001419110705 1000783057 /nfs/dbraw/zinc/78/30/57/1000783057.db2.gz PJBYDAOECBXMDD-SNVBAGLBSA-N 0 2 311.361 0.731 20 0 DCADLN CC(C)NC(=O)CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001401898176 1000833002 /nfs/dbraw/zinc/83/30/02/1000833002.db2.gz XYVKZTZNIKKBSS-WCBMZHEXSA-N 0 2 313.295 0.602 20 0 DCADLN CC(C)NC(=O)CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001401898176 1000833007 /nfs/dbraw/zinc/83/30/07/1000833007.db2.gz XYVKZTZNIKKBSS-WCBMZHEXSA-N 0 2 313.295 0.602 20 0 DCADLN CC[C@H](F)C[N@@H+]1CC[C@](O)(CNC(=O)CCc2cnn[nH]2)C1 ZINC001402291211 1001183072 /nfs/dbraw/zinc/18/30/72/1001183072.db2.gz JLQKYUAIQHEXRB-FZMZJTMJSA-N 0 2 313.377 0.038 20 0 DCADLN CC[C@H](F)C[N@H+]1CC[C@](O)(CNC(=O)CCc2cnn[nH]2)C1 ZINC001402291211 1001183078 /nfs/dbraw/zinc/18/30/78/1001183078.db2.gz JLQKYUAIQHEXRB-FZMZJTMJSA-N 0 2 313.377 0.038 20 0 DCADLN O=C(NCC1(O)CN(Cc2n[nH]c(=O)[nH]2)C1)C1=CCCCCC1 ZINC001402334752 1001224888 /nfs/dbraw/zinc/22/48/88/1001224888.db2.gz NOTPLFJAEBABAK-UHFFFAOYSA-N 0 2 321.381 0.064 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001419730394 1001259641 /nfs/dbraw/zinc/25/96/41/1001259641.db2.gz OWQNMDHYOJOIGS-VXNVDRBHSA-N 0 2 322.369 0.838 20 0 DCADLN C[C@@H](CNC(=O)CC[C@H]1CCCO1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403104449 1001675152 /nfs/dbraw/zinc/67/51/52/1001675152.db2.gz BFTBRICXAHKFLW-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](CNC(=O)CC[C@H]1CCCO1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403104449 1001675154 /nfs/dbraw/zinc/67/51/54/1001675154.db2.gz BFTBRICXAHKFLW-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](CNC(=O)COc1ccccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403189116 1001715356 /nfs/dbraw/zinc/71/53/56/1001715356.db2.gz CHDHQWHCYBNXOP-NSHDSACASA-N 0 2 319.365 0.526 20 0 DCADLN C[C@@H](CNC(=O)COc1ccccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403189116 1001715358 /nfs/dbraw/zinc/71/53/58/1001715358.db2.gz CHDHQWHCYBNXOP-NSHDSACASA-N 0 2 319.365 0.526 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1cncs1 ZINC001420003854 1001758086 /nfs/dbraw/zinc/75/80/86/1001758086.db2.gz MDBTVZACEGYABT-UHFFFAOYSA-N 0 2 324.410 0.490 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1cncs1 ZINC001420003854 1001758093 /nfs/dbraw/zinc/75/80/93/1001758093.db2.gz MDBTVZACEGYABT-UHFFFAOYSA-N 0 2 324.410 0.490 20 0 DCADLN CO[C@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001403362249 1001879593 /nfs/dbraw/zinc/87/95/93/1001879593.db2.gz ORNYZTJRKXQTTD-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001403362249 1001879605 /nfs/dbraw/zinc/87/96/05/1001879605.db2.gz ORNYZTJRKXQTTD-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN C[N@H+](CCNC(=O)C1(C)CC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001420329081 1002231804 /nfs/dbraw/zinc/23/18/04/1002231804.db2.gz KHSUSQZOFYBTLW-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN C[N@@H+](CCNC(=O)C1(C)CC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001420329081 1002231813 /nfs/dbraw/zinc/23/18/13/1002231813.db2.gz KHSUSQZOFYBTLW-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN C[N@H+](CCNC(=O)c1coc(C(F)F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001403662445 1002299996 /nfs/dbraw/zinc/29/99/96/1002299996.db2.gz KPYZOEGAWIOJAD-UHFFFAOYSA-N 0 2 315.280 0.903 20 0 DCADLN C[N@@H+](CCNC(=O)c1coc(C(F)F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001403662445 1002300004 /nfs/dbraw/zinc/30/00/04/1002300004.db2.gz KPYZOEGAWIOJAD-UHFFFAOYSA-N 0 2 315.280 0.903 20 0 DCADLN C[C@H](CNC(=O)CCc1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505866166 1016630213 /nfs/dbraw/zinc/63/02/13/1016630213.db2.gz HRYXPOYWLIPDFU-SNVBAGLBSA-N 0 2 307.354 0.673 20 0 DCADLN C[C@H](CNC(=O)CCc1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505866166 1016630220 /nfs/dbraw/zinc/63/02/20/1016630220.db2.gz HRYXPOYWLIPDFU-SNVBAGLBSA-N 0 2 307.354 0.673 20 0 DCADLN COc1cc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001425412157 1002652711 /nfs/dbraw/zinc/65/27/11/1002652711.db2.gz QKUFUTHYFCYPLN-MRVPVSSYSA-N 0 2 319.325 0.720 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ncccc1F ZINC001420641895 1002732751 /nfs/dbraw/zinc/73/27/51/1002732751.db2.gz RTXIWIXZDQABIG-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ncccc1F ZINC001420641895 1002732756 /nfs/dbraw/zinc/73/27/56/1002732756.db2.gz RTXIWIXZDQABIG-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN Cn1ncnc1CN(CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001420667692 1002779121 /nfs/dbraw/zinc/77/91/21/1002779121.db2.gz XYLIUTPBGPZEHV-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN(CCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001420667692 1002779129 /nfs/dbraw/zinc/77/91/29/1002779129.db2.gz XYLIUTPBGPZEHV-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](C)C(C)(F)F)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001425668681 1002943174 /nfs/dbraw/zinc/94/31/74/1002943174.db2.gz XLSJARVMMPFVFB-BDAKNGLRSA-N 0 2 319.356 0.336 20 0 DCADLN CCOC1CC(CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001420874590 1003066382 /nfs/dbraw/zinc/06/63/82/1003066382.db2.gz GUJCGUZTOYCMSN-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN Cn1cc(CN2CCC[C@@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001420986031 1003205836 /nfs/dbraw/zinc/20/58/36/1003205836.db2.gz RDBZCQSKSQUORQ-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CCC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001420986031 1003205840 /nfs/dbraw/zinc/20/58/40/1003205840.db2.gz RDBZCQSKSQUORQ-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN CCN(C(=O)C[C@H](C)OC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421326047 1003544391 /nfs/dbraw/zinc/54/43/91/1003544391.db2.gz LNABOSPECMZUDE-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN CCN(C(=O)C[C@H](C)OC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421326047 1003544399 /nfs/dbraw/zinc/54/43/99/1003544399.db2.gz LNABOSPECMZUDE-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001472519124 1016817309 /nfs/dbraw/zinc/81/73/09/1016817309.db2.gz OMKVUFLOWKNPFW-PRHODGIISA-N 0 2 304.354 0.648 20 0 DCADLN O=C(NC[C@H]1CCCOC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427427400 1004377322 /nfs/dbraw/zinc/37/73/22/1004377322.db2.gz XCBIEAYIOIXLOV-MNOVXSKESA-N 0 2 309.370 0.826 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)N[C@@H](C)CN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001427594936 1004481287 /nfs/dbraw/zinc/48/12/87/1004481287.db2.gz DBDOPGCXEPIYRJ-ZDUSSCGKSA-N 0 2 323.441 0.646 20 0 DCADLN CCOCC(=O)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001407237999 1004489058 /nfs/dbraw/zinc/48/90/58/1004489058.db2.gz ORBSXWDYOSBOMD-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN CCOCC(=O)N1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001407237999 1004489063 /nfs/dbraw/zinc/48/90/63/1004489063.db2.gz ORBSXWDYOSBOMD-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN CCc1cc(C(=O)N(C)CCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n[nH]1 ZINC001407874865 1004915657 /nfs/dbraw/zinc/91/56/57/1004915657.db2.gz FTJVVGXQUINQAX-UHFFFAOYSA-N 0 2 321.385 0.390 20 0 DCADLN CCc1cc(C(=O)N(C)CCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n[nH]1 ZINC001407874865 1004915663 /nfs/dbraw/zinc/91/56/63/1004915663.db2.gz FTJVVGXQUINQAX-UHFFFAOYSA-N 0 2 321.385 0.390 20 0 DCADLN CC[C@H](C)CC(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001407969896 1004965311 /nfs/dbraw/zinc/96/53/11/1004965311.db2.gz PFNBRGKTNWSOMJ-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN CC(=O)N(CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001414348164 1005293761 /nfs/dbraw/zinc/29/37/61/1005293761.db2.gz SEZVGCUPSHLXDX-JTQLQIEISA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N(CCO)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001414348164 1005293764 /nfs/dbraw/zinc/29/37/64/1005293764.db2.gz SEZVGCUPSHLXDX-JTQLQIEISA-N 0 2 314.279 0.719 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ncc[nH]2)C1)C(F)C(F)(F)F ZINC001414784637 1005401797 /nfs/dbraw/zinc/40/17/97/1005401797.db2.gz XEGUZPONQBZJKX-BQBZGAKWSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ncc[nH]2)C1)[C@H](F)C(F)(F)F ZINC001414784637 1005401800 /nfs/dbraw/zinc/40/18/00/1005401800.db2.gz XEGUZPONQBZJKX-BQBZGAKWSA-N 0 2 308.235 0.641 20 0 DCADLN CCc1occc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001415117814 1005461426 /nfs/dbraw/zinc/46/14/26/1005461426.db2.gz GJDFJZNZLUZFMD-UHFFFAOYSA-N 0 2 305.338 0.624 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)c1ccns1 ZINC001415234315 1005486075 /nfs/dbraw/zinc/48/60/75/1005486075.db2.gz WJBOHLXATOWKLK-MRVPVSSYSA-N 0 2 307.379 0.652 20 0 DCADLN C[C@H](CCNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001415364588 1005509361 /nfs/dbraw/zinc/50/93/61/1005509361.db2.gz NXOGXFBYDNJPCE-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1cnn(C)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001415364588 1005509364 /nfs/dbraw/zinc/50/93/64/1005509364.db2.gz NXOGXFBYDNJPCE-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CCn1ccc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001415514570 1005551281 /nfs/dbraw/zinc/55/12/81/1005551281.db2.gz MTISIJFKXFBAII-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1ccc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001415514570 1005551282 /nfs/dbraw/zinc/55/12/82/1005551282.db2.gz MTISIJFKXFBAII-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CC[C@@H](CNC(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001416188586 1005668785 /nfs/dbraw/zinc/66/87/85/1005668785.db2.gz WUAQUBWLFWTXJV-JGVFFNPUSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)c1cn[nH]c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001416188586 1005668786 /nfs/dbraw/zinc/66/87/86/1005668786.db2.gz WUAQUBWLFWTXJV-JGVFFNPUSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001416234169 1005675505 /nfs/dbraw/zinc/67/55/05/1005675505.db2.gz LDKIULLJAAUZAD-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001416234169 1005675506 /nfs/dbraw/zinc/67/55/06/1005675506.db2.gz LDKIULLJAAUZAD-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H]1COCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417873974 1005911213 /nfs/dbraw/zinc/91/12/13/1005911213.db2.gz WRZCUOLDGXAXHX-VIFPVBQESA-N 0 2 304.306 0.127 20 0 DCADLN C[C@@H]1CC[C@@H](CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)O1 ZINC001417926846 1005927475 /nfs/dbraw/zinc/92/74/75/1005927475.db2.gz HWLODUIKNDXPOO-SKDRFNHKSA-N 0 2 318.333 0.564 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)O[C@H]1CNOC1 ZINC001434794500 1005979255 /nfs/dbraw/zinc/97/92/55/1005979255.db2.gz JDEXATOISKHYTN-NSHDSACASA-N 0 2 319.321 0.513 20 0 DCADLN COCC1(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CCC1 ZINC001436474881 1006205105 /nfs/dbraw/zinc/20/51/05/1006205105.db2.gz BEUMPLFZWOHKJZ-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN COCC1(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CCC1 ZINC001436474881 1006205115 /nfs/dbraw/zinc/20/51/15/1006205115.db2.gz BEUMPLFZWOHKJZ-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN C[S@](=O)C1(C(=O)[O-])CC[NH+](CC(=O)NCC2CCC2)CC1 ZINC001574097985 1163635586 /nfs/dbraw/zinc/63/55/86/1163635586.db2.gz VZINQMLHARINNB-NRFANRHFSA-N 0 2 316.423 0.200 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1CC1 ZINC001451773120 1006401926 /nfs/dbraw/zinc/40/19/26/1006401926.db2.gz NGVSQCKFMZIXLR-YUMQZZPRSA-N 0 2 300.252 0.232 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C1CC1 ZINC001451773120 1006401937 /nfs/dbraw/zinc/40/19/37/1006401937.db2.gz NGVSQCKFMZIXLR-YUMQZZPRSA-N 0 2 300.252 0.232 20 0 DCADLN CC(C)(F)C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452225555 1006670383 /nfs/dbraw/zinc/67/03/83/1006670383.db2.gz NHAAKQLQIVUAGC-WDSKDSINSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001452225555 1006670388 /nfs/dbraw/zinc/67/03/88/1006670388.db2.gz NHAAKQLQIVUAGC-WDSKDSINSA-N 0 2 306.231 0.228 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)COc1ccccc1 ZINC001439394950 1006806267 /nfs/dbraw/zinc/80/62/67/1006806267.db2.gz GHOQTMADTNABIZ-LLVKDONJSA-N 0 2 319.365 0.526 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)COc1ccccc1 ZINC001439394950 1006806284 /nfs/dbraw/zinc/80/62/84/1006806284.db2.gz GHOQTMADTNABIZ-LLVKDONJSA-N 0 2 319.365 0.526 20 0 DCADLN COc1cc(C[N@H+](C)CCNC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001437458245 1006984228 /nfs/dbraw/zinc/98/42/28/1006984228.db2.gz OFIMGHHXULTXSK-UHFFFAOYSA-N 0 2 309.326 0.282 20 0 DCADLN COc1cc(C[N@@H+](C)CCNC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001437458245 1006984239 /nfs/dbraw/zinc/98/42/39/1006984239.db2.gz OFIMGHHXULTXSK-UHFFFAOYSA-N 0 2 309.326 0.282 20 0 DCADLN CC(C)c1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001437528107 1007098391 /nfs/dbraw/zinc/09/83/91/1007098391.db2.gz WGJBDKFEOWPQTC-UHFFFAOYSA-N 0 2 308.342 0.484 20 0 DCADLN CC(C)c1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001437528107 1007098406 /nfs/dbraw/zinc/09/84/06/1007098406.db2.gz WGJBDKFEOWPQTC-UHFFFAOYSA-N 0 2 308.342 0.484 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001452828397 1007099422 /nfs/dbraw/zinc/09/94/22/1007099422.db2.gz QDKMVXSLMRFGOQ-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001452828397 1007099435 /nfs/dbraw/zinc/09/94/35/1007099435.db2.gz QDKMVXSLMRFGOQ-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN O=C(NCCN(Cc1nnc(C2CC2)[nH]1)C1CC1)c1cnn[nH]1 ZINC001437795896 1007411879 /nfs/dbraw/zinc/41/18/79/1007411879.db2.gz XIBUGAPYZMIMLW-UHFFFAOYSA-N 0 2 316.369 0.195 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cncnc1 ZINC001453415752 1007460970 /nfs/dbraw/zinc/46/09/70/1007460970.db2.gz MTWAIWDMCMVVRZ-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1cncnc1 ZINC001453415752 1007460980 /nfs/dbraw/zinc/46/09/80/1007460980.db2.gz MTWAIWDMCMVVRZ-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ncccn1 ZINC001453415850 1007461508 /nfs/dbraw/zinc/46/15/08/1007461508.db2.gz NBTMIAZIQOZJNT-SSDOTTSWSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1ncccn1 ZINC001453415850 1007461514 /nfs/dbraw/zinc/46/15/14/1007461514.db2.gz NBTMIAZIQOZJNT-SSDOTTSWSA-N 0 2 320.246 0.756 20 0 DCADLN C[C@H](NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1nc[nH]n1 ZINC001475162840 1017070411 /nfs/dbraw/zinc/07/04/11/1017070411.db2.gz YIAGLDLXUBNXKR-VIIUKITBSA-N 0 2 309.417 0.596 20 0 DCADLN CCCc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001440954019 1008140118 /nfs/dbraw/zinc/14/01/18/1008140118.db2.gz FKVFOOFCFZPHJI-SECBINFHSA-N 0 2 321.385 0.436 20 0 DCADLN CCCc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001440954019 1008140122 /nfs/dbraw/zinc/14/01/22/1008140122.db2.gz FKVFOOFCFZPHJI-SECBINFHSA-N 0 2 321.385 0.436 20 0 DCADLN Cc1ccnc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001433432436 1008779260 /nfs/dbraw/zinc/77/92/60/1008779260.db2.gz DJVLBGQUUUAPLR-HTQZYQBOSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccnc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001433432436 1008779271 /nfs/dbraw/zinc/77/92/71/1008779271.db2.gz DJVLBGQUUUAPLR-HTQZYQBOSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)nn1C ZINC001433552179 1008932198 /nfs/dbraw/zinc/93/21/98/1008932198.db2.gz UGGHGJHQSGHUCW-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)nn1C ZINC001433552179 1008932211 /nfs/dbraw/zinc/93/22/11/1008932211.db2.gz UGGHGJHQSGHUCW-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@@H]1CSCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433726929 1009124005 /nfs/dbraw/zinc/12/40/05/1009124005.db2.gz GMBRVBIGHGDTIC-SECBINFHSA-N 0 2 320.374 0.844 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001454872759 1009371412 /nfs/dbraw/zinc/37/14/12/1009371412.db2.gz FBBZVCSHAOCKLK-JTQLQIEISA-N 0 2 301.306 0.199 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001454872759 1009371425 /nfs/dbraw/zinc/37/14/25/1009371425.db2.gz FBBZVCSHAOCKLK-JTQLQIEISA-N 0 2 301.306 0.199 20 0 DCADLN CCCc1[nH]ccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442484881 1009402361 /nfs/dbraw/zinc/40/23/61/1009402361.db2.gz OFXNCAKNTFDXBM-UHFFFAOYSA-N 0 2 306.370 0.653 20 0 DCADLN CCCc1[nH]ccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442484881 1009402364 /nfs/dbraw/zinc/40/23/64/1009402364.db2.gz OFXNCAKNTFDXBM-UHFFFAOYSA-N 0 2 306.370 0.653 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1CCCCO1 ZINC001422154458 1009566831 /nfs/dbraw/zinc/56/68/31/1009566831.db2.gz JTXSDHQXCYPNTI-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1CCCCO1 ZINC001422154458 1009566839 /nfs/dbraw/zinc/56/68/39/1009566839.db2.gz JTXSDHQXCYPNTI-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN COC[C@@H](OC)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455283130 1009632179 /nfs/dbraw/zinc/63/21/79/1009632179.db2.gz VNVCHCTWCXCDBK-HTQZYQBOSA-N 0 2 318.267 0.123 20 0 DCADLN COC[C@@H](OC)C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001455283130 1009632191 /nfs/dbraw/zinc/63/21/91/1009632191.db2.gz VNVCHCTWCXCDBK-HTQZYQBOSA-N 0 2 318.267 0.123 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)CCCCc1c[nH]nn1 ZINC001455322341 1009657763 /nfs/dbraw/zinc/65/77/63/1009657763.db2.gz MZRXRJNRUMJIIR-UHFFFAOYSA-N 0 2 306.374 0.715 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)CCCCc1cn[nH]n1 ZINC001455322341 1009657789 /nfs/dbraw/zinc/65/77/89/1009657789.db2.gz MZRXRJNRUMJIIR-UHFFFAOYSA-N 0 2 306.374 0.715 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1c[nH]nc1[C@@H]1CCCO1 ZINC001434231023 1009680279 /nfs/dbraw/zinc/68/02/79/1009680279.db2.gz IABBNABBZHQCBU-QMMMGPOBSA-N 0 2 305.294 0.392 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@H](C)O1 ZINC001434280896 1009722884 /nfs/dbraw/zinc/72/28/84/1009722884.db2.gz CPDFHWXKNJBRJR-VDDIYKPWSA-N 0 2 318.333 0.562 20 0 DCADLN CCN1CC[C@H]1CNC(=O)c1cc(S(N)(=O)=O)oc1Cl ZINC001434326256 1009770784 /nfs/dbraw/zinc/77/07/84/1009770784.db2.gz QVFWYBDNTPCDHA-ZETCQYMHSA-N 0 2 321.786 0.404 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CC2CCCC2)[nH]1 ZINC001434386504 1009877724 /nfs/dbraw/zinc/87/77/24/1009877724.db2.gz XUMSUEKXLGTTNG-UHFFFAOYSA-N 0 2 300.384 0.546 20 0 DCADLN C[C@H](NC(=O)[C@@H]1COc2ccc(O)cc2O1)c1nn(C)cc1O ZINC001434407648 1009914667 /nfs/dbraw/zinc/91/46/67/1009914667.db2.gz PBWGCERNKZJSDA-SDBXPKJASA-N 0 2 319.317 0.849 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2nc(C3CC3)ns2)[nH]1 ZINC001434449879 1009967187 /nfs/dbraw/zinc/96/71/87/1009967187.db2.gz LODRRNQPHKAHAA-UHFFFAOYSA-N 0 2 314.396 0.690 20 0 DCADLN Cn1c(Cl)ncc1CNCc1n[nH]c(CS(C)(=O)=O)n1 ZINC001434517231 1010052311 /nfs/dbraw/zinc/05/23/11/1010052311.db2.gz PFYVWFAHASVJNO-UHFFFAOYSA-N 0 2 318.790 0.026 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc3c(c2)CCCC3=O)n1 ZINC001434608615 1010131286 /nfs/dbraw/zinc/13/12/86/1010131286.db2.gz BSFZFFACNCEXBJ-UHFFFAOYSA-N 0 2 307.335 0.530 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001422485739 1010161160 /nfs/dbraw/zinc/16/11/60/1010161160.db2.gz JYOGWJGJHOEQQK-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001422485739 1010161169 /nfs/dbraw/zinc/16/11/69/1010161169.db2.gz JYOGWJGJHOEQQK-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ncncc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001456288192 1010171150 /nfs/dbraw/zinc/17/11/50/1010171150.db2.gz SRNLGFYDCUABRU-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ncncc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001456288192 1010171157 /nfs/dbraw/zinc/17/11/57/1010171157.db2.gz SRNLGFYDCUABRU-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN CCC(CC)[C@H](C(=O)N[C@H](C(=O)[O-])[C@H](C)O)[NH+]1CCOCC1 ZINC001574473609 1163727247 /nfs/dbraw/zinc/72/72/47/1163727247.db2.gz AOPLXQGPVSRKSL-WCFLWFBJSA-N 0 2 316.398 0.074 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CCC1(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422516677 1010227732 /nfs/dbraw/zinc/22/77/32/1010227732.db2.gz BUHRYZRRNNFLHK-SFYZADRCSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CCC1(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422516677 1010227741 /nfs/dbraw/zinc/22/77/41/1010227741.db2.gz BUHRYZRRNNFLHK-SFYZADRCSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(Cl)cn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422588918 1010362380 /nfs/dbraw/zinc/36/23/80/1010362380.db2.gz ICYVPGGTSFZXRS-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(Cl)cn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422588918 1010362395 /nfs/dbraw/zinc/36/23/95/1010362395.db2.gz ICYVPGGTSFZXRS-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN CCN(C(=O)COCC1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443832356 1010690719 /nfs/dbraw/zinc/69/07/19/1010690719.db2.gz GEGKJCXMNDMQDB-LBPRGKRZSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)COCC1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443832356 1010690724 /nfs/dbraw/zinc/69/07/24/1010690724.db2.gz GEGKJCXMNDMQDB-LBPRGKRZSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@@H](CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001423106998 1010891954 /nfs/dbraw/zinc/89/19/54/1010891954.db2.gz FAPQCVYEMBSEBU-YUMQZZPRSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(CCc1ccncc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423106807 1010893270 /nfs/dbraw/zinc/89/32/70/1010893270.db2.gz ITVXEFLAPBPYLM-LBPRGKRZSA-N 0 2 316.365 0.229 20 0 DCADLN CCCc1noc(CNC2(CNC(=O)c3ccncn3)CC2)n1 ZINC001423283427 1011045231 /nfs/dbraw/zinc/04/52/31/1011045231.db2.gz DPAJZDLIPXBCRX-UHFFFAOYSA-N 0 2 316.365 0.864 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC001423380857 1011112708 /nfs/dbraw/zinc/11/27/08/1011112708.db2.gz RYFQXBBXUOFZQP-RYUDHWBXSA-N 0 2 323.397 0.739 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2cnn(C)c2)C1 ZINC001423401535 1011125155 /nfs/dbraw/zinc/12/51/55/1011125155.db2.gz HNDFRVCUWRWQDL-SNVBAGLBSA-N 0 2 308.279 0.963 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2cnn(C)c2)C1 ZINC001423401535 1011125158 /nfs/dbraw/zinc/12/51/58/1011125158.db2.gz HNDFRVCUWRWQDL-SNVBAGLBSA-N 0 2 308.279 0.963 20 0 DCADLN C[C@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C(C)(C)O ZINC001456992480 1011553854 /nfs/dbraw/zinc/55/38/54/1011553854.db2.gz LPVPFQGMNXROOY-QMMMGPOBSA-N 0 2 306.322 0.155 20 0 DCADLN Cc1cc(-c2nc(C(=O)NN3CC(=O)NC3=O)co2)ccn1 ZINC001457534105 1011737332 /nfs/dbraw/zinc/73/73/32/1011737332.db2.gz ZTIZOGUPLJLXMT-UHFFFAOYSA-N 0 2 301.262 0.242 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001432033910 1011888747 /nfs/dbraw/zinc/88/87/47/1011888747.db2.gz HIDPIUOUSDGAGO-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001432033910 1011888769 /nfs/dbraw/zinc/88/87/69/1011888769.db2.gz HIDPIUOUSDGAGO-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)N(C)C(=O)C(F)C(F)(F)F ZINC001424074197 1011953792 /nfs/dbraw/zinc/95/37/92/1011953792.db2.gz SZGPOPSPQWBYPJ-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001424074197 1011953798 /nfs/dbraw/zinc/95/37/98/1011953798.db2.gz SZGPOPSPQWBYPJ-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@@H]2CCCCO2)CC1 ZINC001535696082 1011961895 /nfs/dbraw/zinc/96/18/95/1011961895.db2.gz DFZUVXLJMWKNTO-ZDUSSCGKSA-N 0 2 320.393 0.595 20 0 DCADLN CCn1ncn(NC(=O)c2cnc3ccc(C)cc3c2O)c1=O ZINC001558991690 1012397920 /nfs/dbraw/zinc/39/79/20/1012397920.db2.gz TXBAKKPBUCZYMH-UHFFFAOYSA-N 0 2 313.317 0.599 20 0 DCADLN O=C(NCCc1ccco1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001538468593 1012416980 /nfs/dbraw/zinc/41/69/80/1012416980.db2.gz XNZPIQRLPRLKOW-UHFFFAOYSA-N 0 2 314.301 0.832 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnc(F)c(Cl)c1 ZINC001538626638 1012421687 /nfs/dbraw/zinc/42/16/87/1012421687.db2.gz AXZPROVVGXPPBB-UHFFFAOYSA-N 0 2 322.687 0.897 20 0 DCADLN COC[C@@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432392519 1012422509 /nfs/dbraw/zinc/42/25/09/1012422509.db2.gz TTYXNSMOJAUSRC-VXNVDRBHSA-N 0 2 314.279 0.839 20 0 DCADLN COC[C@@H](C)C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432392519 1012422527 /nfs/dbraw/zinc/42/25/27/1012422527.db2.gz TTYXNSMOJAUSRC-VXNVDRBHSA-N 0 2 314.279 0.839 20 0 DCADLN Cc1nc[nH]c1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001459016814 1012527292 /nfs/dbraw/zinc/52/72/92/1012527292.db2.gz IQRMXOCMYZFUIU-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1nc[nH]c1C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001459016814 1012527301 /nfs/dbraw/zinc/52/73/01/1012527301.db2.gz IQRMXOCMYZFUIU-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN COCc1n[nH]c(CNC(=O)NCCNc2cccc(C)[nH+]2)n1 ZINC001565031425 1012540030 /nfs/dbraw/zinc/54/00/30/1012540030.db2.gz WUDSAIJAFZGAFA-UHFFFAOYSA-N 0 2 319.369 0.566 20 0 DCADLN O=C(C=Cc1ccco1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001565499541 1012552389 /nfs/dbraw/zinc/55/23/89/1012552389.db2.gz DIPMLMOJJWNCHK-ONEGZZNKSA-N 0 2 303.322 0.461 20 0 DCADLN CC(=O)N(CCO)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001446093907 1012598579 /nfs/dbraw/zinc/59/85/79/1012598579.db2.gz FPCJDLASJXZJDR-ZJUUUORDSA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N(CCO)[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001446093907 1012598594 /nfs/dbraw/zinc/59/85/94/1012598594.db2.gz FPCJDLASJXZJDR-ZJUUUORDSA-N 0 2 314.279 0.719 20 0 DCADLN CCn1nncc1C[NH2+]C1(CNC(=O)Cn2cc[nH+]c2C)CC1 ZINC001446274108 1012715888 /nfs/dbraw/zinc/71/58/88/1012715888.db2.gz ICPWGQPGVGINOK-UHFFFAOYSA-N 0 2 317.397 0.242 20 0 DCADLN C[C@H](CNC(=O)CCn1cc[nH+]c1)N(C)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001508988891 1017130623 /nfs/dbraw/zinc/13/06/23/1017130623.db2.gz LTHOTYSZIBBGON-KGLIPLIRSA-N 0 2 321.425 0.331 20 0 DCADLN Cn1ccc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1=O ZINC001476627049 1017209138 /nfs/dbraw/zinc/20/91/38/1017209138.db2.gz OVYFLVDMYAJWLF-VIFPVBQESA-N 0 2 318.337 0.621 20 0 DCADLN C[C@H](NC(=O)C[N@@H+]1CC[C@H](C)C1)C1C[NH+](Cc2cncn2C)C1 ZINC001506656352 1017440840 /nfs/dbraw/zinc/44/08/40/1017440840.db2.gz SBZKHNPJUSQVJO-KBPBESRZSA-N 0 2 319.453 0.698 20 0 DCADLN CC(=O)N[C@H](C)CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001509942763 1017478598 /nfs/dbraw/zinc/47/85/98/1017478598.db2.gz TVSADAOYVBKTOJ-SNVBAGLBSA-N 0 2 318.381 0.845 20 0 DCADLN CCCCO[C@@H](C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001494537577 1017766412 /nfs/dbraw/zinc/76/64/12/1017766412.db2.gz VPJQYIFZVWEOML-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001494584845 1017812273 /nfs/dbraw/zinc/81/22/73/1017812273.db2.gz OIKMIDSWBCBSTR-ZCFIWIBFSA-N 0 2 307.276 0.257 20 0 DCADLN CCOCC[N@@H+]1CC[C@@H](N(CC)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001494895418 1018137924 /nfs/dbraw/zinc/13/79/24/1018137924.db2.gz WANTWZUFYZHQSP-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN CCOCC[N@H+]1CC[C@@H](N(CC)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001494895418 1018137931 /nfs/dbraw/zinc/13/79/31/1018137931.db2.gz WANTWZUFYZHQSP-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN O=C([C@H]1CCC[C@H](F)C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001480356860 1018463317 /nfs/dbraw/zinc/46/33/17/1018463317.db2.gz NMBRDHJHURAIJB-QWRGUYRKSA-N 0 2 311.361 0.683 20 0 DCADLN O=C(NC1CN(C(=O)c2cccc(=O)[nH]2)C1)C(F)C(F)(F)F ZINC001498223063 1018771227 /nfs/dbraw/zinc/77/12/27/1018771227.db2.gz JRXRITHYFDIHGI-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)c2cccc(=O)[nH]2)C1)[C@@H](F)C(F)(F)F ZINC001498223063 1018771240 /nfs/dbraw/zinc/77/12/40/1018771240.db2.gz JRXRITHYFDIHGI-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001493128545 1019123935 /nfs/dbraw/zinc/12/39/35/1019123935.db2.gz HYCNYOVSLNKROG-IAQYHMDHSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001493128545 1019123940 /nfs/dbraw/zinc/12/39/40/1019123940.db2.gz HYCNYOVSLNKROG-IAQYHMDHSA-N 0 2 305.382 0.947 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CCC[C@@H]1CNC(=O)Cn1cc[nH+]c1C ZINC001493162284 1019157958 /nfs/dbraw/zinc/15/79/58/1019157958.db2.gz YOWHVFUZTMFHDH-CHWSQXEVSA-N 0 2 307.398 0.036 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCC1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001498588992 1019247763 /nfs/dbraw/zinc/24/77/63/1019247763.db2.gz OWQYTHCUUKGWRC-UHFFFAOYSA-N 0 2 321.425 0.257 20 0 DCADLN COc1cc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)on1 ZINC001494389855 1020167214 /nfs/dbraw/zinc/16/72/14/1020167214.db2.gz SSVLPAQWZIZEJC-VIFPVBQESA-N 0 2 311.235 0.884 20 0 DCADLN COc1cc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)on1 ZINC001494389855 1020167222 /nfs/dbraw/zinc/16/72/22/1020167222.db2.gz SSVLPAQWZIZEJC-VIFPVBQESA-N 0 2 311.235 0.884 20 0 DCADLN Cn1[nH]c(C[NH2+][C@@H](Cc2cc3ccccc3o2)C(=O)[O-])nc1=O ZINC001608148015 1126235952 /nfs/dbraw/zinc/23/59/52/1126235952.db2.gz GTIMGAWXXGSMON-NSHDSACASA-N 0 2 316.317 0.640 20 0 DCADLN CC(C)(C)OC(=O)[C@@]1(C)CC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001609658875 1171061848 /nfs/dbraw/zinc/06/18/48/1171061848.db2.gz QZLFPLAEUBPNDK-AWEZNQCLSA-N 0 2 310.354 0.988 20 0 DCADLN CC(C)(C)OC(=O)[C@@]1(C)CC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001609658875 1171061855 /nfs/dbraw/zinc/06/18/55/1171061855.db2.gz QZLFPLAEUBPNDK-AWEZNQCLSA-N 0 2 310.354 0.988 20 0 DCADLN COCc1ncc(C(=O)NCCc2n[nH]c(=S)o2)s1 ZINC001639104356 1171822077 /nfs/dbraw/zinc/82/20/77/1171822077.db2.gz MPMIYBRUSDTMRS-UHFFFAOYSA-N 0 2 300.365 0.934 20 0 DCADLN COCCN(CCO)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000181263953 199273462 /nfs/dbraw/zinc/27/34/62/199273462.db2.gz MNCOFYATUWWTPH-UHFFFAOYSA-N 0 2 323.324 0.798 20 0 DCADLN CC[C@@H](CNS(C)(=O)=O)NC(=O)c1cc(F)c(O)c(F)c1 ZINC000295739593 529492793 /nfs/dbraw/zinc/49/27/93/529492793.db2.gz ZHKPHSSHYZRZLK-QMMMGPOBSA-N 0 2 322.333 0.728 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000566297402 291292418 /nfs/dbraw/zinc/29/24/18/291292418.db2.gz JVNUYFDABDJXLG-AVGNSLFASA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000566297402 291292419 /nfs/dbraw/zinc/29/24/19/291292419.db2.gz JVNUYFDABDJXLG-AVGNSLFASA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](CC[NH+]1CC(=O)N[C@H](C)C1)CC[N@@H+]1CC(=O)N[C@@H](C)C1 ZINC000566960574 291330210 /nfs/dbraw/zinc/33/02/10/291330210.db2.gz TXMPZVFYCPMUNM-BTTYYORXSA-N 0 2 310.442 0.043 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1ccc(C)c(C)c1 ZINC000421811184 240105089 /nfs/dbraw/zinc/10/50/89/240105089.db2.gz HXSNWMXYIVLRHN-CYBMUJFWSA-N 0 2 303.318 0.907 20 0 DCADLN Cc1ccc(-n2nnnc2N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1 ZINC000281886036 216610016 /nfs/dbraw/zinc/61/00/16/216610016.db2.gz OIDQJRSBUKCQLH-YPMHNXCESA-N 0 2 314.349 0.905 20 0 DCADLN CC[C@H](O)[C@H](CC)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000456633395 240926413 /nfs/dbraw/zinc/92/64/13/240926413.db2.gz UKVMIOBCWOSTCD-UWVGGRQHSA-N 0 2 306.322 0.715 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)Cc1cnn(C)c1 ZINC000493782996 241156931 /nfs/dbraw/zinc/15/69/31/241156931.db2.gz FNMWBSALIHWPJU-PLNGDYQASA-N 0 2 323.378 0.296 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C[C@H]1C(=O)[O-] ZINC000567863549 291385733 /nfs/dbraw/zinc/38/57/33/291385733.db2.gz UDOLQBPIARALPB-NXEZZACHSA-N 0 2 301.306 0.455 20 0 DCADLN CCN1C[C@@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC000568366427 291424168 /nfs/dbraw/zinc/42/41/68/291424168.db2.gz BNWUBFMROONAHX-UWVGGRQHSA-N 0 2 307.354 0.085 20 0 DCADLN CC(C)C[C@H](CCO)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274977808 212053866 /nfs/dbraw/zinc/05/38/66/212053866.db2.gz OUMZXBXHMFBVKT-VIFPVBQESA-N 0 2 302.400 0.351 20 0 DCADLN CS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000282594628 217113983 /nfs/dbraw/zinc/11/39/83/217113983.db2.gz UEXRNDIHSFCTCS-MRVPVSSYSA-N 0 2 305.302 0.930 20 0 DCADLN CCc1ccc([C@H](O)CNC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000288311009 220075930 /nfs/dbraw/zinc/07/59/30/220075930.db2.gz MACLQJABWSFMRF-LLVKDONJSA-N 0 2 322.390 0.602 20 0 DCADLN COCCCO[N-]C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000288346562 220098787 /nfs/dbraw/zinc/09/87/87/220098787.db2.gz VKHKWULRHBLKHZ-UHFFFAOYSA-N 0 2 318.333 0.895 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)N1CCCCCC1 ZINC000021814283 182292452 /nfs/dbraw/zinc/29/24/52/182292452.db2.gz ZXBOIQCLOXAUKW-NSHDSACASA-N 0 2 316.383 0.116 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)N1CCCCCC1 ZINC000021814283 182292454 /nfs/dbraw/zinc/29/24/54/182292454.db2.gz ZXBOIQCLOXAUKW-NSHDSACASA-N 0 2 316.383 0.116 20 0 DCADLN O=C(CCCCc1c[nH]nn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000339292591 253054229 /nfs/dbraw/zinc/05/42/29/253054229.db2.gz YJCIFFHQEAHETJ-JTQLQIEISA-N 0 2 319.369 0.747 20 0 DCADLN O=C(CCCCc1cn[nH]n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000339292591 253054231 /nfs/dbraw/zinc/05/42/31/253054231.db2.gz YJCIFFHQEAHETJ-JTQLQIEISA-N 0 2 319.369 0.747 20 0 DCADLN O=C(Nc1ccc(-c2nc(=O)o[nH]2)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000339396284 253077570 /nfs/dbraw/zinc/07/75/70/253077570.db2.gz NIOBNCFELDIMQV-SECBINFHSA-N 0 2 323.330 0.403 20 0 DCADLN Cc1cc(C(=O)N(CCO)CC2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000339767555 253140963 /nfs/dbraw/zinc/14/09/63/253140963.db2.gz QLYXPDDGRDDHHU-UHFFFAOYSA-N 0 2 318.333 0.589 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cc(OC)ccn2)cn1C ZINC000340582555 253280645 /nfs/dbraw/zinc/28/06/45/253280645.db2.gz LGABXLBCUWACTL-UHFFFAOYSA-N 0 2 324.362 0.589 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)s1 ZINC000340633102 253286575 /nfs/dbraw/zinc/28/65/75/253286575.db2.gz GRGZMGBHMKTUTA-ZETCQYMHSA-N 0 2 320.392 0.753 20 0 DCADLN O=C(Cc1c[nH]c2ncccc12)NCCCc1n[nH]c(=O)[nH]1 ZINC000340825723 253310010 /nfs/dbraw/zinc/31/00/10/253310010.db2.gz YAODWOQMIFCBCI-UHFFFAOYSA-N 0 2 300.322 0.678 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cccc2c1oc(=O)n2C ZINC000340948314 253326341 /nfs/dbraw/zinc/32/63/41/253326341.db2.gz HVJXIYPQWSFASX-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN Cc1nc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)no1 ZINC000346188771 254020537 /nfs/dbraw/zinc/02/05/37/254020537.db2.gz XYVZJPKFXNWNCN-UHFFFAOYSA-N 0 2 302.250 0.057 20 0 DCADLN C[C@](CO)(CNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000346302027 254034935 /nfs/dbraw/zinc/03/49/35/254034935.db2.gz VJQDAOMJFYNDJN-CQSZACIVSA-N 0 2 322.390 0.669 20 0 DCADLN CNC(=O)COc1ccc(NS(=O)(=O)c2c[nH]cn2)cc1 ZINC000346679100 254082273 /nfs/dbraw/zinc/08/22/73/254082273.db2.gz IDZPFAHZNYUWOP-UHFFFAOYSA-N 0 2 310.335 0.335 20 0 DCADLN CCOC(=O)C(CC)(CC)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000347967306 254206116 /nfs/dbraw/zinc/20/61/16/254206116.db2.gz BYXYFLCXCGKXJS-UHFFFAOYSA-N 0 2 316.383 0.841 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc(S(C)(=O)=O)cc2)no1 ZINC000351512861 254341599 /nfs/dbraw/zinc/34/15/99/254341599.db2.gz UQQVBBYZKNQJEH-UHFFFAOYSA-N 0 2 317.348 0.582 20 0 DCADLN CO[C@H]1CC[C@@H](NC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC000351688892 254358924 /nfs/dbraw/zinc/35/89/24/254358924.db2.gz ZVEHNLMCDIJOTI-MNOVXSKESA-N 0 2 304.350 0.557 20 0 DCADLN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC000275602822 291953055 /nfs/dbraw/zinc/95/30/55/291953055.db2.gz PVHFMENHNAGLCW-WDEREUQCSA-N 0 2 315.272 0.809 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2cc(F)c(F)c(O)c2F)CCO1 ZINC000316581847 533471890 /nfs/dbraw/zinc/47/18/90/533471890.db2.gz JLDWHRQAICWZFR-MRVPVSSYSA-N 0 2 319.235 0.824 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)nc1 ZINC000285264323 131153102 /nfs/dbraw/zinc/15/31/02/131153102.db2.gz PEGMOZDCLZYVEZ-QMMMGPOBSA-N 0 2 315.351 0.087 20 0 DCADLN CCc1nn(C)cc1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000091021586 395720638 /nfs/dbraw/zinc/72/06/38/395720638.db2.gz IYHIWDMXQVDIER-UHFFFAOYSA-N 0 2 311.367 0.221 20 0 DCADLN Cc1ccccc1S(O)=CC(=O)NCC(=O)N1CCCC1 ZINC000108087813 395786308 /nfs/dbraw/zinc/78/63/08/395786308.db2.gz NVJWHXDBJACYFU-NRFANRHFSA-N 0 2 308.403 0.841 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)NO[C@@H]1CCCCO1 ZINC000104151091 395777799 /nfs/dbraw/zinc/77/77/99/395777799.db2.gz YUSMRTOVOPBXPL-OAHLLOKOSA-N 0 2 320.349 0.756 20 0 DCADLN CC(C)(C)n1nc(C(=O)NN2CC(=O)NC2=O)cc1C1CC1 ZINC000048248579 395811675 /nfs/dbraw/zinc/81/16/75/395811675.db2.gz WSRYSEGEFAADQA-UHFFFAOYSA-N 0 2 305.338 0.712 20 0 DCADLN CC(C)N(CC(=O)[O-])C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000194950854 395812031 /nfs/dbraw/zinc/81/20/31/395812031.db2.gz XARKGTKLJFTVIL-UHFFFAOYSA-N 0 2 320.393 0.525 20 0 DCADLN Cc1c(C(=O)Nc2nnc(NS(C)(=O)=O)s2)cnn1C ZINC000048818857 395823734 /nfs/dbraw/zinc/82/37/34/395823734.db2.gz ZDROKEMDPFGIGU-UHFFFAOYSA-N 0 2 316.368 0.204 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCS[C@H]2COCC[C@H]21 ZINC000195425401 395826276 /nfs/dbraw/zinc/82/62/76/395826276.db2.gz WZSKDSNUGWALPK-SFYZADRCSA-N 0 2 320.358 0.589 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cccnc2OC)cn1C ZINC000055617881 395920569 /nfs/dbraw/zinc/92/05/69/395920569.db2.gz WLHGPKCKNGSVJW-UHFFFAOYSA-N 0 2 324.362 0.589 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(CC(F)(F)F)c2)cn1 ZINC000118301521 395861957 /nfs/dbraw/zinc/86/19/57/395861957.db2.gz SBEQTQPOQKINQP-UHFFFAOYSA-N 0 2 309.273 0.980 20 0 DCADLN COC(=O)c1ncsc1S(=O)(=O)N[C@@H](C)c1nnc[nH]1 ZINC000231520555 395884500 /nfs/dbraw/zinc/88/45/00/395884500.db2.gz ZEAFWXBLHKHDKA-YFKPBYRVSA-N 0 2 317.352 0.087 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(-c3nnnn3C)c2)cn1 ZINC000059772591 395959789 /nfs/dbraw/zinc/95/97/89/395959789.db2.gz WAJBWDZHISUEKK-UHFFFAOYSA-N 0 2 319.350 0.411 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2nc3ccccn3n2)cn1 ZINC000274716390 395970099 /nfs/dbraw/zinc/97/00/99/395970099.db2.gz CHKMHYAQMCHXIJ-UHFFFAOYSA-N 0 2 322.350 0.373 20 0 DCADLN CN(CC(=O)NOCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000247950417 395980490 /nfs/dbraw/zinc/98/04/90/395980490.db2.gz LPSBGHMLKAXHAO-CYBMUJFWSA-N 0 2 312.391 0.353 20 0 DCADLN C[N@H+](CC(=O)[N-]OCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000247950417 395980494 /nfs/dbraw/zinc/98/04/94/395980494.db2.gz LPSBGHMLKAXHAO-CYBMUJFWSA-N 0 2 312.391 0.353 20 0 DCADLN C[N@@H+](CC(=O)[N-]OCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000247950417 395980496 /nfs/dbraw/zinc/98/04/96/395980496.db2.gz LPSBGHMLKAXHAO-CYBMUJFWSA-N 0 2 312.391 0.353 20 0 DCADLN COC[C@H](O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000151745202 395991005 /nfs/dbraw/zinc/99/10/05/395991005.db2.gz RLZCIKXAJCIGLZ-LLVKDONJSA-N 0 2 309.297 0.454 20 0 DCADLN O=c1cc(CN(CCCO)CC(F)(F)F)nc2cc[nH]n21 ZINC000066501332 396009417 /nfs/dbraw/zinc/00/94/17/396009417.db2.gz XOLQKTAGKZILTK-UHFFFAOYSA-N 0 2 304.272 0.769 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000262633428 396165481 /nfs/dbraw/zinc/16/54/81/396165481.db2.gz HMFDFNGBCCJVDZ-QMMMGPOBSA-N 0 2 323.305 0.468 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000262633428 396165482 /nfs/dbraw/zinc/16/54/82/396165482.db2.gz HMFDFNGBCCJVDZ-QMMMGPOBSA-N 0 2 323.305 0.468 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCc1cncnc1N ZINC000279536266 396182030 /nfs/dbraw/zinc/18/20/30/396182030.db2.gz SQYCNVNPQPWGBN-QMMMGPOBSA-N 0 2 319.287 0.378 20 0 DCADLN CO[C@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CC1 ZINC000279535526 396182063 /nfs/dbraw/zinc/18/20/63/396182063.db2.gz MVQKESUNBCLESZ-LURJTMIESA-N 0 2 306.369 0.273 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1C[C@@H](O)Cc2ccccc21 ZINC000279584782 396185633 /nfs/dbraw/zinc/18/56/33/396185633.db2.gz SWQPOONBLVTYCZ-VIFPVBQESA-N 0 2 306.347 0.140 20 0 DCADLN Cc1nnc(NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)n1C ZINC000279832377 396186977 /nfs/dbraw/zinc/18/69/77/396186977.db2.gz VSEJBCPQCDQHFO-ZETCQYMHSA-N 0 2 307.276 0.765 20 0 DCADLN Cc1n[nH]c(NC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)n1 ZINC000264062969 396253163 /nfs/dbraw/zinc/25/31/63/396253163.db2.gz HABSZGGJPSGILB-QXEWZRGKSA-N 0 2 324.410 0.777 20 0 DCADLN CS(=O)(=O)[C@@H]1CC[N@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000282146255 396266466 /nfs/dbraw/zinc/26/64/66/396266466.db2.gz KWXYVJZASVLRIR-CYBMUJFWSA-N 0 2 312.391 0.353 20 0 DCADLN CS(=O)(=O)[C@@H]1CC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000282146255 396266468 /nfs/dbraw/zinc/26/64/68/396266468.db2.gz KWXYVJZASVLRIR-CYBMUJFWSA-N 0 2 312.391 0.353 20 0 DCADLN CS(=O)(=O)[C@@H]1CCN(CC(=O)NOCc2ccccc2)C1 ZINC000282146255 396266471 /nfs/dbraw/zinc/26/64/71/396266471.db2.gz KWXYVJZASVLRIR-CYBMUJFWSA-N 0 2 312.391 0.353 20 0 DCADLN COc1cc2nc(NC[C@](C)(O)C(=O)[O-])[nH+]c(N)c2cc1OC ZINC000263548526 396220918 /nfs/dbraw/zinc/22/09/18/396220918.db2.gz IXVJDEYWFUBKIS-AWEZNQCLSA-N 0 2 322.321 0.477 20 0 DCADLN Cc1nn(C)c2ncc(NS(=O)(=O)c3cnn(C)c3)cc12 ZINC000077704080 396229365 /nfs/dbraw/zinc/22/93/65/396229365.db2.gz JJWYDTPCITVDQV-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN O=C(N[C@@]1(CO)CCOC1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000185241659 396323107 /nfs/dbraw/zinc/32/31/07/396323107.db2.gz IHVIPSAGAGXHFQ-OAHLLOKOSA-N 0 2 321.308 0.598 20 0 DCADLN O=C(C[N@H+]1CC[C@H](CO)C1)N1CC[NH+](Cc2ccccc2)CC1 ZINC000265880321 396347636 /nfs/dbraw/zinc/34/76/36/396347636.db2.gz PITADIDUDZOZDX-KRWDZBQOSA-N 0 2 317.433 0.645 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)C1CC(=O)C1 ZINC000186650769 396354500 /nfs/dbraw/zinc/35/45/00/396354500.db2.gz QXXIJZKLBSVNCT-UHFFFAOYSA-N 0 2 300.318 0.979 20 0 DCADLN CC(C)c1cccc(N2CC(=O)C(C(=O)NC(CO)CO)=N2)c1 ZINC000186711641 396356301 /nfs/dbraw/zinc/35/63/01/396356301.db2.gz INRUSUGGTRUCHC-UHFFFAOYSA-N 0 2 319.361 0.784 20 0 DCADLN COc1ccc(CN(C)C(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000186779097 396357559 /nfs/dbraw/zinc/35/75/59/396357559.db2.gz RHTGEAYKUBDKDP-UHFFFAOYSA-N 0 2 308.363 0.857 20 0 DCADLN C[C@@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCS1 ZINC000191939606 396428071 /nfs/dbraw/zinc/42/80/71/396428071.db2.gz DXDIWGXJASRHSS-MRVPVSSYSA-N 0 2 320.374 0.579 20 0 DCADLN COCC(C)(C)CCCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000288076604 396440633 /nfs/dbraw/zinc/44/06/33/396440633.db2.gz GMMHSRBBOMRGCL-UHFFFAOYSA-N 0 2 302.400 0.759 20 0 DCADLN COC(=O)[C@@H]1C[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289017946 396453134 /nfs/dbraw/zinc/45/31/34/396453134.db2.gz JVVYUQQTBFVTFM-NXEZZACHSA-N 0 2 302.290 0.513 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)N[C@H]2CCCc3cn[nH]c32)co1 ZINC000270177121 396462462 /nfs/dbraw/zinc/46/24/62/396462462.db2.gz DZALKEILMYDWDU-JTQLQIEISA-N 0 2 324.362 0.718 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C(=O)OCC)cc2)c1O ZINC000290681833 396469778 /nfs/dbraw/zinc/46/97/78/396469778.db2.gz QDHHJFZGQDCHFH-LLVKDONJSA-N 0 2 320.301 0.851 20 0 DCADLN C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000290697060 396470348 /nfs/dbraw/zinc/47/03/48/396470348.db2.gz SFSPGEYDWUKFBX-WRWORJQWSA-N 0 2 306.347 0.829 20 0 DCADLN C[C@@H]([NH2+]C1CC1)C(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000581130911 396528725 /nfs/dbraw/zinc/52/87/25/396528725.db2.gz ZQTHQUBTAFFVRX-OCCSQVGLSA-N 0 2 303.410 0.650 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2nnc(C3CC3)o2)cn1 ZINC000581409115 396551611 /nfs/dbraw/zinc/55/16/11/396551611.db2.gz LBUUNKABQAMGHS-UHFFFAOYSA-N 0 2 313.339 0.591 20 0 DCADLN C[C@@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)Cc2ccccc2O1 ZINC000293938843 396643331 /nfs/dbraw/zinc/64/33/31/396643331.db2.gz REIXNZBDEROXEH-SECBINFHSA-N 0 2 320.374 1.000 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(CC(=O)NC)cc2)cn1 ZINC000589429639 396714319 /nfs/dbraw/zinc/71/43/19/396714319.db2.gz INGPFTHCOOINDC-UHFFFAOYSA-N 0 2 322.390 0.992 20 0 DCADLN CCCn1nc(C)c(C[N@@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@@H]3C2)c1C ZINC000563430960 396733228 /nfs/dbraw/zinc/73/32/28/396733228.db2.gz CPIPPSSMKUGRNI-HIFRSBDPSA-N 0 2 305.426 0.654 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C(=O)c2cnn(C)c2)s[nH]1 ZINC000634154411 396797231 /nfs/dbraw/zinc/79/72/31/396797231.db2.gz UYWQDIHPCIKHLY-UHFFFAOYSA-N 0 2 309.351 0.396 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@]2(O)CCSC2)s[nH]1 ZINC000634151624 396797525 /nfs/dbraw/zinc/79/75/25/396797525.db2.gz GKQOQQDVGYSLEB-NSHDSACASA-N 0 2 303.409 0.648 20 0 DCADLN O=C1Cc2cc(S(=O)(=O)Nc3cnn(CCO)c3)ccc2N1 ZINC000625080688 396766938 /nfs/dbraw/zinc/76/69/38/396766938.db2.gz PHONMNYWQXGOHQ-UHFFFAOYSA-N 0 2 322.346 0.171 20 0 DCADLN CCOC[C@@H]1C[N@@H+]([C@@H]2CCCCN(CC(=O)[O-])C2=O)CCO1 ZINC000629503876 396773518 /nfs/dbraw/zinc/77/35/18/396773518.db2.gz QZGSFVVCUIIMFR-QWHCGFSZSA-N 0 2 314.382 0.189 20 0 DCADLN CCOC[C@@H]1C[N@H+]([C@@H]2CCCCN(CC(=O)[O-])C2=O)CCO1 ZINC000629503876 396773523 /nfs/dbraw/zinc/77/35/23/396773523.db2.gz QZGSFVVCUIIMFR-QWHCGFSZSA-N 0 2 314.382 0.189 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@H](O)C[NH2+]Cc2cccc(C(N)=O)c2)C[C@H](C)O1 ZINC000564793431 396868279 /nfs/dbraw/zinc/86/82/79/396868279.db2.gz IIKJSBSGHRJLBN-DVOMOZLQSA-N 0 2 321.421 0.345 20 0 DCADLN C[C@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)[C@H]1CCO ZINC000618018400 396892189 /nfs/dbraw/zinc/89/21/89/396892189.db2.gz HKKAXQFQDHREMO-IUCAKERBSA-N 0 2 300.384 0.612 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)Nc1ccccc1-c1nnnn1C ZINC000634797863 396919014 /nfs/dbraw/zinc/91/90/14/396919014.db2.gz YMSNMAIZOXMWDN-VIFPVBQESA-N 0 2 311.367 0.654 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)NCc1ccc(OC)c(C(=O)[O-])c1 ZINC000630097986 396939950 /nfs/dbraw/zinc/93/99/50/396939950.db2.gz OFZDTNFZHYWKHK-ZDUSSCGKSA-N 0 2 322.361 0.730 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)NCc1ccc(OC)c(C(=O)[O-])c1 ZINC000630097986 396939956 /nfs/dbraw/zinc/93/99/56/396939956.db2.gz OFZDTNFZHYWKHK-ZDUSSCGKSA-N 0 2 322.361 0.730 20 0 DCADLN Cc1ccc2[nH+]c(CNC(=O)[C@@H]3CC[C@H](C(=O)[O-])O3)cn2c1 ZINC000571560539 396965661 /nfs/dbraw/zinc/96/56/61/396965661.db2.gz LRHWUGANTNTSEK-NWDGAFQWSA-N 0 2 303.318 0.891 20 0 DCADLN NS(=O)(=O)CCCS(=O)(=O)NC1(C(F)(F)F)CCC1 ZINC000349446255 397073590 /nfs/dbraw/zinc/07/35/90/397073590.db2.gz HGPIVKXGDMPXBJ-UHFFFAOYSA-N 0 2 324.346 0.069 20 0 DCADLN C[C@@H]1CC(=O)N[C@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000573449438 397169523 /nfs/dbraw/zinc/16/95/23/397169523.db2.gz SMLLGGRHHBSTTI-AEJSXWLSSA-N 0 2 307.354 0.131 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN1C[C@@H]2CCCCN2C1=O ZINC000620105878 397238201 /nfs/dbraw/zinc/23/82/01/397238201.db2.gz XHIGSMVEBSOOLD-LBPRGKRZSA-N 0 2 305.382 0.667 20 0 DCADLN COC(=O)c1ccc(N2N=C(C)[C@H](NC(C)=O)C2=O)c(F)c1 ZINC000601945897 397272244 /nfs/dbraw/zinc/27/22/44/397272244.db2.gz CEZRPGJKTVWMIE-LBPRGKRZSA-N 0 2 307.281 0.840 20 0 DCADLN COC(=O)c1ccc(-n2[nH]c(C)c(NC(C)=O)c2=O)c(F)c1 ZINC000601945897 397272250 /nfs/dbraw/zinc/27/22/50/397272250.db2.gz CEZRPGJKTVWMIE-LBPRGKRZSA-N 0 2 307.281 0.840 20 0 DCADLN C[C@H](c1ccccc1)[C@@H](O)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000620670240 397295432 /nfs/dbraw/zinc/29/54/32/397295432.db2.gz VKWNUOOEVRTKLY-KOLCDFICSA-N 0 2 322.390 0.883 20 0 DCADLN C[C@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@H](CO)O1 ZINC000613858346 397337581 /nfs/dbraw/zinc/33/75/81/397337581.db2.gz NJJDHSGJZVCFFK-JOYOIKCWSA-N 0 2 319.317 0.252 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+]1[C@@H](C)CC[C@H]1C(=O)[O-])C(=O)OC ZINC000593290510 397348659 /nfs/dbraw/zinc/34/86/59/397348659.db2.gz KXMSNPRGCVRPDJ-BLFANLJRSA-N 0 2 314.382 0.628 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+]1[C@@H](C)CC[C@H]1C(=O)[O-])C(=O)OC ZINC000593290510 397348664 /nfs/dbraw/zinc/34/86/64/397348664.db2.gz KXMSNPRGCVRPDJ-BLFANLJRSA-N 0 2 314.382 0.628 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000614179683 397393863 /nfs/dbraw/zinc/39/38/63/397393863.db2.gz SRTZWHXOEYVTBC-JTQLQIEISA-N 0 2 320.349 0.721 20 0 DCADLN COCC[N@@H+](C)C[C@H](O)C[NH+]1CCC(c2nc(C)no2)CC1 ZINC000578717563 397473132 /nfs/dbraw/zinc/47/31/32/397473132.db2.gz YNSFLJVPDHBGLU-AWEZNQCLSA-N 0 2 312.414 0.497 20 0 DCADLN C[C@H]1CCCCN1S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000175330606 299770432 /nfs/dbraw/zinc/77/04/32/299770432.db2.gz BZIQFZWVHSRWHO-QMMMGPOBSA-N 0 2 324.362 0.175 20 0 DCADLN CCCCN(CCOC)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000068458691 158043275 /nfs/dbraw/zinc/04/32/75/158043275.db2.gz SKYYHILAJIVWCU-UHFFFAOYSA-N 0 2 320.349 0.912 20 0 DCADLN Cc1ccc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)c(O)c1 ZINC000092258572 158112035 /nfs/dbraw/zinc/11/20/35/158112035.db2.gz ITXYVQILAUQPNR-UHFFFAOYSA-N 0 2 309.347 0.939 20 0 DCADLN O=c1[nH]nc(CN2CCN(c3ncnc4ccsc43)CC2)[nH]1 ZINC000092618834 158115224 /nfs/dbraw/zinc/11/52/24/158115224.db2.gz QJEXCXQGUDYYQA-UHFFFAOYSA-N 0 2 317.378 0.425 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnn(Cc2ccccc2)c1 ZINC000119157209 158183783 /nfs/dbraw/zinc/18/37/83/158183783.db2.gz UOGGRCQIASAKLV-UHFFFAOYSA-N 0 2 313.321 0.665 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCCC[C@H]1CO)c2=O ZINC000119366284 158185208 /nfs/dbraw/zinc/18/52/08/158185208.db2.gz VZKNTULRZQUSPT-JOYOIKCWSA-N 0 2 304.350 0.955 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCCC[C@@H]1CO)c2=O ZINC000119366161 158186249 /nfs/dbraw/zinc/18/62/49/158186249.db2.gz VZKNTULRZQUSPT-SKDRFNHKSA-N 0 2 304.350 0.955 20 0 DCADLN C[C@H]1CCCCN1S(=O)(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000128970218 158284804 /nfs/dbraw/zinc/28/48/04/158284804.db2.gz WXDXTLFOFBVANC-GXSJLCMTSA-N 0 2 316.383 0.114 20 0 DCADLN C[C@H]1CCCCN1S(=O)(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000128970218 158284806 /nfs/dbraw/zinc/28/48/06/158284806.db2.gz WXDXTLFOFBVANC-GXSJLCMTSA-N 0 2 316.383 0.114 20 0 DCADLN CC(C)NC(=O)CN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000132538526 158312048 /nfs/dbraw/zinc/31/20/48/158312048.db2.gz HPHICZHHMSBZOP-UHFFFAOYSA-N 0 2 305.338 0.271 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cc(F)ccc1-n1cccn1 ZINC000137419420 158346612 /nfs/dbraw/zinc/34/66/12/158346612.db2.gz MDMAXMCAZHWKCN-UHFFFAOYSA-N 0 2 317.284 0.745 20 0 DCADLN CC(C)C[C@H](CNC(=O)N[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000316513893 159002588 /nfs/dbraw/zinc/00/25/88/159002588.db2.gz XIYAKSJNPZYAFB-QWHCGFSZSA-N 0 2 315.414 0.753 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)NC3CCCC3)C2)[nH]1 ZINC000328724219 159023944 /nfs/dbraw/zinc/02/39/44/159023944.db2.gz AUBODCSYLHJGEH-VIFPVBQESA-N 0 2 315.399 0.467 20 0 DCADLN CO[C@H](C)c1nsc(NC[C@H]2CCCN2S(C)(=O)=O)n1 ZINC000328791151 159029588 /nfs/dbraw/zinc/02/95/88/159029588.db2.gz LTJCYBRPWBMWPE-RKDXNWHRSA-N 0 2 320.440 0.503 20 0 DCADLN Cn1cccc(C(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)c1=O ZINC000329197927 159072744 /nfs/dbraw/zinc/07/27/44/159072744.db2.gz VLXOWWNSWOVJBF-SNVBAGLBSA-N 0 2 303.322 0.576 20 0 DCADLN CN(C)C(=O)CN1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000368261560 159384091 /nfs/dbraw/zinc/38/40/91/159384091.db2.gz SUPWYAOZOYAUDN-SNVBAGLBSA-N 0 2 313.295 0.509 20 0 DCADLN CC[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H]1O ZINC000408015743 160011349 /nfs/dbraw/zinc/01/13/49/160011349.db2.gz DCWRSLMGAMDMTI-XVKPBYJWSA-N 0 2 304.306 0.279 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NS(=O)(=O)c2cc(C(N)=O)n(C)c2)C1 ZINC000408321652 160084810 /nfs/dbraw/zinc/08/48/10/160084810.db2.gz PWGZSLAQIZGKDX-BDAKNGLRSA-N 0 2 313.379 0.365 20 0 DCADLN C[C@@H]1CS(=O)(=O)CCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000172628182 297172000 /nfs/dbraw/zinc/17/20/00/297172000.db2.gz GISXYLLCBVJMOS-MRVPVSSYSA-N 0 2 313.404 0.604 20 0 DCADLN O=C(NCCOc1ccc(C(F)(F)F)cn1)c1nc(=O)[nH][nH]1 ZINC000134309931 287015868 /nfs/dbraw/zinc/01/58/68/287015868.db2.gz XQYIOMHOVNQSBT-UHFFFAOYSA-N 0 2 317.227 0.321 20 0 DCADLN COC(=O)CC[NH+]1CCN(CC[N@@H+]2CCO[C@H](C)C2)[C@@H](C)C1 ZINC000192790651 287060180 /nfs/dbraw/zinc/06/01/80/287060180.db2.gz VSXDPEQVKPLLGV-LSDHHAIUSA-N 0 2 313.442 0.276 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N(C)[C@@H]1CC[N@@H+](C)C[C@@H]1C ZINC000246366309 287082395 /nfs/dbraw/zinc/08/23/95/287082395.db2.gz QMSFRZLRDCRFQQ-RRFJBIMHSA-N 0 2 312.458 0.689 20 0 DCADLN CC(=O)N1CC[C@@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000358390277 287207854 /nfs/dbraw/zinc/20/78/54/287207854.db2.gz XFRUQWIVNRIJOW-SECBINFHSA-N 0 2 303.322 0.025 20 0 DCADLN COC(=O)CC1(NC(=O)c2c[nH]c3c(cnn3C)c2=O)CCC1 ZINC000358396198 287208411 /nfs/dbraw/zinc/20/84/11/287208411.db2.gz IVJNATGXAPWRPQ-UHFFFAOYSA-N 0 2 318.333 0.890 20 0 DCADLN COCC1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000352396106 415162706 /nfs/dbraw/zinc/16/27/06/415162706.db2.gz YDRNOBQRWUZNMX-UHFFFAOYSA-N 0 2 318.333 0.935 20 0 DCADLN Cc1ccccc1-n1nc(C(=O)Nn2cn[nH]c2=O)c2c1CCC2 ZINC000272041897 415272362 /nfs/dbraw/zinc/27/23/62/415272362.db2.gz CNEKONKKUUOCKV-UHFFFAOYSA-N 0 2 324.344 0.938 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nncs2)ccc1O ZINC000044493590 415223251 /nfs/dbraw/zinc/22/32/51/415223251.db2.gz VBBNKUZTDDSVKY-UHFFFAOYSA-N 0 2 315.332 0.831 20 0 DCADLN CC(C)[C@H](Sc1n[nH]c(=O)[nH]1)C(=O)NC[C@@H]1CCCO1 ZINC000332367059 415365540 /nfs/dbraw/zinc/36/55/40/415365540.db2.gz ZKQHYCLIGBNWES-IUCAKERBSA-N 0 2 300.384 0.922 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cccc3c2OCCO3)C1=O ZINC000278791758 415485991 /nfs/dbraw/zinc/48/59/91/415485991.db2.gz OCYFESQTTYWARM-OAHLLOKOSA-N 0 2 319.317 0.823 20 0 DCADLN Cn1c2ccc(NC(=O)C(N)C(F)(F)F)cc2n(C)c1=O ZINC000353136393 415450748 /nfs/dbraw/zinc/45/07/48/415450748.db2.gz XCRONQUSQSRODX-VIFPVBQESA-N 0 2 302.256 0.705 20 0 DCADLN Cn1c2ccc(NC(=O)[C@H](N)C(F)(F)F)cc2n(C)c1=O ZINC000353136393 415450759 /nfs/dbraw/zinc/45/07/59/415450759.db2.gz XCRONQUSQSRODX-VIFPVBQESA-N 0 2 302.256 0.705 20 0 DCADLN Cc1nnnn1-c1cc(NC(=O)C(N)C(F)(F)F)ccc1F ZINC000353193329 415467791 /nfs/dbraw/zinc/46/77/91/415467791.db2.gz ZSYBJYGHPYOBOK-SECBINFHSA-N 0 2 318.234 0.938 20 0 DCADLN Cc1nnnn1-c1cc(NC(=O)[C@@H](N)C(F)(F)F)ccc1F ZINC000353193329 415467805 /nfs/dbraw/zinc/46/78/05/415467805.db2.gz ZSYBJYGHPYOBOK-SECBINFHSA-N 0 2 318.234 0.938 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCC(C)(C)C(N)=O)c1 ZINC000424605366 287310284 /nfs/dbraw/zinc/31/02/84/287310284.db2.gz OMGYQQNZCXMRAE-NRFANRHFSA-N 0 2 312.391 0.431 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cnc([C@@H]2CCCO2)s1 ZINC000089845534 415523176 /nfs/dbraw/zinc/52/31/76/415523176.db2.gz MADQLZZUIQOPTP-QMMMGPOBSA-N 0 2 323.378 0.769 20 0 DCADLN Cn1nc(C(=O)Nc2nc(-c3ccccc3F)n[nH]2)ccc1=O ZINC000102824039 415602381 /nfs/dbraw/zinc/60/23/81/415602381.db2.gz UQTPANPKMXZHLY-UHFFFAOYSA-N 0 2 314.280 0.957 20 0 DCADLN Cc1n[nH]c(NC(=O)CCCS(=O)(=O)N2CCCC2)n1 ZINC000103257220 415614576 /nfs/dbraw/zinc/61/45/76/415614576.db2.gz HROGZCZVBJRKTF-UHFFFAOYSA-N 0 2 301.372 0.257 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)NCC2([NH+]3CCOCC3)CC2)CC[N@@H+]1C ZINC000333447893 415652796 /nfs/dbraw/zinc/65/27/96/415652796.db2.gz NBKAJMUSFWQLFV-ZIAGYGMSSA-N 0 2 310.442 0.633 20 0 DCADLN CCCN1C[C@H](C(=O)N2CC[C@H](C)[S@](=O)CC2)CC1=O ZINC000334023551 415789897 /nfs/dbraw/zinc/78/98/97/415789897.db2.gz FLWJBEZBCOOSJD-XXRNEEKGSA-N 0 2 300.424 0.614 20 0 DCADLN Nc1nc(C2CCCC2)ncc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000354516177 415802100 /nfs/dbraw/zinc/80/21/00/415802100.db2.gz SFDNLJIJZHIYPC-UHFFFAOYSA-N 0 2 303.326 0.470 20 0 DCADLN COc1cc(C(=O)N(C)C)ccc1NS(=O)(=O)c1cn[nH]c1 ZINC000426012778 287322068 /nfs/dbraw/zinc/32/20/68/287322068.db2.gz IFNAOCVXWOHMMQ-UHFFFAOYSA-N 0 2 324.362 0.921 20 0 DCADLN C[C@@H](C[S@](C)=O)N(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344392294 415902963 /nfs/dbraw/zinc/90/29/63/415902963.db2.gz LPDZGHORMNXIBG-PPRQPISWSA-N 0 2 310.379 0.513 20 0 DCADLN COc1cncc(S(=O)(=O)Nc2ccc3nncn3c2)c1 ZINC000337286083 415939518 /nfs/dbraw/zinc/93/95/18/415939518.db2.gz KJKZBFXQMGFINR-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN COC(=O)Cn1ncc(NC(=O)c2ccc3[nH]nnc3c2)c1C ZINC000356801462 415989927 /nfs/dbraw/zinc/98/99/27/415989927.db2.gz YVTNSIBTJKDYHT-UHFFFAOYSA-N 0 2 314.305 0.888 20 0 DCADLN Cn1cnc(NS(=O)(=O)Cc2ccccc2F)c1C(N)=O ZINC000356504204 415933162 /nfs/dbraw/zinc/93/31/62/415933162.db2.gz DBRAZIWNVUMNQI-UHFFFAOYSA-N 0 2 312.326 0.600 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(n2)CCC3)cc1C(N)=O ZINC000296540331 415933752 /nfs/dbraw/zinc/93/37/52/415933752.db2.gz FCCVPTOMYLWDSA-UHFFFAOYSA-N 0 2 320.374 0.809 20 0 DCADLN Cc1ncc(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)c(=O)[nH]1 ZINC000345128425 416049567 /nfs/dbraw/zinc/04/95/67/416049567.db2.gz PUMLITBBAFGYPF-UHFFFAOYSA-N 0 2 314.305 0.408 20 0 DCADLN CNC(=O)CCCN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000338153884 416051961 /nfs/dbraw/zinc/05/19/61/416051961.db2.gz XFDGEKPGTOOKSA-UHFFFAOYSA-N 0 2 318.333 0.628 20 0 DCADLN Cn1cc(Oc2ccccc2C(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC000338227192 416061974 /nfs/dbraw/zinc/06/19/74/416061974.db2.gz ISRJLEUFSVQFPB-UHFFFAOYSA-N 0 2 314.305 0.966 20 0 DCADLN CO[C@H](C)CS(=O)(=O)[N-]c1ccc([NH+](C)C)cc1C(N)=O ZINC000337794955 416010352 /nfs/dbraw/zinc/01/03/52/416010352.db2.gz CRDNTZPHZYNFPA-SECBINFHSA-N 0 2 315.395 0.628 20 0 DCADLN CC1(C)C(=O)NCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000162975487 416014442 /nfs/dbraw/zinc/01/44/42/416014442.db2.gz RFISWVSBBYPOHL-UHFFFAOYSA-N 0 2 314.345 0.929 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nc2cncnc2)c1 ZINC000344973943 416019592 /nfs/dbraw/zinc/01/95/92/416019592.db2.gz FYDMJGCKMOIHCL-UHFFFAOYSA-N 0 2 322.346 0.685 20 0 DCADLN CCC(CC)(NS(=O)(=O)NCC(F)(F)F)C(=O)OC ZINC000337963064 416028249 /nfs/dbraw/zinc/02/82/49/416028249.db2.gz HCSMCFZWNHHKKF-UHFFFAOYSA-N 0 2 306.306 0.705 20 0 DCADLN Cc1cc(NCc2n[nH]c(=O)[nH]2)n2nc(C(F)(F)F)nc2n1 ZINC000301552466 416087153 /nfs/dbraw/zinc/08/71/53/416087153.db2.gz HJACZDUHZUWQKV-UHFFFAOYSA-N 0 2 314.231 0.475 20 0 DCADLN O=S(=O)(Nc1ccc(F)cn1)c1ccc(-n2cnnn2)cc1 ZINC000345547926 416114082 /nfs/dbraw/zinc/11/40/82/416114082.db2.gz PWDRCXAVTRGQNV-UHFFFAOYSA-N 0 2 320.309 0.997 20 0 DCADLN COc1ccsc1S(=O)(=O)Nc1nc2ncccn2n1 ZINC000177763917 416151084 /nfs/dbraw/zinc/15/10/84/416151084.db2.gz NWTJSJZGOQTQNQ-UHFFFAOYSA-N 0 2 311.348 0.995 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N[C@@H](C)C(N)=O)=N1 ZINC000177708551 416152050 /nfs/dbraw/zinc/15/20/50/416152050.db2.gz KIEBUVYQMQKQDZ-QMMMGPOBSA-N 0 2 306.297 0.629 20 0 DCADLN COCC[NH2+]CC(=O)N1CC[NH+](Cc2cnc(C)s2)CC1 ZINC000178884040 416175792 /nfs/dbraw/zinc/17/57/92/416175792.db2.gz MWYQHCGUZUPRAG-UHFFFAOYSA-N 0 2 312.439 0.332 20 0 DCADLN C[C@@H](CN1CCCC1=O)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000181818834 416212521 /nfs/dbraw/zinc/21/25/21/416212521.db2.gz QHASTQGYDYELCP-VIFPVBQESA-N 0 2 317.349 0.415 20 0 DCADLN O=C(CCCNC(=O)c1ccccc1)NCc1n[nH]c(=O)[nH]1 ZINC000358353318 416227034 /nfs/dbraw/zinc/22/70/34/416227034.db2.gz IOPJEEFVMIVQMY-UHFFFAOYSA-N 0 2 303.322 0.337 20 0 DCADLN O=C(CC[C@@H]1Cc2ccccc2NC1=O)NCc1n[nH]c(=O)[nH]1 ZINC000358353355 416227113 /nfs/dbraw/zinc/22/71/13/416227113.db2.gz IRVNLUIGUOLKPQ-SNVBAGLBSA-N 0 2 315.333 0.718 20 0 DCADLN Cc1cc(C(=O)N(C)c2ccn(C)n2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000358437927 416238120 /nfs/dbraw/zinc/23/81/20/416238120.db2.gz CPBRQYPYZYELGN-UHFFFAOYSA-N 0 2 314.305 0.755 20 0 DCADLN C[C@H]1Oc2c(cccc2C(=O)NCc2n[nH]c(=O)[nH]2)NC1=O ZINC000358949933 416297270 /nfs/dbraw/zinc/29/72/70/416297270.db2.gz KFTYQXFOENHGLH-ZCFIWIBFSA-N 0 2 303.278 0.160 20 0 DCADLN C[C@H]1CN(C(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)C[C@@H]1O ZINC000359429615 416345777 /nfs/dbraw/zinc/34/57/77/416345777.db2.gz RTHQXSASOUICIQ-YUMQZZPRSA-N 0 2 312.395 0.035 20 0 DCADLN O=S(=O)(NC[C@@H](O)C1CCCCC1)NCC(F)(F)F ZINC000195282591 416325676 /nfs/dbraw/zinc/32/56/76/416325676.db2.gz IEDMFRZOKLFULF-SECBINFHSA-N 0 2 304.334 0.914 20 0 DCADLN NC(=O)[C@H]1CCCC[C@H]1NS(=O)(=O)NCC(F)(F)F ZINC000195336381 416326218 /nfs/dbraw/zinc/32/62/18/416326218.db2.gz YCVLKAXOJVLZNC-NKWVEPMBSA-N 0 2 303.306 0.017 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc2c([nH]c1=O)CCCCC2 ZINC000359826643 416360636 /nfs/dbraw/zinc/36/06/36/416360636.db2.gz AAUGJIJKQYDBNI-UHFFFAOYSA-N 0 2 303.322 0.810 20 0 DCADLN CO[C@@]1(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)CCOC1 ZINC000359828320 416361349 /nfs/dbraw/zinc/36/13/49/416361349.db2.gz OIXNBECOVJVNNQ-CQSZACIVSA-N 0 2 306.322 0.209 20 0 DCADLN CC(CO)(CO)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000583504188 416471796 /nfs/dbraw/zinc/47/17/96/416471796.db2.gz IIHBFSCWQPWQDO-UHFFFAOYSA-N 0 2 323.324 0.438 20 0 DCADLN C[C@@H]1CCc2onc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c2C1 ZINC000434492061 416480333 /nfs/dbraw/zinc/48/03/33/416480333.db2.gz MROUWDBZNCJJLE-MRVPVSSYSA-N 0 2 305.338 0.986 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])N1CC[C@@H](Nc2cc[nH+]c(C3CC3)n2)C1=O ZINC000583920479 416484505 /nfs/dbraw/zinc/48/45/05/416484505.db2.gz VXTDRSRCVSXWPR-YPMHNXCESA-N 0 2 318.377 1.476 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(OCC(F)F)n1 ZINC000361096473 416421066 /nfs/dbraw/zinc/42/10/66/416421066.db2.gz LXIFUWIVUBFMQH-UHFFFAOYSA-N 0 2 314.252 0.871 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nc3ccccn3n2)c[nH]1 ZINC000361521269 416444227 /nfs/dbraw/zinc/44/42/27/416444227.db2.gz AHBUEWOZZKAGHD-UHFFFAOYSA-N 0 2 321.318 0.645 20 0 DCADLN CC(C)[N@@H+]1CC[C@@H](N(C)C(=O)NCC[NH+]2CCOC[C@@H]2C)C1 ZINC000458315744 416450078 /nfs/dbraw/zinc/45/00/78/416450078.db2.gz ZMPCZQUIXJFWEG-LSDHHAIUSA-N 0 2 312.458 0.831 20 0 DCADLN O=C(NCCCN1CC[NH+]([C@H]2CCOC2)CC1)C(F)(F)F ZINC000362004991 416543785 /nfs/dbraw/zinc/54/37/85/416543785.db2.gz RWDGRDUWMBSWSO-NSHDSACASA-N 0 2 309.332 0.462 20 0 DCADLN O=C(NCCNC(=O)c1cnccn1)c1cc(F)c(O)c(F)c1 ZINC000436535334 416563235 /nfs/dbraw/zinc/56/32/35/416563235.db2.gz ZLTFYQDZIRSQCK-UHFFFAOYSA-N 0 2 322.271 0.620 20 0 DCADLN O=C(NC[C@@H]1CCCS1(=O)=O)c1cc(F)c(O)c(F)c1 ZINC000436962690 416577406 /nfs/dbraw/zinc/57/74/06/416577406.db2.gz AFOACDOELPZOGU-QMMMGPOBSA-N 0 2 305.302 0.977 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C\c1cccnc1 ZINC000493268847 416640537 /nfs/dbraw/zinc/64/05/37/416640537.db2.gz PVJNWQMRBFKJIE-PLNGDYQASA-N 0 2 308.319 0.479 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@H](NC(C)=O)C2)c1 ZINC000424899036 416673176 /nfs/dbraw/zinc/67/31/76/416673176.db2.gz YCMSDPFKAAWNSI-YTEVENLXSA-N 0 2 324.402 0.540 20 0 DCADLN CN(C)C(=O)c1cccc(C[NH+]2CCN(C(=O)C(=O)[O-])CC2)c1 ZINC000516869816 416751966 /nfs/dbraw/zinc/75/19/66/416751966.db2.gz PUEUZCFSCGIWCS-UHFFFAOYSA-N 0 2 319.361 0.117 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H]1CCO[C@]2(CCOC2)C1 ZINC000443044539 416727103 /nfs/dbraw/zinc/72/71/03/416727103.db2.gz PRTRXHKLPWVOFX-DTWKUNHWSA-N 0 2 318.317 0.311 20 0 DCADLN CN(C)c1nccc(CNS(=O)(=O)NCC(F)(F)F)n1 ZINC000443038625 416728195 /nfs/dbraw/zinc/72/81/95/416728195.db2.gz PDBUFVNPIQNRLY-UHFFFAOYSA-N 0 2 313.305 0.029 20 0 DCADLN CCN(C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)[C@@H]1CCNC1=O ZINC000443378877 416757770 /nfs/dbraw/zinc/75/77/70/416757770.db2.gz DWVBAIJPRNFVEA-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1cccc2[nH]nnc21)c1ccccn1 ZINC000615135039 416767235 /nfs/dbraw/zinc/76/72/35/416767235.db2.gz YVQFDRODIQEVET-ZDUSSCGKSA-N 0 2 311.301 0.997 20 0 DCADLN Cc1cnc(CNC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)o1 ZINC000426968853 416792944 /nfs/dbraw/zinc/79/29/44/416792944.db2.gz PGLTUKNBWQMZNR-UHFFFAOYSA-N 0 2 315.289 0.971 20 0 DCADLN CC(C)(C)c1cc(NC[C@@H](CO)[C@@H]2CCOC2)nc(C(=O)[O-])[nH+]1 ZINC000518776701 416877973 /nfs/dbraw/zinc/87/79/73/416877973.db2.gz IWDLHCVODKBCPZ-MNOVXSKESA-N 0 2 323.393 0.951 20 0 DCADLN CCN1CC[NH+](CCCCNC(=O)c2coc(C[NH3+])c2)CC1 ZINC000444271196 416827431 /nfs/dbraw/zinc/82/74/31/416827431.db2.gz XMLZZSBHHACQAR-UHFFFAOYSA-N 0 2 308.426 0.886 20 0 DCADLN CCOC(=O)c1ccc(S(=O)(=O)Nc2nc(C)n(C)n2)o1 ZINC000427626031 416832823 /nfs/dbraw/zinc/83/28/23/416832823.db2.gz KQWWDEHAIUCRQB-UHFFFAOYSA-N 0 2 314.323 0.694 20 0 DCADLN CCOc1ncccc1CNC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000518343459 416851084 /nfs/dbraw/zinc/85/10/84/416851084.db2.gz YPDUAHKIYPZNTN-CYBMUJFWSA-N 0 2 307.398 0.265 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cnn(CC(F)(F)F)c2)nn1C ZINC000518466417 416858601 /nfs/dbraw/zinc/85/86/01/416858601.db2.gz UMSUVMHBNLHBPU-UHFFFAOYSA-N 0 2 324.288 0.683 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc(=O)[nH]1 ZINC000520232921 416949128 /nfs/dbraw/zinc/94/91/28/416949128.db2.gz RMBCGZRIVKQQNI-SECBINFHSA-N 0 2 303.322 0.939 20 0 DCADLN C[N@@H+]1CCCN(CC(=O)N2CC[NH+](Cc3ccco3)CC2)CC1 ZINC000521574530 417014504 /nfs/dbraw/zinc/01/45/04/417014504.db2.gz JPOHQWCDQVJPAP-UHFFFAOYSA-N 0 2 320.437 0.561 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@H+]1C[C@@H](O)COCc1ccccc1F ZINC000565837763 417024090 /nfs/dbraw/zinc/02/40/90/417024090.db2.gz GTAAFGUFIGDVJY-OCCSQVGLSA-N 0 2 313.325 0.489 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@@H+]1C[C@@H](O)COCc1ccccc1F ZINC000565837763 417024101 /nfs/dbraw/zinc/02/41/01/417024101.db2.gz GTAAFGUFIGDVJY-OCCSQVGLSA-N 0 2 313.325 0.489 20 0 DCADLN CC(=O)N[C@@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000616373951 417032339 /nfs/dbraw/zinc/03/23/39/417032339.db2.gz NNQIHSZFSFPUPH-GFCCVEGCSA-N 0 2 316.317 0.381 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000616287403 417004354 /nfs/dbraw/zinc/00/43/54/417004354.db2.gz XQZTXQAFKVDQLM-UHFFFAOYSA-N 0 2 320.349 0.769 20 0 DCADLN CCC[C@@H](OC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000448767442 417096848 /nfs/dbraw/zinc/09/68/48/417096848.db2.gz LQYHUSDZKVACAT-ZCFIWIBFSA-N 0 2 308.385 0.663 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCc1ccccc1Cn1cccn1 ZINC000430655628 417055417 /nfs/dbraw/zinc/05/54/17/417055417.db2.gz PYHQWLRNQDHCBC-UHFFFAOYSA-N 0 2 316.361 0.564 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCc1ccccc1Cn1cccn1 ZINC000430655628 417055420 /nfs/dbraw/zinc/05/54/20/417055420.db2.gz PYHQWLRNQDHCBC-UHFFFAOYSA-N 0 2 316.361 0.564 20 0 DCADLN CO[C@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)Cc1ccccc1 ZINC000295759770 225205554 /nfs/dbraw/zinc/20/55/54/225205554.db2.gz OUDBTHRIYFFTKX-NSHDSACASA-N 0 2 322.390 0.564 20 0 DCADLN NC(=O)[C@H](Cc1ccccc1)NC(=O)c1cccc2[nH]nnc21 ZINC000526116651 417185395 /nfs/dbraw/zinc/18/53/95/417185395.db2.gz UZIJFULRIGYAIS-ZDUSSCGKSA-N 0 2 309.329 0.784 20 0 DCADLN CC(C)[N@H+]1CC[C@H](N(C)C(=O)C(=O)N(C)Cc2[nH]cc[nH+]2)C1 ZINC000632639937 417209133 /nfs/dbraw/zinc/20/91/33/417209133.db2.gz GPZUEDGLYJTZLN-LBPRGKRZSA-N 0 2 307.398 0.309 20 0 DCADLN COCC1(N(C)C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)CC1 ZINC000617471408 417223786 /nfs/dbraw/zinc/22/37/86/417223786.db2.gz GAHAFGYMKSKLCW-UHFFFAOYSA-N 0 2 318.333 0.995 20 0 DCADLN CN1CC[NH+](C)[C@H](CNC(=O)NC[C@H]2CC[N@@H+]2C2CCCC2)C1 ZINC000527742098 417274722 /nfs/dbraw/zinc/27/47/22/417274722.db2.gz AQRZPWGUWVSIRK-HZPDHXFCSA-N 0 2 323.485 0.548 20 0 DCADLN Cc1ccccc1-c1nsc(NCCNS(C)(=O)=O)n1 ZINC000527837604 417277934 /nfs/dbraw/zinc/27/79/34/417277934.db2.gz LMWUVBRNFKTVIM-UHFFFAOYSA-N 0 2 312.420 0.897 20 0 DCADLN COc1cccc([C@@H]2C[C@H]2NC(=O)N2CC[N@@H+](C)C[C@@H]2C[NH3+])c1 ZINC000569822144 417300792 /nfs/dbraw/zinc/30/07/92/417300792.db2.gz GMKILDWGUCBWPB-CWRNSKLLSA-N 0 2 318.421 0.835 20 0 DCADLN C[C@H]1C[N@H+](Cc2ccc(C(=O)[O-])s2)CCN1S(C)(=O)=O ZINC000570100321 417311393 /nfs/dbraw/zinc/31/13/93/417311393.db2.gz LSPYNDXHOWMFMC-VIFPVBQESA-N 0 2 318.420 0.912 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccc(C(=O)[O-])s2)CCN1S(C)(=O)=O ZINC000570100321 417311400 /nfs/dbraw/zinc/31/14/00/417311400.db2.gz LSPYNDXHOWMFMC-VIFPVBQESA-N 0 2 318.420 0.912 20 0 DCADLN C[C@H]1C[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@]2(CCOC2)O1 ZINC000570379015 417345120 /nfs/dbraw/zinc/34/51/20/417345120.db2.gz ZQAMJYPEBCCMPM-NHYWBVRUSA-N 0 2 304.350 0.402 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@]2(CCOC2)O1 ZINC000570379015 417345124 /nfs/dbraw/zinc/34/51/24/417345124.db2.gz ZQAMJYPEBCCMPM-NHYWBVRUSA-N 0 2 304.350 0.402 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)C(=O)c1cnn(C(C)(C)C)c1 ZINC000622118304 417401323 /nfs/dbraw/zinc/40/13/23/417401323.db2.gz QWXXAZPVSIMTNC-UHFFFAOYSA-N 0 2 307.310 0.568 20 0 DCADLN CC(C)[C@@H]1C[NH2+]CCN1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000529308088 417435225 /nfs/dbraw/zinc/43/52/25/417435225.db2.gz YYOGPTBPMVVZHT-AWEZNQCLSA-N 0 2 312.458 0.737 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000571212033 417435398 /nfs/dbraw/zinc/43/53/98/417435398.db2.gz LSWPDNGKYDKYDN-HBNTYKKESA-N 0 2 322.365 0.806 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cc(C(F)(F)F)nn2C)no1 ZINC000530153578 417544956 /nfs/dbraw/zinc/54/49/56/417544956.db2.gz KRFFCIGPJBUKMW-UHFFFAOYSA-N 0 2 311.245 0.931 20 0 DCADLN [NH3+][C@H]1C[C@@H]2C[N@H+](CCOCC(F)(F)C(F)F)CCN2C1=O ZINC000576173581 417588517 /nfs/dbraw/zinc/58/85/17/417588517.db2.gz VUTYVTAYYHFEIB-BDAKNGLRSA-N 0 2 313.295 0.147 20 0 DCADLN COCC[N@@H+](C)C[C@H](O)C[NH+]1CC(COc2ccccc2)C1 ZINC000575935270 417565811 /nfs/dbraw/zinc/56/58/11/417565811.db2.gz MBUSLVSZRNPUSC-INIZCTEOSA-N 0 2 308.422 0.936 20 0 DCADLN CCO[C@H]1C[C@H]1NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000575980552 417567300 /nfs/dbraw/zinc/56/73/00/417567300.db2.gz MHRYMOYBQKUHBB-APPZFPTMSA-N 0 2 304.306 0.652 20 0 DCADLN CC(=O)N1CC[C@H](NC(=O)N=c2nc(C(C)(C)C)[nH]s2)C1 ZINC000531621078 417631881 /nfs/dbraw/zinc/63/18/81/417631881.db2.gz FKJQZMJJPRYPKW-VIFPVBQESA-N 0 2 311.411 1.000 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000635510897 417684833 /nfs/dbraw/zinc/68/48/33/417684833.db2.gz VCWPTURDTOVPFO-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000635510897 417684838 /nfs/dbraw/zinc/68/48/38/417684838.db2.gz VCWPTURDTOVPFO-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN O=C([O-])c1coc(NC[C@]2([NH+]3CCOCC3)CCSC2)n1 ZINC000578511135 417685715 /nfs/dbraw/zinc/68/57/15/417685715.db2.gz KHQJBWGIKHFTQG-CYBMUJFWSA-N 0 2 313.379 0.993 20 0 DCADLN O=C(c1cnn2ncccc12)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000580434916 417701139 /nfs/dbraw/zinc/70/11/39/417701139.db2.gz WJQQBPPBOZTCQM-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](O)C2CCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000456874289 417667803 /nfs/dbraw/zinc/66/78/03/417667803.db2.gz XRBUFKRHSLMLEI-SNVBAGLBSA-N 0 2 318.333 0.635 20 0 DCADLN CC(=O)NCC(C)(C)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000651997476 417830215 /nfs/dbraw/zinc/83/02/15/417830215.db2.gz FYJWPGUHCWMGKH-SNVBAGLBSA-N 0 2 309.370 0.379 20 0 DCADLN CN1Cc2c(cccc2NS(=O)(=O)c2cnnn2C)C1=O ZINC000629300565 417774519 /nfs/dbraw/zinc/77/45/19/417774519.db2.gz MNAALMJAEXPNGV-UHFFFAOYSA-N 0 2 307.335 0.202 20 0 DCADLN CN(C)Cc1csc(NS(=O)(=O)c2cnnn2C)n1 ZINC000629351163 417785115 /nfs/dbraw/zinc/78/51/15/417785115.db2.gz VVUYOYKSECPNBE-UHFFFAOYSA-N 0 2 302.385 0.134 20 0 DCADLN O=C(CCN1CCCC1=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000646131054 417787010 /nfs/dbraw/zinc/78/70/10/417787010.db2.gz CPQYSFWXDFZTSA-SNVBAGLBSA-N 0 2 307.354 0.229 20 0 DCADLN CC[C@H](C)[C@@H](CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)OC ZINC000651832427 417791150 /nfs/dbraw/zinc/79/11/50/417791150.db2.gz PFJODTFAITWWKV-WDEREUQCSA-N 0 2 311.386 0.397 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N[C@@]34C[C@@H]3CCC4)cc-2c(=O)[nH]1 ZINC000657053674 417791801 /nfs/dbraw/zinc/79/18/01/417791801.db2.gz RQLOYCNKOWWXRF-CPFSXVBKSA-N 0 2 322.346 0.657 20 0 DCADLN CCO[C@@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@@H]1C ZINC000651836163 417792530 /nfs/dbraw/zinc/79/25/30/417792530.db2.gz LAOIDQCJGJSALJ-NWDGAFQWSA-N 0 2 323.397 0.493 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@H](C3CC3)[C@@H]2C2CC2)[nH]1 ZINC000651837363 417793126 /nfs/dbraw/zinc/79/31/26/417793126.db2.gz AGDHCOHCLZERNN-OLZOCXBDSA-N 0 2 317.393 0.866 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@@H](C)OC3(CCC3)C2)[nH]1 ZINC000651870799 417804203 /nfs/dbraw/zinc/80/42/03/417804203.db2.gz QHAKYORTPUNKTR-LLVKDONJSA-N 0 2 321.381 0.389 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2sccc2OC)[nH]1 ZINC000657105038 417811659 /nfs/dbraw/zinc/81/16/59/417811659.db2.gz XRIZMZWDSKLFQG-UHFFFAOYSA-N 0 2 318.380 0.500 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)N[C@]1(C(=O)[O-])CCSC1 ZINC000652062170 417841752 /nfs/dbraw/zinc/84/17/52/417841752.db2.gz AXYQQGURZBURFG-CQSZACIVSA-N 0 2 309.391 0.838 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)NC1(C2CCC2)CC1 ZINC000652091378 417846217 /nfs/dbraw/zinc/84/62/17/417846217.db2.gz GKMNOSGUPCWBFV-UHFFFAOYSA-N 0 2 303.366 0.424 20 0 DCADLN CC(C)c1nc(N(C)C)nc(N[C@@H]2C[C@H](C(=O)[O-])C[C@@H]2O)[nH+]1 ZINC000662897075 417940496 /nfs/dbraw/zinc/94/04/96/417940496.db2.gz MYYXVMSBRHWWKR-AEJSXWLSSA-N 0 2 309.370 0.697 20 0 DCADLN CC(C)c1nc(N[C@@H]2C[C@H](C(=O)[O-])C[C@@H]2O)nc(N(C)C)[nH+]1 ZINC000662897075 417940499 /nfs/dbraw/zinc/94/04/99/417940499.db2.gz MYYXVMSBRHWWKR-AEJSXWLSSA-N 0 2 309.370 0.697 20 0 DCADLN C[NH+]1C[C@@H]2CCN(C(=O)[C@@H]3COCC[N@@H+]3C3CCCC3)[C@@H]2C1 ZINC000646940814 417956463 /nfs/dbraw/zinc/95/64/63/417956463.db2.gz NUDWFQYIWMWANF-IMJJTQAJSA-N 0 2 307.438 0.792 20 0 DCADLN CCc1nc(S(=O)(=O)Nc2ncn(C)n2)cn1CC1CC1 ZINC000663298621 418008440 /nfs/dbraw/zinc/00/84/40/418008440.db2.gz XQIMLXKFSQVVQS-UHFFFAOYSA-N 0 2 310.383 0.785 20 0 DCADLN CCS(=O)(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)[C@H](C)C1 ZINC000647455357 418012324 /nfs/dbraw/zinc/01/23/24/418012324.db2.gz YBVQZGMLXWGIRE-SNVBAGLBSA-N 0 2 313.379 0.640 20 0 DCADLN COc1cccc(CCNC(=O)N=c2nc(C)n(C)[nH]2)c1OC ZINC000653246095 418047285 /nfs/dbraw/zinc/04/72/85/418047285.db2.gz MFFISUGDDPULGB-UHFFFAOYSA-N 0 2 319.365 0.927 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000663104574 417991141 /nfs/dbraw/zinc/99/11/41/417991141.db2.gz AJIUVZTXRBASHH-HNNXBMFYSA-N 0 2 323.349 0.024 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ccc(C(=O)[O-])nc1 ZINC000663126687 417993390 /nfs/dbraw/zinc/99/33/90/417993390.db2.gz JDKFKZIXXZKPBN-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ccc(C(=O)[O-])nc1 ZINC000663126687 417993392 /nfs/dbraw/zinc/99/33/92/417993392.db2.gz JDKFKZIXXZKPBN-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCN(Cc2nc[nH]n2)CC1 ZINC000652900496 417993508 /nfs/dbraw/zinc/99/35/08/417993508.db2.gz OSCNJTBKCOUOMJ-UHFFFAOYSA-N 0 2 323.303 0.747 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652900496 417993511 /nfs/dbraw/zinc/99/35/11/417993511.db2.gz OSCNJTBKCOUOMJ-UHFFFAOYSA-N 0 2 323.303 0.747 20 0 DCADLN Cc1[nH+]c2cc(NC(=O)C(=O)N[C@H](C)C(=O)[O-])ccc2n1C ZINC000659062221 418051799 /nfs/dbraw/zinc/05/17/99/418051799.db2.gz DEFIMVAALVBRSQ-SSDOTTSWSA-N 0 2 304.306 0.410 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)c2ccc(C(=O)[O-])nc2)CCO1 ZINC000653304647 418056083 /nfs/dbraw/zinc/05/60/83/418056083.db2.gz SPLQNIJTMRSLLO-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)c2ccc(C(=O)[O-])nc2)CCO1 ZINC000653304647 418056087 /nfs/dbraw/zinc/05/60/87/418056087.db2.gz SPLQNIJTMRSLLO-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN C[C@@H](NC(=O)NCC1(C(=O)[O-])CCC1)[C@@H](C)[NH+]1CCOCC1 ZINC000659396009 418091056 /nfs/dbraw/zinc/09/10/56/418091056.db2.gz CRIBFENRDBQJNG-VXGBXAGGSA-N 0 2 313.398 0.650 20 0 DCADLN CC(C)(C)n1nnc(CN2CCC[C@@H](C3N=NC(=O)O3)C2)n1 ZINC000653657416 418099933 /nfs/dbraw/zinc/09/99/33/418099933.db2.gz WPNGURGPPTXMDM-SECBINFHSA-N 0 2 307.358 0.896 20 0 DCADLN CC1(C)Cc2cc(C(=O)N=c3cc(C(N)=O)[nH][nH]3)ccc2O1 ZINC000648831798 418163326 /nfs/dbraw/zinc/16/33/26/418163326.db2.gz LULWTULMTDVLRP-UHFFFAOYSA-N 0 2 300.318 0.896 20 0 DCADLN C[C@@H]1CC(=O)N[C@H](C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)C1 ZINC000648887923 418170213 /nfs/dbraw/zinc/17/02/13/418170213.db2.gz FIEYGCXYSLHKEA-WPRPVWTQSA-N 0 2 307.354 0.131 20 0 DCADLN C[N@@H+]1CCO[C@@H](CN(CC2CC2)c2cncc(C(=O)[O-])n2)C1 ZINC000649396763 418250195 /nfs/dbraw/zinc/25/01/95/418250195.db2.gz WKAYLBGXLUWJGW-GFCCVEGCSA-N 0 2 306.366 0.722 20 0 DCADLN C[N@H+]1CCO[C@@H](CN(CC2CC2)c2cncc(C(=O)[O-])n2)C1 ZINC000649396763 418250198 /nfs/dbraw/zinc/25/01/98/418250198.db2.gz WKAYLBGXLUWJGW-GFCCVEGCSA-N 0 2 306.366 0.722 20 0 DCADLN CCCCNc1cc(N2CCC(O)(C(=O)[O-])CC2)nc(N)[nH+]1 ZINC000649402276 418250913 /nfs/dbraw/zinc/25/09/13/418250913.db2.gz PLTYWXOMWWCPFD-UHFFFAOYSA-N 0 2 309.370 0.517 20 0 DCADLN CCCCNc1cc(N2CCC(O)(C(=O)[O-])CC2)[nH+]c(N)n1 ZINC000649402276 418250915 /nfs/dbraw/zinc/25/09/15/418250915.db2.gz PLTYWXOMWWCPFD-UHFFFAOYSA-N 0 2 309.370 0.517 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)NC[C@@H](C(=O)[O-])C(C)C)c[nH+]1 ZINC000655996775 418313427 /nfs/dbraw/zinc/31/34/27/418313427.db2.gz WVFMMYFFRAIXMO-CYBMUJFWSA-N 0 2 321.377 0.788 20 0 DCADLN Cc1cc(C(=O)N(C[C@H](C)O)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000655374414 418257365 /nfs/dbraw/zinc/25/73/65/418257365.db2.gz LPDDHCXEVVQIQX-QMMMGPOBSA-N 0 2 318.333 0.730 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H](C)COc2ccccc2)[nH]n1 ZINC000650817435 418326245 /nfs/dbraw/zinc/32/62/45/418326245.db2.gz CEMYUFJTOQUZEN-LLVKDONJSA-N 0 2 314.345 0.912 20 0 DCADLN Cc1cnc(-c2cnn(C)c2NS(=O)(=O)c2ccnn2C)o1 ZINC000656185411 418334787 /nfs/dbraw/zinc/33/47/87/418334787.db2.gz AXAICPQAPXGECG-UHFFFAOYSA-N 0 2 322.350 0.918 20 0 DCADLN O=C(NCCn1cc[nH+]c1)C(=O)N[C@H]1CCC[N@@H+]2CCCC[C@@H]12 ZINC000656286684 418340039 /nfs/dbraw/zinc/34/00/39/418340039.db2.gz PBOMNTMOLFVOSZ-KBPBESRZSA-N 0 2 319.409 0.132 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H]2CCCC(F)(F)C2)[nH]1 ZINC000651628181 418398365 /nfs/dbraw/zinc/39/83/65/418398365.db2.gz CMORPVXPAFSKGM-VIFPVBQESA-N 0 2 315.324 0.914 20 0 DCADLN CCn1ccc(NS(=O)(=O)CC2(OC)CCOCC2)n1 ZINC000656634693 418367960 /nfs/dbraw/zinc/36/79/60/418367960.db2.gz DEFIIIQRUPFNBQ-UHFFFAOYSA-N 0 2 303.384 0.840 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc3c(c2)CCNC3=O)cnn1C ZINC000656632947 418368198 /nfs/dbraw/zinc/36/81/98/418368198.db2.gz NOSOEXIXQGIXGY-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000656849962 418378994 /nfs/dbraw/zinc/37/89/94/418378994.db2.gz OXOZMCJCGBUJDH-HNNXBMFYSA-N 0 2 307.350 0.656 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCC[C@@H](OCC(F)(F)F)C2)C1=O ZINC000662201680 418387625 /nfs/dbraw/zinc/38/76/25/418387625.db2.gz KFSMNSWPOQXOEQ-ZJUUUORDSA-N 0 2 324.299 0.715 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCC[C@@H](OCC(F)(F)F)C2)C1=O ZINC000662201680 418387626 /nfs/dbraw/zinc/38/76/26/418387626.db2.gz KFSMNSWPOQXOEQ-ZJUUUORDSA-N 0 2 324.299 0.715 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCN(c3ccc(O)cc3)CC2)C1=O ZINC000662203533 418388238 /nfs/dbraw/zinc/38/82/38/418388238.db2.gz FIYNYBYQUCRYLK-AWEZNQCLSA-N 0 2 319.361 0.200 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@@H](C)OC(C)(C)C2)[nH]1 ZINC000651536680 418388402 /nfs/dbraw/zinc/38/84/02/418388402.db2.gz DZWQFMNZGGBDTM-SNVBAGLBSA-N 0 2 309.370 0.245 20 0 DCADLN Cc1cccc(CCNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)c1 ZINC000651549748 418389854 /nfs/dbraw/zinc/38/98/54/418389854.db2.gz ZZJOSGHWVGUPBK-UHFFFAOYSA-N 0 2 315.377 0.887 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@H](O)CC(C)(C)C)[nH]1 ZINC000651574099 418393106 /nfs/dbraw/zinc/39/31/06/418393106.db2.gz VIVXAXRQFWVUBA-SNVBAGLBSA-N 0 2 311.386 0.133 20 0 DCADLN CO[C@@H]1CCC[C@@H]1CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651653948 418400617 /nfs/dbraw/zinc/40/06/17/418400617.db2.gz YJLXWEBNYOPGKG-GHMZBOCLSA-N 0 2 309.370 0.151 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)C[C@H]2CCCC[C@@H]2O)[nH]1 ZINC000651656281 418401516 /nfs/dbraw/zinc/40/15/16/418401516.db2.gz YHWQTUSXDLHVIN-NEPJUHHUSA-N 0 2 323.397 0.229 20 0 DCADLN O=C(/C=C\c1cccnc1)NCC(=O)NOCC(F)(F)F ZINC000492182121 287569511 /nfs/dbraw/zinc/56/95/11/287569511.db2.gz NSDGOSIPJVUOPA-ARJAWSKDSA-N 0 2 303.240 0.821 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1CNC(=O)NC[C@@H](C)[NH+]1CCOCC1 ZINC000111452850 261108433 /nfs/dbraw/zinc/10/84/33/261108433.db2.gz SORURRLTHTUWBM-HUUCEWRRSA-N 0 2 312.458 0.881 20 0 DCADLN CCOC(=O)[C@H](C)N(Cc1cc(=O)n2[nH]ccc2n1)C1CC1 ZINC000190828948 261135556 /nfs/dbraw/zinc/13/55/56/261135556.db2.gz AGONGYWDZKNRPL-JTQLQIEISA-N 0 2 304.350 0.939 20 0 DCADLN Cc1nnc(CCNC(=O)C2=NN(c3ccccc3)CC2=O)[nH]1 ZINC000354483480 261225113 /nfs/dbraw/zinc/22/51/13/261225113.db2.gz XKMXOHFSPOMHGS-UHFFFAOYSA-N 0 2 312.333 0.977 20 0 DCADLN O=C(N[C@@H]1CC[S@@](=O)C1)C1=NN(c2ccccc2)CC1=O ZINC000354723061 261262930 /nfs/dbraw/zinc/26/29/30/261262930.db2.gz ZNKHRJYLEXMUIR-LADRHHBVSA-N 0 2 305.359 0.829 20 0 DCADLN CNC(=O)[C@@H]1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000355569660 261347911 /nfs/dbraw/zinc/34/79/11/261347911.db2.gz GHOVFZGKPZUPBC-SECBINFHSA-N 0 2 317.349 0.272 20 0 DCADLN O=c1[nH]c2ccc(S(=O)(=O)Nc3nccs3)cc2[nH]c1=O ZINC000357520751 261551383 /nfs/dbraw/zinc/55/13/83/261551383.db2.gz COCHLEFIKAQOAS-UHFFFAOYSA-N 0 2 324.343 0.474 20 0 DCADLN Cn1cc(NS(=O)(=O)c2cccc(-c3nnnn3C)c2)cn1 ZINC000358850587 261705957 /nfs/dbraw/zinc/70/59/57/261705957.db2.gz AVLGEIRTBAXMNF-UHFFFAOYSA-N 0 2 319.350 0.411 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N3C[C@H](CO)[C@H](O)C3)c[nH]c12 ZINC000412423177 262188291 /nfs/dbraw/zinc/18/82/91/262188291.db2.gz SQDSAYCRQDHVQL-ZWNOBZJWSA-N 0 2 302.330 0.262 20 0 DCADLN COC[C@@H]1C[C@H](O)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000413904047 262227880 /nfs/dbraw/zinc/22/78/80/262227880.db2.gz HWZGWDKPZSZUIQ-STQMWFEESA-N 0 2 317.345 0.800 20 0 DCADLN COC(=O)C1(NC(=O)c2c[nH]c3c(cnn3C)c2=O)CCCC1 ZINC000355619752 271017140 /nfs/dbraw/zinc/01/71/40/271017140.db2.gz QPUXMFIDVVFUEH-UHFFFAOYSA-N 0 2 318.333 0.890 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCSCCCO)c2=O ZINC000355726293 271023533 /nfs/dbraw/zinc/02/35/33/271023533.db2.gz JIGZIVFPYQTGTD-UHFFFAOYSA-N 0 2 310.379 0.519 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC(F)(F)C(F)F ZINC000360944138 271167537 /nfs/dbraw/zinc/16/75/37/271167537.db2.gz YNGKYXQVFPVAAR-UHFFFAOYSA-N 0 2 320.246 0.890 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCCc2n[nH]cc21 ZINC000447635728 271794466 /nfs/dbraw/zinc/79/44/66/271794466.db2.gz CSYWUSLRUUPMED-UHFFFAOYSA-N 0 2 312.289 0.752 20 0 DCADLN O=C(Nc1ccc2nn[nH]c2c1)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000471904233 272033081 /nfs/dbraw/zinc/03/30/81/272033081.db2.gz AXXSCANIAODBIB-UHFFFAOYSA-N 0 2 322.284 0.740 20 0 DCADLN CCn1nccc1/C=C/C(=O)NS(=O)(=O)c1ccnn1C ZINC000492595441 272137055 /nfs/dbraw/zinc/13/70/55/272137055.db2.gz VGTQFWYZJPPZKB-SNAWJCMRSA-N 0 2 309.351 0.155 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)c1ccnn1C ZINC000492629974 272138545 /nfs/dbraw/zinc/13/85/45/272138545.db2.gz HCFRINGBPHOWFF-PLNGDYQASA-N 0 2 309.351 0.155 20 0 DCADLN O=C(C=Cc1cnccn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000493162555 272172167 /nfs/dbraw/zinc/17/21/67/272172167.db2.gz JRMRLKXYVYJPQH-UPHRSURJSA-N 0 2 300.322 0.720 20 0 DCADLN C[C@H](CONC(=O)CNC(=O)C1CC1)NC(=O)OC(C)(C)C ZINC000495235879 272242427 /nfs/dbraw/zinc/24/24/27/272242427.db2.gz DPGVVSHTLOLDOG-SECBINFHSA-N 0 2 315.370 0.474 20 0 DCADLN CN(CCC(=O)OCc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000495694234 272266465 /nfs/dbraw/zinc/26/64/65/272266465.db2.gz YTAJDCRQMBCCRQ-UHFFFAOYSA-N 0 2 300.315 0.811 20 0 DCADLN C/C=C/C[C@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000519773711 287682711 /nfs/dbraw/zinc/68/27/11/287682711.db2.gz OEEZFCXPJOAAQW-JDGPPOGSSA-N 0 2 320.411 0.048 20 0 DCADLN C/C=C\C[C@@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000519773726 287682763 /nfs/dbraw/zinc/68/27/63/287682763.db2.gz OEEZFCXPJOAAQW-ZZKXABKFSA-N 0 2 320.411 0.048 20 0 DCADLN O=C(N=c1nc(-c2cccnc2)[nH]s1)c1ccc2nnnn2c1 ZINC000534436229 287816008 /nfs/dbraw/zinc/81/60/08/287816008.db2.gz HAOFFFJCLDDWGS-UHFFFAOYSA-N 0 2 324.329 0.712 20 0 DCADLN O=C(NC[C@H](O)[C@H]1CCOC1)c1cc(F)c(F)c(O)c1F ZINC000544557835 287977522 /nfs/dbraw/zinc/97/75/22/287977522.db2.gz GEBFJROBEHMFNA-RCOVLWMOSA-N 0 2 305.252 0.937 20 0 DCADLN O=C(NC[C@@H](O)[C@@H]1CCOC1)c1cc(F)c(F)c(O)c1F ZINC000544557832 287977595 /nfs/dbraw/zinc/97/75/95/287977595.db2.gz GEBFJROBEHMFNA-HZGVNTEJSA-N 0 2 305.252 0.937 20 0 DCADLN CC(=O)N[C@@H]1CC[C@@H](C(F)(F)F)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC000352093491 278148584 /nfs/dbraw/zinc/14/85/84/278148584.db2.gz QOKDZGSQXCJXBH-SFYZADRCSA-N 0 2 307.276 0.542 20 0 DCADLN Cc1ccccc1CS(=O)(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000547876226 288087071 /nfs/dbraw/zinc/08/70/71/288087071.db2.gz XXHWQQDTDOWZHI-CYBMUJFWSA-N 0 2 323.374 0.833 20 0 DCADLN Cc1ccccc1CS(=O)(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000547876226 288087073 /nfs/dbraw/zinc/08/70/73/288087073.db2.gz XXHWQQDTDOWZHI-CYBMUJFWSA-N 0 2 323.374 0.833 20 0 DCADLN CC[C@H]1CCC[C@@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000162611634 288225080 /nfs/dbraw/zinc/22/50/80/288225080.db2.gz GYOPKRVFQMYREY-KWQFWETISA-N 0 2 302.334 0.920 20 0 DCADLN CC[C@H]1CCC[C@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000162611899 288231459 /nfs/dbraw/zinc/23/14/59/288231459.db2.gz GYOPKRVFQMYREY-GZMMTYOYSA-N 0 2 302.334 0.920 20 0 DCADLN COCCOCCS(=O)(=O)Nc1cccc(C(C)=O)c1O ZINC000555881146 288364764 /nfs/dbraw/zinc/36/47/64/288364764.db2.gz ZYSNHTJZDUPWPP-UHFFFAOYSA-N 0 2 317.363 1.000 20 0 DCADLN CN(C)C(=O)c1cccc(NC(=O)C[N@@H+]2CCC[C@H]2C(=O)[O-])c1 ZINC000262153445 289032889 /nfs/dbraw/zinc/03/28/89/289032889.db2.gz RMCPGTKHUJGQER-ZDUSSCGKSA-N 0 2 319.361 0.876 20 0 DCADLN CN(C)C(=O)c1cccc(NC(=O)C[N@H+]2CCC[C@H]2C(=O)[O-])c1 ZINC000262153445 289032890 /nfs/dbraw/zinc/03/28/90/289032890.db2.gz RMCPGTKHUJGQER-ZDUSSCGKSA-N 0 2 319.361 0.876 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000422694317 295426715 /nfs/dbraw/zinc/42/67/15/295426715.db2.gz SRUNLRWKRWSGSA-NXEZZACHSA-N 0 2 321.333 0.598 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1C[C@H]2CCC[C@@H]2C1 ZINC000153544101 304591339 /nfs/dbraw/zinc/59/13/39/304591339.db2.gz VJLVSIHNJZVLOG-RKDXNWHRSA-N 0 2 300.318 0.484 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000195399963 304759970 /nfs/dbraw/zinc/75/99/70/304759970.db2.gz KWQWATGDSZEETN-IWSPIJDZSA-N 0 2 302.318 0.930 20 0 DCADLN COc1cccc(S([O-])=CC(=O)NCCc2c[nH+]cn2C)c1 ZINC000582841386 337207935 /nfs/dbraw/zinc/20/79/35/337207935.db2.gz FSGJKVWIQLNJBL-QFIPXVFZSA-N 0 2 321.402 0.895 20 0 DCADLN NC(=O)Cn1ccc(NS(=O)(=O)c2ccc3c(c2)CCC3)n1 ZINC000299043482 534376079 /nfs/dbraw/zinc/37/60/79/534376079.db2.gz ZSGTXQJPFJJOQP-UHFFFAOYSA-N 0 2 320.374 0.658 20 0 DCADLN CCOC(=O)CCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266783699 520688084 /nfs/dbraw/zinc/68/80/84/520688084.db2.gz AFSARQIPJAWZNR-UHFFFAOYSA-N 0 2 320.305 0.427 20 0 DCADLN CCC[C@H]1SCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000135031839 521257562 /nfs/dbraw/zinc/25/75/62/521257562.db2.gz NQPWFSOFEXWINN-SNVBAGLBSA-N 0 2 320.374 0.927 20 0 DCADLN NC(=O)[C@H]1CC[C@@H](C(=O)N=c2nc(-c3ccsc3)[nH]s2)O1 ZINC000366376541 527007640 /nfs/dbraw/zinc/00/76/40/527007640.db2.gz QHDHGZKUEOQAME-SFYZADRCSA-N 0 2 324.387 0.660 20 0 DCADLN Cc1cn(-c2ccccc2)nc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000137424946 545807837 /nfs/dbraw/zinc/80/78/37/545807837.db2.gz QUNLPVJRIKGMQI-UHFFFAOYSA-N 0 2 313.321 0.914 20 0 DCADLN O=C([O-])C[C@@H]1COCCN1C(=O)Cc1cn2ccccc2[nH+]1 ZINC000262321058 545983728 /nfs/dbraw/zinc/98/37/28/545983728.db2.gz QYZPCSRRJIBHJM-GFCCVEGCSA-N 0 2 303.318 0.579 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)Cc2ccco2)s1 ZINC000340604076 546112577 /nfs/dbraw/zinc/11/25/77/546112577.db2.gz JTGOFPISAPWKAA-UHFFFAOYSA-N 0 2 302.337 0.684 20 0 DCADLN CN(C)C(=O)CCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666596110 546487717 /nfs/dbraw/zinc/48/77/17/546487717.db2.gz NKOWVYAGLLOUNQ-UHFFFAOYSA-N 0 2 304.306 0.238 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000668253597 546716706 /nfs/dbraw/zinc/71/67/06/546716706.db2.gz HGMQACOUIKNJJM-VIFPVBQESA-N 0 2 306.322 0.379 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000668253597 546716710 /nfs/dbraw/zinc/71/67/10/546716710.db2.gz HGMQACOUIKNJJM-VIFPVBQESA-N 0 2 306.322 0.379 20 0 DCADLN C[C@@H]1CC(=O)NCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000669212287 546937769 /nfs/dbraw/zinc/93/77/69/546937769.db2.gz KEUBDFJNTWCRRT-SECBINFHSA-N 0 2 316.317 0.381 20 0 DCADLN COc1ccc(COCCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000673948512 547545272 /nfs/dbraw/zinc/54/52/72/547545272.db2.gz AAOGQQSWEFEWAZ-UHFFFAOYSA-N 0 2 306.322 0.742 20 0 DCADLN C[C@H](C[C@@H]1CCOC1)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000675352926 547677680 /nfs/dbraw/zinc/67/76/80/547677680.db2.gz NBGUFIIRZKLXNF-BDAKNGLRSA-N 0 2 318.333 0.981 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000676231597 547747229 /nfs/dbraw/zinc/74/72/29/547747229.db2.gz MNHHKSAYWQBLTI-HNNXBMFYSA-N 0 2 320.389 0.993 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000676231597 547747232 /nfs/dbraw/zinc/74/72/32/547747232.db2.gz MNHHKSAYWQBLTI-HNNXBMFYSA-N 0 2 320.389 0.993 20 0 DCADLN Cc1ccc(CNC(=O)[C@@H](C)[N@@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000676231376 547747325 /nfs/dbraw/zinc/74/73/25/547747325.db2.gz GAKQOAVPRIIMOU-OCCSQVGLSA-N 0 2 306.362 0.785 20 0 DCADLN Cc1ccc(CNC(=O)[C@@H](C)[N@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000676231376 547747329 /nfs/dbraw/zinc/74/73/29/547747329.db2.gz GAKQOAVPRIIMOU-OCCSQVGLSA-N 0 2 306.362 0.785 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H](C(=O)NCC3CC3)C2)c1O ZINC000676631751 547797589 /nfs/dbraw/zinc/79/75/89/547797589.db2.gz DUXUBZABWOBVLY-NSHDSACASA-N 0 2 306.366 0.802 20 0 DCADLN CCN(CC)C(=O)[C@H]1CSCN1C(=O)c1n[nH]c(C)c1O ZINC000676706850 547806246 /nfs/dbraw/zinc/80/62/46/547806246.db2.gz DPDQUFXEGWDBBC-SECBINFHSA-N 0 2 312.395 0.807 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc(-c2cccnc2)s1 ZINC000677770416 547902369 /nfs/dbraw/zinc/90/23/69/547902369.db2.gz RAILRLYKZMOCFI-UHFFFAOYSA-N 0 2 302.319 0.959 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)c(C)s1 ZINC000679202355 548033941 /nfs/dbraw/zinc/03/39/41/548033941.db2.gz GMGFITTYFCSOIT-UHFFFAOYSA-N 0 2 300.365 0.988 20 0 DCADLN O=C(Nc1nc(-c2ccco2)n[nH]1)c1ccc(-c2nn[nH]n2)nc1 ZINC000738208389 599028694 /nfs/dbraw/zinc/02/86/94/599028694.db2.gz LUADYYZHKPEXNV-UHFFFAOYSA-N 0 2 323.276 0.892 20 0 DCADLN O=C([O-])[C@H]1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000262082887 596900518 /nfs/dbraw/zinc/90/05/18/596900518.db2.gz FOOSJVVDIFYTMI-RYUDHWBXSA-N 0 2 306.366 0.596 20 0 DCADLN O=C([O-])[C@H]1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000262082887 596900520 /nfs/dbraw/zinc/90/05/20/596900520.db2.gz FOOSJVVDIFYTMI-RYUDHWBXSA-N 0 2 306.366 0.596 20 0 DCADLN Cc1ccc(NC(=O)N2CCO[C@H](C(=O)[O-])C2)c(N(C)C)[nH+]1 ZINC000821087873 597370273 /nfs/dbraw/zinc/37/02/73/597370273.db2.gz IPXTZWLBSUKBOF-NSHDSACASA-N 0 2 308.338 0.773 20 0 DCADLN NC(=O)c1csc(C(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])c1 ZINC000821357418 598067037 /nfs/dbraw/zinc/06/70/37/598067037.db2.gz PRUUSJDAMRNATN-VIFPVBQESA-N 0 2 312.347 0.553 20 0 DCADLN NC(=O)c1csc(C(=O)OC[C@@H]2CCC[N@H+]2CC(=O)[O-])c1 ZINC000821357418 598067039 /nfs/dbraw/zinc/06/70/39/598067039.db2.gz PRUUSJDAMRNATN-VIFPVBQESA-N 0 2 312.347 0.553 20 0 DCADLN O=C(Nc1nc(-c2ccco2)n[nH]1)c1ccc(-c2nn[nH]n2)cn1 ZINC000738206340 598473475 /nfs/dbraw/zinc/47/34/75/598473475.db2.gz CWZTUIQKMLFWJC-UHFFFAOYSA-N 0 2 323.276 0.892 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(Sc2cccs2)n(C)c1=O ZINC000737591526 598629107 /nfs/dbraw/zinc/62/91/07/598629107.db2.gz GNLSNTDJPIRMTC-UHFFFAOYSA-N 0 2 322.375 0.477 20 0 DCADLN CCc1nn(CC(=O)C(C)C)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705275 598641265 /nfs/dbraw/zinc/64/12/65/598641265.db2.gz FCJCCLDHMDCUMN-UHFFFAOYSA-N 0 2 304.354 0.773 20 0 DCADLN CCOC(=O)Cn1nc(CC)c(CC)c(-c2nn[nH]n2)c1=O ZINC000736598389 598641242 /nfs/dbraw/zinc/64/12/42/598641242.db2.gz DEIMMUGLWPTDHY-UHFFFAOYSA-N 0 2 306.326 0.111 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OCC(=O)[O-])cc2)C1 ZINC000737528744 599741140 /nfs/dbraw/zinc/74/11/40/599741140.db2.gz CYPKXTSNMNGOQW-ZDUSSCGKSA-N 0 2 308.334 0.210 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OCC(=O)[O-])cc2)C1 ZINC000737528744 599741143 /nfs/dbraw/zinc/74/11/43/599741143.db2.gz CYPKXTSNMNGOQW-ZDUSSCGKSA-N 0 2 308.334 0.210 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)NCCc2ccc(C(=O)[O-])cc2)C1 ZINC000321146838 599805622 /nfs/dbraw/zinc/80/56/22/599805622.db2.gz FXCRZUXDBNPIGV-AWEZNQCLSA-N 0 2 321.377 0.557 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)NCCc2ccc(C(=O)[O-])cc2)C1 ZINC000321146838 599805624 /nfs/dbraw/zinc/80/56/24/599805624.db2.gz FXCRZUXDBNPIGV-AWEZNQCLSA-N 0 2 321.377 0.557 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2ccc(CC(=O)[O-])cc2)C1 ZINC000317690232 599833216 /nfs/dbraw/zinc/83/32/16/599833216.db2.gz JFFIBYRPDBVWAG-ZDUSSCGKSA-N 0 2 307.350 0.766 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2ccc(CC(=O)[O-])cc2)C1 ZINC000317690232 599833217 /nfs/dbraw/zinc/83/32/17/599833217.db2.gz JFFIBYRPDBVWAG-ZDUSSCGKSA-N 0 2 307.350 0.766 20 0 DCADLN Cc1cc(C)cc(OCC[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC000387337708 599981466 /nfs/dbraw/zinc/98/14/66/599981466.db2.gz VWFKWHZZLBLCDO-AWEZNQCLSA-N 0 2 306.362 0.957 20 0 DCADLN Cc1cc(C)cc(OCC[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC000387337708 599981467 /nfs/dbraw/zinc/98/14/67/599981467.db2.gz VWFKWHZZLBLCDO-AWEZNQCLSA-N 0 2 306.362 0.957 20 0 DCADLN N#Cc1ccc(OCC[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000387343006 599983330 /nfs/dbraw/zinc/98/33/30/599983330.db2.gz WTXLBTSUVPZCCD-ZDUSSCGKSA-N 0 2 303.318 0.212 20 0 DCADLN N#Cc1ccc(OCC[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000387343006 599983332 /nfs/dbraw/zinc/98/33/32/599983332.db2.gz WTXLBTSUVPZCCD-ZDUSSCGKSA-N 0 2 303.318 0.212 20 0 DCADLN CC[N@H+](CCC(=O)[O-])CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000737096692 600024744 /nfs/dbraw/zinc/02/47/44/600024744.db2.gz ATYUTQCXJSHKBF-UHFFFAOYSA-N 0 2 311.363 0.805 20 0 DCADLN CC[N@@H+](CCC(=O)[O-])CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000737096692 600024748 /nfs/dbraw/zinc/02/47/48/600024748.db2.gz ATYUTQCXJSHKBF-UHFFFAOYSA-N 0 2 311.363 0.805 20 0 DCADLN C[C@]([NH2+]CC(N)=O)(C(=O)[O-])c1cccc(Br)c1 ZINC000041760181 600078048 /nfs/dbraw/zinc/07/80/48/600078048.db2.gz JIFYTESSMREKDH-LLVKDONJSA-N 0 2 301.140 0.824 20 0 DCADLN CNC(=O)CC[N@H+](C)Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC000737536307 600100866 /nfs/dbraw/zinc/10/08/66/600100866.db2.gz JYFUGQOWJRRCBC-UHFFFAOYSA-N 0 2 318.333 0.602 20 0 DCADLN CNC(=O)CC[N@@H+](C)Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC000737536307 600100869 /nfs/dbraw/zinc/10/08/69/600100869.db2.gz JYFUGQOWJRRCBC-UHFFFAOYSA-N 0 2 318.333 0.602 20 0 DCADLN CC(=O)c1cccc(OC[C@@H](O)C[N@@H+]2C[C@H](O)C[C@H]2C(=O)[O-])c1 ZINC000736196927 600230834 /nfs/dbraw/zinc/23/08/34/600230834.db2.gz QJVLTOWIMWOFHM-IPYPFGDCSA-N 0 2 323.345 0.149 20 0 DCADLN CC(=O)c1cccc(OC[C@@H](O)C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])c1 ZINC000736196927 600230835 /nfs/dbraw/zinc/23/08/35/600230835.db2.gz QJVLTOWIMWOFHM-IPYPFGDCSA-N 0 2 323.345 0.149 20 0 DCADLN C[C@@H](C(=O)N1CCC2(C[C@H]2C(=O)[O-])CC1)[NH+]1CCN(C)CC1 ZINC000736204193 600276611 /nfs/dbraw/zinc/27/66/11/600276611.db2.gz HHRFSNZGVKZFRK-STQMWFEESA-N 0 2 309.410 0.336 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+]1CCO[C@H](c2nccs2)C1 ZINC000737401120 600296424 /nfs/dbraw/zinc/29/64/24/600296424.db2.gz ITOJTICGKKROQJ-JTQLQIEISA-N 0 2 313.379 0.450 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+]1CCO[C@H](c2nccs2)C1 ZINC000737401120 600296425 /nfs/dbraw/zinc/29/64/25/600296425.db2.gz ITOJTICGKKROQJ-JTQLQIEISA-N 0 2 313.379 0.450 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2CCC[C@@H](C(=O)[O-])C2)CCO1 ZINC000736736031 600493446 /nfs/dbraw/zinc/49/34/46/600493446.db2.gz SDMDEQLFTZWIEJ-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2CCC[C@@H](C(=O)[O-])C2)CCO1 ZINC000736736031 600493450 /nfs/dbraw/zinc/49/34/50/600493450.db2.gz SDMDEQLFTZWIEJ-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])CC[N@@H+](Cc1cc(=O)n2[nH]ccc2n1)C[C@@H]1CCCO1 ZINC000739724658 600513840 /nfs/dbraw/zinc/51/38/40/600513840.db2.gz PGBRGPXURYEAPV-LBPRGKRZSA-N 0 2 320.349 0.478 20 0 DCADLN O=C([O-])CC[N@H+](Cc1cc(=O)n2[nH]ccc2n1)C[C@@H]1CCCO1 ZINC000739724658 600513842 /nfs/dbraw/zinc/51/38/42/600513842.db2.gz PGBRGPXURYEAPV-LBPRGKRZSA-N 0 2 320.349 0.478 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1 ZINC000736580978 600668676 /nfs/dbraw/zinc/66/86/76/600668676.db2.gz GRLJTIYLWCIWOJ-MCIONIFRSA-N 0 2 311.426 0.630 20 0 DCADLN O=S(=O)(CCc1ccccc1)Nc1n[nH]cc1-c1nn[nH]n1 ZINC000826485459 607462672 /nfs/dbraw/zinc/46/26/72/607462672.db2.gz RHYCNBCCGZNNCB-UHFFFAOYSA-N 0 2 319.350 0.574 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)N(C)CC(=O)[O-])C[C@H](C(F)(F)F)O1 ZINC000828438515 600800428 /nfs/dbraw/zinc/80/04/28/600800428.db2.gz DSCFILIVLCZYNY-RKDXNWHRSA-N 0 2 312.288 0.571 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)N(C)CC(=O)[O-])C[C@H](C(F)(F)F)O1 ZINC000828438515 600800429 /nfs/dbraw/zinc/80/04/29/600800429.db2.gz DSCFILIVLCZYNY-RKDXNWHRSA-N 0 2 312.288 0.571 20 0 DCADLN Cn1ccnc(N2CC[NH+](Cc3cc(C(=O)[O-])co3)CC2)c1=O ZINC000833059604 600801527 /nfs/dbraw/zinc/80/15/27/600801527.db2.gz UQBSUUGGYQVUPT-UHFFFAOYSA-N 0 2 318.333 0.394 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)cn1 ZINC000833308945 600900975 /nfs/dbraw/zinc/90/09/75/600900975.db2.gz HEVDEXHFVMTNGE-CYBMUJFWSA-N 0 2 319.361 0.717 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(C(=O)[O-])nc2)C[C@@H]1[NH+]1CCOCC1 ZINC000736805246 601109251 /nfs/dbraw/zinc/10/92/51/601109251.db2.gz BSJZKPLDTGQKSO-FZMZJTMJSA-N 0 2 319.361 0.573 20 0 DCADLN Cc1oc(C[N@H+](C)CCN2CCCS2(=O)=O)cc1C(=O)[O-] ZINC000833025366 601435116 /nfs/dbraw/zinc/43/51/16/601435116.db2.gz JAUNBEJIFSRYCI-UHFFFAOYSA-N 0 2 316.379 0.754 20 0 DCADLN Cc1oc(C[N@@H+](C)CCN2CCCS2(=O)=O)cc1C(=O)[O-] ZINC000833025366 601435117 /nfs/dbraw/zinc/43/51/17/601435117.db2.gz JAUNBEJIFSRYCI-UHFFFAOYSA-N 0 2 316.379 0.754 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)[N@H+]1C[C@H](O)C[C@H](O)C1 ZINC000832918240 601450448 /nfs/dbraw/zinc/45/04/48/601450448.db2.gz UBUYSNZDZUOCRC-RTXFEEFZSA-N 0 2 322.361 0.448 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)[N@@H+]1C[C@H](O)C[C@H](O)C1 ZINC000832918240 601450449 /nfs/dbraw/zinc/45/04/49/601450449.db2.gz UBUYSNZDZUOCRC-RTXFEEFZSA-N 0 2 322.361 0.448 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(S(=O)(=O)C[C@H](C)C(=O)[O-])C2 ZINC000833007907 601794105 /nfs/dbraw/zinc/79/41/05/601794105.db2.gz USWOPHSCMQVQRE-QMMMGPOBSA-N 0 2 301.368 0.366 20 0 DCADLN O=C([O-])C[C@H]1CSCC[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833220964 601890528 /nfs/dbraw/zinc/89/05/28/601890528.db2.gz FULXIUPCPKHSTI-JTQLQIEISA-N 0 2 308.363 0.415 20 0 DCADLN O=C([O-])C[C@H]1CSCC[N@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833220964 601890532 /nfs/dbraw/zinc/89/05/32/601890532.db2.gz FULXIUPCPKHSTI-JTQLQIEISA-N 0 2 308.363 0.415 20 0 DCADLN C[C@@H]1CCN(S(=O)(=O)CCCC(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000828296045 602321076 /nfs/dbraw/zinc/32/10/76/602321076.db2.gz SEDZICIYGSLBDW-NEPJUHHUSA-N 0 2 315.395 0.961 20 0 DCADLN O=C([O-])N1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)CC1 ZINC000738646908 602455946 /nfs/dbraw/zinc/45/59/46/602455946.db2.gz LIERTIWOQKBLGK-LBPRGKRZSA-N 0 2 321.381 0.485 20 0 DCADLN O=C([O-])N1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)CC1 ZINC000738646908 602455948 /nfs/dbraw/zinc/45/59/48/602455948.db2.gz LIERTIWOQKBLGK-LBPRGKRZSA-N 0 2 321.381 0.485 20 0 DCADLN O=C([O-])N1CCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000738646804 602456108 /nfs/dbraw/zinc/45/61/08/602456108.db2.gz JAEDYZUFCNTYJA-LLVKDONJSA-N 0 2 307.354 0.238 20 0 DCADLN O=C([O-])N1CCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000738646804 602456111 /nfs/dbraw/zinc/45/61/11/602456111.db2.gz JAEDYZUFCNTYJA-LLVKDONJSA-N 0 2 307.354 0.238 20 0 DCADLN C[N@@H+]1CCN(C(=O)COc2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828500254 603518796 /nfs/dbraw/zinc/51/87/96/603518796.db2.gz HTIQEUIRHAAEMH-LBPRGKRZSA-N 0 2 307.350 0.476 20 0 DCADLN C[N@H+]1CCN(C(=O)COc2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828500254 603518798 /nfs/dbraw/zinc/51/87/98/603518798.db2.gz HTIQEUIRHAAEMH-LBPRGKRZSA-N 0 2 307.350 0.476 20 0 DCADLN CC(C)(CNc1cc(N2CCC[C@@H]2CO)nc[nH+]1)NC(=O)[O-] ZINC000824011111 603519268 /nfs/dbraw/zinc/51/92/68/603519268.db2.gz DDRBGLSRXBUVFG-SNVBAGLBSA-N 0 2 309.370 0.896 20 0 DCADLN CC(C)(CNc1cc(N2CCC[C@@H]2CO)[nH+]cn1)NC(=O)[O-] ZINC000824011111 603519270 /nfs/dbraw/zinc/51/92/70/603519270.db2.gz DDRBGLSRXBUVFG-SNVBAGLBSA-N 0 2 309.370 0.896 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)c1ccccc1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828101900 603571436 /nfs/dbraw/zinc/57/14/36/603571436.db2.gz CCQQQAMGTNMXLH-ZDUSSCGKSA-N 0 2 319.361 0.670 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)c1ccccc1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828101900 603571439 /nfs/dbraw/zinc/57/14/39/603571439.db2.gz CCQQQAMGTNMXLH-ZDUSSCGKSA-N 0 2 319.361 0.670 20 0 DCADLN C[C@@H]1CN(C(=O)C(C)(C)CNC(=O)[O-])C[C@@H]1[NH+]1CCOCC1 ZINC000825970626 603690253 /nfs/dbraw/zinc/69/02/53/603690253.db2.gz WKSXNRAJQLIDPK-NEPJUHHUSA-N 0 2 313.398 0.459 20 0 DCADLN O=C([O-])N1CC(CC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)C1 ZINC000831842060 603856018 /nfs/dbraw/zinc/85/60/18/603856018.db2.gz NCNQQPFTGHQRJE-CYBMUJFWSA-N 0 2 311.382 0.310 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@@]1(F)CCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000825077080 603881720 /nfs/dbraw/zinc/88/17/20/603881720.db2.gz VRJTWOXVMYJUGS-MISXGVKJSA-N 0 2 317.361 0.304 20 0 DCADLN C[C@H](CNC(=O)[O-])[N@H+](C)CC(=O)NCC(=O)c1ccccc1 ZINC000824966568 603921313 /nfs/dbraw/zinc/92/13/13/603921313.db2.gz IFKJRMFUYMFSTH-LLVKDONJSA-N 0 2 307.350 0.573 20 0 DCADLN C[C@H](CNC(=O)[O-])[N@@H+](C)CC(=O)NCC(=O)c1ccccc1 ZINC000824966568 603921315 /nfs/dbraw/zinc/92/13/15/603921315.db2.gz IFKJRMFUYMFSTH-LLVKDONJSA-N 0 2 307.350 0.573 20 0 DCADLN CC[C@@H](O)CCCNC(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000826627030 604024993 /nfs/dbraw/zinc/02/49/93/604024993.db2.gz ZZGDTQMYHMXMDR-GFCCVEGCSA-N 0 2 315.414 0.728 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@@H+]3CCC[C@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831018437 604034984 /nfs/dbraw/zinc/03/49/84/604034984.db2.gz HJPOLOURCHLWHG-RYUDHWBXSA-N 0 2 321.381 0.648 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@H+]3CCC[C@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831018437 604034990 /nfs/dbraw/zinc/03/49/90/604034990.db2.gz HJPOLOURCHLWHG-RYUDHWBXSA-N 0 2 321.381 0.648 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1CCC[N@H+](Cc2nc(N)nc(N(C)C)n2)C1 ZINC000825273979 604250584 /nfs/dbraw/zinc/25/05/84/604250584.db2.gz RNWQELMZIONRNM-NXEZZACHSA-N 0 2 323.401 0.388 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1CCC[N@@H+](Cc2nc(N)nc(N(C)C)n2)C1 ZINC000825273979 604250586 /nfs/dbraw/zinc/25/05/86/604250586.db2.gz RNWQELMZIONRNM-NXEZZACHSA-N 0 2 323.401 0.388 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CCC[N@H+](Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC000825262937 604258943 /nfs/dbraw/zinc/25/89/43/604258943.db2.gz DCZWAYXLKWLXOD-WDEREUQCSA-N 0 2 319.365 0.891 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CCC[N@@H+](Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC000825262937 604258944 /nfs/dbraw/zinc/25/89/44/604258944.db2.gz DCZWAYXLKWLXOD-WDEREUQCSA-N 0 2 319.365 0.891 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)NC[C@@H](C)[NH+]1CCOCC1 ZINC000832846375 604281350 /nfs/dbraw/zinc/28/13/50/604281350.db2.gz FDRDXIJUMGGUPT-SECBINFHSA-N 0 2 309.366 0.780 20 0 DCADLN O=C([O-])CNC(=O)CN1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000821530256 604372666 /nfs/dbraw/zinc/37/26/66/604372666.db2.gz CNVYPCDLLMOKEF-UHFFFAOYSA-N 0 2 309.341 0.144 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(Cl)nc2)[C@H](CNC(=O)[O-])C1 ZINC000828514186 604394581 /nfs/dbraw/zinc/39/45/81/604394581.db2.gz VHFRZOFRPHWHOP-SNVBAGLBSA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(Cl)nc2)[C@H](CNC(=O)[O-])C1 ZINC000828514186 604394582 /nfs/dbraw/zinc/39/45/82/604394582.db2.gz VHFRZOFRPHWHOP-SNVBAGLBSA-N 0 2 312.757 0.759 20 0 DCADLN C[N@@H+]1CCN(C(=O)CC2(O)CCCCC2)[C@H](CNC(=O)[O-])C1 ZINC000828496787 604396639 /nfs/dbraw/zinc/39/66/39/604396639.db2.gz ZNLZTCIGSHYRJJ-GFCCVEGCSA-N 0 2 313.398 0.482 20 0 DCADLN C[N@H+]1CCN(C(=O)CC2(O)CCCCC2)[C@H](CNC(=O)[O-])C1 ZINC000828496787 604396642 /nfs/dbraw/zinc/39/66/42/604396642.db2.gz ZNLZTCIGSHYRJJ-GFCCVEGCSA-N 0 2 313.398 0.482 20 0 DCADLN CSCCCCC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000829737307 604402042 /nfs/dbraw/zinc/40/20/42/604402042.db2.gz HRVVITKHFPQIHO-NSHDSACASA-N 0 2 303.428 0.930 20 0 DCADLN CSCCCCC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000829737307 604402045 /nfs/dbraw/zinc/40/20/45/604402045.db2.gz HRVVITKHFPQIHO-NSHDSACASA-N 0 2 303.428 0.930 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])n1cccc1 ZINC000824590811 604405544 /nfs/dbraw/zinc/40/55/44/604405544.db2.gz MVYRHECCCVQWNG-STQMWFEESA-N 0 2 308.382 0.849 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])n1cccc1 ZINC000824590811 604405546 /nfs/dbraw/zinc/40/55/46/604405546.db2.gz MVYRHECCCVQWNG-STQMWFEESA-N 0 2 308.382 0.849 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2CC23CCOCC3)[C@@H](CNC(=O)[O-])C1 ZINC000828496412 604407422 /nfs/dbraw/zinc/40/74/22/604407422.db2.gz VNPRIOIDSFHSAX-RYUDHWBXSA-N 0 2 311.382 0.213 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2CC23CCOCC3)[C@@H](CNC(=O)[O-])C1 ZINC000828496412 604407426 /nfs/dbraw/zinc/40/74/26/604407426.db2.gz VNPRIOIDSFHSAX-RYUDHWBXSA-N 0 2 311.382 0.213 20 0 DCADLN O=C([O-])[C@@]1([NH2+]CC(=O)Nc2c(F)cccc2F)CCOC1 ZINC000833765146 604487608 /nfs/dbraw/zinc/48/76/08/604487608.db2.gz YJOSIWQCXJNJFA-CYBMUJFWSA-N 0 2 300.261 0.737 20 0 DCADLN C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000833632787 604554242 /nfs/dbraw/zinc/55/42/42/604554242.db2.gz ZQOCBGSHRLYLCK-IUCAKERBSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000833632787 604554243 /nfs/dbraw/zinc/55/42/43/604554243.db2.gz ZQOCBGSHRLYLCK-IUCAKERBSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@@H](c1nnnn1-c1ccccc1)[NH+]1CCC(O)(C(=O)[O-])CC1 ZINC000833552190 604727282 /nfs/dbraw/zinc/72/72/82/604727282.db2.gz XRHRYLKNJDBDTG-NSHDSACASA-N 0 2 317.349 0.635 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)[C@H]2CN(C(=O)[O-])CCO2)c1C ZINC000829067791 604791357 /nfs/dbraw/zinc/79/13/57/604791357.db2.gz ZQXJOTCRRRMEPX-GFCCVEGCSA-N 0 2 323.349 0.702 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@@H+]2CCO[C@H](c3cnn(C)c3)C2)O1 ZINC000833581000 605350688 /nfs/dbraw/zinc/35/06/88/605350688.db2.gz UUXINIOVKSFLDT-JSGCOSHPSA-N 0 2 307.350 0.941 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@H+]2CCO[C@H](c3cnn(C)c3)C2)O1 ZINC000833581000 605350695 /nfs/dbraw/zinc/35/06/95/605350695.db2.gz UUXINIOVKSFLDT-JSGCOSHPSA-N 0 2 307.350 0.941 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2ccccc2F)[C@H](CNC(=O)[O-])C1 ZINC000828507039 605452730 /nfs/dbraw/zinc/45/27/30/605452730.db2.gz MGPIEKSDWFMNSZ-GFCCVEGCSA-N 0 2 324.356 0.919 20 0 DCADLN C[N@H+]1CCN(C(=O)NCc2ccccc2F)[C@H](CNC(=O)[O-])C1 ZINC000828507039 605452731 /nfs/dbraw/zinc/45/27/31/605452731.db2.gz MGPIEKSDWFMNSZ-GFCCVEGCSA-N 0 2 324.356 0.919 20 0 DCADLN CC1(C)CC(=O)N(C[N@H+](C2CC2)[C@@H]2CCN(C(=O)[O-])C2)C1=O ZINC000825706671 605454793 /nfs/dbraw/zinc/45/47/93/605454793.db2.gz JKGNYOFHICDASI-LLVKDONJSA-N 0 2 309.366 0.946 20 0 DCADLN CC1(C)CC(=O)N(C[N@@H+](C2CC2)[C@@H]2CCN(C(=O)[O-])C2)C1=O ZINC000825706671 605454796 /nfs/dbraw/zinc/45/47/96/605454796.db2.gz JKGNYOFHICDASI-LLVKDONJSA-N 0 2 309.366 0.946 20 0 DCADLN O=C(CC[C@@H]1CCCN1C(=O)[O-])NC[C@H]1C[NH+]2CCN1CC2 ZINC000833996830 605530032 /nfs/dbraw/zinc/53/00/32/605530032.db2.gz YABSKRBCAQVBOM-STQMWFEESA-N 0 2 310.398 0.025 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)C3(NC(=O)[O-])CC3)C2)o1 ZINC000830626121 605531488 /nfs/dbraw/zinc/53/14/88/605531488.db2.gz YDYHSJICORKHSL-LLVKDONJSA-N 0 2 322.365 0.816 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)C3(NC(=O)[O-])CC3)C2)o1 ZINC000830626121 605531489 /nfs/dbraw/zinc/53/14/89/605531489.db2.gz YDYHSJICORKHSL-LLVKDONJSA-N 0 2 322.365 0.816 20 0 DCADLN CC(C)(C(=O)N1CCC[C@H](CO)C1)[NH+]1CCN(C(=O)[O-])CC1 ZINC000823738664 605620749 /nfs/dbraw/zinc/62/07/49/605620749.db2.gz ZIXCFCWYZQBUNU-LBPRGKRZSA-N 0 2 313.398 0.292 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](NC(=O)[O-])C(C)(C)C)[NH+]1CCOCC1 ZINC000824973683 605626109 /nfs/dbraw/zinc/62/61/09/605626109.db2.gz MEGDXTITMMATPX-WDEREUQCSA-N 0 2 301.387 0.506 20 0 DCADLN O=C([O-])Nc1ccc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)cc1 ZINC000834255799 605652832 /nfs/dbraw/zinc/65/28/32/605652832.db2.gz KTZXPMAUEFUHTE-CYBMUJFWSA-N 0 2 304.350 0.506 20 0 DCADLN O=C([O-])Nc1ccc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)cc1 ZINC000834255800 605652985 /nfs/dbraw/zinc/65/29/85/605652985.db2.gz KTZXPMAUEFUHTE-ZDUSSCGKSA-N 0 2 304.350 0.506 20 0 DCADLN O=C([O-])NC[C@H]1CCCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000834218179 605668000 /nfs/dbraw/zinc/66/80/00/605668000.db2.gz HDGWIIFOTILYTN-NEPJUHHUSA-N 0 2 306.366 0.952 20 0 DCADLN Cc1ccncc1C[N@@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000833909205 605670772 /nfs/dbraw/zinc/67/07/72/605670772.db2.gz BNGYFRDUMUBXOD-UHFFFAOYSA-N 0 2 306.366 0.644 20 0 DCADLN Cc1ccncc1C[N@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000833909205 605670770 /nfs/dbraw/zinc/67/07/70/605670770.db2.gz BNGYFRDUMUBXOD-UHFFFAOYSA-N 0 2 306.366 0.644 20 0 DCADLN O=C([O-])N1CCC[C@@H](CNC(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000834026021 605757206 /nfs/dbraw/zinc/75/72/06/605757206.db2.gz HFGMXLIZJAXPFP-RYUDHWBXSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CC[C@@H](NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834014730 605965074 /nfs/dbraw/zinc/96/50/74/605965074.db2.gz DGQTWLNUAYQBDP-VXGBXAGGSA-N 0 2 319.365 0.712 20 0 DCADLN O=C([O-])N1CSC[C@H]1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000834017758 605975553 /nfs/dbraw/zinc/97/55/53/605975553.db2.gz JUKHSKRSDOFJSC-MNOVXSKESA-N 0 2 322.390 0.829 20 0 DCADLN O=C([O-])N1CC[C@](F)(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834084214 605975639 /nfs/dbraw/zinc/97/56/39/605975639.db2.gz LQXKZOSBNVRHEY-IAQYHMDHSA-N 0 2 322.340 0.869 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)NCCCO ZINC000822004109 606183386 /nfs/dbraw/zinc/18/33/86/606183386.db2.gz AKBCWAIAGHMOOD-UHFFFAOYSA-N 0 2 320.353 0.015 20 0 DCADLN O=S(=O)(Nc1ccccc1O)c1ccc(-c2nn[nH]n2)nc1 ZINC000823589240 606206501 /nfs/dbraw/zinc/20/65/01/606206501.db2.gz VBDFHEYLFRKKQW-UHFFFAOYSA-N 0 2 318.318 0.768 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)no1 ZINC000826327423 608107966 /nfs/dbraw/zinc/10/79/66/608107966.db2.gz HARPANCZXYNZHH-UHFFFAOYSA-N 0 2 307.295 0.359 20 0 DCADLN O=c1[n-]c(C[N@H+](Cc2ccc(-c3nn[nH]n3)cc2)C2CC2)n[nH]1 ZINC000826501944 608389936 /nfs/dbraw/zinc/38/99/36/608389936.db2.gz NJNWAIMZLQEHQF-UHFFFAOYSA-N 0 2 312.337 0.855 20 0 DCADLN O=c1[n-]c(C[N@@H+](Cc2ccc(-c3nn[nH]n3)cc2)C2CC2)n[nH]1 ZINC000826501944 608389938 /nfs/dbraw/zinc/38/99/38/608389938.db2.gz NJNWAIMZLQEHQF-UHFFFAOYSA-N 0 2 312.337 0.855 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@]1(F)CCOC1 ZINC000998149842 665406570 /nfs/dbraw/zinc/40/65/70/665406570.db2.gz IVWRCOHCOYXHNE-XVKPBYJWSA-N 0 2 316.226 0.342 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@]1(F)CCOC1 ZINC000998149842 665406571 /nfs/dbraw/zinc/40/65/71/665406571.db2.gz IVWRCOHCOYXHNE-XVKPBYJWSA-N 0 2 316.226 0.342 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC=CCC1 ZINC001027753949 660697473 /nfs/dbraw/zinc/69/74/73/660697473.db2.gz XIWCDFHYURODOO-NEPJUHHUSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC=CCC1 ZINC001027753949 660697475 /nfs/dbraw/zinc/69/74/75/660697475.db2.gz XIWCDFHYURODOO-NEPJUHHUSA-N 0 2 305.382 0.947 20 0 DCADLN CCn1ccnc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027853896 660842042 /nfs/dbraw/zinc/84/20/42/660842042.db2.gz AGUHTSOEZLQGAK-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1ccnc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027853896 660842046 /nfs/dbraw/zinc/84/20/46/660842046.db2.gz AGUHTSOEZLQGAK-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN CC[C@](C)(NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908914197 663774033 /nfs/dbraw/zinc/77/40/33/663774033.db2.gz LMMKBYGUEWWPLY-AWEZNQCLSA-N 0 2 301.387 0.650 20 0 DCADLN CC[C@](C)(NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908914197 663774034 /nfs/dbraw/zinc/77/40/34/663774034.db2.gz LMMKBYGUEWWPLY-AWEZNQCLSA-N 0 2 301.387 0.650 20 0 DCADLN O=C(c1cscn1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980826444 661018546 /nfs/dbraw/zinc/01/85/46/661018546.db2.gz YSJDKGAEACNXBQ-UHFFFAOYSA-N 0 2 308.367 0.315 20 0 DCADLN Cc1noc([C@H](C)N2CCC[C@H]2CNC(=O)c2cnn[nH]2)n1 ZINC001027990906 661025624 /nfs/dbraw/zinc/02/56/24/661025624.db2.gz RQVUEJBBXGZRLS-WPRPVWTQSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@H](C)[N@@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990906 661025626 /nfs/dbraw/zinc/02/56/26/661025626.db2.gz RQVUEJBBXGZRLS-WPRPVWTQSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@H](C)[N@H+]2CCC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990906 661025627 /nfs/dbraw/zinc/02/56/27/661025627.db2.gz RQVUEJBBXGZRLS-WPRPVWTQSA-N 0 2 305.342 0.452 20 0 DCADLN O=C([C@H]1CC[C@@H](F)C1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981829352 661226642 /nfs/dbraw/zinc/22/66/42/661226642.db2.gz AMRIQLMRGLSUTN-WDEREUQCSA-N 0 2 311.361 0.683 20 0 DCADLN CC(C)C1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CC1 ZINC000981919236 661245803 /nfs/dbraw/zinc/24/58/03/661245803.db2.gz BPUPYIVKJWQWOF-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN C[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C(C)(F)F ZINC000981973746 661263010 /nfs/dbraw/zinc/26/30/10/661263010.db2.gz OHQBZGUIPRGRCD-VIFPVBQESA-N 0 2 317.340 0.836 20 0 DCADLN CCc1ccoc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970381137 657459240 /nfs/dbraw/zinc/45/92/40/657459240.db2.gz VYHJWMSAOCTVBJ-VIFPVBQESA-N 0 2 319.365 0.916 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@H+]2Cc2cnoc2C)c1[O-] ZINC001038166303 657492715 /nfs/dbraw/zinc/49/27/15/657492715.db2.gz ZIMPLQWTQIEQBF-LLVKDONJSA-N 0 2 305.338 0.724 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@@H+]2Cc2cnoc2C)c1[O-] ZINC001038166303 657492720 /nfs/dbraw/zinc/49/27/20/657492720.db2.gz ZIMPLQWTQIEQBF-LLVKDONJSA-N 0 2 305.338 0.724 20 0 DCADLN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC000811464561 657556756 /nfs/dbraw/zinc/55/67/56/657556756.db2.gz HAFNQFDUCUKTLA-VQVTYTSYSA-N 0 2 316.251 0.459 20 0 DCADLN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC000811464561 657556785 /nfs/dbraw/zinc/55/67/85/657556785.db2.gz HAFNQFDUCUKTLA-VQVTYTSYSA-N 0 2 316.251 0.459 20 0 DCADLN C[C@@H](NC(=O)c1ccsc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969265338 657640145 /nfs/dbraw/zinc/64/01/45/657640145.db2.gz DNTWPXCYPAAHIW-MRVPVSSYSA-N 0 2 307.379 0.822 20 0 DCADLN C[C@@]1(C2CC2)COCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000890581801 657769398 /nfs/dbraw/zinc/76/93/98/657769398.db2.gz BWQNKZNGACVBIW-HNNXBMFYSA-N 0 2 317.349 0.099 20 0 DCADLN CCc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001006795110 657808495 /nfs/dbraw/zinc/80/84/95/657808495.db2.gz FMBPOYLRFBYDBZ-SNVBAGLBSA-N 0 2 319.369 0.190 20 0 DCADLN Cc1ccncc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969434478 657869077 /nfs/dbraw/zinc/86/90/77/657869077.db2.gz BPAZMKQYLWEGFP-SNVBAGLBSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC000969467198 657906084 /nfs/dbraw/zinc/90/60/84/657906084.db2.gz RGXFFHCDNHRKNY-JTQLQIEISA-N 0 2 319.365 0.970 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C2C[NH+](Cc3ccn(C)n3)C2)c1[O-] ZINC000969505920 657938034 /nfs/dbraw/zinc/93/80/34/657938034.db2.gz JDIBNQBKRVAYRL-VIFPVBQESA-N 0 2 318.381 0.408 20 0 DCADLN CCN(C(=O)c1ccc(F)nc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949787866 658113631 /nfs/dbraw/zinc/11/36/31/658113631.db2.gz YCPMUAULVOFHPL-UHFFFAOYSA-N 0 2 320.328 0.391 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cccnc1 ZINC000972749820 658377823 /nfs/dbraw/zinc/37/78/23/658377823.db2.gz APWWSSFBTCHPAH-LLVKDONJSA-N 0 2 316.365 0.546 20 0 DCADLN Cc1noc([C@@H](C)[NH+]2CC([C@@H](C)NC(=O)c3cnn[n-]3)C2)n1 ZINC000970034658 658378200 /nfs/dbraw/zinc/37/82/00/658378200.db2.gz ISCVDWRGXRHJOJ-HTQZYQBOSA-N 0 2 305.342 0.307 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@@H]1CCCOC1 ZINC000972853335 658482720 /nfs/dbraw/zinc/48/27/20/658482720.db2.gz OKFPOYXLWZJWOK-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@@H]1CCCOC1 ZINC000972853335 658482723 /nfs/dbraw/zinc/48/27/23/658482723.db2.gz OKFPOYXLWZJWOK-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([N@@H+](C)Cc3cncn3C)C2)c1[O-] ZINC000971359034 658530565 /nfs/dbraw/zinc/53/05/65/658530565.db2.gz ADPPWNMGEKUHHQ-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([N@H+](C)Cc3cncn3C)C2)c1[O-] ZINC000971359034 658530571 /nfs/dbraw/zinc/53/05/71/658530571.db2.gz ADPPWNMGEKUHHQ-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@]3(C2)C[N@H+](CCCO)CCO3)[nH]1 ZINC000972295654 658531256 /nfs/dbraw/zinc/53/12/56/658531256.db2.gz WOTVFFKIQUIFTD-OAHLLOKOSA-N 0 2 308.382 0.017 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccccn1 ZINC001024302014 658555692 /nfs/dbraw/zinc/55/56/92/658555692.db2.gz KMRFKGLHMLJFPM-LLVKDONJSA-N 0 2 316.365 0.690 20 0 DCADLN Cc1cc[nH]c1C(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024422887 658609972 /nfs/dbraw/zinc/60/99/72/658609972.db2.gz TVQKLHWFYSRTDX-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN Cc1cc[nH]c1C(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024422887 658609977 /nfs/dbraw/zinc/60/99/77/658609977.db2.gz TVQKLHWFYSRTDX-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970185461 658708826 /nfs/dbraw/zinc/70/88/26/658708826.db2.gz RHTIVPQLHKPWCS-JLLWLGSASA-N 0 2 323.397 0.262 20 0 DCADLN Cc1nnc([C@H](C)N(C)[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)o1 ZINC000972427467 658753258 /nfs/dbraw/zinc/75/32/58/658753258.db2.gz XQAWNEBLYQVKSH-GXFFZTMASA-N 0 2 318.381 0.938 20 0 DCADLN Cn1cc(Cl)cc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031831922 665607640 /nfs/dbraw/zinc/60/76/40/665607640.db2.gz UYCOKENIFBSZLA-UHFFFAOYSA-N 0 2 324.772 0.364 20 0 DCADLN CCC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[NH+](CC(=O)N(C)C)C1 ZINC001007456951 659111065 /nfs/dbraw/zinc/11/10/65/659111065.db2.gz ICFHWUYCPQZHHB-CABCVRRESA-N 0 2 324.469 0.530 20 0 DCADLN CCC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[NH+](CC(=O)N(C)C)C1 ZINC001007456952 659111474 /nfs/dbraw/zinc/11/14/74/659111474.db2.gz ICFHWUYCPQZHHB-GJZGRUSLSA-N 0 2 324.469 0.530 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1ncc[nH]1 ZINC000976474787 659354355 /nfs/dbraw/zinc/35/43/55/659354355.db2.gz XIWXMCVLRAWTMH-VGRMVHKJSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)c1ncc[nH]1 ZINC000976474787 659354362 /nfs/dbraw/zinc/35/43/62/659354362.db2.gz XIWXMCVLRAWTMH-VGRMVHKJSA-N 0 2 320.246 0.497 20 0 DCADLN COc1ccc([C@@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])cc1 ZINC000909326594 659493931 /nfs/dbraw/zinc/49/39/31/659493931.db2.gz DLUCQYCGQWWKJO-CQSZACIVSA-N 0 2 303.318 0.913 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000975073878 659783088 /nfs/dbraw/zinc/78/30/88/659783088.db2.gz RHANTTYPKGBEIY-MXWKQRLJSA-N 0 2 307.398 0.883 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000975073878 659783091 /nfs/dbraw/zinc/78/30/91/659783091.db2.gz RHANTTYPKGBEIY-MXWKQRLJSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001038048811 659783337 /nfs/dbraw/zinc/78/33/37/659783337.db2.gz PHUGULNSKZZQSA-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN CNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C[NH+]2CCC(C)CC2)CC1 ZINC000982705526 661631390 /nfs/dbraw/zinc/63/13/90/661631390.db2.gz CCAKABIEKKXVFR-OAHLLOKOSA-N 0 2 324.469 0.387 20 0 DCADLN O=C(NC[C@H]1CC[N@H+](Cc2nnc(C3CC3)[nH]2)C1)c1cnn[n-]1 ZINC001028653725 661727154 /nfs/dbraw/zinc/72/71/54/661727154.db2.gz QVEVSDCRFXGUKY-SECBINFHSA-N 0 2 316.369 0.052 20 0 DCADLN O=C(NC[C@H]1CC[N@@H+](Cc2nnc(C3CC3)[nH]2)C1)c1cnn[n-]1 ZINC001028653725 661727155 /nfs/dbraw/zinc/72/71/55/661727155.db2.gz QVEVSDCRFXGUKY-SECBINFHSA-N 0 2 316.369 0.052 20 0 DCADLN O=C(C[C@@H]1CCCOC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032787145 665833058 /nfs/dbraw/zinc/83/30/58/665833058.db2.gz GFOSJQFGELVSHC-SRVKXCTJSA-N 0 2 321.381 0.112 20 0 DCADLN COCC(=O)Nc1ccc(NS(=O)(=O)c2ccnn2C)cc1 ZINC000727355803 662156903 /nfs/dbraw/zinc/15/69/03/662156903.db2.gz SLDHOWXSNQTFGR-UHFFFAOYSA-N 0 2 324.362 0.806 20 0 DCADLN Cc1ncoc1C[NH2+][C@H]1CCCN(CC[NH+]2CCOCC2)C1 ZINC000895686652 662205476 /nfs/dbraw/zinc/20/54/76/662205476.db2.gz UGSSOSWEJYOTNN-HNNXBMFYSA-N 0 2 308.426 0.869 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)[C@H]1CCCOC1 ZINC000909538733 662329548 /nfs/dbraw/zinc/32/95/48/662329548.db2.gz MXDWEMJYJGKQRC-MDZLAQPJSA-N 0 2 307.350 0.442 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)[C@H]1CCCOC1 ZINC000909538731 662329718 /nfs/dbraw/zinc/32/97/18/662329718.db2.gz MXDWEMJYJGKQRC-GVXVVHGQSA-N 0 2 307.350 0.442 20 0 DCADLN CC(C)[C@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029534490 662364054 /nfs/dbraw/zinc/36/40/54/662364054.db2.gz LQGGGRZLBNHJFV-YVECIDJPSA-N 0 2 319.409 0.978 20 0 DCADLN CC(C)C[C@@H](CCO)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762695000 668372224 /nfs/dbraw/zinc/37/22/24/668372224.db2.gz FRWGMAGOZQXSGP-ZJUUUORDSA-N 0 2 301.412 0.704 20 0 DCADLN Cc1conc1C[NH2+][C@H]1CCN(C(=O)CCc2nc[nH]n2)[C@@H]1C ZINC000986129083 662559275 /nfs/dbraw/zinc/55/92/75/662559275.db2.gz XBTYTJYZGURGTH-NEPJUHHUSA-N 0 2 318.381 0.813 20 0 DCADLN O=C(Cc1ncn[nH]1)N1CCC[C@H]([NH2+]Cc2ccns2)C1 ZINC001000661869 665905672 /nfs/dbraw/zinc/90/56/72/665905672.db2.gz XCANWSBVYDLJDU-JTQLQIEISA-N 0 2 306.395 0.585 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)no1 ZINC000990173676 663013126 /nfs/dbraw/zinc/01/31/26/663013126.db2.gz MAVIFGMZGVYFPA-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)no1 ZINC000990173676 663013127 /nfs/dbraw/zinc/01/31/27/663013127.db2.gz MAVIFGMZGVYFPA-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN O=C(Cn1cccn1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990240819 663022346 /nfs/dbraw/zinc/02/23/46/663022346.db2.gz CFAOGNBTTFFVIP-SECBINFHSA-N 0 2 308.235 0.111 20 0 DCADLN O=C(Cn1cccn1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990240819 663022347 /nfs/dbraw/zinc/02/23/47/663022347.db2.gz CFAOGNBTTFFVIP-SECBINFHSA-N 0 2 308.235 0.111 20 0 DCADLN CCc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000990281575 663026170 /nfs/dbraw/zinc/02/61/70/663026170.db2.gz XEJVHAKXXMLXAE-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN CCc1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)[nH]n1 ZINC000990281575 663026171 /nfs/dbraw/zinc/02/61/71/663026171.db2.gz XEJVHAKXXMLXAE-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2nn(C)c3ccccc23)C(=O)N1C ZINC000899039999 663118850 /nfs/dbraw/zinc/11/88/50/663118850.db2.gz JKBLYWZVIPEFHM-QMMMGPOBSA-N 0 2 301.306 0.501 20 0 DCADLN CCCCn1ncc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1C ZINC000899031850 663119558 /nfs/dbraw/zinc/11/95/58/663119558.db2.gz BZFUVVSGRLMQPH-JTQLQIEISA-N 0 2 307.354 0.919 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)Nc1n[nH]c2c1COCC2 ZINC000899251924 663133763 /nfs/dbraw/zinc/13/37/63/663133763.db2.gz ZEIBBRBKBHQUJG-UHFFFAOYSA-N 0 2 320.271 0.878 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC001000835793 665942200 /nfs/dbraw/zinc/94/22/00/665942200.db2.gz XOUMLTNPKXVCFX-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC001000835793 665942201 /nfs/dbraw/zinc/94/22/01/665942201.db2.gz XOUMLTNPKXVCFX-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C([O-])[C@H]1COCCN1c1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000900946757 663294396 /nfs/dbraw/zinc/29/43/96/663294396.db2.gz ZMEMBTGDPODOMF-NWDGAFQWSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])[C@H]1COCCN1c1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000900946757 663294398 /nfs/dbraw/zinc/29/43/98/663294398.db2.gz ZMEMBTGDPODOMF-NWDGAFQWSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])COCCOCCNc1cc(N2CCCCC2)nc[nH+]1 ZINC000900986667 663299517 /nfs/dbraw/zinc/29/95/17/663299517.db2.gz GOTIWFBBJBSKCA-UHFFFAOYSA-N 0 2 324.381 0.997 20 0 DCADLN O=C([O-])COCCOCCNc1cc(N2CCCCC2)[nH+]cn1 ZINC000900986667 663299519 /nfs/dbraw/zinc/29/95/19/663299519.db2.gz GOTIWFBBJBSKCA-UHFFFAOYSA-N 0 2 324.381 0.997 20 0 DCADLN O=C([O-])CCN(C(=O)[C@H]1CCc2c[nH+]cn2C1)C1CCOCC1 ZINC000901577686 663353803 /nfs/dbraw/zinc/35/38/03/663353803.db2.gz LFMOKRMVWYSKCE-LBPRGKRZSA-N 0 2 321.377 0.928 20 0 DCADLN O=C(NCC1=CCN(Cc2cnns2)CC1)c1cnn[nH]1 ZINC001000902073 665954684 /nfs/dbraw/zinc/95/46/84/665954684.db2.gz RMIQXCTWKXXKPH-UHFFFAOYSA-N 0 2 305.367 0.218 20 0 DCADLN COCc1ccnc(NS(=O)(=O)c2cnc3n2CCCC3)n1 ZINC000903674778 663473760 /nfs/dbraw/zinc/47/37/60/663473760.db2.gz NQCGHUZHAHJPGU-UHFFFAOYSA-N 0 2 323.378 0.957 20 0 DCADLN CS(=O)(=O)CCC[N@@H+]1CCOc2ccccc2[C@@H]1C(=O)[O-] ZINC000903928773 663496080 /nfs/dbraw/zinc/49/60/80/663496080.db2.gz QUDUMDQJJPETQS-CYBMUJFWSA-N 0 2 313.375 0.941 20 0 DCADLN CS(=O)(=O)CCC[N@H+]1CCOc2ccccc2[C@@H]1C(=O)[O-] ZINC000903928773 663496081 /nfs/dbraw/zinc/49/60/81/663496081.db2.gz QUDUMDQJJPETQS-CYBMUJFWSA-N 0 2 313.375 0.941 20 0 DCADLN O=C([O-])c1cc(NC[C@]2([NH+]3CCOCC3)CCSC2)ncn1 ZINC000906139600 663602038 /nfs/dbraw/zinc/60/20/38/663602038.db2.gz MBFKSEYQWYETOL-CQSZACIVSA-N 0 2 324.406 0.795 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(CC(F)F)CC(F)F ZINC000906164401 663602892 /nfs/dbraw/zinc/60/28/92/663602892.db2.gz IWSOPVLJFVECNV-UHFFFAOYSA-N 0 2 321.234 0.430 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@H]3CCOC3)CC2)[nH+]c1 ZINC000907800447 663718449 /nfs/dbraw/zinc/71/84/49/663718449.db2.gz OGIMWCAYHCMKFV-LBPRGKRZSA-N 0 2 305.334 0.465 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C2CN(CCF)C2)CC1 ZINC000941416983 665994033 /nfs/dbraw/zinc/99/40/33/665994033.db2.gz QZBKPZIEJWKVGZ-JTQLQIEISA-N 0 2 315.286 0.685 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)CNc1cccc[nH+]1 ZINC000908989845 663777965 /nfs/dbraw/zinc/77/79/65/663777965.db2.gz RXPBFEKZRQTXPT-GFCCVEGCSA-N 0 2 307.350 0.976 20 0 DCADLN CO[C@@H]1CN(C(=O)NCCc2cn(C)c[nH+]2)[C@](C)(C(=O)[O-])C1 ZINC000909025599 663779704 /nfs/dbraw/zinc/77/97/04/663779704.db2.gz UNBCBSOPNDVSFQ-FZMZJTMJSA-N 0 2 310.354 0.236 20 0 DCADLN CC[C@@H](C(=O)N1CCO[C@@H](C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000909216026 663787589 /nfs/dbraw/zinc/78/75/89/663787589.db2.gz ISTKZWAUVRIWEA-FRRDWIJNSA-N 0 2 314.382 0.188 20 0 DCADLN CC[C@@H](C(=O)N1CCO[C@@H](C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000909216026 663787590 /nfs/dbraw/zinc/78/75/90/663787590.db2.gz ISTKZWAUVRIWEA-FRRDWIJNSA-N 0 2 314.382 0.188 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000909763089 663800063 /nfs/dbraw/zinc/80/00/63/663800063.db2.gz MLXWGXWFZHINLD-LLVKDONJSA-N 0 2 305.256 0.828 20 0 DCADLN O=C([O-])[C@@H](NC(=O)Cn1cc[nH+]c1)c1ccc2c(c1)CCO2 ZINC000909796276 663802317 /nfs/dbraw/zinc/80/23/17/663802317.db2.gz ZXZGZMKGHGZZJV-AWEZNQCLSA-N 0 2 301.302 0.760 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001006947263 663869032 /nfs/dbraw/zinc/86/90/32/663869032.db2.gz XEAOTHXAPAEYLO-JTQLQIEISA-N 0 2 319.369 0.245 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCO[C@](C)(C(=O)[O-])C3)[nH+]c12 ZINC000910322655 663873140 /nfs/dbraw/zinc/87/31/40/663873140.db2.gz JMIGRANIYWNLHF-INIZCTEOSA-N 0 2 317.345 0.887 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@@](C)(Cc2ccc(F)cc2)C(=O)[O-])C1 ZINC000910511245 663893118 /nfs/dbraw/zinc/89/31/18/663893118.db2.gz APMFRFISWHICQJ-CJNGLKHVSA-N 0 2 324.352 0.658 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N[C@@](C)(Cc2ccc(F)cc2)C(=O)[O-])C1 ZINC000910511245 663893120 /nfs/dbraw/zinc/89/31/20/663893120.db2.gz APMFRFISWHICQJ-CJNGLKHVSA-N 0 2 324.352 0.658 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)cn1 ZINC000910980748 663964521 /nfs/dbraw/zinc/96/45/21/663964521.db2.gz YDZAOOTXMZPIJV-LLVKDONJSA-N 0 2 300.318 0.855 20 0 DCADLN CC(C)[C@H](CNC(=O)c1cc(C(=O)[O-])ccn1)[NH+]1CCOCC1 ZINC000911152566 663993760 /nfs/dbraw/zinc/99/37/60/663993760.db2.gz ZSHVMLFARZDUOL-AWEZNQCLSA-N 0 2 321.377 0.866 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(F)(F)F ZINC000911161112 663995396 /nfs/dbraw/zinc/99/53/96/663995396.db2.gz KGSBOMCFYAVNAZ-CBAPKCEASA-N 0 2 305.256 0.967 20 0 DCADLN CO[C@H](C)CC(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171634 664001336 /nfs/dbraw/zinc/00/13/36/664001336.db2.gz ITVGDRVOWMGODO-LLVKDONJSA-N 0 2 307.350 0.853 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)CCOCC1 ZINC000911232040 664012196 /nfs/dbraw/zinc/01/21/96/664012196.db2.gz DJKSVAINCNXJBL-LLVKDONJSA-N 0 2 307.350 0.997 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)O[C@H]1C(=O)[O-] ZINC000911334856 664030126 /nfs/dbraw/zinc/03/01/26/664030126.db2.gz LBBVVFFTKRVEDB-FDYHWXHSSA-N 0 2 307.350 0.925 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)O[C@H]1C(=O)[O-] ZINC000911334857 664030211 /nfs/dbraw/zinc/03/02/11/664030211.db2.gz LBBVVFFTKRVEDB-YVECIDJPSA-N 0 2 307.350 0.925 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)O[C@H]1C(=O)[O-] ZINC000911612596 664089309 /nfs/dbraw/zinc/08/93/09/664089309.db2.gz OXNIWANFOSRNOU-DDHJBXDOSA-N 0 2 307.350 0.614 20 0 DCADLN CC(C)C[C@H](C(=O)N1CCC[C@H](O)[C@@H]1C(=O)[O-])n1cc[nH+]c1 ZINC000911632060 664094544 /nfs/dbraw/zinc/09/45/44/664094544.db2.gz WEKHPDLLTOOPFI-FRRDWIJNSA-N 0 2 309.366 0.907 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)C[C@H]2SC(=N)NC2=O)[C@@H](C)C(=O)N1C ZINC000912004831 664131935 /nfs/dbraw/zinc/13/19/35/664131935.db2.gz CAZAKGPKTSQNSL-RYPBNFRJSA-N 0 2 312.395 0.009 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cnc(NC3CC3)s2)C(=O)N1C ZINC000912816908 664234106 /nfs/dbraw/zinc/23/41/06/664234106.db2.gz JJQZIJQWEBLANF-LURJTMIESA-N 0 2 309.351 0.645 20 0 DCADLN CCc1cnccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030578766 664591327 /nfs/dbraw/zinc/59/13/27/664591327.db2.gz LMFPPRSUDFQHSA-UHFFFAOYSA-N 0 2 302.338 0.082 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ocnc1C(F)F ZINC001030966419 664739827 /nfs/dbraw/zinc/73/98/27/664739827.db2.gz RLYYALAXHBWJIQ-UHFFFAOYSA-N 0 2 314.252 0.050 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H](F)c1ccccc1 ZINC001031023685 664758267 /nfs/dbraw/zinc/75/82/67/664758267.db2.gz FQPQBBBWOBQLMD-GFCCVEGCSA-N 0 2 305.313 0.522 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2c[nH]nc2c1 ZINC000730522917 664785142 /nfs/dbraw/zinc/78/51/42/664785142.db2.gz VWGUTKWHCLHHJA-UHFFFAOYSA-N 0 2 309.289 0.586 20 0 DCADLN COc1ncccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730527163 664785535 /nfs/dbraw/zinc/78/55/35/664785535.db2.gz FKQKDDDIRJPULF-UHFFFAOYSA-N 0 2 300.278 0.114 20 0 DCADLN Cc1ccc2c(c1)N(C(=O)Cn1[nH]cc3c(=O)ncnc1-3)CC2 ZINC000730538896 664791115 /nfs/dbraw/zinc/79/11/15/664791115.db2.gz BMGTWFCDVGKXSN-UHFFFAOYSA-N 0 2 309.329 0.969 20 0 DCADLN CSc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000730545289 664791785 /nfs/dbraw/zinc/79/17/85/664791785.db2.gz WXDOYOFLIRCWEE-UHFFFAOYSA-N 0 2 316.346 0.827 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001038573301 664793466 /nfs/dbraw/zinc/79/34/66/664793466.db2.gz RFSITWCYTRYXKI-VIFPVBQESA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H]1[C@H](NC(=O)C2=COCCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993105114 664809471 /nfs/dbraw/zinc/80/94/71/664809471.db2.gz BKRMMPUPRBZUQR-CMPLNLGQSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@H]1[C@H](NC(=O)C2=COCCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993105114 664809473 /nfs/dbraw/zinc/80/94/73/664809473.db2.gz BKRMMPUPRBZUQR-CMPLNLGQSA-N 0 2 321.381 0.674 20 0 DCADLN CC[C@@]1(C(C)C)C[C@@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031175827 664829819 /nfs/dbraw/zinc/82/98/19/664829819.db2.gz RDNKPOGHVNNBHJ-ABAIWWIYSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccon2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993148357 664835840 /nfs/dbraw/zinc/83/58/40/664835840.db2.gz GQQPRXZMVDPSAX-KOLCDFICSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccon2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993148357 664835845 /nfs/dbraw/zinc/83/58/45/664835845.db2.gz GQQPRXZMVDPSAX-KOLCDFICSA-N 0 2 320.353 0.210 20 0 DCADLN CC[C@H]1C[C@@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031219441 664902154 /nfs/dbraw/zinc/90/21/54/664902154.db2.gz JBTLFVDEAOIWBR-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1nn(C(C)C)cc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031244139 664935928 /nfs/dbraw/zinc/93/59/28/664935928.db2.gz OOGGCMCPRCWBBW-UHFFFAOYSA-N 0 2 319.369 0.210 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1c1ccccc1 ZINC001031280539 664965641 /nfs/dbraw/zinc/96/56/41/664965641.db2.gz NZPFZSLWGBQFOR-QWHCGFSZSA-N 0 2 313.361 0.614 20 0 DCADLN COc1cc(C)ccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031283176 664966231 /nfs/dbraw/zinc/96/62/31/664966231.db2.gz LVKWCBHBADOBAQ-UHFFFAOYSA-N 0 2 317.349 0.442 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)NC1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000993882921 665012639 /nfs/dbraw/zinc/01/26/39/665012639.db2.gz WADFGSRCJQRCRG-ZDUSSCGKSA-N 0 2 319.409 0.154 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ncccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994067407 665038297 /nfs/dbraw/zinc/03/82/97/665038297.db2.gz FRMJWJOGDQOOGI-UWVGGRQHSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(C)(C)c2c[nH]cn2)CCC[N@@H+]1CC(N)=O ZINC000994227774 665050947 /nfs/dbraw/zinc/05/09/47/665050947.db2.gz ILXBFCQUGOUWCW-MNOVXSKESA-N 0 2 307.398 0.142 20 0 DCADLN CCc1ccsc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031430693 665146195 /nfs/dbraw/zinc/14/61/95/665146195.db2.gz YSFHYRHQBDSQNA-UHFFFAOYSA-N 0 2 307.379 0.748 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000997758476 665333791 /nfs/dbraw/zinc/33/37/91/665333791.db2.gz WNJXSUOCVCTNSI-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000997758476 665333796 /nfs/dbraw/zinc/33/37/96/665333796.db2.gz WNJXSUOCVCTNSI-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000942221404 666129838 /nfs/dbraw/zinc/12/98/38/666129838.db2.gz OKGYGFKBKNXVNZ-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000942221404 666129839 /nfs/dbraw/zinc/12/98/39/666129839.db2.gz OKGYGFKBKNXVNZ-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002387535 666165599 /nfs/dbraw/zinc/16/55/99/666165599.db2.gz PWQBLPILZGWSNZ-NSHDSACASA-N 0 2 309.370 0.160 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002410269 666168106 /nfs/dbraw/zinc/16/81/06/666168106.db2.gz KBZSODASYCKDQQ-MNOVXSKESA-N 0 2 309.370 0.016 20 0 DCADLN O=C(Cc1cccnc1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002618680 666196793 /nfs/dbraw/zinc/19/67/93/666196793.db2.gz ZUGBPXZNQPDFJW-UHFFFAOYSA-N 0 2 316.365 0.229 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)N[C@]12C[C@H]1COC21CCC1 ZINC000922106858 666253023 /nfs/dbraw/zinc/25/30/23/666253023.db2.gz SBKNOAUSFFGPIH-AJGILNDKSA-N 0 2 308.425 0.650 20 0 DCADLN Cn1cncc1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001003007230 666260395 /nfs/dbraw/zinc/26/03/95/666260395.db2.gz YCPRIKKLAYWQAT-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cncc1C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001003007230 666260397 /nfs/dbraw/zinc/26/03/97/666260397.db2.gz YCPRIKKLAYWQAT-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001033014983 666427685 /nfs/dbraw/zinc/42/76/85/666427685.db2.gz RRBMRKGCJOBJDT-VXGBXAGGSA-N 0 2 318.381 0.606 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001033014983 666427687 /nfs/dbraw/zinc/42/76/87/666427687.db2.gz RRBMRKGCJOBJDT-VXGBXAGGSA-N 0 2 318.381 0.606 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N(C)[C@@H]2CC[N@@H+](Cc3cnnn3C)C2)C1 ZINC001033421576 666711460 /nfs/dbraw/zinc/71/14/60/666711460.db2.gz ZWPJMEKDAUSXBS-ZIAGYGMSSA-N 0 2 320.441 0.190 20 0 DCADLN CCN(C(=O)c1ccccn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033730360 666808686 /nfs/dbraw/zinc/80/86/86/666808686.db2.gz HLBHCVFADZVPMY-NSHDSACASA-N 0 2 316.365 0.642 20 0 DCADLN CCN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033783893 666826067 /nfs/dbraw/zinc/82/60/67/666826067.db2.gz QYDUQQJLEPPZAI-NMKXLXIOSA-N 0 2 319.409 0.979 20 0 DCADLN CCN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033783893 666826069 /nfs/dbraw/zinc/82/60/69/666826069.db2.gz QYDUQQJLEPPZAI-NMKXLXIOSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(Cc1ccon1)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034137620 666936901 /nfs/dbraw/zinc/93/69/01/666936901.db2.gz GSMBHHMWTWFBGD-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN O=C(Cc1ccon1)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034137620 666936905 /nfs/dbraw/zinc/93/69/05/666936905.db2.gz GSMBHHMWTWFBGD-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN O=C(C[C@H]1CCOC1)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034146124 666937624 /nfs/dbraw/zinc/93/76/24/666937624.db2.gz UGFHYJZXWNBVPS-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@H]1CCOC1)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034146124 666937626 /nfs/dbraw/zinc/93/76/26/666937626.db2.gz UGFHYJZXWNBVPS-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccsn1 ZINC001034265892 666970841 /nfs/dbraw/zinc/97/08/41/666970841.db2.gz SFNYRTDCEUFXOJ-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccsn1 ZINC001034265892 666970842 /nfs/dbraw/zinc/97/08/42/666970842.db2.gz SFNYRTDCEUFXOJ-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000862377151 667040063 /nfs/dbraw/zinc/04/00/63/667040063.db2.gz OGBMITHFSZDWFH-MXWKQRLJSA-N 0 2 301.350 0.624 20 0 DCADLN C[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccns1 ZINC000863608960 667161518 /nfs/dbraw/zinc/16/15/18/667161518.db2.gz RVGVEBIKYDTVMN-ZETCQYMHSA-N 0 2 304.335 0.405 20 0 DCADLN Cc1nonc1C[NH2+]C[C@H]1CCCN1C(=O)c1n[nH]c(C)c1[O-] ZINC001034907729 667181049 /nfs/dbraw/zinc/18/10/49/667181049.db2.gz BKIYEOAOAXQQMG-SNVBAGLBSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H]2C[NH2+]Cc2nncs2)c1[O-] ZINC001034909000 667181074 /nfs/dbraw/zinc/18/10/74/667181074.db2.gz XMORHUINKSFKIR-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN Cn1cnnc1CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001032416273 667216249 /nfs/dbraw/zinc/21/62/49/667216249.db2.gz CLYSZEAWCGUGAH-OYNCUSHFSA-N 0 2 321.278 0.501 20 0 DCADLN Cn1cnnc1CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)C(F)(F)F ZINC001032416273 667216253 /nfs/dbraw/zinc/21/62/53/667216253.db2.gz CLYSZEAWCGUGAH-OYNCUSHFSA-N 0 2 321.278 0.501 20 0 DCADLN Cn1nccc1C[NH2+]C[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001035141592 667254265 /nfs/dbraw/zinc/25/42/65/667254265.db2.gz BVHLULVDHGSIQF-CQSZACIVSA-N 0 2 302.382 0.397 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035641444 667396679 /nfs/dbraw/zinc/39/66/79/667396679.db2.gz MBMGWFMLYFFABM-QWRGUYRKSA-N 0 2 305.382 0.591 20 0 DCADLN O=C(c1cc(Br)cc(F)c1O)N1CC(O)(CO)C1 ZINC000868595369 667584309 /nfs/dbraw/zinc/58/43/09/667584309.db2.gz XOJNATDJUHTNMN-UHFFFAOYSA-N 0 2 320.114 0.473 20 0 DCADLN COc1ccc([C@H]2CN(C(=O)CCc3nn[nH]n3)CCN2)cc1 ZINC000870144019 667713924 /nfs/dbraw/zinc/71/39/24/667713924.db2.gz AYSKUBJWBBAPCJ-CYBMUJFWSA-N 0 2 316.365 0.314 20 0 DCADLN CCCS(=O)(=O)Nc1nc2c(s1)CS(=O)(=O)CC2 ZINC000886432202 667766358 /nfs/dbraw/zinc/76/63/58/667766358.db2.gz QYZAZVURMVLDFE-UHFFFAOYSA-N 0 2 310.422 0.766 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nnc3n2CCCCC3)S1 ZINC000742509472 667792251 /nfs/dbraw/zinc/79/22/51/667792251.db2.gz OUDLJVXXZBAOHR-MRVPVSSYSA-N 0 2 322.394 0.177 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(-n3cnnn3)c2)S1 ZINC000742501688 667792724 /nfs/dbraw/zinc/79/27/24/667792724.db2.gz QYAAWSMMIXJUPL-SECBINFHSA-N 0 2 317.334 0.157 20 0 DCADLN CCNC(=O)c1ccccc1NC(=O)CC1SC(=N)NC1=O ZINC000742537578 667792826 /nfs/dbraw/zinc/79/28/26/667792826.db2.gz YUVSWUCWSFWLFB-JTQLQIEISA-N 0 2 320.374 0.931 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCOc2cccc(F)c2)S1 ZINC000742536793 667793112 /nfs/dbraw/zinc/79/31/12/667793112.db2.gz QMDRWMXTFKRHTI-SNVBAGLBSA-N 0 2 311.338 0.877 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cn3ccsc3n2)S1 ZINC000742521866 667793283 /nfs/dbraw/zinc/79/32/83/667793283.db2.gz JYRVQKKMPIUPIR-ZETCQYMHSA-N 0 2 309.376 0.568 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000742591148 667794467 /nfs/dbraw/zinc/79/44/67/667794467.db2.gz UKBRJRMJZFXOSW-OOZYFLPDSA-N 0 2 301.368 0.247 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccnc2-n2cncn2)S1 ZINC000742589623 667794685 /nfs/dbraw/zinc/79/46/85/667794685.db2.gz RKHUJVDONNDKKS-MRVPVSSYSA-N 0 2 317.334 0.157 20 0 DCADLN CCn1nc(C)c(CNC(=O)C[C@H]2SC(=N)NC2=O)c1C ZINC000742624490 667795647 /nfs/dbraw/zinc/79/56/47/667795647.db2.gz UTRKPPOKHFUHQC-SNVBAGLBSA-N 0 2 309.395 0.693 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCOC[C@H]2CCCO2)S1 ZINC000742669660 667796826 /nfs/dbraw/zinc/79/68/26/667796826.db2.gz MXYSORXJLXOLIK-NXEZZACHSA-N 0 2 315.395 0.245 20 0 DCADLN COC(=O)C1CC2(C1)CN(c1cc[nH+]c(C)n1)C[C@H]2C(=O)[O-] ZINC000886919302 667820114 /nfs/dbraw/zinc/82/01/14/667820114.db2.gz HATNIUZZVODSFX-VTWZXRTESA-N 0 2 305.334 0.875 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3cccn3)nc2)S1 ZINC000745421411 667847285 /nfs/dbraw/zinc/84/72/85/667847285.db2.gz LVPPGAMWQBEDQX-SECBINFHSA-N 0 2 316.346 0.762 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(F)c(C(N)=O)c2)S1 ZINC000745427515 667847757 /nfs/dbraw/zinc/84/77/57/667847757.db2.gz LLWADOWMQNDVOD-QMMMGPOBSA-N 0 2 310.310 0.420 20 0 DCADLN C[C@@H](O)CN(Cc1ccccc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000747402993 667902117 /nfs/dbraw/zinc/90/21/17/667902117.db2.gz WZLRMZXXVVJVEG-ZYHUDNBSSA-N 0 2 321.402 0.952 20 0 DCADLN Cc1ccc(N2C[C@@H](C(=O)NCc3n[nH]c(=O)[nH]3)CC2=O)cc1 ZINC000748006896 667916611 /nfs/dbraw/zinc/91/66/11/667916611.db2.gz QAOXGXHTAXLAEC-JTQLQIEISA-N 0 2 315.333 0.488 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccccc1N1CCOCC1 ZINC000748057929 667917517 /nfs/dbraw/zinc/91/75/17/667917517.db2.gz VFKHFVLYVZOUCS-UHFFFAOYSA-N 0 2 303.322 0.277 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H]1Cc1ccccc1 ZINC000748416571 667927930 /nfs/dbraw/zinc/92/79/30/667927930.db2.gz BKALWWTZBBCUSB-ZDUSSCGKSA-N 0 2 323.356 0.915 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@H]1SC(=N)NC1=O)c1ccccc1 ZINC000749996356 667968687 /nfs/dbraw/zinc/96/86/87/667968687.db2.gz LRSSCQNFYXLBSR-QMTHXVAHSA-N 0 2 307.375 0.567 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCO[C@H](c3ccco3)C2)S1 ZINC000753647136 668033427 /nfs/dbraw/zinc/03/34/27/668033427.db2.gz DRFHQVNBXNCGHH-VHSXEESVSA-N 0 2 309.347 0.736 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)S1 ZINC000754195916 668042580 /nfs/dbraw/zinc/04/25/80/668042580.db2.gz IIGHNYMFKDCSOE-OINDSLJFSA-N 0 2 309.391 0.617 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@@H]1CCCO1 ZINC000756103066 668078707 /nfs/dbraw/zinc/07/87/07/668078707.db2.gz FNDBBNDHVONLSJ-MNOVXSKESA-N 0 2 305.338 0.145 20 0 DCADLN COc1nn(C)cc1CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000890030147 668084201 /nfs/dbraw/zinc/08/42/01/668084201.db2.gz YFASQTLNONOGOP-UHFFFAOYSA-N 0 2 322.287 0.502 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]2COCC[C@H]21 ZINC000757026378 668110701 /nfs/dbraw/zinc/11/07/01/668110701.db2.gz VIKWMHKTGGTGLF-ZYHUDNBSSA-N 0 2 317.349 0.099 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccn(Cc2ccccn2)n1 ZINC000762220933 668352354 /nfs/dbraw/zinc/35/23/54/668352354.db2.gz KFGBZIOJXNAQKB-UHFFFAOYSA-N 0 2 314.309 0.472 20 0 DCADLN Cc1ccc([C@@H](O)CNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000762339562 668357821 /nfs/dbraw/zinc/35/78/21/668357821.db2.gz VYILWKOWFCJKQA-WDEREUQCSA-N 0 2 307.375 0.701 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC(F)(F)Br)S1 ZINC000805246710 668358652 /nfs/dbraw/zinc/35/86/52/668358652.db2.gz KTPUPONNVDXLTE-GSVOUGTGSA-N 0 2 316.127 0.647 20 0 DCADLN CS(=O)(=O)CCOCCNC(=O)c1cc(F)c(O)c(F)c1 ZINC000762613738 668369310 /nfs/dbraw/zinc/36/93/10/668369310.db2.gz WSXASSBUMSKHIC-UHFFFAOYSA-N 0 2 323.317 0.461 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1(CO)CCCCC1 ZINC000762766310 668376348 /nfs/dbraw/zinc/37/63/48/668376348.db2.gz DINURJRXGBUYSZ-UHFFFAOYSA-N 0 2 319.365 0.130 20 0 DCADLN Cc1ccc2c(n1)N(C(=O)Cn1[nH]cc3c(=O)ncnc1-3)CCC2 ZINC000876490171 668453083 /nfs/dbraw/zinc/45/30/83/668453083.db2.gz UDLBTRBFLBWNDC-UHFFFAOYSA-N 0 2 324.344 0.754 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2OCC[C@@H]3O)S1 ZINC000768469844 668611068 /nfs/dbraw/zinc/61/10/68/668611068.db2.gz WZSASKWIVVGLRA-UWVGGRQHSA-N 0 2 321.358 0.997 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(-n3ccnn3)c2)S1 ZINC000769337829 668643634 /nfs/dbraw/zinc/64/36/34/668643634.db2.gz ZMHAXLLTCBFRGD-JTQLQIEISA-N 0 2 316.346 0.762 20 0 DCADLN Cc1n[nH]c2cc(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)ccc12 ZINC000770259234 668686145 /nfs/dbraw/zinc/68/61/45/668686145.db2.gz RAXVYSZWUSWCTF-UHFFFAOYSA-N 0 2 323.316 0.895 20 0 DCADLN CCCCCS(=O)(=O)CC(=O)NCCc1n[nH]c(=S)o1 ZINC000773098345 668792915 /nfs/dbraw/zinc/79/29/15/668792915.db2.gz VRWAHMJNIPLZBR-UHFFFAOYSA-N 0 2 321.424 0.622 20 0 DCADLN O=C(CNC(=O)c1cccs1)NCCc1n[nH]c(=S)o1 ZINC000773087056 668792942 /nfs/dbraw/zinc/79/29/42/668792942.db2.gz MSYPJQOCGGUAGT-UHFFFAOYSA-N 0 2 312.376 0.509 20 0 DCADLN CNC(=O)c1cncc(C=CC(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774946818 668852502 /nfs/dbraw/zinc/85/25/02/668852502.db2.gz HQDAYXNVLCGNIK-IHWYPQMZSA-N 0 2 303.278 0.022 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccnc(N2CCCCC2)n1 ZINC000774963161 668853100 /nfs/dbraw/zinc/85/31/00/668853100.db2.gz VBMUQADCJOSLNC-UHFFFAOYSA-N 0 2 304.310 0.648 20 0 DCADLN CC(=O)N(CCc1ccccc1)CC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774991589 668853810 /nfs/dbraw/zinc/85/38/10/668853810.db2.gz HQHQWTKNMVGKHG-UHFFFAOYSA-N 0 2 318.333 0.645 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(OCC(F)F)nc1 ZINC000774990405 668853864 /nfs/dbraw/zinc/85/38/64/668853864.db2.gz AZGMDWQZFPZMCE-UHFFFAOYSA-N 0 2 300.221 0.906 20 0 DCADLN CC(=O)N1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)C(C)(C)C1 ZINC000775578392 668865337 /nfs/dbraw/zinc/86/53/37/668865337.db2.gz JTMGDPWMGRUAFK-VIFPVBQESA-N 0 2 312.395 0.012 20 0 DCADLN O=C(CS[C@H]1CCS(=O)(=O)C1)NOCCC(F)(F)F ZINC000779328589 668909742 /nfs/dbraw/zinc/90/97/42/668909742.db2.gz USBKYXSZHVYNED-ZETCQYMHSA-N 0 2 321.342 0.907 20 0 DCADLN O=C([O-])[C@@H]1CCCN1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000780696306 669006256 /nfs/dbraw/zinc/00/62/56/669006256.db2.gz MVCQNBZAJGMJAY-RYUDHWBXSA-N 0 2 304.350 0.919 20 0 DCADLN CO[C@H]1C[C@H]2CN(Cc3n[nH]c(=O)[nH]3)Cc3cccnc3N2C1 ZINC000879270828 669006248 /nfs/dbraw/zinc/00/62/48/669006248.db2.gz ULRHKLDGFRFPID-RYUDHWBXSA-N 0 2 316.365 0.515 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](OC(F)F)C2)S1 ZINC000781412355 669042503 /nfs/dbraw/zinc/04/25/03/669042503.db2.gz FXIXDZHUWPVSDC-NKWVEPMBSA-N 0 2 307.322 0.773 20 0 DCADLN Cc1nn2c([n-]nc2SCc2cc[nH+]c(N(C)C)c2)nc1=O ZINC000782111502 669079836 /nfs/dbraw/zinc/07/98/36/669079836.db2.gz GHQFLEAHEXNLJK-UHFFFAOYSA-N 0 2 317.378 0.874 20 0 DCADLN CCOC(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cn1 ZINC000782733993 669118999 /nfs/dbraw/zinc/11/89/99/669118999.db2.gz STVGRNYHKDJWDF-VIFPVBQESA-N 0 2 322.346 0.753 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CCCN1C(=O)C(F)(F)F ZINC000786590102 669320558 /nfs/dbraw/zinc/32/05/58/669320558.db2.gz UASPTYAOXQJRIZ-YFKPBYRVSA-N 0 2 308.216 0.107 20 0 DCADLN Cc1nccnc1Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881374979 669330698 /nfs/dbraw/zinc/33/06/98/669330698.db2.gz YQURHKGDADHOQU-UHFFFAOYSA-N 0 2 300.244 0.603 20 0 DCADLN COC(=O)[C@H](NC(=O)C(F)C(F)(F)F)C1CCOCC1 ZINC000881761396 669378271 /nfs/dbraw/zinc/37/82/71/669378271.db2.gz PTVRZKYMIHDCEU-SFYZADRCSA-N 0 2 301.236 0.971 20 0 DCADLN COC(=O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CCOCC1 ZINC000881761396 669378274 /nfs/dbraw/zinc/37/82/74/669378274.db2.gz PTVRZKYMIHDCEU-SFYZADRCSA-N 0 2 301.236 0.971 20 0 DCADLN COCCOc1cc(OC)ccc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000788214846 669422910 /nfs/dbraw/zinc/42/29/10/669422910.db2.gz YGEUCTRXSLXQEE-UHFFFAOYSA-N 0 2 323.305 0.901 20 0 DCADLN CSC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC000790076104 669535943 /nfs/dbraw/zinc/53/59/43/669535943.db2.gz ATRFTFHUAFXYLZ-UHFFFAOYSA-N 0 2 307.379 0.473 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2ccccc2)C1=O)c1nc(=O)[nH][nH]1 ZINC000130045660 669581462 /nfs/dbraw/zinc/58/14/62/669581462.db2.gz CYKFDKRUZSVWSW-NSHDSACASA-N 0 2 315.333 0.019 20 0 DCADLN O=C(C[N@H+]1CC[C@H]2[C@H]1CCCN2CCO)NCc1ccccc1 ZINC000934258849 669587563 /nfs/dbraw/zinc/58/75/63/669587563.db2.gz NLPRCANNCRNLPI-SJORKVTESA-N 0 2 317.433 0.834 20 0 DCADLN C[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@@H](C2CC2)O1 ZINC000791839429 669633805 /nfs/dbraw/zinc/63/38/05/669633805.db2.gz KTUKBGNRSTYFBU-CABZTGNLSA-N 0 2 317.349 0.097 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)C(=O)NC3)S1 ZINC000792811908 669687903 /nfs/dbraw/zinc/68/79/03/669687903.db2.gz HGGWGGHVIVPIRI-VIFPVBQESA-N 0 2 304.331 0.425 20 0 DCADLN Cc1cccc2c1OC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C2 ZINC000794169947 669803572 /nfs/dbraw/zinc/80/35/72/669803572.db2.gz PTWKMRGQMQSNCM-GHMZBOCLSA-N 0 2 319.386 0.971 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@]2(C1)CCCOC2 ZINC000794456592 669837395 /nfs/dbraw/zinc/83/73/95/669837395.db2.gz WGVXHDFDHPDERI-OAHLLOKOSA-N 0 2 317.349 0.100 20 0 DCADLN CC(C)(C)OC(=O)N1[C@@H]2C[C@@H]2C[C@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000796035078 669919118 /nfs/dbraw/zinc/91/91/18/669919118.db2.gz ZWFDHDDOGFQUQH-HLTSFMKQSA-N 0 2 324.337 0.951 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@H](OC)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950663410 670088339 /nfs/dbraw/zinc/08/83/39/670088339.db2.gz RQEHYABIPPDMGG-JQWIXIFHSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(O[C@H]1CCNC1=O)c1nn(-c2ccc(F)cc2F)cc1O ZINC000801412745 670128465 /nfs/dbraw/zinc/12/84/65/670128465.db2.gz AJWDCPRJFCNLOW-NSHDSACASA-N 0 2 323.255 0.901 20 0 DCADLN CC(C)Nc1nc(N2CCC[C@@H]2CCO)nc(NCCO)[nH+]1 ZINC000804625473 670301996 /nfs/dbraw/zinc/30/19/96/670301996.db2.gz REAMFOSAJUKWBF-LLVKDONJSA-N 0 2 310.402 0.447 20 0 DCADLN CCOC(=O)c1cc(S(=O)(=O)Nc2cnnn2C)cn1C ZINC000808564862 670415686 /nfs/dbraw/zinc/41/56/86/670415686.db2.gz JYQFYFGADZRYAU-UHFFFAOYSA-N 0 2 313.339 0.131 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)c1ccn[nH]1 ZINC000949760114 670425249 /nfs/dbraw/zinc/42/52/49/670425249.db2.gz DKOFQDFGIOJHPR-FTLITQJKSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1NC(=O)c1ccn[nH]1 ZINC000949760114 670425251 /nfs/dbraw/zinc/42/52/51/670425251.db2.gz DKOFQDFGIOJHPR-FTLITQJKSA-N 0 2 322.262 0.887 20 0 DCADLN CCS(=O)(=O)NC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810103982 670476037 /nfs/dbraw/zinc/47/60/37/670476037.db2.gz UYAQFKRSEBYKNO-QMMMGPOBSA-N 0 2 320.308 0.817 20 0 DCADLN CCS(=O)(=O)NC1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000810103982 670476041 /nfs/dbraw/zinc/47/60/41/670476041.db2.gz UYAQFKRSEBYKNO-QMMMGPOBSA-N 0 2 320.308 0.817 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000810120222 670476832 /nfs/dbraw/zinc/47/68/32/670476832.db2.gz NNTCKGNUSDVGKL-YUMQZZPRSA-N 0 2 320.308 0.675 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000810120222 670476833 /nfs/dbraw/zinc/47/68/33/670476833.db2.gz NNTCKGNUSDVGKL-YUMQZZPRSA-N 0 2 320.308 0.675 20 0 DCADLN Cn1ccnc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810356113 670480934 /nfs/dbraw/zinc/48/09/34/670480934.db2.gz NPIRGMOZIXKJEP-JTQLQIEISA-N 0 2 308.279 0.965 20 0 DCADLN Cn1ccnc1CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000810356113 670480938 /nfs/dbraw/zinc/48/09/38/670480938.db2.gz NPIRGMOZIXKJEP-JTQLQIEISA-N 0 2 308.279 0.965 20 0 DCADLN C[Si](C)(C)c1ccc(CC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000810468711 670486613 /nfs/dbraw/zinc/48/66/13/670486613.db2.gz JFDIDGZEFDDDFM-UHFFFAOYSA-N 0 2 304.426 0.914 20 0 DCADLN COCCO[C@@H]1COCC[C@H]1NC(=O)C(F)C(F)(F)F ZINC000811195293 670516043 /nfs/dbraw/zinc/51/60/43/670516043.db2.gz GMZLVXFGUPSVCD-HLTSFMKQSA-N 0 2 303.252 0.824 20 0 DCADLN COCCO[C@@H]1COCC[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC000811195293 670516046 /nfs/dbraw/zinc/51/60/46/670516046.db2.gz GMZLVXFGUPSVCD-HLTSFMKQSA-N 0 2 303.252 0.824 20 0 DCADLN Cc1ccc(N2CCC(C(=O)Nn3c(=O)[n-][nH]c3=O)CC2)[nH+]c1 ZINC000854613455 670597501 /nfs/dbraw/zinc/59/75/01/670597501.db2.gz RLRCUCSFNMEPGB-UHFFFAOYSA-N 0 2 318.337 0.379 20 0 DCADLN CC(C)[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C1(CO)CCC1 ZINC000848120960 670636616 /nfs/dbraw/zinc/63/66/16/670636616.db2.gz SVFZGXYNRRCSJU-KOLCDFICSA-N 0 2 313.423 0.846 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCS(=O)(=O)C[C@@H]1C1CC1 ZINC000854812108 670637598 /nfs/dbraw/zinc/63/75/98/670637598.db2.gz ZIZLVERODBZDLR-SFYZADRCSA-N 0 2 303.277 0.922 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCS(=O)(=O)C[C@@H]1C1CC1 ZINC000854812108 670637600 /nfs/dbraw/zinc/63/76/00/670637600.db2.gz ZIZLVERODBZDLR-SFYZADRCSA-N 0 2 303.277 0.922 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CCn2nnc(CO)c2C1 ZINC000848385787 670676878 /nfs/dbraw/zinc/67/68/78/670676878.db2.gz WJEIFDRMAGTSPL-UHFFFAOYSA-N 0 2 308.725 0.785 20 0 DCADLN COc1cccc(OC)c1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000855389011 670703338 /nfs/dbraw/zinc/70/33/38/670703338.db2.gz VRHHBJMBFUZIGS-LLVKDONJSA-N 0 2 323.374 0.876 20 0 DCADLN Cn1ncc(C2CCC2)c1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000855966472 670773978 /nfs/dbraw/zinc/77/39/78/670773978.db2.gz ZRUPMHVUFOAGPI-LLVKDONJSA-N 0 2 321.406 0.860 20 0 DCADLN CC1(C)OCC[C@@H]1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000856260176 670798682 /nfs/dbraw/zinc/79/86/82/670798682.db2.gz MVEOJBFCLOJVEU-SECBINFHSA-N 0 2 305.338 0.003 20 0 DCADLN O=C([C@H]1CCCC12OCCO2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000816542455 670820342 /nfs/dbraw/zinc/82/03/42/670820342.db2.gz PLWNQTKSLAEDGZ-GHMZBOCLSA-N 0 2 322.365 0.760 20 0 DCADLN CO[C@@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCCC1 ZINC000849726492 670843332 /nfs/dbraw/zinc/84/33/32/670843332.db2.gz LOIFZGWOUDWOFE-LBPRGKRZSA-N 0 2 320.349 0.820 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cccc3c2OCC3)S1 ZINC000857810848 670952334 /nfs/dbraw/zinc/95/23/34/670952334.db2.gz BTUSRFPTRXPEEZ-SNVBAGLBSA-N 0 2 305.359 0.794 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](CC(N)=O)c2ccccc2)S1 ZINC000818566002 671031040 /nfs/dbraw/zinc/03/10/40/671031040.db2.gz KIPDZXNQOVRLFX-UWVGGRQHSA-N 0 2 320.374 0.276 20 0 DCADLN O=C(NCCC[NH+]1CCC([N@H+]2CC[C@H](O)C2)CC1)C(F)(F)F ZINC000852708038 671152226 /nfs/dbraw/zinc/15/22/26/671152226.db2.gz OWEXVHLPTJYLPF-LBPRGKRZSA-N 0 2 323.359 0.586 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2C[C@H]2C2CCOCC2)S1 ZINC000825613604 671467874 /nfs/dbraw/zinc/46/78/74/671467874.db2.gz JSDHSODSODROGM-DCAQKATOSA-N 0 2 311.407 0.722 20 0 DCADLN C[NH+]1Cc2ccccc2[C@@H]1CNC(=O)[C@@H]1C[N@@H+]2CCN1CCC2 ZINC000826710911 671497813 /nfs/dbraw/zinc/49/78/13/671497813.db2.gz VUQFEBNXPIJPGR-IRXDYDNUSA-N 0 2 314.433 0.679 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@@H+](C)[C@H](C)CO)nc(=O)c12 ZINC000833741703 671749545 /nfs/dbraw/zinc/74/95/45/671749545.db2.gz VDZSOEDPIXNTLY-ZCFIWIBFSA-N 0 2 311.363 0.804 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@H+](C)[C@H](C)CO)nc(=O)c12 ZINC000833741703 671749548 /nfs/dbraw/zinc/74/95/48/671749548.db2.gz VDZSOEDPIXNTLY-ZCFIWIBFSA-N 0 2 311.363 0.804 20 0 DCADLN CCO[C@@H]1C[C@H](NS(=O)(=O)N=[S@@](C)(=O)CC)[C@@H]1OC ZINC000867107458 671751925 /nfs/dbraw/zinc/75/19/25/671751925.db2.gz XJGVTXYHGKBZRR-HALYEIPWSA-N 0 2 314.429 0.131 20 0 DCADLN CC(C)[C@@H](CO)ONC(=O)[C@@H]1CN(C)C(=O)c2ccccc21 ZINC000836901353 671838703 /nfs/dbraw/zinc/83/87/03/671838703.db2.gz WZYMWRCLTQPUHL-ZIAGYGMSSA-N 0 2 306.362 0.921 20 0 DCADLN CC(C)(CNC(=O)C[C@H]1SC(=N)NC1=O)C1(O)CCCC1 ZINC000837110537 671845474 /nfs/dbraw/zinc/84/54/74/671845474.db2.gz YAQGXMLVMVXHJS-SECBINFHSA-N 0 2 313.423 0.990 20 0 DCADLN CC[C@H](NS(=O)(=O)N=[S@@](C)(=O)CC)[C@H](O)C(F)F ZINC000867331168 672116357 /nfs/dbraw/zinc/11/63/57/672116357.db2.gz QBYUXKLIOVTUEL-OLIMHSOTSA-N 0 2 308.372 0.343 20 0 DCADLN O=C([C@H](O)c1cccnc1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843875262 672126920 /nfs/dbraw/zinc/12/69/20/672126920.db2.gz LAVPRXRTJGCDOO-LLVKDONJSA-N 0 2 303.322 0.345 20 0 DCADLN Cn1c(C=O)ccc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843879083 672127588 /nfs/dbraw/zinc/12/75/88/672127588.db2.gz TVSQOVHUDNKAGN-SECBINFHSA-N 0 2 303.322 0.681 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](Nc3ncccn3)C2)S1 ZINC000843941671 672130309 /nfs/dbraw/zinc/13/03/09/672130309.db2.gz IWBYPYWZWSBQNP-IUCAKERBSA-N 0 2 320.378 0.046 20 0 DCADLN CCONC(=O)CN[C@@H](C(=O)OC)c1cccc(C(=O)OC)c1 ZINC000844630886 672190281 /nfs/dbraw/zinc/19/02/81/672190281.db2.gz BVQRUJVMTBIDSI-CYBMUJFWSA-N 0 2 324.333 0.345 20 0 DCADLN COC[C@H](C)Cc1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000844880071 672208006 /nfs/dbraw/zinc/20/80/06/672208006.db2.gz HQBZYZMYZUWTQJ-MRVPVSSYSA-N 0 2 304.310 0.328 20 0 DCADLN C[C@@H](COCC1CC1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000844937909 672210606 /nfs/dbraw/zinc/21/06/06/672210606.db2.gz ZWEIPIYCPKTPMJ-VIFPVBQESA-N 0 2 305.338 0.003 20 0 DCADLN O=S(=O)(c1cc(O)cc(F)c1)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000867430596 672328081 /nfs/dbraw/zinc/32/80/81/672328081.db2.gz KNCFXHHCKDEVRC-MRVPVSSYSA-N 0 2 312.326 0.828 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@]2(CC2(F)F)C1 ZINC000846575673 672328615 /nfs/dbraw/zinc/32/86/15/672328615.db2.gz REPRGTKSHQSWGY-ZDUSSCGKSA-N 0 2 323.303 0.719 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CNc2ccccc2C1 ZINC000846693058 672338168 /nfs/dbraw/zinc/33/81/68/672338168.db2.gz ZYQMCPRAEQCJJK-NSHDSACASA-N 0 2 324.344 0.224 20 0 DCADLN CN(C(=O)c1ncc[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954224690 685972709 /nfs/dbraw/zinc/97/27/09/685972709.db2.gz BBBLEJAQGKINJH-ZETCQYMHSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)c1ncc[nH]1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954224690 685972710 /nfs/dbraw/zinc/97/27/10/685972710.db2.gz BBBLEJAQGKINJH-ZETCQYMHSA-N 0 2 308.235 0.593 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)cc1 ZINC001038011256 693984996 /nfs/dbraw/zinc/98/49/96/693984996.db2.gz IEEVOQPZLFOJFM-NSHDSACASA-N 0 2 305.313 0.654 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)ns1 ZINC001038204487 694013199 /nfs/dbraw/zinc/01/31/99/694013199.db2.gz PVXSHVZXRVBBRN-QMMMGPOBSA-N 0 2 308.367 0.280 20 0 DCADLN CCN(C(=O)[C@H]1C[C@@]1(C)CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957067161 686951544 /nfs/dbraw/zinc/95/15/44/686951544.db2.gz JPSXRBRWOXITJF-IAQYHMDHSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(c1cc(Cl)no1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957419104 687059180 /nfs/dbraw/zinc/05/91/80/687059180.db2.gz VCWHVSJMAMKNGB-UHFFFAOYSA-N 0 2 312.717 0.110 20 0 DCADLN Cc1ccsc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958108784 687352725 /nfs/dbraw/zinc/35/27/25/687352725.db2.gz SGXBYJBFMOYJRS-JZYVYDRUSA-N 0 2 319.390 0.740 20 0 DCADLN Cc1ccsc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958108784 687352727 /nfs/dbraw/zinc/35/27/27/687352727.db2.gz SGXBYJBFMOYJRS-JZYVYDRUSA-N 0 2 319.390 0.740 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)co1 ZINC000958672620 687854224 /nfs/dbraw/zinc/85/42/24/687854224.db2.gz SLASKUYSPQDLAT-IAZYJMLFSA-N 0 2 303.322 0.272 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)co1 ZINC000958672620 687854236 /nfs/dbraw/zinc/85/42/36/687854236.db2.gz SLASKUYSPQDLAT-IAZYJMLFSA-N 0 2 303.322 0.272 20 0 DCADLN O=C(N[C@@H]1Cc2ccccc2[C@H]1[NH2+]Cc1n[nH]c(=O)[n-]1)C(F)F ZINC000960238547 688331665 /nfs/dbraw/zinc/33/16/65/688331665.db2.gz DUEOQJJDFAGLKU-MWLCHTKSSA-N 0 2 323.303 0.647 20 0 DCADLN O=C(N[C@@H]1Cc2ccccc2[C@H]1NCc1n[nH]c(=O)[nH]1)C(F)F ZINC000960238547 688331669 /nfs/dbraw/zinc/33/16/69/688331669.db2.gz DUEOQJJDFAGLKU-MWLCHTKSSA-N 0 2 323.303 0.647 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@@H]2CN(C(=O)C3CC3)C[C@@H]21 ZINC000960492938 688395293 /nfs/dbraw/zinc/39/52/93/688395293.db2.gz UTZMWPZLWXCUSD-AEJSXWLSSA-N 0 2 324.274 0.735 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCO[C@@H]2CN(C(=O)C3CC3)C[C@@H]21 ZINC000960492938 688395302 /nfs/dbraw/zinc/39/53/02/688395302.db2.gz UTZMWPZLWXCUSD-AEJSXWLSSA-N 0 2 324.274 0.735 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccn1 ZINC001038830547 694207431 /nfs/dbraw/zinc/20/74/31/694207431.db2.gz XBMUVCDWXUOMAL-NSHDSACASA-N 0 2 302.338 0.218 20 0 DCADLN CCc1nc(C)c(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038892438 694231624 /nfs/dbraw/zinc/23/16/24/694231624.db2.gz YAJKYWPBCVLXJD-SECBINFHSA-N 0 2 320.353 0.373 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc2c([nH]1)CCC2 ZINC001038905790 694235950 /nfs/dbraw/zinc/23/59/50/694235950.db2.gz LVLBBMSDJUOMFK-SNVBAGLBSA-N 0 2 316.365 0.331 20 0 DCADLN CC(C)n1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001038914972 694238531 /nfs/dbraw/zinc/23/85/31/694238531.db2.gz SYCGHJJCVUXRLE-NSHDSACASA-N 0 2 319.369 0.292 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1cc(Cl)no1 ZINC000962362033 688894792 /nfs/dbraw/zinc/89/47/92/688894792.db2.gz ZSLFDGMONUMKCG-KJXVDCTPSA-N 0 2 324.728 0.012 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1cc(Cl)no1 ZINC000962362033 688894794 /nfs/dbraw/zinc/89/47/94/688894794.db2.gz ZSLFDGMONUMKCG-KJXVDCTPSA-N 0 2 324.728 0.012 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1c(F)cccc1F ZINC001038952441 694252591 /nfs/dbraw/zinc/25/25/91/694252591.db2.gz GNMJZROEEOZLIC-QMMMGPOBSA-N 0 2 323.303 0.793 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cccnc1 ZINC000964551738 689260671 /nfs/dbraw/zinc/26/06/71/689260671.db2.gz UQCIQRLNKPHLNF-PWSUYJOCSA-N 0 2 316.365 0.546 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)c1cc[nH]c1 ZINC000965955461 689593358 /nfs/dbraw/zinc/59/33/58/689593358.db2.gz NUOPRMHRPUZAJR-VGMNWLOBSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O)c1cc[nH]c1 ZINC000965955461 689593361 /nfs/dbraw/zinc/59/33/61/689593361.db2.gz NUOPRMHRPUZAJR-VGMNWLOBSA-N 0 2 323.246 0.217 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000965973436 689597571 /nfs/dbraw/zinc/59/75/71/689597571.db2.gz BTVWYGOZTHXDIG-MWLCHTKSSA-N 0 2 318.381 0.787 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000967967444 690137523 /nfs/dbraw/zinc/13/75/23/690137523.db2.gz MUMQUAMRQCQUOJ-YYHQMBLXSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000967967444 690137529 /nfs/dbraw/zinc/13/75/29/690137529.db2.gz MUMQUAMRQCQUOJ-YYHQMBLXSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1nccs1 ZINC000968463263 690281449 /nfs/dbraw/zinc/28/14/49/690281449.db2.gz AMJFKZQEHNEOFS-BDAKNGLRSA-N 0 2 322.394 0.607 20 0 DCADLN Cc1ncsc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006837362 690594674 /nfs/dbraw/zinc/59/46/74/690594674.db2.gz XZEIZBMUTNMGLJ-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN COC1CC(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001007557511 690703393 /nfs/dbraw/zinc/70/33/93/690703393.db2.gz UEWWAATUYXRZDY-YVNMAJEFSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1nccs1 ZINC001008956309 690962385 /nfs/dbraw/zinc/96/23/85/690962385.db2.gz AXKHXRSMOSOYJS-QMMMGPOBSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(C[C@H]1CCCOC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009555971 691059664 /nfs/dbraw/zinc/05/96/64/691059664.db2.gz YIBZZIIMGUESLJ-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccnc(F)c1 ZINC001010358092 691236372 /nfs/dbraw/zinc/23/63/72/691236372.db2.gz OOJSWGDZBCRWLF-SECBINFHSA-N 0 2 306.301 0.049 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1[nH]ccc1C1CC1 ZINC001014683876 692071400 /nfs/dbraw/zinc/07/14/00/692071400.db2.gz QXAFIWLBRLGRIU-JTQLQIEISA-N 0 2 316.365 0.720 20 0 DCADLN CC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC001015848168 692468819 /nfs/dbraw/zinc/46/88/19/692468819.db2.gz XDKIOCCHZFPPEB-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cnoc1C1CC1 ZINC001015932846 692511808 /nfs/dbraw/zinc/51/18/08/692511808.db2.gz BHRUOWHMNGNMOU-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncccc1Cl ZINC001016043478 692557091 /nfs/dbraw/zinc/55/70/91/692557091.db2.gz DWOMIZDZRKXMBC-MRVPVSSYSA-N 0 2 322.756 0.563 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001016207716 692599958 /nfs/dbraw/zinc/59/99/58/692599958.db2.gz ONCQRQZBLCFMQE-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN Cc1ncc(C[NH2+][C@H]2CCN(C(=O)[C@@H]3C[N@@H+](C)CCO3)C2)s1 ZINC001018664010 693186365 /nfs/dbraw/zinc/18/63/65/693186365.db2.gz VLXHEKHVIFLOQN-JSGCOSHPSA-N 0 2 324.450 0.473 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([NH2+][C@@H](C)c3ncccn3)C2)c1[O-] ZINC001018724551 693207842 /nfs/dbraw/zinc/20/78/42/693207842.db2.gz YULXKPCXNLXDEK-QWRGUYRKSA-N 0 2 316.365 0.779 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1=CCCC1 ZINC001073574260 694745023 /nfs/dbraw/zinc/74/50/23/694745023.db2.gz NRQLHQBYXGHHDA-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN CCC(=O)N1CCC(O)(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001074373859 694879161 /nfs/dbraw/zinc/87/91/61/694879161.db2.gz YQEANNKSYOWPHO-UHFFFAOYSA-N 0 2 308.382 0.140 20 0 DCADLN Cc1cc[nH]c1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075750213 694973443 /nfs/dbraw/zinc/97/34/43/694973443.db2.gz BQLOUMJQHFHGLG-WDEREUQCSA-N 0 2 316.365 0.493 20 0 DCADLN Cc1cc[nH]c1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075750213 694973444 /nfs/dbraw/zinc/97/34/44/694973444.db2.gz BQLOUMJQHFHGLG-WDEREUQCSA-N 0 2 316.365 0.493 20 0 DCADLN C[C@@H](CCNC(=O)[C@H]1CCOC1)NC(=O)C(F)C(F)(F)F ZINC001076817630 695064916 /nfs/dbraw/zinc/06/49/16/695064916.db2.gz OUXHZUGVTNGDCG-CIUDSAMLSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CCNC(=O)[C@H]1CCOC1)NC(=O)[C@H](F)C(F)(F)F ZINC001076817630 695064920 /nfs/dbraw/zinc/06/49/20/695064920.db2.gz OUXHZUGVTNGDCG-CIUDSAMLSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC[N@H+](C)C[C@H]1CCCN1C(=O)c1[nH]nc(C)c1[O-] ZINC001570528147 1162535022 /nfs/dbraw/zinc/53/50/22/1162535022.db2.gz OLEOSECLDLDWBQ-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN COCCC[N@@H+](C)C[C@H]1CCCN1C(=O)c1[nH]nc(C)c1[O-] ZINC001570528147 1162535027 /nfs/dbraw/zinc/53/50/27/1162535027.db2.gz OLEOSECLDLDWBQ-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN O=C(C[C@H]1CCOC1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571694363 1162909641 /nfs/dbraw/zinc/90/96/41/1162909641.db2.gz GIJBBBRHAMHIGM-GFCCVEGCSA-N 0 2 321.381 0.185 20 0 DCADLN O=C(C[C@H]1CCOC1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571694363 1162909649 /nfs/dbraw/zinc/90/96/49/1162909649.db2.gz GIJBBBRHAMHIGM-GFCCVEGCSA-N 0 2 321.381 0.185 20 0 DCADLN CCc1ncoc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088845059 1158447383 /nfs/dbraw/zinc/44/73/83/1158447383.db2.gz KZRQUEQFTARASE-SCZZXKLOSA-N 0 2 320.353 0.454 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(C[N@@H+](CC(=O)[O-])CC2CC2)o1 ZINC001771759126 1158668116 /nfs/dbraw/zinc/66/81/16/1158668116.db2.gz ZZSISDFQTZLYPD-UHFFFAOYSA-N 0 2 316.379 0.827 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(C[N@H+](CC(=O)[O-])CC2CC2)o1 ZINC001771759126 1158668117 /nfs/dbraw/zinc/66/81/17/1158668117.db2.gz ZZSISDFQTZLYPD-UHFFFAOYSA-N 0 2 316.379 0.827 20 0 DCADLN Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)c2cc(=O)n(C)[n-]2)C1 ZINC001771793703 1159104038 /nfs/dbraw/zinc/10/40/38/1159104038.db2.gz SUGOCCAWHIQJFA-LLVKDONJSA-N 0 2 303.366 0.954 20 0 DCADLN COc1cnc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nc1 ZINC001349162477 1159174121 /nfs/dbraw/zinc/17/41/21/1159174121.db2.gz DLDPNKLXVHSNSB-SSDOTTSWSA-N 0 2 324.234 0.232 20 0 DCADLN COc1cnc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)nc1 ZINC001349162477 1159174122 /nfs/dbraw/zinc/17/41/22/1159174122.db2.gz DLDPNKLXVHSNSB-SSDOTTSWSA-N 0 2 324.234 0.232 20 0 DCADLN Cn1cc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001446034366 1159329162 /nfs/dbraw/zinc/32/91/62/1159329162.db2.gz YPGNHICJDGVGPX-BDAKNGLRSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cc(CN2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001446034366 1159329170 /nfs/dbraw/zinc/32/91/70/1159329170.db2.gz YPGNHICJDGVGPX-BDAKNGLRSA-N 0 2 309.267 0.406 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCCN(C(=O)C2CC2)C1)c1nn(C)cc1O ZINC001456797793 1159762704 /nfs/dbraw/zinc/76/27/04/1159762704.db2.gz SMVFJKBWYZDZSS-JQWIXIFHSA-N 0 2 320.393 0.952 20 0 DCADLN CC(C)(C)N1C[C@H](C(=O)NCCc2n[nH]c(=S)o2)CC1=O ZINC001567852196 1160501260 /nfs/dbraw/zinc/50/12/60/1160501260.db2.gz BFBASXRJEYCNGF-MRVPVSSYSA-N 0 2 312.395 0.664 20 0 DCADLN CN(CCC[NH2+]Cc1noc(C2CC2)n1)C(=O)[C@H]1CCC[N@@H+]1C ZINC001569188794 1160970673 /nfs/dbraw/zinc/97/06/73/1160970673.db2.gz MDSGWHWVQFGJSS-CYBMUJFWSA-N 0 2 321.425 0.979 20 0 DCADLN C[C@H](C(=O)N[C@H](C(=O)[O-])[C@@H](O)c1cccnc1)n1cc[nH+]c1 ZINC001573400101 1163426429 /nfs/dbraw/zinc/42/64/29/1163426429.db2.gz SURMFTGTVYPHHG-USWWRNFRSA-N 0 2 304.306 0.142 20 0 DCADLN C[C@H](NC(=O)[C@H](C)[NH+]1[C@@H](C)CC[C@@H]1C)c1nc(C(=O)[O-])n[nH]1 ZINC001573553902 1163494303 /nfs/dbraw/zinc/49/43/03/1163494303.db2.gz MTVHEQGTJPKLRA-XKNYDFJKSA-N 0 2 309.370 0.941 20 0 DCADLN CC[C@H](CO)[NH+]1CCN(C(=O)c2cnc(C(=O)[O-])s2)CC1 ZINC001573698385 1163537300 /nfs/dbraw/zinc/53/73/00/1163537300.db2.gz BBMGFTJWUMBOGV-SECBINFHSA-N 0 2 313.379 0.370 20 0 DCADLN CCCC[N@H+](CC(N)=O)Cc1cnc2c(C(=O)[O-])cnn2c1 ZINC001573735399 1163543989 /nfs/dbraw/zinc/54/39/89/1163543989.db2.gz LWSSFTSOVKPBEC-UHFFFAOYSA-N 0 2 305.338 0.515 20 0 DCADLN CCCC[N@@H+](CC(N)=O)Cc1cnc2c(C(=O)[O-])cnn2c1 ZINC001573735399 1163543994 /nfs/dbraw/zinc/54/39/94/1163543994.db2.gz LWSSFTSOVKPBEC-UHFFFAOYSA-N 0 2 305.338 0.515 20 0 DCADLN CC(C)C(=O)N(C)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001573948684 1163598220 /nfs/dbraw/zinc/59/82/20/1163598220.db2.gz FGQNODCRTPNALM-UHFFFAOYSA-N 0 2 316.365 0.589 20 0 DCADLN Cn1cc(C(=O)[O-])c(NC(=O)Cc2cn3c([nH+]2)CCCC3)n1 ZINC001574211692 1163666009 /nfs/dbraw/zinc/66/60/09/1163666009.db2.gz LGRQCZGXVJPIRR-UHFFFAOYSA-N 0 2 303.322 0.832 20 0 DCADLN O=C([O-])[C@H](NC(=O)CCCn1cc[nH+]c1)[C@@H](O)c1cccnc1 ZINC001574293260 1163686230 /nfs/dbraw/zinc/68/62/30/1163686230.db2.gz APWSDPFGPBCLAP-KGLIPLIRSA-N 0 2 318.333 0.361 20 0 DCADLN O=C([O-])N1CSC[C@H]1C(=O)NCc1[nH]c2c([nH+]1)CCCC2 ZINC001574331197 1163697508 /nfs/dbraw/zinc/69/75/08/1163697508.db2.gz PDMFSJRTDYGSKU-JTQLQIEISA-N 0 2 310.379 0.958 20 0 DCADLN O=C([O-])C[C@H](CO)NC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC001574356725 1163703084 /nfs/dbraw/zinc/70/30/84/1163703084.db2.gz IUUTYTLPFGWQAN-SECBINFHSA-N 0 2 305.290 0.143 20 0 DCADLN O=C([O-])c1cnn2cc(C[N@H+]3CCSC[C@H]3CO)cnc12 ZINC001574422663 1163719654 /nfs/dbraw/zinc/71/96/54/1163719654.db2.gz JSMVTFZSBRRRHV-SNVBAGLBSA-N 0 2 308.363 0.337 20 0 DCADLN O=C([O-])c1cnn2cc(C[N@@H+]3CCSC[C@H]3CO)cnc12 ZINC001574422663 1163719659 /nfs/dbraw/zinc/71/96/59/1163719659.db2.gz JSMVTFZSBRRRHV-SNVBAGLBSA-N 0 2 308.363 0.337 20 0 DCADLN CC(C)=CC(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001574840163 1163768305 /nfs/dbraw/zinc/76/83/05/1163768305.db2.gz SDIMIPMLGFSNRP-UHFFFAOYSA-N 0 2 316.365 0.622 20 0 DCADLN O=C(CCn1cccn1)NCCNC(=O)C(F)C(F)(F)F ZINC001574885021 1163784583 /nfs/dbraw/zinc/78/45/83/1163784583.db2.gz DRKQEFBDJRYWOU-VIFPVBQESA-N 0 2 310.251 0.406 20 0 DCADLN O=C(CCn1cccn1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001574885021 1163784590 /nfs/dbraw/zinc/78/45/90/1163784590.db2.gz DRKQEFBDJRYWOU-VIFPVBQESA-N 0 2 310.251 0.406 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)C1=CCOCC1 ZINC001575794995 1164154871 /nfs/dbraw/zinc/15/48/71/1164154871.db2.gz PEBQIJRRMHJUQR-SECBINFHSA-N 0 2 312.263 0.856 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)C1=CCOCC1 ZINC001575794995 1164154872 /nfs/dbraw/zinc/15/48/72/1164154872.db2.gz PEBQIJRRMHJUQR-SECBINFHSA-N 0 2 312.263 0.856 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(F)C(F)(F)F ZINC001575902556 1164181236 /nfs/dbraw/zinc/18/12/36/1164181236.db2.gz XIZSIUSCQYUETJ-RYPBNFRJSA-N 0 2 312.263 0.402 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@@H](F)C(F)(F)F ZINC001575902556 1164181240 /nfs/dbraw/zinc/18/12/40/1164181240.db2.gz XIZSIUSCQYUETJ-RYPBNFRJSA-N 0 2 312.263 0.402 20 0 DCADLN COC(=O)[C@H](Cc1cnn[nH]1)NC(=O)c1cc(Cl)ccc1O ZINC001576912979 1164530551 /nfs/dbraw/zinc/53/05/51/1164530551.db2.gz JVHWCDXEQTYVAM-JTQLQIEISA-N 0 2 324.724 0.678 20 0 DCADLN Cc1c[nH]cc1C(=O)N(C)C[C@@H]1CC[N@H+]1CCc1c[nH]nn1 ZINC001577246199 1164648421 /nfs/dbraw/zinc/64/84/21/1164648421.db2.gz USAUWPOIVHCJLU-ZDUSSCGKSA-N 0 2 302.382 0.830 20 0 DCADLN O=C(CSc1n[nH]c(=S)s1)NCCc1cn[nH]n1 ZINC001577957635 1164862038 /nfs/dbraw/zinc/86/20/38/1164862038.db2.gz AZETWZLALOZDOI-UHFFFAOYSA-N 0 2 302.410 0.396 20 0 DCADLN O=C(CSc1n[nH]c(=S)s1)NCCc1c[nH]nn1 ZINC001577957635 1164862043 /nfs/dbraw/zinc/86/20/43/1164862043.db2.gz AZETWZLALOZDOI-UHFFFAOYSA-N 0 2 302.410 0.396 20 0 DCADLN CCc1nc(CNC(=O)Nc2ccnc(-c3nn[nH]n3)c2)n[nH]1 ZINC001578619889 1165069679 /nfs/dbraw/zinc/06/96/79/1165069679.db2.gz OHQRVLGYWWINCY-UHFFFAOYSA-N 0 2 314.313 0.264 20 0 DCADLN COC(=O)c1cc(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)on1 ZINC001579479165 1165326677 /nfs/dbraw/zinc/32/66/77/1165326677.db2.gz FSMBMDYXICVABL-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN COC(=O)c1sccc1Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001579493273 1165332677 /nfs/dbraw/zinc/33/26/77/1165332677.db2.gz MDMNDKWNZAEKER-UHFFFAOYSA-N 0 2 318.318 0.320 20 0 DCADLN Cc1cc(C[NH3+])oc1C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC001579595666 1165355158 /nfs/dbraw/zinc/35/51/58/1165355158.db2.gz IYVSFQWIHZLNPV-GFCCVEGCSA-N 0 2 309.410 0.964 20 0 DCADLN CO[C@H]1CC[C@@H]1N(C)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001579614234 1165358855 /nfs/dbraw/zinc/35/88/55/1165358855.db2.gz DGQBCSNGWOXYGH-UWVGGRQHSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(Cc2ccccc2F)c(=O)n1C ZINC001580521854 1165629833 /nfs/dbraw/zinc/62/98/33/1165629833.db2.gz AJNQCFHSDMWCIY-UHFFFAOYSA-N 0 2 316.296 0.223 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@@H](O)CC1 ZINC001580598539 1165649750 /nfs/dbraw/zinc/64/97/50/1165649750.db2.gz YWQRWCXAHKPHFI-SECBINFHSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CCCCO1 ZINC001580604999 1165654255 /nfs/dbraw/zinc/65/42/55/1165654255.db2.gz VUGUPAQPWMZFBZ-SECBINFHSA-N 0 2 318.337 0.575 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)Nc2ccccc2)c1 ZINC001580608953 1165655623 /nfs/dbraw/zinc/65/56/23/1165655623.db2.gz OBDICHBINKHSTH-UHFFFAOYSA-N 0 2 310.317 0.976 20 0 DCADLN C[C@@](CNC(=O)[C@@H]1CCC(F)(F)C1)(NC(=O)C(N)=O)C1CC1 ZINC001582658725 1166062849 /nfs/dbraw/zinc/06/28/49/1166062849.db2.gz HXQKQRKNIAYCKJ-OQPBUACISA-N 0 2 317.336 0.308 20 0 DCADLN CCOCCn1cc(C(=O)N[C@@](C)(CNC(C)=O)C2CC2)cn1 ZINC001582656390 1166063204 /nfs/dbraw/zinc/06/32/04/1166063204.db2.gz PRRCEIFUVCEZNG-INIZCTEOSA-N 0 2 322.409 0.954 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1COC1CCOCC1)CCC2 ZINC001582806842 1166086162 /nfs/dbraw/zinc/08/61/62/1166086162.db2.gz SGKBQIJOWXGWDR-UHFFFAOYSA-N 0 2 317.349 0.670 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@@H]1CCC[C@@]2(CCOC2)O1 ZINC001582807194 1166088337 /nfs/dbraw/zinc/08/83/37/1166088337.db2.gz RJLNGCNNWUGAJS-HZMBPMFUSA-N 0 2 318.337 0.152 20 0 DCADLN O=C(NCC1CC=CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001584718729 1166359303 /nfs/dbraw/zinc/35/93/03/1166359303.db2.gz XXIIGXOEAIRABA-UHFFFAOYSA-N 0 2 300.318 0.962 20 0 DCADLN C=C/C=C/CCn1c(=O)c(-c2nn[nH]n2)c(C)n(C2CC2)c1=O ZINC001588531095 1166559352 /nfs/dbraw/zinc/55/93/52/1166559352.db2.gz YOKNEWMHVIJJDA-SNAWJCMRSA-N 0 2 314.349 0.966 20 0 DCADLN CC(=O)OCCn1c(=O)c(-c2nn[nH]n2)ccc1C(F)(F)F ZINC001588888184 1166613471 /nfs/dbraw/zinc/61/34/71/1166613471.db2.gz LARCUOXAHDZRLR-UHFFFAOYSA-N 0 2 317.227 0.610 20 0 DCADLN CC1(C)CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@@H]2COC[C@@H]21 ZINC001590107233 1166777675 /nfs/dbraw/zinc/77/76/75/1166777675.db2.gz VDXDWQMKFTZPGC-NWDGAFQWSA-N 0 2 316.365 0.343 20 0 DCADLN C[C@@H]1CCC[C@@H](Nc2c(-c3nn[nH]n3)c(=O)n(C)c(=O)n2C)C1 ZINC001590256397 1166828776 /nfs/dbraw/zinc/82/87/76/1166828776.db2.gz ZTXDMKWJICDDQX-RKDXNWHRSA-N 0 2 319.369 0.255 20 0 DCADLN CC[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@@H](C)O1 ZINC001590524839 1166934947 /nfs/dbraw/zinc/93/49/47/1166934947.db2.gz UGHLAVYFTNOVDA-MNOVXSKESA-N 0 2 304.354 0.485 20 0 DCADLN CCCc1nc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)no1 ZINC001590661639 1166961758 /nfs/dbraw/zinc/96/17/58/1166961758.db2.gz PFCPDWYHOIDYHR-UHFFFAOYSA-N 0 2 301.310 0.721 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H](C)CC(C)C)c1=O ZINC001590949188 1167056521 /nfs/dbraw/zinc/05/65/21/1167056521.db2.gz BHTGIHKYIARSPB-JTQLQIEISA-N 0 2 306.370 0.892 20 0 DCADLN CO[C@H](C)CS(=O)(=O)Nc1ccc(-c2nn[nH]n2)c(F)c1 ZINC001591324488 1167216091 /nfs/dbraw/zinc/21/60/91/1167216091.db2.gz NTUPCEPCNZOHHO-SSDOTTSWSA-N 0 2 315.330 0.782 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CCCC2CCC2)c(=O)n1C ZINC001591794076 1167380646 /nfs/dbraw/zinc/38/06/46/1167380646.db2.gz XSXBLPNVJWPTFL-UHFFFAOYSA-N 0 2 304.354 0.616 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CC2=CCCC2)c(=O)n1C1CC1 ZINC001591794039 1167380761 /nfs/dbraw/zinc/38/07/61/1167380761.db2.gz VNTFYALDYNBQBL-UHFFFAOYSA-N 0 2 314.349 0.944 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CSC[C@H]1C ZINC001591835997 1167389765 /nfs/dbraw/zinc/38/97/65/1167389765.db2.gz SDBKJQGYWOWTNX-APPZFPTMSA-N 0 2 320.378 0.757 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2ccc(Cl)nn2)c1 ZINC001591837236 1167389992 /nfs/dbraw/zinc/38/99/92/1167389992.db2.gz WNDRIRHPBLATJL-UHFFFAOYSA-N 0 2 303.713 0.829 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)NC2CCCC2)c1 ZINC001591836197 1167390372 /nfs/dbraw/zinc/39/03/72/1167390372.db2.gz FQTBKZHEIPTGRR-UHFFFAOYSA-N 0 2 302.338 0.396 20 0 DCADLN Cc1nocc1NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001591836520 1167390662 /nfs/dbraw/zinc/39/06/62/1167390662.db2.gz ZOFIESHEHYENCK-UHFFFAOYSA-N 0 2 301.266 0.824 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)c1nccn1C ZINC001591835419 1167391359 /nfs/dbraw/zinc/39/13/59/1167391359.db2.gz XEODZVRTPCRDFD-UHFFFAOYSA-N 0 2 314.309 0.286 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CSC[C@@H]1C ZINC001591835998 1167391421 /nfs/dbraw/zinc/39/14/21/1167391421.db2.gz SDBKJQGYWOWTNX-CBAPKCEASA-N 0 2 320.378 0.757 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCO[C@@H](C)C1 ZINC001591834906 1167391648 /nfs/dbraw/zinc/39/16/48/1167391648.db2.gz WGAOPEARBXCCLV-QMMMGPOBSA-N 0 2 304.310 0.137 20 0 DCADLN Cc1nnc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)n1C1CC1 ZINC001592265464 1167479788 /nfs/dbraw/zinc/47/97/88/1167479788.db2.gz AJIQMNHKMUECRR-UHFFFAOYSA-N 0 2 312.337 0.620 20 0 DCADLN Cc1ccn(CN2C[C@H]3CC[C@@H](C2)O3)c(=O)c1-c1nn[nH]n1 ZINC001592267964 1167480727 /nfs/dbraw/zinc/48/07/27/1167480727.db2.gz UNUYOGJUFWIZOX-PHIMTYICSA-N 0 2 302.338 0.158 20 0 DCADLN Cc1nn(CC(=O)c2cccs2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592438439 1167523248 /nfs/dbraw/zinc/52/32/48/1167523248.db2.gz AINVQYJDRVMOET-UHFFFAOYSA-N 0 2 316.346 0.985 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@H](CO)OC(C)(C)C1 ZINC001592496675 1167539991 /nfs/dbraw/zinc/53/99/91/1167539991.db2.gz IZYDBXJSZGXNBL-SECBINFHSA-N 0 2 321.337 0.380 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2cc(F)cc(F)c2)c1=O ZINC001592558123 1167558546 /nfs/dbraw/zinc/55/85/46/1167558546.db2.gz PGIKSEZRGWPAGZ-UHFFFAOYSA-N 0 2 320.259 0.054 20 0 DCADLN O=C(NC[C@@H](O)c1cnc[nH]1)c1sccc1-c1nn[nH]n1 ZINC001593014030 1167689292 /nfs/dbraw/zinc/68/92/92/1167689292.db2.gz STITYTKXZBQSDH-MRVPVSSYSA-N 0 2 305.323 0.115 20 0 DCADLN O=c1[nH]c2c(cc1-c1nn[nH]n1)CN(C(=S)NC1CC1)CC2 ZINC001593488541 1167872094 /nfs/dbraw/zinc/87/20/94/1167872094.db2.gz MCFINESDWAQDTG-UHFFFAOYSA-N 0 2 317.378 0.362 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1COC1CCOCC1)CCC2 ZINC001593492461 1167877618 /nfs/dbraw/zinc/87/76/18/1167877618.db2.gz QIMJJEJGTGKLQT-UHFFFAOYSA-N 0 2 317.349 0.670 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cc2ccccc2oc1=O ZINC001593497488 1167878623 /nfs/dbraw/zinc/87/86/23/1167878623.db2.gz AQJYXTCGQTYWFT-UHFFFAOYSA-N 0 2 322.284 0.578 20 0 DCADLN c1cc(-c2nc(CNc3cc(-c4nn[nH]n4)ncn3)n[nH]2)ccn1 ZINC001593544269 1167924522 /nfs/dbraw/zinc/92/45/22/1167924522.db2.gz AWIKLRHHDXTTHU-UHFFFAOYSA-N 0 2 321.308 0.449 20 0 DCADLN c1cnc(-c2nn[nH]n2)c(NCc2n[nH]c([C@H]3CCCO3)n2)n1 ZINC001593583634 1167950833 /nfs/dbraw/zinc/95/08/33/1167950833.db2.gz CGRVISOTIBTVEZ-SSDOTTSWSA-N 0 2 314.313 0.238 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2CC[C@H](C(=O)[O-])[C@H]2C)c[nH+]1 ZINC001600159672 1168127997 /nfs/dbraw/zinc/12/79/97/1168127997.db2.gz FDSKPEZJKILHCJ-YPMHNXCESA-N 0 2 319.361 0.636 20 0 DCADLN Cc1ccc(C[N@@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)cc1C(=O)[O-] ZINC001600414215 1168179842 /nfs/dbraw/zinc/17/98/42/1168179842.db2.gz HLFGODKBGLZKJC-LBPRGKRZSA-N 0 2 303.318 0.429 20 0 DCADLN Cc1ccc(C[N@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)cc1C(=O)[O-] ZINC001600414215 1168179846 /nfs/dbraw/zinc/17/98/46/1168179846.db2.gz HLFGODKBGLZKJC-LBPRGKRZSA-N 0 2 303.318 0.429 20 0 DCADLN Cc1ccc(F)c(N)c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600428961 1168181482 /nfs/dbraw/zinc/18/14/82/1168181482.db2.gz OHHSOKZJRHZTAW-SNVBAGLBSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1ccc(F)c(N)c1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600428961 1168181485 /nfs/dbraw/zinc/18/14/85/1168181485.db2.gz OHHSOKZJRHZTAW-SNVBAGLBSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1ccc(O[C@@H]2CC[N@H+](Cc3cn(CC(=O)[O-])nn3)C2)nc1 ZINC001600468828 1168188487 /nfs/dbraw/zinc/18/84/87/1168188487.db2.gz BREACQQRHSBRNM-CYBMUJFWSA-N 0 2 317.349 0.719 20 0 DCADLN Cc1ccc(O[C@@H]2CC[N@@H+](Cc3cn(CC(=O)[O-])nn3)C2)nc1 ZINC001600468828 1168188492 /nfs/dbraw/zinc/18/84/92/1168188492.db2.gz BREACQQRHSBRNM-CYBMUJFWSA-N 0 2 317.349 0.719 20 0 DCADLN Cc1cccc2c1O[C@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C2 ZINC001600559205 1168199482 /nfs/dbraw/zinc/19/94/82/1168199482.db2.gz XDBRGSKUJFSZDC-STQMWFEESA-N 0 2 315.329 0.834 20 0 DCADLN Cc1cccc2c1O[C@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C2 ZINC001600559205 1168199485 /nfs/dbraw/zinc/19/94/85/1168199485.db2.gz XDBRGSKUJFSZDC-STQMWFEESA-N 0 2 315.329 0.834 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3C[C@@H](C)O[C@H](C(=O)[O-])C3)ccn12 ZINC001600625971 1168218110 /nfs/dbraw/zinc/21/81/10/1168218110.db2.gz BDBVNDGWINYIES-PWSUYJOCSA-N 0 2 303.318 0.957 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CCn1cnc(Br)n1 ZINC001600686091 1168249684 /nfs/dbraw/zinc/24/96/84/1168249684.db2.gz RDOGOGRCOQJUCL-UHFFFAOYSA-N 0 2 300.116 0.944 20 0 DCADLN Cc1nc(CN2CC[NH+](C[C@@H]3[C@@H](C(=O)[O-])C3(F)F)CC2)n[nH]1 ZINC001600701165 1168256822 /nfs/dbraw/zinc/25/68/22/1168256822.db2.gz QALIWHJFAWZROM-KOLCDFICSA-N 0 2 315.324 0.197 20 0 DCADLN Cc1noc(C[NH+]2CCC(N(C)C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC001600812482 1168339391 /nfs/dbraw/zinc/33/93/91/1168339391.db2.gz HHHFZHKOCQXHFW-RYUDHWBXSA-N 0 2 322.365 0.522 20 0 DCADLN Cn1cc2cnn(C[N@H+]3C[C@@H](C(=O)[O-])[C@H](C4CC4)C3)c(=O)c2n1 ZINC001600971885 1168538186 /nfs/dbraw/zinc/53/81/86/1168538186.db2.gz ZHMCBWMEKFYZKF-NWDGAFQWSA-N 0 2 317.349 0.130 20 0 DCADLN Cn1cc2cnn(C[N@@H+]3C[C@@H](C(=O)[O-])[C@H](C4CC4)C3)c(=O)c2n1 ZINC001600971885 1168538191 /nfs/dbraw/zinc/53/81/91/1168538191.db2.gz ZHMCBWMEKFYZKF-NWDGAFQWSA-N 0 2 317.349 0.130 20 0 DCADLN Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)NC[C@H](F)C(=O)[O-])C1 ZINC001600985989 1168546008 /nfs/dbraw/zinc/54/60/08/1168546008.db2.gz WKRFZNJXMXFPBZ-QWRGUYRKSA-N 0 2 312.345 0.807 20 0 DCADLN Cn1[nH]c(C[N@@H+]2C[C@H](C3CCCCC3)C[C@@H]2C(=O)[O-])nc1=O ZINC001601009688 1168561266 /nfs/dbraw/zinc/56/12/66/1168561266.db2.gz BTWVSLFJGGRWAD-VXGBXAGGSA-N 0 2 308.382 0.964 20 0 DCADLN Cn1[nH]c(C[N@H+]2C[C@H](C3CCCCC3)C[C@@H]2C(=O)[O-])nc1=O ZINC001601009688 1168561275 /nfs/dbraw/zinc/56/12/75/1168561275.db2.gz BTWVSLFJGGRWAD-VXGBXAGGSA-N 0 2 308.382 0.964 20 0 DCADLN Cn1ncc(C2CCC2)c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601026529 1168569539 /nfs/dbraw/zinc/56/95/39/1168569539.db2.gz CLCLRRBGXSABOL-LBPRGKRZSA-N 0 2 317.349 0.836 20 0 DCADLN Cn1ncc(C2CCC2)c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601026529 1168569547 /nfs/dbraw/zinc/56/95/47/1168569547.db2.gz CLCLRRBGXSABOL-LBPRGKRZSA-N 0 2 317.349 0.836 20 0 DCADLN Cn1nccc1[C@@H]1C[N@H+](C[C@@H]2[C@H](C(=O)[O-])C2(F)F)CCO1 ZINC001601038231 1168574853 /nfs/dbraw/zinc/57/48/53/1168574853.db2.gz QHWJJWAOFBYTLJ-DVVUODLYSA-N 0 2 301.293 0.759 20 0 DCADLN Cn1nccc1[C@@H]1C[N@@H+](C[C@@H]2[C@H](C(=O)[O-])C2(F)F)CCO1 ZINC001601038231 1168574856 /nfs/dbraw/zinc/57/48/56/1168574856.db2.gz QHWJJWAOFBYTLJ-DVVUODLYSA-N 0 2 301.293 0.759 20 0 DCADLN NC(=[NH+]O[C@@H]1CCCN(CCC(=O)[O-])C1=O)c1ccccc1 ZINC001601137271 1168594602 /nfs/dbraw/zinc/59/46/02/1168594602.db2.gz ZHUZYBNORADANQ-GFCCVEGCSA-N 0 2 305.334 0.999 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)N[C@@H](C(=O)[O-])c1ccsc1 ZINC001601231647 1168670732 /nfs/dbraw/zinc/67/07/32/1168670732.db2.gz NAVFIHNOMSMFBC-UIIMQBOASA-N 0 2 310.375 0.878 20 0 DCADLN O=C([O-])C1(O)CC[NH+](CC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC001601305207 1168698567 /nfs/dbraw/zinc/69/85/67/1168698567.db2.gz ZYDDEPCJXMZNED-UHFFFAOYSA-N 0 2 322.317 0.265 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc(O)c(F)c1 ZINC001601360523 1168718646 /nfs/dbraw/zinc/71/86/46/1168718646.db2.gz FIROLCZOIBMIGG-LLVKDONJSA-N 0 2 307.281 0.540 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc2c([nH]1)CCCC2 ZINC001601426062 1168741937 /nfs/dbraw/zinc/74/19/37/1168741937.db2.gz QNKQCJKWWOVETG-CYBMUJFWSA-N 0 2 302.334 0.973 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1nnc2ccccc2n1 ZINC001601425898 1168742375 /nfs/dbraw/zinc/74/23/75/1168742375.db2.gz MVEOUBTXZCSNTR-LLVKDONJSA-N 0 2 312.289 0.105 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)[C@H]1CCc2c[nH+]cn2C1)[C@@H]1CCOC1 ZINC001601451120 1168751753 /nfs/dbraw/zinc/75/17/53/1168751753.db2.gz CMLQNRSZMXHUFE-LOWVWBTDSA-N 0 2 307.350 0.299 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1[nH]cnc1C(F)(F)F ZINC001601564514 1168783713 /nfs/dbraw/zinc/78/37/13/1168783713.db2.gz ANDXGHUQSACQRB-LURJTMIESA-N 0 2 317.227 0.577 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccn1 ZINC001601645664 1168802144 /nfs/dbraw/zinc/80/21/44/1168802144.db2.gz UGZRUPYTIBQAMI-OLZOCXBDSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)[C@@H]1CCC[N@H+]1Cc1ccccn1 ZINC001601645664 1168802154 /nfs/dbraw/zinc/80/21/54/1168802154.db2.gz UGZRUPYTIBQAMI-OLZOCXBDSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1COc2ccccc2O1 ZINC001601662830 1168808035 /nfs/dbraw/zinc/80/80/35/1168808035.db2.gz LBTRDOAKESSTDY-ZWNOBZJWSA-N 0 2 317.301 0.293 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC001601663698 1168810455 /nfs/dbraw/zinc/81/04/55/1168810455.db2.gz WWAGPWXDLGVIGB-BXKDBHETSA-N 0 2 303.322 0.296 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)[C@@H]3CCn4c[nH+]cc4C3)C2)C1 ZINC001601738676 1168843224 /nfs/dbraw/zinc/84/32/24/1168843224.db2.gz HGPYJGUUGCTEJX-BMIGLBTASA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001601778143 1168880701 /nfs/dbraw/zinc/88/07/01/1168880701.db2.gz HZPSCDOMSFSPBH-CHWSQXEVSA-N 0 2 305.334 0.644 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001601778143 1168880711 /nfs/dbraw/zinc/88/07/11/1168880711.db2.gz HZPSCDOMSFSPBH-CHWSQXEVSA-N 0 2 305.334 0.644 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]([C@H]2CCCO2)C1 ZINC001601821234 1168904972 /nfs/dbraw/zinc/90/49/72/1168904972.db2.gz GIDFTRJFLASDHX-IJLUTSLNSA-N 0 2 307.350 0.823 20 0 DCADLN O=C([O-])[C@@H]1CSCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001601856905 1168917755 /nfs/dbraw/zinc/91/77/55/1168917755.db2.gz AQJXYMVPCXDVLM-NSHDSACASA-N 0 2 309.391 0.791 20 0 DCADLN O=C([O-])[C@@H]1c2ccccc2OCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001601871947 1168921827 /nfs/dbraw/zinc/92/18/27/1168921827.db2.gz MAYIVZWKKDGQBT-AWEZNQCLSA-N 0 2 301.302 0.999 20 0 DCADLN O=C([O-])CCN1CCC[C@H]([NH2+][C@H](c2ncccn2)C2CC2)C1=O ZINC001602012898 1168963669 /nfs/dbraw/zinc/96/36/69/1168963669.db2.gz SCXWRPWHTJSBPI-JSGCOSHPSA-N 0 2 318.377 0.983 20 0 DCADLN O=C([O-])CCN1CCC[C@H]([NH2+][C@@H](c2ncccn2)C2CC2)C1=O ZINC001602012896 1168963687 /nfs/dbraw/zinc/96/36/87/1168963687.db2.gz SCXWRPWHTJSBPI-GXTWGEPZSA-N 0 2 318.377 0.983 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)NCc3ccn4cc[nH+]c4c3)C[C@@H]21 ZINC001602205556 1169029644 /nfs/dbraw/zinc/02/96/44/1169029644.db2.gz QRWUOPSKWRVHEY-PJXYFTJBSA-N 0 2 300.318 0.806 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@]12CCO[C@H]1CCCC2 ZINC001602208465 1169030642 /nfs/dbraw/zinc/03/06/42/1169030642.db2.gz FDNVLFAOCRZJDH-HUBLWGQQSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@]12CCO[C@H]1CCCC2 ZINC001602208465 1169030650 /nfs/dbraw/zinc/03/06/50/1169030650.db2.gz FDNVLFAOCRZJDH-HUBLWGQQSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1Cc1cnn(Cc2ccccc2)c1 ZINC001602221370 1169034953 /nfs/dbraw/zinc/03/49/53/1169034953.db2.gz UXRKAPGUQMDTBL-HUUCEWRRSA-N 0 2 301.346 0.951 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1Cc1cnn(Cc2ccccc2)c1 ZINC001602221370 1169034964 /nfs/dbraw/zinc/03/49/64/1169034964.db2.gz UXRKAPGUQMDTBL-HUUCEWRRSA-N 0 2 301.346 0.951 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)ccn1 ZINC001602243079 1169039478 /nfs/dbraw/zinc/03/94/78/1169039478.db2.gz IEKKQBZOZRLYMU-LBPRGKRZSA-N 0 2 301.306 0.290 20 0 DCADLN O=C([O-])c1cc(C[N@@H+]2CCC[C@H]3OCCNC(=O)[C@@H]32)cs1 ZINC001602255549 1169045395 /nfs/dbraw/zinc/04/53/95/1169045395.db2.gz LLVFROCZYNOBNE-ZYHUDNBSSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1cc(C[N@H+]2CCC[C@H]3OCCNC(=O)[C@@H]32)cs1 ZINC001602255549 1169045404 /nfs/dbraw/zinc/04/54/04/1169045404.db2.gz LLVFROCZYNOBNE-ZYHUDNBSSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1cnc(C[N@H+](CCCO)Cc2ccccn2)cn1 ZINC001602517913 1169102674 /nfs/dbraw/zinc/10/26/74/1169102674.db2.gz ZSOZXOZHYDLUKY-UHFFFAOYSA-N 0 2 302.334 0.954 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+](CCCO)Cc2ccccn2)cn1 ZINC001602517913 1169102679 /nfs/dbraw/zinc/10/26/79/1169102679.db2.gz ZSOZXOZHYDLUKY-UHFFFAOYSA-N 0 2 302.334 0.954 20 0 DCADLN C/C(=C\C(=O)N1CCSC[C@@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001602951118 1169220130 /nfs/dbraw/zinc/22/01/30/1169220130.db2.gz FHWPRYUBACAWDP-JATZPVMKSA-N 0 2 314.407 0.294 20 0 DCADLN CC(C)c1cnc(C[NH2+]CCn2cnc(-c3nn[n-]n3)n2)s1 ZINC001603406312 1169304247 /nfs/dbraw/zinc/30/42/47/1169304247.db2.gz ASRIATWELNQNKP-UHFFFAOYSA-N 0 2 319.398 0.828 20 0 DCADLN CC(C)C[C@H](C)N(C)C(=O)C[N@@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001603767965 1169384070 /nfs/dbraw/zinc/38/40/70/1169384070.db2.gz GJOAEKPLHFYBFZ-SCRDCRAPSA-N 0 2 300.399 0.789 20 0 DCADLN CC(C)C[C@H](C)N(C)C(=O)C[N@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001603767965 1169384080 /nfs/dbraw/zinc/38/40/80/1169384080.db2.gz GJOAEKPLHFYBFZ-SCRDCRAPSA-N 0 2 300.399 0.789 20 0 DCADLN C[C@@H]1CCC[C@H](Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)C1 ZINC001603887600 1169423356 /nfs/dbraw/zinc/42/33/56/1169423356.db2.gz RXMCSZQWAMXGGU-ZJUUUORDSA-N 0 2 304.354 0.553 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CC=C(c2ccnn2C)CC1)C(=O)[O-] ZINC001603973385 1169460091 /nfs/dbraw/zinc/46/00/91/1169460091.db2.gz XISYDYICAXNRNG-HNNXBMFYSA-N 0 2 320.393 0.735 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CC=C(c2ccnn2C)CC1)C(=O)[O-] ZINC001603973385 1169460092 /nfs/dbraw/zinc/46/00/92/1169460092.db2.gz XISYDYICAXNRNG-HNNXBMFYSA-N 0 2 320.393 0.735 20 0 DCADLN CC(C)[C@H](NC(=O)C[NH2+][C@H](c1ncccn1)C1CC1)C(=O)[O-] ZINC001603973150 1169460341 /nfs/dbraw/zinc/46/03/41/1169460341.db2.gz CSJYOULWLDLELP-STQMWFEESA-N 0 2 306.366 0.743 20 0 DCADLN CC[C@@H](COC)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604039471 1169475821 /nfs/dbraw/zinc/47/58/21/1169475821.db2.gz KYGJIEVEIFMKDN-QMMMGPOBSA-N 0 2 306.326 0.431 20 0 DCADLN C[C@H](NC(=O)Cn1cc[nH+]c1)[C@H](C)Nc1ncc(C(=O)[O-])cn1 ZINC001604277974 1169546220 /nfs/dbraw/zinc/54/62/20/1169546220.db2.gz UWCBIHJORPYWJV-UWVGGRQHSA-N 0 2 318.337 0.377 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)N1CC[NH+](CC[S@](C)=O)CC1 ZINC001604476395 1169600432 /nfs/dbraw/zinc/60/04/32/1169600432.db2.gz BJMOCWRWEUSAHV-UZJPJQLHSA-N 0 2 315.395 0.430 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C/C=C/c2ccccc2)c1=O ZINC001604600755 1169626258 /nfs/dbraw/zinc/62/62/58/1169626258.db2.gz FNPTXPFXMWEMRZ-RMKNXTFCSA-N 0 2 324.344 0.923 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])ccn1 ZINC001604640168 1169639943 /nfs/dbraw/zinc/63/99/43/1169639943.db2.gz UTYQGTCQBPSKLV-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H]1CNc2c(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cnn2C1 ZINC001604814665 1169692826 /nfs/dbraw/zinc/69/28/26/1169692826.db2.gz DGCPTKQJYPXNMQ-GZMMTYOYSA-N 0 2 318.337 0.094 20 0 DCADLN C[C@H]1CNc2c(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cnn2C1 ZINC001604814665 1169692828 /nfs/dbraw/zinc/69/28/28/1169692828.db2.gz DGCPTKQJYPXNMQ-GZMMTYOYSA-N 0 2 318.337 0.094 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC(=O)[C@H]2C[C@@H]2C)c1 ZINC001604963829 1169766102 /nfs/dbraw/zinc/76/61/02/1169766102.db2.gz ADEQOGXPNPGOLI-CBAPKCEASA-N 0 2 317.305 0.040 20 0 DCADLN CCC[C@H](C)NC(=O)[C@@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001605208078 1169870175 /nfs/dbraw/zinc/87/01/75/1169870175.db2.gz FMVOXGHMRKGILC-NWDGAFQWSA-N 0 2 323.397 0.951 20 0 DCADLN CCC[C@H](C)NC(=O)[C@@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001605208078 1169870181 /nfs/dbraw/zinc/87/01/81/1169870181.db2.gz FMVOXGHMRKGILC-NWDGAFQWSA-N 0 2 323.397 0.951 20 0 DCADLN COc1ccc(C(=O)Cn2cnc(-c3nn[nH]n3)cc2=O)cc1 ZINC001605255106 1169882182 /nfs/dbraw/zinc/88/21/82/1169882182.db2.gz DZWKDNYUJSUIRT-UHFFFAOYSA-N 0 2 312.289 0.315 20 0 DCADLN CN(C(=O)CCc1[nH+]ccn1C)[C@H](COC1CCC1)C(=O)[O-] ZINC001605961063 1170037239 /nfs/dbraw/zinc/03/72/39/1170037239.db2.gz RPOKDNBWEKEBHY-GFCCVEGCSA-N 0 2 309.366 0.833 20 0 DCADLN CN(C)[S@@](C)(=O)=NC(=O)C[N@@H+]1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001606045430 1170064269 /nfs/dbraw/zinc/06/42/69/1170064269.db2.gz LKDNXBVMAPUOJC-DTXXEITQSA-N 0 2 317.411 0.274 20 0 DCADLN CN(C)[S@@](C)(=O)=NC(=O)C[N@H+]1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001606045430 1170064271 /nfs/dbraw/zinc/06/42/71/1170064271.db2.gz LKDNXBVMAPUOJC-DTXXEITQSA-N 0 2 317.411 0.274 20 0 DCADLN Cc1nn(CCC[C@H]2CCOC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114617 1170081565 /nfs/dbraw/zinc/08/15/65/1170081565.db2.gz YXFAWINSZRDRCB-NSHDSACASA-N 0 2 304.354 0.857 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2C[C@@H]3CCC[C@H]23)c(-c2nn[nH]n2)c1=O ZINC001606206336 1170112736 /nfs/dbraw/zinc/11/27/36/1170112736.db2.gz VOJJPOYPEPBLTN-BIIVOSGPSA-N 0 2 303.326 0.267 20 0 DCADLN C[N@@H+]1CCN(Cc2nc(=O)c3sccc3[nH]2)C[C@H](C(=O)[O-])C1 ZINC001606244509 1170133864 /nfs/dbraw/zinc/13/38/64/1170133864.db2.gz JWGXTLHDZQJLBK-SECBINFHSA-N 0 2 322.390 0.845 20 0 DCADLN C[N@H+]1CCN(Cc2nc(=O)c3sccc3[nH]2)C[C@H](C(=O)[O-])C1 ZINC001606244509 1170133866 /nfs/dbraw/zinc/13/38/66/1170133866.db2.gz JWGXTLHDZQJLBK-SECBINFHSA-N 0 2 322.390 0.845 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])[N@H+](Cc2cccc(N(C)C)n2)C1 ZINC001606393962 1170181530 /nfs/dbraw/zinc/18/15/30/1170181530.db2.gz KOBOKUXTZMCXGD-DGCLKSJQSA-N 0 2 321.377 0.986 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])[N@@H+](Cc2cccc(N(C)C)n2)C1 ZINC001606393962 1170181543 /nfs/dbraw/zinc/18/15/43/1170181543.db2.gz KOBOKUXTZMCXGD-DGCLKSJQSA-N 0 2 321.377 0.986 20 0 DCADLN COC(=O)C[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC001606441771 1170203322 /nfs/dbraw/zinc/20/33/22/1170203322.db2.gz CBCCKTLKWAXDOC-LBPRGKRZSA-N 0 2 317.301 0.618 20 0 DCADLN COC(=O)C[N@H+](Cc1ccc(C(=O)[O-])n1C)C1CCOCC1 ZINC001606471323 1170216538 /nfs/dbraw/zinc/21/65/38/1170216538.db2.gz BFIHYTPBIYIHGX-UHFFFAOYSA-N 0 2 310.350 0.877 20 0 DCADLN COC(=O)C[N@@H+](Cc1ccc(C(=O)[O-])n1C)C1CCOCC1 ZINC001606471323 1170216543 /nfs/dbraw/zinc/21/65/43/1170216543.db2.gz BFIHYTPBIYIHGX-UHFFFAOYSA-N 0 2 310.350 0.877 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccn1 ZINC001606533634 1170229668 /nfs/dbraw/zinc/22/96/68/1170229668.db2.gz VMUPMEWEOOIQBJ-SNVBAGLBSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccn1 ZINC001606533634 1170229672 /nfs/dbraw/zinc/22/96/72/1170229672.db2.gz VMUPMEWEOOIQBJ-SNVBAGLBSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1cc(NC(=O)[C@H]2CSC[NH2+]2)cc(C(=O)[O-])c1 ZINC001606550088 1170231455 /nfs/dbraw/zinc/23/14/55/1170231455.db2.gz DSVCGPDGBVYXIZ-SNVBAGLBSA-N 0 2 310.331 0.772 20 0 DCADLN COC(=O)c1cc(NC(=O)[C@@H]2CSC[NH2+]2)cc(C(=O)[O-])c1 ZINC001606550087 1170232738 /nfs/dbraw/zinc/23/27/38/1170232738.db2.gz DSVCGPDGBVYXIZ-JTQLQIEISA-N 0 2 310.331 0.772 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cccc(F)c1-c1nn[nH]n1 ZINC001606985546 1170346637 /nfs/dbraw/zinc/34/66/37/1170346637.db2.gz QYPHYLHCXIMHGJ-UHFFFAOYSA-N 0 2 305.229 0.187 20 0 DCADLN c1cc(=[NH+]C[C@H]2COC3(CCCC3)O2)cc(-c2nn[n-]n2)[nH]1 ZINC001607121017 1170405208 /nfs/dbraw/zinc/40/52/08/1170405208.db2.gz KVYVXYLWQKMARW-NSHDSACASA-N 0 2 302.338 0.781 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N[C@](C)(CO)C(=O)[O-])cc1 ZINC001607253564 1170418909 /nfs/dbraw/zinc/41/89/09/1170418909.db2.gz KHEVAUCNBQZHAI-CZUORRHYSA-N 0 2 322.361 0.221 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N[C@](C)(CO)C(=O)[O-])cc1 ZINC001607253564 1170418910 /nfs/dbraw/zinc/41/89/10/1170418910.db2.gz KHEVAUCNBQZHAI-CZUORRHYSA-N 0 2 322.361 0.221 20 0 DCADLN COc1cccc([C@@H]2CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC[NH2+]2)c1 ZINC001607320404 1170427150 /nfs/dbraw/zinc/42/71/50/1170427150.db2.gz ZYLYIMGSRHUITL-MJBXVCDLSA-N 0 2 304.346 0.889 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2cncc(C(=O)[O-])c2)CC1 ZINC001607386009 1170443145 /nfs/dbraw/zinc/44/31/45/1170443145.db2.gz YXTDFEYTHNOFTE-OAQYLSRUSA-N 0 2 311.407 0.276 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2ccc(C(=O)[O-])cn2)CC1 ZINC001607385877 1170443166 /nfs/dbraw/zinc/44/31/66/1170443166.db2.gz XQHGUVBBHMOPQC-NRFANRHFSA-N 0 2 311.407 0.276 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@H](CS(C)(=O)=O)C2)[nH]c1C(=O)[O-] ZINC001607637818 1170486829 /nfs/dbraw/zinc/48/68/29/1170486829.db2.gz HNZDCIJDKTTZFE-JTQLQIEISA-N 0 2 300.380 0.888 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@H](CS(C)(=O)=O)C2)[nH]c1C(=O)[O-] ZINC001607637818 1170486832 /nfs/dbraw/zinc/48/68/32/1170486832.db2.gz HNZDCIJDKTTZFE-JTQLQIEISA-N 0 2 300.380 0.888 20 0 DCADLN Cn1c(=O)oc2cc(C[N@@H+]3CCO[C@H](CC(=O)[O-])C3)ccc21 ZINC001608077364 1170566102 /nfs/dbraw/zinc/56/61/02/1170566102.db2.gz SUDFKOGFVKGNRK-LLVKDONJSA-N 0 2 306.318 0.807 20 0 DCADLN Cn1c(=O)oc2cc(C[N@H+]3CCO[C@H](CC(=O)[O-])C3)ccc21 ZINC001608077364 1170566108 /nfs/dbraw/zinc/56/61/08/1170566108.db2.gz SUDFKOGFVKGNRK-LLVKDONJSA-N 0 2 306.318 0.807 20 0 DCADLN Cn1ccc([C@H](NC(=O)[C@@H]2CCc3[nH+]ccn3C2)C(=O)[O-])n1 ZINC001608125898 1170583168 /nfs/dbraw/zinc/58/31/68/1170583168.db2.gz YSLSSVBOHGKEKM-SKDRFNHKSA-N 0 2 303.322 0.121 20 0 DCADLN NC(=O)[C@H]1C[N@H+](CCOc2ccc(/C=C\C(=O)[O-])cc2)CCO1 ZINC001608229994 1170621751 /nfs/dbraw/zinc/62/17/51/1170621751.db2.gz SPUYQJHTMATCPT-JHAQOBCDSA-N 0 2 320.345 0.349 20 0 DCADLN NC(=O)[C@H]1C[N@@H+](CCOc2ccc(/C=C\C(=O)[O-])cc2)CCO1 ZINC001608229994 1170621752 /nfs/dbraw/zinc/62/17/52/1170621752.db2.gz SPUYQJHTMATCPT-JHAQOBCDSA-N 0 2 320.345 0.349 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)COCc1ccccc1 ZINC001608349165 1170657737 /nfs/dbraw/zinc/65/77/37/1170657737.db2.gz XMMHHRJDYNVCSA-ZDUSSCGKSA-N 0 2 303.318 0.669 20 0 DCADLN O=C([O-])[C@@H](c1cccnc1)[NH+]1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC001608561491 1170703556 /nfs/dbraw/zinc/70/35/56/1170703556.db2.gz COYYGSCVXIYXBJ-LLVKDONJSA-N 0 2 303.322 0.911 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)CN(C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC001608603535 1170714125 /nfs/dbraw/zinc/71/41/25/1170714125.db2.gz FLTRKOXKXUBUKP-ONGXEEELSA-N 0 2 304.306 0.634 20 0 DCADLN O=C([O-])C1CCC2(CC1)NC(=O)N(CCCn1cc[nH+]c1)C2=O ZINC001608619369 1170723407 /nfs/dbraw/zinc/72/34/07/1170723407.db2.gz HHFKZDJATRIEPO-UHFFFAOYSA-N 0 2 320.349 0.839 20 0 DCADLN O=C([O-])[C@@H]1CCCCCN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001608629004 1170726259 /nfs/dbraw/zinc/72/62/59/1170726259.db2.gz LNSLTVHRVKDVRV-NSHDSACASA-N 0 2 301.368 0.542 20 0 DCADLN O=C([O-])CN1CCN(C(=O)c2ccn(-c3cc[nH+]cc3)n2)CC1 ZINC001608749338 1170748854 /nfs/dbraw/zinc/74/88/54/1170748854.db2.gz YXLRCMNPAUDLHO-UHFFFAOYSA-N 0 2 315.333 0.110 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C(F)(F)C1CCOCC1 ZINC001608818250 1170761288 /nfs/dbraw/zinc/76/12/88/1170761288.db2.gz VZKHBSDVXFXXEF-JTQLQIEISA-N 0 2 317.292 0.584 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C(F)(F)C1CCOCC1 ZINC001608818250 1170761292 /nfs/dbraw/zinc/76/12/92/1170761292.db2.gz VZKHBSDVXFXXEF-JTQLQIEISA-N 0 2 317.292 0.584 20 0 DCADLN O=C([O-])c1ccc2[nH]cc(C(=O)C[N@H+]3C[C@H](O)C[C@H](O)C3)c2c1 ZINC001608916719 1170771633 /nfs/dbraw/zinc/77/16/33/1170771633.db2.gz HFYUUDKSELVEMG-PHIMTYICSA-N 0 2 318.329 0.476 20 0 DCADLN O=C([O-])c1ccc2[nH]cc(C(=O)C[N@@H+]3C[C@H](O)C[C@H](O)C3)c2c1 ZINC001608916719 1170771634 /nfs/dbraw/zinc/77/16/34/1170771634.db2.gz HFYUUDKSELVEMG-PHIMTYICSA-N 0 2 318.329 0.476 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@H+]3C[C@H]4[C@H](CO)[C@H]4C3)nc2c1 ZINC001608918207 1170771818 /nfs/dbraw/zinc/77/18/18/1170771818.db2.gz CSBAHIRZSVXSCB-GDNZZTSVSA-N 0 2 315.329 0.704 20 0 DCADLN O=C([O-])c1ccc2c(=O)[nH]c(C[N@@H+]3C[C@H]4[C@H](CO)[C@H]4C3)nc2c1 ZINC001608918207 1170771823 /nfs/dbraw/zinc/77/18/23/1170771823.db2.gz CSBAHIRZSVXSCB-GDNZZTSVSA-N 0 2 315.329 0.704 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cn1 ZINC001608965621 1170777820 /nfs/dbraw/zinc/77/78/20/1170777820.db2.gz DKWRALUZFZQUCH-SNVBAGLBSA-N 0 2 301.306 0.849 20 0 DCADLN CC[C@@H](NS(=O)(=O)c1ccc(-c2nn[nH]n2)o1)C(F)F ZINC001609194987 1170860463 /nfs/dbraw/zinc/86/04/63/1170860463.db2.gz USRMXJPVTDZKTI-RXMQYKEDSA-N 0 2 307.282 0.782 20 0 DCADLN Cc1nn(CCCN2CCCC2=O)c(=O)c(-c2nn[nH]n2)c1C ZINC001609459384 1170984736 /nfs/dbraw/zinc/98/47/36/1170984736.db2.gz DNGDUBQIQIHKMC-UHFFFAOYSA-N 0 2 317.353 0.053 20 0 DCADLN O=C(Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2)c1cnccn1 ZINC001609537745 1171011762 /nfs/dbraw/zinc/01/17/62/1171011762.db2.gz ORLXDKXLWJGOMH-UHFFFAOYSA-N 0 2 323.316 0.190 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCOC2(CCC2)C1 ZINC001609603914 1171039250 /nfs/dbraw/zinc/03/92/50/1171039250.db2.gz KCWPVOFRWNYGOB-UHFFFAOYSA-N 0 2 302.338 0.241 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C(=O)[O-])CC1 ZINC001609840695 1171101011 /nfs/dbraw/zinc/10/10/11/1171101011.db2.gz NBRJTIYLDZYMCE-NWDGAFQWSA-N 0 2 311.382 0.356 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)[C@@H](C)C1 ZINC001610007529 1171136354 /nfs/dbraw/zinc/13/63/54/1171136354.db2.gz BRHVZBZRLRTOCO-JTQLQIEISA-N 0 2 313.379 0.495 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)[C@@H](C)C1 ZINC001610007529 1171136361 /nfs/dbraw/zinc/13/63/61/1171136361.db2.gz BRHVZBZRLRTOCO-JTQLQIEISA-N 0 2 313.379 0.495 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2[nH]nc3ccccc32)C[C@H](C(=O)[O-])C1 ZINC001610136770 1171170046 /nfs/dbraw/zinc/17/00/46/1171170046.db2.gz OPKXGLJURGWVFM-SNVBAGLBSA-N 0 2 302.334 0.651 20 0 DCADLN C[N@H+]1CCN(C(=O)c2[nH]nc3ccccc32)C[C@H](C(=O)[O-])C1 ZINC001610136770 1171170048 /nfs/dbraw/zinc/17/00/48/1171170048.db2.gz OPKXGLJURGWVFM-SNVBAGLBSA-N 0 2 302.334 0.651 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@H+](C)CCCO)nc(=O)c12 ZINC001610411969 1171232794 /nfs/dbraw/zinc/23/27/94/1171232794.db2.gz PFVREQWFPGSDLO-UHFFFAOYSA-N 0 2 311.363 0.805 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@@H+](C)CCCO)nc(=O)c12 ZINC001610411969 1171232797 /nfs/dbraw/zinc/23/27/97/1171232797.db2.gz PFVREQWFPGSDLO-UHFFFAOYSA-N 0 2 311.363 0.805 20 0 DCADLN Cn1cnc2cc(C[N@@H+]3CCNC(=O)[C@H]3CC(=O)[O-])ccc21 ZINC001610611171 1171266944 /nfs/dbraw/zinc/26/69/44/1171266944.db2.gz CTMFLPATHTZQGD-CYBMUJFWSA-N 0 2 302.334 0.348 20 0 DCADLN Cn1cnc2cc(C[N@H+]3CCNC(=O)[C@H]3CC(=O)[O-])ccc21 ZINC001610611171 1171266948 /nfs/dbraw/zinc/26/69/48/1171266948.db2.gz CTMFLPATHTZQGD-CYBMUJFWSA-N 0 2 302.334 0.348 20 0 DCADLN [NH3+][C@@H](CC(=O)[O-])c1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC001610647725 1171280410 /nfs/dbraw/zinc/28/04/10/1171280410.db2.gz ZWOIUDDLNMTWMS-VIFPVBQESA-N 0 2 314.305 0.920 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](CC(=O)Nc2cccc(F)c2)CC1 ZINC001610662478 1171284225 /nfs/dbraw/zinc/28/42/25/1171284225.db2.gz JJKUOEPNIMYQEO-CQSZACIVSA-N 0 2 310.325 0.922 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2nc[nH]c2n1 ZINC001610682159 1171290393 /nfs/dbraw/zinc/29/03/93/1171290393.db2.gz NYKQQKTZLFJNSS-JTQLQIEISA-N 0 2 300.278 0.038 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)NCCn2cc[nH+]c2)CCCC1 ZINC001610728092 1171300848 /nfs/dbraw/zinc/30/08/48/1171300848.db2.gz SVENYYXMAIUISU-UHFFFAOYSA-N 0 2 301.368 0.448 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)CN(C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC001610736280 1171303104 /nfs/dbraw/zinc/30/31/04/1171303104.db2.gz GHPQXFSZJNCDEM-NEPJUHHUSA-N 0 2 318.333 0.311 20 0 DCADLN O=C([O-])CCCCCS(=O)(=O)N1CC[NH2+]C[C@@H]1C(F)F ZINC001610768011 1171317746 /nfs/dbraw/zinc/31/77/46/1171317746.db2.gz FXWBISHEAGPCKN-SECBINFHSA-N 0 2 314.354 0.500 20 0 DCADLN O=C([O-])CCNC(=O)C[N@@H+]1CCNC(=O)C[C@H]1c1ccccc1 ZINC001610774293 1171319884 /nfs/dbraw/zinc/31/98/84/1171319884.db2.gz OBQDEUDVVNJECS-ZDUSSCGKSA-N 0 2 319.361 0.141 20 0 DCADLN O=C([O-])CCNC(=O)C[N@H+]1CCNC(=O)C[C@H]1c1ccccc1 ZINC001610774293 1171319891 /nfs/dbraw/zinc/31/98/91/1171319891.db2.gz OBQDEUDVVNJECS-ZDUSSCGKSA-N 0 2 319.361 0.141 20 0 DCADLN O=C([O-])CNC(=O)CC[N@@H+]1CCSC[C@@H]1c1nccs1 ZINC001610782027 1171322598 /nfs/dbraw/zinc/32/25/98/1171322598.db2.gz XNNVTMNIMVPGHO-SECBINFHSA-N 0 2 315.420 0.824 20 0 DCADLN O=C([O-])CNC(=O)CC[N@H+]1CCSC[C@@H]1c1nccs1 ZINC001610782027 1171322604 /nfs/dbraw/zinc/32/26/04/1171322604.db2.gz XNNVTMNIMVPGHO-SECBINFHSA-N 0 2 315.420 0.824 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)Oc1cncc(F)c1 ZINC001622696649 1171429060 /nfs/dbraw/zinc/42/90/60/1171429060.db2.gz CERXCYOHHRGRNV-UHFFFAOYSA-N 0 2 315.282 0.807 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc(-c2ccccn2)no1 ZINC001630646359 1171576964 /nfs/dbraw/zinc/57/69/64/1171576964.db2.gz HXMTXPPQQBZQHK-UHFFFAOYSA-N 0 2 313.273 0.868 20 0 DCADLN CCc1noc2ncc(C(=O)NCc3nc(O)cc(=O)[nH]3)cc12 ZINC001630646706 1171577846 /nfs/dbraw/zinc/57/78/46/1171577846.db2.gz NPKXMICMEYUDNX-UHFFFAOYSA-N 0 2 315.289 0.916 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(C(=O)c2ccccc2)c[nH]1 ZINC001630808322 1171582431 /nfs/dbraw/zinc/58/24/31/1171582431.db2.gz WKWCWEDHWOWJIU-UHFFFAOYSA-N 0 2 311.301 0.999 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)CCc1cnn[nH]1 ZINC001633416351 1171641478 /nfs/dbraw/zinc/64/14/78/1171641478.db2.gz PQINADJEXMEPOV-UHFFFAOYSA-N 0 2 315.337 0.419 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)c2c[n-]n3c2nccc3=O)co1 ZINC001636044920 1171722792 /nfs/dbraw/zinc/72/27/92/1171722792.db2.gz WXVNZGUFWRBQRT-UHFFFAOYSA-N 0 2 316.321 0.002 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnn(C(F)F)c1 ZINC001645681398 1172100186 /nfs/dbraw/zinc/10/01/86/1172100186.db2.gz IVXVHAJNSRPRQO-UHFFFAOYSA-N 0 2 309.236 0.302 20 0 DCADLN C[C@@H](NC(=O)[C@]1(C2CCCC2)CCNC1=O)c1nn(C)cc1O ZINC001646316744 1172334658 /nfs/dbraw/zinc/33/46/58/1172334658.db2.gz GLQMJWMXTAMEEU-HWPZZCPQSA-N 0 2 320.393 0.999 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC001646723534 1172492868 /nfs/dbraw/zinc/49/28/68/1172492868.db2.gz UTBSJYLMLDDUJP-YNEHKIRRSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC001646723534 1172492872 /nfs/dbraw/zinc/49/28/72/1172492872.db2.gz UTBSJYLMLDDUJP-YNEHKIRRSA-N 0 2 313.398 0.602 20 0 DCADLN CC[C@@H](CCO)CNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001647105014 1172677050 /nfs/dbraw/zinc/67/70/50/1172677050.db2.gz XLDRCGDMBMHKIL-NSHDSACASA-N 0 2 306.366 0.398 20 0 DCADLN CCc1nn(C)cc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001647175578 1172720953 /nfs/dbraw/zinc/72/09/53/1172720953.db2.gz IDFHKPLKUSVJPB-UHFFFAOYSA-N 0 2 301.310 0.006 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1noc(C(F)F)n1 ZINC001647233839 1172754085 /nfs/dbraw/zinc/75/40/85/1172754085.db2.gz ZCSSVCQWDWTIOB-QWWZWVQMSA-N 0 2 319.293 0.741 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001649930582 1173316231 /nfs/dbraw/zinc/31/62/31/1173316231.db2.gz ROYCNPGDSYTDAH-AWEZNQCLSA-N 0 2 313.375 0.636 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001649930582 1173316237 /nfs/dbraw/zinc/31/62/37/1173316237.db2.gz ROYCNPGDSYTDAH-AWEZNQCLSA-N 0 2 313.375 0.636 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)N2CC[C@H](c3nnc[nH]3)C2)n[nH]1 ZINC001650021802 1173384293 /nfs/dbraw/zinc/38/42/93/1173384293.db2.gz BOWQWVBUNZHPGY-GWCFXTLKSA-N 0 2 315.381 0.924 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CC[C@H]1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001654366387 1173804775 /nfs/dbraw/zinc/80/47/75/1173804775.db2.gz VBMGUJRXYMDNGM-NXEZZACHSA-N 0 2 324.381 0.927 20 0 DCADLN CCc1nnc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001668780448 1174837075 /nfs/dbraw/zinc/83/70/75/1174837075.db2.gz SKWCETLUTZSLRK-PLNGDYQASA-N 0 2 320.353 0.445 20 0 DCADLN CC/C=C\CNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001682622619 1175944197 /nfs/dbraw/zinc/94/41/97/1175944197.db2.gz IYMNWIOOQBVTCL-PLNGDYQASA-N 0 2 301.372 0.115 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NC[C@@H]2C=CCC2)[nH]1 ZINC001683694399 1175971305 /nfs/dbraw/zinc/97/13/05/1175971305.db2.gz ILDGYWAHTTVMJG-SECBINFHSA-N 0 2 313.383 0.115 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NC[C@H]2C=CCC2)[nH]1 ZINC001683694400 1175971684 /nfs/dbraw/zinc/97/16/84/1175971684.db2.gz ILDGYWAHTTVMJG-VIFPVBQESA-N 0 2 313.383 0.115 20 0 DCADLN O=C(Cn1cccn1)NCCCNC(=O)C(F)C(F)(F)F ZINC001686888263 1176241727 /nfs/dbraw/zinc/24/17/27/1176241727.db2.gz YHEJCRUQXYDFBB-SECBINFHSA-N 0 2 310.251 0.406 20 0 DCADLN O=C(Cn1cccn1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686888263 1176241731 /nfs/dbraw/zinc/24/17/31/1176241731.db2.gz YHEJCRUQXYDFBB-SECBINFHSA-N 0 2 310.251 0.406 20 0 DCADLN CO[C@H](C(=O)NCCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001686983120 1176259955 /nfs/dbraw/zinc/25/99/55/1176259955.db2.gz DDMSETZXLZJOJM-DTWKUNHWSA-N 0 2 314.279 0.934 20 0 DCADLN CO[C@H](C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001686983120 1176259957 /nfs/dbraw/zinc/25/99/57/1176259957.db2.gz DDMSETZXLZJOJM-DTWKUNHWSA-N 0 2 314.279 0.934 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1cnon1 ZINC001689927094 1177116609 /nfs/dbraw/zinc/11/66/09/1177116609.db2.gz VNZMNGSORLRPJA-UHFFFAOYSA-N 0 2 308.342 0.449 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1cnon1 ZINC001689927094 1177116614 /nfs/dbraw/zinc/11/66/14/1177116614.db2.gz VNZMNGSORLRPJA-UHFFFAOYSA-N 0 2 308.342 0.449 20 0 DCADLN Cn1cnc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)c1 ZINC001705013872 1180222730 /nfs/dbraw/zinc/22/27/30/1180222730.db2.gz FFZZEDGEPOZHBP-HPOULIHZSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cnc(C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001705013872 1180222734 /nfs/dbraw/zinc/22/27/34/1180222734.db2.gz FFZZEDGEPOZHBP-HPOULIHZSA-N 0 2 322.262 0.723 20 0 DCADLN CN(C[C@@H]1CC[N@@H+]1CCOCC(F)F)C(=O)C[NH+]1CCCC1 ZINC001707062200 1180407547 /nfs/dbraw/zinc/40/75/47/1180407547.db2.gz LOWMWEJQBDDKMY-ZDUSSCGKSA-N 0 2 319.396 0.897 20 0 DCADLN C[C@]1(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC=CCC1 ZINC001714965553 1181619887 /nfs/dbraw/zinc/61/98/87/1181619887.db2.gz KDTCMVODGWPRLB-HNNXBMFYSA-N 0 2 305.382 0.805 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)C=CCC1 ZINC001715290941 1181762427 /nfs/dbraw/zinc/76/24/27/1181762427.db2.gz HZKXSCNUQIJYIT-IAQYHMDHSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@]1(NC(=O)C=Cc2ccc[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716837966 1182540514 /nfs/dbraw/zinc/54/05/14/1182540514.db2.gz FDUGIGCZPPBRSF-XVWMLYKFSA-N 0 2 316.365 0.632 20 0 DCADLN C[C@]1(NC(=O)C=Cc2ccc[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716837966 1182540517 /nfs/dbraw/zinc/54/05/17/1182540517.db2.gz FDUGIGCZPPBRSF-XVWMLYKFSA-N 0 2 316.365 0.632 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001718763406 1183349894 /nfs/dbraw/zinc/34/98/94/1183349894.db2.gz TXKYOUOCHJFGFN-PSASIEDQSA-N 0 2 314.279 0.789 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001718763406 1183349896 /nfs/dbraw/zinc/34/98/96/1183349896.db2.gz TXKYOUOCHJFGFN-PSASIEDQSA-N 0 2 314.279 0.789 20 0 DCADLN CCCn1ncnc1CN(C)CCCNC(=O)c1cnn(C)n1 ZINC001731271330 1185231535 /nfs/dbraw/zinc/23/15/35/1185231535.db2.gz HDNIQAPCNVOPMI-UHFFFAOYSA-N 0 2 320.401 0.069 20 0 DCADLN O=C(C=Cc1ccco1)N[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001731370018 1185303626 /nfs/dbraw/zinc/30/36/26/1185303626.db2.gz WOXSQWHDBRBOCU-UMBAGQNISA-N 0 2 303.322 0.507 20 0 DCADLN O=C(C=Cc1ccco1)N[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001731370018 1185303634 /nfs/dbraw/zinc/30/36/34/1185303634.db2.gz WOXSQWHDBRBOCU-UMBAGQNISA-N 0 2 303.322 0.507 20 0 DCADLN CCCSCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001732091052 1185666369 /nfs/dbraw/zinc/66/63/69/1185666369.db2.gz HOYYFKRQNXSYTC-JTQLQIEISA-N 0 2 313.427 0.734 20 0 DCADLN COCCCN1CCOC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001733701077 1186405276 /nfs/dbraw/zinc/40/52/76/1186405276.db2.gz FCFYPKZAAMBVLE-UWVGGRQHSA-N 0 2 316.295 0.740 20 0 DCADLN COC[C@H](OC)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001736947690 1187190699 /nfs/dbraw/zinc/19/06/99/1187190699.db2.gz CXNBAGUXWNMFJM-JGVFFNPUSA-N 0 2 318.267 0.171 20 0 DCADLN COC[C@H](OC)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736947690 1187190700 /nfs/dbraw/zinc/19/07/00/1187190700.db2.gz CXNBAGUXWNMFJM-JGVFFNPUSA-N 0 2 318.267 0.171 20 0 DCADLN Cc1nnc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)o1 ZINC001742385963 1187972665 /nfs/dbraw/zinc/97/26/65/1187972665.db2.gz XPWZGTWRBRWQRZ-QMMMGPOBSA-N 0 2 312.223 0.053 20 0 DCADLN Cc1nnc(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)o1 ZINC001742385963 1187972666 /nfs/dbraw/zinc/97/26/66/1187972666.db2.gz XPWZGTWRBRWQRZ-QMMMGPOBSA-N 0 2 312.223 0.053 20 0 DCADLN CC(C)=CC[NH+]1CC(N(C)C(=O)CCCn2c(=O)[n-][nH]c2=O)C1 ZINC001754577539 1188882980 /nfs/dbraw/zinc/88/29/80/1188882980.db2.gz YUAGNLOYQQOEIX-UHFFFAOYSA-N 0 2 323.397 0.578 20 0 DCADLN CC(C)=CC(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001755052700 1189006722 /nfs/dbraw/zinc/00/67/22/1189006722.db2.gz HBNXVCMQEYHOGQ-NSHDSACASA-N 0 2 321.381 0.326 20 0 DCADLN CC[C@@H](F)C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001758094518 1189719802 /nfs/dbraw/zinc/71/98/02/1189719802.db2.gz LXVYOZFFTBGBLB-LLVKDONJSA-N 0 2 322.344 0.404 20 0 DCADLN CCOCCC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001758918358 1190034360 /nfs/dbraw/zinc/03/43/60/1190034360.db2.gz HNPBYQALMZQVRL-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCOCCC(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001758918358 1190034364 /nfs/dbraw/zinc/03/43/64/1190034364.db2.gz HNPBYQALMZQVRL-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN Cc1cc(C[NH+]2CCN(c3nccnn3)CC2)oc1C(=O)[O-] ZINC001771826438 1190516491 /nfs/dbraw/zinc/51/64/91/1190516491.db2.gz VHLRADDDEBKBDN-UHFFFAOYSA-N 0 2 303.322 0.793 20 0 DCADLN Cc1cnccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042700167 751847732 /nfs/dbraw/zinc/84/77/32/751847732.db2.gz MBXOYGUIHPTZAE-UHFFFAOYSA-N 0 2 302.338 0.170 20 0 DCADLN CN(C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043160769 752108099 /nfs/dbraw/zinc/10/80/99/752108099.db2.gz JJGCJJKETWTZFJ-GMTAPVOTSA-N 0 2 305.382 0.589 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2conc2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087710447 749027233 /nfs/dbraw/zinc/02/72/33/749027233.db2.gz AJGVFZZUBIIISM-WDEREUQCSA-N 0 2 320.353 0.590 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2conc2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087710447 749027236 /nfs/dbraw/zinc/02/72/36/749027236.db2.gz AJGVFZZUBIIISM-WDEREUQCSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1nc(C(N)=O)ccc1NC(=[NH2+])SCCS(=O)(=O)[O-] ZINC001168094318 749400208 /nfs/dbraw/zinc/40/02/08/749400208.db2.gz WJXKDTGJJRBPHN-UHFFFAOYSA-N 0 2 318.380 0.457 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cccnc2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088447360 749450568 /nfs/dbraw/zinc/45/05/68/749450568.db2.gz GJTMPASJCRLWSR-KOLCDFICSA-N 0 2 302.338 0.298 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCC[NH+]2CCC2)c(F)c1 ZINC000697376038 749548013 /nfs/dbraw/zinc/54/80/13/749548013.db2.gz PKIJRDUDNJOZAZ-UHFFFAOYSA-N 0 2 302.327 0.508 20 0 DCADLN CCn1nccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088541243 749564303 /nfs/dbraw/zinc/56/43/03/749564303.db2.gz SFJXLKKUZWDXSA-ZJUUUORDSA-N 0 2 319.369 0.120 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CC2(F)F)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088555456 749631317 /nfs/dbraw/zinc/63/13/17/749631317.db2.gz JAVGVLWDTFJLFU-PRJMDXOYSA-N 0 2 301.297 0.245 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CC2(F)F)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088555456 749631324 /nfs/dbraw/zinc/63/13/24/749631324.db2.gz JAVGVLWDTFJLFU-PRJMDXOYSA-N 0 2 301.297 0.245 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CC2(F)F)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088555456 749631328 /nfs/dbraw/zinc/63/13/28/749631328.db2.gz JAVGVLWDTFJLFU-PRJMDXOYSA-N 0 2 301.297 0.245 20 0 DCADLN O=C(NC[C@@H](CO)Nc1nccnc1F)C(F)C(F)(F)F ZINC001122761390 751155622 /nfs/dbraw/zinc/15/56/22/751155622.db2.gz RVKOQCXXDNMWRT-NTSWFWBYSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](CO)Nc1nccnc1F)[C@@H](F)C(F)(F)F ZINC001122761390 751155624 /nfs/dbraw/zinc/15/56/24/751155624.db2.gz RVKOQCXXDNMWRT-NTSWFWBYSA-N 0 2 314.214 0.405 20 0 DCADLN CC1(C(=O)NC[C@]2(C)CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001107959058 752605057 /nfs/dbraw/zinc/60/50/57/752605057.db2.gz DCKOWSPTKPCPLU-CQSZACIVSA-N 0 2 309.370 0.018 20 0 DCADLN CC1(NC(=O)c2cscn2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045386618 753173521 /nfs/dbraw/zinc/17/35/21/753173521.db2.gz JFUWNMYYLRCRRO-UHFFFAOYSA-N 0 2 322.394 0.751 20 0 DCADLN C[C@@]1(NC(=O)C2C=CC=CC=C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046352772 753659692 /nfs/dbraw/zinc/65/96/92/753659692.db2.gz FHHYHEZTYNMKBT-MRXNPFEDSA-N 0 2 315.377 0.889 20 0 DCADLN C[C@@]1(NC(=O)C2C=CC=CC=C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046352772 753659694 /nfs/dbraw/zinc/65/96/94/753659694.db2.gz FHHYHEZTYNMKBT-MRXNPFEDSA-N 0 2 315.377 0.889 20 0 DCADLN Cc1noc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001046404705 753696286 /nfs/dbraw/zinc/69/62/86/753696286.db2.gz GNAPNMYKIZRURV-CQSZACIVSA-N 0 2 320.353 0.510 20 0 DCADLN C[C@]1(NC(=O)C2CC3(CC3)C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046615803 753831236 /nfs/dbraw/zinc/83/12/36/753831236.db2.gz GTRVSVDYMWXBOY-AWEZNQCLSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@]1(NC(=O)C2CC3(CC3)C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046615803 753831242 /nfs/dbraw/zinc/83/12/42/753831242.db2.gz GTRVSVDYMWXBOY-AWEZNQCLSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046629312 753839792 /nfs/dbraw/zinc/83/97/92/753839792.db2.gz CFPNGJHUILOZRS-OLUVUFQESA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046629312 753839797 /nfs/dbraw/zinc/83/97/97/753839797.db2.gz CFPNGJHUILOZRS-OLUVUFQESA-N 0 2 309.370 0.016 20 0 DCADLN CCn1cnc(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046772878 753938039 /nfs/dbraw/zinc/93/80/39/753938039.db2.gz BNCMOANVXQHMGU-AWEZNQCLSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cnc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046772878 753938041 /nfs/dbraw/zinc/93/80/41/753938041.db2.gz BNCMOANVXQHMGU-AWEZNQCLSA-N 0 2 319.369 0.121 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)Cc2ccc[nH]2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001072091888 762349262 /nfs/dbraw/zinc/34/92/62/762349262.db2.gz JQRDJLRDDFXJBG-JQWIXIFHSA-N 0 2 318.381 0.550 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)Cc2ccc[nH]2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001072091888 762349267 /nfs/dbraw/zinc/34/92/67/762349267.db2.gz JQRDJLRDDFXJBG-JQWIXIFHSA-N 0 2 318.381 0.550 20 0 DCADLN O=C(C(F)F)N1CCC[C@H]2[C@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049266047 755211385 /nfs/dbraw/zinc/21/13/85/755211385.db2.gz IFTZDIPQTZVXHW-JGVFFNPUSA-N 0 2 301.297 0.341 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001072126094 762372136 /nfs/dbraw/zinc/37/21/36/762372136.db2.gz INBAVMNGFMJLPB-WPRPVWTQSA-N 0 2 319.369 0.325 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C2CC2)CCO1)C(F)C(F)(F)F ZINC001064732990 755481088 /nfs/dbraw/zinc/48/10/88/755481088.db2.gz JUFPVKQVXWVIOG-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C2CC2)CCO1)[C@H](F)C(F)(F)F ZINC001064732990 755481089 /nfs/dbraw/zinc/48/10/89/755481089.db2.gz JUFPVKQVXWVIOG-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001096925091 755551291 /nfs/dbraw/zinc/55/12/91/755551291.db2.gz RHTWIEWEIMFFKB-HKWIRBFKSA-N 0 2 305.382 0.778 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cccnc1 ZINC001079360785 755796909 /nfs/dbraw/zinc/79/69/09/755796909.db2.gz MPPLLNNDRVOSIR-MWLCHTKSSA-N 0 2 302.338 0.156 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cccnc1 ZINC001079360785 755796913 /nfs/dbraw/zinc/79/69/13/755796913.db2.gz MPPLLNNDRVOSIR-MWLCHTKSSA-N 0 2 302.338 0.156 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccn(C)c1 ZINC001079570797 755911244 /nfs/dbraw/zinc/91/12/44/755911244.db2.gz YAMWAFSXWJMEBO-MWLCHTKSSA-N 0 2 304.354 0.099 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccn(C)c1 ZINC001079570797 755911248 /nfs/dbraw/zinc/91/12/48/755911248.db2.gz YAMWAFSXWJMEBO-MWLCHTKSSA-N 0 2 304.354 0.099 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)ns1 ZINC001079633451 755932694 /nfs/dbraw/zinc/93/26/94/755932694.db2.gz AJAVXVKYHBFCEO-GMSGAONNSA-N 0 2 322.394 0.526 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079625862 755939002 /nfs/dbraw/zinc/93/90/02/755939002.db2.gz GDACNACCJBNSNI-GHMZBOCLSA-N 0 2 318.381 0.408 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079625862 755939005 /nfs/dbraw/zinc/93/90/05/755939005.db2.gz GDACNACCJBNSNI-GHMZBOCLSA-N 0 2 318.381 0.408 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079888655 756040555 /nfs/dbraw/zinc/04/05/55/756040555.db2.gz OHKMUSHHUBIFAE-UZWSLXQKSA-N 0 2 323.397 0.262 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079888655 756040560 /nfs/dbraw/zinc/04/05/60/756040560.db2.gz OHKMUSHHUBIFAE-UZWSLXQKSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CCC1 ZINC001079944220 756062367 /nfs/dbraw/zinc/06/23/67/756062367.db2.gz DYUDWSJFXHMFIO-JFGNBEQYSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CCC1 ZINC001079944220 756062372 /nfs/dbraw/zinc/06/23/72/756062372.db2.gz DYUDWSJFXHMFIO-JFGNBEQYSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@]12C[C@H]1CCC2 ZINC001080165689 756118595 /nfs/dbraw/zinc/11/85/95/756118595.db2.gz IINJWVQRXZXCLA-UYUMYWFVSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@]12C[C@H]1CCC2 ZINC001080165689 756118598 /nfs/dbraw/zinc/11/85/98/756118598.db2.gz IINJWVQRXZXCLA-UYUMYWFVSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1ncccc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080356747 756173849 /nfs/dbraw/zinc/17/38/49/756173849.db2.gz IRKAJZQEEWIBCW-BXKDBHETSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1ncccc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080356747 756173852 /nfs/dbraw/zinc/17/38/52/756173852.db2.gz IRKAJZQEEWIBCW-BXKDBHETSA-N 0 2 316.365 0.464 20 0 DCADLN O=C(CC1CCC1)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053765373 756189939 /nfs/dbraw/zinc/18/99/39/756189939.db2.gz MUEMJVHAHDCOTN-LLVKDONJSA-N 0 2 321.381 0.160 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CC12CCC2 ZINC001080524447 756238917 /nfs/dbraw/zinc/23/89/17/756238917.db2.gz LSNADWJXANQAOQ-OUAUKWLOSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CC12CCC2 ZINC001080524447 756238921 /nfs/dbraw/zinc/23/89/21/756238921.db2.gz LSNADWJXANQAOQ-OUAUKWLOSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C(C1CC1)C1CC1 ZINC001080748031 756326867 /nfs/dbraw/zinc/32/68/67/756326867.db2.gz LYHMQAGUCUAKKK-BXKDBHETSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C(C1CC1)C1CC1 ZINC001080748031 756326870 /nfs/dbraw/zinc/32/68/70/756326870.db2.gz LYHMQAGUCUAKKK-BXKDBHETSA-N 0 2 319.409 0.883 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080865922 756368925 /nfs/dbraw/zinc/36/89/25/756368925.db2.gz NOOOUXZLGXNEJW-PSASIEDQSA-N 0 2 319.369 0.046 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080865922 756368928 /nfs/dbraw/zinc/36/89/28/756368928.db2.gz NOOOUXZLGXNEJW-PSASIEDQSA-N 0 2 319.369 0.046 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccsc1 ZINC001081325532 756547796 /nfs/dbraw/zinc/54/77/96/756547796.db2.gz KVBLVOULFHICPJ-NXEZZACHSA-N 0 2 323.378 0.201 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1occc1C ZINC001081363935 756597515 /nfs/dbraw/zinc/59/75/15/756597515.db2.gz JFGOLXYCHPJIFS-NXEZZACHSA-N 0 2 321.337 0.041 20 0 DCADLN CC(=O)N[C@@]1(CO)CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001055678192 756857411 /nfs/dbraw/zinc/85/74/11/756857411.db2.gz WEPAQOBVKFEIGP-SCZZXKLOSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N[C@@]1(CO)CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001055678192 756857416 /nfs/dbraw/zinc/85/74/16/756857416.db2.gz WEPAQOBVKFEIGP-SCZZXKLOSA-N 0 2 300.252 0.376 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@H]1CCC1(C)C ZINC001082043635 756904970 /nfs/dbraw/zinc/90/49/70/756904970.db2.gz JXDCCPRCYRWVFT-HBNTYKKESA-N 0 2 323.397 0.262 20 0 DCADLN Cc1cc(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)on1 ZINC001084184647 757714185 /nfs/dbraw/zinc/71/41/85/757714185.db2.gz TWGTWFRXERSGBG-NXEZZACHSA-N 0 2 318.337 0.153 20 0 DCADLN O=C(CC(F)(F)F)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084220428 757741700 /nfs/dbraw/zinc/74/17/00/757741700.db2.gz HXNSPNZOOYPWIH-HTQZYQBOSA-N 0 2 319.287 0.495 20 0 DCADLN O=C(c1cscn1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084228754 757748559 /nfs/dbraw/zinc/74/85/59/757748559.db2.gz QRMVARQJTODNOD-PSASIEDQSA-N 0 2 320.378 0.313 20 0 DCADLN O=C([C@H]1CC1(F)F)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084329326 757789228 /nfs/dbraw/zinc/78/92/28/757789228.db2.gz UXPWQZLRKNYPCJ-IWSPIJDZSA-N 0 2 313.308 0.198 20 0 DCADLN CN(C[C@@H]1CC[N@@H+]1Cc1cc(=O)n2[n-]ccc2n1)C(=O)C1CC1 ZINC001085449814 758889856 /nfs/dbraw/zinc/88/98/56/758889856.db2.gz CSGMXXGKWVFUIS-ZDUSSCGKSA-N 0 2 315.377 0.465 20 0 DCADLN CN(C[C@@H]1CC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1)C(=O)C1CC1 ZINC001085449814 758889865 /nfs/dbraw/zinc/88/98/65/758889865.db2.gz CSGMXXGKWVFUIS-ZDUSSCGKSA-N 0 2 315.377 0.465 20 0 DCADLN Cc1cccnc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085537679 759019719 /nfs/dbraw/zinc/01/97/19/759019719.db2.gz DTZWWCFNYCEVDE-NSHDSACASA-N 0 2 316.365 0.560 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085807680 759334401 /nfs/dbraw/zinc/33/44/01/759334401.db2.gz NEVFOMMKMZOWDD-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN CCc1cc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001085933296 759487187 /nfs/dbraw/zinc/48/71/87/759487187.db2.gz VJGARUNWRPMMCO-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN CC(=O)NC1(CNC(=O)C(F)C(F)(F)F)CCOCC1 ZINC001069927786 760977924 /nfs/dbraw/zinc/97/79/24/760977924.db2.gz OSKWWXXZEHAFCK-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN CC(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CCOCC1 ZINC001069927786 760977930 /nfs/dbraw/zinc/97/79/30/760977930.db2.gz OSKWWXXZEHAFCK-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109068374 761224566 /nfs/dbraw/zinc/22/45/66/761224566.db2.gz TYPOZDNZSUNWJG-VLEAKVRGSA-N 0 2 309.370 0.014 20 0 DCADLN O=C(NCc1nn[nH]n1)c1cc(O)c(Br)c(O)c1 ZINC001148989274 768072265 /nfs/dbraw/zinc/07/22/65/768072265.db2.gz AEGGQAFSZJPASX-UHFFFAOYSA-N 0 2 314.099 0.303 20 0 DCADLN COCCCCN(C)C(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001129977294 763069611 /nfs/dbraw/zinc/06/96/11/763069611.db2.gz OHZYGBZSBDBRLO-UHFFFAOYSA-N 0 2 306.366 0.759 20 0 DCADLN NC(=O)c1ccc(Cl)nc1NCCNC(=O)C(F)(F)F ZINC001156203567 770747103 /nfs/dbraw/zinc/74/71/03/770747103.db2.gz QXOMDQPOSSPDIB-UHFFFAOYSA-N 0 2 310.663 0.924 20 0 DCADLN CCOCCCCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001115992228 765835056 /nfs/dbraw/zinc/83/50/56/765835056.db2.gz CGDKGTAKPUUIFA-UHFFFAOYSA-N 0 2 306.366 0.806 20 0 DCADLN O=C(CCc1nc(-c2ccccc2)no1)NCc1n[nH]c(=O)[nH]1 ZINC001116194687 765848828 /nfs/dbraw/zinc/84/88/28/765848828.db2.gz SDUJNBKBEPEFPB-UHFFFAOYSA-N 0 2 314.305 0.809 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)[N-]OCc1ccccc1 ZINC001117053887 765967189 /nfs/dbraw/zinc/96/71/89/765967189.db2.gz KHJXKQNZZVLAPA-LBPRGKRZSA-N 0 2 302.334 0.636 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCN1C(=O)N[C@H](C[NH+]2CCCCC2)C1=O ZINC001119693661 766778062 /nfs/dbraw/zinc/77/80/62/766778062.db2.gz LTYBKAJZKVLVKN-ZIAGYGMSSA-N 0 2 324.425 0.113 20 0 DCADLN CN1CCN(C2C[NH+](Cc3sccc3C(=O)[O-])C2)CC1=O ZINC001144677405 767007461 /nfs/dbraw/zinc/00/74/61/767007461.db2.gz OZOVEPGBEQGACX-UHFFFAOYSA-N 0 2 309.391 0.405 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[NH2+]Cc1ccc2nonc2c1 ZINC001149124526 767762297 /nfs/dbraw/zinc/76/22/97/767762297.db2.gz SWEOVSOTPNJJDL-UHFFFAOYSA-N 0 2 314.349 0.703 20 0 DCADLN Cc1[nH]c(C[N@@H+]2CCN3C(=O)COC[C@H]3C2)c(C)c1C(=O)[O-] ZINC001140497311 768533859 /nfs/dbraw/zinc/53/38/59/768533859.db2.gz LNAHCAMFANVZFU-LLVKDONJSA-N 0 2 307.350 0.373 20 0 DCADLN Cc1[nH]c(C[N@H+]2CCN3C(=O)COC[C@H]3C2)c(C)c1C(=O)[O-] ZINC001140497311 768533862 /nfs/dbraw/zinc/53/38/62/768533862.db2.gz LNAHCAMFANVZFU-LLVKDONJSA-N 0 2 307.350 0.373 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(Cc2cccs2)C1 ZINC001142363062 768641964 /nfs/dbraw/zinc/64/19/64/768641964.db2.gz VQYCFGKVYZDWAG-QMMMGPOBSA-N 0 2 321.362 0.237 20 0 DCADLN NC(=O)CN1CC[NH+](Cc2ccc(Cl)cc2C(=O)[O-])CC1 ZINC001142660007 768656955 /nfs/dbraw/zinc/65/69/55/768656955.db2.gz AEXPVWJGPVSUOO-UHFFFAOYSA-N 0 2 311.769 0.641 20 0 DCADLN C/C=C(\C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149504292 768768111 /nfs/dbraw/zinc/76/81/11/768768111.db2.gz GVUAKNSJMRQTMU-JNZVDCKRSA-N 0 2 309.370 0.184 20 0 DCADLN CC[C@H](C)C[NH+]1CC(n2cc(CNC(=O)c3nc[nH]n3)nn2)C1 ZINC001094327865 768986916 /nfs/dbraw/zinc/98/69/16/768986916.db2.gz RTPFDOWZFLBKFH-JTQLQIEISA-N 0 2 318.385 0.229 20 0 DCADLN Cc1cc(C)n2nc(C(=O)Nc3noc4nccnc34)nc2n1 ZINC001150706755 769165496 /nfs/dbraw/zinc/16/54/96/769165496.db2.gz JQRJPZWKFXBHTG-UHFFFAOYSA-N 0 2 310.277 0.925 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C(=O)C(C)(C)C ZINC001231321325 769225875 /nfs/dbraw/zinc/22/58/75/769225875.db2.gz GERURWQDSBLEIR-VIFPVBQESA-N 0 2 309.370 0.158 20 0 DCADLN CNC(=O)N1CC[NH+](Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231793969 769285507 /nfs/dbraw/zinc/28/55/07/769285507.db2.gz PUEZPVDYTVOEKP-UHFFFAOYSA-N 0 2 307.350 0.607 20 0 DCADLN CC[C@@H](SC)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233695651 769428541 /nfs/dbraw/zinc/42/85/41/769428541.db2.gz SSJRQKRYPYIURF-VHSXEESVSA-N 0 2 313.427 0.685 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C=Cc1ccc[nH]1 ZINC001233938051 769502781 /nfs/dbraw/zinc/50/27/81/769502781.db2.gz SRDFPJDVXFVUSE-ZYOFXKKJSA-N 0 2 316.365 0.585 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1CCCOC1 ZINC001095229465 769687327 /nfs/dbraw/zinc/68/73/27/769687327.db2.gz MFSVUQSHKXVIRV-USZNOCQGSA-N 0 2 321.381 0.158 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)c1cncc2nc[nH]c21 ZINC001153811718 769947348 /nfs/dbraw/zinc/94/73/48/769947348.db2.gz MVYALJXIIMNANO-UHFFFAOYSA-N 0 2 300.326 0.769 20 0 DCADLN O=C([N-]S(=O)(=O)c1cccs1)[C@@H]1CCC[N@H+]1CCO ZINC001236310235 769993557 /nfs/dbraw/zinc/99/35/57/769993557.db2.gz WATVVYOEOHLRKL-VIFPVBQESA-N 0 2 304.393 0.010 20 0 DCADLN O=C([N-]S(=O)(=O)c1cccs1)[C@@H]1CCC[N@@H+]1CCO ZINC001236310235 769993563 /nfs/dbraw/zinc/99/35/63/769993563.db2.gz WATVVYOEOHLRKL-VIFPVBQESA-N 0 2 304.393 0.010 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnn2ccc(Cl)nc12 ZINC001154781838 770293641 /nfs/dbraw/zinc/29/36/41/770293641.db2.gz XDTCKRYUHXFTCA-VIFPVBQESA-N 0 2 311.710 0.422 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccc2cc(O)cnc21 ZINC001155106063 770400593 /nfs/dbraw/zinc/40/05/93/770400593.db2.gz BROYRLMBJXTXNO-LBPRGKRZSA-N 0 2 303.299 0.979 20 0 DCADLN NC(=O)c1cc(Cl)nc(NCCNC(=O)C(F)(F)F)c1 ZINC001156200743 770746371 /nfs/dbraw/zinc/74/63/71/770746371.db2.gz YTPFHTFUWCVWON-UHFFFAOYSA-N 0 2 310.663 0.924 20 0 DCADLN O=C(CCN1Cc2ccccc2C1=O)NCc1n[nH]c(=O)[nH]1 ZINC001178833795 770878145 /nfs/dbraw/zinc/87/81/45/770878145.db2.gz SSCNWRDNOUQTMM-UHFFFAOYSA-N 0 2 301.306 0.173 20 0 DCADLN CC(C)(C)OC(=O)N1CC2CC1(C(=O)NCc1n[nH]c(=O)[nH]1)C2 ZINC001179354110 770990055 /nfs/dbraw/zinc/99/00/55/770990055.db2.gz IWXPUMZJWVQJHP-UHFFFAOYSA-N 0 2 323.353 0.526 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)OC)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001182832334 771562885 /nfs/dbraw/zinc/56/28/85/771562885.db2.gz IMOFNPFDPRLKPM-WPRPVWTQSA-N 0 2 313.358 0.330 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2c(n1)CCCN2 ZINC001182832986 771563139 /nfs/dbraw/zinc/56/31/39/771563139.db2.gz WXTAPDARACKHDL-UHFFFAOYSA-N 0 2 302.338 0.626 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1(c2ccc(Cl)cc2)CC(O)C1 ZINC001182948346 771578582 /nfs/dbraw/zinc/57/85/82/771578582.db2.gz BLSOCUAAUDQNQL-UHFFFAOYSA-N 0 2 322.752 0.873 20 0 DCADLN CCOC(=O)c1ncc(NC(=O)C(CO)C(F)(F)F)cn1 ZINC001183281850 771627081 /nfs/dbraw/zinc/62/70/81/771627081.db2.gz YOJNAGQYSOATII-ZETCQYMHSA-N 0 2 307.228 0.763 20 0 DCADLN CCOC(=O)c1ncc(NC(=O)[C@H](CO)C(F)(F)F)cn1 ZINC001183281850 771627084 /nfs/dbraw/zinc/62/70/84/771627084.db2.gz YOJNAGQYSOATII-ZETCQYMHSA-N 0 2 307.228 0.763 20 0 DCADLN CNC(=O)c1cccnc1NC(=O)c1nc(SC)ncc1O ZINC001185165885 771871729 /nfs/dbraw/zinc/87/17/29/771871729.db2.gz DIBLRPJQMKXOII-UHFFFAOYSA-N 0 2 319.346 0.911 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)Cc2cccc(F)c2F)S1 ZINC001189468905 772476480 /nfs/dbraw/zinc/47/64/80/772476480.db2.gz SRMJFJPQBVXVKP-VIFPVBQESA-N 0 2 322.314 0.693 20 0 DCADLN O=C(c1ccccc1S(=O)(=O)[O-])N1CCc2[nH+]ccn2CC1 ZINC001189689204 772511468 /nfs/dbraw/zinc/51/14/68/772511468.db2.gz BJLXYGUHIGWTHX-UHFFFAOYSA-N 0 2 321.358 0.828 20 0 DCADLN Cc1cnc(=O)[nH]c1NS(=O)(=O)c1ncc(F)cc1F ZINC001190196908 772605334 /nfs/dbraw/zinc/60/53/34/772605334.db2.gz RJIIKVPRNZAOOB-UHFFFAOYSA-N 0 2 302.262 0.965 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2ccc(F)c(F)c2O)CCN1C ZINC001192806703 772962966 /nfs/dbraw/zinc/96/29/66/772962966.db2.gz IRJKRSSJWVEDES-JTQLQIEISA-N 0 2 314.288 0.600 20 0 DCADLN Nc1ccc(N)c(S(=O)(=O)Nc2cnn([C@H]3CCOC3)c2)c1 ZINC001205221818 772983486 /nfs/dbraw/zinc/98/34/86/772983486.db2.gz FWTMGXPZERXNBU-NSHDSACASA-N 0 2 323.378 0.810 20 0 DCADLN Cc1ncoc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2([NH+](C)C)CCC2)C1 ZINC001193766662 773112969 /nfs/dbraw/zinc/11/29/69/773112969.db2.gz UYJNUOJQBVIPKO-CHWSQXEVSA-N 0 2 322.409 0.129 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCc1ccoc1 ZINC001206866076 773113645 /nfs/dbraw/zinc/11/36/45/773113645.db2.gz QJUJTAZUSQTCGC-ZYHUDNBSSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCc1ccoc1 ZINC001206866076 773113646 /nfs/dbraw/zinc/11/36/46/773113646.db2.gz QJUJTAZUSQTCGC-ZYHUDNBSSA-N 0 2 319.365 0.673 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206882662 773119849 /nfs/dbraw/zinc/11/98/49/773119849.db2.gz XNGVGJWQRGYATK-OUAUKWLOSA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206882662 773119851 /nfs/dbraw/zinc/11/98/51/773119851.db2.gz XNGVGJWQRGYATK-OUAUKWLOSA-N 0 2 311.386 0.262 20 0 DCADLN CN(CCO)c1cc(NS(=O)(=O)c2ccccc2N)ncn1 ZINC001193895962 773126462 /nfs/dbraw/zinc/12/64/62/773126462.db2.gz OXWOLBVZTCNPJX-UHFFFAOYSA-N 0 2 323.378 0.288 20 0 DCADLN CC(C)OCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCC[NH+]2C)C1 ZINC001194128956 773151946 /nfs/dbraw/zinc/15/19/46/773151946.db2.gz YMYWOHRIJBQHGC-RBSFLKMASA-N 0 2 313.442 0.057 20 0 DCADLN CC(C)OCC[NH+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCC[N@@H+]2C)C1 ZINC001194128955 773152216 /nfs/dbraw/zinc/15/22/16/773152216.db2.gz YMYWOHRIJBQHGC-QLFBSQMISA-N 0 2 313.442 0.057 20 0 DCADLN C[C@@H]1CN(Cc2cnn(C)n2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207022398 773163654 /nfs/dbraw/zinc/16/36/54/773163654.db2.gz HOUXHMBBBNPUIR-QNSHHTMESA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H]1CN(Cc2cnn(C)n2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001207022398 773163656 /nfs/dbraw/zinc/16/36/56/773163656.db2.gz HOUXHMBBBNPUIR-QNSHHTMESA-N 0 2 323.294 0.652 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1cccc(C(N)=O)c1 ZINC001194667584 773228076 /nfs/dbraw/zinc/22/80/76/773228076.db2.gz DJNZKZKDTDOYLZ-UHFFFAOYSA-N 0 2 307.331 0.990 20 0 DCADLN Cn1ncnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001114308751 773383823 /nfs/dbraw/zinc/38/38/23/773383823.db2.gz LVPZXZQYEKSRAV-GOZTYBTRSA-N 0 2 321.278 0.262 20 0 DCADLN Cn1ncnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(F)(F)F ZINC001114308751 773383831 /nfs/dbraw/zinc/38/38/31/773383831.db2.gz LVPZXZQYEKSRAV-GOZTYBTRSA-N 0 2 321.278 0.262 20 0 DCADLN COCC(C)(C)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001196515406 773606489 /nfs/dbraw/zinc/60/64/89/773606489.db2.gz IOYXGBNEMWIJKG-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN COc1cc(Cl)cnc1-c1noc(-c2c[nH]c(=O)c(=O)[nH]2)n1 ZINC001213928336 773902536 /nfs/dbraw/zinc/90/25/36/773902536.db2.gz UQIHWQIEKFXHKF-UHFFFAOYSA-N 0 2 321.680 0.837 20 0 DCADLN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)Nc1ccc2nn[nH]c2c1 ZINC001218876755 774318658 /nfs/dbraw/zinc/31/86/58/774318658.db2.gz MLSQEGQWKKNAJE-XWTBWJTNSA-N 0 2 303.322 0.028 20 0 DCADLN CCCCOCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221463150 775112695 /nfs/dbraw/zinc/11/26/95/775112695.db2.gz QYYSJXVPCMHDAO-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CC(C)(C)OCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221495077 775126395 /nfs/dbraw/zinc/12/63/95/775126395.db2.gz TVKRARDJVJCPDE-GHMZBOCLSA-N 0 2 323.397 0.358 20 0 DCADLN CO[C@@H](C)CCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221500320 775128051 /nfs/dbraw/zinc/12/80/51/775128051.db2.gz WZHAVQVLKFIDQB-QJPTWQEYSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(CCC(F)F)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221694408 775173246 /nfs/dbraw/zinc/17/32/46/775173246.db2.gz GJZMXSYDCQSAEV-RKDXNWHRSA-N 0 2 315.324 0.588 20 0 DCADLN CCC[C@@H](OC)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221734849 775183229 /nfs/dbraw/zinc/18/32/29/775183229.db2.gz MAHVBLVPXGTZMS-IJLUTSLNSA-N 0 2 323.397 0.358 20 0 DCADLN COC1CC(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)C1 ZINC001095587662 775244552 /nfs/dbraw/zinc/24/45/52/775244552.db2.gz YLUKSAVTPPMCRW-PFOINOEHSA-N 0 2 321.381 0.157 20 0 DCADLN COCC[C@@H](C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001222031678 775250490 /nfs/dbraw/zinc/25/04/90/775250490.db2.gz QZXBDAGLKOJZSW-IJLUTSLNSA-N 0 2 323.397 0.216 20 0 DCADLN CCOC(=O)C[C@H](Oc1nc(C)[nH]c(=O)c1F)C(=O)OCC ZINC001226420526 775815808 /nfs/dbraw/zinc/81/58/08/775815808.db2.gz KFPROVQLYTZZIK-QMMMGPOBSA-N 0 2 316.285 0.893 20 0 DCADLN CC[C@H](O)C(=O)Oc1ncnc2[nH]nc(Br)c21 ZINC001226667044 775857598 /nfs/dbraw/zinc/85/75/98/775857598.db2.gz RBTOHRDNFSZSNM-BYPYZUCNSA-N 0 2 301.100 0.792 20 0 DCADLN C[C@@H](Oc1[nH]c(=O)nc2c(=O)[nH]c(=O)[nH]c21)c1cncnc1 ZINC001226885803 775890594 /nfs/dbraw/zinc/89/05/94/775890594.db2.gz IMZBJYFDUKRXKM-RXMQYKEDSA-N 0 2 302.250 0.467 20 0 DCADLN CC[C@@H]1OC(=O)C(Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)=C1C ZINC001226888398 775890848 /nfs/dbraw/zinc/89/08/48/775890848.db2.gz PXHMGPCLZHGRKX-YFKPBYRVSA-N 0 2 320.261 0.525 20 0 DCADLN CC(C)[N@@H+]1CC[C@@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c32)C1 ZINC001226888440 775892117 /nfs/dbraw/zinc/89/21/17/775892117.db2.gz QKRJTYUICDQSSI-SSDOTTSWSA-N 0 2 307.310 0.398 20 0 DCADLN CC(C)[N@@H+]1CC[C@@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226888440 775892128 /nfs/dbraw/zinc/89/21/28/775892128.db2.gz QKRJTYUICDQSSI-SSDOTTSWSA-N 0 2 307.310 0.398 20 0 DCADLN CC(C)[N@H+]1CC[C@@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226888440 775892136 /nfs/dbraw/zinc/89/21/36/775892136.db2.gz QKRJTYUICDQSSI-SSDOTTSWSA-N 0 2 307.310 0.398 20 0 DCADLN CC1=C[C@@H](Oc2[nH]c(=O)nnc2Br)CC(=O)O1 ZINC001227065228 775921139 /nfs/dbraw/zinc/92/11/39/775921139.db2.gz YDFQIEWDJILOGB-RXMQYKEDSA-N 0 2 302.084 0.938 20 0 DCADLN O=c1cc(I)nc(OC[C@@H]2CCO2)[nH]1 ZINC001228947306 776140367 /nfs/dbraw/zinc/14/03/67/776140367.db2.gz WHANMTBIKZORQE-YFKPBYRVSA-N 0 2 308.075 0.955 20 0 DCADLN C[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C(C)(F)F ZINC001229243728 776173650 /nfs/dbraw/zinc/17/36/50/776173650.db2.gz QKGPMHFGFSHEKR-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(c1ccon1)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041949490 777599653 /nfs/dbraw/zinc/59/96/53/777599653.db2.gz JCNQTPPEZIZSND-KOLCDFICSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1ccon1)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041949490 777599659 /nfs/dbraw/zinc/59/96/59/777599659.db2.gz JCNQTPPEZIZSND-KOLCDFICSA-N 0 2 318.337 0.235 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cncc(F)c1Cl ZINC001601426104 1168743060 /nfs/dbraw/zinc/74/30/60/1168743060.db2.gz RGEVKFSIZTYGSP-SECBINFHSA-N 0 2 312.688 0.954 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@H+]1[C@@H]1CCC2(C[C@@H]1F)OCCO2 ZINC001601965471 1168950246 /nfs/dbraw/zinc/95/02/46/1168950246.db2.gz KMCPWGZSKMNYAN-GRYCIOLGSA-N 0 2 303.330 0.796 20 0 DCADLN C[C@]1(NC(=O)C[C@H]2C=CCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001278355302 945239830 /nfs/dbraw/zinc/23/98/30/945239830.db2.gz ATECBQFCRFSBOR-NHYWBVRUSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@]1(NC(=O)C[C@H]2C=CCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001278355302 945239834 /nfs/dbraw/zinc/23/98/34/945239834.db2.gz ATECBQFCRFSBOR-NHYWBVRUSA-N 0 2 305.382 0.947 20 0 DCADLN CCO[C@@H](CC)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001279511566 939237638 /nfs/dbraw/zinc/23/76/38/939237638.db2.gz PVKUZTMFWWMVOB-LBPRGKRZSA-N 0 2 323.397 0.574 20 0 DCADLN CCO[C@@H](CC)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001279511566 939237641 /nfs/dbraw/zinc/23/76/41/939237641.db2.gz PVKUZTMFWWMVOB-LBPRGKRZSA-N 0 2 323.397 0.574 20 0 DCADLN CN(CCNCc1cnsn1)C(=O)C(F)C(F)(F)F ZINC001267375604 939440035 /nfs/dbraw/zinc/44/00/35/939440035.db2.gz OEDIATHAPWFKLR-ZETCQYMHSA-N 0 2 300.281 0.987 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnn1C ZINC001408751528 939627188 /nfs/dbraw/zinc/62/71/88/939627188.db2.gz DAAFARGBIFXDSQ-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccnn1C ZINC001408751528 939627190 /nfs/dbraw/zinc/62/71/90/939627190.db2.gz DAAFARGBIFXDSQ-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCCCOCC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001267760457 939666571 /nfs/dbraw/zinc/66/65/71/939666571.db2.gz UFQNJRYBCYESQA-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCCCOCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001267760457 939666573 /nfs/dbraw/zinc/66/65/73/939666573.db2.gz UFQNJRYBCYESQA-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN Cc1nc(CC(=O)NCC2C[NH+](Cc3ncccc3C)C2)n[nH]1 ZINC001481625206 939978716 /nfs/dbraw/zinc/97/87/16/939978716.db2.gz VSBQRKNLUQKRNT-UHFFFAOYSA-N 0 2 314.393 0.607 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001318329118 945322168 /nfs/dbraw/zinc/32/21/68/945322168.db2.gz DZHPDPHGEJZCMH-DLRQAJBASA-N 0 2 302.338 0.242 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001318329118 945322178 /nfs/dbraw/zinc/32/21/78/945322178.db2.gz DZHPDPHGEJZCMH-DLRQAJBASA-N 0 2 302.338 0.242 20 0 DCADLN CN1CCO[C@H]2CN(C(=O)c3csc4c3[nH]cnc4=O)C[C@@H]21 ZINC001269225753 940652847 /nfs/dbraw/zinc/65/28/47/940652847.db2.gz HFOOEXVXZDXEOF-UWVGGRQHSA-N 0 2 320.374 0.552 20 0 DCADLN NC(=O)[C@H]1CCC2(CN(C(=O)c3cccc(Cl)c3O)C2)O1 ZINC001269756334 940771369 /nfs/dbraw/zinc/77/13/69/940771369.db2.gz CJRLNCRYCCINBN-SNVBAGLBSA-N 0 2 310.737 0.905 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1Cc2ccccc21)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409643811 942110670 /nfs/dbraw/zinc/11/06/70/942110670.db2.gz ZUODGBLWCBZPKG-GXFFZTMASA-N 0 2 315.377 0.787 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1Cc2ccccc21)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409643811 942110674 /nfs/dbraw/zinc/11/06/74/942110674.db2.gz ZUODGBLWCBZPKG-GXFFZTMASA-N 0 2 315.377 0.787 20 0 DCADLN CS[C@H](C)CC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483327740 942269651 /nfs/dbraw/zinc/26/96/51/942269651.db2.gz LLJXJTMRPXIILQ-RKDXNWHRSA-N 0 2 301.416 0.588 20 0 DCADLN CS[C@H](C)CC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483327740 942269655 /nfs/dbraw/zinc/26/96/55/942269655.db2.gz LLJXJTMRPXIILQ-RKDXNWHRSA-N 0 2 301.416 0.588 20 0 DCADLN C[C@H](CN(C)CCS(C)(=O)=O)NC(=O)C(F)C(F)(F)F ZINC001483340013 942283149 /nfs/dbraw/zinc/28/31/49/942283149.db2.gz NSSCMCZQAMQQED-HTQZYQBOSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@H](CN(C)CCS(C)(=O)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001483340013 942283157 /nfs/dbraw/zinc/28/31/57/942283157.db2.gz NSSCMCZQAMQQED-HTQZYQBOSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC1(F)F ZINC001483353509 942292550 /nfs/dbraw/zinc/29/25/50/942292550.db2.gz SIRHGBDWCRXJER-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC1(F)F ZINC001483353509 942292553 /nfs/dbraw/zinc/29/25/53/942292553.db2.gz SIRHGBDWCRXJER-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NC(=O)NCc2ccco2)[C@@H](C(=O)[O-])C1 ZINC000322461531 970889095 /nfs/dbraw/zinc/88/90/95/970889095.db2.gz JDRJKZCHIQKOFG-CMPLNLGQSA-N 0 2 323.349 0.791 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NC(=O)NCc2ccco2)[C@@H](C(=O)[O-])C1 ZINC000322461531 970889101 /nfs/dbraw/zinc/88/91/01/970889101.db2.gz JDRJKZCHIQKOFG-CMPLNLGQSA-N 0 2 323.349 0.791 20 0 DCADLN Cc1noc2ncc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cc12 ZINC000322527830 970898624 /nfs/dbraw/zinc/89/86/24/970898624.db2.gz VQSKJJMFPJLSRW-LLVKDONJSA-N 0 2 315.289 0.680 20 0 DCADLN Cc1noc2ncc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cc12 ZINC000322527830 970898632 /nfs/dbraw/zinc/89/86/32/970898632.db2.gz VQSKJJMFPJLSRW-LLVKDONJSA-N 0 2 315.289 0.680 20 0 DCADLN COc1ccccc1OCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000322759199 970927191 /nfs/dbraw/zinc/92/71/91/970927191.db2.gz GACDSGKRGGJFOY-LLVKDONJSA-N 0 2 319.317 0.609 20 0 DCADLN COc1ccccc1OCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000322759199 970927198 /nfs/dbraw/zinc/92/71/98/970927198.db2.gz GACDSGKRGGJFOY-LLVKDONJSA-N 0 2 319.317 0.609 20 0 DCADLN C[C@H](CC(N)=O)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001413357838 942922113 /nfs/dbraw/zinc/92/21/13/942922113.db2.gz OOZMAWYLMIJWOV-RXMQYKEDSA-N 0 2 307.759 0.748 20 0 DCADLN CO[C@@](C)(CO)CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC001413388208 942944768 /nfs/dbraw/zinc/94/47/68/942944768.db2.gz QQTVWJIZCTXPSJ-MGNBDDOMSA-N 0 2 316.445 0.115 20 0 DCADLN Cn1cc(CNS(=O)(=O)c2onc(C3CC3)c2Cl)nn1 ZINC001413393077 942948162 /nfs/dbraw/zinc/94/81/62/942948162.db2.gz WDQUAADYRXXFEH-UHFFFAOYSA-N 0 2 317.758 0.812 20 0 DCADLN O=C(N[C@H](CO)[C@@H](O)c1ccccn1)c1cc(F)c(O)c(F)c1 ZINC001413415281 942961873 /nfs/dbraw/zinc/96/18/73/942961873.db2.gz JSYJZXWHDVUUFG-OCCSQVGLSA-N 0 2 324.283 0.890 20 0 DCADLN C[C@H](c1nnnn1C)[N@H+](C)CCCN(C)C(=O)C[NH+]1CCCC1 ZINC001484768119 943572260 /nfs/dbraw/zinc/57/22/60/943572260.db2.gz GLRSXROSCAOIAM-CYBMUJFWSA-N 0 2 323.445 0.147 20 0 DCADLN C[C@H](CNC(=O)c1cnn[nH]1)N(C)Cc1nnc(C(C)(C)C)[nH]1 ZINC001485324262 943850105 /nfs/dbraw/zinc/85/01/05/943850105.db2.gz YBICGYPJNLQFNS-SECBINFHSA-N 0 2 320.401 0.471 20 0 DCADLN CCOCCC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001276855407 944329356 /nfs/dbraw/zinc/32/93/56/944329356.db2.gz MBGJNEHYDFEJLA-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN CCOCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001276855407 944329363 /nfs/dbraw/zinc/32/93/63/944329363.db2.gz MBGJNEHYDFEJLA-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN Cn1cncc1C[NH2+]C[C@@H](NC(=O)Cn1cc[nH+]c1)C(C)(C)C ZINC001486423704 944871858 /nfs/dbraw/zinc/87/18/58/944871858.db2.gz VQTWHJQXOMSFGZ-CQSZACIVSA-N 0 2 318.425 0.937 20 0 DCADLN CCOCC(=O)NCC1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001486602026 944988850 /nfs/dbraw/zinc/98/88/50/944988850.db2.gz ONCGUWOGNLHBAL-KTOWXAHTSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NCC1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001486602026 944988856 /nfs/dbraw/zinc/98/88/56/944988856.db2.gz ONCGUWOGNLHBAL-KTOWXAHTSA-N 0 2 314.279 0.934 20 0 DCADLN CCOC(=O)Cc1nnc(NC(=O)c2cccc[n+]2[O-])s1 ZINC001319438917 945588945 /nfs/dbraw/zinc/58/89/45/945588945.db2.gz QVCKQJBOAJWMMK-UHFFFAOYSA-N 0 2 308.319 0.529 20 0 DCADLN CCC1(NS(=O)(=O)CC(F)(F)F)CCS(=O)(=O)CC1 ZINC001253245502 946101752 /nfs/dbraw/zinc/10/17/52/946101752.db2.gz KFRNFBYPDTYFKX-UHFFFAOYSA-N 0 2 323.358 0.826 20 0 DCADLN NC(=O)c1cnc2n1CCN(C(=O)CC(=O)c1ccccc1)C2 ZINC001273306438 946462282 /nfs/dbraw/zinc/46/22/82/946462282.db2.gz CTCZELGLXLEQEQ-UHFFFAOYSA-N 0 2 312.329 0.597 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2ncn(Cc3cccnc3)n2)n1 ZINC001322540656 946713753 /nfs/dbraw/zinc/71/37/53/946713753.db2.gz LIEIIWQIWNPZBL-UHFFFAOYSA-N 0 2 319.350 0.256 20 0 DCADLN O=C(C[C@H]1C=CCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001258316853 946821094 /nfs/dbraw/zinc/82/10/94/946821094.db2.gz ZZXZQYBVJLBVNH-LBPRGKRZSA-N 0 2 305.382 0.901 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1nc(Cl)cnc1Cl ZINC001259019164 946895128 /nfs/dbraw/zinc/89/51/28/946895128.db2.gz WPSDAOHTPOQLQX-UHFFFAOYSA-N 0 2 300.123 0.698 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2c(c1)CN(C1CC1)C2=O ZINC001259027096 946898883 /nfs/dbraw/zinc/89/88/83/946898883.db2.gz LSINBJIBKXLULI-UHFFFAOYSA-N 0 2 324.358 0.720 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2cccc3cnccc32)S1 ZINC001259158812 946922718 /nfs/dbraw/zinc/92/27/18/946922718.db2.gz CNPWZRPEIIIUCJ-NSHDSACASA-N 0 2 323.355 0.822 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2cc(F)cc(F)c2)S1 ZINC001259312778 946942130 /nfs/dbraw/zinc/94/21/30/946942130.db2.gz DPNFBIFIBVGCPG-MRVPVSSYSA-N 0 2 308.287 0.552 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)CCc2ccccc2F)S1 ZINC001259788224 946983953 /nfs/dbraw/zinc/98/39/53/946983953.db2.gz PBDNBNCRPFUTBJ-SNVBAGLBSA-N 0 2 318.351 0.597 20 0 DCADLN NC(=O)c1ncc(NS(=O)(=O)c2ccc3c(c2)OCO3)cn1 ZINC001259844179 947000203 /nfs/dbraw/zinc/00/02/03/947000203.db2.gz CJPVEUBSNBPYML-UHFFFAOYSA-N 0 2 322.302 0.105 20 0 DCADLN COCCS(=O)(=O)Nc1ccccc1C(=O)OCC(C)=O ZINC001259972234 947044534 /nfs/dbraw/zinc/04/45/34/947044534.db2.gz XPBVQAMNOPDSBX-UHFFFAOYSA-N 0 2 315.347 0.821 20 0 DCADLN COCCn1nccc1NS(=O)(=O)c1cccc2nonc21 ZINC001260436435 947102599 /nfs/dbraw/zinc/10/25/99/947102599.db2.gz DBERZGWGYDFNPX-UHFFFAOYSA-N 0 2 323.334 0.867 20 0 DCADLN COc1cc(Cl)ncc1NS(=O)(=O)CS(C)(=O)=O ZINC001260584944 947115383 /nfs/dbraw/zinc/11/53/83/947115383.db2.gz GOTDZPAGCQFRQB-UHFFFAOYSA-N 0 2 314.772 0.487 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cccc2c1OCC[C@@H]2O ZINC001260593058 947121606 /nfs/dbraw/zinc/12/16/06/947121606.db2.gz ZVOOXPGFIZATGM-JTQLQIEISA-N 0 2 321.376 0.246 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1ccc(C)s1)C(=O)OC ZINC001260686122 947137680 /nfs/dbraw/zinc/13/76/80/947137680.db2.gz FYJFPPZNIJFGSB-UHFFFAOYSA-N 0 2 307.349 0.049 20 0 DCADLN O=C1COCCN1c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC001260960439 947159790 /nfs/dbraw/zinc/15/97/90/947159790.db2.gz OUAAJXNKQGJHEN-UHFFFAOYSA-N 0 2 322.346 0.574 20 0 DCADLN CCC(=O)NC[C@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001411136672 947378565 /nfs/dbraw/zinc/37/85/65/947378565.db2.gz CHPHVKCBFVYJTE-PSASIEDQSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)NC[C@]1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001411136672 947378579 /nfs/dbraw/zinc/37/85/79/947378579.db2.gz CHPHVKCBFVYJTE-PSASIEDQSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(NCC1CC(NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001411314867 947694486 /nfs/dbraw/zinc/69/44/86/947694486.db2.gz AJZBNUOQBWWUSR-QIECLKSESA-N 0 2 323.250 0.330 20 0 DCADLN CC(=O)N1CCC(NC(=O)C[N@H+](CC(=O)[O-])C2CCC2)CC1 ZINC001602744392 971442216 /nfs/dbraw/zinc/44/22/16/971442216.db2.gz RSNICLFUVIRCFM-UHFFFAOYSA-N 0 2 311.382 0.053 20 0 DCADLN CC(=O)N1CCC(NC(=O)C[N@@H+](CC(=O)[O-])C2CCC2)CC1 ZINC001602744392 971442223 /nfs/dbraw/zinc/44/22/23/971442223.db2.gz RSNICLFUVIRCFM-UHFFFAOYSA-N 0 2 311.382 0.053 20 0 DCADLN C/C(=C/C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001326617605 948218396 /nfs/dbraw/zinc/21/83/96/948218396.db2.gz QVQNEKCAIQFZIA-GYGFLDJKSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@H](CN(C)C(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001487884383 948323072 /nfs/dbraw/zinc/32/30/72/948323072.db2.gz VSLLRHVEDUKIIV-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN CC(C)c1nc(CNC(=O)c2ccc(-c3nn[nH]n3)nc2)n[nH]1 ZINC001574434669 948984348 /nfs/dbraw/zinc/98/43/48/948984348.db2.gz MHOQBIKNUILXPS-UHFFFAOYSA-N 0 2 313.325 0.433 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccn1 ZINC001488628857 949031069 /nfs/dbraw/zinc/03/10/69/949031069.db2.gz BSNAGSXAAKYVEL-WDEREUQCSA-N 0 2 319.369 0.001 20 0 DCADLN Cc1nnc(COCC(=O)N[C@@H](C)c2nn(C)cc2O)s1 ZINC001364398947 949193246 /nfs/dbraw/zinc/19/32/46/949193246.db2.gz MCVGJVWNRLGOBW-ZETCQYMHSA-N 0 2 311.367 0.680 20 0 DCADLN Cn1nc(Cl)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1Cl ZINC001329184485 949418082 /nfs/dbraw/zinc/41/80/82/949418082.db2.gz QRQVLBUCRSSYGX-UHFFFAOYSA-N 0 2 319.152 0.913 20 0 DCADLN Cc1c[nH]c(C2(NC(=O)C[C@@H]3SC(=N)NC3=O)CCC2)n1 ZINC001364575835 949578771 /nfs/dbraw/zinc/57/87/71/949578771.db2.gz JYMZRMOFPLMYFS-QMMMGPOBSA-N 0 2 307.379 0.770 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)Nc1ccc(C(N)=O)cc1-n1cc[nH+]c1 ZINC001602806795 971592657 /nfs/dbraw/zinc/59/26/57/971592657.db2.gz WJZMTPDDDSXINW-MRVPVSSYSA-N 0 2 302.290 0.630 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CCc2ncncc2C1 ZINC001329541481 949672790 /nfs/dbraw/zinc/67/27/90/949672790.db2.gz MKVRJLWMNUUJJA-MRVPVSSYSA-N 0 2 305.363 0.612 20 0 DCADLN CO[C@@]1(CNCc2ccc(O)c(F)c2F)CCS(=O)(=O)C1 ZINC001364726536 949821832 /nfs/dbraw/zinc/82/18/32/949821832.db2.gz IXUBWSRXRKVJET-CYBMUJFWSA-N 0 2 321.345 0.964 20 0 DCADLN C[N@@H+]1C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C(C)(C)C1 ZINC001364992216 950315640 /nfs/dbraw/zinc/31/56/40/950315640.db2.gz RHKANWSCFHNTTQ-JTQLQIEISA-N 0 2 309.457 0.423 20 0 DCADLN C[N@H+]1C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C(C)(C)C1 ZINC001364992216 950315668 /nfs/dbraw/zinc/31/56/68/950315668.db2.gz RHKANWSCFHNTTQ-JTQLQIEISA-N 0 2 309.457 0.423 20 0 DCADLN C[C@@H]1C[C@H]1NC(=O)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC001330469750 950429711 /nfs/dbraw/zinc/42/97/11/950429711.db2.gz SIFPKOFUGLSQDQ-VXNVDRBHSA-N 0 2 320.374 0.880 20 0 DCADLN Cn1nnc(NC(=O)CC2SC(=N)NC2=O)c1C(F)F ZINC001365138869 950587107 /nfs/dbraw/zinc/58/71/07/950587107.db2.gz PTTILMYINSJGBH-VKHMYHEASA-N 0 2 304.282 0.248 20 0 DCADLN O=C(c1cc(F)cc(Cl)c1O)N1CC[C@H](O)[C@H](CO)C1 ZINC001365197238 950712895 /nfs/dbraw/zinc/71/28/95/950712895.db2.gz HBGBODCSSTYEGW-CPCISQLKSA-N 0 2 303.717 1.000 20 0 DCADLN Cn1ccc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001365372723 951034483 /nfs/dbraw/zinc/03/44/83/951034483.db2.gz KVPDOTXKCBNNSV-VIFPVBQESA-N 0 2 305.342 0.333 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@H](C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CO1 ZINC001595001872 951140373 /nfs/dbraw/zinc/14/03/73/951140373.db2.gz PZZTUNMTTOXMDI-QJPTWQEYSA-N 0 2 307.350 0.584 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cccc3c2COC3=O)n1 ZINC001365465336 951158766 /nfs/dbraw/zinc/15/87/66/951158766.db2.gz LKABONWHOOIVNB-UHFFFAOYSA-N 0 2 323.334 0.725 20 0 DCADLN C[C@@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)Nc1ccccc1C(N)=O ZINC000387069630 951311739 /nfs/dbraw/zinc/31/17/39/951311739.db2.gz LVYLRGNQEFYBRG-HNNXBMFYSA-N 0 2 305.334 0.663 20 0 DCADLN C[C@@]1(C(=O)[O-])CCC[N@H+]1CC(=O)Nc1ccccc1C(N)=O ZINC000387069630 951311754 /nfs/dbraw/zinc/31/17/54/951311754.db2.gz LVYLRGNQEFYBRG-HNNXBMFYSA-N 0 2 305.334 0.663 20 0 DCADLN O=C(N[C@H]1CC[C@H](O)CC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365648836 951384179 /nfs/dbraw/zinc/38/41/79/951384179.db2.gz MKNPCAFLPMIHQT-GMTAPVOTSA-N 0 2 309.370 0.703 20 0 DCADLN O=C(CC1CC1)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001365684869 951430023 /nfs/dbraw/zinc/43/00/23/951430023.db2.gz WYZYUETZCUQRFP-UHFFFAOYSA-N 0 2 315.377 0.467 20 0 DCADLN O=C(c1cc(Cl)c[nH]1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365685669 951430847 /nfs/dbraw/zinc/43/08/47/951430847.db2.gz IDNGDDBHXVMIBZ-UHFFFAOYSA-N 0 2 310.745 0.450 20 0 DCADLN C[C@@H]1CCC[C@H]1CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365693872 951441912 /nfs/dbraw/zinc/44/19/12/951441912.db2.gz VMBKVNWIRSTWLF-NEPJUHHUSA-N 0 2 307.398 0.981 20 0 DCADLN O=C([O-])CCC[NH+]1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC001595048431 951454657 /nfs/dbraw/zinc/45/46/57/951454657.db2.gz RMNOGTJEXQZMHY-UHFFFAOYSA-N 0 2 324.402 0.928 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2ccnn2C2CCC2)n1 ZINC001365739233 951493612 /nfs/dbraw/zinc/49/36/12/951493612.db2.gz FULYUOYPPKGTQA-UHFFFAOYSA-N 0 2 311.371 0.976 20 0 DCADLN Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)cn1 ZINC001365781795 951531961 /nfs/dbraw/zinc/53/19/61/951531961.db2.gz ULLPUMMCOOIMED-IUCAKERBSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)[C@H](F)C(F)(F)F)cn1 ZINC001365781795 951531970 /nfs/dbraw/zinc/53/19/70/951531970.db2.gz ULLPUMMCOOIMED-IUCAKERBSA-N 0 2 322.262 0.651 20 0 DCADLN C/C=C(/C)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001281677162 951597205 /nfs/dbraw/zinc/59/72/05/951597205.db2.gz MCHUSDZDWRUAPV-AEENODFFSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(/C)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001281677162 951597213 /nfs/dbraw/zinc/59/72/13/951597213.db2.gz MCHUSDZDWRUAPV-AEENODFFSA-N 0 2 312.263 0.541 20 0 DCADLN CCn1ncc(C(=O)NCc2n[nH]c(=O)[nH]2)c1Br ZINC001411878318 952498132 /nfs/dbraw/zinc/49/81/32/952498132.db2.gz CNFKPRXODBPQDE-UHFFFAOYSA-N 0 2 315.131 0.419 20 0 DCADLN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@H](C)C(=O)Nc1ncccc1O ZINC001412107039 952647495 /nfs/dbraw/zinc/64/74/95/952647495.db2.gz NGCBODUJLPTNDO-DTWKUNHWSA-N 0 2 318.337 0.227 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)C1 ZINC001412530111 952870768 /nfs/dbraw/zinc/87/07/68/952870768.db2.gz HOPCQEVSOOJIOM-FBIMIBRVSA-N 0 2 317.353 0.189 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001412557165 952895866 /nfs/dbraw/zinc/89/58/66/952895866.db2.gz IFYDKGZATMGKMM-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001412557165 952895871 /nfs/dbraw/zinc/89/58/71/952895871.db2.gz IFYDKGZATMGKMM-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](O)CCN(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412638748 952957306 /nfs/dbraw/zinc/95/73/06/952957306.db2.gz XICLDJGRDDMPKS-SECBINFHSA-N 0 2 306.322 0.109 20 0 DCADLN C[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)(C)C ZINC001412685012 952993067 /nfs/dbraw/zinc/99/30/67/952993067.db2.gz IWKHUMKGPIHEJE-QMMMGPOBSA-N 0 2 302.400 0.648 20 0 DCADLN CC(F)(F)C1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001412691697 953000461 /nfs/dbraw/zinc/00/04/61/953000461.db2.gz ZLNLDCPGUBNIHJ-UHFFFAOYSA-N 0 2 322.337 0.401 20 0 DCADLN Cc1ccnc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n1 ZINC001412710013 953010668 /nfs/dbraw/zinc/01/06/68/953010668.db2.gz ZUMMNGNNUULSRS-UHFFFAOYSA-N 0 2 303.322 0.609 20 0 DCADLN C[C@@H](NC(=O)CN1Cc2ccccc2C1=O)c1nn(C)cc1O ZINC001412754342 953063536 /nfs/dbraw/zinc/06/35/36/953063536.db2.gz MLYQYSULDHVTTN-SNVBAGLBSA-N 0 2 314.345 0.959 20 0 DCADLN CC(C)CN1C[C@H](C(=O)N[C@@H](C)c2nn(C)cc2O)CC1=O ZINC001412759573 953077005 /nfs/dbraw/zinc/07/70/05/953077005.db2.gz VIOHJIMPMWJJPH-WDEREUQCSA-N 0 2 308.382 0.807 20 0 DCADLN COCC(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001479226266 953266708 /nfs/dbraw/zinc/26/67/08/953266708.db2.gz BRFJWMYLRDVDJT-JTQLQIEISA-N 0 2 311.386 0.216 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001366104038 953690698 /nfs/dbraw/zinc/69/06/98/953690698.db2.gz XMQSJOYQUGOHJH-LBPRGKRZSA-N 0 2 309.414 0.664 20 0 DCADLN Cc1cnc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001282892856 953725817 /nfs/dbraw/zinc/72/58/17/953725817.db2.gz CUWZQCLSGPVXSM-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1cnc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001282892856 953725828 /nfs/dbraw/zinc/72/58/28/953725828.db2.gz CUWZQCLSGPVXSM-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN O=C([O-])[C@@]1(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)CC=CCC1 ZINC001594849924 954172663 /nfs/dbraw/zinc/17/26/63/954172663.db2.gz XBTKLDJPTBBJOI-WFASDCNBSA-N 0 2 304.350 0.694 20 0 DCADLN Cc1nn(C(C)C)cc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001366581324 954459203 /nfs/dbraw/zinc/45/92/03/954459203.db2.gz VRFPKNRMLMPRLU-UHFFFAOYSA-N 0 2 321.385 0.458 20 0 DCADLN Cc1nn(C(C)C)cc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001366581324 954459213 /nfs/dbraw/zinc/45/92/13/954459213.db2.gz VRFPKNRMLMPRLU-UHFFFAOYSA-N 0 2 321.385 0.458 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001366583673 954462174 /nfs/dbraw/zinc/46/21/74/954462174.db2.gz ADEJCUUDMDIFDM-UHFFFAOYSA-N 0 2 306.370 0.327 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001366583673 954462181 /nfs/dbraw/zinc/46/21/81/954462181.db2.gz ADEJCUUDMDIFDM-UHFFFAOYSA-N 0 2 306.370 0.327 20 0 DCADLN CCOC1CC(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)C1 ZINC001366607455 954503620 /nfs/dbraw/zinc/50/36/20/954503620.db2.gz DYGXFBNRFCBJHG-UHFFFAOYSA-N 0 2 311.386 0.264 20 0 DCADLN CCOC1CC(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)C1 ZINC001366607455 954503630 /nfs/dbraw/zinc/50/36/30/954503630.db2.gz DYGXFBNRFCBJHG-UHFFFAOYSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001366672090 954620092 /nfs/dbraw/zinc/62/00/92/954620092.db2.gz QTKMUXZDSXYCKP-DTWKUNHWSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001366672090 954620101 /nfs/dbraw/zinc/62/01/01/954620101.db2.gz QTKMUXZDSXYCKP-DTWKUNHWSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001366672090 954620107 /nfs/dbraw/zinc/62/01/07/954620107.db2.gz QTKMUXZDSXYCKP-DTWKUNHWSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H]1CO[C@@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366748685 954767547 /nfs/dbraw/zinc/76/75/47/954767547.db2.gz JUJABBTVNQWHET-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1CO[C@@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366748685 954767558 /nfs/dbraw/zinc/76/75/58/954767558.db2.gz JUJABBTVNQWHET-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)CCO1 ZINC001594948052 954934930 /nfs/dbraw/zinc/93/49/30/954934930.db2.gz LEAKAADCVMBMAO-ZDUSSCGKSA-N 0 2 317.301 0.504 20 0 DCADLN CC[C@H](OC1CCCC1)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366942764 955094018 /nfs/dbraw/zinc/09/40/18/955094018.db2.gz FXSJPEHGQKPXCC-LBPRGKRZSA-N 0 2 323.397 0.549 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@@H+]1CCOC[C@H]1CC)C(=O)[O-] ZINC001589538889 955185832 /nfs/dbraw/zinc/18/58/32/955185832.db2.gz UJUPXMFDDSPGMY-VXGBXAGGSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@H+]1CCOC[C@H]1CC)C(=O)[O-] ZINC001589538889 955185836 /nfs/dbraw/zinc/18/58/36/955185836.db2.gz UJUPXMFDDSPGMY-VXGBXAGGSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@@H](OC)C(=O)N(CC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367142909 955395969 /nfs/dbraw/zinc/39/59/69/955395969.db2.gz RACWPJPXLPBZLD-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@@H](OC)C(=O)N(CC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367142909 955395984 /nfs/dbraw/zinc/39/59/84/955395984.db2.gz RACWPJPXLPBZLD-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(=O)NC ZINC001285063334 955445035 /nfs/dbraw/zinc/44/50/35/955445035.db2.gz RSHLKACSYNIZNX-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CC(=O)NC ZINC001285063334 955445049 /nfs/dbraw/zinc/44/50/49/955445049.db2.gz RSHLKACSYNIZNX-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cccnn1 ZINC001285565362 955798964 /nfs/dbraw/zinc/79/89/64/955798964.db2.gz SDZWAMRHQNKCQN-VWCDRPFISA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1cccnn1 ZINC001285565362 955798970 /nfs/dbraw/zinc/79/89/70/955798970.db2.gz SDZWAMRHQNKCQN-VWCDRPFISA-N 0 2 320.246 0.779 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)c1 ZINC001300147460 955825040 /nfs/dbraw/zinc/82/50/40/955825040.db2.gz ADHOHSGSWUGGLV-QWHCGFSZSA-N 0 2 304.346 0.404 20 0 DCADLN CC(C)[N@@H+]1CCO[C@H](c2nnc(N3CC[C@@H](C(=O)[O-])C3)n2C)C1 ZINC001603068593 972135880 /nfs/dbraw/zinc/13/58/80/972135880.db2.gz QMUWNGHOCBCWRQ-NEPJUHHUSA-N 0 2 323.397 0.508 20 0 DCADLN CC(C)[N@H+]1CCO[C@H](c2nnc(N3CC[C@@H](C(=O)[O-])C3)n2C)C1 ZINC001603068593 972135883 /nfs/dbraw/zinc/13/58/83/972135883.db2.gz QMUWNGHOCBCWRQ-NEPJUHHUSA-N 0 2 323.397 0.508 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC001593926048 956127679 /nfs/dbraw/zinc/12/76/79/956127679.db2.gz WHELZFCIOOCHFZ-HNNXBMFYSA-N 0 2 324.381 0.926 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC001354038264 956257788 /nfs/dbraw/zinc/25/77/88/956257788.db2.gz CEBQZTWYEOFJDA-HWKANZROSA-N 0 2 308.334 0.782 20 0 DCADLN Cc1csc(C(=O)[O-])c1S(=O)(=O)NCc1c[nH+]cn1C ZINC000388557200 972176958 /nfs/dbraw/zinc/17/69/58/972176958.db2.gz BFSBDZYUIKKHQY-UHFFFAOYSA-N 0 2 315.376 0.967 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(C(=O)c2c(C)cc(C)[nH+]c2C)CCO1 ZINC001593971221 956618992 /nfs/dbraw/zinc/61/89/92/956618992.db2.gz AXZIOLXIRSQJMX-INIZCTEOSA-N 0 2 322.361 0.949 20 0 DCADLN CC1(C(=O)[O-])CC[NH+](CN2C(=O)c3nccnc3C2=O)CC1 ZINC001589199011 956625503 /nfs/dbraw/zinc/62/55/03/956625503.db2.gz MGSIUURSVILKJB-UHFFFAOYSA-N 0 2 304.306 0.217 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(c2cc(N3CCCC3)[nH+]cn2)CCO1 ZINC001593983705 956735326 /nfs/dbraw/zinc/73/53/26/956735326.db2.gz BJEWQGLBYSINFH-HNNXBMFYSA-N 0 2 322.365 0.383 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(c2cc(N3CCCC3)nc[nH+]2)CCO1 ZINC001593983705 956735328 /nfs/dbraw/zinc/73/53/28/956735328.db2.gz BJEWQGLBYSINFH-HNNXBMFYSA-N 0 2 322.365 0.383 20 0 DCADLN O=C([O-])c1cc(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)[nH]n1 ZINC001594735120 957062120 /nfs/dbraw/zinc/06/21/20/957062120.db2.gz RYXLLHNTIPOBMO-VIFPVBQESA-N 0 2 301.306 0.512 20 0 DCADLN O=C([O-])c1c[nH]nc1S(=O)(=O)NCCCCn1cc[nH+]c1 ZINC000045107461 957451706 /nfs/dbraw/zinc/45/17/06/957451706.db2.gz PQSDQOULFHNGPD-UHFFFAOYSA-N 0 2 313.339 0.063 20 0 DCADLN CC(C)C[C@H](C[NH2+][C@@H](C)c1nncn1C)NC(=O)c1nnc[nH]1 ZINC001367417249 957792359 /nfs/dbraw/zinc/79/23/59/957792359.db2.gz CNERRDMKEAWXFA-WDEREUQCSA-N 0 2 320.401 0.429 20 0 DCADLN CC(C)C[C@H](C[NH2+][C@H](C)c1nncn1C)NC(=O)c1nnc[nH]1 ZINC001367417243 957793135 /nfs/dbraw/zinc/79/31/35/957793135.db2.gz CNERRDMKEAWXFA-GHMZBOCLSA-N 0 2 320.401 0.429 20 0 DCADLN Cc1cc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc(C)n1 ZINC001361411464 957943048 /nfs/dbraw/zinc/94/30/48/957943048.db2.gz MDSGHCQMOZKUHW-UHFFFAOYSA-N 0 2 312.333 0.399 20 0 DCADLN O=C(c1ccn2nccc2n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001297019232 958092595 /nfs/dbraw/zinc/09/25/95/958092595.db2.gz IKERZZISDSEKCW-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2c(n1)CCCC2 ZINC001361743365 958278452 /nfs/dbraw/zinc/27/84/52/958278452.db2.gz LLJDSBXMCLECMU-UHFFFAOYSA-N 0 2 324.344 0.984 20 0 DCADLN NC(=O)[C@H]1CCC[N@@H+]1C1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001361882661 958445591 /nfs/dbraw/zinc/44/55/91/958445591.db2.gz MYJKPGNTCSYTOA-CQSZACIVSA-N 0 2 319.409 0.283 20 0 DCADLN O=C(NCc1nnc2n1CCNC2=O)c1cccc(Cl)c1O ZINC001361889930 958453465 /nfs/dbraw/zinc/45/34/65/958453465.db2.gz QFTQVFLJFDNIIC-UHFFFAOYSA-N 0 2 321.724 0.311 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCC[C@]12CCCO2 ZINC001361939786 958514647 /nfs/dbraw/zinc/51/46/47/958514647.db2.gz GCIHFWWGJJDFLQ-ABAIWWIYSA-N 0 2 317.349 0.289 20 0 DCADLN Cc1cc(NC(=O)c2nnc(C(C)(C)S(C)(=O)=O)o2)no1 ZINC001362024456 958621201 /nfs/dbraw/zinc/62/12/01/958621201.db2.gz XGZLVVKSPWWWEM-UHFFFAOYSA-N 0 2 314.323 0.898 20 0 DCADLN O=C(CCCc1nn[nH]n1)NCCc1cn2c(n1)CCCC2 ZINC001362051415 958655257 /nfs/dbraw/zinc/65/52/57/958655257.db2.gz ICHVCNWAGJIDMD-UHFFFAOYSA-N 0 2 303.370 0.414 20 0 DCADLN O=C(c1c(F)ccc(O)c1F)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001362058466 958666951 /nfs/dbraw/zinc/66/69/51/958666951.db2.gz BQMYKTOQJLEPDS-MRVPVSSYSA-N 0 2 311.248 0.397 20 0 DCADLN CC(C)(C)[C@H]1CC[N@H+](CCS(=O)(=O)CC(=O)[O-])CCO1 ZINC001573389382 958685137 /nfs/dbraw/zinc/68/51/37/958685137.db2.gz DKNWQJGXZQLXSE-LLVKDONJSA-N 0 2 307.412 0.623 20 0 DCADLN CC(C)(C)[C@H]1CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])CCO1 ZINC001573389382 958685142 /nfs/dbraw/zinc/68/51/42/958685142.db2.gz DKNWQJGXZQLXSE-LLVKDONJSA-N 0 2 307.412 0.623 20 0 DCADLN O=C(c1ccc(F)c(O)c1)N1CCSC[C@H]1c1nn[nH]n1 ZINC001362413360 959305347 /nfs/dbraw/zinc/30/53/47/959305347.db2.gz YRJUCFZKDYDXJM-VIFPVBQESA-N 0 2 309.326 0.975 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC[C@H](Nc2ncccn2)CC1 ZINC001362415222 959313765 /nfs/dbraw/zinc/31/37/65/959313765.db2.gz JHYUMUSMFWWTQH-MGCOHNPYSA-N 0 2 317.353 0.587 20 0 DCADLN Cc1[nH]c([C@H]2CCCN2[C@H]2CCN(CC(=O)[O-])C2=O)[nH+]c1C ZINC001594452075 959385303 /nfs/dbraw/zinc/38/53/03/959385303.db2.gz WLFWKMSXSWNOJF-NEPJUHHUSA-N 0 2 306.366 0.849 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001368181786 959417278 /nfs/dbraw/zinc/41/72/78/959417278.db2.gz RWXCMCGINFQHBJ-PRHODGIISA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001368181786 959417285 /nfs/dbraw/zinc/41/72/85/959417285.db2.gz RWXCMCGINFQHBJ-PRHODGIISA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001368181786 959417293 /nfs/dbraw/zinc/41/72/93/959417293.db2.gz RWXCMCGINFQHBJ-PRHODGIISA-N 0 2 317.340 0.882 20 0 DCADLN O=C(N[C@@H]1CCCC[C@H]1O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362525010 959520669 /nfs/dbraw/zinc/52/06/69/959520669.db2.gz ZIQUBAAVGPXPCE-VXGBXAGGSA-N 0 2 318.333 0.300 20 0 DCADLN Cc1noc(CCC[N@@H+](C)CCNC(=O)c2n[nH]c(C)c2[O-])n1 ZINC001377146323 959564731 /nfs/dbraw/zinc/56/47/31/959564731.db2.gz ZKTGRSLMDJZDGN-UHFFFAOYSA-N 0 2 322.369 0.410 20 0 DCADLN Cc1noc(CCC[N@H+](C)CCNC(=O)c2n[nH]c(C)c2[O-])n1 ZINC001377146323 959564741 /nfs/dbraw/zinc/56/47/41/959564741.db2.gz ZKTGRSLMDJZDGN-UHFFFAOYSA-N 0 2 322.369 0.410 20 0 DCADLN O=C(c1cnn2c1NCCC2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362586029 959647912 /nfs/dbraw/zinc/64/79/12/959647912.db2.gz RZBPCKSKHHXRKZ-SECBINFHSA-N 0 2 317.353 0.542 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC[C@H](O)CC1 ZINC001362653333 959773330 /nfs/dbraw/zinc/77/33/30/959773330.db2.gz GRJYHPFFLWSSQU-LBPRGKRZSA-N 0 2 318.333 0.253 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(CCF)CC1 ZINC001368408234 959823318 /nfs/dbraw/zinc/82/33/18/959823318.db2.gz WKJHBLXNPHEROH-SNVBAGLBSA-N 0 2 311.361 0.683 20 0 DCADLN Cc1cc(C)c(CC(=O)NCCCc2n[nH]c(=O)[nH]2)c(=O)[nH]1 ZINC001306660137 960129394 /nfs/dbraw/zinc/12/93/94/960129394.db2.gz LWQUVJRFPZBKOF-UHFFFAOYSA-N 0 2 305.338 0.519 20 0 DCADLN O=S(=O)(NCCOCCCO)c1onc(C2CC2)c1Cl ZINC001309488032 960198661 /nfs/dbraw/zinc/19/86/61/960198661.db2.gz LTDAJOBCURBBLT-UHFFFAOYSA-N 0 2 324.786 0.883 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001377508815 960205428 /nfs/dbraw/zinc/20/54/28/960205428.db2.gz WVMUGMUCVCWYKD-IMTBSYHQSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001377508815 960205434 /nfs/dbraw/zinc/20/54/34/960205434.db2.gz WVMUGMUCVCWYKD-IMTBSYHQSA-N 0 2 310.251 0.474 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nc3c([nH]2)CCCC3)S1 ZINC001362972319 960244537 /nfs/dbraw/zinc/24/45/37/960244537.db2.gz USAJUOLUSOKNSJ-VIFPVBQESA-N 0 2 307.379 0.461 20 0 DCADLN CCOC1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362977826 960246508 /nfs/dbraw/zinc/24/65/08/960246508.db2.gz HXTIQIIFHVBQDI-UHFFFAOYSA-N 0 2 304.306 0.127 20 0 DCADLN C[C@@H]1CCCC[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362984896 960258427 /nfs/dbraw/zinc/25/84/27/960258427.db2.gz JJYXEQQKANHUQR-ZJUUUORDSA-N 0 2 314.411 0.792 20 0 DCADLN CSCC[C@H](NC(=O)[C@H]1CCCc2nn[nH]c21)c1nn[nH]n1 ZINC001362998590 960278050 /nfs/dbraw/zinc/27/80/50/960278050.db2.gz LZASUGFEFFJGMT-CBAPKCEASA-N 0 2 322.398 0.348 20 0 DCADLN CSCC[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)c1nn[nH]n1 ZINC001362998590 960278057 /nfs/dbraw/zinc/27/80/57/960278057.db2.gz LZASUGFEFFJGMT-CBAPKCEASA-N 0 2 322.398 0.348 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2[C@H]2CCCOC2)S1 ZINC001363042572 960335183 /nfs/dbraw/zinc/33/51/83/960335183.db2.gz UPQDWKGRQIZBFZ-DTWKUNHWSA-N 0 2 323.378 0.730 20 0 DCADLN O=C(Nc1nccc(Br)c1O)[C@H]1CCOC(=O)N1 ZINC001363078423 960378212 /nfs/dbraw/zinc/37/82/12/960378212.db2.gz KWVXLELEBJOAPZ-ZCFIWIBFSA-N 0 2 316.111 0.987 20 0 DCADLN CCCN(C(=O)[C@@H]1C[N@@H+](C)CCO1)[C@H]1CC[NH+](CCCF)C1 ZINC001377651423 960391009 /nfs/dbraw/zinc/39/10/09/960391009.db2.gz WYNPGMXZCPDTNF-GJZGRUSLSA-N 0 2 315.433 0.990 20 0 DCADLN O=C(NC[C@H]1CC[C@@H](O)C1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363133953 960448457 /nfs/dbraw/zinc/44/84/57/960448457.db2.gz CUSNHTLLLALAOK-JOYOIKCWSA-N 0 2 318.333 0.157 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)c3cccc(=O)[nH]3)CC2)CC1 ZINC001363263443 960732523 /nfs/dbraw/zinc/73/25/23/960732523.db2.gz XLGJWHKBDJRKLY-UHFFFAOYSA-N 0 2 304.394 0.639 20 0 DCADLN C[C@H](C[NH2+]Cc1cn(-c2ccccc2)nn1)N1CC[NH+](C)CC1 ZINC001363361020 960981696 /nfs/dbraw/zinc/98/16/96/960981696.db2.gz RZJVUJJXBXFXCA-OAHLLOKOSA-N 0 2 314.437 0.993 20 0 DCADLN Cc1n[nH]c(C)c1[C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001363386920 961042350 /nfs/dbraw/zinc/04/23/50/961042350.db2.gz OWWCKLYQLKBEBV-ZETCQYMHSA-N 0 2 315.337 0.289 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000238130658 961119497 /nfs/dbraw/zinc/11/94/97/961119497.db2.gz CTNVRRRUYGZBDB-SECBINFHSA-N 0 2 312.326 0.046 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000238130658 961119508 /nfs/dbraw/zinc/11/95/08/961119508.db2.gz CTNVRRRUYGZBDB-SECBINFHSA-N 0 2 312.326 0.046 20 0 DCADLN Cc1cccc(CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001377984719 961121759 /nfs/dbraw/zinc/12/17/59/961121759.db2.gz NSEFNCJPBYKUBA-UHFFFAOYSA-N 0 2 315.377 0.609 20 0 DCADLN CCC[C@H](C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001378649360 961194617 /nfs/dbraw/zinc/19/46/17/961194617.db2.gz DSDUVVUSTMBXSN-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN NC(=O)[C@@H]1CCO[C@H]1C1CCN(c2[nH+]cccc2C(=O)[O-])CC1 ZINC001549035772 1013238785 /nfs/dbraw/zinc/23/87/85/1013238785.db2.gz FTQOTOMQCGMKQU-YPMHNXCESA-N 0 2 319.361 0.887 20 0 DCADLN Cc1ncsc1CCC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001571103516 961262664 /nfs/dbraw/zinc/26/26/64/961262664.db2.gz YKXFMVVJWBHWFL-JTQLQIEISA-N 0 2 308.363 0.850 20 0 DCADLN Cc1conc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001430216520 1013256271 /nfs/dbraw/zinc/25/62/71/1013256271.db2.gz GVHQBPUHXGGUHG-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1conc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430216520 1013256276 /nfs/dbraw/zinc/25/62/76/1013256276.db2.gz GVHQBPUHXGGUHG-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN CCOC(=O)[C@@H]1C[C@H]1C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001363645897 961618403 /nfs/dbraw/zinc/61/84/03/961618403.db2.gz ABQKXCQWQGRITJ-NXEZZACHSA-N 0 2 323.349 0.396 20 0 DCADLN COC(=O)[C@]1(O)CC[N@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001571140715 961662641 /nfs/dbraw/zinc/66/26/41/961662641.db2.gz JBWZFYXUGSYMFB-HNNXBMFYSA-N 0 2 319.317 0.371 20 0 DCADLN COC(=O)[C@]1(O)CC[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001571140715 961662655 /nfs/dbraw/zinc/66/26/55/961662655.db2.gz JBWZFYXUGSYMFB-HNNXBMFYSA-N 0 2 319.317 0.371 20 0 DCADLN Cc1ncc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)s1 ZINC001363671148 961666973 /nfs/dbraw/zinc/66/69/73/961666973.db2.gz HXOYYHXOHMMANZ-UHFFFAOYSA-N 0 2 315.380 0.044 20 0 DCADLN Cc1nonc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001378926119 961714725 /nfs/dbraw/zinc/71/47/25/961714725.db2.gz ROBCDISXGNHYAI-ZETCQYMHSA-N 0 2 324.234 0.657 20 0 DCADLN Cc1nonc1C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001378926119 961714731 /nfs/dbraw/zinc/71/47/31/961714731.db2.gz ROBCDISXGNHYAI-ZETCQYMHSA-N 0 2 324.234 0.657 20 0 DCADLN Cc1nnc2n1CCN(C(=O)C[C@H]1SC(=N)NC1=O)[C@@H]2C ZINC001363706858 961735789 /nfs/dbraw/zinc/73/57/89/961735789.db2.gz WBHBGQJUFIJMCQ-HTRCEHHLSA-N 0 2 308.367 0.046 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC[C@@H]2C[C@@H]21 ZINC001363753700 961812119 /nfs/dbraw/zinc/81/21/19/961812119.db2.gz IYUUYYCLQVVYMX-PWSUYJOCSA-N 0 2 300.318 0.891 20 0 DCADLN C[C@H](c1nc(C2CC2)no1)N(C)C[C@H](C)NC(=O)c1cnn[nH]1 ZINC001379714005 961837055 /nfs/dbraw/zinc/83/70/55/961837055.db2.gz WJWOWDJPMCQVDE-DTWKUNHWSA-N 0 2 319.369 0.876 20 0 DCADLN CCn1ccc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)n1 ZINC001363887473 962078715 /nfs/dbraw/zinc/07/87/15/962078715.db2.gz UXNKQCYJDCGGSP-UHFFFAOYSA-N 0 2 310.335 0.508 20 0 DCADLN O=C([O-])C1=NO[C@H](C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC001574644306 962374352 /nfs/dbraw/zinc/37/43/52/962374352.db2.gz RTCAREZZGYVHKO-NSHDSACASA-N 0 2 306.322 0.108 20 0 DCADLN O=C([O-])[C@@]1(N2CC[NH+](CCOCC(F)F)CC2)CCOC1 ZINC001351663330 962615197 /nfs/dbraw/zinc/61/51/97/962615197.db2.gz WRDHQAZQBAKYNJ-CYBMUJFWSA-N 0 2 308.325 0.129 20 0 DCADLN C[C@H]1Cc2c[nH]nc2[C@H](C(=O)NCc2nc(O)cc(=O)[nH]2)C1 ZINC001364206970 962671942 /nfs/dbraw/zinc/67/19/42/962671942.db2.gz QTQIUFGINOLHHM-IONNQARKSA-N 0 2 303.322 0.593 20 0 DCADLN COCCN1CCOC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001380806584 963594720 /nfs/dbraw/zinc/59/47/20/963594720.db2.gz CAJVXUVXYXBKBU-DTWKUNHWSA-N 0 2 302.268 0.350 20 0 DCADLN COCCN1CCOC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001380806584 963594726 /nfs/dbraw/zinc/59/47/26/963594726.db2.gz CAJVXUVXYXBKBU-DTWKUNHWSA-N 0 2 302.268 0.350 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C=Cc1ccc(O)cc1 ZINC000314105191 963711920 /nfs/dbraw/zinc/71/19/20/963711920.db2.gz JLCHYZKXYMKAEG-YIALFULDSA-N 0 2 301.302 0.941 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C=Cc1ccc(O)cc1 ZINC000314105191 963711926 /nfs/dbraw/zinc/71/19/26/963711926.db2.gz JLCHYZKXYMKAEG-YIALFULDSA-N 0 2 301.302 0.941 20 0 DCADLN Cc1nscc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001381078397 963800082 /nfs/dbraw/zinc/80/00/82/963800082.db2.gz IQMUSFCPYURVTE-UHFFFAOYSA-N 0 2 308.367 0.233 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@@H+]1Cc1cc(=O)n2ccccc2n1 ZINC000316990353 964084634 /nfs/dbraw/zinc/08/46/34/964084634.db2.gz KQNOBAUBIHMBDE-GFCCVEGCSA-N 0 2 303.318 0.370 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@H+]1Cc1cc(=O)n2ccccc2n1 ZINC000316990353 964084643 /nfs/dbraw/zinc/08/46/43/964084643.db2.gz KQNOBAUBIHMBDE-GFCCVEGCSA-N 0 2 303.318 0.370 20 0 DCADLN CCC[NH+](C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001375478748 964453762 /nfs/dbraw/zinc/45/37/62/964453762.db2.gz XRYRHWWMDORSDL-HIFRSBDPSA-N 0 2 324.469 0.528 20 0 DCADLN C[C@](O)(CC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001375822044 964871921 /nfs/dbraw/zinc/87/19/21/964871921.db2.gz PXKNACGFPJMIAL-CABCVRRESA-N 0 2 323.397 0.142 20 0 DCADLN C[C@](O)(CC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001375822044 964871933 /nfs/dbraw/zinc/87/19/33/964871933.db2.gz PXKNACGFPJMIAL-CABCVRRESA-N 0 2 323.397 0.142 20 0 DCADLN Cn1ncnc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001376127188 965244282 /nfs/dbraw/zinc/24/42/82/965244282.db2.gz WZHMQPUWKDPEDK-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncnc1CN1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001376127188 965244295 /nfs/dbraw/zinc/24/42/95/965244295.db2.gz WZHMQPUWKDPEDK-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN O=C(COCC(F)F)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001374665258 965707259 /nfs/dbraw/zinc/70/72/59/965707259.db2.gz KPZIMAJGSUAMJQ-QMMMGPOBSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(COCC(F)F)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001374665258 965707266 /nfs/dbraw/zinc/70/72/66/965707266.db2.gz KPZIMAJGSUAMJQ-QMMMGPOBSA-N 0 2 322.205 0.496 20 0 DCADLN Cc1ncn(C)c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001374666005 965727558 /nfs/dbraw/zinc/72/75/58/965727558.db2.gz PVESKIAGIXMIQM-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ncn(C)c1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374666005 965727567 /nfs/dbraw/zinc/72/75/67/965727567.db2.gz PVESKIAGIXMIQM-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ncsc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381489626 966455671 /nfs/dbraw/zinc/45/56/71/966455671.db2.gz ISQYLACEQFOTJR-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ncsc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381489626 966455675 /nfs/dbraw/zinc/45/56/75/966455675.db2.gz ISQYLACEQFOTJR-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN C[C@@H](NC(=O)Cc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381816394 966702078 /nfs/dbraw/zinc/70/20/78/966702078.db2.gz SVMSXLKAITVSPP-SECBINFHSA-N 0 2 305.338 0.283 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001371141235 966754149 /nfs/dbraw/zinc/75/41/49/966754149.db2.gz DJOSVQBFKIIKEK-HTRCEHHLSA-N 0 2 308.342 0.584 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1C(=O)c1nc[nH]n1 ZINC001371802876 967352112 /nfs/dbraw/zinc/35/21/12/967352112.db2.gz YSLIVPYHUBZBSU-VQVTYTSYSA-N 0 2 323.250 0.424 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CCN1C(=O)c1nc[nH]n1 ZINC001371802876 967352117 /nfs/dbraw/zinc/35/21/17/967352117.db2.gz YSLIVPYHUBZBSU-VQVTYTSYSA-N 0 2 323.250 0.424 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372492714 968042549 /nfs/dbraw/zinc/04/25/49/968042549.db2.gz WARYGDSMRBVKNP-WCBMZHEXSA-N 0 2 322.262 0.570 20 0 DCADLN C/C=C/C[C@@H](CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001448125036 1013767782 /nfs/dbraw/zinc/76/77/82/1013767782.db2.gz XFVWCSHMQADZMP-VUDBWIFFSA-N 0 2 318.333 0.323 20 0 DCADLN Cn1ncnc1CN1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001372617055 968181550 /nfs/dbraw/zinc/18/15/50/968181550.db2.gz RRTFPVGXJRJVJA-SCZZXKLOSA-N 0 2 323.294 0.654 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(F)(F)F ZINC001372849300 968459386 /nfs/dbraw/zinc/45/93/86/968459386.db2.gz OSFRNOFUNUPSIQ-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(F)(F)F ZINC001372849300 968459389 /nfs/dbraw/zinc/45/93/89/968459389.db2.gz OSFRNOFUNUPSIQ-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)C(F)(F)F ZINC001372849300 968459393 /nfs/dbraw/zinc/45/93/93/968459393.db2.gz OSFRNOFUNUPSIQ-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001372905759 968519334 /nfs/dbraw/zinc/51/93/34/968519334.db2.gz NIERLRHIEBLKJM-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001372905759 968519340 /nfs/dbraw/zinc/51/93/40/968519340.db2.gz NIERLRHIEBLKJM-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001373284411 968898164 /nfs/dbraw/zinc/89/81/64/968898164.db2.gz LFUIPHVKGFFWNW-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001373284411 968898173 /nfs/dbraw/zinc/89/81/73/968898173.db2.gz LFUIPHVKGFFWNW-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC(C)OCC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001373373492 969001185 /nfs/dbraw/zinc/00/11/85/969001185.db2.gz HVSGIVCFNPBPJF-SECBINFHSA-N 0 2 302.268 0.886 20 0 DCADLN CC(C)OCC(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001373373492 969001195 /nfs/dbraw/zinc/00/11/95/969001195.db2.gz HVSGIVCFNPBPJF-SECBINFHSA-N 0 2 302.268 0.886 20 0 DCADLN CN(CCNC(=O)CCc1c[nH]c[nH+]1)C(=O)[C@H]1CCC[N@@H+]1C ZINC001373506005 969169304 /nfs/dbraw/zinc/16/93/04/969169304.db2.gz QCKRONIMRHAEHP-CYBMUJFWSA-N 0 2 307.398 0.011 20 0 DCADLN C[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001373535640 969204000 /nfs/dbraw/zinc/20/40/00/969204000.db2.gz BGVZPHWDVVANFV-ZETCQYMHSA-N 0 2 303.313 0.350 20 0 DCADLN CCC[C@H](OC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001373571605 969243695 /nfs/dbraw/zinc/24/36/95/969243695.db2.gz DIWJLGGNUSBYKB-DCAQKATOSA-N 0 2 309.370 0.111 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cccc(=O)[nH]1 ZINC001431302576 1013986792 /nfs/dbraw/zinc/98/67/92/1013986792.db2.gz KAKVWURUVLKWTH-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cccc(=O)[nH]1 ZINC001431302576 1013986798 /nfs/dbraw/zinc/98/67/98/1013986798.db2.gz KAKVWURUVLKWTH-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC000318320566 970424801 /nfs/dbraw/zinc/42/48/01/970424801.db2.gz QSFJUMGBXCWRHI-ZIAGYGMSSA-N 0 2 306.362 0.473 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC000318320566 970424810 /nfs/dbraw/zinc/42/48/10/970424810.db2.gz QSFJUMGBXCWRHI-ZIAGYGMSSA-N 0 2 306.362 0.473 20 0 DCADLN C[C@H](CN(C)C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC001609902115 970594033 /nfs/dbraw/zinc/59/40/33/970594033.db2.gz NBOQWNPIWQOTQH-UTUOFQBUSA-N 0 2 301.387 0.458 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001431358106 1014014577 /nfs/dbraw/zinc/01/45/77/1014014577.db2.gz XOWQRHBAEVVWCC-VIFPVBQESA-N 0 2 324.278 0.851 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001431358106 1014014580 /nfs/dbraw/zinc/01/45/80/1014014580.db2.gz XOWQRHBAEVVWCC-VIFPVBQESA-N 0 2 324.278 0.851 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)[C@H]1CCC[C@H](C(=O)[O-])C1 ZINC000320600708 970682981 /nfs/dbraw/zinc/68/29/81/970682981.db2.gz AJXQWPCWBMASLG-SRVKXCTJSA-N 0 2 312.366 0.241 20 0 DCADLN Cn1c(N2CCC[C@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001604201083 972652022 /nfs/dbraw/zinc/65/20/22/972652022.db2.gz MGEXLYASXPTPRP-ZIBATOQPSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC[C@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001604201083 972652030 /nfs/dbraw/zinc/65/20/30/972652030.db2.gz MGEXLYASXPTPRP-ZIBATOQPSA-N 0 2 323.397 0.028 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])Cc1cc2c(cc[nH]c2=O)o1 ZINC001604311857 972973012 /nfs/dbraw/zinc/97/30/12/972973012.db2.gz YFNPBXDCAJZQSX-UHFFFAOYSA-N 0 2 308.290 0.983 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])Cc1cc2c(cc[nH]c2=O)o1 ZINC001604311857 972973018 /nfs/dbraw/zinc/97/30/18/972973018.db2.gz YFNPBXDCAJZQSX-UHFFFAOYSA-N 0 2 308.290 0.983 20 0 DCADLN C[C@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1Cn1nc(CO)n(C)c1=S ZINC001603392464 973019354 /nfs/dbraw/zinc/01/93/54/973019354.db2.gz TWMQGRHLVKEQRM-DTWKUNHWSA-N 0 2 300.384 0.586 20 0 DCADLN C[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cn1nc(CO)n(C)c1=S ZINC001603392464 973019360 /nfs/dbraw/zinc/01/93/60/973019360.db2.gz TWMQGRHLVKEQRM-DTWKUNHWSA-N 0 2 300.384 0.586 20 0 DCADLN Cc1csc([C@H]2CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C2)n1 ZINC001605380218 973336645 /nfs/dbraw/zinc/33/66/45/973336645.db2.gz DQGBMCFNBARCQU-JTQLQIEISA-N 0 2 318.420 0.740 20 0 DCADLN Cc1csc([C@H]2CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C2)n1 ZINC001605380218 973336652 /nfs/dbraw/zinc/33/66/52/973336652.db2.gz DQGBMCFNBARCQU-JTQLQIEISA-N 0 2 318.420 0.740 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCOc3ccccc3[C@@H]2CO)C1=O ZINC001606231237 973492387 /nfs/dbraw/zinc/49/23/87/973492387.db2.gz GMTPYLMLMNTMTP-OLZOCXBDSA-N 0 2 320.345 0.100 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCOc3ccccc3[C@@H]2CO)C1=O ZINC001606231237 973492390 /nfs/dbraw/zinc/49/23/90/973492390.db2.gz GMTPYLMLMNTMTP-OLZOCXBDSA-N 0 2 320.345 0.100 20 0 DCADLN O=C([O-])[C@@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC001606362479 973822001 /nfs/dbraw/zinc/82/20/01/973822001.db2.gz GCPXKEUCUOJQHL-LBPRGKRZSA-N 0 2 309.347 0.628 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cn1 ZINC001606471619 973948049 /nfs/dbraw/zinc/94/80/49/973948049.db2.gz GYUIRSSHIIGNRG-LBPRGKRZSA-N 0 2 301.306 0.290 20 0 DCADLN O=C([O-])c1cccc(C[NH2+]Cc2nnc3n2CCNC3=O)c1 ZINC001606518385 974017120 /nfs/dbraw/zinc/01/71/20/974017120.db2.gz YDNUKPKBBIUQRR-UHFFFAOYSA-N 0 2 301.306 0.010 20 0 DCADLN CCC[N@H+]([C@@H](C)C(=O)OCC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001603655293 974030562 /nfs/dbraw/zinc/03/05/62/974030562.db2.gz ZSKKZIRXIJKVDF-WDEREUQCSA-N 0 2 300.355 0.336 20 0 DCADLN CCC[N@@H+]([C@@H](C)C(=O)OCC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001603655293 974030572 /nfs/dbraw/zinc/03/05/72/974030572.db2.gz ZSKKZIRXIJKVDF-WDEREUQCSA-N 0 2 300.355 0.336 20 0 DCADLN O=C([O-])[C@@]1([NH2+]CC(=O)N2CCC(c3ccccc3)=N2)CCOC1 ZINC001605698964 974123108 /nfs/dbraw/zinc/12/31/08/974123108.db2.gz WIRJHEBWDZGWCD-MRXNPFEDSA-N 0 2 317.345 0.456 20 0 DCADLN CC(C)Oc1cncc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001591803284 976021810 /nfs/dbraw/zinc/02/18/10/976021810.db2.gz BRUPWRAJXRVARZ-CYBMUJFWSA-N 0 2 318.333 0.949 20 0 DCADLN C[C@](CC(=O)[O-])([NH2+]Cc1nnc2n1CCOC2)c1ccncc1 ZINC001592330462 977766758 /nfs/dbraw/zinc/76/67/58/977766758.db2.gz UIGJIHBMQNUKJB-OAHLLOKOSA-N 0 2 317.349 0.683 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H](C)OC ZINC001528892831 1014448667 /nfs/dbraw/zinc/44/86/67/1014448667.db2.gz UVJAGXRADKSVBL-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@H](C)OC ZINC001528892831 1014448670 /nfs/dbraw/zinc/44/86/70/1014448670.db2.gz UVJAGXRADKSVBL-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN O=C([O-])c1csc(S(=O)(=O)N2CC[NH+]3CCC2CC3)c1 ZINC000722783761 978166537 /nfs/dbraw/zinc/16/65/37/978166537.db2.gz SGRLDXGERPYVMD-UHFFFAOYSA-N 0 2 316.404 0.915 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)NC1CC(CC(=O)[O-])C1 ZINC001595131425 979552986 /nfs/dbraw/zinc/55/29/86/979552986.db2.gz UHWPVFCZQXHKKR-CPCZMJQVSA-N 0 2 313.398 0.650 20 0 DCADLN CCc1cc(=O)n2nc(NC(=O)c3ncc(OC)cn3)[nH]c2n1 ZINC001530311893 1014683881 /nfs/dbraw/zinc/68/38/81/1014683881.db2.gz CNNPVSMEUXQSEF-UHFFFAOYSA-N 0 2 315.293 0.031 20 0 DCADLN O=C(NC1CN(C(=O)C2=COCCC2)C1)C(F)C(F)(F)F ZINC001530485965 1014768734 /nfs/dbraw/zinc/76/87/34/1014768734.db2.gz VMCMHNXRIOSBHB-VIFPVBQESA-N 0 2 310.247 0.908 20 0 DCADLN O=C(NC1CN(C(=O)C2=COCCC2)C1)[C@H](F)C(F)(F)F ZINC001530485965 1014768742 /nfs/dbraw/zinc/76/87/42/1014768742.db2.gz VMCMHNXRIOSBHB-VIFPVBQESA-N 0 2 310.247 0.908 20 0 DCADLN O=C(CC1(O)CCC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001530485293 1014769938 /nfs/dbraw/zinc/76/99/38/1014769938.db2.gz PXXOGQHMVHGDJK-VIFPVBQESA-N 0 2 312.263 0.519 20 0 DCADLN O=C(CC1(O)CCC1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001530485293 1014769950 /nfs/dbraw/zinc/76/99/50/1014769950.db2.gz PXXOGQHMVHGDJK-VIFPVBQESA-N 0 2 312.263 0.519 20 0 DCADLN CCN1C(=O)CN(C[N@@H+]2CCc3cccc(C(=O)[O-])c3C2)C1=O ZINC001596389695 983921673 /nfs/dbraw/zinc/92/16/73/983921673.db2.gz LKCNQFJNVOHZEX-UHFFFAOYSA-N 0 2 317.345 0.985 20 0 DCADLN CCN1C(=O)CN(C[N@H+]2CCc3cccc(C(=O)[O-])c3C2)C1=O ZINC001596389695 983921679 /nfs/dbraw/zinc/92/16/79/983921679.db2.gz LKCNQFJNVOHZEX-UHFFFAOYSA-N 0 2 317.345 0.985 20 0 DCADLN CCO[C@@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001450278399 1015004766 /nfs/dbraw/zinc/00/47/66/1015004766.db2.gz FYPBVZXXNDZPBP-XPUUQOCRSA-N 0 2 300.252 0.639 20 0 DCADLN CCO[C@@H](C)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001450278399 1015004779 /nfs/dbraw/zinc/00/47/79/1015004779.db2.gz FYPBVZXXNDZPBP-XPUUQOCRSA-N 0 2 300.252 0.639 20 0 DCADLN CCNc1cc(CNC(=O)N2CC[C@](OC)(C(=O)[O-])C2)cc[nH+]1 ZINC001596499722 984250922 /nfs/dbraw/zinc/25/09/22/984250922.db2.gz PDACGMJCDDELOP-OAHLLOKOSA-N 0 2 322.365 0.899 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)c1cc(C)c(C(=O)[O-])o1 ZINC001596585813 984512840 /nfs/dbraw/zinc/51/28/40/984512840.db2.gz ULJYZTGDRNIMRQ-VIFPVBQESA-N 0 2 310.306 0.263 20 0 DCADLN C[C@H]1C[N@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C[C@@H](C2CC2)O1 ZINC001594509434 985775599 /nfs/dbraw/zinc/77/55/99/985775599.db2.gz YLLXMJTVMVCNAK-FZMZJTMJSA-N 0 2 310.394 0.809 20 0 DCADLN C[C@H]1C[N@@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C[C@@H](C2CC2)O1 ZINC001594509434 985775608 /nfs/dbraw/zinc/77/56/08/985775608.db2.gz YLLXMJTVMVCNAK-FZMZJTMJSA-N 0 2 310.394 0.809 20 0 DCADLN CC(=O)N1CCC(N(CCO)C(=O)C(F)C(F)(F)F)CC1 ZINC001384768986 985781962 /nfs/dbraw/zinc/78/19/62/985781962.db2.gz HOTSBDSCSDRZEB-JTQLQIEISA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N1CCC(N(CCO)C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001384768986 985781966 /nfs/dbraw/zinc/78/19/66/985781966.db2.gz HOTSBDSCSDRZEB-JTQLQIEISA-N 0 2 314.279 0.719 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)CN2CCCC2=O)n[nH]1 ZINC001551661713 1015165905 /nfs/dbraw/zinc/16/59/05/1015165905.db2.gz CZPIXYPKFHIASO-NWDGAFQWSA-N 0 2 321.381 0.066 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2ccnc(C)n2)n[nH]1 ZINC001551666676 1015171852 /nfs/dbraw/zinc/17/18/52/1015171852.db2.gz NNUSGRRWDTTYLY-DGCLKSJQSA-N 0 2 316.365 0.856 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@@H]1O)C(F)C(F)(F)F ZINC001384817611 985856819 /nfs/dbraw/zinc/85/68/19/985856819.db2.gz BTPVBAGVFJFEMJ-VGMNWLOBSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@@H]1O)[C@H](F)C(F)(F)F ZINC001384817611 985856830 /nfs/dbraw/zinc/85/68/30/985856830.db2.gz BTPVBAGVFJFEMJ-VGMNWLOBSA-N 0 2 323.246 0.217 20 0 DCADLN Cc1cn(C)nc1C(=O)N(C)C[C@H]1OCC[C@@H]1c1n[nH]c(C)n1 ZINC001551663431 1015168942 /nfs/dbraw/zinc/16/89/42/1015168942.db2.gz YNHZHPCZHKMKEH-NWDGAFQWSA-N 0 2 318.381 0.800 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H](O)C(C)(C)C)n[nH]1 ZINC001551666466 1015170455 /nfs/dbraw/zinc/17/04/55/1015170455.db2.gz GEDNEXMZTJJRRC-UTUOFQBUSA-N 0 2 310.398 0.851 20 0 DCADLN CCn1cc(C(=O)N(C)C[C@@H]2OCC[C@H]2c2n[nH]c(C)n2)cn1 ZINC001551666579 1015170785 /nfs/dbraw/zinc/17/07/85/1015170785.db2.gz GOWBHQXBUNJQDD-OLZOCXBDSA-N 0 2 318.381 0.974 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2CCCOC2)n[nH]1 ZINC001551667578 1015171631 /nfs/dbraw/zinc/17/16/31/1015171631.db2.gz PVKNVCQGGOMWSR-YNEHKIRRSA-N 0 2 308.382 0.871 20 0 DCADLN CCn1nccc1C(=O)N(C)C[C@@H]1OCC[C@H]1c1n[nH]c(C)n1 ZINC001551669787 1015174663 /nfs/dbraw/zinc/17/46/63/1015174663.db2.gz ISHDTRVKATUMPM-YPMHNXCESA-N 0 2 318.381 0.974 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)Cc2ccon2)n[nH]1 ZINC001551676413 1015176822 /nfs/dbraw/zinc/17/68/22/1015176822.db2.gz SZNNUPMUXISCAV-NWDGAFQWSA-N 0 2 305.338 0.675 20 0 DCADLN C[C@H](C(=O)N[C@H](Cc1ccccc1O)C(=O)[O-])n1cc[nH+]c1 ZINC001589389315 986503136 /nfs/dbraw/zinc/50/31/36/986503136.db2.gz XYZGFMKICYNVBK-ZYHUDNBSSA-N 0 2 303.318 0.962 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cccc(CC(=O)[O-])c1 ZINC001594608281 986591018 /nfs/dbraw/zinc/59/10/18/986591018.db2.gz WSRLFLPCOGWWBY-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)c1cccc(CC(=O)[O-])c1 ZINC001594608281 986591025 /nfs/dbraw/zinc/59/10/25/986591025.db2.gz WSRLFLPCOGWWBY-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385828243 986614515 /nfs/dbraw/zinc/61/45/15/986614515.db2.gz RCPGEZNZGLRSQI-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385828243 986614510 /nfs/dbraw/zinc/61/45/10/986614510.db2.gz RCPGEZNZGLRSQI-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN C[C@@H](C(=O)Nc1cccnc1Cl)[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001589443494 986789692 /nfs/dbraw/zinc/78/96/92/986789692.db2.gz BMNGHFDSPVBINK-SDBXPKJASA-N 0 2 313.741 0.583 20 0 DCADLN C[C@@H](C(=O)Nc1cccnc1Cl)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001589443494 986789698 /nfs/dbraw/zinc/78/96/98/986789698.db2.gz BMNGHFDSPVBINK-SDBXPKJASA-N 0 2 313.741 0.583 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)NC(=O)C(F)C(F)(F)F ZINC001386506744 987186034 /nfs/dbraw/zinc/18/60/34/987186034.db2.gz KOWWFYQJEWUIIN-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001386506744 987186035 /nfs/dbraw/zinc/18/60/35/987186035.db2.gz KOWWFYQJEWUIIN-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCc1cn[nH]c1 ZINC001386841086 987444189 /nfs/dbraw/zinc/44/41/89/987444189.db2.gz FDVALRRDZAQEKT-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CCc1cn[nH]c1 ZINC001386841086 987444191 /nfs/dbraw/zinc/44/41/91/987444191.db2.gz FDVALRRDZAQEKT-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001387793596 988156709 /nfs/dbraw/zinc/15/67/09/988156709.db2.gz ITSAYYWBSVUNOJ-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001387793596 988156713 /nfs/dbraw/zinc/15/67/13/988156713.db2.gz ITSAYYWBSVUNOJ-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001388361548 988230751 /nfs/dbraw/zinc/23/07/51/988230751.db2.gz VBZSZNMCQNYFBD-ZIAGYGMSSA-N 0 2 319.409 0.154 20 0 DCADLN Cn1cncc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001387879667 988247300 /nfs/dbraw/zinc/24/73/00/988247300.db2.gz UDJWLHBHJJHGQP-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cncc1C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387879667 988247305 /nfs/dbraw/zinc/24/73/05/988247305.db2.gz UDJWLHBHJJHGQP-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1)C(=O)[O-] ZINC001599844673 988912138 /nfs/dbraw/zinc/91/21/38/988912138.db2.gz TYFDSLOICVOLLX-XBFCOCLRSA-N 0 2 321.377 0.386 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1)C(=O)[O-] ZINC001599844673 988912146 /nfs/dbraw/zinc/91/21/46/988912146.db2.gz TYFDSLOICVOLLX-XBFCOCLRSA-N 0 2 321.377 0.386 20 0 DCADLN CCc1nn(C[N@@H+]2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)c(=O)n1CC ZINC001597723429 989009363 /nfs/dbraw/zinc/00/93/63/989009363.db2.gz JSIKQZKWTBWUQQ-XHDPSFHLSA-N 0 2 324.381 0.008 20 0 DCADLN CCc1nn(C[N@H+]2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)c(=O)n1CC ZINC001597723429 989009374 /nfs/dbraw/zinc/00/93/74/989009374.db2.gz JSIKQZKWTBWUQQ-XHDPSFHLSA-N 0 2 324.381 0.008 20 0 DCADLN COC[C@H](C)C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001389806926 989598810 /nfs/dbraw/zinc/59/88/10/989598810.db2.gz FWMANZAYXFMCKX-JGVFFNPUSA-N 0 2 314.279 0.934 20 0 DCADLN COC[C@H](C)C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001389806926 989598814 /nfs/dbraw/zinc/59/88/14/989598814.db2.gz FWMANZAYXFMCKX-JGVFFNPUSA-N 0 2 314.279 0.934 20 0 DCADLN CN(C(=O)C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-])c1ccccc1 ZINC001598023366 990221506 /nfs/dbraw/zinc/22/15/06/990221506.db2.gz MMGKLFOECOCIHZ-CYBMUJFWSA-N 0 2 319.361 0.315 20 0 DCADLN CN(C(=O)C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-])c1ccccc1 ZINC001598023366 990221510 /nfs/dbraw/zinc/22/15/10/990221510.db2.gz MMGKLFOECOCIHZ-CYBMUJFWSA-N 0 2 319.361 0.315 20 0 DCADLN Cn1cc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001391480766 990879471 /nfs/dbraw/zinc/87/94/71/990879471.db2.gz LYUINVGQFHEDPB-VIFPVBQESA-N 0 2 309.267 0.264 20 0 DCADLN Cn1cc(CN2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001391480766 990879481 /nfs/dbraw/zinc/87/94/81/990879481.db2.gz LYUINVGQFHEDPB-VIFPVBQESA-N 0 2 309.267 0.264 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001391525561 990915896 /nfs/dbraw/zinc/91/58/96/990915896.db2.gz HCWVBVIJZABIAK-SRVKXCTJSA-N 0 2 323.397 0.357 20 0 DCADLN CC(=O)N[C@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)C(C)C ZINC001391705052 991116730 /nfs/dbraw/zinc/11/67/30/991116730.db2.gz UPSWATZLXWFVPG-CYBMUJFWSA-N 0 2 318.381 0.701 20 0 DCADLN CN(C)c1ncc(C[N@H+](C)[C@H]2CCN(CCC(=O)[O-])C2=O)cn1 ZINC001598354006 991463485 /nfs/dbraw/zinc/46/34/85/991463485.db2.gz QIKCWZMYVGJORW-LBPRGKRZSA-N 0 2 321.381 0.050 20 0 DCADLN CN(C)c1ncc(C[N@@H+](C)[C@H]2CCN(CCC(=O)[O-])C2=O)cn1 ZINC001598354006 991463489 /nfs/dbraw/zinc/46/34/89/991463489.db2.gz QIKCWZMYVGJORW-LBPRGKRZSA-N 0 2 321.381 0.050 20 0 DCADLN CN(C)c1nn(C[N@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)c(=S)s1 ZINC001598365637 991519205 /nfs/dbraw/zinc/51/92/05/991519205.db2.gz WRZJKJZIASPTPF-JIGDXULJSA-N 0 2 300.409 0.960 20 0 DCADLN CN(C)c1nn(C[N@@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)c(=S)s1 ZINC001598365637 991519217 /nfs/dbraw/zinc/51/92/17/991519217.db2.gz WRZJKJZIASPTPF-JIGDXULJSA-N 0 2 300.409 0.960 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cnn(C)c1 ZINC001392253100 991657703 /nfs/dbraw/zinc/65/77/03/991657703.db2.gz XRJBIHZUAQTMMR-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cnn(C)c1 ZINC001392253100 991657705 /nfs/dbraw/zinc/65/77/05/991657705.db2.gz XRJBIHZUAQTMMR-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(C[C@H]3CCCCO3)c2)c1=O ZINC001553248147 1015653232 /nfs/dbraw/zinc/65/32/32/1015653232.db2.gz VWBLKDBIGIVEMQ-GFCCVEGCSA-N 0 2 320.353 0.214 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CC[C@H](OC(F)F)C1)C(=O)[O-] ZINC001591169636 991953010 /nfs/dbraw/zinc/95/30/10/991953010.db2.gz JHKJGDJOMKNYJX-UWVGGRQHSA-N 0 2 308.325 0.915 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CC[C@H](OC(F)F)C1)C(=O)[O-] ZINC001591169636 991953017 /nfs/dbraw/zinc/95/30/17/991953017.db2.gz JHKJGDJOMKNYJX-UWVGGRQHSA-N 0 2 308.325 0.915 20 0 DCADLN CN(CCC[N@H+](C)Cc1cnns1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001598476911 992093783 /nfs/dbraw/zinc/09/37/83/992093783.db2.gz AZSXPTGRHICKAX-QWRGUYRKSA-N 0 2 312.395 0.539 20 0 DCADLN CN(CCC[N@@H+](C)Cc1cnns1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001598476911 992093792 /nfs/dbraw/zinc/09/37/92/992093792.db2.gz AZSXPTGRHICKAX-QWRGUYRKSA-N 0 2 312.395 0.539 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001392670160 992241794 /nfs/dbraw/zinc/24/17/94/992241794.db2.gz HQEPQKTXAQSMGD-NDMJEZRESA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001392670160 992241802 /nfs/dbraw/zinc/24/18/02/992241802.db2.gz HQEPQKTXAQSMGD-NDMJEZRESA-N 0 2 323.397 0.262 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)N[C@@H]1CCC[C@H]1CC(=O)[O-] ZINC001598489158 992257826 /nfs/dbraw/zinc/25/78/26/992257826.db2.gz WSGOUABGUWGTFD-QWHCGFSZSA-N 0 2 313.398 0.603 20 0 DCADLN CN(CCNC(=O)CCn1cc[nH+]c1)c1ccnc(C(=O)[O-])n1 ZINC001598489925 992272331 /nfs/dbraw/zinc/27/23/31/992272331.db2.gz BMSGNDZXFNZLFE-UHFFFAOYSA-N 0 2 318.337 0.014 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2csc(-c3ncc[nH]3)n2)C[C@@H]1C(=O)[O-] ZINC001598571978 993212021 /nfs/dbraw/zinc/21/20/21/993212021.db2.gz CDIHMZKLJYJUMY-VXNVDRBHSA-N 0 2 322.346 0.847 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2csc(-c3ncc[nH]3)n2)C[C@@H]1C(=O)[O-] ZINC001598571978 993212026 /nfs/dbraw/zinc/21/20/26/993212026.db2.gz CDIHMZKLJYJUMY-VXNVDRBHSA-N 0 2 322.346 0.847 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2sccc2-n2cnnn2)C[C@H]1C(=O)[O-] ZINC001598574313 993250640 /nfs/dbraw/zinc/25/06/40/993250640.db2.gz JZNBJOLDIHYORW-APPZFPTMSA-N 0 2 323.334 0.038 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2sccc2-n2cnnn2)C[C@H]1C(=O)[O-] ZINC001598574313 993250646 /nfs/dbraw/zinc/25/06/46/993250646.db2.gz JZNBJOLDIHYORW-APPZFPTMSA-N 0 2 323.334 0.038 20 0 DCADLN C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)[NH2+]CC(=O)N(C)C1CC1 ZINC001393934450 993356030 /nfs/dbraw/zinc/35/60/30/993356030.db2.gz PNOQTWRGYJMVIC-NWDGAFQWSA-N 0 2 321.425 0.446 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@]2(CC(=O)[O-])CCOC2)[nH]n1 ZINC001598599293 993552873 /nfs/dbraw/zinc/55/28/73/993552873.db2.gz VOZHUQUYGYUFBM-DOMZBBRYSA-N 0 2 322.365 0.540 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@]2(CC(=O)[O-])CCOC2)[nH]n1 ZINC001598599293 993552877 /nfs/dbraw/zinc/55/28/77/993552877.db2.gz VOZHUQUYGYUFBM-DOMZBBRYSA-N 0 2 322.365 0.540 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)C(F)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394257672 993575557 /nfs/dbraw/zinc/57/55/57/993575557.db2.gz WQTHZDISQPLHHJ-NKWVEPMBSA-N 0 2 321.303 0.645 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cccnn1 ZINC001399704905 993688423 /nfs/dbraw/zinc/68/84/23/993688423.db2.gz IHKSRXCUKRKPPL-SECBINFHSA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cccnn1 ZINC001399704905 993688427 /nfs/dbraw/zinc/68/84/27/993688427.db2.gz IHKSRXCUKRKPPL-SECBINFHSA-N 0 2 322.262 0.907 20 0 DCADLN Cc1nc(CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F)co1 ZINC001394589093 993876662 /nfs/dbraw/zinc/87/66/62/993876662.db2.gz KPKNUPZLELGGOV-VXNVDRBHSA-N 0 2 313.251 0.450 20 0 DCADLN Cc1nn(C)cc1C[N@H+](CCNC(=O)c1nnc[nH]1)C1CC1 ZINC001400036425 994087008 /nfs/dbraw/zinc/08/70/08/994087008.db2.gz JWEGSIMHINTVDA-UHFFFAOYSA-N 0 2 303.370 0.241 20 0 DCADLN Cc1nn(C)cc1C[N@@H+](CCNC(=O)c1nnc[nH]1)C1CC1 ZINC001400036425 994087017 /nfs/dbraw/zinc/08/70/17/994087017.db2.gz JWEGSIMHINTVDA-UHFFFAOYSA-N 0 2 303.370 0.241 20 0 DCADLN CC(C)[C@@H](C(=O)NCC[N@H+](Cc1cnnn1C)C1CC1)[NH+](C)C ZINC001400039584 994089778 /nfs/dbraw/zinc/08/97/78/994089778.db2.gz MHROGGLCVLFWMB-HNNXBMFYSA-N 0 2 322.457 0.482 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@](C)(CC(=O)[O-])c2ccncc2)C1 ZINC001598626026 994095445 /nfs/dbraw/zinc/09/54/45/994095445.db2.gz RABPATPCFXZIQC-SWLSCSKDSA-N 0 2 307.350 0.218 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N[C@](C)(CC(=O)[O-])c2ccncc2)C1 ZINC001598626026 994095452 /nfs/dbraw/zinc/09/54/52/994095452.db2.gz RABPATPCFXZIQC-SWLSCSKDSA-N 0 2 307.350 0.218 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@H](O)C[N@@H+](C)CC[C@@H](C)F)c1[O-] ZINC001394771432 994128878 /nfs/dbraw/zinc/12/88/78/994128878.db2.gz QFDHQXKHRCCHPQ-MWLCHTKSSA-N 0 2 316.377 0.537 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@H](O)C[N@H+](C)CC[C@@H](C)F)c1[O-] ZINC001394771432 994128883 /nfs/dbraw/zinc/12/88/83/994128883.db2.gz QFDHQXKHRCCHPQ-MWLCHTKSSA-N 0 2 316.377 0.537 20 0 DCADLN CC(C)N(CC[NH+](C)Cc1cnnn1C)C(=O)C[N@@H+](C)C(C)C ZINC001394812313 994179071 /nfs/dbraw/zinc/17/90/71/994179071.db2.gz IBZXLKUHDHDYPB-UHFFFAOYSA-N 0 2 324.473 0.824 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CCCC(=O)[O-])C1 ZINC001598632468 994191422 /nfs/dbraw/zinc/19/14/22/994191422.db2.gz VLCUDIOLRUOQBZ-ZDUSSCGKSA-N 0 2 312.391 0.957 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CCCC(=O)[O-])C1 ZINC001598632468 994191425 /nfs/dbraw/zinc/19/14/25/994191425.db2.gz VLCUDIOLRUOQBZ-ZDUSSCGKSA-N 0 2 312.391 0.957 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1nc[nH]n1 ZINC001395095902 994429215 /nfs/dbraw/zinc/42/92/15/994429215.db2.gz HMBYVOBDTPPXSN-WDSKDSINSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1nc[nH]n1 ZINC001395095902 994429219 /nfs/dbraw/zinc/42/92/19/994429219.db2.gz HMBYVOBDTPPXSN-WDSKDSINSA-N 0 2 311.239 0.282 20 0 DCADLN Cc1cc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)nn1C ZINC001400352565 994435176 /nfs/dbraw/zinc/43/51/76/994435176.db2.gz NELBCALZRJFUDD-SNVBAGLBSA-N 0 2 308.279 0.929 20 0 DCADLN Cc1cc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)nn1C ZINC001400352565 994435181 /nfs/dbraw/zinc/43/51/81/994435181.db2.gz NELBCALZRJFUDD-SNVBAGLBSA-N 0 2 308.279 0.929 20 0 DCADLN CNC(=O)NC(=O)[C@H](C)[N@@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001598652858 994472669 /nfs/dbraw/zinc/47/26/69/994472669.db2.gz XQWOTUWGAHODDX-VIFPVBQESA-N 0 2 321.333 0.423 20 0 DCADLN CNC(=O)NC(=O)[C@H](C)[N@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001598652858 994472677 /nfs/dbraw/zinc/47/26/77/994472677.db2.gz XQWOTUWGAHODDX-VIFPVBQESA-N 0 2 321.333 0.423 20 0 DCADLN CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001598653323 994480624 /nfs/dbraw/zinc/48/06/24/994480624.db2.gz OQXIHKHTIQAOQP-GFCCVEGCSA-N 0 2 320.349 0.327 20 0 DCADLN CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001598653323 994480630 /nfs/dbraw/zinc/48/06/30/994480630.db2.gz OQXIHKHTIQAOQP-GFCCVEGCSA-N 0 2 320.349 0.327 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1(CF)CC1)C(F)C(F)(F)F ZINC001395741436 994956559 /nfs/dbraw/zinc/95/65/59/994956559.db2.gz MGMCKYSZTKBBSW-RQJHMYQMSA-N 0 2 318.242 0.230 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1(CF)CC1)[C@H](F)C(F)(F)F ZINC001395741436 994956568 /nfs/dbraw/zinc/95/65/68/994956568.db2.gz MGMCKYSZTKBBSW-RQJHMYQMSA-N 0 2 318.242 0.230 20 0 DCADLN COC(=O)[C@H]([NH2+]CCC(=O)NCC(=O)[O-])C1CCCCC1 ZINC001598708180 995314469 /nfs/dbraw/zinc/31/44/69/995314469.db2.gz VSPVUNISTIKUHN-CYBMUJFWSA-N 0 2 300.355 0.289 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NC/C=C\Cl)cc-2c(=O)[nH]1 ZINC001464746134 1015944874 /nfs/dbraw/zinc/94/48/74/1015944874.db2.gz FJHMNSUNEJKMGB-UPHRSURJSA-N 0 2 316.726 0.467 20 0 DCADLN CSCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001397346760 995928920 /nfs/dbraw/zinc/92/89/20/995928920.db2.gz WLJRKXUNKNYESR-CRYJXSNHSA-N 0 2 314.304 0.823 20 0 DCADLN CSCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(F)(F)F ZINC001397346760 995928924 /nfs/dbraw/zinc/92/89/24/995928924.db2.gz WLJRKXUNKNYESR-CRYJXSNHSA-N 0 2 314.304 0.823 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001397647571 996115022 /nfs/dbraw/zinc/11/50/22/996115022.db2.gz MVEIUGZOASFURH-OCCSQVGLSA-N 0 2 319.409 0.011 20 0 DCADLN CN(CCNC(=O)CC(C)(C)O)C(=O)C(F)C(F)(F)F ZINC001397903354 996246510 /nfs/dbraw/zinc/24/65/10/996246510.db2.gz JBTJOPLEAJCYOF-QMMMGPOBSA-N 0 2 302.268 0.622 20 0 DCADLN CN(CCNC(=O)CC(C)(C)O)C(=O)[C@H](F)C(F)(F)F ZINC001397903354 996246516 /nfs/dbraw/zinc/24/65/16/996246516.db2.gz JBTJOPLEAJCYOF-QMMMGPOBSA-N 0 2 302.268 0.622 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CCc1ncc[nH]1 ZINC001397942926 996271168 /nfs/dbraw/zinc/27/11/68/996271168.db2.gz SOAUAUKHKDCOMG-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN CCN(CCNC(=O)c1cn(C)cn1)C(=O)C(F)C(F)(F)F ZINC001398089618 996360197 /nfs/dbraw/zinc/36/01/97/996360197.db2.gz RNTZXDYCNFCBPS-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)c1cn(C)cn1)C(=O)[C@H](F)C(F)(F)F ZINC001398089618 996360201 /nfs/dbraw/zinc/36/02/01/996360201.db2.gz RNTZXDYCNFCBPS-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2nc(C(C)(C)C)no2)C[C@@H]1C(=O)[O-] ZINC001598824590 996367854 /nfs/dbraw/zinc/36/78/54/996367854.db2.gz HREQWJJLEMHXAF-IUCAKERBSA-N 0 2 311.338 0.673 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2nc(C(C)(C)C)no2)C[C@@H]1C(=O)[O-] ZINC001598824590 996367857 /nfs/dbraw/zinc/36/78/57/996367857.db2.gz HREQWJJLEMHXAF-IUCAKERBSA-N 0 2 311.338 0.673 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2c(F)cncc2F)C[C@H]1C(=O)[O-] ZINC001598827306 996396450 /nfs/dbraw/zinc/39/64/50/996396450.db2.gz KLDDZBPXDPGJSV-SFYZADRCSA-N 0 2 300.261 0.665 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2c(F)cncc2F)C[C@H]1C(=O)[O-] ZINC001598827306 996396455 /nfs/dbraw/zinc/39/64/55/996396455.db2.gz KLDDZBPXDPGJSV-SFYZADRCSA-N 0 2 300.261 0.665 20 0 DCADLN COC(=O)CC1(C[N@@H+]2CC[C@H](C(=O)[O-])C[C@@H]2C(=O)OC)CC1 ZINC001598841409 996528526 /nfs/dbraw/zinc/52/85/26/996528526.db2.gz PTQBDGURXVLVHT-WDEREUQCSA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)CC1(C[N@H+]2CC[C@H](C(=O)[O-])C[C@@H]2C(=O)OC)CC1 ZINC001598841409 996528530 /nfs/dbraw/zinc/52/85/30/996528530.db2.gz PTQBDGURXVLVHT-WDEREUQCSA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)CCCc1nc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@H]2C)no1 ZINC001598852875 996619250 /nfs/dbraw/zinc/61/92/50/996619250.db2.gz LGCDKASQTOOJSA-ZJUUUORDSA-N 0 2 311.338 0.860 20 0 DCADLN COC(=O)CCCc1nc(C[N@H+]2CC[C@H](C(=O)[O-])[C@H]2C)no1 ZINC001598852875 996619253 /nfs/dbraw/zinc/61/92/53/996619253.db2.gz LGCDKASQTOOJSA-ZJUUUORDSA-N 0 2 311.338 0.860 20 0 DCADLN CCc1noc([C@@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001398916518 996747289 /nfs/dbraw/zinc/74/72/89/996747289.db2.gz HJVGLCRXVDKKCW-IONNQARKSA-N 0 2 322.369 0.838 20 0 DCADLN O=C(Cc1cccs1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404179949 996784267 /nfs/dbraw/zinc/78/42/67/996784267.db2.gz PPGPJFFKRJATSU-UHFFFAOYSA-N 0 2 321.406 0.895 20 0 DCADLN O=C(Cc1cccs1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404179949 996784277 /nfs/dbraw/zinc/78/42/77/996784277.db2.gz PPGPJFFKRJATSU-UHFFFAOYSA-N 0 2 321.406 0.895 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N[C@@H]2CC=C(C(=O)[O-])C2)CCO1 ZINC001593939541 996930745 /nfs/dbraw/zinc/93/07/45/996930745.db2.gz NTRKPMGPTOPLIW-GFCCVEGCSA-N 0 2 311.382 0.570 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N[C@@H]2CC=C(C(=O)[O-])C2)CCO1 ZINC001593939541 996930752 /nfs/dbraw/zinc/93/07/52/996930752.db2.gz NTRKPMGPTOPLIW-GFCCVEGCSA-N 0 2 311.382 0.570 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn[nH]n1 ZINC001404382171 997055585 /nfs/dbraw/zinc/05/55/85/997055585.db2.gz MQYWVXSSWDAYOV-VDTYLAMSSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cn[nH]n1 ZINC001404382171 997055597 /nfs/dbraw/zinc/05/55/97/997055597.db2.gz MQYWVXSSWDAYOV-VDTYLAMSSA-N 0 2 311.239 0.282 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+]([C@@H](C(=O)[O-])C2(OC)CCC2)C[C@@H](C)O1 ZINC001598898607 997130181 /nfs/dbraw/zinc/13/01/81/997130181.db2.gz UEYOPXAAVYYGCQ-VWYCJHECSA-N 0 2 301.339 0.271 20 0 DCADLN COC(=O)[C@@H]1C[N@H+]([C@@H](C(=O)[O-])C2(OC)CCC2)C[C@@H](C)O1 ZINC001598898607 997130185 /nfs/dbraw/zinc/13/01/85/997130185.db2.gz UEYOPXAAVYYGCQ-VWYCJHECSA-N 0 2 301.339 0.271 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@@H+]1Cc1cccc(C(=O)OC)n1 ZINC001599193114 997161539 /nfs/dbraw/zinc/16/15/39/997161539.db2.gz WLBBAYGAIMFTFL-OAHLLOKOSA-N 0 2 308.334 0.934 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@H+]1Cc1cccc(C(=O)OC)n1 ZINC001599193114 997161542 /nfs/dbraw/zinc/16/15/42/997161542.db2.gz WLBBAYGAIMFTFL-OAHLLOKOSA-N 0 2 308.334 0.934 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N[C@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001404652781 997332577 /nfs/dbraw/zinc/33/25/77/997332577.db2.gz GJONUPYFIXLICQ-GFCCVEGCSA-N 0 2 321.425 0.256 20 0 DCADLN Cc1c(F)cccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399478758 997418824 /nfs/dbraw/zinc/41/88/24/997418824.db2.gz AGTFUXLMDMALCS-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1c(F)cccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399478758 997418833 /nfs/dbraw/zinc/41/88/33/997418833.db2.gz AGTFUXLMDMALCS-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN CCn1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001399557831 997510917 /nfs/dbraw/zinc/51/09/17/997510917.db2.gz RQUWSLUVFFXORL-UHFFFAOYSA-N 0 2 306.370 0.502 20 0 DCADLN CCn1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001399557831 997510926 /nfs/dbraw/zinc/51/09/26/997510926.db2.gz RQUWSLUVFFXORL-UHFFFAOYSA-N 0 2 306.370 0.502 20 0 DCADLN COCC[C@@H](NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C(=O)[O-] ZINC001599214175 997554629 /nfs/dbraw/zinc/55/46/29/997554629.db2.gz GMAZJSHMANYEMV-LLVKDONJSA-N 0 2 304.306 0.487 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@@H+]2CC(C)(C)[C@@H]3COC[C@@H]32)n1 ZINC001598922931 997601356 /nfs/dbraw/zinc/60/13/56/997601356.db2.gz VFXZECLUYPNBOL-SKDRFNHKSA-N 0 2 323.349 0.682 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@H+]2CC(C)(C)[C@@H]3COC[C@@H]32)n1 ZINC001598922931 997601365 /nfs/dbraw/zinc/60/13/65/997601365.db2.gz VFXZECLUYPNBOL-SKDRFNHKSA-N 0 2 323.349 0.682 20 0 DCADLN O=C(CCc1cccs1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405028128 997700867 /nfs/dbraw/zinc/70/08/67/997700867.db2.gz BAYLPDAIRVZZAR-JTQLQIEISA-N 0 2 321.406 0.895 20 0 DCADLN COC(=O)c1ccc(C(=O)NCCc2n[nH]c(=S)o2)nc1 ZINC001467309780 1016156050 /nfs/dbraw/zinc/15/60/50/1016156050.db2.gz FPAVNFHGHJYIOQ-UHFFFAOYSA-N 0 2 308.319 0.512 20 0 DCADLN NC(=O)c1ccccc1NCC(=O)NCCc1n[nH]c(=S)o1 ZINC001467312226 1016156157 /nfs/dbraw/zinc/15/61/57/1016156157.db2.gz AVHKATOXVUDOCT-UHFFFAOYSA-N 0 2 321.362 0.228 20 0 DCADLN NC(=O)c1ccccc1CCC(=O)NCCc1n[nH]c(=S)o1 ZINC001467311254 1016156409 /nfs/dbraw/zinc/15/64/09/1016156409.db2.gz YKLYDXIZEMUQOR-UHFFFAOYSA-N 0 2 320.374 0.749 20 0 DCADLN COCCOCC[N@H+](C)CC(=O)Nc1cccc(C(=O)[O-])n1 ZINC001599250570 998222301 /nfs/dbraw/zinc/22/23/01/998222301.db2.gz IEXBUAAAMOSACU-UHFFFAOYSA-N 0 2 311.338 0.313 20 0 DCADLN COCCOCC[N@@H+](C)CC(=O)Nc1cccc(C(=O)[O-])n1 ZINC001599250570 998222310 /nfs/dbraw/zinc/22/23/10/998222310.db2.gz IEXBUAAAMOSACU-UHFFFAOYSA-N 0 2 311.338 0.313 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1CCC1)C(=O)C(F)C(F)(F)F ZINC001405610002 998377819 /nfs/dbraw/zinc/37/78/19/998377819.db2.gz DUXQRDRKHKSUQS-IUCAKERBSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1CCC1)C(=O)[C@H](F)C(F)(F)F ZINC001405610002 998377832 /nfs/dbraw/zinc/37/78/32/998377832.db2.gz DUXQRDRKHKSUQS-IUCAKERBSA-N 0 2 314.279 0.622 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1C ZINC001598974494 998578474 /nfs/dbraw/zinc/57/84/74/998578474.db2.gz NAGBKRRHGBKWSE-CYBMUJFWSA-N 0 2 320.345 0.557 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1C ZINC001598974494 998578479 /nfs/dbraw/zinc/57/84/79/998578479.db2.gz NAGBKRRHGBKWSE-CYBMUJFWSA-N 0 2 320.345 0.557 20 0 DCADLN COC(=O)c1cccc([C@H]([NH2+]CC(=O)NCC(C)C)C(=O)[O-])c1 ZINC001599000108 998816840 /nfs/dbraw/zinc/81/68/40/998816840.db2.gz WOTUMSYTJYJZNG-AWEZNQCLSA-N 0 2 322.361 0.961 20 0 DCADLN COC(=O)c1cn(CCNc2cc(CC(=O)[O-])cc[nH+]2)nn1 ZINC001599008232 998874022 /nfs/dbraw/zinc/87/40/22/998874022.db2.gz HBLNNBLEOMDADM-UHFFFAOYSA-N 0 2 305.294 0.199 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC001594249928 998957099 /nfs/dbraw/zinc/95/70/99/998957099.db2.gz KJKSXSZASHLLIO-DGCLKSJQSA-N 0 2 319.361 0.715 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1CCC1 ZINC001511465708 1016292395 /nfs/dbraw/zinc/29/23/95/1016292395.db2.gz HIYFSYQMNHSRCQ-RKDXNWHRSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1CCC1 ZINC001511465708 1016292400 /nfs/dbraw/zinc/29/24/00/1016292400.db2.gz HIYFSYQMNHSRCQ-RKDXNWHRSA-N 0 2 314.279 0.622 20 0 DCADLN CC[S@](=O)CCNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001468944743 1016292816 /nfs/dbraw/zinc/29/28/16/1016292816.db2.gz PPBXPAYGWPYQEZ-FPVGNUTFSA-N 0 2 315.399 0.168 20 0 DCADLN Cc1[nH]nc(C(F)(F)F)c1C(=O)Nn1ccc(=O)[nH]c1=O ZINC001418693135 1000454942 /nfs/dbraw/zinc/45/49/42/1000454942.db2.gz SYXFBIQWYVHXMM-UHFFFAOYSA-N 0 2 303.200 0.383 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccsc1 ZINC001401729736 1000666219 /nfs/dbraw/zinc/66/62/19/1000666219.db2.gz IZVCECXLBAZNIH-SECBINFHSA-N 0 2 309.395 0.751 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccsc1 ZINC001401729736 1000666222 /nfs/dbraw/zinc/66/62/22/1000666222.db2.gz IZVCECXLBAZNIH-SECBINFHSA-N 0 2 309.395 0.751 20 0 DCADLN Cn1cnc2cc(CNC(=O)C[C@H]3SC(=N)NC3=O)ccc21 ZINC001419689588 1001223754 /nfs/dbraw/zinc/22/37/54/1001223754.db2.gz DOUZEOJSRRQYSF-LLVKDONJSA-N 0 2 317.374 0.746 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001505750878 1016517909 /nfs/dbraw/zinc/51/79/09/1016517909.db2.gz ULFDOVHBNHMHLO-YUMQZZPRSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001505750878 1016517922 /nfs/dbraw/zinc/51/79/22/1016517922.db2.gz ULFDOVHBNHMHLO-YUMQZZPRSA-N 0 2 322.262 0.949 20 0 DCADLN Cn1cnc(C(=O)N[C@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)n1 ZINC001471069331 1016520766 /nfs/dbraw/zinc/52/07/66/1016520766.db2.gz PMTBWFZOXPRHCQ-SNVBAGLBSA-N 0 2 313.321 0.353 20 0 DCADLN CCc1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001403635368 1002244409 /nfs/dbraw/zinc/24/44/09/1002244409.db2.gz GQHBASOCPITZCZ-UHFFFAOYSA-N 0 2 319.369 0.033 20 0 DCADLN CCc1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001403635368 1002244421 /nfs/dbraw/zinc/24/44/21/1002244421.db2.gz GQHBASOCPITZCZ-UHFFFAOYSA-N 0 2 319.369 0.033 20 0 DCADLN C[C@H](NC(=O)CS(=O)(=O)C(C)(C)C)c1nn(C)cc1O ZINC001420433672 1002412181 /nfs/dbraw/zinc/41/21/81/1002412181.db2.gz AZWXUVDMRDAHGH-QMMMGPOBSA-N 0 2 303.384 0.516 20 0 DCADLN CC(C)C(=O)Nc1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1 ZINC001471651650 1016621960 /nfs/dbraw/zinc/62/19/60/1016621960.db2.gz MHVXOHIACCANCU-UHFFFAOYSA-N 0 2 324.366 0.605 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001403788062 1002521247 /nfs/dbraw/zinc/52/12/47/1002521247.db2.gz SHSZVTPCWGGOEQ-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001403788062 1002521254 /nfs/dbraw/zinc/52/12/54/1002521254.db2.gz SHSZVTPCWGGOEQ-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1=COCCC1 ZINC001420632635 1002717528 /nfs/dbraw/zinc/71/75/28/1002717528.db2.gz PBYJRWRYRNKWDK-UHFFFAOYSA-N 0 2 307.354 0.285 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1=COCCC1 ZINC001420632635 1002717537 /nfs/dbraw/zinc/71/75/37/1002717537.db2.gz PBYJRWRYRNKWDK-UHFFFAOYSA-N 0 2 307.354 0.285 20 0 DCADLN CCCNC(=O)C[NH+]1CCC[C@@H]1CNC(=O)C[N@H+]1CC[C@@H](C)C1 ZINC001404113348 1003026800 /nfs/dbraw/zinc/02/68/00/1003026800.db2.gz WDSQQTYPJXVMEJ-HUUCEWRRSA-N 0 2 324.469 0.435 20 0 DCADLN COc1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)ccn1 ZINC001472061160 1016718166 /nfs/dbraw/zinc/71/81/66/1016718166.db2.gz QZEVCSPMVBUBII-UHFFFAOYSA-N 0 2 318.333 0.915 20 0 DCADLN O=C(NC1CN(Cc2nnnn2C2CC2)C1)C(F)C(F)(F)F ZINC001421033608 1003252365 /nfs/dbraw/zinc/25/23/65/1003252365.db2.gz ZAWVLXQCCASESC-SECBINFHSA-N 0 2 322.266 0.209 20 0 DCADLN O=C(NC1CN(Cc2nnnn2C2CC2)C1)[C@@H](F)C(F)(F)F ZINC001421033608 1003252372 /nfs/dbraw/zinc/25/23/72/1003252372.db2.gz ZAWVLXQCCASESC-SECBINFHSA-N 0 2 322.266 0.209 20 0 DCADLN C[C@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001421080523 1003299556 /nfs/dbraw/zinc/29/95/56/1003299556.db2.gz LZCQJFQAFJVWMJ-SSDOTTSWSA-N 0 2 303.313 0.444 20 0 DCADLN Cn1ccnc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001421134153 1003367307 /nfs/dbraw/zinc/36/73/07/1003367307.db2.gz QHLPYHHJSAQWFS-SNVBAGLBSA-N 0 2 308.279 0.869 20 0 DCADLN Cn1ccnc1CN1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001421134153 1003367312 /nfs/dbraw/zinc/36/73/12/1003367312.db2.gz QHLPYHHJSAQWFS-SNVBAGLBSA-N 0 2 308.279 0.869 20 0 DCADLN CC(C)(C)OCC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421197939 1003432945 /nfs/dbraw/zinc/43/29/45/1003432945.db2.gz RBNRVSMUOSYILH-CQSZACIVSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)(C)OCC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421197939 1003432948 /nfs/dbraw/zinc/43/29/48/1003432948.db2.gz RBNRVSMUOSYILH-CQSZACIVSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccnnc1 ZINC001426120824 1003449829 /nfs/dbraw/zinc/44/98/29/1003449829.db2.gz VVXDXNWKVDZWAQ-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccnnc1 ZINC001426120824 1003449834 /nfs/dbraw/zinc/44/98/34/1003449834.db2.gz VVXDXNWKVDZWAQ-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN CCc1nnc(C[NH2+][C@@H](CC)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001421389654 1003616778 /nfs/dbraw/zinc/61/67/78/1003616778.db2.gz IDRFTPCNYNRPFX-VIFPVBQESA-N 0 2 322.369 0.667 20 0 DCADLN CC(C)[C@H](C)N(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001428333105 1003872120 /nfs/dbraw/zinc/87/21/20/1003872120.db2.gz FIKVZRJTPQXZBC-VIFPVBQESA-N 0 2 317.415 0.535 20 0 DCADLN CC[C@@H](C)CN(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001428335165 1003873485 /nfs/dbraw/zinc/87/34/85/1003873485.db2.gz WNBBRAQSCMAHLE-SECBINFHSA-N 0 2 317.415 0.537 20 0 DCADLN Cc1nnc(CN(C)C[C@@H](C)NC(=O)C(F)C(F)(F)F)[nH]1 ZINC001406618088 1003931272 /nfs/dbraw/zinc/93/12/72/1003931272.db2.gz SOLXHPIVEWQQCG-MUWHJKNJSA-N 0 2 311.283 0.950 20 0 DCADLN CCOCC(=O)N(C)[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001426691399 1003970422 /nfs/dbraw/zinc/97/04/22/1003970422.db2.gz YVIDHEXNGARRQS-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N(C)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001426691399 1003970413 /nfs/dbraw/zinc/97/04/13/1003970413.db2.gz YVIDHEXNGARRQS-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001426857995 1004090806 /nfs/dbraw/zinc/09/08/06/1004090806.db2.gz RUPBGNNJLRPKTD-GKROBHDKSA-N 0 2 323.250 0.330 20 0 DCADLN CCn1ncc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001406829847 1004129923 /nfs/dbraw/zinc/12/99/23/1004129923.db2.gz ODLMVDBJORWNAE-UWVGGRQHSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncc(CN2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001406829847 1004129926 /nfs/dbraw/zinc/12/99/26/1004129926.db2.gz ODLMVDBJORWNAE-UWVGGRQHSA-N 0 2 323.294 0.889 20 0 DCADLN CCOCC(=O)N1CC([C@@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001427053325 1004184455 /nfs/dbraw/zinc/18/44/55/1004184455.db2.gz NEJMQINGVQSQEH-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N1CC([C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001427053325 1004184461 /nfs/dbraw/zinc/18/44/61/1004184461.db2.gz NEJMQINGVQSQEH-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N1CC([C@@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001427058641 1004187819 /nfs/dbraw/zinc/18/78/19/1004187819.db2.gz SBOLQUMYGQURRW-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N1CC([C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001427058641 1004187822 /nfs/dbraw/zinc/18/78/22/1004187822.db2.gz SBOLQUMYGQURRW-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cccnc1 ZINC001406893345 1004193263 /nfs/dbraw/zinc/19/32/63/1004193263.db2.gz YTTXCFRMNPHMCT-JQWIXIFHSA-N 0 2 316.365 0.400 20 0 DCADLN COCCC(=O)NCC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001428939461 1004280698 /nfs/dbraw/zinc/28/06/98/1004280698.db2.gz CQCUYSXHZQCUOP-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN COCCC(=O)NCC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001428939461 1004280703 /nfs/dbraw/zinc/28/07/03/1004280703.db2.gz CQCUYSXHZQCUOP-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CN(C(=O)COCC1CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407060811 1004343436 /nfs/dbraw/zinc/34/34/36/1004343436.db2.gz QGXLWUUFYJBVIK-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CC(=O)NCC(=O)N[C@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001429054145 1004354588 /nfs/dbraw/zinc/35/45/88/1004354588.db2.gz ATORMNLDDDBULH-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NCC(=O)N[C@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001429054145 1004354598 /nfs/dbraw/zinc/35/45/98/1004354598.db2.gz ATORMNLDDDBULH-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN COCCC(C)(C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407076533 1004357542 /nfs/dbraw/zinc/35/75/42/1004357542.db2.gz GBBGHDOMNFRGCH-UHFFFAOYSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)[C@H]1CCOC1 ZINC001427411729 1004370102 /nfs/dbraw/zinc/37/01/02/1004370102.db2.gz GWORYXFVQHQYRF-AXFHLTTASA-N 0 2 309.370 0.824 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)C[C@@H]1CCOC1 ZINC001429298535 1004515963 /nfs/dbraw/zinc/51/59/63/1004515963.db2.gz ARSAIYOLFNYDJV-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)C[C@@H]1CCOC1 ZINC001429298535 1004515971 /nfs/dbraw/zinc/51/59/71/1004515971.db2.gz ARSAIYOLFNYDJV-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@]1(C)CCOC1)C(=O)C(F)C(F)(F)F ZINC001429441386 1004599367 /nfs/dbraw/zinc/59/93/67/1004599367.db2.gz BERBVXQFSOUBBJ-KWQFWETISA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@]1(C)CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001429441386 1004599369 /nfs/dbraw/zinc/59/93/69/1004599369.db2.gz BERBVXQFSOUBBJ-KWQFWETISA-N 0 2 314.279 0.888 20 0 DCADLN COCCC(=O)N(C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001429581350 1004681619 /nfs/dbraw/zinc/68/16/19/1004681619.db2.gz GPDLVRREBLIHNY-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N(C)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001429581350 1004681623 /nfs/dbraw/zinc/68/16/23/1004681623.db2.gz GPDLVRREBLIHNY-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cocn3)C[C@H]21)C(F)C(F)(F)F ZINC001408010598 1004983647 /nfs/dbraw/zinc/98/36/47/1004983647.db2.gz VKWGVRVQLLVJTC-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cocn3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001408010598 1004983648 /nfs/dbraw/zinc/98/36/48/1004983648.db2.gz VKWGVRVQLLVJTC-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN O=S(=O)(N[C@@H]1COCC[C@H]1O)c1onc(C2CC2)c1Cl ZINC001414325944 1005287539 /nfs/dbraw/zinc/28/75/39/1005287539.db2.gz MZCKNGPTCXSGHB-HTQZYQBOSA-N 0 2 322.770 0.634 20 0 DCADLN CCc1cc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001414556770 1005359179 /nfs/dbraw/zinc/35/91/79/1005359179.db2.gz MUVPJLOQGPBZOB-VIFPVBQESA-N 0 2 319.369 0.885 20 0 DCADLN COCc1nnc(CNC(=O)N2CCc3cc(O)ccc3C2)[nH]1 ZINC001414689804 1005384611 /nfs/dbraw/zinc/38/46/11/1005384611.db2.gz VMDBEUPZHGJLIM-UHFFFAOYSA-N 0 2 317.349 0.925 20 0 DCADLN Cc1nnc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001414747054 1005394908 /nfs/dbraw/zinc/39/49/08/1005394908.db2.gz YXSZSCIUOVTOCP-MRVPVSSYSA-N 0 2 306.330 0.026 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)no1 ZINC001415233247 1005486263 /nfs/dbraw/zinc/48/62/63/1005486263.db2.gz NYHLUIVPMMLYDT-QMMMGPOBSA-N 0 2 305.338 0.492 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1n[nH]cc1F ZINC001415514112 1005551215 /nfs/dbraw/zinc/55/12/15/1005551215.db2.gz KEWPIXSAJWWPGQ-SSDOTTSWSA-N 0 2 314.214 0.637 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1n[nH]cc1F ZINC001415514112 1005551218 /nfs/dbraw/zinc/55/12/18/1005551218.db2.gz KEWPIXSAJWWPGQ-SSDOTTSWSA-N 0 2 314.214 0.637 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](C[C@@H]3CCO[C@@H](C)C3)CC2)c1[O-] ZINC001415826196 1005618623 /nfs/dbraw/zinc/61/86/23/1005618623.db2.gz QGVFQPJOTSOANJ-WCQYABFASA-N 0 2 322.409 0.997 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416025282 1005651605 /nfs/dbraw/zinc/65/16/05/1005651605.db2.gz AZQAIMWKVQTZQC-SFYZADRCSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001416025282 1005651607 /nfs/dbraw/zinc/65/16/07/1005651607.db2.gz AZQAIMWKVQTZQC-SFYZADRCSA-N 0 2 300.252 0.231 20 0 DCADLN Cc1cc(CNC(=O)c2cc(S(N)(=O)=O)ccc2O)n[nH]1 ZINC001417643582 1005858589 /nfs/dbraw/zinc/85/85/89/1005858589.db2.gz ZSIFVFYDICOCKO-UHFFFAOYSA-N 0 2 310.335 0.001 20 0 DCADLN O=C(c1csc(CO)n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001417727042 1005877201 /nfs/dbraw/zinc/87/72/01/1005877201.db2.gz IFAUBSMVRLAFGM-SSDOTTSWSA-N 0 2 309.351 0.479 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1CCO)N[C@H]1CCn2cc[nH+]c2C1 ZINC001434796206 1005981700 /nfs/dbraw/zinc/98/17/00/1005981700.db2.gz KHVVYJZTDJCSOW-KBPBESRZSA-N 0 2 321.425 0.344 20 0 DCADLN CCC(CC)C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451352345 1006113950 /nfs/dbraw/zinc/11/39/50/1006113950.db2.gz SFZAIVSMMRGPLJ-DTWKUNHWSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001451352345 1006113952 /nfs/dbraw/zinc/11/39/52/1006113952.db2.gz SFZAIVSMMRGPLJ-DTWKUNHWSA-N 0 2 316.295 0.916 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1c[nH]nc1C1CC1 ZINC001439385276 1006793067 /nfs/dbraw/zinc/79/30/67/1006793067.db2.gz JEIJAZWYILDDSP-QMMMGPOBSA-N 0 2 319.369 0.361 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1c[nH]nc1C1CC1 ZINC001439385276 1006793080 /nfs/dbraw/zinc/79/30/80/1006793080.db2.gz JEIJAZWYILDDSP-QMMMGPOBSA-N 0 2 319.369 0.361 20 0 DCADLN Cc1nc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001439401475 1006818820 /nfs/dbraw/zinc/81/88/20/1006818820.db2.gz DGFBVOLWPASJEV-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001439401475 1006818829 /nfs/dbraw/zinc/81/88/29/1006818829.db2.gz DGFBVOLWPASJEV-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN COc1cc(C[NH+](C)C[C@H](C)NC(=O)C[N@H+](C)C(C)C)on1 ZINC001439404595 1006821428 /nfs/dbraw/zinc/82/14/28/1006821428.db2.gz HQAYIXWCLGSAQA-LBPRGKRZSA-N 0 2 312.414 0.960 20 0 DCADLN CC[C@H](O)[C@@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001452603260 1006935359 /nfs/dbraw/zinc/93/53/59/1006935359.db2.gz QRMUZAKKRSFVQI-RYUDHWBXSA-N 0 2 319.365 0.223 20 0 DCADLN C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001452698228 1006995985 /nfs/dbraw/zinc/99/59/85/1006995985.db2.gz PORGBSBNXDPLTB-NJUXHZRNSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001452698228 1006995994 /nfs/dbraw/zinc/99/59/94/1006995994.db2.gz PORGBSBNXDPLTB-NJUXHZRNSA-N 0 2 323.250 0.282 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437481865 1007025692 /nfs/dbraw/zinc/02/56/92/1007025692.db2.gz XZFRXGZBXZEJQZ-QMMMGPOBSA-N 0 2 305.329 0.740 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437481865 1007025704 /nfs/dbraw/zinc/02/57/04/1007025704.db2.gz XZFRXGZBXZEJQZ-QMMMGPOBSA-N 0 2 305.329 0.740 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001452828231 1007096699 /nfs/dbraw/zinc/09/66/99/1007096699.db2.gz OSLLCADGIBOUJL-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001452828231 1007096714 /nfs/dbraw/zinc/09/67/14/1007096714.db2.gz OSLLCADGIBOUJL-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN Cc1nsc(C)c1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437564151 1007131001 /nfs/dbraw/zinc/13/10/01/1007131001.db2.gz MCSUGBLQZIDNEA-UHFFFAOYSA-N 0 2 310.383 0.445 20 0 DCADLN Cc1nsc(C)c1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437564151 1007131007 /nfs/dbraw/zinc/13/10/07/1007131007.db2.gz MCSUGBLQZIDNEA-UHFFFAOYSA-N 0 2 310.383 0.445 20 0 DCADLN CC[N@H+](CCNC(=O)c1sccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001437594012 1007159890 /nfs/dbraw/zinc/15/98/90/1007159890.db2.gz TUTKAFQVTHZDOS-UHFFFAOYSA-N 0 2 313.358 0.963 20 0 DCADLN CC[N@@H+](CCNC(=O)c1sccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001437594012 1007159898 /nfs/dbraw/zinc/15/98/98/1007159898.db2.gz TUTKAFQVTHZDOS-UHFFFAOYSA-N 0 2 313.358 0.963 20 0 DCADLN O=C(CC[C@H]1CCCO1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437649155 1007208621 /nfs/dbraw/zinc/20/86/21/1007208621.db2.gz BYZBISAPUSJGKM-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(CC[C@H]1CCCO1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437649155 1007208633 /nfs/dbraw/zinc/20/86/33/1007208633.db2.gz BYZBISAPUSJGKM-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1ccc(CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001438113411 1007644759 /nfs/dbraw/zinc/64/47/59/1007644759.db2.gz KKQBLLNVIXXNPD-UHFFFAOYSA-N 0 2 305.338 0.202 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cc[nH]n1)[NH2+]Cc1nnc(C2CC2)[nH]1 ZINC001441490744 1008374699 /nfs/dbraw/zinc/37/46/99/1008374699.db2.gz KNNZWYNBSSJITD-VIFPVBQESA-N 0 2 303.370 0.656 20 0 DCADLN Cc1nc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC001454026953 1008863375 /nfs/dbraw/zinc/86/33/75/1008863375.db2.gz IUTMPCCYBAYIEO-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc(C(=O)N2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)c[nH]1 ZINC001454026953 1008863391 /nfs/dbraw/zinc/86/33/91/1008863391.db2.gz IUTMPCCYBAYIEO-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN C[C@@H]1CC(=O)NN1C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001433596218 1008978425 /nfs/dbraw/zinc/97/84/25/1008978425.db2.gz FXGNSOSRTLSVDM-MRVPVSSYSA-N 0 2 304.281 0.983 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001454311183 1009060964 /nfs/dbraw/zinc/06/09/64/1009060964.db2.gz ISWARHNNIOIZRR-FXQIFTODSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001454311183 1009060978 /nfs/dbraw/zinc/06/09/78/1009060978.db2.gz ISWARHNNIOIZRR-FXQIFTODSA-N 0 2 308.235 0.687 20 0 DCADLN CC(C)(C)[C@H](Cn1cc[nH+]c1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001442213621 1009103791 /nfs/dbraw/zinc/10/37/91/1009103791.db2.gz MZCGWOOLBMUIKU-QMMMGPOBSA-N 0 2 306.326 0.324 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)C[N@H+]1CC[C@H](C)C1 ZINC001454697869 1009271345 /nfs/dbraw/zinc/27/13/45/1009271345.db2.gz MGCQDYNPNSOAOP-NWDGAFQWSA-N 0 2 321.425 0.223 20 0 DCADLN Cc1oc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1CO ZINC001433941262 1009375566 /nfs/dbraw/zinc/37/55/66/1009375566.db2.gz CRGAGQOSGNPRKI-VIFPVBQESA-N 0 2 306.322 0.924 20 0 DCADLN CCc1[nH]ccc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422156329 1009574814 /nfs/dbraw/zinc/57/48/14/1009574814.db2.gz RICJNLXEFOSPIN-UHFFFAOYSA-N 0 2 306.370 0.605 20 0 DCADLN CCc1[nH]ccc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422156329 1009574821 /nfs/dbraw/zinc/57/48/21/1009574821.db2.gz RICJNLXEFOSPIN-UHFFFAOYSA-N 0 2 306.370 0.605 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cncnc1 ZINC001455279856 1009629627 /nfs/dbraw/zinc/62/96/27/1009629627.db2.gz GFSUVVGYJUYMIH-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cncnc1 ZINC001455279856 1009629642 /nfs/dbraw/zinc/62/96/42/1009629642.db2.gz GFSUVVGYJUYMIH-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN COC[C@H](OC)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455283460 1009633210 /nfs/dbraw/zinc/63/32/10/1009633210.db2.gz VNVCHCTWCXCDBK-JGVFFNPUSA-N 0 2 318.267 0.123 20 0 DCADLN COC[C@H](OC)C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001455283460 1009633219 /nfs/dbraw/zinc/63/32/19/1009633219.db2.gz VNVCHCTWCXCDBK-JGVFFNPUSA-N 0 2 318.267 0.123 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1c[nH]nc1[C@H]1CCCO1 ZINC001434231020 1009681345 /nfs/dbraw/zinc/68/13/45/1009681345.db2.gz IABBNABBZHQCBU-MRVPVSSYSA-N 0 2 305.294 0.392 20 0 DCADLN CCOC(=O)c1cc2n(n1)C[C@H](C)N(Cc1n[nH]c(=O)[nH]1)C2 ZINC001422273286 1009748319 /nfs/dbraw/zinc/74/83/19/1009748319.db2.gz AHGPNBSGDWVCQW-QMMMGPOBSA-N 0 2 306.326 0.288 20 0 DCADLN COC(C)(C)[C@@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434376479 1009859508 /nfs/dbraw/zinc/85/95/08/1009859508.db2.gz XFVBKDMRQGYRHA-SECBINFHSA-N 0 2 320.349 0.810 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)c1ccns1 ZINC001455636172 1009876014 /nfs/dbraw/zinc/87/60/14/1009876014.db2.gz KJRLNANSWPXAFT-UHFFFAOYSA-N 0 2 321.406 0.996 20 0 DCADLN CC(C)C[C@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001434386725 1009880896 /nfs/dbraw/zinc/88/08/96/1009880896.db2.gz ZUTXQIFLRIYYLK-VIFPVBQESA-N 0 2 302.400 0.648 20 0 DCADLN O=C1NCC[C@@H]1NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001434591753 1010124538 /nfs/dbraw/zinc/12/45/38/1010124538.db2.gz UEMACCRQGWUQAO-LURJTMIESA-N 0 2 305.743 0.372 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccsc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422489521 1010170133 /nfs/dbraw/zinc/17/01/33/1010170133.db2.gz YGPNVRCMEUEINO-VIFPVBQESA-N 0 2 309.395 0.751 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccsc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422489521 1010170140 /nfs/dbraw/zinc/17/01/40/1010170140.db2.gz YGPNVRCMEUEINO-VIFPVBQESA-N 0 2 309.395 0.751 20 0 DCADLN CC(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)=C1CCCC1 ZINC001456311315 1010187899 /nfs/dbraw/zinc/18/78/99/1010187899.db2.gz PMIQAIQWFKSVTH-UHFFFAOYSA-N 0 2 312.395 0.856 20 0 DCADLN CCO[C@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001422523144 1010233420 /nfs/dbraw/zinc/23/34/20/1010233420.db2.gz SIYIJYKZZFJIBS-JQWIXIFHSA-N 0 2 313.402 0.508 20 0 DCADLN CCO[C@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001422523144 1010233429 /nfs/dbraw/zinc/23/34/29/1010233429.db2.gz SIYIJYKZZFJIBS-JQWIXIFHSA-N 0 2 313.402 0.508 20 0 DCADLN CC(C)SCC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422779063 1010599027 /nfs/dbraw/zinc/59/90/27/1010599027.db2.gz JWDGPWOAHHAVQF-SECBINFHSA-N 0 2 301.416 0.588 20 0 DCADLN CC(C)SCC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422779063 1010599036 /nfs/dbraw/zinc/59/90/36/1010599036.db2.gz JWDGPWOAHHAVQF-SECBINFHSA-N 0 2 301.416 0.588 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC1(O)CCC1 ZINC001422787346 1010608031 /nfs/dbraw/zinc/60/80/31/1010608031.db2.gz SXXQFQFCVTWJRM-SNVBAGLBSA-N 0 2 323.397 0.142 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC1(O)CCC1 ZINC001422787346 1010608037 /nfs/dbraw/zinc/60/80/37/1010608037.db2.gz SXXQFQFCVTWJRM-SNVBAGLBSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@H]1[C@H](NC(=O)CC2(O)CCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423377924 1011110411 /nfs/dbraw/zinc/11/04/11/1011110411.db2.gz XNSIERFYUIPCHE-GHMZBOCLSA-N 0 2 323.397 0.285 20 0 DCADLN C[C@@H]1[C@H](NC(=O)CC2(O)CCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423377924 1011110415 /nfs/dbraw/zinc/11/04/15/1011110415.db2.gz XNSIERFYUIPCHE-GHMZBOCLSA-N 0 2 323.397 0.285 20 0 DCADLN Cc1nc[nH]c1C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001423580327 1011307701 /nfs/dbraw/zinc/30/77/01/1011307701.db2.gz URJUXXNCCXXXMQ-UHFFFAOYSA-N 0 2 321.385 0.524 20 0 DCADLN Cc1nc[nH]c1C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001423580327 1011307708 /nfs/dbraw/zinc/30/77/08/1011307708.db2.gz URJUXXNCCXXXMQ-UHFFFAOYSA-N 0 2 321.385 0.524 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(Cl)[nH]1 ZINC001445039005 1011344716 /nfs/dbraw/zinc/34/47/16/1011344716.db2.gz SFNJZWSPBTZPLM-UHFFFAOYSA-N 0 2 312.761 0.696 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(Cl)[nH]1 ZINC001445039005 1011344723 /nfs/dbraw/zinc/34/47/23/1011344723.db2.gz SFNJZWSPBTZPLM-UHFFFAOYSA-N 0 2 312.761 0.696 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cnon1 ZINC001533536027 1011436571 /nfs/dbraw/zinc/43/65/71/1011436571.db2.gz YISCAKCYVXSSSN-QOHHWTFISA-N 0 2 310.207 0.372 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1cnon1 ZINC001533536027 1011436590 /nfs/dbraw/zinc/43/65/90/1011436590.db2.gz YISCAKCYVXSSSN-QOHHWTFISA-N 0 2 310.207 0.372 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001534851671 1011700363 /nfs/dbraw/zinc/70/03/63/1011700363.db2.gz ZURUECZQINNQPM-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001534851671 1011700373 /nfs/dbraw/zinc/70/03/73/1011700373.db2.gz ZURUECZQINNQPM-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001534851673 1011701057 /nfs/dbraw/zinc/70/10/57/1011701057.db2.gz ZURUECZQINNQPM-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001534851673 1011701067 /nfs/dbraw/zinc/70/10/67/1011701067.db2.gz ZURUECZQINNQPM-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN C[C@H]1CCn2cc(C(=O)NCCCc3n[nH]c(=O)[nH]3)nc2C1 ZINC001457670684 1011798294 /nfs/dbraw/zinc/79/82/94/1011798294.db2.gz LKWFYKWANMUJPS-VIFPVBQESA-N 0 2 304.354 0.652 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001432044338 1011905403 /nfs/dbraw/zinc/90/54/03/1011905403.db2.gz WHGGNFIFIXNEKB-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001432044338 1011905419 /nfs/dbraw/zinc/90/54/19/1011905419.db2.gz WHGGNFIFIXNEKB-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN C[C@@H](C[NH2+]CC(=O)N1CCCC1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001445556582 1011922594 /nfs/dbraw/zinc/92/25/94/1011922594.db2.gz MKGPKBOWLBMGDT-LBPRGKRZSA-N 0 2 307.398 0.059 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ncccn1 ZINC001424185589 1012141549 /nfs/dbraw/zinc/14/15/49/1012141549.db2.gz VZEPNJDBLIJVLR-JGVFFNPUSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ncccn1 ZINC001424185589 1012141556 /nfs/dbraw/zinc/14/15/56/1012141556.db2.gz VZEPNJDBLIJVLR-JGVFFNPUSA-N 0 2 322.262 0.954 20 0 DCADLN COCCC(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458631103 1012236000 /nfs/dbraw/zinc/23/60/00/1012236000.db2.gz VHSHKAFGFBCCJE-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001458631103 1012236017 /nfs/dbraw/zinc/23/60/17/1012236017.db2.gz VHSHKAFGFBCCJE-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(CCn1cnnn1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001556542237 1012212305 /nfs/dbraw/zinc/21/23/05/1012212305.db2.gz ZZGUEGWEHYTNSY-UHFFFAOYSA-N 0 2 300.282 0.193 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001432259813 1012217061 /nfs/dbraw/zinc/21/70/61/1012217061.db2.gz JORSOWUZINLLCC-MUWHJKNJSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001432259813 1012217070 /nfs/dbraw/zinc/21/70/70/1012217070.db2.gz JORSOWUZINLLCC-MUWHJKNJSA-N 0 2 300.252 0.639 20 0 DCADLN C[C@@H](CCCCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001556706964 1012220726 /nfs/dbraw/zinc/22/07/26/1012220726.db2.gz PCYOHPMZERBUNN-JTQLQIEISA-N 0 2 320.349 0.547 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@H]1CCC[NH+](Cc2ncnn2C)CC1 ZINC001424277944 1012292088 /nfs/dbraw/zinc/29/20/88/1012292088.db2.gz JTDHWIHFXSPGMS-AWEZNQCLSA-N 0 2 322.457 0.628 20 0 DCADLN CCCC(C)=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001558552291 1012366741 /nfs/dbraw/zinc/36/67/41/1012366741.db2.gz XBBNOVAFSJLTRF-RMKNXTFCSA-N 0 2 300.384 0.712 20 0 DCADLN C[C@H](NC(=O)c1cc(Cn2cccn2)on1)c1nn(C)cc1O ZINC001558683853 1012378133 /nfs/dbraw/zinc/37/81/33/1012378133.db2.gz OJKRTHUHVZXZHJ-VIFPVBQESA-N 0 2 316.321 0.850 20 0 DCADLN Cc1cc(C(F)F)n2ncc(C(=O)NN3CC(=O)NC3=O)c2n1 ZINC001558909708 1012394115 /nfs/dbraw/zinc/39/41/15/1012394115.db2.gz UOSNIRVSMWYEEJ-UHFFFAOYSA-N 0 2 324.247 0.172 20 0 DCADLN Cc1cc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)[nH]n1 ZINC001458879480 1012432586 /nfs/dbraw/zinc/43/25/86/1012432586.db2.gz MIPCXCYESCJJFU-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1cc(C(=O)NCC2(NC(=O)[C@H](F)C(F)(F)F)CC2)[nH]n1 ZINC001458879480 1012432595 /nfs/dbraw/zinc/43/25/95/1012432595.db2.gz MIPCXCYESCJJFU-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cn1ccc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001458944044 1012486250 /nfs/dbraw/zinc/48/62/50/1012486250.db2.gz LMWBRVGAVHTXHX-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1ccc(C(=O)NCC2(NC(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001458944044 1012486270 /nfs/dbraw/zinc/48/62/70/1012486270.db2.gz LMWBRVGAVHTXHX-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@H](C)c1nccc(N)n1 ZINC001424504052 1012586574 /nfs/dbraw/zinc/58/65/74/1012586574.db2.gz JNQCOKHMTLPEMZ-MRVPVSSYSA-N 0 2 321.428 0.462 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(N(C)C(=O)C(F)C(F)(F)F)C2)n1 ZINC001459225375 1012637695 /nfs/dbraw/zinc/63/76/95/1012637695.db2.gz PHWCRWMVTQHQFM-MRVPVSSYSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001459225375 1012637705 /nfs/dbraw/zinc/63/77/05/1012637705.db2.gz PHWCRWMVTQHQFM-MRVPVSSYSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1[nH]cnc1C(=O)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC001446196764 1012664000 /nfs/dbraw/zinc/66/40/00/1012664000.db2.gz CVUCDVQIFBJOAA-LLVKDONJSA-N 0 2 313.317 0.721 20 0 DCADLN Cc1nc[nH]c1C(=O)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC001446196764 1012664008 /nfs/dbraw/zinc/66/40/08/1012664008.db2.gz CVUCDVQIFBJOAA-LLVKDONJSA-N 0 2 313.317 0.721 20 0 DCADLN C[C@H](C(=O)N(C)C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001446362261 1012775080 /nfs/dbraw/zinc/77/50/80/1012775080.db2.gz NGFIEVYVDLMTNJ-APPZFPTMSA-N 0 2 313.295 0.506 20 0 DCADLN C[C@H](C(=O)N(C)C)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001446362261 1012775084 /nfs/dbraw/zinc/77/50/84/1012775084.db2.gz NGFIEVYVDLMTNJ-APPZFPTMSA-N 0 2 313.295 0.506 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC(=O)CC12CCC2 ZINC001461090120 1013007437 /nfs/dbraw/zinc/00/74/37/1013007437.db2.gz WJHAEOWKFDFUFY-UHFFFAOYSA-N 0 2 315.333 0.185 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)CNC(=O)C(F)C(F)(F)F ZINC001432934844 1013026298 /nfs/dbraw/zinc/02/62/98/1013026298.db2.gz PWFQCDRXFYRASC-VXNVDRBHSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001432934844 1013026316 /nfs/dbraw/zinc/02/63/16/1013026316.db2.gz PWFQCDRXFYRASC-VXNVDRBHSA-N 0 2 322.262 0.859 20 0 DCADLN Cc1cc(C[NH2+]CCC[C@H](C)NC(=O)[C@@H]2C[N@H+](C)CCO2)on1 ZINC001506916525 1017668428 /nfs/dbraw/zinc/66/84/28/1017668428.db2.gz HBUNSGLKJRQEDB-WFASDCNBSA-N 0 2 324.425 0.688 20 0 DCADLN C[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001494584843 1017814875 /nfs/dbraw/zinc/81/48/75/1017814875.db2.gz OIKMIDSWBCBSTR-LURJTMIESA-N 0 2 307.276 0.257 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]C2(CNC(=O)c3n[nH]cc3F)CC2)[nH]1 ZINC001499338350 1017865079 /nfs/dbraw/zinc/86/50/79/1017865079.db2.gz DISCSRZOUBDUJP-SSDOTTSWSA-N 0 2 307.333 0.589 20 0 DCADLN Cn1cncc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498224295 1018775876 /nfs/dbraw/zinc/77/58/76/1018775876.db2.gz VHDGQEFITMQQNQ-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cncc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001498224295 1018775887 /nfs/dbraw/zinc/77/58/87/1018775887.db2.gz VHDGQEFITMQQNQ-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN C[C@@H](C[N@H+](C)CCc1cnn(C)c1)NC(=O)c1[nH]ncc1F ZINC001498374688 1019020985 /nfs/dbraw/zinc/02/09/85/1019020985.db2.gz ASOXCHDXKLZXTI-JTQLQIEISA-N 0 2 308.361 0.575 20 0 DCADLN Cc1nocc1C[N@H+]1CCC[C@H]1CNC(=O)CCc1nc[nH]n1 ZINC001493095978 1019097502 /nfs/dbraw/zinc/09/75/02/1019097502.db2.gz YGDYPCRNIIINGX-ZDUSSCGKSA-N 0 2 318.381 0.815 20 0 DCADLN CCOCCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001498578976 1019243578 /nfs/dbraw/zinc/24/35/78/1019243578.db2.gz VGUIPFHSDABNLV-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN CCOCCC(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001498578976 1019243591 /nfs/dbraw/zinc/24/35/91/1019243591.db2.gz VGUIPFHSDABNLV-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)c1n[nH]cc1F)C(=O)C(F)C(F)(F)F ZINC001496524133 1019375181 /nfs/dbraw/zinc/37/51/81/1019375181.db2.gz JJLPVXNOUWSSST-SSDOTTSWSA-N 0 2 314.214 0.637 20 0 DCADLN CN(CCNC(=O)c1n[nH]cc1F)C(=O)[C@@H](F)C(F)(F)F ZINC001496524133 1019375197 /nfs/dbraw/zinc/37/51/97/1019375197.db2.gz JJLPVXNOUWSSST-SSDOTTSWSA-N 0 2 314.214 0.637 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cocn1 ZINC001501738563 1019429237 /nfs/dbraw/zinc/42/92/37/1019429237.db2.gz WCWVPGJFLHECHP-FHKCNRDCSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1cocn1 ZINC001501738563 1019429248 /nfs/dbraw/zinc/42/92/48/1019429248.db2.gz WCWVPGJFLHECHP-FHKCNRDCSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(CN1CC(NC(=O)C(F)C(F)(F)F)C1)Nc1ccon1 ZINC001494388366 1020167172 /nfs/dbraw/zinc/16/71/72/1020167172.db2.gz CEFGHYQSBNTLNN-SECBINFHSA-N 0 2 324.234 0.314 20 0 DCADLN O=C(CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)Nc1ccon1 ZINC001494388366 1020167181 /nfs/dbraw/zinc/16/71/81/1020167181.db2.gz CEFGHYQSBNTLNN-SECBINFHSA-N 0 2 324.234 0.314 20 0 DCADLN CC(C)[C@@H](NC(=O)C1=NN(c2ccc(F)cc2)CC1=O)C(N)=O ZINC000081959027 192346131 /nfs/dbraw/zinc/34/61/31/192346131.db2.gz NAIBDSSDZPVRKY-GFCCVEGCSA-N 0 2 320.324 0.957 20 0 DCADLN O=C(CNS(=O)(=O)CC(F)(F)F)NC1CCCCC1 ZINC000330743713 232037434 /nfs/dbraw/zinc/03/74/34/232037434.db2.gz QSOADHRFLWEMTO-UHFFFAOYSA-N 0 2 302.318 0.917 20 0 DCADLN Cc1cc(C(=O)NCCCCCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000279973585 215281810 /nfs/dbraw/zinc/28/18/10/215281810.db2.gz YWGNPHWRGYOZMK-UHFFFAOYSA-N 0 2 306.322 0.637 20 0 DCADLN C[C@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@H](C(N)=O)C1 ZINC000186975416 237334365 /nfs/dbraw/zinc/33/43/65/237334365.db2.gz DNQJRHHUGOGJPT-KWQFWETISA-N 0 2 317.349 0.400 20 0 DCADLN C[C@@]1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCCS1 ZINC000154477959 291214212 /nfs/dbraw/zinc/21/42/12/291214212.db2.gz LPUJXDZQRYFXCW-AWEZNQCLSA-N 0 2 320.374 0.627 20 0 DCADLN C[C@@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-])C(=O)N1CCCCC1 ZINC000262182738 296048233 /nfs/dbraw/zinc/04/82/33/296048233.db2.gz WXVSHUSVMHRUSX-NEPJUHHUSA-N 0 2 311.382 0.053 20 0 DCADLN C[C@@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-])C(=O)N1CCCCC1 ZINC000262182738 296048234 /nfs/dbraw/zinc/04/82/34/296048234.db2.gz WXVSHUSVMHRUSX-NEPJUHHUSA-N 0 2 311.382 0.053 20 0 DCADLN CC(=O)N[C@@H](CC(C)C)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000566283940 291290910 /nfs/dbraw/zinc/29/09/10/291290910.db2.gz QLMXUSZGDFZITK-NEPJUHHUSA-N 0 2 323.397 0.767 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000566416014 291298934 /nfs/dbraw/zinc/29/89/34/291298934.db2.gz CYQAPFLTBDXDNB-ZBFHGGJFSA-N 0 2 316.442 0.195 20 0 DCADLN C[C@@H](O[C@@H](C)C(=O)[O-])C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000421809853 240106910 /nfs/dbraw/zinc/10/69/10/240106910.db2.gz WAZIRBDKSQCQQK-WOPDTQHZSA-N 0 2 307.350 0.655 20 0 DCADLN COc1ccc(Cl)cc1CC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000567829856 291381919 /nfs/dbraw/zinc/38/19/19/291381919.db2.gz WZDCIIKFOQBIEN-CYBMUJFWSA-N 0 2 311.813 0.992 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCO[C@@H](c2ccccc2)C1 ZINC000275006020 212069920 /nfs/dbraw/zinc/06/99/20/212069920.db2.gz VTXGLLQSKBHFFP-LLVKDONJSA-N 0 2 320.374 0.790 20 0 DCADLN CCc1ccc([C@@H](O)CNC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000288311019 220077898 /nfs/dbraw/zinc/07/78/98/220077898.db2.gz MACLQJABWSFMRF-NSHDSACASA-N 0 2 322.390 0.602 20 0 DCADLN CC(C)c1cc(C(=O)N2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)n[nH]1 ZINC000282977114 217363689 /nfs/dbraw/zinc/36/36/89/217363689.db2.gz STWGVIFQFWFKMI-SECBINFHSA-N 0 2 304.354 0.964 20 0 DCADLN COC[C@@H](C)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000338992399 253019283 /nfs/dbraw/zinc/01/92/83/253019283.db2.gz MFIYDYFDEVMLRS-BDAKNGLRSA-N 0 2 304.372 0.054 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1cc(C(=O)NC)n(C)c1 ZINC000340033657 253188078 /nfs/dbraw/zinc/18/80/78/253188078.db2.gz MBNSRFYZUWUVLM-UHFFFAOYSA-N 0 2 311.367 0.402 20 0 DCADLN COC(=O)c1sc(NS(=O)(=O)c2ccn(C)n2)nc1C ZINC000340037827 253189568 /nfs/dbraw/zinc/18/95/68/253189568.db2.gz PMLFUROORSOPLM-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN Cc1ccc(C)c(S(=O)(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC000342785599 253540425 /nfs/dbraw/zinc/54/04/25/253540425.db2.gz JEAKWZSDUGKHMI-UHFFFAOYSA-N 0 2 308.363 0.786 20 0 DCADLN CN=c1[nH]c(CNC(=O)N=c2ncn(C(C)(C)C)[nH]2)cs1 ZINC000345600000 253947750 /nfs/dbraw/zinc/94/77/50/253947750.db2.gz FHZWQPKQSKGTRN-UHFFFAOYSA-N 0 2 309.399 0.697 20 0 DCADLN Cc1nc(C)n(C2CN(S(=O)(=O)NCC(F)(F)F)C2)n1 ZINC000349654480 254291098 /nfs/dbraw/zinc/29/10/98/254291098.db2.gz JNCOXOAZUZFDPB-UHFFFAOYSA-N 0 2 313.305 0.148 20 0 DCADLN COC[C@H](NS(=O)(=O)Cc1c(F)cccc1F)C(=O)OC ZINC000350619345 254319480 /nfs/dbraw/zinc/31/94/80/254319480.db2.gz QAXVZLNCUOTFIK-NSHDSACASA-N 0 2 323.317 0.572 20 0 DCADLN CCc1nc(NS(=O)(=O)c2ccc(C)c(C(N)=O)c2)no1 ZINC000350662170 254323172 /nfs/dbraw/zinc/32/31/72/254323172.db2.gz JDVQWHLTVUYIIP-UHFFFAOYSA-N 0 2 310.335 0.840 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1ncccn1 ZINC000351668039 254358077 /nfs/dbraw/zinc/35/80/77/254358077.db2.gz QCLKNQMHCZFNEE-UHFFFAOYSA-N 0 2 300.321 0.521 20 0 DCADLN Cc1cc(C(=O)N2CC(C(C)(C)O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000275620528 130349868 /nfs/dbraw/zinc/34/98/68/130349868.db2.gz NSTTWZKYPVADET-UHFFFAOYSA-N 0 2 318.333 0.587 20 0 DCADLN CO[C@@H]1C[C@@H](c2n[nH]c(C)n2)N(C(=O)c2cncc(O)c2)C1 ZINC000284657437 131101475 /nfs/dbraw/zinc/10/14/75/131101475.db2.gz TYPLFODWZZTEES-NEPJUHHUSA-N 0 2 303.322 0.816 20 0 DCADLN O=c1[nH]nc(CN2CCOC[C@@H]2C[C@@H](O)c2cccs2)[nH]1 ZINC000091590828 395726442 /nfs/dbraw/zinc/72/64/42/395726442.db2.gz DNQULCXSWFTYDD-VHSXEESVSA-N 0 2 310.379 0.484 20 0 DCADLN CNC(=O)Cc1ccc(NS(=O)(=O)c2c[nH]nc2C)cc1 ZINC000040810059 395734850 /nfs/dbraw/zinc/73/48/50/395734850.db2.gz RUTBIUYLXNGCPI-UHFFFAOYSA-N 0 2 308.363 0.807 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)cn1 ZINC000042008473 395741424 /nfs/dbraw/zinc/74/14/24/395741424.db2.gz NCMXWHJMCCQBCP-UHFFFAOYSA-N 0 2 320.330 0.447 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCN1CCc2ccccc21 ZINC000194637619 395803486 /nfs/dbraw/zinc/80/34/86/395803486.db2.gz RLOIQNJPCXSMEJ-UHFFFAOYSA-N 0 2 319.390 0.781 20 0 DCADLN O=S(=O)(CCO)c1n[nH]c(COc2ccc(Cl)cc2)n1 ZINC000195117235 395816838 /nfs/dbraw/zinc/81/68/38/395816838.db2.gz LZFCREQDQJJEDS-UHFFFAOYSA-N 0 2 317.754 0.803 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)cc1F ZINC000055597284 395920173 /nfs/dbraw/zinc/92/01/73/395920173.db2.gz AOOYNMRHQWTTDN-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H]1CCCO1 ZINC000052431344 395863295 /nfs/dbraw/zinc/86/32/95/395863295.db2.gz PRNKXXDXXQFRMO-GMSGAONNSA-N 0 2 304.306 0.321 20 0 DCADLN Cc1cc(C(=O)N[C@H](CO)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000272252074 395879888 /nfs/dbraw/zinc/87/98/88/395879888.db2.gz ZKJGQPOSZALSKK-SECBINFHSA-N 0 2 306.322 0.491 20 0 DCADLN COCCn1ccc(NS(=O)(=O)C[C@@H]2CCCCO2)n1 ZINC000150853452 395973998 /nfs/dbraw/zinc/97/39/98/395973998.db2.gz QKFXUIPIVNHYKJ-NSHDSACASA-N 0 2 303.384 0.840 20 0 DCADLN COc1ccccc1CN(C)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274864496 395982654 /nfs/dbraw/zinc/98/26/54/395982654.db2.gz BPGZVDKPNLCRJW-UHFFFAOYSA-N 0 2 308.363 0.857 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000262345753 396127557 /nfs/dbraw/zinc/12/75/57/396127557.db2.gz DMVYJWQYFVRRQM-GFCCVEGCSA-N 0 2 313.398 0.300 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000262345753 396127559 /nfs/dbraw/zinc/12/75/59/396127559.db2.gz DMVYJWQYFVRRQM-GFCCVEGCSA-N 0 2 313.398 0.300 20 0 DCADLN CC1(C)[C@@H]2CN(C(=O)c3c[nH]c4nc(=O)[nH]c(=O)c-4c3)C[C@@H]21 ZINC000174254556 396137819 /nfs/dbraw/zinc/13/78/19/396137819.db2.gz WCHIFCKNASKJIG-AOOOYVTPSA-N 0 2 300.318 0.339 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](CC(=O)NC(=O)NCc1ccccc1)C1CC1 ZINC000263132717 396202808 /nfs/dbraw/zinc/20/28/08/396202808.db2.gz NGLBXFTXZLTLLR-NSHDSACASA-N 0 2 319.361 0.950 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](CC(=O)NC(=O)NCc1ccccc1)C1CC1 ZINC000263132717 396202810 /nfs/dbraw/zinc/20/28/10/396202810.db2.gz NGLBXFTXZLTLLR-NSHDSACASA-N 0 2 319.361 0.950 20 0 DCADLN O=C(NCCNC(=O)c1cccc2[nH]nnc21)c1cccnc1 ZINC000183407167 396269199 /nfs/dbraw/zinc/26/91/99/396269199.db2.gz JFJDTLSDKKBNSL-UHFFFAOYSA-N 0 2 310.317 0.513 20 0 DCADLN O=C(NCCc1[nH+]ccn1Cc1ccccc1)c1n[nH]c(=O)[n-]1 ZINC000080328692 396278312 /nfs/dbraw/zinc/27/83/12/396278312.db2.gz KZTOKEGPSMEWQC-UHFFFAOYSA-N 0 2 312.333 0.315 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[C@H]1CNc1cccc(C(=O)[O-])[nH+]1 ZINC000263415452 396216755 /nfs/dbraw/zinc/21/67/55/396216755.db2.gz NRWCBYHSIHTVDD-UWVGGRQHSA-N 0 2 313.379 0.910 20 0 DCADLN C[C@]1(CNC(=O)C(=O)NCCCn2cc[nH+]c2)CCCS1 ZINC000283462839 396338864 /nfs/dbraw/zinc/33/88/64/396338864.db2.gz DUNJYBYMWCAZDR-CQSZACIVSA-N 0 2 310.423 0.791 20 0 DCADLN CCOC(=O)c1cc(-n2c(O)c(C(=O)OCC)[nH]c2=O)cn1C ZINC000285899127 396361292 /nfs/dbraw/zinc/36/12/92/396361292.db2.gz FIWPUPJAHKMPBX-SNVBAGLBSA-N 0 2 323.305 0.190 20 0 DCADLN C[C@@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@H](C(N)=O)C1 ZINC000186975395 396361344 /nfs/dbraw/zinc/36/13/44/396361344.db2.gz DNQJRHHUGOGJPT-KCJUWKMLSA-N 0 2 317.349 0.400 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1ccc(C(N)=O)nc1 ZINC000187040294 396362578 /nfs/dbraw/zinc/36/25/78/396362578.db2.gz BQVXRYPUESVFLS-UHFFFAOYSA-N 0 2 309.351 0.332 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2n[nH]c3ccccc32)C1=O ZINC000192846067 396439067 /nfs/dbraw/zinc/43/90/67/396439067.db2.gz RVNMKVUGTWTVKI-CQSZACIVSA-N 0 2 301.306 0.928 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H]2CCC[C@H]2O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000286161291 396377959 /nfs/dbraw/zinc/37/79/59/396377959.db2.gz CBBYUPHVZKINJC-NXEZZACHSA-N 0 2 318.333 0.730 20 0 DCADLN CCn1c(=O)[nH]nc1S(=O)(=O)Cc1n[nH]c(C(C)C)n1 ZINC000291849482 396516115 /nfs/dbraw/zinc/51/61/15/396516115.db2.gz FQZHOSNDOFULDV-UHFFFAOYSA-N 0 2 300.344 0.219 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@H]1CCCCC(=O)N1 ZINC000289116919 396455103 /nfs/dbraw/zinc/45/51/03/396455103.db2.gz TVOQXLFKTTXQNQ-LLVKDONJSA-N 0 2 315.333 0.762 20 0 DCADLN COC(=O)c1ccoc1CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000289439103 396460064 /nfs/dbraw/zinc/46/00/64/396460064.db2.gz OGROFENAVCFNSI-VIFPVBQESA-N 0 2 306.322 0.857 20 0 DCADLN CC(C)(C)OC(=O)N1CC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC000496370904 396482675 /nfs/dbraw/zinc/48/26/75/396482675.db2.gz VJLHXNWJEKOOIF-NXEZZACHSA-N 0 2 309.370 0.952 20 0 DCADLN C[C@H]1OCC[C@]1(O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000581528731 396562769 /nfs/dbraw/zinc/56/27/69/396562769.db2.gz RURUFTMCQVCGPN-PSLIRLAXSA-N 0 2 319.317 0.300 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2cccc3c2CC[NH2+]C3)CC1 ZINC000562746235 396678142 /nfs/dbraw/zinc/67/81/42/396678142.db2.gz GWXYJYWWVMJVOZ-UHFFFAOYSA-N 0 2 316.405 0.435 20 0 DCADLN C[N@@H+]1CCO[C@@H]([C@H](NC(=O)C(=O)[O-])c2ccc(Cl)cc2)C1 ZINC000375155138 396713633 /nfs/dbraw/zinc/71/36/33/396713633.db2.gz WXRUVAHUPMDZCR-VXGBXAGGSA-N 0 2 312.753 0.913 20 0 DCADLN C[N@H+]1CCO[C@@H]([C@H](NC(=O)C(=O)[O-])c2ccc(Cl)cc2)C1 ZINC000375155138 396713634 /nfs/dbraw/zinc/71/36/34/396713634.db2.gz WXRUVAHUPMDZCR-VXGBXAGGSA-N 0 2 312.753 0.913 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CCCS(C)(=O)=O)s[nH]1 ZINC000634153115 396797172 /nfs/dbraw/zinc/79/71/72/396797172.db2.gz GXJIHSSCLDMMON-UHFFFAOYSA-N 0 2 321.424 0.605 20 0 DCADLN CNC(=O)C1(C(=O)N=c2nc(C(C)(C)OC)[nH]s2)CCC1 ZINC000634154550 396797296 /nfs/dbraw/zinc/79/72/96/396797296.db2.gz XKNGEZKYYWDHMP-UHFFFAOYSA-N 0 2 312.395 0.696 20 0 DCADLN CC1(NC(=O)CNS(=O)(=O)c2ccccc2F)COC1 ZINC000634196589 396811351 /nfs/dbraw/zinc/81/13/51/396811351.db2.gz CZAYNYFZHXHNOX-UHFFFAOYSA-N 0 2 302.327 0.009 20 0 DCADLN CCc1cnc(NS(=O)(=O)c2cnn(CC(=O)OC)c2)o1 ZINC000611498188 396874665 /nfs/dbraw/zinc/87/46/65/396874665.db2.gz ISWQBYNWGXKXJZ-UHFFFAOYSA-N 0 2 314.323 0.407 20 0 DCADLN Cn1nnnc1-c1ccccc1NS(=O)(=O)C[C@@H]1CCCO1 ZINC000634797436 396918596 /nfs/dbraw/zinc/91/85/96/396918596.db2.gz GJKKKZFXPGHQIU-JTQLQIEISA-N 0 2 323.378 0.798 20 0 DCADLN [NH3+]Cc1cn([C@H]2CCCN(c3cc(NC4CC4)[nH+]cn3)C2)nn1 ZINC000634811068 396921647 /nfs/dbraw/zinc/92/16/47/396921647.db2.gz RSJALCCDKSZDJF-ZDUSSCGKSA-N 0 2 314.397 0.943 20 0 DCADLN O=C(NC1CCC(O)CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000338116200 396948382 /nfs/dbraw/zinc/94/83/82/396948382.db2.gz XPZHFHZACYRNDL-UHFFFAOYSA-N 0 2 304.306 0.469 20 0 DCADLN COC(=O)CN(C(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C1CC1 ZINC000597360060 396951359 /nfs/dbraw/zinc/95/13/59/396951359.db2.gz GAXLGDSIJRKDFB-UHFFFAOYSA-N 0 2 317.301 0.808 20 0 DCADLN CC(C)(C)n1nnc(CC(=O)Nc2ccc3nn[nH]c3c2)n1 ZINC000612016478 396980121 /nfs/dbraw/zinc/98/01/21/396980121.db2.gz PVFOMQUCDZWSGY-UHFFFAOYSA-N 0 2 300.326 0.881 20 0 DCADLN C[C@@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(=O)N(C)C ZINC000612036212 396986760 /nfs/dbraw/zinc/98/67/60/396986760.db2.gz VWLIZEQIPCTFEM-MRVPVSSYSA-N 0 2 304.306 0.236 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000625957446 397041431 /nfs/dbraw/zinc/04/14/31/397041431.db2.gz JNCDVCVEDJGUID-ZJUUUORDSA-N 0 2 321.333 0.598 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@H](n2cncn2)C1 ZINC000365321560 397266532 /nfs/dbraw/zinc/26/65/32/397266532.db2.gz HOPQZABZYRNYBO-QMMMGPOBSA-N 0 2 319.287 0.509 20 0 DCADLN C[C@@H](NC(=O)NCC1([NH+]2CCOCC2)CC1)C1=CC[N@H+](C)CC1 ZINC000577571879 397342084 /nfs/dbraw/zinc/34/20/84/397342084.db2.gz GOHWQBGNVVZHOB-CQSZACIVSA-N 0 2 322.453 0.801 20 0 DCADLN NC(=O)N1CCC[C@@H](CNC(=O)c2cccc3[nH]nnc32)C1 ZINC000577928660 397370614 /nfs/dbraw/zinc/37/06/14/397370614.db2.gz RESCBUZTPJWWNF-VIFPVBQESA-N 0 2 302.338 0.478 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2ccc3c(c2)CCCN3)[C@H](C[NH3+])C1 ZINC000577367828 397325875 /nfs/dbraw/zinc/32/58/75/397325875.db2.gz CGJHQRPSGZGPCM-OAHLLOKOSA-N 0 2 317.437 0.829 20 0 DCADLN CO[C@H]1CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)CCO1 ZINC000613815867 397328140 /nfs/dbraw/zinc/32/81/40/397328140.db2.gz FJWFUULQILPRSO-SECBINFHSA-N 0 2 320.305 0.189 20 0 DCADLN C[N@@H+](C[C@H](O)C[NH2+][C@@H]1CCN(c2ccc(F)cc2)C1=O)C1CC1 ZINC000578316200 397418093 /nfs/dbraw/zinc/41/80/93/397418093.db2.gz QWOAYDSWTVHJGK-HZPDHXFCSA-N 0 2 321.396 0.976 20 0 DCADLN CCOC(=O)Cn1ccc(NS(=O)(=O)C[C@@H](C)OC)n1 ZINC000594463370 397557458 /nfs/dbraw/zinc/55/74/58/397557458.db2.gz WIPIDFQVKIFDLK-SECBINFHSA-N 0 2 305.356 0.223 20 0 DCADLN CCn1nc(C)c(S(=O)(=O)Nc2cnn(CCO)c2)c1C ZINC000607614488 397703431 /nfs/dbraw/zinc/70/34/31/397703431.db2.gz STQZXGLLTYEOGT-UHFFFAOYSA-N 0 2 313.383 0.509 20 0 DCADLN COC(=O)[C@@H]1CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000127706059 158276163 /nfs/dbraw/zinc/27/61/63/158276163.db2.gz RUQAKBOAZCLRHU-SSDOTTSWSA-N 0 2 304.290 0.268 20 0 DCADLN CCCCN(CC(N)=O)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000132579493 158311185 /nfs/dbraw/zinc/31/11/85/158311185.db2.gz DUVYEHIRIMOEKG-UHFFFAOYSA-N 0 2 305.338 0.402 20 0 DCADLN O=c1nc(CN2CCC(OCCO)CC2)[nH]c2ccsc21 ZINC000135392540 158336312 /nfs/dbraw/zinc/33/63/12/158336312.db2.gz KKXOHMPCTVYFIL-UHFFFAOYSA-N 0 2 309.391 0.958 20 0 DCADLN CC(=O)N1CCC[C@@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000328775613 159028925 /nfs/dbraw/zinc/02/89/25/159028925.db2.gz KRYZEXARKKHBAY-VXGBXAGGSA-N 0 2 321.381 0.475 20 0 DCADLN Cc1ccn(CC(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)c(=O)c1 ZINC000329155872 159068770 /nfs/dbraw/zinc/06/87/70/159068770.db2.gz CLFNFBOFSBEDEU-LLVKDONJSA-N 0 2 317.349 0.734 20 0 DCADLN C[C@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)C[C@@]2(CCOC2)O1 ZINC000329349518 159084954 /nfs/dbraw/zinc/08/49/54/159084954.db2.gz SRWWBVFGZGIESQ-QPUJVOFHSA-N 0 2 314.367 0.009 20 0 DCADLN O=c1[n-]c(C2CC[NH+](Cc3nnc4n3CCCC4)CC2)n[nH]1 ZINC000329676874 159110860 /nfs/dbraw/zinc/11/08/60/159110860.db2.gz WIJRPDTXTQNPQF-UHFFFAOYSA-N 0 2 303.370 0.818 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000359269940 159258577 /nfs/dbraw/zinc/25/85/77/159258577.db2.gz JZERNTXQLBUVBW-NSHDSACASA-N 0 2 315.333 0.175 20 0 DCADLN COC[C@H]1CCCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000367665357 159349347 /nfs/dbraw/zinc/34/93/47/159349347.db2.gz LBAIJANKUHSWGO-VIFPVBQESA-N 0 2 300.384 0.878 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)C[C@@H]2CCCCO2)cnn1C ZINC000408478681 160116903 /nfs/dbraw/zinc/11/69/03/160116903.db2.gz DRBFJVNAPFYNSB-JTQLQIEISA-N 0 2 301.368 0.493 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc2c1OCCC2 ZINC000355998274 287192060 /nfs/dbraw/zinc/19/20/60/287192060.db2.gz QELJSFKCAYYYHD-UHFFFAOYSA-N 0 2 317.305 0.090 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccnc3ncnn32)cc1 ZINC000358788174 287213571 /nfs/dbraw/zinc/21/35/71/287213571.db2.gz WPVAAJHWHHVABB-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN C[C@@H]1CSC[C@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342352428 297191508 /nfs/dbraw/zinc/19/15/08/297191508.db2.gz QICHRGYFRVFZAL-HZGVNTEJSA-N 0 2 306.347 0.917 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)O1 ZINC000268100099 415159966 /nfs/dbraw/zinc/15/99/66/415159966.db2.gz HWKOUZVFOWTYPZ-RNFRBKRXSA-N 0 2 320.396 0.806 20 0 DCADLN CC(=O)Nc1ncccc1NS(=O)(=O)c1cn(C)nc1C ZINC000342560359 415209335 /nfs/dbraw/zinc/20/93/35/415209335.db2.gz RBMRVYKFMKKPIZ-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN O=S(=O)(NCc1cnn2ccccc12)NCC(F)(F)F ZINC000352603479 415246015 /nfs/dbraw/zinc/24/60/15/415246015.db2.gz KOUQZTYNFRVBCY-UHFFFAOYSA-N 0 2 308.285 0.821 20 0 DCADLN CS(=O)(=O)c1ccccc1S(=O)(=O)Nc1ncccn1 ZINC000352875588 415353991 /nfs/dbraw/zinc/35/39/91/415353991.db2.gz IOVVILQGJQZAGZ-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN CC(C)(C)N1C[C@@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)CC1=O ZINC000332342695 415355908 /nfs/dbraw/zinc/35/59/08/415355908.db2.gz SSCVFNSHWAZUPY-VIFPVBQESA-N 0 2 309.370 0.206 20 0 DCADLN CCNc1nc(N(C)C[C@H](C)C(=O)NC)nc(NC(C)(C)C)[nH+]1 ZINC000342839207 415310198 /nfs/dbraw/zinc/31/01/98/415310198.db2.gz AEWVVSUEHVVXFJ-JTQLQIEISA-N 0 2 323.445 0.754 20 0 DCADLN COc1ccc(OC)c(C[NH+]2CCN(C(=O)C(=O)[O-])CC2)c1 ZINC000274181653 415331512 /nfs/dbraw/zinc/33/15/12/415331512.db2.gz OTBJKODHYMRVDP-UHFFFAOYSA-N 0 2 308.334 0.433 20 0 DCADLN COC(=O)COCC(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000353070750 415426924 /nfs/dbraw/zinc/42/69/24/415426924.db2.gz DGTZLFDAQJJFII-UHFFFAOYSA-N 0 2 307.262 0.158 20 0 DCADLN C/C=C/C[C@H](CO)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000274598928 415358298 /nfs/dbraw/zinc/35/82/98/415358298.db2.gz SSUZSKLEVGNAJQ-CDAZIORVSA-N 0 2 318.333 0.801 20 0 DCADLN O=C(CCNC(=O)c1cccs1)NCCCc1n[nH]c(=O)[nH]1 ZINC000080973866 415481071 /nfs/dbraw/zinc/48/10/71/415481071.db2.gz MGNWRWAONURKMH-UHFFFAOYSA-N 0 2 323.378 0.028 20 0 DCADLN CCOc1ccccc1C(=O)NCC(=O)NOC[C@@H]1CCOC1 ZINC000276217725 415454307 /nfs/dbraw/zinc/45/43/07/415454307.db2.gz BPWJGPJOKHJZST-GFCCVEGCSA-N 0 2 322.361 0.899 20 0 DCADLN O=C(CNC(=O)CC1CCCCC1)NCCCc1n[nH]c(=O)[nH]1 ZINC000080974794 415479135 /nfs/dbraw/zinc/47/91/35/415479135.db2.gz IQNCLHHQVKAZQG-UHFFFAOYSA-N 0 2 323.397 0.233 20 0 DCADLN CC(C)(O)CONC(=O)CNC(=O)N1CCc2ccccc2C1 ZINC000279271289 415517952 /nfs/dbraw/zinc/51/79/52/415517952.db2.gz WEVYOEMLXIFMPQ-UHFFFAOYSA-N 0 2 321.377 0.573 20 0 DCADLN Cc1n[nH]c(NC(=O)CCN2C(=O)COc3ccccc32)n1 ZINC000103254368 415614651 /nfs/dbraw/zinc/61/46/51/415614651.db2.gz RRGFCSDLXLNFSO-UHFFFAOYSA-N 0 2 301.306 0.867 20 0 DCADLN COCc1nc(S(=O)(=O)CCC(=O)Nc2ccccc2)n[nH]1 ZINC000353885812 415684350 /nfs/dbraw/zinc/68/43/50/415684350.db2.gz DJILZBFJRWUIJW-UHFFFAOYSA-N 0 2 324.362 0.754 20 0 DCADLN C[C@@H]1CN(C(=O)NC[C@@H]2CCCO2)CC[N@@H+]1C1CC[NH+](C)CC1 ZINC000354150467 415771461 /nfs/dbraw/zinc/77/14/61/415771461.db2.gz RSQJRVNLHYUGSL-ZBFHGGJFSA-N 0 2 324.469 0.975 20 0 DCADLN CC(C)(C)n1cnc(=NC(=O)C(=O)N2CCC[C@H](CO)CC2)[nH]1 ZINC000344150317 415851931 /nfs/dbraw/zinc/85/19/31/415851931.db2.gz JXEPIWRIBIYHNP-NSHDSACASA-N 0 2 323.397 0.015 20 0 DCADLN Cc1c[nH]cc(C(=O)N2CCC(Cn3ccnn3)CC2)c1=O ZINC000334032518 415787778 /nfs/dbraw/zinc/78/77/78/415787778.db2.gz IWNWXBOQWVHYSY-UHFFFAOYSA-N 0 2 301.350 0.827 20 0 DCADLN CNC(=O)c1cccc(C(=O)NC[C@H]2C[N@H+](C)CCN2C)c1 ZINC000334035195 415789657 /nfs/dbraw/zinc/78/96/57/415789657.db2.gz YBFZXCQYMFLHDD-AWEZNQCLSA-N 0 2 304.394 0.022 20 0 DCADLN CO[C@H](C)CS(=O)(=O)Nc1cncc(N2CCCC2)n1 ZINC000296130220 415907890 /nfs/dbraw/zinc/90/78/90/415907890.db2.gz YZGDHWSPYCHZLR-SNVBAGLBSA-N 0 2 300.384 0.853 20 0 DCADLN CCC[C@]1(CO)CCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000356591648 415957467 /nfs/dbraw/zinc/95/74/67/415957467.db2.gz OIEGLAUPHJEDIW-LBPRGKRZSA-N 0 2 300.384 0.614 20 0 DCADLN O=S(=O)(NC[C@H](CO)c1cccnc1)NCC(F)(F)F ZINC000344967523 416017062 /nfs/dbraw/zinc/01/70/62/416017062.db2.gz LGDNEVSGXASZCA-SECBINFHSA-N 0 2 313.301 0.144 20 0 DCADLN CCOC(=O)c1c[nH]ccc1=NS(=O)(=O)c1ccn(C)n1 ZINC000357534205 416093729 /nfs/dbraw/zinc/09/37/29/416093729.db2.gz NPQRMEFOLBLJGY-UHFFFAOYSA-N 0 2 310.335 0.215 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2ccc(-n3cncn3)c(F)c2)c1 ZINC000357542129 416094015 /nfs/dbraw/zinc/09/40/15/416094015.db2.gz UHNZFYMLICWBSB-UHFFFAOYSA-N 0 2 322.325 0.941 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)c1ccon1 ZINC000357939134 416156427 /nfs/dbraw/zinc/15/64/27/416156427.db2.gz GCTHNTCALILLIE-LURJTMIESA-N 0 2 301.262 0.915 20 0 DCADLN CNC(=O)C1(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC1 ZINC000357806145 416135007 /nfs/dbraw/zinc/13/50/07/416135007.db2.gz QOCLOSBRZXQFNI-UHFFFAOYSA-N 0 2 302.290 0.495 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC(=O)N1CCCCC1)c2=O ZINC000181928820 416212324 /nfs/dbraw/zinc/21/23/24/416212324.db2.gz CAVFVPMJBHMRRT-UHFFFAOYSA-N 0 2 317.349 0.416 20 0 DCADLN CC[C@H]1C(=O)NCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000181961199 416213148 /nfs/dbraw/zinc/21/31/48/416213148.db2.gz WNZCBQCAQMFCSH-JTQLQIEISA-N 0 2 303.322 0.025 20 0 DCADLN O=C(C[C@H]1Sc2ccccc2NC1=O)NCc1n[nH]c(=O)[nH]1 ZINC000358359578 416227717 /nfs/dbraw/zinc/22/77/17/416227717.db2.gz REHABDNPCICLSY-SECBINFHSA-N 0 2 319.346 0.630 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)CC[S@@]1=O ZINC000358374700 416230643 /nfs/dbraw/zinc/23/06/43/416230643.db2.gz UBSNISQAYHDCRD-ZEDNOMKYSA-N 0 2 308.363 0.267 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc(-c2ccco2)n[nH]1 ZINC000358574807 416255920 /nfs/dbraw/zinc/25/59/20/416255920.db2.gz BFPHXZHKFCEZTF-UHFFFAOYSA-N 0 2 302.294 0.856 20 0 DCADLN CCOCc1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000342051120 416273982 /nfs/dbraw/zinc/27/39/82/416273982.db2.gz YASXDKAWELOCLP-UHFFFAOYSA-N 0 2 319.321 0.302 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1Cl ZINC000342048928 416274066 /nfs/dbraw/zinc/27/40/66/416274066.db2.gz NYMHIICNGHICJA-UHFFFAOYSA-N 0 2 309.713 0.727 20 0 DCADLN CC[C@]1(CO)CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000330780134 416237949 /nfs/dbraw/zinc/23/79/49/416237949.db2.gz NIHBZRCUDCTFDG-LBPRGKRZSA-N 0 2 300.384 0.614 20 0 DCADLN C[NH+](C)[C@@H](CNS(=O)(=O)[N-]CC(F)(F)F)c1ccco1 ZINC000192003292 416295261 /nfs/dbraw/zinc/29/52/61/416295261.db2.gz XCPAOGXWWVCVTB-QMMMGPOBSA-N 0 2 315.317 0.869 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)Nc1ccc2c(c1)OC(F)(F)O2 ZINC000262605170 416336945 /nfs/dbraw/zinc/33/69/45/416336945.db2.gz ARSBIGKOVNXZET-UHFFFAOYSA-N 0 2 302.233 0.963 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)Nc1ccc2c(c1)OC(F)(F)O2 ZINC000262605170 416336946 /nfs/dbraw/zinc/33/69/46/416336946.db2.gz ARSBIGKOVNXZET-UHFFFAOYSA-N 0 2 302.233 0.963 20 0 DCADLN CC1(C)[C@H](NS(=O)(=O)NCC(F)(F)F)[C@@H]2CCO[C@@H]21 ZINC000195297203 416325695 /nfs/dbraw/zinc/32/56/95/416325695.db2.gz AHNZRONLOZTQIW-RNJXMRFFSA-N 0 2 302.318 0.786 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)[N-]CC(F)(F)F ZINC000195424742 416326200 /nfs/dbraw/zinc/32/62/00/416326200.db2.gz WRASAAWSCIAMJS-UHFFFAOYSA-N 0 2 300.306 0.602 20 0 DCADLN CN1CCN(c2cc(NCCCCCC(=O)[O-])[nH+]cn2)CC1=O ZINC000416425792 416369088 /nfs/dbraw/zinc/36/90/88/416369088.db2.gz JRGUZJLBWSHODO-UHFFFAOYSA-N 0 2 321.381 0.812 20 0 DCADLN CN1CCN(c2cc(NCCCCCC(=O)[O-])nc[nH+]2)CC1=O ZINC000416425792 416369089 /nfs/dbraw/zinc/36/90/89/416369089.db2.gz JRGUZJLBWSHODO-UHFFFAOYSA-N 0 2 321.381 0.812 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(Cn2cncn2)c1 ZINC000360863308 416414582 /nfs/dbraw/zinc/41/45/82/416414582.db2.gz BDKTWWNEQDRWHR-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)Nc2ccccc2C(N)=O)[C@H](C)CO1 ZINC000360219293 416380122 /nfs/dbraw/zinc/38/01/22/416380122.db2.gz TWXYTBZARQTBFE-NXEZZACHSA-N 0 2 313.379 0.551 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])N1CC[C@H](Nc2cc[nH+]c(C3CC3)n2)C1=O ZINC000583920476 416483652 /nfs/dbraw/zinc/48/36/52/416483652.db2.gz VXTDRSRCVSXWPR-AAEUAGOBSA-N 0 2 318.377 1.476 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(-n2cncn2)nc1 ZINC000361128805 416422086 /nfs/dbraw/zinc/42/20/86/416422086.db2.gz CVKZSYIMXYFLDP-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccccc1-n1cnnn1 ZINC000361131715 416422841 /nfs/dbraw/zinc/42/28/41/416422841.db2.gz LCIXVVGIYWRJFX-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN O=C1N=NC(c2ccccc2NS(=O)(=O)c2cn[nH]c2)O1 ZINC000436479068 416560103 /nfs/dbraw/zinc/56/01/03/416560103.db2.gz SGFVGMNUALHEHS-UHFFFAOYSA-N 0 2 307.291 0.966 20 0 DCADLN NC(=O)C1(CNC(=O)c2cc(F)c(O)c(F)c2)CCOCC1 ZINC000436531919 416563569 /nfs/dbraw/zinc/56/35/69/416563569.db2.gz QLQGHUZKNLWINY-UHFFFAOYSA-N 0 2 314.288 0.682 20 0 DCADLN COCCS(=O)(=O)Nc1c(C)ccc(C(=O)OC)c1O ZINC000436890506 416574995 /nfs/dbraw/zinc/57/49/95/416574995.db2.gz BZERGCCGMFZZJA-UHFFFAOYSA-N 0 2 303.336 0.875 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2cccc(F)c2)n1 ZINC000437325896 416581936 /nfs/dbraw/zinc/58/19/36/416581936.db2.gz POVBTJRROKTZSQ-UHFFFAOYSA-N 0 2 314.298 0.391 20 0 DCADLN CC[C@@H](C)[C@](C)(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000439504531 416616232 /nfs/dbraw/zinc/61/62/32/416616232.db2.gz LSJZGJYRCCBEOF-ANRSDYALSA-N 0 2 320.349 0.963 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2cc(C)cc(C[NH3+])c2)CC1 ZINC000424438666 416644742 /nfs/dbraw/zinc/64/47/42/416644742.db2.gz LVWMFKPNWWWHMB-UHFFFAOYSA-N 0 2 304.394 0.556 20 0 DCADLN Cc1cc(C(=O)N(C)C2(CO)CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000614768976 416703341 /nfs/dbraw/zinc/70/33/41/416703341.db2.gz JERJPAZWDBJDLF-UHFFFAOYSA-N 0 2 304.306 0.341 20 0 DCADLN Cc1nnc([C@@H](C)NS(=O)(=O)NCC(F)(F)F)s1 ZINC000443037085 416726452 /nfs/dbraw/zinc/72/64/52/416726452.db2.gz ARXMPNSHTMRVCK-SCSAIBSYSA-N 0 2 304.319 0.894 20 0 DCADLN CCO[C@H]1COCC[C@@H]1NS(=O)(=O)NCC(F)(F)F ZINC000443053570 416728682 /nfs/dbraw/zinc/72/86/82/416728682.db2.gz UYBDVCCWBOWLSC-YUMQZZPRSA-N 0 2 306.306 0.167 20 0 DCADLN CCO[C@@H]1COCC[C@@H]1NS(=O)(=O)NCC(F)(F)F ZINC000443053567 416729020 /nfs/dbraw/zinc/72/90/20/416729020.db2.gz UYBDVCCWBOWLSC-JGVFFNPUSA-N 0 2 306.306 0.167 20 0 DCADLN Cc1ccc(CNS(=O)(=O)NCC(F)(F)F)c(=O)n1C ZINC000443229452 416737125 /nfs/dbraw/zinc/73/71/25/416737125.db2.gz YURGIETUWDOVNY-UHFFFAOYSA-N 0 2 313.301 0.180 20 0 DCADLN O=C(CNC(=O)C1CC1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000517227080 416789397 /nfs/dbraw/zinc/78/93/97/416789397.db2.gz XVTXDRYWQCWTKM-UHFFFAOYSA-N 0 2 301.306 0.642 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cccc(OCC(N)=O)c2)cnn1C ZINC000427122824 416801162 /nfs/dbraw/zinc/80/11/62/416801162.db2.gz FHEMPYIDCVSLMH-UHFFFAOYSA-N 0 2 324.362 0.393 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(C(N)=O)c(F)c2)cnn1C ZINC000427214306 416809597 /nfs/dbraw/zinc/80/95/97/416809597.db2.gz YIDBARCWGQRVKS-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000517741555 416820952 /nfs/dbraw/zinc/82/09/52/416820952.db2.gz AMKZBOLXRJTJFJ-CZUORRHYSA-N 0 2 310.394 0.953 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000517741555 416820958 /nfs/dbraw/zinc/82/09/58/416820958.db2.gz AMKZBOLXRJTJFJ-CZUORRHYSA-N 0 2 310.394 0.953 20 0 DCADLN CC(C)(C)[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(N)=O ZINC000427608576 416828996 /nfs/dbraw/zinc/82/89/96/416828996.db2.gz ZEZHFHMYSSJZQF-JTQLQIEISA-N 0 2 318.333 0.660 20 0 DCADLN C[C@H](CN(C)C(=O)C[NH+]1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC000565303265 416963805 /nfs/dbraw/zinc/96/38/05/416963805.db2.gz KVWVDFJYDCAPIW-CYBMUJFWSA-N 0 2 320.393 0.383 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000565043726 416915192 /nfs/dbraw/zinc/91/51/92/416915192.db2.gz LHVRNWPMHWSIJW-CQSZACIVSA-N 0 2 321.377 0.891 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc(=O)[nH]1 ZINC000520232922 416949932 /nfs/dbraw/zinc/94/99/32/416949932.db2.gz RMBCGZRIVKQQNI-VIFPVBQESA-N 0 2 303.322 0.939 20 0 DCADLN CCc1nn(C)cc1NS(=O)(=O)c1cnn2c1OCCC2 ZINC000641685397 416975556 /nfs/dbraw/zinc/97/55/56/416975556.db2.gz NPUWXCJPAHGNMX-UHFFFAOYSA-N 0 2 311.367 0.762 20 0 DCADLN CCC[C@H](O)[C@@H](CO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616310316 417009410 /nfs/dbraw/zinc/00/94/10/417009410.db2.gz JRQHMSILOMDWGZ-NEPJUHHUSA-N 0 2 321.333 0.282 20 0 DCADLN CCC[C@@H](O)[C@@H](CO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616310319 417010159 /nfs/dbraw/zinc/01/01/59/417010159.db2.gz JRQHMSILOMDWGZ-VXGBXAGGSA-N 0 2 321.333 0.282 20 0 DCADLN CCc1cc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)on1 ZINC000524960553 417123481 /nfs/dbraw/zinc/12/34/81/417123481.db2.gz VLIXKUXJFBSMML-UHFFFAOYSA-N 0 2 315.289 0.916 20 0 DCADLN CC(C)[NH+]1CCN([C@H]2CC[N@H+](Cc3cc(=O)c(O)co3)C2)CC1 ZINC000525172900 417126485 /nfs/dbraw/zinc/12/64/85/417126485.db2.gz OPDWVIUZOFQJNJ-AWEZNQCLSA-N 0 2 321.421 0.946 20 0 DCADLN O=C(CC[N@H+]1CCOC[C@H]1C(=O)[O-])Nc1ccc2c(c1)OCO2 ZINC000643054379 417148825 /nfs/dbraw/zinc/14/88/25/417148825.db2.gz RZBYWSATQGGENG-NSHDSACASA-N 0 2 322.317 0.529 20 0 DCADLN O=C(CC[N@@H+]1CCOC[C@H]1C(=O)[O-])Nc1ccc2c(c1)OCO2 ZINC000643054379 417148830 /nfs/dbraw/zinc/14/88/30/417148830.db2.gz RZBYWSATQGGENG-NSHDSACASA-N 0 2 322.317 0.529 20 0 DCADLN O=S(=O)(NCc1nnc(COc2ccccc2)[nH]1)N1CCC1 ZINC000568895094 417191122 /nfs/dbraw/zinc/19/11/22/417191122.db2.gz WUEPYZOZEGJNGP-UHFFFAOYSA-N 0 2 323.378 0.424 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N[C@@H]2CNC(=O)C2)=N1 ZINC000450448600 417228576 /nfs/dbraw/zinc/22/85/76/417228576.db2.gz BNWWPCSIXOJWOQ-NSHDSACASA-N 0 2 314.345 0.759 20 0 DCADLN CN1CC[NH+](C)[C@@H](CNC(=O)NC[C@@H]2CC[N@@H+]2C2CCCC2)C1 ZINC000527742096 417275607 /nfs/dbraw/zinc/27/56/07/417275607.db2.gz AQRZPWGUWVSIRK-HOTGVXAUSA-N 0 2 323.485 0.548 20 0 DCADLN C[C@H]1[C@@H](CO)CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000414032805 417255304 /nfs/dbraw/zinc/25/53/04/417255304.db2.gz KWSPTWJDCMXXEB-VHSXEESVSA-N 0 2 304.350 0.907 20 0 DCADLN C[C@@H](C[N@H+]1CCC[C@H](C)C1)NC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000414738968 417298120 /nfs/dbraw/zinc/29/81/20/417298120.db2.gz ILQPNENKLUBWRS-RYUDHWBXSA-N 0 2 319.409 0.839 20 0 DCADLN C[C@H](C[N@H+]1CCC[C@@H](C)C1)NC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000414738969 417298183 /nfs/dbraw/zinc/29/81/83/417298183.db2.gz ILQPNENKLUBWRS-VXGBXAGGSA-N 0 2 319.409 0.839 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N(C)CC(N)=O)=N1 ZINC000438937775 287376617 /nfs/dbraw/zinc/37/66/17/287376617.db2.gz LWDWEKRPKPAVNM-UHFFFAOYSA-N 0 2 302.334 0.698 20 0 DCADLN CCn1cc([C@H](C)NS(=O)(=O)NCC(F)(F)F)cn1 ZINC000452006957 417432196 /nfs/dbraw/zinc/43/21/96/417432196.db2.gz PGOBHSBESXMXGW-ZETCQYMHSA-N 0 2 300.306 0.950 20 0 DCADLN CN(C[C@H]1COCCO1)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000622162621 417408741 /nfs/dbraw/zinc/40/87/41/417408741.db2.gz SCCXTDNBAMUMPR-LBPRGKRZSA-N 0 2 319.317 0.517 20 0 DCADLN CC(C)[C@H](C(=O)[O-])C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000570970503 417410750 /nfs/dbraw/zinc/41/07/50/417410750.db2.gz OAPLJAIREGQRCX-AWEZNQCLSA-N 0 2 321.377 0.891 20 0 DCADLN COc1ccc(NS(=O)(=O)c2c[nH]cn2)cc1-n1cnnn1 ZINC000438766628 287375688 /nfs/dbraw/zinc/37/56/88/287375688.db2.gz NFIAIROANNFZJV-UHFFFAOYSA-N 0 2 321.322 0.195 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000574919558 417492809 /nfs/dbraw/zinc/49/28/09/417492809.db2.gz DSUVSJZBASFQCC-HOSYDEDBSA-N 0 2 300.355 0.239 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000574919558 417492810 /nfs/dbraw/zinc/49/28/10/417492810.db2.gz DSUVSJZBASFQCC-HOSYDEDBSA-N 0 2 300.355 0.239 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000571208815 417435452 /nfs/dbraw/zinc/43/54/52/417435452.db2.gz VJBAZDFDWJZXFN-CQSZACIVSA-N 0 2 301.306 0.599 20 0 DCADLN CO[C@H]1C[C@H](NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)C1 ZINC000628055901 417564149 /nfs/dbraw/zinc/56/41/49/417564149.db2.gz BVQBPPFLXBQDPI-ZKCHVHJHSA-N 0 2 312.395 0.490 20 0 DCADLN Cc1[nH][nH]c(=O)c1CCNC(=O)c1[nH]nc2c1CCCCC2 ZINC000530455514 417577046 /nfs/dbraw/zinc/57/70/46/417577046.db2.gz WAZRZEZYLGISLC-SNVBAGLBSA-N 0 2 303.366 0.920 20 0 DCADLN Cn1nc(C(=O)[O-])cc1CNc1ccc(N2CCOCC2)c[nH+]1 ZINC000635304382 417633470 /nfs/dbraw/zinc/63/34/70/417633470.db2.gz FXULRVZWKWNMIN-UHFFFAOYSA-N 0 2 317.349 0.962 20 0 DCADLN COC(C)(C)CN(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000532083565 417657826 /nfs/dbraw/zinc/65/78/26/417657826.db2.gz CXELAVYMOHZVOA-UHFFFAOYSA-N 0 2 306.322 0.933 20 0 DCADLN O=S(=O)([N-]CC(F)(F)F)NCCCCn1cc[nH+]c1 ZINC000577176782 417672309 /nfs/dbraw/zinc/67/23/09/417672309.db2.gz IXOBAIYNSXCRBZ-UHFFFAOYSA-N 0 2 300.306 0.650 20 0 DCADLN COCC(=O)NC1CCN(Cc2c[nH+]c(N(C)C)n2C)CC1 ZINC000644900944 417675939 /nfs/dbraw/zinc/67/59/39/417675939.db2.gz QWDXOBDHCQALKB-UHFFFAOYSA-N 0 2 309.414 0.213 20 0 DCADLN Cc1[nH]ncc1C[N@H+](C)C[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000639971216 417770674 /nfs/dbraw/zinc/77/06/74/417770674.db2.gz XZUPMVPZTGJNLR-GFCCVEGCSA-N 0 2 300.428 0.822 20 0 DCADLN CN(C1CC1)S(=O)(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000645125385 417702668 /nfs/dbraw/zinc/70/26/68/417702668.db2.gz DJOIAMRCNVJPJO-UHFFFAOYSA-N 0 2 301.372 0.029 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@@H+]([C@H]3CCN(C4CCC4)C3=O)C2)n[nH]1 ZINC000639644764 417708519 /nfs/dbraw/zinc/70/85/19/417708519.db2.gz ASHPECBPTOBWGM-JQWIXIFHSA-N 0 2 305.382 0.843 20 0 DCADLN O=c1[n-]c([C@H]2CCC[N@H+]([C@H]3CCN(C4CCC4)C3=O)C2)n[nH]1 ZINC000639644764 417708522 /nfs/dbraw/zinc/70/85/22/417708522.db2.gz ASHPECBPTOBWGM-JQWIXIFHSA-N 0 2 305.382 0.843 20 0 DCADLN CN1CCOC[C@H]1CNC(=O)c1cc(F)c(F)c(O)c1F ZINC000628914161 417718275 /nfs/dbraw/zinc/71/82/75/417718275.db2.gz CMLRZIFGKFQMMN-SSDOTTSWSA-N 0 2 304.268 0.870 20 0 DCADLN CC(=O)NCC(C)(C)C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000651952538 417824282 /nfs/dbraw/zinc/82/42/82/417824282.db2.gz FTCSAICEWNEPST-UHFFFAOYSA-N 0 2 309.370 0.379 20 0 DCADLN Cc1c(NS(=O)(=O)c2cnnn2C)n(C)nc1C(F)(F)F ZINC000629350591 417785027 /nfs/dbraw/zinc/78/50/27/417785027.db2.gz WFZHPGWRHQEMDK-UHFFFAOYSA-N 0 2 324.288 0.677 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cnc3c(c2)COCC3)n1C ZINC000629350665 417786542 /nfs/dbraw/zinc/78/65/42/417786542.db2.gz XEOAHLCUWUSWLY-UHFFFAOYSA-N 0 2 308.363 0.997 20 0 DCADLN CO[C@@H]1CC[N@H+](CC(=O)NCc2cccnc2)[C@H](C(=O)[O-])C1 ZINC000629361605 417787752 /nfs/dbraw/zinc/78/77/52/417787752.db2.gz JXLPPGPAMJBWJR-OLZOCXBDSA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@@H]1CC[N@@H+](CC(=O)NCc2cccnc2)[C@H](C(=O)[O-])C1 ZINC000629361605 417787757 /nfs/dbraw/zinc/78/77/57/417787757.db2.gz JXLPPGPAMJBWJR-OLZOCXBDSA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@H]1CC[N@H+](CC(=O)NCc2cccnc2)[C@H](C(=O)[O-])C1 ZINC000629361607 417789149 /nfs/dbraw/zinc/78/91/49/417789149.db2.gz JXLPPGPAMJBWJR-STQMWFEESA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@H]1CC[N@@H+](CC(=O)NCc2cccnc2)[C@H](C(=O)[O-])C1 ZINC000629361607 417789154 /nfs/dbraw/zinc/78/91/54/417789154.db2.gz JXLPPGPAMJBWJR-STQMWFEESA-N 0 2 307.350 0.262 20 0 DCADLN CC[C@H](C)[C@H](CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)OC ZINC000651832426 417790337 /nfs/dbraw/zinc/79/03/37/417790337.db2.gz PFJODTFAITWWKV-QWRGUYRKSA-N 0 2 311.386 0.397 20 0 DCADLN CC[C@@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@H](O)C(C)C ZINC000651851061 417798955 /nfs/dbraw/zinc/79/89/55/417798955.db2.gz CTNFFSFZLIYXAO-GHMZBOCLSA-N 0 2 311.386 0.131 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(F)cc2)[nH]1 ZINC000657103333 417812460 /nfs/dbraw/zinc/81/24/60/417812460.db2.gz HKCIYNZFWIEYQL-UHFFFAOYSA-N 0 2 300.315 0.569 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CC2CCCCC2)[nH]1 ZINC000657103065 417812915 /nfs/dbraw/zinc/81/29/15/417812915.db2.gz AMCVVYYQWNRGLS-UHFFFAOYSA-N 0 2 302.400 0.951 20 0 DCADLN CC(C)(C)n1cnc(=NC(=O)C(=O)N2CCC23CCCC3)[nH]1 ZINC000651914601 417816000 /nfs/dbraw/zinc/81/60/00/417816000.db2.gz DWYVTBWFAMEMTE-UHFFFAOYSA-N 0 2 305.382 0.939 20 0 DCADLN C[C@@H](COCC1CC1)NC(=O)C(=O)N=c1ncn(C(C)(C)C)[nH]1 ZINC000652156517 417853772 /nfs/dbraw/zinc/85/37/72/417853772.db2.gz FTTURGZVPBRYCY-JTQLQIEISA-N 0 2 323.397 0.325 20 0 DCADLN CN(C(=O)N[C@H]1CC[N@H+](CC2CC2)C1)[C@H]1CC[NH+]2CCO[C@H]1C2 ZINC000652368098 417893196 /nfs/dbraw/zinc/89/31/96/417893196.db2.gz XXWREBKTGOLCPX-JYJNAYRXSA-N 0 2 322.453 0.585 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000646679084 417896874 /nfs/dbraw/zinc/89/68/74/417896874.db2.gz SQSODHOEQHNBMB-SECBINFHSA-N 0 2 306.322 0.379 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](Cc2nnc3n2CCOC3)Cc2ccccc21 ZINC000652470075 417916855 /nfs/dbraw/zinc/91/68/55/417916855.db2.gz NCMBNNDTGGSRTG-CYBMUJFWSA-N 0 2 314.345 0.992 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](Cc2nnc3n2CCOC3)Cc2ccccc21 ZINC000652470075 417916859 /nfs/dbraw/zinc/91/68/59/417916859.db2.gz NCMBNNDTGGSRTG-CYBMUJFWSA-N 0 2 314.345 0.992 20 0 DCADLN CN(Cc1c[nH+]c[nH]1)C(=O)C(=O)N1CCC[C@H](CCC(=O)[O-])C1 ZINC000662908919 417947142 /nfs/dbraw/zinc/94/71/42/417947142.db2.gz AJJXZQDPQGWJLY-LLVKDONJSA-N 0 2 322.365 0.472 20 0 DCADLN CN(Cc1c[nH]c[nH+]1)C(=O)C(=O)N1CCC[C@H](CCC(=O)[O-])C1 ZINC000662908919 417947143 /nfs/dbraw/zinc/94/71/43/417947143.db2.gz AJJXZQDPQGWJLY-LLVKDONJSA-N 0 2 322.365 0.472 20 0 DCADLN CCN(CC)C(=O)C[NH+]1CCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000647448432 418010848 /nfs/dbraw/zinc/01/08/48/418010848.db2.gz KEMOKUSCNLFWHR-UHFFFAOYSA-N 0 2 320.393 0.770 20 0 DCADLN COCCn1nnc2c1CCN(c1[nH+]cccc1C(=O)[O-])C2 ZINC000647455655 418011638 /nfs/dbraw/zinc/01/16/38/418011638.db2.gz IUAUOJXBBXMURW-UHFFFAOYSA-N 0 2 303.322 0.580 20 0 DCADLN COCCO[C@@H]1C[C@H](CO)CN(c2[nH+]cccc2C(=O)[O-])C1 ZINC000647454241 418011889 /nfs/dbraw/zinc/01/18/89/418011889.db2.gz ILNZMOFKQVZSQK-NWDGAFQWSA-N 0 2 310.350 0.630 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000663620471 418038390 /nfs/dbraw/zinc/03/83/90/418038390.db2.gz KQORLOVLRCXBPJ-PWSUYJOCSA-N 0 2 322.365 0.005 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1ccn(CCC(N)=O)n1)C(C)C ZINC000647127561 417991079 /nfs/dbraw/zinc/99/10/79/417991079.db2.gz UVLPCZZXWQRWTN-SNVBAGLBSA-N 0 2 318.399 0.171 20 0 DCADLN Cc1cccn2cc(CC(=O)N[C@]3(C(=O)[O-])CCOC3)[nH+]c12 ZINC000663130722 417995089 /nfs/dbraw/zinc/99/50/89/417995089.db2.gz MYQJRLIYADBKKT-OAHLLOKOSA-N 0 2 303.318 0.545 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000653290240 418053803 /nfs/dbraw/zinc/05/38/03/418053803.db2.gz OCTQUDOQAZSQCU-MRXNPFEDSA-N 0 2 321.377 0.854 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1ccc(C(=O)[O-])nc1 ZINC000653308798 418056834 /nfs/dbraw/zinc/05/68/34/418056834.db2.gz QTGCKJYYBBMZAZ-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)c1ccc(C(=O)[O-])nc1 ZINC000653308798 418056837 /nfs/dbraw/zinc/05/68/37/418056837.db2.gz QTGCKJYYBBMZAZ-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CCN1CC[NH+](CC(C)(C)NC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000654412896 418141801 /nfs/dbraw/zinc/14/18/01/418141801.db2.gz ZPIDEMQEVJHKTG-UHFFFAOYSA-N 0 2 309.414 0.570 20 0 DCADLN CCc1ccnc(CNC(=O)NCc2nnc(COC)[nH]2)c1 ZINC000664807525 418155143 /nfs/dbraw/zinc/15/51/43/418155143.db2.gz VRKRQFXRHIXUKJ-UHFFFAOYSA-N 0 2 304.354 0.908 20 0 DCADLN CCn1cc[nH+]c1[C@@H](C)NC(=O)N1CC[N@@H+]2C[C@H](O)C[C@H]2C1 ZINC000654582998 418163414 /nfs/dbraw/zinc/16/34/14/418163414.db2.gz CSEDVLIRXVEYEP-FRRDWIJNSA-N 0 2 307.398 0.424 20 0 DCADLN O=C(c1cnc2[nH]cnc2c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893761 418170907 /nfs/dbraw/zinc/17/09/07/418170907.db2.gz ZAFJIWXXMNCKSN-QMMMGPOBSA-N 0 2 313.321 0.801 20 0 DCADLN Cc1cnc(CCNC(=O)CSc2n[nH]c(=O)[nH]2)c(C)c1 ZINC000655248888 418244119 /nfs/dbraw/zinc/24/41/19/418244119.db2.gz HVQOKDDETUPLIK-UHFFFAOYSA-N 0 2 307.379 0.973 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000659786343 418183253 /nfs/dbraw/zinc/18/32/53/418183253.db2.gz WBFAOIDMLYHBND-ZFWWWQNUSA-N 0 2 319.405 0.640 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000659786343 418183255 /nfs/dbraw/zinc/18/32/55/418183255.db2.gz WBFAOIDMLYHBND-ZFWWWQNUSA-N 0 2 319.405 0.640 20 0 DCADLN NC(=O)[C@H]1C[C@@H](NC(=O)N=c2ncn(Cc3ccccc3)[nH]2)C1 ZINC000650011033 418288185 /nfs/dbraw/zinc/28/81/85/418288185.db2.gz QBIKMIJDVPUJMV-HAQNSBGRSA-N 0 2 314.349 0.134 20 0 DCADLN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000650515703 418313312 /nfs/dbraw/zinc/31/33/12/418313312.db2.gz FMFYISFHRZXKQE-TZMCWYRMSA-N 0 2 321.377 0.385 20 0 DCADLN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000650515703 418313313 /nfs/dbraw/zinc/31/33/13/418313313.db2.gz FMFYISFHRZXKQE-TZMCWYRMSA-N 0 2 321.377 0.385 20 0 DCADLN COCc1nc2n(n1)C[C@@H](Nc1cc[nH+]c(C(=O)[O-])c1)CC2 ZINC000650767386 418323226 /nfs/dbraw/zinc/32/32/26/418323226.db2.gz SRRGYHLJPBCWRO-JTQLQIEISA-N 0 2 303.322 0.367 20 0 DCADLN CCN(CC)C(=O)CN1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650766122 418323521 /nfs/dbraw/zinc/32/35/21/418323521.db2.gz VDTLUVHFTQHKSE-UHFFFAOYSA-N 0 2 320.393 0.770 20 0 DCADLN C[C@@H]1CN(c2ccc(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])c[nH+]2)CCO1 ZINC000649462189 418255374 /nfs/dbraw/zinc/25/53/74/418255374.db2.gz IYGSJOQFQLOBOM-RAIGVLPGSA-N 0 2 319.361 0.644 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)Cc2cc(C)ccc2OC)[nH]n1 ZINC000650815626 418326030 /nfs/dbraw/zinc/32/60/30/418326030.db2.gz XWOFQEFLSUJXNC-UHFFFAOYSA-N 0 2 314.345 0.756 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H](C)OC[C@@H]2CCCCO2)[nH]n1 ZINC000650815898 418326363 /nfs/dbraw/zinc/32/63/63/418326363.db2.gz DCLVPFGXSPSUGB-QWRGUYRKSA-N 0 2 322.365 0.171 20 0 DCADLN CCC(CC)[C@@H](C(=O)NC[C@@H](C)C(=O)[O-])[NH+]1CCOCC1 ZINC000655562131 418276310 /nfs/dbraw/zinc/27/63/10/418276310.db2.gz SMKXFDVNGMSSJS-YPMHNXCESA-N 0 2 300.399 0.960 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H]2CCC(=O)c3cccn32)[nH]n1 ZINC000650818313 418326442 /nfs/dbraw/zinc/32/64/42/418326442.db2.gz FZTLCGUEUQRBBR-LLVKDONJSA-N 0 2 313.317 0.216 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ccc2c(n1)OCCO2 ZINC000656741756 418375054 /nfs/dbraw/zinc/37/50/54/418375054.db2.gz VVASCHRTFJSYOA-UHFFFAOYSA-N 0 2 310.335 0.696 20 0 DCADLN CC[N@H+](CC(=O)N[C@H](C(=O)NC)c1ccccc1)[C@H](C)C(=O)[O-] ZINC000662214367 418390148 /nfs/dbraw/zinc/39/01/48/418390148.db2.gz FWLCPEBEKVSXGB-RISCZKNCSA-N 0 2 321.377 0.385 20 0 DCADLN CC[N@@H+](CC(=O)N[C@H](C(=O)NC)c1ccccc1)[C@H](C)C(=O)[O-] ZINC000662214367 418390151 /nfs/dbraw/zinc/39/01/51/418390151.db2.gz FWLCPEBEKVSXGB-RISCZKNCSA-N 0 2 321.377 0.385 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)Nc2cccc3c2OCO3)[nH]1 ZINC000651737350 418407777 /nfs/dbraw/zinc/40/77/77/418407777.db2.gz BBBWAMIADRWJFO-UHFFFAOYSA-N 0 2 317.305 0.587 20 0 DCADLN CCN(C[C@H]1CCCO1)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651697826 418405049 /nfs/dbraw/zinc/40/50/49/418405049.db2.gz VPENBGHVPFBQHY-LLVKDONJSA-N 0 2 309.370 0.247 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)/C=C/c2ccc[nH]2)s1 ZINC000187414947 261124153 /nfs/dbraw/zinc/12/41/53/261124153.db2.gz GRNOFNLIKRZTLZ-SNAWJCMRSA-N 0 2 313.364 0.890 20 0 DCADLN O=C(NCCn1cncn1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354509235 261229321 /nfs/dbraw/zinc/22/93/21/261229321.db2.gz KQIZUTTWHXSPOK-UHFFFAOYSA-N 0 2 316.296 0.739 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@@]1(O)CCSC1)c2=O ZINC000355603851 261351730 /nfs/dbraw/zinc/35/17/30/261351730.db2.gz ILLLNRGWTHWUTK-ZDUSSCGKSA-N 0 2 308.363 0.272 20 0 DCADLN CC(C)c1nnc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)o1 ZINC000361521834 261934241 /nfs/dbraw/zinc/93/42/41/261934241.db2.gz JOGNLVPQFUMJEY-UHFFFAOYSA-N 0 2 313.339 0.431 20 0 DCADLN CC(C)[C@H](CO)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000362336159 262023585 /nfs/dbraw/zinc/02/35/85/262023585.db2.gz UZTLHELSKUODCJ-LURJTMIESA-N 0 2 308.385 0.113 20 0 DCADLN COC(=O)[C@H](CC1CC1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000412527327 262191042 /nfs/dbraw/zinc/19/10/42/262191042.db2.gz WMLWYOBVKCRBAU-NSHDSACASA-N 0 2 318.333 0.745 20 0 DCADLN CN(C[C@H]1CCC[N@@H+]1C)C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000420763417 262384665 /nfs/dbraw/zinc/38/46/65/262384665.db2.gz BQPYJMDDVVNORC-CQSZACIVSA-N 0 2 312.458 0.833 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000355455550 271011054 /nfs/dbraw/zinc/01/10/54/271011054.db2.gz MJQPEHXKNMXKSU-NXEZZACHSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000355455550 271011057 /nfs/dbraw/zinc/01/10/57/271011057.db2.gz MJQPEHXKNMXKSU-NXEZZACHSA-N 0 2 315.395 0.436 20 0 DCADLN COC[C@](C)(CCO)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358321217 271049333 /nfs/dbraw/zinc/04/93/33/271049333.db2.gz AMJFQAWQEOXBDW-AWEZNQCLSA-N 0 2 308.338 0.191 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N(C)[C@H]3COC[C@H]3O)c[nH]c12 ZINC000359657242 271139521 /nfs/dbraw/zinc/13/95/21/271139521.db2.gz JQGYFFRVIHDVOK-QWHCGFSZSA-N 0 2 302.330 0.668 20 0 DCADLN COc1ccc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)c(O)c1 ZINC000370853142 271216061 /nfs/dbraw/zinc/21/60/61/271216061.db2.gz DJRISBOYSRMYCL-LLVKDONJSA-N 0 2 305.294 0.128 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CC(=O)N(NC(=O)OC(C)(C)C)C2)n1 ZINC000492007539 272112988 /nfs/dbraw/zinc/11/29/88/272112988.db2.gz NKDSKSHOHANUJT-QMMMGPOBSA-N 0 2 324.341 0.340 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CC(=O)N(NC(=O)OC(C)(C)C)C2)n1 ZINC000492007533 272113327 /nfs/dbraw/zinc/11/33/27/272113327.db2.gz NKDSKSHOHANUJT-MRVPVSSYSA-N 0 2 324.341 0.340 20 0 DCADLN O=C(/C=C\c1cccnc1)NCC(=O)NOCc1cccnc1 ZINC000492183743 272117175 /nfs/dbraw/zinc/11/71/75/272117175.db2.gz HJIVUZZUFDOPHF-WAYWQWQTSA-N 0 2 312.329 0.854 20 0 DCADLN CCn1nccc1/C=C/C(=O)NS(=O)(=O)c1cn(C)nc1C ZINC000493613839 272199496 /nfs/dbraw/zinc/19/94/96/272199496.db2.gz HJFDMRIVQINERA-AATRIKPKSA-N 0 2 323.378 0.463 20 0 DCADLN CCOC(=O)NCC(=O)NOC[C@H](C)NC(=O)OC(C)(C)C ZINC000495237313 272241811 /nfs/dbraw/zinc/24/18/11/272241811.db2.gz KPMZDLRNYQSVJE-VIFPVBQESA-N 0 2 319.358 0.694 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000496062383 272289042 /nfs/dbraw/zinc/28/90/42/272289042.db2.gz DWRWQDDNVVRLAM-ZIAGYGMSSA-N 0 2 317.430 0.088 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N3CC4(C3)CNC(=O)O4)c[nH]c12 ZINC000496308843 272306722 /nfs/dbraw/zinc/30/67/22/272306722.db2.gz RVNHCLURPRQOCI-UHFFFAOYSA-N 0 2 313.313 0.771 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NOC/C=C\Cl ZINC000496406067 272311521 /nfs/dbraw/zinc/31/15/21/272311521.db2.gz MNLYDMFRSALITL-PLNGDYQASA-N 0 2 321.761 0.428 20 0 DCADLN COC(=O)N1CCC(NC(=O)c2cccc3[nH]nnc32)CC1 ZINC000496546262 272323465 /nfs/dbraw/zinc/32/34/65/272323465.db2.gz HQKYTGCKKLXDRX-UHFFFAOYSA-N 0 2 303.322 0.919 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[C@H](O)CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000512662060 272398236 /nfs/dbraw/zinc/39/82/36/272398236.db2.gz WIPHLRDPKIFPHP-KYZUINATSA-N 0 2 318.333 0.778 20 0 DCADLN CC(C)c1[nH]c2c([nH+]1)CC[C@@H](C(=O)NCCc1nc(=O)o[n-]1)C2 ZINC000527271756 272609238 /nfs/dbraw/zinc/60/92/38/272609238.db2.gz JFDCKGSJQLMWKI-SECBINFHSA-N 0 2 319.365 0.673 20 0 DCADLN CC(C)c1[nH]c2c([nH+]1)C[C@H](C(=O)NCCc1nc(=O)o[n-]1)CC2 ZINC000527271756 272609240 /nfs/dbraw/zinc/60/92/40/272609240.db2.gz JFDCKGSJQLMWKI-SECBINFHSA-N 0 2 319.365 0.673 20 0 DCADLN O=C([O-])CNC(=O)C[NH+]1CCN(c2cccc3[nH]ccc32)CC1 ZINC000530206657 272730712 /nfs/dbraw/zinc/73/07/12/272730712.db2.gz MHQRMXMQPHOFFY-UHFFFAOYSA-N 0 2 316.361 0.491 20 0 DCADLN CC(C)(C)c1cc(N[C@H]2CCc3ncnn3C2)nc(C(=O)[O-])[nH+]1 ZINC000519161191 287670414 /nfs/dbraw/zinc/67/04/14/287670414.db2.gz BGDXZZBRLMYIFT-VIFPVBQESA-N 0 2 316.365 0.913 20 0 DCADLN COC[C@@H](NC(=O)c1cc(F)c(F)c(O)c1F)C(=O)OC ZINC000543605738 287960813 /nfs/dbraw/zinc/96/08/13/287960813.db2.gz VFYQNZGYBZZQEN-SSDOTTSWSA-N 0 2 307.224 0.727 20 0 DCADLN C[C@@]1(CO)CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000546823087 288031594 /nfs/dbraw/zinc/03/15/94/288031594.db2.gz DTTKUJWANPRNPH-AWEZNQCLSA-N 0 2 304.306 0.423 20 0 DCADLN C[C@H]1CN(C(=O)C[N@@H+]2CCC(C)(C)C2)C[C@H]1[NH+]1CCOCC1 ZINC000553493023 288300380 /nfs/dbraw/zinc/30/03/80/288300380.db2.gz NOKDFKPEXNXZRR-LSDHHAIUSA-N 0 2 309.454 0.897 20 0 DCADLN CC1(C)CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@@H]1O ZINC000333232814 281220945 /nfs/dbraw/zinc/22/09/45/281220945.db2.gz UYTVBFOSOVKKGH-NSHDSACASA-N 0 2 303.318 0.873 20 0 DCADLN C[C@H]1CN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H]1C ZINC000267627826 300290154 /nfs/dbraw/zinc/29/01/54/300290154.db2.gz MYUZBGXUZBEYST-OCAPTIKFSA-N 0 2 324.362 0.713 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266848793 301146521 /nfs/dbraw/zinc/14/65/21/301146521.db2.gz QCEUTAOLCQWTTK-QMMMGPOBSA-N 0 2 304.306 0.653 20 0 DCADLN O=C(C1CC1)N1CC[C@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC001253235308 1159141108 /nfs/dbraw/zinc/14/11/08/1159141108.db2.gz QGTHFPCWHXCVRS-QMMMGPOBSA-N 0 2 300.302 0.479 20 0 DCADLN Cc1cc(CNS(=O)(=O)N2CCC[C@@H]2C(=O)[O-])cc(C)[nH+]1 ZINC000582060327 333194179 /nfs/dbraw/zinc/19/41/79/333194179.db2.gz CROYPMOEZCRXLL-GFCCVEGCSA-N 0 2 313.379 0.582 20 0 DCADLN Cc1ccc([C@@H](C[NH+]2CCOCC2)N=c2nn[n-]n2C)cc1 ZINC000571139112 333669714 /nfs/dbraw/zinc/66/97/14/333669714.db2.gz QCIWWMOWDWXNSR-CQSZACIVSA-N 0 2 302.382 0.426 20 0 DCADLN Cn1cc[nH+]c1[C@H](NC(=O)[C@@H]1C[N@H+]2CCN1CCC2)C1CC1 ZINC000580357894 336260176 /nfs/dbraw/zinc/26/01/76/336260176.db2.gz PXDZPXZFSBTXEI-UONOGXRCSA-N 0 2 303.410 0.377 20 0 DCADLN C[N@H+]1CCN(C(=O)NC[C@@H]2CCOc3ccccc32)[C@@H](C[NH3+])C1 ZINC000583311477 337313652 /nfs/dbraw/zinc/31/36/52/337313652.db2.gz IIYMOFVYFUUYPO-KBPBESRZSA-N 0 2 318.421 0.837 20 0 DCADLN CC(=O)N1CC(NC(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000175782407 341846222 /nfs/dbraw/zinc/84/62/22/341846222.db2.gz NZKXTWCWEHLTML-UHFFFAOYSA-N 0 2 300.318 0.538 20 0 DCADLN C[C@H](CNC(=O)c1c[nH]c2c(cnn2C)c1=O)c1nncn1C ZINC000356869129 534118729 /nfs/dbraw/zinc/11/87/29/534118729.db2.gz MRIOTZIKWONKFF-MRVPVSSYSA-N 0 2 315.337 0.336 20 0 DCADLN CCOC[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)C ZINC000191443028 520149782 /nfs/dbraw/zinc/14/97/82/520149782.db2.gz BHTGOHZBMJUGDE-NSHDSACASA-N 0 2 320.349 0.402 20 0 DCADLN COC[C@H](O)CCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000161615681 522781400 /nfs/dbraw/zinc/78/14/00/522781400.db2.gz QJDFTFVMFMBNOZ-GFCCVEGCSA-N 0 2 305.334 0.705 20 0 DCADLN O=C(NO[C@@H]1CCCCO1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000299510629 534716070 /nfs/dbraw/zinc/71/60/70/534716070.db2.gz BMKYPNHRANRWDF-SECBINFHSA-N 0 2 306.278 0.624 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266788187 524090014 /nfs/dbraw/zinc/09/00/14/524090014.db2.gz CAPLMMXRUINVGY-VIFPVBQESA-N 0 2 318.333 0.901 20 0 DCADLN NC(=O)[C@H]1CC[C@H](C(=O)N=c2nc(-c3ccsc3)[nH]s2)O1 ZINC000366376538 527010729 /nfs/dbraw/zinc/01/07/29/527010729.db2.gz QHDHGZKUEOQAME-HTQZYQBOSA-N 0 2 324.387 0.660 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H]1COc2ccccc2C1 ZINC000188187694 527047029 /nfs/dbraw/zinc/04/70/29/527047029.db2.gz CWHGWFRKVFNBMY-SECBINFHSA-N 0 2 306.347 0.310 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NCC3CCCC3)cc-2c(=O)[nH]1 ZINC000267657276 527212889 /nfs/dbraw/zinc/21/28/89/527212889.db2.gz MCPWJRNOTBIBBW-UHFFFAOYSA-N 0 2 324.362 0.905 20 0 DCADLN CCC(=O)N1CCC[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC000330949929 528313285 /nfs/dbraw/zinc/31/32/85/528313285.db2.gz OEQSBRZQGVNGQI-JTQLQIEISA-N 0 2 309.370 0.208 20 0 DCADLN CC[C@H](CNS(C)(=O)=O)NC(=O)c1cc(F)c(O)c(F)c1 ZINC000295739591 529365602 /nfs/dbraw/zinc/36/56/02/529365602.db2.gz ZHKPHSSHYZRZLK-MRVPVSSYSA-N 0 2 322.333 0.728 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)s1 ZINC000333362969 546078747 /nfs/dbraw/zinc/07/87/47/546078747.db2.gz UQOCIRMJWFVPHP-MRVPVSSYSA-N 0 2 315.380 0.805 20 0 DCADLN CC(C)(CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(N)=O ZINC000666610845 546488719 /nfs/dbraw/zinc/48/87/19/546488719.db2.gz MQRXQFMOWROTHF-UHFFFAOYSA-N 0 2 304.306 0.271 20 0 DCADLN CCNC(=O)CN(CC)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666895161 546524404 /nfs/dbraw/zinc/52/44/04/546524404.db2.gz ISEOTESHCUOIRI-UHFFFAOYSA-N 0 2 318.333 0.628 20 0 DCADLN CCCS(=O)(=O)CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000670410705 547081133 /nfs/dbraw/zinc/08/11/33/547081133.db2.gz IPRDYDFRHRBBIJ-VIFPVBQESA-N 0 2 316.383 0.041 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)CCCC(=O)N2 ZINC000673949795 547545210 /nfs/dbraw/zinc/54/52/10/547545210.db2.gz XAGWQXUNPIVTCI-UHFFFAOYSA-N 0 2 301.306 0.715 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccnc(N2CCCCC2)n1 ZINC000673949390 547545475 /nfs/dbraw/zinc/54/54/75/547545475.db2.gz NBWQXMIBNXTLDD-UHFFFAOYSA-N 0 2 303.326 0.221 20 0 DCADLN CN1C(=O)CCc2cc(NC(=O)NCc3n[nH]c(=O)[nH]3)ccc21 ZINC000675568441 547693510 /nfs/dbraw/zinc/69/35/10/547693510.db2.gz XTOLCCIOHJLQLJ-UHFFFAOYSA-N 0 2 316.321 0.741 20 0 DCADLN O=S(=O)(Nc1cnoc1)c1ccc2c(c1)S(=O)(=O)CC2 ZINC000675628612 547700705 /nfs/dbraw/zinc/70/07/05/547700705.db2.gz HMSZWZZGUFVBCI-UHFFFAOYSA-N 0 2 314.344 0.805 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N1CCO[C@H]2CCCC[C@@H]21 ZINC000676230464 547747212 /nfs/dbraw/zinc/74/72/12/547747212.db2.gz JAPUIIVDMFPJAI-STQMWFEESA-N 0 2 314.382 0.189 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N1CCO[C@H]2CCCC[C@@H]21 ZINC000676230464 547747216 /nfs/dbraw/zinc/74/72/16/547747216.db2.gz JAPUIIVDMFPJAI-STQMWFEESA-N 0 2 314.382 0.189 20 0 DCADLN Cc1ccc(CNC(=O)[C@H](C)[N@@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000676231374 547747255 /nfs/dbraw/zinc/74/72/55/547747255.db2.gz GAKQOAVPRIIMOU-JSGCOSHPSA-N 0 2 306.362 0.785 20 0 DCADLN Cc1ccc(CNC(=O)[C@H](C)[N@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000676231374 547747258 /nfs/dbraw/zinc/74/72/58/547747258.db2.gz GAKQOAVPRIIMOU-JSGCOSHPSA-N 0 2 306.362 0.785 20 0 DCADLN CCOc1ccc(S(=O)(=O)Nc2cnn(CCO)c2)cn1 ZINC000680489752 548148572 /nfs/dbraw/zinc/14/85/72/548148572.db2.gz FQIYLPHAWIKRSC-UHFFFAOYSA-N 0 2 312.351 0.470 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1CCN(C)C1=O ZINC000681227626 548219645 /nfs/dbraw/zinc/21/96/45/548219645.db2.gz MIGQZKULYKULFC-QMMMGPOBSA-N 0 2 320.374 0.834 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(-n2cccn2)cc1 ZINC000682833097 548430408 /nfs/dbraw/zinc/43/04/08/548430408.db2.gz VDXBTVKZKGMAMX-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC2CCOCC2)c1 ZINC000736939952 598892153 /nfs/dbraw/zinc/89/21/53/598892153.db2.gz JSAKEWKKCULDNE-UHFFFAOYSA-N 0 2 319.321 0.242 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CCC(=O)NCC(=O)c2ccccc2)CCO1 ZINC000821377769 597673989 /nfs/dbraw/zinc/67/39/89/597673989.db2.gz SOHGIWNZHODKOC-AWEZNQCLSA-N 0 2 320.345 0.161 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CCC(=O)NCC(=O)c2ccccc2)CCO1 ZINC000821377769 597673992 /nfs/dbraw/zinc/67/39/92/597673992.db2.gz SOHGIWNZHODKOC-AWEZNQCLSA-N 0 2 320.345 0.161 20 0 DCADLN CC(C)[N@H+](CC(=O)[O-])Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000820015467 598082740 /nfs/dbraw/zinc/08/27/40/598082740.db2.gz VRGZQVUZVLTRRI-UHFFFAOYSA-N 0 2 304.368 0.825 20 0 DCADLN CC(C)[N@@H+](CC(=O)[O-])Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000820015467 598082741 /nfs/dbraw/zinc/08/27/41/598082741.db2.gz VRGZQVUZVLTRRI-UHFFFAOYSA-N 0 2 304.368 0.825 20 0 DCADLN Cc1ccn(CC(=O)N(C(C)C)C(C)C)c(=O)c1-c1nn[nH]n1 ZINC000822369665 607349382 /nfs/dbraw/zinc/34/93/82/607349382.db2.gz LGIKEZFXOHQNBD-UHFFFAOYSA-N 0 2 318.381 0.982 20 0 DCADLN Cc1ccn(CC(=O)NC2CCCCC2)c(=O)c1-c1nn[nH]n1 ZINC000822370167 607349568 /nfs/dbraw/zinc/34/95/68/607349568.db2.gz SHDAKNJEPMLFJQ-UHFFFAOYSA-N 0 2 316.365 0.786 20 0 DCADLN Cc1nn(Cc2nnsc2Cl)c(=O)c(-c2nn[nH]n2)c1C ZINC000737521861 598310589 /nfs/dbraw/zinc/31/05/89/598310589.db2.gz KMKTVSAFWLZSKO-UHFFFAOYSA-N 0 2 324.757 0.593 20 0 DCADLN CCc1nn(Cc2noc(C)n2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706442 598377577 /nfs/dbraw/zinc/37/75/77/598377577.db2.gz LQAFGZYEEUTKIF-UHFFFAOYSA-N 0 2 316.325 0.288 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000736823950 599755304 /nfs/dbraw/zinc/75/53/04/599755304.db2.gz ZCTTXRARECRBDT-LBPRGKRZSA-N 0 2 322.361 0.601 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000736823950 599755305 /nfs/dbraw/zinc/75/53/05/599755305.db2.gz ZCTTXRARECRBDT-LBPRGKRZSA-N 0 2 322.361 0.601 20 0 DCADLN C[C@H](NC(=O)NCCCCC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000736648652 599832190 /nfs/dbraw/zinc/83/21/90/599832190.db2.gz ZYHHOHBJBXKMQY-RYUDHWBXSA-N 0 2 301.387 0.650 20 0 DCADLN C[C@H]([C@H](C)NC(=S)NCCCC(=O)[O-])[NH+]1CCOCC1 ZINC000736660875 599839001 /nfs/dbraw/zinc/83/90/01/599839001.db2.gz PHMLJNTWZMKIGC-WDEREUQCSA-N 0 2 303.428 0.425 20 0 DCADLN CCCN(CC(=O)[O-])C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000737011214 599993002 /nfs/dbraw/zinc/99/30/02/599993002.db2.gz XPJCJXGAWRQSOV-UHFFFAOYSA-N 0 2 322.409 0.955 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)NCCCc1ccccc1 ZINC000388544198 600140145 /nfs/dbraw/zinc/14/01/45/600140145.db2.gz FUAKMEDRHPZXIJ-KGLIPLIRSA-N 0 2 306.362 0.255 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)NCCCc1ccccc1 ZINC000388544198 600140146 /nfs/dbraw/zinc/14/01/46/600140146.db2.gz FUAKMEDRHPZXIJ-KGLIPLIRSA-N 0 2 306.362 0.255 20 0 DCADLN CCc1nc([C@H]2CCC[N@@H+]2CCC(=O)N(C)CC(=O)[O-])no1 ZINC000737282128 600295256 /nfs/dbraw/zinc/29/52/56/600295256.db2.gz VMHLHKNWSPKVCW-SNVBAGLBSA-N 0 2 310.354 0.702 20 0 DCADLN CCc1nc([C@H]2CCC[N@H+]2CCC(=O)N(C)CC(=O)[O-])no1 ZINC000737282128 600295257 /nfs/dbraw/zinc/29/52/57/600295257.db2.gz VMHLHKNWSPKVCW-SNVBAGLBSA-N 0 2 310.354 0.702 20 0 DCADLN Cc1ccccc1C[C@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000738766505 600311808 /nfs/dbraw/zinc/31/18/08/600311808.db2.gz DUFCSRLAXUTYGC-CFVMTHIKSA-N 0 2 320.389 0.562 20 0 DCADLN Cc1ccccc1C[C@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000738766505 600311812 /nfs/dbraw/zinc/31/18/12/600311812.db2.gz DUFCSRLAXUTYGC-CFVMTHIKSA-N 0 2 320.389 0.562 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000037000784 600770563 /nfs/dbraw/zinc/77/05/63/600770563.db2.gz PGJVEWJHCSPGTM-SECBINFHSA-N 0 2 312.351 0.287 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2cccc(C(=O)[O-])c2)CC1 ZINC000820899935 601026384 /nfs/dbraw/zinc/02/63/84/601026384.db2.gz LXWVBKSKBKCZMX-NRFANRHFSA-N 0 2 310.419 0.881 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@H]3C2)c[nH]1 ZINC000252446230 601106885 /nfs/dbraw/zinc/10/68/85/601106885.db2.gz PCLUFUATGQUFKC-JTQLQIEISA-N 0 2 313.379 0.572 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CC[N@H+]3CCCC[C@H]3C2)c[nH]1 ZINC000252446230 601106886 /nfs/dbraw/zinc/10/68/86/601106886.db2.gz PCLUFUATGQUFKC-JTQLQIEISA-N 0 2 313.379 0.572 20 0 DCADLN O=C([O-])CNC(=O)CC[NH2+]C1(c2noc(C3CC3)n2)CCCC1 ZINC000739809445 601107518 /nfs/dbraw/zinc/10/75/18/601107518.db2.gz VKVSVLMUQMILCG-UHFFFAOYSA-N 0 2 322.365 0.897 20 0 DCADLN C[C@H]1CN(C(=O)c2cccc(C(=O)[O-])n2)C[C@@H]1[NH+]1CCOCC1 ZINC000736807228 601109347 /nfs/dbraw/zinc/10/93/47/601109347.db2.gz LWSIQMFUAFDHIW-FZMZJTMJSA-N 0 2 319.361 0.573 20 0 DCADLN C/C(=C\C[N@@H+]1CCO[C@@H](CN(C)c2cccnn2)C1)C(=O)[O-] ZINC000825747669 601177737 /nfs/dbraw/zinc/17/77/37/601177737.db2.gz WPBJTWXXFCIPJI-YFTXEMMHSA-N 0 2 306.366 0.645 20 0 DCADLN C/C(=C\C[N@H+]1CCO[C@@H](CN(C)c2cccnn2)C1)C(=O)[O-] ZINC000825747669 601177738 /nfs/dbraw/zinc/17/77/38/601177738.db2.gz WPBJTWXXFCIPJI-YFTXEMMHSA-N 0 2 306.366 0.645 20 0 DCADLN O=C([O-])c1ccc(NC(=O)C[N@H+]2[C@@H]3CC[C@H]2CNC(=O)C3)cc1 ZINC000833354316 601181802 /nfs/dbraw/zinc/18/18/02/601181802.db2.gz CGVRITYZOQNXFZ-OLZOCXBDSA-N 0 2 317.345 0.676 20 0 DCADLN O=C([O-])c1ccc(NC(=O)C[N@@H+]2[C@@H]3CC[C@H]2CNC(=O)C3)cc1 ZINC000833354316 601181804 /nfs/dbraw/zinc/18/18/04/601181804.db2.gz CGVRITYZOQNXFZ-OLZOCXBDSA-N 0 2 317.345 0.676 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000826000488 601385265 /nfs/dbraw/zinc/38/52/65/601385265.db2.gz PNYQWXWBOCDXCR-CHNSCGDPSA-N 0 2 312.391 0.445 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000826000488 601385266 /nfs/dbraw/zinc/38/52/66/601385266.db2.gz PNYQWXWBOCDXCR-CHNSCGDPSA-N 0 2 312.391 0.445 20 0 DCADLN COC(=O)CCCc1nc(Cn2cc(C(=O)[O-])[nH+]c2C)no1 ZINC000831155484 601637381 /nfs/dbraw/zinc/63/73/81/601637381.db2.gz LTNAVPGFMSHIPQ-UHFFFAOYSA-N 0 2 308.294 0.817 20 0 DCADLN O=C([O-])C[C@H]1CN(C(=O)NCc2cn3c([nH+]2)CCCC3)CCO1 ZINC000833216584 601773300 /nfs/dbraw/zinc/77/33/00/601773300.db2.gz BURCUNVTUSHRPG-LBPRGKRZSA-N 0 2 322.365 0.605 20 0 DCADLN COCCn1cnn(C[N@@H+]2C[C@H](C)[C@H](C(=O)[O-])C2)c1=S ZINC000831807141 601820821 /nfs/dbraw/zinc/82/08/21/601820821.db2.gz BHYXUDOGIRNGBR-VHSXEESVSA-N 0 2 300.384 0.670 20 0 DCADLN COCCn1cnn(C[N@H+]2C[C@H](C)[C@H](C(=O)[O-])C2)c1=S ZINC000831807141 601820822 /nfs/dbraw/zinc/82/08/22/601820822.db2.gz BHYXUDOGIRNGBR-VHSXEESVSA-N 0 2 300.384 0.670 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCc2cccc(C(=O)[O-])c2)CCO1 ZINC000820251994 601866695 /nfs/dbraw/zinc/86/66/95/601866695.db2.gz VFEZVLCFPNCDSP-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCc2cccc(C(=O)[O-])c2)CCO1 ZINC000820251994 601866697 /nfs/dbraw/zinc/86/66/97/601866697.db2.gz VFEZVLCFPNCDSP-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1CCCN1C(=O)[O-])[NH+]1CCN(C)CC1 ZINC000824922124 602927384 /nfs/dbraw/zinc/92/73/84/602927384.db2.gz LZRJLCJQGGUBPX-QWHCGFSZSA-N 0 2 312.414 0.271 20 0 DCADLN O=C([O-])NCc1ccc(C(=O)N[C@@H]2CCc3[nH+]ccn3C2)o1 ZINC000832689936 603424598 /nfs/dbraw/zinc/42/45/98/603424598.db2.gz CEILOYQJSHJZDG-SECBINFHSA-N 0 2 304.306 0.989 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(C3CC3)on2)[C@@H](CNC(=O)[O-])C1 ZINC000828505783 603507634 /nfs/dbraw/zinc/50/76/34/603507634.db2.gz BBDYNPNNPXRLJT-JTQLQIEISA-N 0 2 308.338 0.576 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(C3CC3)on2)[C@@H](CNC(=O)[O-])C1 ZINC000828505783 603507637 /nfs/dbraw/zinc/50/76/37/603507637.db2.gz BBDYNPNNPXRLJT-JTQLQIEISA-N 0 2 308.338 0.576 20 0 DCADLN Cc1noc(C)c1CCC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830909662 603511827 /nfs/dbraw/zinc/51/18/27/603511827.db2.gz RYMAUUQDYGTEBR-GFCCVEGCSA-N 0 2 324.381 0.634 20 0 DCADLN Cc1noc(C)c1CCC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830909662 603511828 /nfs/dbraw/zinc/51/18/28/603511828.db2.gz RYMAUUQDYGTEBR-GFCCVEGCSA-N 0 2 324.381 0.634 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2Cc3ccccc3O2)[C@H](CNC(=O)[O-])C1 ZINC000828496177 603513556 /nfs/dbraw/zinc/51/35/56/603513556.db2.gz UZHYFISAGCMNGK-OCCSQVGLSA-N 0 2 319.361 0.400 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2Cc3ccccc3O2)[C@H](CNC(=O)[O-])C1 ZINC000828496177 603513560 /nfs/dbraw/zinc/51/35/60/603513560.db2.gz UZHYFISAGCMNGK-OCCSQVGLSA-N 0 2 319.361 0.400 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(C3CC3)n[nH]2)[C@@H](CNC(=O)[O-])C1 ZINC000828506138 603515647 /nfs/dbraw/zinc/51/56/47/603515647.db2.gz DZZOJJFMEHRKLH-JTQLQIEISA-N 0 2 307.354 0.311 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(C3CC3)n[nH]2)[C@@H](CNC(=O)[O-])C1 ZINC000828506138 603515650 /nfs/dbraw/zinc/51/56/50/603515650.db2.gz DZZOJJFMEHRKLH-JTQLQIEISA-N 0 2 307.354 0.311 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(F)c(F)c2)[C@@H](CNC(=O)[O-])C1 ZINC000828513050 603522294 /nfs/dbraw/zinc/52/22/94/603522294.db2.gz FZSAARIYRVEDKQ-JTQLQIEISA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(F)c(F)c2)[C@@H](CNC(=O)[O-])C1 ZINC000828513050 603522297 /nfs/dbraw/zinc/52/22/97/603522297.db2.gz FZSAARIYRVEDKQ-JTQLQIEISA-N 0 2 313.304 0.989 20 0 DCADLN O=C([O-])N1CC(OCC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC000831852626 603549884 /nfs/dbraw/zinc/54/98/84/603549884.db2.gz RSWXIVMELMYVDV-UHFFFAOYSA-N 0 2 308.338 0.495 20 0 DCADLN O=C([O-])N1CC(OCC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC000831852626 603549888 /nfs/dbraw/zinc/54/98/88/603549888.db2.gz RSWXIVMELMYVDV-UHFFFAOYSA-N 0 2 308.338 0.495 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H]1CC[C@H](NC(=O)[O-])C1 ZINC000824908219 603578092 /nfs/dbraw/zinc/57/80/92/603578092.db2.gz RHOYEKNIBFURHJ-UPJWGTAASA-N 0 2 312.414 0.175 20 0 DCADLN COC[C@H]1CCN(C(=O)C(C)(C)[NH+]2CCN(C(=O)[O-])CC2)C1 ZINC000828930080 603824568 /nfs/dbraw/zinc/82/45/68/603824568.db2.gz OBKRWPRKDYSJKJ-LBPRGKRZSA-N 0 2 313.398 0.556 20 0 DCADLN CC(C)(CNC(=O)NCC(C)(C)[NH+]1CCOCC1)NC(=O)[O-] ZINC000823930515 603886435 /nfs/dbraw/zinc/88/64/35/603886435.db2.gz HFQNSIFCXSSJMS-UHFFFAOYSA-N 0 2 316.402 0.443 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@]1(C)CCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000825076489 603927435 /nfs/dbraw/zinc/92/74/35/603927435.db2.gz SENJOPCIARADCA-YWPYICTPSA-N 0 2 313.398 0.602 20 0 DCADLN CN(CCNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC000828323896 604111337 /nfs/dbraw/zinc/11/13/37/604111337.db2.gz HKZYNYZFABOBIL-GFCCVEGCSA-N 0 2 314.386 0.102 20 0 DCADLN CCC(CC)(CNC(=O)NC[C@@H]1C[N@H+](C)CCO1)NC(=O)[O-] ZINC000826534050 604116896 /nfs/dbraw/zinc/11/68/96/604116896.db2.gz XHUNQQBJTDEOJF-LLVKDONJSA-N 0 2 316.402 0.443 20 0 DCADLN CCC(CC)(CNC(=O)NC[C@@H]1C[N@@H+](C)CCO1)NC(=O)[O-] ZINC000826534050 604116899 /nfs/dbraw/zinc/11/68/99/604116899.db2.gz XHUNQQBJTDEOJF-LLVKDONJSA-N 0 2 316.402 0.443 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@H]2CN(C(=O)[O-])CCO2)C[C@@H]1n1cc[nH+]c1 ZINC000825938849 604298315 /nfs/dbraw/zinc/29/83/15/604298315.db2.gz QQZIRHFSHPHHHT-FRRDWIJNSA-N 0 2 322.365 0.671 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3n[nH]cc3c2)[C@@H](CNC(=O)[O-])C1 ZINC000828513232 604394640 /nfs/dbraw/zinc/39/46/40/604394640.db2.gz HOGAZTGGUAJMHL-LBPRGKRZSA-N 0 2 317.349 0.587 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3n[nH]cc3c2)[C@@H](CNC(=O)[O-])C1 ZINC000828513232 604394643 /nfs/dbraw/zinc/39/46/43/604394643.db2.gz HOGAZTGGUAJMHL-LBPRGKRZSA-N 0 2 317.349 0.587 20 0 DCADLN CCCn1c(CO)nn(C[N@@H+]2C[C@H](C)[C@@H](C(=O)[O-])C2)c1=S ZINC000829580279 604398705 /nfs/dbraw/zinc/39/87/05/604398705.db2.gz UQBRKXQONVMLDB-UWVGGRQHSA-N 0 2 314.411 0.926 20 0 DCADLN CCCn1c(CO)nn(C[N@H+]2C[C@H](C)[C@@H](C(=O)[O-])C2)c1=S ZINC000829580279 604398708 /nfs/dbraw/zinc/39/87/08/604398708.db2.gz UQBRKXQONVMLDB-UWVGGRQHSA-N 0 2 314.411 0.926 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824267575 604402129 /nfs/dbraw/zinc/40/21/29/604402129.db2.gz CMTFQJLGBWUXNP-NEPJUHHUSA-N 0 2 301.387 0.458 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824267575 604402131 /nfs/dbraw/zinc/40/21/31/604402131.db2.gz CMTFQJLGBWUXNP-NEPJUHHUSA-N 0 2 301.387 0.458 20 0 DCADLN CCCCC[C@H](O)CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826819907 604402412 /nfs/dbraw/zinc/40/24/12/604402412.db2.gz HTEWLCSDUTWALK-STQMWFEESA-N 0 2 315.414 0.728 20 0 DCADLN CCCCC[C@H](O)CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826819907 604402413 /nfs/dbraw/zinc/40/24/13/604402413.db2.gz HTEWLCSDUTWALK-STQMWFEESA-N 0 2 315.414 0.728 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1CN(c2ncnc3c2C[N@H+](C)CC3)CCO1 ZINC000825371645 604453953 /nfs/dbraw/zinc/45/39/53/604453953.db2.gz HHYLQHMAZKUSAR-MFKMUULPSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1CN(c2ncnc3c2C[N@@H+](C)CC3)CCO1 ZINC000825371645 604453955 /nfs/dbraw/zinc/45/39/55/604453955.db2.gz HHYLQHMAZKUSAR-MFKMUULPSA-N 0 2 321.381 0.326 20 0 DCADLN O=C([O-])Nc1cccc(C(=O)NC[C@@H](O)C[NH+]2CCOCC2)c1 ZINC000833289062 604566350 /nfs/dbraw/zinc/56/63/50/604566350.db2.gz DVKVNSDSVTZENB-CYBMUJFWSA-N 0 2 323.349 0.199 20 0 DCADLN Cc1cc(C[N@@H+]2CCO[C@H](C(N)=O)C2)cc(C)c1OCC(=O)[O-] ZINC000833745468 604623834 /nfs/dbraw/zinc/62/38/34/604623834.db2.gz JTWJXKFALPMVMR-ZDUSSCGKSA-N 0 2 322.361 0.453 20 0 DCADLN Cc1cc(C[N@H+]2CCO[C@H](C(N)=O)C2)cc(C)c1OCC(=O)[O-] ZINC000833745468 604623838 /nfs/dbraw/zinc/62/38/38/604623838.db2.gz JTWJXKFALPMVMR-ZDUSSCGKSA-N 0 2 322.361 0.453 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CN(C(=O)CCCn2cc[nH+]c2)CCO1 ZINC000825304275 604701611 /nfs/dbraw/zinc/70/16/11/604701611.db2.gz ZOAXZCFZIIZNFP-RYUDHWBXSA-N 0 2 310.354 0.547 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N2CCC(n3cc[nH+]c3)CC2)C1 ZINC000832051105 604794124 /nfs/dbraw/zinc/79/41/24/604794124.db2.gz TVIWSWUNNLOPMD-GFCCVEGCSA-N 0 2 308.338 0.425 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)[C@@H]1CCSC1 ZINC000828313280 604855936 /nfs/dbraw/zinc/85/59/36/604855936.db2.gz WXCSEKXNLHVGRH-LLVKDONJSA-N 0 2 301.412 0.494 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1CN(C(=O)[O-])CCO1)Cn1cc[nH+]c1 ZINC000824921047 604946573 /nfs/dbraw/zinc/94/65/73/604946573.db2.gz BMXRRQXCIRLBTP-VXGBXAGGSA-N 0 2 310.354 0.404 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@@H](C(=O)[O-])c1ccc(OC)cc1 ZINC000833658255 604948468 /nfs/dbraw/zinc/94/84/68/604948468.db2.gz YMINKFQGTASLCB-BXKDBHETSA-N 0 2 323.349 0.645 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)[C@H](C(=O)[O-])c1ccccc1 ZINC000833657960 604950103 /nfs/dbraw/zinc/95/01/03/604950103.db2.gz WNKIFKTUHYQZRD-PWSUYJOCSA-N 0 2 307.350 0.978 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)[C@H](C(=O)[O-])c1ccccc1 ZINC000833657960 604950106 /nfs/dbraw/zinc/95/01/06/604950106.db2.gz WNKIFKTUHYQZRD-PWSUYJOCSA-N 0 2 307.350 0.978 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000833503846 605013370 /nfs/dbraw/zinc/01/33/70/605013370.db2.gz KSOCWXLIWFUDJX-AAEUAGOBSA-N 0 2 309.366 0.782 20 0 DCADLN CC(C)C[C@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000824230059 607731033 /nfs/dbraw/zinc/73/10/33/607731033.db2.gz YXAICJJWOFEDRX-QMMMGPOBSA-N 0 2 307.358 0.111 20 0 DCADLN O=C(CO[C@H]1CCCN(C(=O)[O-])C1)NCCNc1cccc[nH+]1 ZINC000831512930 605256059 /nfs/dbraw/zinc/25/60/59/605256059.db2.gz KKXRWMXCHLJEMD-LBPRGKRZSA-N 0 2 322.365 0.769 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CCN(C(=O)NCCCn2cc[nH+]c2)C1 ZINC000833816368 605485828 /nfs/dbraw/zinc/48/58/28/605485828.db2.gz KTPIMQFVPSJYAD-RYUDHWBXSA-N 0 2 309.370 0.961 20 0 DCADLN O=C([O-])N1CCN(S(=O)(=O)C2C[NH+](C3CCCC3)C2)CC1 ZINC000834117565 605624087 /nfs/dbraw/zinc/62/40/87/605624087.db2.gz REVVKQBNQKPORU-UHFFFAOYSA-N 0 2 317.411 0.239 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCn1cc[nH+]c1 ZINC000833710403 605664886 /nfs/dbraw/zinc/66/48/86/605664886.db2.gz XQVWXMHCRDFPFS-MNOVXSKESA-N 0 2 309.322 0.138 20 0 DCADLN O=C([O-])N1CC(CNC(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000834043997 605728772 /nfs/dbraw/zinc/72/87/72/605728772.db2.gz BSJFHCCLNGCISB-UHFFFAOYSA-N 0 2 321.381 0.671 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC000834068014 605770406 /nfs/dbraw/zinc/77/04/06/605770406.db2.gz QNRBQILJFMWSOQ-UHFFFAOYSA-N 0 2 307.354 0.909 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC000834068014 605770410 /nfs/dbraw/zinc/77/04/10/605770410.db2.gz QNRBQILJFMWSOQ-UHFFFAOYSA-N 0 2 307.354 0.909 20 0 DCADLN O=C([O-])N1CC[C@H]([N@H+](Cc2cc(=O)n3[nH]ccc3n2)C2CC2)C1 ZINC000834084361 605782905 /nfs/dbraw/zinc/78/29/05/605782905.db2.gz SHFWDOCVLZDTJT-LBPRGKRZSA-N 0 2 317.349 0.739 20 0 DCADLN O=C([O-])N1CC[C@H]([N@@H+](Cc2cc(=O)n3[nH]ccc3n2)C2CC2)C1 ZINC000834084361 605782907 /nfs/dbraw/zinc/78/29/07/605782907.db2.gz SHFWDOCVLZDTJT-LBPRGKRZSA-N 0 2 317.349 0.739 20 0 DCADLN O=C([O-])N1CCC(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC000834031858 605841855 /nfs/dbraw/zinc/84/18/55/605841855.db2.gz QWZRRFFECDOYIZ-GFCCVEGCSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CC[C@@H](C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834017130 605975571 /nfs/dbraw/zinc/97/55/71/605975571.db2.gz ICTWYIFLJAIMAV-NEPJUHHUSA-N 0 2 304.350 0.776 20 0 DCADLN O=C([O-])N1CCC[C@H]1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000834016602 605988231 /nfs/dbraw/zinc/98/82/31/605988231.db2.gz FEBOTOCQCKRACH-NEPJUHHUSA-N 0 2 304.350 0.919 20 0 DCADLN O=C([O-])NCc1cccc(OC[C@@H](O)C[NH+]2CCOCC2)c1 ZINC000834247881 606038296 /nfs/dbraw/zinc/03/82/96/606038296.db2.gz WWZJHXJTKIQNRM-ZDUSSCGKSA-N 0 2 310.350 0.526 20 0 DCADLN O=C([O-])Nc1cnc(C(=O)NCCCCn2cc[nH+]c2)cn1 ZINC000834280398 606057975 /nfs/dbraw/zinc/05/79/75/606057975.db2.gz RHZTVFPVZUWGRV-UHFFFAOYSA-N 0 2 304.310 0.973 20 0 DCADLN O=C([O-])Nc1cnc(C(=O)NCCNc2cccc[nH+]2)cn1 ZINC000834278999 606068505 /nfs/dbraw/zinc/06/85/05/606068505.db2.gz GPNMCWQGJJHRND-UHFFFAOYSA-N 0 2 302.294 0.803 20 0 DCADLN CN(Cc1nnc[nH]1)c1c2ccccc2nnc1-c1nn[nH]n1 ZINC000821618002 606591921 /nfs/dbraw/zinc/59/19/21/606591921.db2.gz LNJQWNXEFSPWTL-UHFFFAOYSA-N 0 2 308.309 0.564 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CCCc2ccccc2)c1=O ZINC000826356476 608095908 /nfs/dbraw/zinc/09/59/08/608095908.db2.gz SGJXQWXUXGTPTG-UHFFFAOYSA-N 0 2 312.333 0.360 20 0 DCADLN Cc1occc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027744673 660689154 /nfs/dbraw/zinc/68/91/54/660689154.db2.gz ITIRPHWXVXNSAA-SNVBAGLBSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1cncs1 ZINC001027776937 660718769 /nfs/dbraw/zinc/71/87/69/660718769.db2.gz BMVUJFMPKWXAKV-QMMMGPOBSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1=COCCC1 ZINC001027788312 660731389 /nfs/dbraw/zinc/73/13/89/660731389.db2.gz IKHVTEDTQULTLK-NSHDSACASA-N 0 2 307.354 0.285 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1=COCCC1 ZINC001027788312 660731391 /nfs/dbraw/zinc/73/13/91/660731391.db2.gz IKHVTEDTQULTLK-NSHDSACASA-N 0 2 307.354 0.285 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001027847034 660830517 /nfs/dbraw/zinc/83/05/17/660830517.db2.gz XJNSRLCXEOJUJE-NSHDSACASA-N 0 2 305.338 0.806 20 0 DCADLN COC1CC(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001027955565 660971031 /nfs/dbraw/zinc/97/10/31/660971031.db2.gz JRYBEYRMPXTNIX-HSOILSAZSA-N 0 2 309.370 0.016 20 0 DCADLN COC1CC(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001027955565 660971032 /nfs/dbraw/zinc/97/10/32/660971032.db2.gz JRYBEYRMPXTNIX-HSOILSAZSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1C[C@@H](C[NH2+]Cc2cnns2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC000980707891 660988709 /nfs/dbraw/zinc/98/87/09/660988709.db2.gz HSUOMDMYKXKUBL-MNOVXSKESA-N 0 2 320.422 0.831 20 0 DCADLN O=C([C@@H]1CCC[C@@H]2C[C@@H]21)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981491729 661160279 /nfs/dbraw/zinc/16/02/79/661160279.db2.gz UGWLBOKPVCDJGQ-UPJWGTAASA-N 0 2 319.409 0.981 20 0 DCADLN CN1CC(C(=O)N2CCC[N@@H+](C[C@H]3CCCCO3)CC2)=NC1=O ZINC000981883097 661241368 /nfs/dbraw/zinc/24/13/68/661241368.db2.gz UVOZBVJABFPZIM-CYBMUJFWSA-N 0 2 322.409 0.843 20 0 DCADLN Cc1ncc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001010363028 661247171 /nfs/dbraw/zinc/24/71/71/661247171.db2.gz XDYAJIPJVABLSZ-MRVPVSSYSA-N 0 2 308.367 0.280 20 0 DCADLN C[C@H]1CCC[NH+]1CC(=O)N1CCC[N@H+](Cc2cnn(C)c2)CC1 ZINC000981952557 661253203 /nfs/dbraw/zinc/25/32/03/661253203.db2.gz AGMZWYBNWRXSJR-HNNXBMFYSA-N 0 2 319.453 0.939 20 0 DCADLN C[C@H](C(=O)N(C)C)[N@@H+]1CCCN(C(=O)C[NH+]2CC[C@H](C)C2)CC1 ZINC000981957215 661255616 /nfs/dbraw/zinc/25/56/16/661255616.db2.gz GHNQKWAMVYVWMQ-LSDHHAIUSA-N 0 2 324.469 0.339 20 0 DCADLN Cc1noc(CCC[N@H+]2CCC[C@@H]2CNC(=O)c2nc[nH]n2)n1 ZINC001028211459 661279473 /nfs/dbraw/zinc/27/94/73/661279473.db2.gz RDKUKJATVDXDSE-LLVKDONJSA-N 0 2 319.369 0.323 20 0 DCADLN CCn1ccc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)n1 ZINC000982084282 661286420 /nfs/dbraw/zinc/28/64/20/661286420.db2.gz NEHSWXTWZRYZFC-UHFFFAOYSA-N 0 2 319.369 0.075 20 0 DCADLN CC1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CC=CC1 ZINC001032605872 665411134 /nfs/dbraw/zinc/41/11/34/665411134.db2.gz JZMSCOSSZISWEO-QWRGUYRKSA-N 0 2 303.366 0.652 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1(CF)CCC1 ZINC001032636315 665479189 /nfs/dbraw/zinc/47/91/89/665479189.db2.gz CGGZBJPZSDRBTQ-UWVGGRQHSA-N 0 2 309.345 0.435 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000742650113 657854669 /nfs/dbraw/zinc/85/46/69/657854669.db2.gz LKVKHRPOMRUTIR-RNFRBKRXSA-N 0 2 301.368 0.389 20 0 DCADLN CCc1occc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969577969 657997456 /nfs/dbraw/zinc/99/74/56/657997456.db2.gz GEDULTQYIAOOJA-VIFPVBQESA-N 0 2 319.365 0.916 20 0 DCADLN CCn1cccc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970887433 658019953 /nfs/dbraw/zinc/01/99/53/658019953.db2.gz SMMUBDCABNSXQT-SNVBAGLBSA-N 0 2 318.381 0.582 20 0 DCADLN C[C@H](NC(=O)C1CC(C)(C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969615567 658025456 /nfs/dbraw/zinc/02/54/56/658025456.db2.gz YXAXBXIQADEGIW-VIFPVBQESA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](NC(=O)C1C=CC=CC=C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969762867 658127329 /nfs/dbraw/zinc/12/73/29/658127329.db2.gz JZUILYQRSFOAAE-LLVKDONJSA-N 0 2 315.377 0.745 20 0 DCADLN C[N@H+](CCn1cccn1)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC000972040224 658209159 /nfs/dbraw/zinc/20/91/59/658209159.db2.gz NOZJWLLBXBLPOK-OAHLLOKOSA-N 0 2 316.409 0.703 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H]([NH2+]Cc3nncs3)C2)c1[O-] ZINC000998956758 665556894 /nfs/dbraw/zinc/55/68/94/665556894.db2.gz WJNAXZDDCHGQJZ-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN CC(C)c1nnc(CN2CC([C@H](C)NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC000970023354 658371408 /nfs/dbraw/zinc/37/14/08/658371408.db2.gz GYUZXDNIJRGHTK-VIFPVBQESA-N 0 2 318.385 0.297 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001024305755 658556976 /nfs/dbraw/zinc/55/69/76/658556976.db2.gz XTYRWYGNHRYCTK-JTQLQIEISA-N 0 2 305.338 0.888 20 0 DCADLN CCC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC000972341189 658590078 /nfs/dbraw/zinc/59/00/78/658590078.db2.gz BDECBIOOTVSNOQ-HNNXBMFYSA-N 0 2 308.382 0.751 20 0 DCADLN CCC[N@H+]1CCO[C@@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC000972341189 658590081 /nfs/dbraw/zinc/59/00/81/658590081.db2.gz BDECBIOOTVSNOQ-HNNXBMFYSA-N 0 2 308.382 0.751 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)c2ccncc2)C1 ZINC000972896202 658638125 /nfs/dbraw/zinc/63/81/25/658638125.db2.gz MXQHJDABMJNLFQ-JOCQHMNTSA-N 0 2 313.361 0.733 20 0 DCADLN Cc1nc([C@H](C)[NH2+][C@H]2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)no1 ZINC001021974405 658700798 /nfs/dbraw/zinc/70/07/98/658700798.db2.gz ZOUJYXVVJFGJRJ-UJNFCWOMSA-N 0 2 320.353 0.727 20 0 DCADLN CCn1nncc1C[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010622743 658711137 /nfs/dbraw/zinc/71/11/37/658711137.db2.gz CGMBZSUMOSIHMB-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN CCn1nncc1C[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010622743 658711140 /nfs/dbraw/zinc/71/11/40/658711140.db2.gz CGMBZSUMOSIHMB-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN CC[C@H](F)C(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC000949686357 658741134 /nfs/dbraw/zinc/74/11/34/658741134.db2.gz AGQCYEXWDZMWKR-LBPRGKRZSA-N 0 2 321.356 0.415 20 0 DCADLN CN(Cc1nnn(C)n1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575358 658824598 /nfs/dbraw/zinc/82/45/98/658824598.db2.gz DNBRTOYMRFTOCN-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN CN(Cc1nnn(C)n1)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000971575358 658824610 /nfs/dbraw/zinc/82/46/10/658824610.db2.gz DNBRTOYMRFTOCN-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973016849 658943567 /nfs/dbraw/zinc/94/35/67/658943567.db2.gz QRATVARUNLJXCM-LLVKDONJSA-N 0 2 318.381 0.787 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973016849 658943571 /nfs/dbraw/zinc/94/35/71/658943571.db2.gz QRATVARUNLJXCM-LLVKDONJSA-N 0 2 318.381 0.787 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973050546 658981752 /nfs/dbraw/zinc/98/17/52/658981752.db2.gz IIAWTBCHQATXEZ-ZJUUUORDSA-N 0 2 307.398 0.883 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973050546 658981754 /nfs/dbraw/zinc/98/17/54/658981754.db2.gz IIAWTBCHQATXEZ-ZJUUUORDSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC000973091805 659072050 /nfs/dbraw/zinc/07/20/50/659072050.db2.gz DPYSMEGLFANMCO-JHJVBQTASA-N 0 2 320.393 0.451 20 0 DCADLN Cc1nc(C(=O)N[C@H]2C[C@@H](NC(=O)Cc3[nH]c[nH+]c3C)C2)co1 ZINC000974060298 659177789 /nfs/dbraw/zinc/17/77/89/659177789.db2.gz LWTHIGIUEMGXLK-PHIMTYICSA-N 0 2 317.349 0.634 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)[C@@H]1CCCO1 ZINC000976136190 659202443 /nfs/dbraw/zinc/20/24/43/659202443.db2.gz RHSFECDFOVFOKD-SQXHDICFSA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)[C@@H]1CCCO1 ZINC000976136190 659202446 /nfs/dbraw/zinc/20/24/46/659202446.db2.gz RHSFECDFOVFOKD-SQXHDICFSA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccs1 ZINC001006622204 659222591 /nfs/dbraw/zinc/22/25/91/659222591.db2.gz CGOUVYKNIZCUEU-SECBINFHSA-N 0 2 307.379 0.966 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@H]3C[C@@H]3C)C[C@H]21 ZINC000976923341 659493255 /nfs/dbraw/zinc/49/32/55/659493255.db2.gz QVRYWJIXWFFJKA-YLYWLHNCSA-N 0 2 302.378 0.490 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000977307695 659637401 /nfs/dbraw/zinc/63/74/01/659637401.db2.gz DORCYKVSABQIGU-QJPTWQEYSA-N 0 2 319.409 0.883 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000977307695 659637404 /nfs/dbraw/zinc/63/74/04/659637404.db2.gz DORCYKVSABQIGU-QJPTWQEYSA-N 0 2 319.409 0.883 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cnco1 ZINC000974867818 659700517 /nfs/dbraw/zinc/70/05/17/659700517.db2.gz CAUXEDBNEHFJKB-SECBINFHSA-N 0 2 306.326 0.139 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1CC12CC2 ZINC000975075529 659783035 /nfs/dbraw/zinc/78/30/35/659783035.db2.gz MVAUNPUTIUMREZ-ZJUUUORDSA-N 0 2 305.382 0.637 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1CC12CC2 ZINC000975075529 659783039 /nfs/dbraw/zinc/78/30/39/659783039.db2.gz MVAUNPUTIUMREZ-ZJUUUORDSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000788264133 661316237 /nfs/dbraw/zinc/31/62/37/661316237.db2.gz TXAIBVJZHDYGOH-RKDXNWHRSA-N 0 2 312.263 0.737 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000788264133 661316238 /nfs/dbraw/zinc/31/62/38/661316238.db2.gz TXAIBVJZHDYGOH-RKDXNWHRSA-N 0 2 312.263 0.737 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@H+](Cc3nncs3)C2)c1[O-] ZINC001028485068 661554295 /nfs/dbraw/zinc/55/42/95/661554295.db2.gz JNBWNZXQYHRDQB-SECBINFHSA-N 0 2 322.394 0.527 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@@H+](Cc3nncs3)C2)c1[O-] ZINC001028485068 661554297 /nfs/dbraw/zinc/55/42/97/661554297.db2.gz JNBWNZXQYHRDQB-SECBINFHSA-N 0 2 322.394 0.527 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000982849714 661699135 /nfs/dbraw/zinc/69/91/35/661699135.db2.gz SDLMMGOTFWQZKW-JGVFFNPUSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000982849714 661699137 /nfs/dbraw/zinc/69/91/37/661699137.db2.gz SDLMMGOTFWQZKW-JGVFFNPUSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C12CCC(CC1)C2 ZINC001038415515 661894179 /nfs/dbraw/zinc/89/41/79/661894179.db2.gz HBFITHGJXQHAKD-LOIXOFCESA-N 0 2 305.382 0.781 20 0 DCADLN O=C(N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C1(F)CCCC1 ZINC001029373483 662122368 /nfs/dbraw/zinc/12/23/68/662122368.db2.gz GZKIFAPOPMKSFG-PHIMTYICSA-N 0 2 323.372 0.968 20 0 DCADLN C[C@@H]1C[C@@H]1CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029456514 662217446 /nfs/dbraw/zinc/21/74/46/662217446.db2.gz VACBKOIYVBVFMN-KKOKHZNYSA-N 0 2 305.382 0.732 20 0 DCADLN Cc1ccoc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000449313 665845469 /nfs/dbraw/zinc/84/54/69/665845469.db2.gz WMPOTVFFXXMKLF-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN Cc1ccoc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000449313 665845471 /nfs/dbraw/zinc/84/54/71/665845471.db2.gz WMPOTVFFXXMKLF-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN CN(C(=O)c1ncc[nH]1)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000984707978 662283393 /nfs/dbraw/zinc/28/33/93/662283393.db2.gz WHBLFOKYWCJUTE-LBPRGKRZSA-N 0 2 316.365 0.439 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCOC[C@@H]3CC(=O)[O-])[nH+]c12 ZINC000909616819 662481455 /nfs/dbraw/zinc/48/14/55/662481455.db2.gz NQYXNBCPOBRXOE-ZDUSSCGKSA-N 0 2 317.345 0.887 20 0 DCADLN Cc1ncoc1C[NH2+][C@H]1CCN(C(=O)CCc2cnn[nH]2)[C@@H]1C ZINC000987020441 662635260 /nfs/dbraw/zinc/63/52/60/662635260.db2.gz XBCRXTHVYCQOJP-YPMHNXCESA-N 0 2 318.381 0.813 20 0 DCADLN O=C([O-])[C@@H](CC(F)(F)F)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000909671467 662688482 /nfs/dbraw/zinc/68/84/82/662688482.db2.gz PLUKWVXWJFXYQA-IONNQARKSA-N 0 2 305.256 0.967 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000937713590 662808199 /nfs/dbraw/zinc/80/81/99/662808199.db2.gz WXHBETDLBVUDSC-YUMQZZPRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000937713590 662808200 /nfs/dbraw/zinc/80/82/00/662808200.db2.gz WXHBETDLBVUDSC-YUMQZZPRSA-N 0 2 308.235 0.641 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937737980 662814205 /nfs/dbraw/zinc/81/42/05/662814205.db2.gz OLEYARUSQHSMGW-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000937737980 662814206 /nfs/dbraw/zinc/81/42/06/662814206.db2.gz OLEYARUSQHSMGW-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cc1c(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cnn1C ZINC000990256607 663022151 /nfs/dbraw/zinc/02/21/51/663022151.db2.gz UATZCYIQONMBMP-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1c(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)cnn1C ZINC000990256607 663022152 /nfs/dbraw/zinc/02/21/52/663022152.db2.gz UATZCYIQONMBMP-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc2ncc(C(=O)NN3C(=O)[C@@H](C)N(C)C3=O)c(C)n2n1 ZINC000899028215 663118522 /nfs/dbraw/zinc/11/85/22/663118522.db2.gz BPUVJTWCDMBYHV-SECBINFHSA-N 0 2 316.321 0.273 20 0 DCADLN CC(C)N(C)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000346550314 663208095 /nfs/dbraw/zinc/20/80/95/663208095.db2.gz GCFPHWOQWCUJMY-SECBINFHSA-N 0 2 303.388 0.275 20 0 DCADLN C[C@@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@@H]1CN(C)CC[N@@H+]1C ZINC000900811685 663283111 /nfs/dbraw/zinc/28/31/11/663283111.db2.gz NBTNCEAYNBZSPR-KOLCDFICSA-N 0 2 319.365 0.293 20 0 DCADLN C[C@@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@@H]1CN(C)CC[N@H+]1C ZINC000900811685 663283114 /nfs/dbraw/zinc/28/31/14/663283114.db2.gz NBTNCEAYNBZSPR-KOLCDFICSA-N 0 2 319.365 0.293 20 0 DCADLN C[C@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@H]1CN(C)CC[N@@H+]1C ZINC000900811684 663283382 /nfs/dbraw/zinc/28/33/82/663283382.db2.gz NBTNCEAYNBZSPR-GXSJLCMTSA-N 0 2 319.365 0.293 20 0 DCADLN C[C@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@H]1CN(C)CC[N@H+]1C ZINC000900811684 663283384 /nfs/dbraw/zinc/28/33/84/663283384.db2.gz NBTNCEAYNBZSPR-GXSJLCMTSA-N 0 2 319.365 0.293 20 0 DCADLN Cn1cc(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC001000865988 665945324 /nfs/dbraw/zinc/94/53/24/665945324.db2.gz CTMWAMGDBLRDKR-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cc(C(=O)NCC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001000865988 665945326 /nfs/dbraw/zinc/94/53/26/665945326.db2.gz CTMWAMGDBLRDKR-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000901798626 663368433 /nfs/dbraw/zinc/36/84/33/663368433.db2.gz RILHMXZKGRQTFI-AWEZNQCLSA-N 0 2 322.361 0.309 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000901949147 663374642 /nfs/dbraw/zinc/37/46/42/663374642.db2.gz IAUZXEJZSWXJRT-ZDUSSCGKSA-N 0 2 307.350 0.788 20 0 DCADLN O=C([O-])COCCNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000902287536 663402627 /nfs/dbraw/zinc/40/26/27/663402627.db2.gz VSMIKUXQLAMHAH-QMMMGPOBSA-N 0 2 309.244 0.594 20 0 DCADLN COc1cnc(NS(=O)(=O)c2cc(C3CC3)nn2C)nc1 ZINC000903628647 663471465 /nfs/dbraw/zinc/47/14/65/663471465.db2.gz FZFJSWHGORQUFE-UHFFFAOYSA-N 0 2 309.351 0.897 20 0 DCADLN COc1cc(NS(=O)(=O)c2cc(C3CC3)nn2C)n(C)n1 ZINC000903649329 663472314 /nfs/dbraw/zinc/47/23/14/663472314.db2.gz WJZSLMQTWUSWHV-UHFFFAOYSA-N 0 2 311.367 0.840 20 0 DCADLN O=S(=O)(Nc1nc2n(n1)CCCC2)c1cnc2n1CCCC2 ZINC000903637035 663472716 /nfs/dbraw/zinc/47/27/16/663472716.db2.gz QYHCUXHIEJYKKS-UHFFFAOYSA-N 0 2 322.394 0.948 20 0 DCADLN CS(=O)(=O)c1ccc(C[NH2+][C@@]2(C(=O)[O-])CCOC2)s1 ZINC000904009807 663500708 /nfs/dbraw/zinc/50/07/08/663500708.db2.gz KFMLQLPQZSJWRZ-NSHDSACASA-N 0 2 305.377 0.485 20 0 DCADLN Cc1cc(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001001099636 665991586 /nfs/dbraw/zinc/99/15/86/665991586.db2.gz WVXMXTRVVDOOCY-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN Cc1cc(C(=O)NCC2CN(C(=O)[C@H](F)C(F)(F)F)C2)[nH]n1 ZINC001001099636 665991589 /nfs/dbraw/zinc/99/15/89/665991589.db2.gz WVXMXTRVVDOOCY-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C1(C(=O)[O-])CCOCC1 ZINC000907913804 663723753 /nfs/dbraw/zinc/72/37/53/663723753.db2.gz GZJKCHJMAHPZJF-NWDGAFQWSA-N 0 2 321.377 0.832 20 0 DCADLN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)NCCCc1cc(=O)[nH][nH]1 ZINC000908233114 663745086 /nfs/dbraw/zinc/74/50/86/663745086.db2.gz UHQFWZCLUFUCBW-XPTSAGLGSA-N 0 2 303.366 0.848 20 0 DCADLN O=C([O-])[C@@H](NC(=O)NCCc1cn2c([nH+]1)CCCC2)C1CC1 ZINC000908846444 663772018 /nfs/dbraw/zinc/77/20/18/663772018.db2.gz NUTUBUFOGPGVMR-ZDUSSCGKSA-N 0 2 306.366 0.924 20 0 DCADLN CC[C@@H](C)N(CC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000908912755 663775081 /nfs/dbraw/zinc/77/50/81/663775081.db2.gz AWDCLCCWXUAOPT-GFCCVEGCSA-N 0 2 315.414 0.992 20 0 DCADLN CC[C@@H](C)N(CC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000908912755 663775082 /nfs/dbraw/zinc/77/50/82/663775082.db2.gz AWDCLCCWXUAOPT-GFCCVEGCSA-N 0 2 315.414 0.992 20 0 DCADLN Cc1cccn2cc(CCNC(=O)N[C@H](CF)C(=O)[O-])[nH+]c12 ZINC000909012028 663777672 /nfs/dbraw/zinc/77/76/72/663777672.db2.gz ZAPKGGRCDNBPFD-LLVKDONJSA-N 0 2 308.313 0.907 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000908986116 663777834 /nfs/dbraw/zinc/77/78/34/663777834.db2.gz CYVCNUSMIUDUPP-OLZOCXBDSA-N 0 2 321.377 0.928 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H](C)C[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC000909781301 663804178 /nfs/dbraw/zinc/80/41/78/663804178.db2.gz OCEYOYQBMMBFEV-UJWMVZFISA-N 0 2 310.394 0.977 20 0 DCADLN COC1(C[C@@H](NC(=O)[C@H]2CCc3[nH+]ccn3C2)C(=O)[O-])CCC1 ZINC000909891243 663814361 /nfs/dbraw/zinc/81/43/61/663814361.db2.gz YORORIMSWLYHCU-NWDGAFQWSA-N 0 2 321.377 0.974 20 0 DCADLN CC[C@@H](C(=O)N1CC([C@H](F)C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000910123093 663847766 /nfs/dbraw/zinc/84/77/66/663847766.db2.gz FKHOITNPGYLPJJ-AGIUHOORSA-N 0 2 316.373 0.757 20 0 DCADLN CC[C@@H](C(=O)N1CC([C@H](F)C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000910123093 663847767 /nfs/dbraw/zinc/84/77/67/663847767.db2.gz FKHOITNPGYLPJJ-AGIUHOORSA-N 0 2 316.373 0.757 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000910237474 663858397 /nfs/dbraw/zinc/85/83/97/663858397.db2.gz UEYGAKXINBKVAI-FRRDWIJNSA-N 0 2 321.377 0.832 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000910402528 663877294 /nfs/dbraw/zinc/87/72/94/663877294.db2.gz PEBDLAIMUKETMF-UHFFFAOYSA-N 0 2 324.381 0.365 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000910402528 663877296 /nfs/dbraw/zinc/87/72/96/663877296.db2.gz PEBDLAIMUKETMF-UHFFFAOYSA-N 0 2 324.381 0.365 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N(C)[C@H]3C[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910474886 663889327 /nfs/dbraw/zinc/88/93/27/663889327.db2.gz FRKQJAXHYZURNP-ZDEQEGDKSA-N 0 2 320.393 0.983 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N(C)[C@H]3C[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910474886 663889331 /nfs/dbraw/zinc/88/93/31/663889331.db2.gz FRKQJAXHYZURNP-ZDEQEGDKSA-N 0 2 320.393 0.983 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC000910480920 663891222 /nfs/dbraw/zinc/89/12/22/663891222.db2.gz VFWXWUPFDPWCCK-AWEZNQCLSA-N 0 2 324.406 0.710 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(c2cc(N3CCSCC3)nc[nH+]2)C1 ZINC000910480920 663891225 /nfs/dbraw/zinc/89/12/25/663891225.db2.gz VFWXWUPFDPWCCK-AWEZNQCLSA-N 0 2 324.406 0.710 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNc1cc(N2CCOCC2)nc[nH+]1 ZINC000910482410 663891430 /nfs/dbraw/zinc/89/14/30/663891430.db2.gz QOGHJOONIONUDU-ZWNOBZJWSA-N 0 2 308.338 0.215 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNc1cc(N2CCOCC2)[nH+]cn1 ZINC000910482410 663891435 /nfs/dbraw/zinc/89/14/35/663891435.db2.gz QOGHJOONIONUDU-ZWNOBZJWSA-N 0 2 308.338 0.215 20 0 DCADLN Cn1c[nH+]cc1CC(=O)NC[C@@H](Oc1ccccc1)C(=O)[O-] ZINC000910485714 663891727 /nfs/dbraw/zinc/89/17/27/663891727.db2.gz PTLLVFIOVMSTPJ-CYBMUJFWSA-N 0 2 303.318 0.611 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000911095465 663981533 /nfs/dbraw/zinc/98/15/33/663981533.db2.gz FLECKHFZGFREBO-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN C[C@H](CNC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1)Cn1cc[nH+]c1 ZINC000911234469 664012668 /nfs/dbraw/zinc/01/26/68/664012668.db2.gz JZEQWCMSXGEXTH-FRRDWIJNSA-N 0 2 309.366 0.905 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)c1cncc(C(=O)[O-])c1 ZINC000911373242 664044996 /nfs/dbraw/zinc/04/49/96/664044996.db2.gz XXRYBSRRXVKPLA-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2c(C(F)(F)F)cnn2C)C(=O)N1C ZINC000912816795 664234135 /nfs/dbraw/zinc/23/41/35/664234135.db2.gz HKPJKAKQSVBYNX-RXMQYKEDSA-N 0 2 319.243 0.366 20 0 DCADLN Cc1n[nH]c(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1C(F)(F)F ZINC000912816897 664234365 /nfs/dbraw/zinc/23/43/65/664234365.db2.gz JFURLUKORISGNF-RXMQYKEDSA-N 0 2 319.243 0.664 20 0 DCADLN O=C(NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1)C1CCCC1 ZINC001030046954 664403864 /nfs/dbraw/zinc/40/38/64/664403864.db2.gz IKXIQSAMLKRHBZ-UHFFFAOYSA-N 0 2 315.377 0.513 20 0 DCADLN COc1cc[nH]c(=NNS(=O)(=O)c2c(F)cccc2F)n1 ZINC000046071508 664481219 /nfs/dbraw/zinc/48/12/19/664481219.db2.gz LODKERKNCXSHHS-UHFFFAOYSA-N 0 2 316.289 0.491 20 0 DCADLN Cc1oc(C(C)C)nc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030305353 664507852 /nfs/dbraw/zinc/50/78/52/664507852.db2.gz QYWDOLGFBSKMND-UHFFFAOYSA-N 0 2 320.353 0.544 20 0 DCADLN COc1cccc(F)c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030358867 664520448 /nfs/dbraw/zinc/52/04/48/664520448.db2.gz GKEPNVWYZDRARW-UHFFFAOYSA-N 0 2 321.312 0.272 20 0 DCADLN CC[C@@H]1OCCC[C@@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030422381 664539276 /nfs/dbraw/zinc/53/92/76/664539276.db2.gz CSAKQOQOHKVJTA-QWRGUYRKSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccn2c1CCCC2 ZINC001030459111 664552875 /nfs/dbraw/zinc/55/28/75/664552875.db2.gz RYRNGKHDWGDHLN-UHFFFAOYSA-N 0 2 316.365 0.262 20 0 DCADLN CC(C)(C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001030582602 664592344 /nfs/dbraw/zinc/59/23/44/664592344.db2.gz INZIERFCWDBGPP-UHFFFAOYSA-N 0 2 316.365 0.184 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccnc2ccccc21 ZINC001030631770 664612485 /nfs/dbraw/zinc/61/24/85/664612485.db2.gz GPZUBGKGDDSPGS-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN Cc1ccc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1F ZINC000730521971 664785070 /nfs/dbraw/zinc/78/50/70/664785070.db2.gz YNDBCLZDDJGGIQ-UHFFFAOYSA-N 0 2 315.308 0.835 20 0 DCADLN C[C@H](c1cccnc1)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730534857 664789696 /nfs/dbraw/zinc/78/96/96/664789696.db2.gz XLBOBBSGBLWIQE-SNVBAGLBSA-N 0 2 312.333 0.686 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(Cc1ccncc1)C1CC1 ZINC000730534520 664789964 /nfs/dbraw/zinc/78/99/64/664789964.db2.gz CMBZAJJKENGKOY-UHFFFAOYSA-N 0 2 324.344 0.657 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]1c1ccccn1 ZINC000730536854 664790634 /nfs/dbraw/zinc/79/06/34/664790634.db2.gz WOKRDKCEYHBALH-CYBMUJFWSA-N 0 2 324.344 0.830 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@H]1CC1(Cl)Cl ZINC000730655797 664799541 /nfs/dbraw/zinc/79/95/41/664799541.db2.gz CDYIWJFPGKMDSZ-ZCFIWIBFSA-N 0 2 317.132 0.808 20 0 DCADLN Cc1nc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cs1 ZINC000730691625 664800524 /nfs/dbraw/zinc/80/05/24/664800524.db2.gz ZUUUMWFRIRGGTO-UHFFFAOYSA-N 0 2 305.319 0.580 20 0 DCADLN CC(C)(C)n1nccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000731978558 664896521 /nfs/dbraw/zinc/89/65/21/664896521.db2.gz NUQWSETUSZWEDL-UHFFFAOYSA-N 0 2 315.337 0.661 20 0 DCADLN CCCc1nc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001031251556 664945689 /nfs/dbraw/zinc/94/56/89/664945689.db2.gz PHCHTDDWBNXVLA-UHFFFAOYSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1Cl ZINC001031293550 664968498 /nfs/dbraw/zinc/96/84/98/664968498.db2.gz DYMHOXMYRFDINK-UHFFFAOYSA-N 0 2 307.741 0.778 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cnco2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993645015 664988188 /nfs/dbraw/zinc/98/81/88/664988188.db2.gz PNACHNNWBUPWKH-DTWKUNHWSA-N 0 2 306.326 0.281 20 0 DCADLN CC(C)(C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1 ZINC001031365766 665117155 /nfs/dbraw/zinc/11/71/55/665117155.db2.gz QELBLDXZIQQQER-UHFFFAOYSA-N 0 2 315.377 0.789 20 0 DCADLN CSc1nc(C(=O)NN2CC(=O)NC2=O)c2ccccn21 ZINC000920297387 665266066 /nfs/dbraw/zinc/26/60/66/665266066.db2.gz FYGYYAONJWSTFU-UHFFFAOYSA-N 0 2 305.319 0.253 20 0 DCADLN CO[N-]C(=O)CNC(=O)N[C@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000920873987 665284326 /nfs/dbraw/zinc/28/43/26/665284326.db2.gz FERVOERAUACCKZ-LLVKDONJSA-N 0 2 317.349 0.910 20 0 DCADLN CCc1ncsc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031714891 665323327 /nfs/dbraw/zinc/32/33/27/665323327.db2.gz JCPUNJDQUYISHQ-UHFFFAOYSA-N 0 2 322.394 0.391 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccncc1 ZINC001002237771 666145283 /nfs/dbraw/zinc/14/52/83/666145283.db2.gz BZSXLYIBZPZKJY-UHFFFAOYSA-N 0 2 302.338 0.300 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccc(F)nc1 ZINC001002474120 666179048 /nfs/dbraw/zinc/17/90/48/666179048.db2.gz IADKWTZUMKPNAX-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@@H]1C1CCCC1 ZINC001032083644 666286266 /nfs/dbraw/zinc/28/62/66/666286266.db2.gz AKQVZTSUZVTBBY-OLZOCXBDSA-N 0 2 319.409 0.885 20 0 DCADLN C[C@@H]1OCC[C@@]1(C)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003376217 666300841 /nfs/dbraw/zinc/30/08/41/666300841.db2.gz XOHCOHCOCYQVHF-ZUZCIYMTSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1C[C@H]1C1CC1 ZINC001003775026 666359984 /nfs/dbraw/zinc/35/99/84/666359984.db2.gz OXHYSJCTJWGFHK-NWDGAFQWSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(F)cc(F)c1 ZINC001032172511 666382624 /nfs/dbraw/zinc/38/26/24/666382624.db2.gz LQWBFWACKGKOBR-UHFFFAOYSA-N 0 2 323.303 0.650 20 0 DCADLN CC(C)[C@@H]1C[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003873204 666388513 /nfs/dbraw/zinc/38/85/13/666388513.db2.gz LLMSNGSLAZVIHJ-NWDGAFQWSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@@H]2CC[N@H+](CCn3cccn3)C2)c1[O-] ZINC001033008468 666424579 /nfs/dbraw/zinc/42/45/79/666424579.db2.gz GINOGDWOWUTHQD-GFCCVEGCSA-N 0 2 318.381 0.467 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@@H]2CC[N@@H+](CCn3cccn3)C2)c1[O-] ZINC001033008468 666424581 /nfs/dbraw/zinc/42/45/81/666424581.db2.gz GINOGDWOWUTHQD-GFCCVEGCSA-N 0 2 318.381 0.467 20 0 DCADLN CN(C(=O)[C@H]1CCCCO1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033018395 666428937 /nfs/dbraw/zinc/42/89/37/666428937.db2.gz YIOLSLNQLDMQHU-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN Cc1ccc(CC(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001033017530 666429543 /nfs/dbraw/zinc/42/95/43/666429543.db2.gz IIYVYLPYBRQKGR-NSHDSACASA-N 0 2 319.365 0.687 20 0 DCADLN Cc1ccc(CC(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001033017530 666429544 /nfs/dbraw/zinc/42/95/44/666429544.db2.gz IIYVYLPYBRQKGR-NSHDSACASA-N 0 2 319.365 0.687 20 0 DCADLN Cc1nsc(C)c1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032211216 666473950 /nfs/dbraw/zinc/47/39/50/666473950.db2.gz FTTMPAXWCAKYLO-UHFFFAOYSA-N 0 2 322.394 0.445 20 0 DCADLN C[C@@H](O)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001033097688 666503744 /nfs/dbraw/zinc/50/37/44/666503744.db2.gz VWUVHCYOZKFLLZ-HIFRSBDPSA-N 0 2 320.437 0.675 20 0 DCADLN Cc1cccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)c1 ZINC001032262405 666619233 /nfs/dbraw/zinc/61/92/33/666619233.db2.gz YQQQXCNWGKVVTH-STQMWFEESA-N 0 2 313.361 0.918 20 0 DCADLN C[C@H]1CCCN(C(=O)c2ccn[nH]2)[C@H]1CNC(=O)c1cn[nH]n1 ZINC000945738894 666645503 /nfs/dbraw/zinc/64/55/03/666645503.db2.gz CTZOVUYBJMRNDC-CABZTGNLSA-N 0 2 317.353 0.199 20 0 DCADLN CN(C(=O)C(C)(F)F)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005039013 666647337 /nfs/dbraw/zinc/64/73/37/666647337.db2.gz VGAQHQCIMWKWLA-UHFFFAOYSA-N 0 2 303.313 0.588 20 0 DCADLN Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)cn1 ZINC001032281042 666674227 /nfs/dbraw/zinc/67/42/27/666674227.db2.gz KNWZUBBDJADXNP-RYUDHWBXSA-N 0 2 314.349 0.313 20 0 DCADLN Cc1cocc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033333132 666679360 /nfs/dbraw/zinc/67/93/60/666679360.db2.gz MJDKAXZSEWGPSN-JTQLQIEISA-N 0 2 305.338 0.758 20 0 DCADLN CN(C(=O)[C@@]1(C)C=CCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033336972 666682172 /nfs/dbraw/zinc/68/21/72/666682172.db2.gz CSGLIUQNQJCZPH-NHYWBVRUSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@@]1(C)C=CCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033336972 666682174 /nfs/dbraw/zinc/68/21/74/666682174.db2.gz CSGLIUQNQJCZPH-NHYWBVRUSA-N 0 2 305.382 0.899 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001033438132 666714817 /nfs/dbraw/zinc/71/48/17/666714817.db2.gz JZVPYNDNJKWJNK-GMTAPVOTSA-N 0 2 309.370 0.111 20 0 DCADLN CCn1cccc1C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033661428 666791737 /nfs/dbraw/zinc/79/17/37/666791737.db2.gz PHHASBDULPBAHM-LLVKDONJSA-N 0 2 318.381 0.678 20 0 DCADLN CCn1cccc1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033661428 666791741 /nfs/dbraw/zinc/79/17/41/666791741.db2.gz PHHASBDULPBAHM-LLVKDONJSA-N 0 2 318.381 0.678 20 0 DCADLN CCN(C(=O)c1cncnc1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033804684 666835691 /nfs/dbraw/zinc/83/56/91/666835691.db2.gz SRWIGFTXFYNFJV-NSHDSACASA-N 0 2 317.353 0.037 20 0 DCADLN CCN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)[C@H]1CC[N@H+](CCO)C1 ZINC001033876765 666849435 /nfs/dbraw/zinc/84/94/35/666849435.db2.gz WYAPJDUNBSUJPH-KGLIPLIRSA-N 0 2 306.410 0.361 20 0 DCADLN CCN(C(=O)c1c[nH]cc1C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034040158 666900958 /nfs/dbraw/zinc/90/09/58/666900958.db2.gz PQPGYLTVGHEKEC-LLVKDONJSA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)c1c[nH]cc1C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034040158 666900960 /nfs/dbraw/zinc/90/09/60/666900960.db2.gz PQPGYLTVGHEKEC-LLVKDONJSA-N 0 2 318.381 0.883 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cn[nH]c1 ZINC001034120760 666928191 /nfs/dbraw/zinc/92/81/91/666928191.db2.gz HAMCHLXBETZPKP-SNVBAGLBSA-N 0 2 305.342 0.018 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cn[nH]c1 ZINC001034120760 666928195 /nfs/dbraw/zinc/92/81/95/666928195.db2.gz HAMCHLXBETZPKP-SNVBAGLBSA-N 0 2 305.342 0.018 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn(CCCOC)c2)C1=O ZINC000861446710 666928372 /nfs/dbraw/zinc/92/83/72/666928372.db2.gz LQCFMUHWKHRVOY-CQSZACIVSA-N 0 2 323.353 0.285 20 0 DCADLN C[C@H](O)C[N@H+]1CCCC[C@@H](NC(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001034281285 666976083 /nfs/dbraw/zinc/97/60/83/666976083.db2.gz IGQYBLISWAJIOY-QWHCGFSZSA-N 0 2 324.425 0.701 20 0 DCADLN CC[C@H](SC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032356734 667025349 /nfs/dbraw/zinc/02/53/49/667025349.db2.gz XQAGVBCMVFTGPM-GUBZILKMSA-N 0 2 311.411 0.437 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCn2cccn2)c1[O-] ZINC001032357688 667031074 /nfs/dbraw/zinc/03/10/74/667031074.db2.gz FHHQMNFHLOSWRI-RYUDHWBXSA-N 0 2 316.365 0.219 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCn2cccn2)c1[O-] ZINC001032357688 667031077 /nfs/dbraw/zinc/03/10/77/667031077.db2.gz FHHQMNFHLOSWRI-RYUDHWBXSA-N 0 2 316.365 0.219 20 0 DCADLN CSCC[C@@H](C)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000736063281 667090696 /nfs/dbraw/zinc/09/06/96/667090696.db2.gz NFSQPUOGKHOFHH-SECBINFHSA-N 0 2 309.395 0.671 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)C1=COCCO1 ZINC000014371722 667100952 /nfs/dbraw/zinc/10/09/52/667100952.db2.gz PKQQESSTNLZGIK-UHFFFAOYSA-N 0 2 307.331 0.831 20 0 DCADLN O=C([O-])[C@@H](CC1CC1)NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000864132992 667218084 /nfs/dbraw/zinc/21/80/84/667218084.db2.gz XRUSOCGGHFPBDQ-KGLIPLIRSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])[C@@H](CC1CC1)NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000864132992 667218087 /nfs/dbraw/zinc/21/80/87/667218087.db2.gz XRUSOCGGHFPBDQ-KGLIPLIRSA-N 0 2 310.394 0.999 20 0 DCADLN Cn1ncc(CN2C[C@@H]3C[C@H]2CN3C(=O)C(F)C(F)(F)F)n1 ZINC001032417305 667219854 /nfs/dbraw/zinc/21/98/54/667219854.db2.gz WETINAGTBZLLNH-GUBZILKMSA-N 0 2 321.278 0.501 20 0 DCADLN Cn1ncc(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@H](F)C(F)(F)F)n1 ZINC001032417305 667219859 /nfs/dbraw/zinc/21/98/59/667219859.db2.gz WETINAGTBZLLNH-GUBZILKMSA-N 0 2 321.278 0.501 20 0 DCADLN Cc1cocc1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035477406 667353050 /nfs/dbraw/zinc/35/30/50/667353050.db2.gz XXZCWKRZKAHLOC-SNVBAGLBSA-N 0 2 321.337 0.043 20 0 DCADLN CC1(C)CC[C@@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035487989 667356253 /nfs/dbraw/zinc/35/62/53/667356253.db2.gz MUNXPZDTPBFYQR-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NCc1ncccc1F ZINC000866998027 667721717 /nfs/dbraw/zinc/72/17/17/667721717.db2.gz FCQACQOZWAATJO-UHFFFAOYSA-N 0 2 307.372 0.817 20 0 DCADLN Cn1nccc1[C@H](O)CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000886248554 667746321 /nfs/dbraw/zinc/74/63/21/667746321.db2.gz RJZOLUPJOJJNQO-MRVPVSSYSA-N 0 2 322.287 0.027 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2COc3ccccc3C2)S1 ZINC000742491366 667792507 /nfs/dbraw/zinc/79/25/07/667792507.db2.gz LEPHLKSVOCKLCL-JOYOIKCWSA-N 0 2 319.386 0.910 20 0 DCADLN COCCN(Cc1ccco1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742527615 667793146 /nfs/dbraw/zinc/79/31/46/667793146.db2.gz YRRZRORKNDYPLY-JTQLQIEISA-N 0 2 311.363 0.811 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](c3cc[nH]n3)C2)S1 ZINC000742584945 667794231 /nfs/dbraw/zinc/79/42/31/667794231.db2.gz AXPGKLDCFRJNBB-SCZZXKLOSA-N 0 2 307.379 0.672 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-c3nn[nH]n3)cc2)S1 ZINC000742610717 667795008 /nfs/dbraw/zinc/79/50/08/667795008.db2.gz FXPJFPUHVYMVRJ-QMMMGPOBSA-N 0 2 317.334 0.362 20 0 DCADLN COC(=O)[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccc1 ZINC000742605445 667795071 /nfs/dbraw/zinc/79/50/71/667795071.db2.gz SXQOXJDRQSNKOI-GXSJLCMTSA-N 0 2 321.358 0.573 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3ccnn3)cc2)S1 ZINC000742620473 667795172 /nfs/dbraw/zinc/79/51/72/667795172.db2.gz CZFHDISZMOPOIJ-JTQLQIEISA-N 0 2 316.346 0.762 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)Nc1ccccc1 ZINC000742661940 667796440 /nfs/dbraw/zinc/79/64/40/667796440.db2.gz AKFLJGIBEVOSRG-WPRPVWTQSA-N 0 2 320.374 0.686 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnn(CC(F)(F)F)c2)S1 ZINC000742688145 667797390 /nfs/dbraw/zinc/79/73/90/667797390.db2.gz RBCUQQKCPUQJQT-LURJTMIESA-N 0 2 321.284 0.940 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCc2ccc(O)cc2)S1 ZINC000742691469 667797600 /nfs/dbraw/zinc/79/76/00/667797600.db2.gz ZHMRVEJRNKOWOB-LLVKDONJSA-N 0 2 307.375 0.997 20 0 DCADLN COC(=O)[C@@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)CC(C)C ZINC000742700864 667798273 /nfs/dbraw/zinc/79/82/73/667798273.db2.gz IIKKXYFIOINETG-BDAKNGLRSA-N 0 2 315.395 0.494 20 0 DCADLN CN(CCc1cccs1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000742847414 667800491 /nfs/dbraw/zinc/80/04/91/667800491.db2.gz IXZPBKSWRBVIIV-UHFFFAOYSA-N 0 2 317.374 0.834 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCCC(F)(F)F ZINC000743779202 667816202 /nfs/dbraw/zinc/81/62/02/667816202.db2.gz VWYBFCYBESEEOU-UHFFFAOYSA-N 0 2 303.244 0.530 20 0 DCADLN COc1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1OC ZINC000745415967 667847078 /nfs/dbraw/zinc/84/70/78/667847078.db2.gz ZDOBAAWRWZKKBE-NSHDSACASA-N 0 2 323.374 0.876 20 0 DCADLN Cn1ncc2c1CCC[C@H]2NC(=O)C[C@H]1SC(=N)NC1=O ZINC000745427156 667847636 /nfs/dbraw/zinc/84/76/36/667847636.db2.gz DYFUPXPHLMVQCB-PSASIEDQSA-N 0 2 307.379 0.470 20 0 DCADLN COC[C@@H](Cc1ccccc1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000746657092 667884729 /nfs/dbraw/zinc/88/47/29/667884729.db2.gz LFXMCOKWRICACD-VXGBXAGGSA-N 0 2 321.402 0.917 20 0 DCADLN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748417820 667927408 /nfs/dbraw/zinc/92/74/08/667927408.db2.gz FBWUOMOHXHINAZ-VIFPVBQESA-N 0 2 313.358 0.676 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1(C2CC2)CCC1 ZINC000752225463 668014372 /nfs/dbraw/zinc/01/43/72/668014372.db2.gz QCNZKCULDXYYOK-UHFFFAOYSA-N 0 2 301.350 0.768 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2COC3=O)S1 ZINC000752866594 668023085 /nfs/dbraw/zinc/02/30/85/668023085.db2.gz PYWBYIPHGVGDQY-SECBINFHSA-N 0 2 305.315 0.852 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](O)CCc2ccccc2)S1 ZINC000753309543 668028472 /nfs/dbraw/zinc/02/84/72/668028472.db2.gz XNZLFRKAPMIFOH-NEPJUHHUSA-N 0 2 321.402 0.653 20 0 DCADLN CCOC(=O)[C@H](C)[C@@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000753335094 668028994 /nfs/dbraw/zinc/02/89/94/668028994.db2.gz JZELJAOUCANTBM-BWZBUEFSSA-N 0 2 301.368 0.247 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000873357146 668076361 /nfs/dbraw/zinc/07/63/61/668076361.db2.gz UKEHATXRGCUZOV-JFGNBEQYSA-N 0 2 318.333 0.572 20 0 DCADLN CCOCCNC(=O)COC(=O)c1cc(F)c(O)c(F)c1 ZINC000759543367 668224324 /nfs/dbraw/zinc/22/43/24/668224324.db2.gz ZPOUXEOAYWMWPO-UHFFFAOYSA-N 0 2 303.261 0.980 20 0 DCADLN C[C@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1(C)C ZINC000760135373 668258795 /nfs/dbraw/zinc/25/87/95/668258795.db2.gz YOMHXMUIGLPJHA-JTQLQIEISA-N 0 2 303.366 0.966 20 0 DCADLN CC(=O)c1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc1 ZINC000760759606 668286455 /nfs/dbraw/zinc/28/64/55/668286455.db2.gz HLLBDQZXOKEPDV-UHFFFAOYSA-N 0 2 312.289 0.308 20 0 DCADLN Cc1nn(CC(F)F)c(C)c1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000760827361 668288414 /nfs/dbraw/zinc/28/84/14/668288414.db2.gz LVDJVXABVNEPEF-UHFFFAOYSA-N 0 2 301.253 0.946 20 0 DCADLN CC[S@@](C)(=O)=NC(=O)C(F)=C(O)N=[S@](C)(=O)CC ZINC000925820742 668351920 /nfs/dbraw/zinc/35/19/20/668351920.db2.gz LFQLIRHQZJQCCI-QZTJIDSGSA-N 0 2 300.377 0.613 20 0 DCADLN COc1ccc([C@H](O)CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000762347535 668357520 /nfs/dbraw/zinc/35/75/20/668357520.db2.gz JLDVZDDZYRVWGM-MNOVXSKESA-N 0 2 323.374 0.401 20 0 DCADLN COc1cccc([C@@H](O)CNC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000762396953 668359520 /nfs/dbraw/zinc/35/95/20/668359520.db2.gz GSRDWGVAQTUYPZ-QWRGUYRKSA-N 0 2 323.374 0.401 20 0 DCADLN CC(C)c1nnc([C@H](C)NC(=O)C[C@@H]2SC(=N)NC2=O)[nH]1 ZINC000763191606 668400070 /nfs/dbraw/zinc/40/00/70/668400070.db2.gz CYEVGJTVVAJYLJ-BQBZGAKWSA-N 0 2 310.383 0.662 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC(C(F)F)CC1 ZINC000766683926 668542895 /nfs/dbraw/zinc/54/28/95/668542895.db2.gz GEHLECDMJZUWBM-UHFFFAOYSA-N 0 2 311.292 0.575 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2c3ccccc3C[C@H]2O)S1 ZINC000771656914 668747302 /nfs/dbraw/zinc/74/73/02/668747302.db2.gz UPCWMGLUXDNOFT-JFGNBEQYSA-N 0 2 305.359 0.317 20 0 DCADLN COCCCOCCN(C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000773996648 668819409 /nfs/dbraw/zinc/81/94/09/668819409.db2.gz NEPCIFPQXQKQSE-SECBINFHSA-N 0 2 303.384 0.054 20 0 DCADLN O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774875584 668849207 /nfs/dbraw/zinc/84/92/07/668849207.db2.gz MFOSEVFGPKIGKN-DTORHVGOSA-N 0 2 322.321 0.119 20 0 DCADLN CS(=O)(=O)Cc1cccc(C(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774909610 668850874 /nfs/dbraw/zinc/85/08/74/668850874.db2.gz UMHGZDGNASGQQB-UHFFFAOYSA-N 0 2 311.319 0.412 20 0 DCADLN Cc1nn(C)c(C)c1-c1cc(C(=O)OCc2n[nH]c(=O)[nH]2)n[nH]1 ZINC000774924074 668851614 /nfs/dbraw/zinc/85/16/14/668851614.db2.gz PITSXATWOLCWAJ-UHFFFAOYSA-N 0 2 317.309 0.608 20 0 DCADLN O=C(CCc1nc(-c2ccccn2)no1)OCc1n[nH]c(=O)[nH]1 ZINC000774920262 668851619 /nfs/dbraw/zinc/85/16/19/668851619.db2.gz XAEHJSZZWDDPRO-UHFFFAOYSA-N 0 2 316.277 0.631 20 0 DCADLN Cc1cccc(-n2cc(C(=O)OCc3n[nH]c(=O)[nH]3)nn2)c1 ZINC000774940272 668852120 /nfs/dbraw/zinc/85/21/20/668852120.db2.gz XJQPEWMIEGPIQO-UHFFFAOYSA-N 0 2 300.278 0.756 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cc(N2CCOC2=O)ccc1F ZINC000774964048 668852752 /nfs/dbraw/zinc/85/27/52/668852752.db2.gz YWLGQAOORRXLPO-UHFFFAOYSA-N 0 2 322.252 0.963 20 0 DCADLN CO[C@H]1CCC[C@@H]1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000775515271 668864253 /nfs/dbraw/zinc/86/42/53/668864253.db2.gz BVEGGIAQDUGQOE-KOLCDFICSA-N 0 2 305.338 0.003 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnn(-c2cccc(Cl)c2)n1 ZINC000777405417 668887105 /nfs/dbraw/zinc/88/71/05/668887105.db2.gz LTGNDAZYTYQHEU-UHFFFAOYSA-N 0 2 319.712 0.674 20 0 DCADLN C[C@@](O)(CCNC(=O)C[C@H]1SC(=N)NC1=O)c1ccccc1 ZINC000779347357 668909978 /nfs/dbraw/zinc/90/99/78/668909978.db2.gz PUSCAWHMWWGOEO-IAQYHMDHSA-N 0 2 321.402 0.957 20 0 DCADLN O=C(C[NH+]1CCN(c2nc[nH]n2)CC1)[N-]OCc1ccccc1 ZINC000878824532 668934661 /nfs/dbraw/zinc/93/46/61/668934661.db2.gz WIZMUYARTCLPCT-UHFFFAOYSA-N 0 2 316.365 0.175 20 0 DCADLN CC(C)(CNC(=O)N1CCCC[C@H]1C(=O)[O-])[NH+]1CCOCC1 ZINC000780340763 668979264 /nfs/dbraw/zinc/97/92/64/668979264.db2.gz AIFIVRPHHCZTOB-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CCC[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780511054 668991332 /nfs/dbraw/zinc/99/13/32/668991332.db2.gz LBNUVIKILFNWKV-NSHDSACASA-N 0 2 301.387 0.650 20 0 DCADLN CCC[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780511054 668991334 /nfs/dbraw/zinc/99/13/34/668991334.db2.gz LBNUVIKILFNWKV-NSHDSACASA-N 0 2 301.387 0.650 20 0 DCADLN NC(=O)CONC(=O)C1(c2ccc3c(c2)OCCO3)CCCC1 ZINC000879380478 669027053 /nfs/dbraw/zinc/02/70/53/669027053.db2.gz QVLIBAAGKPPHEI-UHFFFAOYSA-N 0 2 320.345 0.803 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N[C@@H](C)C(N)=O)cc2[nH]c1=S ZINC000781045875 669027760 /nfs/dbraw/zinc/02/77/60/669027760.db2.gz OBHOGTVYXCZMTH-ZETCQYMHSA-N 0 2 320.374 0.309 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CC[NH+](CC(=O)[O-])CC2)cc1F ZINC000035680402 669046083 /nfs/dbraw/zinc/04/60/83/669046083.db2.gz DUCNPBCJGKNGQC-UHFFFAOYSA-N 0 2 316.354 0.525 20 0 DCADLN COc1cccc(C[NH+]2CCN(C(=O)c3n[nH]c(=O)[n-]3)CC2)c1 ZINC000881047524 669289207 /nfs/dbraw/zinc/28/92/07/669289207.db2.gz QDAALCZPQSOQQS-UHFFFAOYSA-N 0 2 317.349 0.477 20 0 DCADLN O=C(NCc1cn(CCO[C@H]2CCCCO2)nn1)C(F)(F)F ZINC000881201172 669304993 /nfs/dbraw/zinc/30/49/93/669304993.db2.gz HAEXCMBYRSYPJN-JTQLQIEISA-N 0 2 322.287 1.000 20 0 DCADLN Cc1ccc(-c2nc(SCC(=O)NOCCO)n[nH]2)cc1 ZINC000787286164 669364596 /nfs/dbraw/zinc/36/45/96/669364596.db2.gz ARNGXYYTJCUCTH-UHFFFAOYSA-N 0 2 308.363 0.912 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NOCCO)cc2[nH]c1=S ZINC000787291545 669364740 /nfs/dbraw/zinc/36/47/40/669364740.db2.gz AYJZDXMJYNTZTQ-UHFFFAOYSA-N 0 2 309.347 0.359 20 0 DCADLN CCC[C@H](NC(=O)c1cc2n[nH]nc2cc1F)c1nn[nH]n1 ZINC000789805938 669511064 /nfs/dbraw/zinc/51/10/64/669511064.db2.gz KBMZUYPUIDNIKL-QMMMGPOBSA-N 0 2 304.289 0.881 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H](C)C(=O)N(C(C)C)C(C)C ZINC000883414174 669681404 /nfs/dbraw/zinc/68/14/04/669681404.db2.gz NZZFRTNUFXPNMX-GFCCVEGCSA-N 0 2 316.398 0.970 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)N(C(C)C)C(C)C ZINC000883414174 669681407 /nfs/dbraw/zinc/68/14/07/669681407.db2.gz NZZFRTNUFXPNMX-GFCCVEGCSA-N 0 2 316.398 0.970 20 0 DCADLN CCn1ncnc1CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000794234329 669810290 /nfs/dbraw/zinc/81/02/90/669810290.db2.gz DCEGDSGQFORJON-UHFFFAOYSA-N 0 2 307.276 0.371 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@]2(C1)CCCOC2 ZINC000794456588 669837367 /nfs/dbraw/zinc/83/73/67/669837367.db2.gz WGVXHDFDHPDERI-HNNXBMFYSA-N 0 2 317.349 0.100 20 0 DCADLN O=C(N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@]12C[C@H]1CCCC2 ZINC000950378746 670012659 /nfs/dbraw/zinc/01/26/59/670012659.db2.gz RUCQIOZXFWZKMO-IAQYHMDHSA-N 0 2 305.382 0.735 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000951048302 670183174 /nfs/dbraw/zinc/18/31/74/670183174.db2.gz XNAXSIGXWALREX-SVRRBLITSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC000951048302 670183176 /nfs/dbraw/zinc/18/31/76/670183176.db2.gz XNAXSIGXWALREX-SVRRBLITSA-N 0 2 324.234 0.691 20 0 DCADLN COC(=O)C(C)(C)CCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000804262702 670289534 /nfs/dbraw/zinc/28/95/34/670289534.db2.gz XZMMQFRCSPXNGD-ZETCQYMHSA-N 0 2 301.368 0.248 20 0 DCADLN COCCc1ccnc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000804390055 670293012 /nfs/dbraw/zinc/29/30/12/670293012.db2.gz UXKNPWRMVVIUFE-VIFPVBQESA-N 0 2 308.363 0.765 20 0 DCADLN CC[C@@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@@H](C)[S@]1=O ZINC000804438620 670294620 /nfs/dbraw/zinc/29/46/20/670294620.db2.gz SWKYJCRJVQYZEO-GNYUHXDFSA-N 0 2 317.436 0.301 20 0 DCADLN COCCCO[N-]C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000807435031 670361653 /nfs/dbraw/zinc/36/16/53/670361653.db2.gz QJFRQEFWJAAWFU-UHFFFAOYSA-N 0 2 310.354 0.479 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cncnc1 ZINC000949528514 670362551 /nfs/dbraw/zinc/36/25/51/670362551.db2.gz VURWIQRRMLLLPX-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cncnc1 ZINC000949528514 670362555 /nfs/dbraw/zinc/36/25/55/670362555.db2.gz VURWIQRRMLLLPX-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN O=C([C@@H](O)c1ccccc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000808029732 670386726 /nfs/dbraw/zinc/38/67/26/670386726.db2.gz SMCIKGBLGUNBMT-NEPJUHHUSA-N 0 2 302.334 0.950 20 0 DCADLN C[C@H]1CC[C@H](CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949738149 670418469 /nfs/dbraw/zinc/41/84/69/670418469.db2.gz OZAPDLLUANNRQQ-RYUDHWBXSA-N 0 2 307.398 0.981 20 0 DCADLN Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)nn1 ZINC000949755974 670423671 /nfs/dbraw/zinc/42/36/71/670423671.db2.gz WIZBQUKSELPTND-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)[C@H](F)C(F)(F)F)nn1 ZINC000949755974 670423673 /nfs/dbraw/zinc/42/36/73/670423673.db2.gz WIZBQUKSELPTND-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949860062 670449669 /nfs/dbraw/zinc/44/96/69/670449669.db2.gz SCHKXJHGNDLZAN-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000949860062 670449672 /nfs/dbraw/zinc/44/96/72/670449672.db2.gz SCHKXJHGNDLZAN-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1[nH+][n-]c2nnc(NC(=O)CC3SC(=N)NC3=O)c-2c1C ZINC000854408676 670562966 /nfs/dbraw/zinc/56/29/66/670562966.db2.gz KWRCBSUQBMPMHZ-ZCFIWIBFSA-N 0 2 319.350 0.465 20 0 DCADLN CCn1ncn(NC(=O)c2c(C(F)(F)F)cnn2C)c1=O ZINC000854626841 670600088 /nfs/dbraw/zinc/60/00/88/670600088.db2.gz IMDWPTSUPVOHKJ-UHFFFAOYSA-N 0 2 304.232 0.201 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(CC(F)(F)F)c2)c1=O ZINC000854627697 670601098 /nfs/dbraw/zinc/60/10/98/670601098.db2.gz DCNNAXCWPPPVJV-UHFFFAOYSA-N 0 2 304.232 0.207 20 0 DCADLN COC(=O)CNC(=O)CNC(=O)c1cccc(Cl)c1O ZINC000848009925 670620715 /nfs/dbraw/zinc/62/07/15/670620715.db2.gz MQHPYBBAYRXCAZ-UHFFFAOYSA-N 0 2 300.698 0.065 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc([C@@H]3CCCO3)[nH]n2)S1 ZINC000855107022 670679068 /nfs/dbraw/zinc/67/90/68/670679068.db2.gz KZYAISLSVKSFKR-YUMQZZPRSA-N 0 2 309.351 0.756 20 0 DCADLN COC(=O)C12CCC(C(=O)NCc3n[nH]c(=O)[nH]3)(CC1)CC2 ZINC000815745583 670759243 /nfs/dbraw/zinc/75/92/43/670759243.db2.gz HBCCINJKJVXAFE-UHFFFAOYSA-N 0 2 308.338 0.640 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000815836551 670766428 /nfs/dbraw/zinc/76/64/28/670766428.db2.gz HZUWOPDZEAPYIB-RNFRBKRXSA-N 0 2 306.281 0.556 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000815836551 670766433 /nfs/dbraw/zinc/76/64/33/670766433.db2.gz HZUWOPDZEAPYIB-RNFRBKRXSA-N 0 2 306.281 0.556 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@](C)(c3ccccc3)C2=O)[nH]1 ZINC000849360370 670780339 /nfs/dbraw/zinc/78/03/39/670780339.db2.gz BEVLIUHEPXHKGS-HNNXBMFYSA-N 0 2 315.333 0.918 20 0 DCADLN CC1(C)OCC[C@H]1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000856260177 670798420 /nfs/dbraw/zinc/79/84/20/670798420.db2.gz MVEOJBFCLOJVEU-VIFPVBQESA-N 0 2 305.338 0.003 20 0 DCADLN Cn1ccc(NCCNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000816631046 670830209 /nfs/dbraw/zinc/83/02/09/670830209.db2.gz DLUSGXCEEJBAOU-UHFFFAOYSA-N 0 2 321.303 0.405 20 0 DCADLN CO[C@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCC1 ZINC000849726630 670843777 /nfs/dbraw/zinc/84/37/77/670843777.db2.gz MNPLBRLEWPEUBH-LLVKDONJSA-N 0 2 306.322 0.430 20 0 DCADLN O=C(Nn1c(=O)[n-][nH]c1=O)[C@H]1CCC[N@@H+]1Cc1ccccc1 ZINC000816733164 670851904 /nfs/dbraw/zinc/85/19/04/670851904.db2.gz LQJSEXYRHVEGFK-LLVKDONJSA-N 0 2 303.322 0.424 20 0 DCADLN O=C(Nn1c(=O)[n-][nH]c1=O)[C@H]1CCC[N@H+]1Cc1ccccc1 ZINC000816733164 670851909 /nfs/dbraw/zinc/85/19/09/670851909.db2.gz LQJSEXYRHVEGFK-LLVKDONJSA-N 0 2 303.322 0.424 20 0 DCADLN CCc1ccc(C)nc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000857306074 670909165 /nfs/dbraw/zinc/90/91/65/670909165.db2.gz UVAOAOGZHHPPCJ-UHFFFAOYSA-N 0 2 312.333 0.976 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CC[C@H](O)C2)o1)C(F)(F)F ZINC000859823022 671148371 /nfs/dbraw/zinc/14/83/71/671148371.db2.gz RUDOCRMSWLPFBF-ZETCQYMHSA-N 0 2 306.240 0.665 20 0 DCADLN CCc1noc(NS(=O)(=O)c2ccc(C(=O)OC)o2)n1 ZINC000820801118 671229265 /nfs/dbraw/zinc/22/92/65/671229265.db2.gz XFQJDXNJEOHUIY-UHFFFAOYSA-N 0 2 301.280 0.812 20 0 DCADLN CCOC(=O)[C@H](C(=O)NCCc1n[nH]c(=S)o1)C(C)C ZINC000822043362 671303881 /nfs/dbraw/zinc/30/38/81/671303881.db2.gz GIQJUIKHPHFQPN-VIFPVBQESA-N 0 2 301.368 0.852 20 0 DCADLN COC1(OC)CCN(C(=O)c2c(O)cc(F)cc2F)C[C@@H]1O ZINC000824076985 671419116 /nfs/dbraw/zinc/41/91/16/671419116.db2.gz FCQAMHOCHUECJI-NSHDSACASA-N 0 2 317.288 0.866 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000832049981 671698247 /nfs/dbraw/zinc/69/82/47/671698247.db2.gz LLIKSHCUOKPQDL-GFCCVEGCSA-N 0 2 320.349 0.109 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)Cc1cccc(C(=O)[O-])c1 ZINC000833631575 671748920 /nfs/dbraw/zinc/74/89/20/671748920.db2.gz LBZHTTHALDKPIN-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)Cc1cccc(C(=O)[O-])c1 ZINC000833631575 671748921 /nfs/dbraw/zinc/74/89/21/671748921.db2.gz LBZHTTHALDKPIN-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833919345 671750864 /nfs/dbraw/zinc/75/08/64/671750864.db2.gz ISUOHNSPJYRQQP-AAEUAGOBSA-N 0 2 321.381 0.691 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCC[N@H+]1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833919345 671750867 /nfs/dbraw/zinc/75/08/67/671750867.db2.gz ISUOHNSPJYRQQP-AAEUAGOBSA-N 0 2 321.381 0.691 20 0 DCADLN CN(C)C1(CNS(=O)(=O)N=S2(=O)CCCC2)CCC1 ZINC000867153885 671811220 /nfs/dbraw/zinc/81/12/20/671811220.db2.gz KOXMJBIFSPVSOW-UHFFFAOYSA-N 0 2 309.457 0.567 20 0 DCADLN Cn1ncc(CCCOC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000836144648 671818892 /nfs/dbraw/zinc/81/88/92/671818892.db2.gz YOLBVCKDVPJIEB-UHFFFAOYSA-N 0 2 322.287 0.748 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NCc1cnc(Cl)s1 ZINC000867274293 671956905 /nfs/dbraw/zinc/95/69/05/671956905.db2.gz JQAUFXRKQIMLTL-UHFFFAOYSA-N 0 2 303.818 0.859 20 0 DCADLN Cn1cc(C=CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000843874774 672126778 /nfs/dbraw/zinc/12/67/78/672126778.db2.gz HJUHIJIJYFMYDD-IHWYPQMZSA-N 0 2 302.338 0.663 20 0 DCADLN O=C([C@H](O)c1ccccn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843876281 672126934 /nfs/dbraw/zinc/12/69/34/672126934.db2.gz YMJGTTZSHGMRCO-LLVKDONJSA-N 0 2 303.322 0.345 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NCc1nn(C)cc1Cl ZINC000867348594 672153147 /nfs/dbraw/zinc/15/31/47/672153147.db2.gz HVSQRQXHMPFZQY-QGZVFWFLSA-N 0 2 314.820 0.526 20 0 DCADLN O=C([O-])c1n[nH+]c2ccccc2c1N1CC[C@H](CO)[C@H](O)C1 ZINC000844211313 672163637 /nfs/dbraw/zinc/16/36/37/672163637.db2.gz YQZJEDLWLPQXOE-BXKDBHETSA-N 0 2 303.318 0.508 20 0 DCADLN C[C@@H](O)[C@@H]1CN(c2c3ccccc3[nH+]nc2C(=O)[O-])CCO1 ZINC000844210414 672163756 /nfs/dbraw/zinc/16/37/56/672163756.db2.gz WLYXZDDBUFHKHT-SKDRFNHKSA-N 0 2 303.318 0.914 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)N1CCCCC1)n1cc[nH+]c1 ZINC000845451359 672249273 /nfs/dbraw/zinc/24/92/73/672249273.db2.gz XRWACHQNZOSMRK-NSHDSACASA-N 0 2 300.384 0.681 20 0 DCADLN Cn1cc([C@@H]2N(C(=O)C[C@H]3SC(=N)NC3=O)CC2(C)C)cn1 ZINC000846160718 672298325 /nfs/dbraw/zinc/29/83/25/672298325.db2.gz XQSALVAGENRVBW-KOLCDFICSA-N 0 2 321.406 0.886 20 0 DCADLN CS(=O)(=O)N1CC[C@@H](NC(=O)c2cccc(Cl)c2O)C1 ZINC000846405882 672311318 /nfs/dbraw/zinc/31/13/18/672311318.db2.gz OAKUKFMJKJSJCT-MRVPVSSYSA-N 0 2 318.782 0.809 20 0 DCADLN Cc1ccc(C(=O)NNC(=O)CNC(=O)c2ccoc2C)o1 ZINC000084285207 685086939 /nfs/dbraw/zinc/08/69/39/685086939.db2.gz RVKHVIZLGOUGQP-UHFFFAOYSA-N 0 2 305.290 0.680 20 0 DCADLN CCc1cc(C(=O)N2CCC[C@H]2CNC(=O)c2cnn[nH]2)[nH]n1 ZINC000955907031 686549907 /nfs/dbraw/zinc/54/99/07/686549907.db2.gz NFOWCZNTSNZGAP-JTQLQIEISA-N 0 2 317.353 0.125 20 0 DCADLN CCN(C(=O)c1nc(C)oc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000956994572 686931400 /nfs/dbraw/zinc/93/14/00/686931400.db2.gz JYBRISOMZXQIKA-UHFFFAOYSA-N 0 2 320.353 0.462 20 0 DCADLN Cc1cc(F)ccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957403761 687053888 /nfs/dbraw/zinc/05/38/88/687053888.db2.gz LXZXLHMBSFOYRG-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN C[C@@H]1CCCCN1C(=O)CN1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001038433966 694078559 /nfs/dbraw/zinc/07/85/59/694078559.db2.gz HGFCZKMPLITUPU-NEPJUHHUSA-N 0 2 320.397 0.010 20 0 DCADLN C[C@@H]1CCCCN1C(=O)C[N@@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001038433966 694078564 /nfs/dbraw/zinc/07/85/64/694078564.db2.gz HGFCZKMPLITUPU-NEPJUHHUSA-N 0 2 320.397 0.010 20 0 DCADLN C[C@@H]1CCCCN1C(=O)C[N@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001038433966 694078570 /nfs/dbraw/zinc/07/85/70/694078570.db2.gz HGFCZKMPLITUPU-NEPJUHHUSA-N 0 2 320.397 0.010 20 0 DCADLN Cc1nc(CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cs1 ZINC000957575949 687081696 /nfs/dbraw/zinc/08/16/96/687081696.db2.gz ZFPXSCHOZWHDCI-UHFFFAOYSA-N 0 2 322.394 0.162 20 0 DCADLN COc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001038459503 694090137 /nfs/dbraw/zinc/09/01/37/694090137.db2.gz XNCFGCZBAHCXSA-NSHDSACASA-N 0 2 302.338 0.213 20 0 DCADLN COc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001038459503 694090141 /nfs/dbraw/zinc/09/01/41/694090141.db2.gz XNCFGCZBAHCXSA-NSHDSACASA-N 0 2 302.338 0.213 20 0 DCADLN Cc1cnc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1C ZINC001038536077 694109355 /nfs/dbraw/zinc/10/93/55/694109355.db2.gz DLAIKLHJUBVPFP-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN CC(C)c1[nH]ccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038613473 694130759 /nfs/dbraw/zinc/13/07/59/694130759.db2.gz LVDLYBFSEPOIHW-JTQLQIEISA-N 0 2 318.381 0.966 20 0 DCADLN Cc1c(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccn1C ZINC001038674082 694156882 /nfs/dbraw/zinc/15/68/82/694156882.db2.gz NHONDFSYDBYJCH-SNVBAGLBSA-N 0 2 304.354 0.162 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cs1 ZINC001038815845 694200394 /nfs/dbraw/zinc/20/03/94/694200394.db2.gz HPFREJWBPGOHKX-SNVBAGLBSA-N 0 2 307.379 0.885 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccc(F)s1 ZINC000962991563 689001261 /nfs/dbraw/zinc/00/12/61/689001261.db2.gz RWMQHDDHWLNTJI-IIDWOEFGSA-N 0 2 323.353 0.571 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccc(F)s1 ZINC000962991563 689001264 /nfs/dbraw/zinc/00/12/64/689001264.db2.gz RWMQHDDHWLNTJI-IIDWOEFGSA-N 0 2 323.353 0.571 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1c(F)cccc1F ZINC001038952440 694252201 /nfs/dbraw/zinc/25/22/01/694252201.db2.gz GNMJZROEEOZLIC-MRVPVSSYSA-N 0 2 323.303 0.793 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccncc1 ZINC000964636724 689276298 /nfs/dbraw/zinc/27/62/98/689276298.db2.gz PLCFPUIYNVQAFR-JQWIXIFHSA-N 0 2 316.365 0.546 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000965851121 689566864 /nfs/dbraw/zinc/56/68/64/689566864.db2.gz IJCLBROYPMADJR-WCBMZHEXSA-N 0 2 320.353 0.447 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCOCC1 ZINC000965936715 689587681 /nfs/dbraw/zinc/58/76/81/689587681.db2.gz UIFSSXSWVFELRX-ZYHUDNBSSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCOCC1 ZINC000965936715 689587684 /nfs/dbraw/zinc/58/76/84/689587684.db2.gz UIFSSXSWVFELRX-ZYHUDNBSSA-N 0 2 321.381 0.184 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000968501467 690295399 /nfs/dbraw/zinc/29/53/99/690295399.db2.gz VRAHTPKNSCCJAD-PSASIEDQSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1noc(CN[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000968751741 690385128 /nfs/dbraw/zinc/38/51/28/690385128.db2.gz CQZMGIQUAHVYIL-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN CC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1cc(=O)n2[nH]ccc2n1 ZINC001039494127 694373268 /nfs/dbraw/zinc/37/32/68/694373268.db2.gz FMWPKOGEGCCGLV-OKILXGFUSA-N 0 2 315.377 0.608 20 0 DCADLN C[C@H](C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C)C1CC1 ZINC000973004978 690505932 /nfs/dbraw/zinc/50/59/32/690505932.db2.gz YJOYDZBLPRSABE-ONGXEEELSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C)C1CC1 ZINC000973004978 690505935 /nfs/dbraw/zinc/50/59/35/690505935.db2.gz YJOYDZBLPRSABE-ONGXEEELSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001006765628 690582496 /nfs/dbraw/zinc/58/24/96/690582496.db2.gz GSOITQOTMSYLBL-LBPRGKRZSA-N 0 2 316.365 0.608 20 0 DCADLN CCc1ocnc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006961157 690612478 /nfs/dbraw/zinc/61/24/78/690612478.db2.gz ZQLOWBUJFPWELN-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009060584 690979884 /nfs/dbraw/zinc/97/98/84/690979884.db2.gz VRUFINDNHHYZEZ-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(Cc1ccsc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010038557 691152204 /nfs/dbraw/zinc/15/22/04/691152204.db2.gz XUMJAHOZIBRMEK-SNVBAGLBSA-N 0 2 307.379 0.505 20 0 DCADLN Cc1nscc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010682084 691344599 /nfs/dbraw/zinc/34/45/99/691344599.db2.gz HGYQTNSCUOWDFR-MRVPVSSYSA-N 0 2 308.367 0.280 20 0 DCADLN Cn1ccc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001011161483 691480068 /nfs/dbraw/zinc/48/00/68/691480068.db2.gz ASNPCLRCIMKSOM-SECBINFHSA-N 0 2 322.262 0.605 20 0 DCADLN Cn1ccc(C(=O)N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001011161483 691480070 /nfs/dbraw/zinc/48/00/70/691480070.db2.gz ASNPCLRCIMKSOM-SECBINFHSA-N 0 2 322.262 0.605 20 0 DCADLN Cn1cncc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240056 691491968 /nfs/dbraw/zinc/49/19/68/691491968.db2.gz NGGSMPAZTNLFFH-SECBINFHSA-N 0 2 322.262 0.605 20 0 DCADLN Cn1cncc1C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011240056 691491974 /nfs/dbraw/zinc/49/19/74/691491974.db2.gz NGGSMPAZTNLFFH-SECBINFHSA-N 0 2 322.262 0.605 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@]12C[C@@H]1CCCC2 ZINC001015674293 692391131 /nfs/dbraw/zinc/39/11/31/692391131.db2.gz XZJAPCMYEAXXEV-RWSFTLGLSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1c1ccco1 ZINC001015737544 692423708 /nfs/dbraw/zinc/42/37/08/692423708.db2.gz MQTOJTSTXYWJRF-GMTAPVOTSA-N 0 2 317.349 0.598 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1coc(C2CC2)n1 ZINC001015807565 692451736 /nfs/dbraw/zinc/45/17/36/692451736.db2.gz XXWGPVJYVRZOPP-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN CC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC(F)(F)C1 ZINC001015976343 692530999 /nfs/dbraw/zinc/53/09/99/692530999.db2.gz HUVQKICNIRPMFF-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN COc1ccccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016071869 692560818 /nfs/dbraw/zinc/56/08/18/692560818.db2.gz MIGBVPZIDQOVPX-JTQLQIEISA-N 0 2 317.349 0.523 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]3OCC[N@@H+](CC(C)C)[C@H]3C2)c1[O-] ZINC001074218718 694842476 /nfs/dbraw/zinc/84/24/76/694842476.db2.gz DDROXWYVCQWLDR-STQMWFEESA-N 0 2 322.409 0.995 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]3OCC[N@H+](CC(C)C)[C@H]3C2)c1[O-] ZINC001074218718 694842477 /nfs/dbraw/zinc/84/24/77/694842477.db2.gz DDROXWYVCQWLDR-STQMWFEESA-N 0 2 322.409 0.995 20 0 DCADLN CC1(C(=O)N2CC[C@@H]3OCCN(Cc4n[nH]c(=O)[nH]4)[C@@H]3C2)CC1 ZINC001074253504 694852030 /nfs/dbraw/zinc/85/20/30/694852030.db2.gz WEXGFGGEKVGVMS-MNOVXSKESA-N 0 2 321.381 0.112 20 0 DCADLN CC(C)(C)C(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074389324 694884746 /nfs/dbraw/zinc/88/47/46/694884746.db2.gz FOJHENZFFICCAV-MNOVXSKESA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H](C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001075655315 694966550 /nfs/dbraw/zinc/96/65/50/694966550.db2.gz IIIABBQUFMPXCS-ZMLRMANQSA-N 0 2 305.382 0.589 20 0 DCADLN C[C@H](C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001075655315 694966551 /nfs/dbraw/zinc/96/65/51/694966551.db2.gz IIIABBQUFMPXCS-ZMLRMANQSA-N 0 2 305.382 0.589 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001076860239 695078951 /nfs/dbraw/zinc/07/89/51/695078951.db2.gz RZRXDNPRQCTTDX-FSPLSTOPSA-N 0 2 311.239 0.330 20 0 DCADLN C[N@H+](CCNC(=O)c1cccn2ccnc12)Cc1n[nH]c(=O)[n-]1 ZINC001690985194 1157390201 /nfs/dbraw/zinc/39/02/01/1157390201.db2.gz WUHMPECDYZUWHP-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN C[N@@H+](CCNC(=O)c1cccn2ccnc12)Cc1n[nH]c(=O)[n-]1 ZINC001690985194 1157390207 /nfs/dbraw/zinc/39/02/07/1157390207.db2.gz WUHMPECDYZUWHP-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN C/C(=C/C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001691064114 1157565633 /nfs/dbraw/zinc/56/56/33/1157565633.db2.gz BMLZCEGWDBZWNJ-UMAGTOLTSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C/C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001691064114 1157565635 /nfs/dbraw/zinc/56/56/35/1157565635.db2.gz BMLZCEGWDBZWNJ-UMAGTOLTSA-N 0 2 305.382 0.947 20 0 DCADLN Nc1nc(=O)[nH]cc1N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001771717837 1158094416 /nfs/dbraw/zinc/09/44/16/1158094416.db2.gz LAMJMTFXTUZJFQ-ZETCQYMHSA-N 0 2 323.250 0.313 20 0 DCADLN Nc1nc(=O)[nH]cc1N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001771717837 1158094422 /nfs/dbraw/zinc/09/44/22/1158094422.db2.gz LAMJMTFXTUZJFQ-ZETCQYMHSA-N 0 2 323.250 0.313 20 0 DCADLN O=C(CC1(O)CCC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001720087356 1158908064 /nfs/dbraw/zinc/90/80/64/1158908064.db2.gz YDMKTMDMPQLYIC-QMMMGPOBSA-N 0 2 300.252 0.424 20 0 DCADLN O=C(CC1(O)CCC1)NCCNC(=O)C(F)C(F)(F)F ZINC001720087356 1158908061 /nfs/dbraw/zinc/90/80/61/1158908061.db2.gz YDMKTMDMPQLYIC-QMMMGPOBSA-N 0 2 300.252 0.424 20 0 DCADLN CC(=O)CCCC(=O)N(C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001446670039 1159498930 /nfs/dbraw/zinc/49/89/30/1159498930.db2.gz MMPMOPCNJLLQIC-AWEZNQCLSA-N 0 2 320.393 0.771 20 0 DCADLN O=C(N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]n1 ZINC001447190923 1159598568 /nfs/dbraw/zinc/59/85/68/1159598568.db2.gz URTDJZIRHNNCES-HTRCEHHLSA-N 0 2 323.250 0.330 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447446903 1159643771 /nfs/dbraw/zinc/64/37/71/1159643771.db2.gz HAAPRMFQCUFNMN-NQMVMOMDSA-N 0 2 323.246 0.881 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001447446903 1159643773 /nfs/dbraw/zinc/64/37/73/1159643773.db2.gz HAAPRMFQCUFNMN-NQMVMOMDSA-N 0 2 323.246 0.881 20 0 DCADLN C[C@@H](NC(=O)c1cnc2c(cnn2C)c1)c1nn(C)cc1O ZINC001456798047 1159762489 /nfs/dbraw/zinc/76/24/89/1159762489.db2.gz SZDKZECTFWXEGY-MRVPVSSYSA-N 0 2 300.322 0.898 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)S(=O)(=O)C1CC1)c1nn(C)cc1O ZINC001456799604 1159762602 /nfs/dbraw/zinc/76/26/02/1159762602.db2.gz WRJOWGCXBYSCLA-MRVPVSSYSA-N 0 2 315.395 0.659 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc(-n2cccn2)nc1 ZINC001567852794 1160502083 /nfs/dbraw/zinc/50/20/83/1160502083.db2.gz MNPJQBXCSPSVIJ-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN C[C@@H](C(=O)NCCc1n[nH]c(=S)o1)N(C)CC(F)(F)F ZINC001567853155 1160502681 /nfs/dbraw/zinc/50/26/81/1160502681.db2.gz SLNHRBIJFYLYSR-LURJTMIESA-N 0 2 312.317 0.900 20 0 DCADLN CC1(C)OC[C@H](/C=C/C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001568148080 1160585174 /nfs/dbraw/zinc/58/51/74/1160585174.db2.gz YTZKLTFLWFJLAT-PZIAFJOJSA-N 0 2 322.365 0.924 20 0 DCADLN CC(C)=C(C)CC(=O)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001569756392 1161228253 /nfs/dbraw/zinc/22/82/53/1161228253.db2.gz SCEVZAWTOYCODN-OAHLLOKOSA-N 0 2 323.397 0.310 20 0 DCADLN CC(C)=C(C)CC(=O)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001569756392 1161228257 /nfs/dbraw/zinc/22/82/57/1161228257.db2.gz SCEVZAWTOYCODN-OAHLLOKOSA-N 0 2 323.397 0.310 20 0 DCADLN C[C@H](CC(=O)N[C@@H](C(=O)[O-])[C@H](O)c1cccnc1)n1cc[nH+]c1 ZINC001573534617 1163463111 /nfs/dbraw/zinc/46/31/11/1163463111.db2.gz BBRYUBHCLXGARL-LERXQTSPSA-N 0 2 318.333 0.532 20 0 DCADLN CC[N@@H+]1CC[C@H]1C(=O)N[C@H](CC(C)C)c1nc(C(=O)[O-])n[nH]1 ZINC001573777678 1163550675 /nfs/dbraw/zinc/55/06/75/1163550675.db2.gz GMUYWMRVDPKGOO-ZJUUUORDSA-N 0 2 309.370 0.801 20 0 DCADLN CC[N@H+]1CC[C@H]1C(=O)N[C@H](CC(C)C)c1nc(C(=O)[O-])n[nH]1 ZINC001573777678 1163550681 /nfs/dbraw/zinc/55/06/81/1163550681.db2.gz GMUYWMRVDPKGOO-ZJUUUORDSA-N 0 2 309.370 0.801 20 0 DCADLN NC(=O)Cc1cccc2c1CC[N@H+](Cc1cc(C(=O)[O-])n[nH]1)C2 ZINC001574254561 1163675691 /nfs/dbraw/zinc/67/56/91/1163675691.db2.gz BZEHSVMVXITSNF-UHFFFAOYSA-N 0 2 314.345 0.694 20 0 DCADLN NC(=O)Cc1cccc2c1CC[N@@H+](Cc1cc(C(=O)[O-])n[nH]1)C2 ZINC001574254561 1163675693 /nfs/dbraw/zinc/67/56/93/1163675693.db2.gz BZEHSVMVXITSNF-UHFFFAOYSA-N 0 2 314.345 0.694 20 0 DCADLN Nc1[nH+]ccc2c1CN(C(=O)CC1(C(=O)[O-])CCOCC1)CC2 ZINC001574291829 1163686051 /nfs/dbraw/zinc/68/60/51/1163686051.db2.gz OGODAGNRDWEEIF-UHFFFAOYSA-N 0 2 319.361 0.820 20 0 DCADLN O=C([O-])c1ccc(N2CC(NC(=O)N[C@H]3C[C@H](CO)C3)C2)[nH+]c1 ZINC001574311541 1163695355 /nfs/dbraw/zinc/69/53/55/1163695355.db2.gz KCIPNJBPNZVXCT-HOMQSWHASA-N 0 2 320.349 0.039 20 0 DCADLN CC[C@@H](NC(C)=O)C(=O)N[C@](C)(C[NH2+]Cc1c[nH]nn1)C1CC1 ZINC001576279619 1164288877 /nfs/dbraw/zinc/28/88/77/1164288877.db2.gz YBBDBTQQTOFDBH-UKRRQHHQSA-N 0 2 322.413 0.094 20 0 DCADLN O=C(Cc1cscn1)N1C[C@@H]2C[C@H]1CN2Cc1cn[nH]n1 ZINC001577966179 1164864889 /nfs/dbraw/zinc/86/48/89/1164864889.db2.gz LIVDGZFVLFDEFS-RYUDHWBXSA-N 0 2 304.379 0.289 20 0 DCADLN O=C(Cc1cscn1)N1C[C@@H]2C[C@H]1CN2Cc1c[nH]nn1 ZINC001577966179 1164864897 /nfs/dbraw/zinc/86/48/97/1164864897.db2.gz LIVDGZFVLFDEFS-RYUDHWBXSA-N 0 2 304.379 0.289 20 0 DCADLN O=C(NCC[C@@H]1CC[N@H+](Cc2c[nH]nn2)C1)c1ccccn1 ZINC001578006543 1164889683 /nfs/dbraw/zinc/88/96/83/1164889683.db2.gz VGMGLGCNCRUUSD-GFCCVEGCSA-N 0 2 300.366 0.842 20 0 DCADLN CCS(=O)(CC)=NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001578417409 1165007031 /nfs/dbraw/zinc/00/70/31/1165007031.db2.gz JZKPXCFVXYURGY-UHFFFAOYSA-N 0 2 324.366 0.924 20 0 DCADLN COC(=O)c1ccc(Cn2cnc(-c3nn[nH]n3)cc2=O)s1 ZINC001579482317 1165326822 /nfs/dbraw/zinc/32/68/22/1165326822.db2.gz CLGGGRLWFIDMJO-UHFFFAOYSA-N 0 2 318.318 0.320 20 0 DCADLN COC(=O)c1csc(Cn2cncc(-c3nn[nH]n3)c2=O)c1 ZINC001579491294 1165331849 /nfs/dbraw/zinc/33/18/49/1165331849.db2.gz FYJRRLAJFQXGHV-UHFFFAOYSA-N 0 2 318.318 0.320 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CS[C@@H](C)C1 ZINC001580605399 1165654199 /nfs/dbraw/zinc/65/41/99/1165654199.db2.gz ZDEPXDYRTTUOQK-JGVFFNPUSA-N 0 2 320.378 0.900 20 0 DCADLN Cc1[nH][nH]c(=O)c1NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001580606795 1165654807 /nfs/dbraw/zinc/65/48/07/1165654807.db2.gz OMZIRPJUBHFZKR-UHFFFAOYSA-N 0 2 316.281 0.265 20 0 DCADLN O=C(Nc1sccc1-c1nn[nH]n1)N[C@@H]1CC[C@@H](O)[C@@H](O)C1 ZINC001582655163 1166063125 /nfs/dbraw/zinc/06/31/25/1166063125.db2.gz YFRKAPSASLPWKY-VDAHYXPESA-N 0 2 324.366 0.324 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1ncccc1-c1ccccn1 ZINC001586191199 1166482750 /nfs/dbraw/zinc/48/27/50/1166482750.db2.gz OJHLBYOJMPUXHZ-UHFFFAOYSA-N 0 2 309.285 0.790 20 0 DCADLN Cn1c(CC(=O)NCc2n[nH]c(=O)[nH]2)nnc1-c1ccccc1 ZINC001587726473 1166511561 /nfs/dbraw/zinc/51/15/61/1166511561.db2.gz GFCHFSKFMLWLIX-UHFFFAOYSA-N 0 2 313.321 0.165 20 0 DCADLN CC(C)[C@@H](O)CCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001589479330 1166661334 /nfs/dbraw/zinc/66/13/34/1166661334.db2.gz CWBGUIPKYDHWFY-ZDUSSCGKSA-N 0 2 303.366 0.924 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589658897 1166672093 /nfs/dbraw/zinc/67/20/93/1166672093.db2.gz VSVVFOPWAUGZIU-HTQZYQBOSA-N 0 2 305.342 0.513 20 0 DCADLN C[C@H](CN(C)C(=O)c1cscc1-c1nn[nH]n1)c1nn[nH]n1 ZINC001589841458 1166697135 /nfs/dbraw/zinc/69/71/35/1166697135.db2.gz TXJZRWGFGOKMPD-ZCFIWIBFSA-N 0 2 319.354 0.317 20 0 DCADLN C[C@@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)C(C)(C)C ZINC001589875443 1166702553 /nfs/dbraw/zinc/70/25/53/1166702553.db2.gz GBUMYSICFSNJGT-ZETCQYMHSA-N 0 2 307.358 0.760 20 0 DCADLN C[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@@H](C2CC2)O1 ZINC001590311175 1166856903 /nfs/dbraw/zinc/85/69/03/1166856903.db2.gz WIZBLJGGSWNOTI-GWCFXTLKSA-N 0 2 316.365 0.485 20 0 DCADLN CC[C@@H](Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2=O)OC ZINC001590452526 1166911072 /nfs/dbraw/zinc/91/10/72/1166911072.db2.gz WVPRLZVPIVCEPF-VIFPVBQESA-N 0 2 317.349 0.972 20 0 DCADLN CCC1(C)CCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CC1 ZINC001590489523 1166924193 /nfs/dbraw/zinc/92/41/93/1166924193.db2.gz JNJKDVGUDDBGAP-UHFFFAOYSA-N 0 2 319.369 0.270 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n([C@H]2COC(C)(C)C2)c1 ZINC001591287155 1167199641 /nfs/dbraw/zinc/19/96/41/1167199641.db2.gz WYWATFLRIQDMQK-SECBINFHSA-N 0 2 319.321 0.555 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCSC(C)C)c1 ZINC001591285083 1167199749 /nfs/dbraw/zinc/19/97/49/1167199749.db2.gz CPFHAHBIDCZOCM-UHFFFAOYSA-N 0 2 323.378 0.957 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cc(-c2nn[nH]n2)ccn1)C1CC1 ZINC001591335511 1167220719 /nfs/dbraw/zinc/22/07/19/1167220719.db2.gz OKXMRCZFCXGZBQ-JTQLQIEISA-N 0 2 324.366 0.428 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CCCSC1 ZINC001591836173 1167390357 /nfs/dbraw/zinc/39/03/57/1167390357.db2.gz ZJEGGALHJDSBIV-QMMMGPOBSA-N 0 2 320.378 0.901 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CSC[C@@H]1C ZINC001591835999 1167390720 /nfs/dbraw/zinc/39/07/20/1167390720.db2.gz SDBKJQGYWOWTNX-IONNQARKSA-N 0 2 320.378 0.757 20 0 DCADLN Cc1ccc(C(=O)Cn2cncc(-c3nn[nH]n3)c2=O)s1 ZINC001592115553 1167459198 /nfs/dbraw/zinc/45/91/98/1167459198.db2.gz OYAIDBSJYOUYOE-UHFFFAOYSA-N 0 2 302.319 0.676 20 0 DCADLN Cc1ccc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)o1 ZINC001592166344 1167468341 /nfs/dbraw/zinc/46/83/41/1167468341.db2.gz GICJHLWNOUEHNY-UHFFFAOYSA-N 0 2 303.282 0.180 20 0 DCADLN Cc1ccn(CN2CCCO[C@H](C)C2)c(=O)c1-c1nn[nH]n1 ZINC001592266666 1167480582 /nfs/dbraw/zinc/48/05/82/1167480582.db2.gz FMLBYNQPKNROMC-LLVKDONJSA-N 0 2 304.354 0.405 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)NCc1n[nH]c(C(C)C)n1 ZINC001592500788 1167541172 /nfs/dbraw/zinc/54/11/72/1167541172.db2.gz ICFTVSBJVIHTNA-UHFFFAOYSA-N 0 2 316.325 0.940 20 0 DCADLN Cn1c(=O)[nH]c(NCCC[C@H]2C=CCC2)c(-c2nn[nH]n2)c1=O ZINC001592545691 1167550371 /nfs/dbraw/zinc/55/03/71/1167550371.db2.gz JHJVTNQGFGDFNO-VIFPVBQESA-N 0 2 317.353 0.824 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCCC(C)(C)O2)c1=O ZINC001592558129 1167558526 /nfs/dbraw/zinc/55/85/26/1167558526.db2.gz PONXQUFGUKBJIU-VIFPVBQESA-N 0 2 320.353 0.075 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CC[C@H]1CC1(Cl)Cl ZINC001593489709 1167870476 /nfs/dbraw/zinc/87/04/76/1167870476.db2.gz WWOBGADHCHEKCZ-YFKPBYRVSA-N 0 2 317.136 0.713 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@@H]1COc2ccccc2O1 ZINC001593494729 1167879631 /nfs/dbraw/zinc/87/96/31/1167879631.db2.gz UXIWJNZEJVQPKX-SECBINFHSA-N 0 2 312.289 0.263 20 0 DCADLN Cc1c(NC(=O)[C@H]2CCn3cc[nH+]c3C2)cnn1CC(=O)[O-] ZINC001600032767 1168106892 /nfs/dbraw/zinc/10/68/92/1168106892.db2.gz PXUOUPMTCVIFRZ-JTQLQIEISA-N 0 2 303.322 0.674 20 0 DCADLN Cc1ccc(C(=O)N[C@H](CO)c2c[nH+]cn2C)cc1C(=O)[O-] ZINC001600338132 1168169264 /nfs/dbraw/zinc/16/92/64/1168169264.db2.gz UIIIKFVYUACSPS-GFCCVEGCSA-N 0 2 303.318 0.890 20 0 DCADLN Cc1ccc(NC(=O)N2CC[C@](O)(C(=O)[O-])C2)c(N(C)C)[nH+]1 ZINC001600449876 1168186738 /nfs/dbraw/zinc/18/67/38/1168186738.db2.gz IRIFXMSVSMKIRX-CQSZACIVSA-N 0 2 308.338 0.509 20 0 DCADLN Cc1cccn2cc(CC(=O)N3C[C@H](O)C[C@H](C(=O)[O-])C3)[nH+]c12 ZINC001600589396 1168204273 /nfs/dbraw/zinc/20/42/73/1168204273.db2.gz MWMRMTYLCKPJCZ-WCQYABFASA-N 0 2 317.345 0.479 20 0 DCADLN Cc1nc(N2CCC(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC001600750856 1168276855 /nfs/dbraw/zinc/27/68/55/1168276855.db2.gz OVMBIKZMLNTENO-OLZOCXBDSA-N 0 2 318.377 0.838 20 0 DCADLN Cc1noc(C[N@@H+](C)CCCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001600812498 1168335211 /nfs/dbraw/zinc/33/52/11/1168335211.db2.gz HVVHXBIREQSMJK-GHMZBOCLSA-N 0 2 310.354 0.379 20 0 DCADLN Cc1noc(C[N@H+](C)CCCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001600812498 1168335301 /nfs/dbraw/zinc/33/53/01/1168335301.db2.gz HVVHXBIREQSMJK-GHMZBOCLSA-N 0 2 310.354 0.379 20 0 DCADLN Cn1c(=O)sc2cc(C[N@@H+]3C[C@@H](O)C[C@@H]3C(=O)[O-])ccc21 ZINC001600899534 1168500175 /nfs/dbraw/zinc/50/01/75/1168500175.db2.gz YLSUXVBWPVBJLZ-GXSJLCMTSA-N 0 2 308.359 0.620 20 0 DCADLN Cn1c(=O)sc2cc(C[N@H+]3C[C@@H](O)C[C@@H]3C(=O)[O-])ccc21 ZINC001600899534 1168500184 /nfs/dbraw/zinc/50/01/84/1168500184.db2.gz YLSUXVBWPVBJLZ-GXSJLCMTSA-N 0 2 308.359 0.620 20 0 DCADLN Cn1c(C(=O)[O-])ccc1[C@@H]1CCCC[N@@H+]1CC(=O)NC(N)=O ZINC001600909198 1168503125 /nfs/dbraw/zinc/50/31/25/1168503125.db2.gz QNBDONKRRQUZGB-JTQLQIEISA-N 0 2 308.338 0.445 20 0 DCADLN Cn1c(C(=O)[O-])ccc1[C@@H]1CCCC[N@H+]1CC(=O)NC(N)=O ZINC001600909198 1168503133 /nfs/dbraw/zinc/50/31/33/1168503133.db2.gz QNBDONKRRQUZGB-JTQLQIEISA-N 0 2 308.338 0.445 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)c3ccc(C(=O)[O-])n3C)CC[NH2+]2)cn1 ZINC001600943715 1168523377 /nfs/dbraw/zinc/52/33/77/1168523377.db2.gz LPBRWTZXINRRRA-LLVKDONJSA-N 0 2 317.349 0.244 20 0 DCADLN Cn1cc(Cl)c(C[NH2+]CCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001600956893 1168532426 /nfs/dbraw/zinc/53/24/26/1168532426.db2.gz KTMURBAKXGRMJC-HTQZYQBOSA-N 0 2 300.746 0.000 20 0 DCADLN Cn1cc(N2CC[C@H](Nc3cc(CC(=O)[O-])cc[nH+]3)C2=O)cn1 ZINC001600958327 1168532495 /nfs/dbraw/zinc/53/24/95/1168532495.db2.gz JKQRDHSMHQXRSK-LBPRGKRZSA-N 0 2 315.333 0.660 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)C(=O)NCCCCC(=O)[O-])C1 ZINC001600984785 1168543435 /nfs/dbraw/zinc/54/34/35/1168543435.db2.gz VQZLMNZUHGLVTM-LLVKDONJSA-N 0 2 322.365 0.107 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCC([C@H](O)C(=O)[O-])CC1 ZINC001600994531 1168551884 /nfs/dbraw/zinc/55/18/84/1168551884.db2.gz XWDPTNQEXWNEBE-WZRBSPASSA-N 0 2 307.350 0.208 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@H+](CC(=O)Nc2cc(Cl)ccc2F)C1 ZINC001601303936 1168695571 /nfs/dbraw/zinc/69/55/71/1168695571.db2.gz IJIDWKFPHNHLCW-CYBMUJFWSA-N 0 2 316.716 0.939 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2F)C1 ZINC001601303936 1168695575 /nfs/dbraw/zinc/69/55/75/1168695575.db2.gz IJIDWKFPHNHLCW-CYBMUJFWSA-N 0 2 316.716 0.939 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@H+](CC(=O)Nc2cc(Cl)ccc2F)C1 ZINC001601303937 1168695998 /nfs/dbraw/zinc/69/59/98/1168695998.db2.gz IJIDWKFPHNHLCW-ZDUSSCGKSA-N 0 2 316.716 0.939 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2F)C1 ZINC001601303937 1168696012 /nfs/dbraw/zinc/69/60/12/1168696012.db2.gz IJIDWKFPHNHLCW-ZDUSSCGKSA-N 0 2 316.716 0.939 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2ccc(Cl)cn2)C1 ZINC001601304685 1168698522 /nfs/dbraw/zinc/69/85/22/1168698522.db2.gz FNCHGKJXLXFPQM-WPRPVWTQSA-N 0 2 313.741 0.441 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](CC(=O)Nc2ccc(Cl)cn2)C1 ZINC001601304685 1168698524 /nfs/dbraw/zinc/69/85/24/1168698524.db2.gz FNCHGKJXLXFPQM-WPRPVWTQSA-N 0 2 313.741 0.441 20 0 DCADLN O=C([O-])c1ccc(CC(=O)N[C@H]2CCn3c[nH+]cc3C2)cn1 ZINC001601357252 1168718028 /nfs/dbraw/zinc/71/80/28/1168718028.db2.gz DSIQZLRGMVELLI-NSHDSACASA-N 0 2 300.318 0.650 20 0 DCADLN O=C([O-])C1([C@@]2(O)CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)CCC1 ZINC001601375693 1168723815 /nfs/dbraw/zinc/72/38/15/1168723815.db2.gz BBXQAODNHWTBGS-OAHLLOKOSA-N 0 2 307.350 0.561 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2nsnc2c1 ZINC001601426057 1168742172 /nfs/dbraw/zinc/74/21/72/1168742172.db2.gz QLHFOEWPYHOQSL-NSHDSACASA-N 0 2 317.330 0.771 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCc2ncncc2C1 ZINC001601426023 1168742547 /nfs/dbraw/zinc/74/25/47/1168742547.db2.gz PNSUMDHDAREPBW-GXFFZTMASA-N 0 2 315.333 0.048 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCCC12OCCO2 ZINC001601566074 1168782890 /nfs/dbraw/zinc/78/28/90/1168782890.db2.gz TURYGCDZTJHOOT-QWRGUYRKSA-N 0 2 309.322 0.065 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCCC12OCCO2 ZINC001601566074 1168782900 /nfs/dbraw/zinc/78/29/00/1168782900.db2.gz TURYGCDZTJHOOT-QWRGUYRKSA-N 0 2 309.322 0.065 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@H+](CCS(=O)(=O)c2ccc(F)cc2)C1 ZINC001601722599 1168831223 /nfs/dbraw/zinc/83/12/23/1168831223.db2.gz QQRMBXIFUTUIJC-ZDUSSCGKSA-N 0 2 317.338 0.121 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@@H+](CCS(=O)(=O)c2ccc(F)cc2)C1 ZINC001601722599 1168831236 /nfs/dbraw/zinc/83/12/36/1168831236.db2.gz QQRMBXIFUTUIJC-ZDUSSCGKSA-N 0 2 317.338 0.121 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@H+](Cc2nc(Cc3cccc(F)c3)no2)C1 ZINC001601723326 1168832795 /nfs/dbraw/zinc/83/27/95/1168832795.db2.gz HPHMIQAEWFNUJO-HNNXBMFYSA-N 0 2 321.308 0.821 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@@H+](Cc2nc(Cc3cccc(F)c3)no2)C1 ZINC001601723326 1168832808 /nfs/dbraw/zinc/83/28/08/1168832808.db2.gz HPHMIQAEWFNUJO-HNNXBMFYSA-N 0 2 321.308 0.821 20 0 DCADLN O=C([O-])C1(O)CC[NH+](Cc2nncn2-c2ccccc2)CC1 ZINC001601723941 1168833280 /nfs/dbraw/zinc/83/32/80/1168833280.db2.gz ZCTGRIPLEVVEQH-UHFFFAOYSA-N 0 2 302.334 0.679 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001601778146 1168880180 /nfs/dbraw/zinc/88/01/80/1168880180.db2.gz HZPSCDOMSFSPBH-STQMWFEESA-N 0 2 305.334 0.644 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001601778146 1168880189 /nfs/dbraw/zinc/88/01/89/1168880189.db2.gz HZPSCDOMSFSPBH-STQMWFEESA-N 0 2 305.334 0.644 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@@H+]1[C@@H]1CCC2(C[C@@H]1F)OCCO2 ZINC001601965471 1168950240 /nfs/dbraw/zinc/95/02/40/1168950240.db2.gz KMCPWGZSKMNYAN-GRYCIOLGSA-N 0 2 303.330 0.796 20 0 DCADLN O=C([O-])CCN1CC[C@H]([NH+]2CCN(c3ccccn3)CC2)C1=O ZINC001602015945 1168964969 /nfs/dbraw/zinc/96/49/69/1168964969.db2.gz MACIADAQXMGCGM-ZDUSSCGKSA-N 0 2 318.377 0.279 20 0 DCADLN O=C([O-])CCc1nnc(NC(=O)[C@@H]2CCn3c[nH+]cc3C2)s1 ZINC001602048589 1168976308 /nfs/dbraw/zinc/97/63/08/1168976308.db2.gz RPEUNCBUMIKMAV-MRVPVSSYSA-N 0 2 321.362 0.953 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)C(=O)O1 ZINC001602188969 1169019298 /nfs/dbraw/zinc/01/92/98/1169019298.db2.gz WGIUEZSWUCMHDI-WFASDCNBSA-N 0 2 324.308 0.582 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)C(=O)O1 ZINC001602188969 1169019309 /nfs/dbraw/zinc/01/93/09/1169019309.db2.gz WGIUEZSWUCMHDI-WFASDCNBSA-N 0 2 324.308 0.582 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1[C@H]1NC(=O)N(CCCCn2cc[nH+]c2)C1=O ZINC001602198480 1169025625 /nfs/dbraw/zinc/02/56/25/1169025625.db2.gz LAYGEVLARUUDEU-GMTAPVOTSA-N 0 2 306.322 0.304 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)o1 ZINC001602319118 1169058453 /nfs/dbraw/zinc/05/84/53/1169058453.db2.gz JSRSYMDHHJGHJL-LLVKDONJSA-N 0 2 308.334 0.915 20 0 DCADLN O=C([O-])c1cnn(CC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)c1 ZINC001602544090 1169113615 /nfs/dbraw/zinc/11/36/15/1169113615.db2.gz FEVWGNCARBMVNX-UHFFFAOYSA-N 0 2 303.322 0.711 20 0 DCADLN O=C([O-])c1cnn(CC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)c1 ZINC001602544090 1169113628 /nfs/dbraw/zinc/11/36/28/1169113628.db2.gz FEVWGNCARBMVNX-UHFFFAOYSA-N 0 2 303.322 0.711 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCC(=O)c2cccn21 ZINC001602642482 1169142879 /nfs/dbraw/zinc/14/28/79/1169142879.db2.gz NLPGZSYVTYPKOW-JQWIXIFHSA-N 0 2 316.317 0.472 20 0 DCADLN CC(C)COC(=O)C[NH+]1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001603821328 1169404735 /nfs/dbraw/zinc/40/47/35/1169404735.db2.gz DQYCVWQBILETCE-UHFFFAOYSA-N 0 2 310.354 0.812 20 0 DCADLN C[C@H]1CCCCCN1c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603892418 1169423268 /nfs/dbraw/zinc/42/32/68/1169423268.db2.gz YZGWHSMYOAKMOT-QMMMGPOBSA-N 0 2 305.342 0.023 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])[N@H+](C)C[C@@H]1CN(C2CC2)C(=O)O1 ZINC001603918056 1169434288 /nfs/dbraw/zinc/43/42/88/1169434288.db2.gz WHKBDXNDZDCLCV-NEPJUHHUSA-N 0 2 300.355 0.780 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])[N@@H+](C)C[C@@H]1CN(C2CC2)C(=O)O1 ZINC001603918056 1169434293 /nfs/dbraw/zinc/43/42/93/1169434293.db2.gz WHKBDXNDZDCLCV-NEPJUHHUSA-N 0 2 300.355 0.780 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])[N@H+](C)C[C@H]1CN(C2CC2)C(=O)O1 ZINC001603918057 1169435250 /nfs/dbraw/zinc/43/52/50/1169435250.db2.gz WHKBDXNDZDCLCV-NWDGAFQWSA-N 0 2 300.355 0.780 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])[N@@H+](C)C[C@H]1CN(C2CC2)C(=O)O1 ZINC001603918057 1169435251 /nfs/dbraw/zinc/43/52/51/1169435251.db2.gz WHKBDXNDZDCLCV-NWDGAFQWSA-N 0 2 300.355 0.780 20 0 DCADLN CC(C)[C@H](NC(=O)COC(=O)C[C@H](C)n1cc[nH+]c1)C(=O)[O-] ZINC001603973099 1169459615 /nfs/dbraw/zinc/45/96/15/1169459615.db2.gz BPLSJYSNCJLZIH-GWCFXTLKSA-N 0 2 311.338 0.603 20 0 DCADLN CCC1(CC)CCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001604085205 1169487748 /nfs/dbraw/zinc/48/77/48/1169487748.db2.gz QSNPPVKHNVVRGB-UHFFFAOYSA-N 0 2 319.369 0.270 20 0 DCADLN CCCc1nc(Cn2cncc(-c3nn[nH]n3)c2=O)cs1 ZINC001604247558 1169532818 /nfs/dbraw/zinc/53/28/18/1169532818.db2.gz DYRLUAPJDCMDRR-UHFFFAOYSA-N 0 2 303.351 0.881 20 0 DCADLN C[C@@H](NC(=O)Cn1cc[nH+]c1)[C@H](C)Nc1ncc(C(=O)[O-])cn1 ZINC001604277976 1169544797 /nfs/dbraw/zinc/54/47/97/1169544797.db2.gz UWCBIHJORPYWJV-ZJUUUORDSA-N 0 2 318.337 0.377 20 0 DCADLN C[C@@H](O)C[N@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccccc1 ZINC001604387340 1169580153 /nfs/dbraw/zinc/58/01/53/1169580153.db2.gz NRQBUHXXQSEKBK-GFCCVEGCSA-N 0 2 304.350 0.746 20 0 DCADLN C[C@@H](O)C[N@@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccccc1 ZINC001604387340 1169580158 /nfs/dbraw/zinc/58/01/58/1169580158.db2.gz NRQBUHXXQSEKBK-GFCCVEGCSA-N 0 2 304.350 0.746 20 0 DCADLN CCOCCCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604430121 1169591865 /nfs/dbraw/zinc/59/18/65/1169591865.db2.gz BQROKUGGKINIHT-UHFFFAOYSA-N 0 2 306.326 0.432 20 0 DCADLN C[C@]1(C2CC[NH+](Cn3cc(C(=O)[O-])nn3)CC2)COC(=O)N1 ZINC001604568983 1169618606 /nfs/dbraw/zinc/61/86/06/1169618606.db2.gz OEAAHCFQJPSBKN-CYBMUJFWSA-N 0 2 309.326 0.144 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C/C=C\c2ccccc2)c1=O ZINC001604600757 1169626929 /nfs/dbraw/zinc/62/69/29/1169626929.db2.gz FNPTXPFXMWEMRZ-TWGQIWQCSA-N 0 2 324.344 0.923 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)N(C)C)C(=O)[O-] ZINC001604932370 1169751937 /nfs/dbraw/zinc/75/19/37/1169751937.db2.gz NGZFJGDEHWLJLC-GMXVVIOVSA-N 0 2 313.398 0.155 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)N(C)C)C(=O)[O-] ZINC001604932370 1169751942 /nfs/dbraw/zinc/75/19/42/1169751942.db2.gz NGZFJGDEHWLJLC-GMXVVIOVSA-N 0 2 313.398 0.155 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCC(C)(C)OC)c1 ZINC001604963933 1169765304 /nfs/dbraw/zinc/76/53/04/1169765304.db2.gz CYIUXHUJHAOXET-UHFFFAOYSA-N 0 2 321.337 0.630 20 0 DCADLN CO[C@@H](C)[C@H](C)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605006545 1169775998 /nfs/dbraw/zinc/77/59/98/1169775998.db2.gz ZQIGIXQHFBEJLS-YUMQZZPRSA-N 0 2 306.326 0.429 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C[C@H](C)O)C1CC1 ZINC001605505030 1169942291 /nfs/dbraw/zinc/94/22/91/1169942291.db2.gz SVHWJAARPVEMAZ-QMMMGPOBSA-N 0 2 318.337 0.261 20 0 DCADLN CN(C(=O)[C@@H]1CCn2cc[nH+]c2C1)[C@H](COC1CCC1)C(=O)[O-] ZINC001605956742 1170035836 /nfs/dbraw/zinc/03/58/36/1170035836.db2.gz XHCHVRSEJPIDFD-DGCLKSJQSA-N 0 2 321.377 0.926 20 0 DCADLN Cc1cnc(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)o1 ZINC001605980781 1170040173 /nfs/dbraw/zinc/04/01/73/1170040173.db2.gz VKSSCXGCYMKZQT-UHFFFAOYSA-N 0 2 301.266 0.824 20 0 DCADLN Cc1nn(Cc2ccnc(F)c2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606116180 1170080986 /nfs/dbraw/zinc/08/09/86/1170080986.db2.gz RUHNRQLNFVEEIJ-UHFFFAOYSA-N 0 2 301.285 0.623 20 0 DCADLN Cc1occc1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001606175948 1170102523 /nfs/dbraw/zinc/10/25/23/1170102523.db2.gz BDQFSZPLHQHANO-UHFFFAOYSA-N 0 2 303.282 0.180 20 0 DCADLN Cn1c(=O)[nH]c(NCC[C@H]2CCCCO2)c(-c2nn[nH]n2)c1=O ZINC001606205397 1170112646 /nfs/dbraw/zinc/11/26/46/1170112646.db2.gz CEEUWZAEAKZVKW-MRVPVSSYSA-N 0 2 321.341 0.037 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1ccc(C(=O)[O-])cc1 ZINC001606209257 1170115501 /nfs/dbraw/zinc/11/55/01/1170115501.db2.gz RWDIJQILGWTUIF-OKILXGFUSA-N 0 2 324.402 0.993 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1ccc(C(=O)[O-])cc1 ZINC001606209257 1170115504 /nfs/dbraw/zinc/11/55/04/1170115504.db2.gz RWDIJQILGWTUIF-OKILXGFUSA-N 0 2 324.402 0.993 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2nccn2C(F)F)C[C@H]1C(=O)[O-] ZINC001606431058 1170198554 /nfs/dbraw/zinc/19/85/54/1170198554.db2.gz HEZHHBZDBQSXCM-SFYZADRCSA-N 0 2 303.265 0.584 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2nccn2C(F)F)C[C@H]1C(=O)[O-] ZINC001606431058 1170198557 /nfs/dbraw/zinc/19/85/57/1170198557.db2.gz HEZHHBZDBQSXCM-SFYZADRCSA-N 0 2 303.265 0.584 20 0 DCADLN COC(=O)c1cc(C(=O)C[N@@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)c(C)[nH]1 ZINC001606534943 1170229238 /nfs/dbraw/zinc/22/92/38/1170229238.db2.gz LXNYABMIIOZHNA-NXEZZACHSA-N 0 2 324.333 0.060 20 0 DCADLN COC(=O)c1cc(C(=O)C[N@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)c(C)[nH]1 ZINC001606534943 1170229242 /nfs/dbraw/zinc/22/92/42/1170229242.db2.gz LXNYABMIIOZHNA-NXEZZACHSA-N 0 2 324.333 0.060 20 0 DCADLN COC[C@H](NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccn1)C(=O)[O-] ZINC001606805833 1170296509 /nfs/dbraw/zinc/29/65/09/1170296509.db2.gz CJYYREIRNYCAMZ-STQMWFEESA-N 0 2 307.350 0.262 20 0 DCADLN COC[C@H](NC(=O)[C@@H]1CCC[N@H+]1Cc1ccccn1)C(=O)[O-] ZINC001606805833 1170296515 /nfs/dbraw/zinc/29/65/15/1170296515.db2.gz CJYYREIRNYCAMZ-STQMWFEESA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@@H]1C[N@@H+]([C@H](C)C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@H]1O ZINC001606999164 1170349681 /nfs/dbraw/zinc/34/96/81/1170349681.db2.gz JQNQZDBTPNWEQR-GMTAPVOTSA-N 0 2 310.350 0.592 20 0 DCADLN CO[C@@H]1C[N@H+]([C@H](C)C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@H]1O ZINC001606999164 1170349686 /nfs/dbraw/zinc/34/96/86/1170349686.db2.gz JQNQZDBTPNWEQR-GMTAPVOTSA-N 0 2 310.350 0.592 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@@H+]2CC[C@H](NC(N)=O)C2)c1 ZINC001607185413 1170413512 /nfs/dbraw/zinc/41/35/12/1170413512.db2.gz NUFNSAPPILYPDF-GWCFXTLKSA-N 0 2 323.349 0.572 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](NC(N)=O)C2)c1 ZINC001607185413 1170413513 /nfs/dbraw/zinc/41/35/13/1170413513.db2.gz NUFNSAPPILYPDF-GWCFXTLKSA-N 0 2 323.349 0.572 20 0 DCADLN COc1ccccc1[C@@H](O)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001607339539 1170431148 /nfs/dbraw/zinc/43/11/48/1170431148.db2.gz ZFKWLIDIWQOEFS-WCQYABFASA-N 0 2 319.317 0.264 20 0 DCADLN COc1ccccc1[C@@H](O)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001607339539 1170431155 /nfs/dbraw/zinc/43/11/55/1170431155.db2.gz ZFKWLIDIWQOEFS-WCQYABFASA-N 0 2 319.317 0.264 20 0 DCADLN CS(=O)(=O)N1CC[NH+](CCc2ccccc2C(=O)[O-])CC1 ZINC001607372442 1170441150 /nfs/dbraw/zinc/44/11/50/1170441150.db2.gz BHINKUZRXSFHKJ-UHFFFAOYSA-N 0 2 312.391 0.505 20 0 DCADLN Cc1c(C(=O)[O-])cc(CNC(=O)/C=C/C[NH+]2CCOCC2)n1C ZINC001607513167 1170471067 /nfs/dbraw/zinc/47/10/67/1170471067.db2.gz NHSYWZPVOWHFEE-ONEGZZNKSA-N 0 2 321.377 0.536 20 0 DCADLN Cc1cc(C)c(NC(=O)C(=O)NCCOCC(=O)[O-])c(C)[nH+]1 ZINC001607623475 1170484606 /nfs/dbraw/zinc/48/46/06/1170484606.db2.gz ZJWFPFWSFYYQQH-UHFFFAOYSA-N 0 2 309.322 0.163 20 0 DCADLN Cc1cccn2cc(CC(=O)NC3(C(=O)[O-])CC(CO)C3)[nH+]c12 ZINC001607887647 1170516990 /nfs/dbraw/zinc/51/69/90/1170516990.db2.gz PTYGFDSUUGELEG-UHFFFAOYSA-N 0 2 317.345 0.527 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CCO[C@H](CC(=O)[O-])C3)ccn12 ZINC001607908607 1170521300 /nfs/dbraw/zinc/52/13/00/1170521300.db2.gz GLLRUMSDZYOBLF-GFCCVEGCSA-N 0 2 303.318 0.958 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001608022812 1170551293 /nfs/dbraw/zinc/55/12/93/1170551293.db2.gz XHVFEEMXYCCOTF-HQJQHLMTSA-N 0 2 306.322 0.930 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001608022812 1170551300 /nfs/dbraw/zinc/55/13/00/1170551300.db2.gz XHVFEEMXYCCOTF-HQJQHLMTSA-N 0 2 306.322 0.930 20 0 DCADLN Cn1nc(C(F)(F)F)cc1C[N@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001608145839 1170594789 /nfs/dbraw/zinc/59/47/89/1170594789.db2.gz VPBDKDYFLKHMQM-APPZFPTMSA-N 0 2 307.272 0.706 20 0 DCADLN Cn1nc(C(F)(F)F)cc1C[N@@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001608145839 1170594793 /nfs/dbraw/zinc/59/47/93/1170594793.db2.gz VPBDKDYFLKHMQM-APPZFPTMSA-N 0 2 307.272 0.706 20 0 DCADLN Cn1ncc2c1nc[nH+]c2N1CCC2(CC(C(=O)[O-])=NO2)CC1 ZINC001608160327 1170603196 /nfs/dbraw/zinc/60/31/96/1170603196.db2.gz IMBKUKFIFLTBSU-UHFFFAOYSA-N 0 2 316.321 0.563 20 0 DCADLN NC(=O)[C@@H]1C[N@H+](CCOc2ccc(/C=C/C(=O)[O-])cc2)CCO1 ZINC001608229996 1170622073 /nfs/dbraw/zinc/62/20/73/1170622073.db2.gz SPUYQJHTMATCPT-ZRFDWSJLSA-N 0 2 320.345 0.349 20 0 DCADLN NC(=O)[C@@H]1C[N@@H+](CCOc2ccc(/C=C/C(=O)[O-])cc2)CCO1 ZINC001608229996 1170622074 /nfs/dbraw/zinc/62/20/74/1170622074.db2.gz SPUYQJHTMATCPT-ZRFDWSJLSA-N 0 2 320.345 0.349 20 0 DCADLN [NH3+][C@@H](CC(=O)NC[C@H](Cc1ccncc1)C(=O)[O-])C(F)F ZINC001608249774 1170630777 /nfs/dbraw/zinc/63/07/77/1170630777.db2.gz XUPCBMCQWRDOOY-UWVGGRQHSA-N 0 2 301.293 0.424 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CCc1cccc(O)c1 ZINC001608317568 1170648353 /nfs/dbraw/zinc/64/83/53/1170648353.db2.gz CIDIPWFFXBZYPR-ZDUSSCGKSA-N 0 2 303.318 0.860 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CCc1cccc(O)c1 ZINC001608317568 1170648357 /nfs/dbraw/zinc/64/83/57/1170648357.db2.gz CIDIPWFFXBZYPR-ZDUSSCGKSA-N 0 2 303.318 0.860 20 0 DCADLN O=C(C[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1)NCC1CCCCC1 ZINC001608329773 1170651622 /nfs/dbraw/zinc/65/16/22/1170651622.db2.gz YINNZLWFMILYAX-MRXNPFEDSA-N 0 2 323.393 0.988 20 0 DCADLN O=C(C[N@@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1)NCC1CCCCC1 ZINC001608329773 1170651627 /nfs/dbraw/zinc/65/16/27/1170651627.db2.gz YINNZLWFMILYAX-MRXNPFEDSA-N 0 2 323.393 0.988 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)CSCC(F)(F)F ZINC001608354332 1170657810 /nfs/dbraw/zinc/65/78/10/1170657810.db2.gz KTYOKKLRAIZNLB-ZETCQYMHSA-N 0 2 311.285 0.748 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001608543227 1170699219 /nfs/dbraw/zinc/69/92/19/1170699219.db2.gz JNDKFRNKZXZMBC-DGCLKSJQSA-N 0 2 319.292 0.595 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC001608554409 1170700855 /nfs/dbraw/zinc/70/08/55/1170700855.db2.gz PXEZGNQRZIHKRH-WCQYABFASA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])C1(O)CC[NH+](Cc2cn(Cc3ccccc3)nn2)CC1 ZINC001608578693 1170706987 /nfs/dbraw/zinc/70/69/87/1170706987.db2.gz JZASJHQVWSGSGS-UHFFFAOYSA-N 0 2 316.361 0.738 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)CCCn3cc[nH+]c3)C2)C1 ZINC001608589499 1170709371 /nfs/dbraw/zinc/70/93/71/1170709371.db2.gz QLYJXGUQNXUUHZ-CQSZACIVSA-N 0 2 306.322 0.495 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CC[N@H+](Cc3[nH]nc4c3COCC4)C2)C1 ZINC001608592106 1170710746 /nfs/dbraw/zinc/71/07/46/1170710746.db2.gz BPKAPEUZNSHDIS-AWEZNQCLSA-N 0 2 306.322 0.288 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CC[N@@H+](Cc3[nH]nc4c3COCC4)C2)C1 ZINC001608592106 1170710747 /nfs/dbraw/zinc/71/07/47/1170710747.db2.gz BPKAPEUZNSHDIS-AWEZNQCLSA-N 0 2 306.322 0.288 20 0 DCADLN O=C([O-])[C@H]1CCN2C(=O)N(CCCCn3cc[nH+]c3)C(=O)[C@@H]2C1 ZINC001608640773 1170728165 /nfs/dbraw/zinc/72/81/65/1170728165.db2.gz ZIRQOLVLEMSURZ-RYUDHWBXSA-N 0 2 320.349 0.791 20 0 DCADLN O=C([O-])C[C@H]1C[N@H+](CCOC(=O)Cc2ccccc2)CCO1 ZINC001608700358 1170739653 /nfs/dbraw/zinc/73/96/53/1170739653.db2.gz KDPJCFGNCFJLNV-AWEZNQCLSA-N 0 2 307.346 0.948 20 0 DCADLN O=C([O-])C[C@H]1C[N@@H+](CCOC(=O)Cc2ccccc2)CCO1 ZINC001608700358 1170739657 /nfs/dbraw/zinc/73/96/57/1170739657.db2.gz KDPJCFGNCFJLNV-AWEZNQCLSA-N 0 2 307.346 0.948 20 0 DCADLN O=C([O-])c1cc(C2CN(C(=O)NCCn3cc[nH+]c3)C2)[nH]n1 ZINC001608840367 1170764603 /nfs/dbraw/zinc/76/46/03/1170764603.db2.gz RFOLVHNROKRMTM-UHFFFAOYSA-N 0 2 304.310 0.113 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)[nH]1 ZINC001608872577 1170767757 /nfs/dbraw/zinc/76/77/57/1170767757.db2.gz VFOFADJXBDKCBS-NSHDSACASA-N 0 2 307.350 0.650 20 0 DCADLN O=C([O-])c1ccc(Cl)c(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)c1 ZINC001608891070 1170768978 /nfs/dbraw/zinc/76/89/78/1170768978.db2.gz BISLYSHIIOJZRW-LLVKDONJSA-N 0 2 323.780 0.768 20 0 DCADLN O=C([O-])c1cn([C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)nn1 ZINC001608958826 1170775136 /nfs/dbraw/zinc/77/51/36/1170775136.db2.gz ZJWPPFTXFVOVDW-JTQLQIEISA-N 0 2 304.310 0.037 20 0 DCADLN CCCc1noc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)n1 ZINC001609218554 1170874422 /nfs/dbraw/zinc/87/44/22/1170874422.db2.gz QRUKOPAAVDHFNB-UHFFFAOYSA-N 0 2 316.325 0.424 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(Cc2cc(C)no2)c1 ZINC001609302011 1170924048 /nfs/dbraw/zinc/92/40/48/1170924048.db2.gz NPOAMCCUZYUDOA-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN CO[C@@H](Cc1ccccc1)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001609311427 1170928343 /nfs/dbraw/zinc/92/83/43/1170928343.db2.gz UGNFMRJTJVYMCZ-LBPRGKRZSA-N 0 2 312.333 0.681 20 0 DCADLN Cc1c(Br)cc(-c2nn[nH]n2)c(=O)n1CCO ZINC001609379676 1170956865 /nfs/dbraw/zinc/95/68/65/1170956865.db2.gz RONBLJCLKJYGBU-UHFFFAOYSA-N 0 2 300.116 0.092 20 0 DCADLN Cc1ncsc1CCn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001609457572 1170985458 /nfs/dbraw/zinc/98/54/58/1170985458.db2.gz DJUOGMLEQJEACT-UHFFFAOYSA-N 0 2 305.323 0.137 20 0 DCADLN O=C(CCC1CCCC1)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001609521726 1171006350 /nfs/dbraw/zinc/00/63/50/1171006350.db2.gz ITBOIGJVRRDWMP-UHFFFAOYSA-N 0 2 302.338 0.963 20 0 DCADLN CC(=O)CSCC(=O)N1CC[NH+]([C@@H](C)CC(=O)[O-])CC1 ZINC001609573267 1171025173 /nfs/dbraw/zinc/02/51/73/1171025173.db2.gz PNWFEDPGRKYYRX-JTQLQIEISA-N 0 2 302.396 0.316 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=S)NCCCC(=O)[O-] ZINC001609743737 1171077379 /nfs/dbraw/zinc/07/73/79/1171077379.db2.gz SVJNEQWXQZRHAV-LLVKDONJSA-N 0 2 303.428 0.378 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=S)NCCCC(=O)[O-] ZINC001609743738 1171077496 /nfs/dbraw/zinc/07/74/96/1171077496.db2.gz SVJNEQWXQZRHAV-NSHDSACASA-N 0 2 303.428 0.378 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@H]2[N@@H+]1Cc1nnnn1C1CC1 ZINC001609853247 1171103661 /nfs/dbraw/zinc/10/36/61/1171103661.db2.gz RSFLASDSLCVKIP-KXGXSXBTSA-N 0 2 307.354 0.603 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@H]2[N@H+]1Cc1nnnn1C1CC1 ZINC001609853247 1171103665 /nfs/dbraw/zinc/10/36/65/1171103665.db2.gz RSFLASDSLCVKIP-KXGXSXBTSA-N 0 2 307.354 0.603 20 0 DCADLN CN1CCN(c2ncc(C[NH+]3CC(C)(C(=O)[O-])C3)cn2)CC1 ZINC001610137797 1171172094 /nfs/dbraw/zinc/17/20/94/1171172094.db2.gz WTRDNZQBNSPEFB-UHFFFAOYSA-N 0 2 305.382 0.135 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCCn2cc[nH+]c2)[C@H](C(=O)[O-])C1 ZINC001610164621 1171184173 /nfs/dbraw/zinc/18/41/73/1171184173.db2.gz CWCUMVZHAHBMJZ-RYUDHWBXSA-N 0 2 323.349 0.528 20 0 DCADLN CO[C@@H]1C[N@H+](CC(=O)Nc2ccccc2C(=O)[O-])C[C@@H]1OC ZINC001610276235 1171214544 /nfs/dbraw/zinc/21/45/44/1171214544.db2.gz HGKDYDJUCUDBGH-BETUJISGSA-N 0 2 308.334 0.669 20 0 DCADLN CO[C@@H]1C[N@@H+](CC(=O)Nc2ccccc2C(=O)[O-])C[C@@H]1OC ZINC001610276235 1171214546 /nfs/dbraw/zinc/21/45/46/1171214546.db2.gz HGKDYDJUCUDBGH-BETUJISGSA-N 0 2 308.334 0.669 20 0 DCADLN Cc1cc(NC(=O)C(=O)N2CC[NH+](C3CC3)CC2)c(C(=O)[O-])[nH]1 ZINC001610468870 1171239429 /nfs/dbraw/zinc/23/94/29/1171239429.db2.gz DSZBDDBJYCCOSE-UHFFFAOYSA-N 0 2 320.349 0.266 20 0 DCADLN Cc1noc(C2CC[NH+](CN3C[C@H](C(=O)[O-])CC3=O)CC2)n1 ZINC001610576502 1171259666 /nfs/dbraw/zinc/25/96/66/1171259666.db2.gz RFYWMMQPZWDXHN-LLVKDONJSA-N 0 2 308.338 0.448 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CC[C@@](F)(C(=O)[O-])C2)C1 ZINC001610611618 1171267464 /nfs/dbraw/zinc/26/74/64/1171267464.db2.gz MRUSRYVPAMZVSH-YGRLFVJLSA-N 0 2 310.329 0.192 20 0 DCADLN O=C(CCOC[C@H]1CCCO1)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001610658075 1171283781 /nfs/dbraw/zinc/28/37/81/1171283781.db2.gz NBPXKPVFTICCAF-NEPJUHHUSA-N 0 2 311.338 0.038 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1[nH]nc2c1CCCC2 ZINC001610677654 1171289219 /nfs/dbraw/zinc/28/92/19/1171289219.db2.gz PGYPTZXRYZJMPV-CYBMUJFWSA-N 0 2 317.349 0.297 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC001610677654 1171289224 /nfs/dbraw/zinc/28/92/24/1171289224.db2.gz PGYPTZXRYZJMPV-CYBMUJFWSA-N 0 2 317.349 0.297 20 0 DCADLN O=C([O-])C[C@]1([NH2+]Cc2ncn(-c3ccccc3)n2)CCOC1 ZINC001610759542 1171312856 /nfs/dbraw/zinc/31/28/56/1171312856.db2.gz UJAMJLZDXZQZCL-OAHLLOKOSA-N 0 2 302.334 0.991 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1C[C@@H](O)c1ccc(Cl)cc1 ZINC001610762304 1171313827 /nfs/dbraw/zinc/31/38/27/1171313827.db2.gz XNIVGGNAWKQWMS-NWDGAFQWSA-N 0 2 312.753 0.649 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1C[C@@H](O)c1ccc(Cl)cc1 ZINC001610762304 1171313836 /nfs/dbraw/zinc/31/38/36/1171313836.db2.gz XNIVGGNAWKQWMS-NWDGAFQWSA-N 0 2 312.753 0.649 20 0 DCADLN O=C([O-])c1c(F)cccc1S(=O)(=O)NCC[NH+]1CCC1 ZINC001610805789 1171331511 /nfs/dbraw/zinc/33/15/11/1171331511.db2.gz DHQLTZGTEOOBFM-UHFFFAOYSA-N 0 2 302.327 0.508 20 0 DCADLN O=C(NCc1ccncc1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001624094823 1171448395 /nfs/dbraw/zinc/44/83/95/1171448395.db2.gz SAUZZLJDARDMLD-UHFFFAOYSA-N 0 2 311.301 0.591 20 0 DCADLN C[C@@H](CNC(=O)c1c[n-]n2c1nccc2=O)Cn1cc[nH+]c1 ZINC001628452787 1171527590 /nfs/dbraw/zinc/52/75/90/1171527590.db2.gz CTCITZRPTPQFID-JTQLQIEISA-N 0 2 300.322 0.285 20 0 DCADLN NC(=O)NCCNC(=O)c1cc(Br)c(F)cc1O ZINC001643824872 1171987929 /nfs/dbraw/zinc/98/79/29/1171987929.db2.gz LDMVDXSTSTUDTP-UHFFFAOYSA-N 0 2 320.118 0.692 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@@H](C)C[C@H](C)O ZINC001645572156 1172059843 /nfs/dbraw/zinc/05/98/43/1172059843.db2.gz QQMPJNKFKWOJIX-UWVGGRQHSA-N 0 2 306.366 0.397 20 0 DCADLN CN(Cc1ccccc1)C(=O)N[C@H](C[NH+]1CCOCC1)C(=O)[O-] ZINC001645695024 1172105359 /nfs/dbraw/zinc/10/53/59/1172105359.db2.gz RFPXYCUFKHFIAS-CQSZACIVSA-N 0 2 321.377 0.613 20 0 DCADLN COc1ccc(C[N@H+](C)CC(=O)N2CCC(C(=O)[O-])CC2)cn1 ZINC001645904588 1172179383 /nfs/dbraw/zinc/17/93/83/1172179383.db2.gz XEPVFSOEDWYCCL-UHFFFAOYSA-N 0 2 321.377 0.845 20 0 DCADLN COc1ccc(C[N@@H+](C)CC(=O)N2CCC(C(=O)[O-])CC2)cn1 ZINC001645904588 1172179388 /nfs/dbraw/zinc/17/93/88/1172179388.db2.gz XEPVFSOEDWYCCL-UHFFFAOYSA-N 0 2 321.377 0.845 20 0 DCADLN COCCC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC001647109897 1172679662 /nfs/dbraw/zinc/67/96/62/1172679662.db2.gz DPQLBGAPPMANSC-UHFFFAOYSA-N 0 2 305.338 0.004 20 0 DCADLN COC(=O)c1ccccc1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC001647291133 1172787774 /nfs/dbraw/zinc/78/77/74/1172787774.db2.gz GKRYKBKUAODGHC-SNVBAGLBSA-N 0 2 321.358 0.646 20 0 DCADLN COC(=O)c1ccccc1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC001647291130 1172787952 /nfs/dbraw/zinc/78/79/52/1172787952.db2.gz GKRYKBKUAODGHC-JTQLQIEISA-N 0 2 321.358 0.646 20 0 DCADLN C[C@@H]1C[N@H+](Cc2nnnn2CC(F)(F)F)C[C@@]1(C)C(=O)[O-] ZINC001647339834 1172825101 /nfs/dbraw/zinc/82/51/01/1172825101.db2.gz BWEDQXZOPVNQGO-GMSGAONNSA-N 0 2 307.276 0.778 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2nnnn2CC(F)(F)F)C[C@@]1(C)C(=O)[O-] ZINC001647339834 1172825103 /nfs/dbraw/zinc/82/51/03/1172825103.db2.gz BWEDQXZOPVNQGO-GMSGAONNSA-N 0 2 307.276 0.778 20 0 DCADLN O=C(NCc1cn(CCC2OCCCO2)nn1)C(F)(F)F ZINC001647366827 1172843836 /nfs/dbraw/zinc/84/38/36/1172843836.db2.gz CGFUVPVOLBAFSV-UHFFFAOYSA-N 0 2 308.260 0.610 20 0 DCADLN CC(C)(CCNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1)C(=O)[O-] ZINC001649880437 1173278547 /nfs/dbraw/zinc/27/85/47/1173278547.db2.gz JRVFDHAEESBMIZ-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN Cc1cc(=O)[nH]cc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001686689372 1176193216 /nfs/dbraw/zinc/19/32/16/1176193216.db2.gz OZNGWWBLXCYQQM-SECBINFHSA-N 0 2 323.246 0.842 20 0 DCADLN Cc1cc(=O)[nH]cc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686689372 1176193224 /nfs/dbraw/zinc/19/32/24/1176193224.db2.gz OZNGWWBLXCYQQM-SECBINFHSA-N 0 2 323.246 0.842 20 0 DCADLN C/C(=C\C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001690033995 1177148031 /nfs/dbraw/zinc/14/80/31/1177148031.db2.gz SHIKBIXZFJSCMI-PMDBQALLSA-N 0 2 305.382 0.947 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cncc(Br)c2)n1 ZINC000127687526 1177315481 /nfs/dbraw/zinc/31/54/81/1177315481.db2.gz MYBXJMYJBWMCRM-UHFFFAOYSA-N 0 2 319.144 0.168 20 0 DCADLN CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001700874197 1178787420 /nfs/dbraw/zinc/78/74/20/1178787420.db2.gz CYDJACOWYIEEBY-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001700874197 1178787426 /nfs/dbraw/zinc/78/74/26/1178787426.db2.gz CYDJACOWYIEEBY-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN O=C(C=C1CCC1)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001702358951 1179191865 /nfs/dbraw/zinc/19/18/65/1179191865.db2.gz GQPNTCIBJAXYGB-YWVKMMECSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(C=C1CCC1)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001702358951 1179191871 /nfs/dbraw/zinc/19/18/71/1179191871.db2.gz GQPNTCIBJAXYGB-YWVKMMECSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(CCc1ccncn1)NCCNC(=O)C(F)C(F)(F)F ZINC001703212626 1179396904 /nfs/dbraw/zinc/39/69/04/1179396904.db2.gz MMTSJYVKIZADDI-SNVBAGLBSA-N 0 2 322.262 0.542 20 0 DCADLN O=C(CCc1ccncn1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703212626 1179396911 /nfs/dbraw/zinc/39/69/11/1179396911.db2.gz MMTSJYVKIZADDI-SNVBAGLBSA-N 0 2 322.262 0.542 20 0 DCADLN Cn1cnc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)c1 ZINC001705013870 1180223510 /nfs/dbraw/zinc/22/35/10/1180223510.db2.gz FFZZEDGEPOZHBP-GKQMSVHHSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cnc(C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001705013870 1180223513 /nfs/dbraw/zinc/22/35/13/1180223513.db2.gz FFZZEDGEPOZHBP-GKQMSVHHSA-N 0 2 322.262 0.723 20 0 DCADLN CCn1ncc(C[N@H+](C)CCCNC(=O)[C@@H]2CCC[NH+]2C)n1 ZINC001712939076 1180567289 /nfs/dbraw/zinc/56/72/89/1180567289.db2.gz DVXPLCCRLJKRDJ-AWEZNQCLSA-N 0 2 308.430 0.330 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC001720321090 1183562587 /nfs/dbraw/zinc/56/25/87/1183562587.db2.gz OXLXLNFZYKSTRP-FZMZJTMJSA-N 0 2 322.409 0.698 20 0 DCADLN Cc1cc(C(=O)NCCCN(C)Cc2nnn(C)n2)c(C)[nH]1 ZINC001731268965 1185232811 /nfs/dbraw/zinc/23/28/11/1185232811.db2.gz WFGDCHUXIUCTER-UHFFFAOYSA-N 0 2 305.386 0.407 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1ccnnc1 ZINC001738526937 1187584001 /nfs/dbraw/zinc/58/40/01/1187584001.db2.gz YNVSHCIEXWGGHO-IYABHPQVSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1ccnnc1 ZINC001738526937 1187584007 /nfs/dbraw/zinc/58/40/07/1187584007.db2.gz YNVSHCIEXWGGHO-IYABHPQVSA-N 0 2 320.246 0.779 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cccnn1 ZINC001743500411 1188139695 /nfs/dbraw/zinc/13/96/95/1188139695.db2.gz PNSQJELKGBLIBD-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cccnn1 ZINC001743500411 1188139700 /nfs/dbraw/zinc/13/97/00/1188139700.db2.gz PNSQJELKGBLIBD-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN COCCCC(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001744433405 1188206317 /nfs/dbraw/zinc/20/63/17/1188206317.db2.gz JIRIQALLASYRBQ-TXEJJXNPSA-N 0 2 308.382 0.451 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+][C@@H](C)c2ncccn2)c1[O-] ZINC001754113142 1188744680 /nfs/dbraw/zinc/74/46/80/1188744680.db2.gz USNGBIZSHVEHJC-BYCRGOAPSA-N 0 2 316.365 0.851 20 0 DCADLN CC(C)[C@H](F)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001755891484 1189250055 /nfs/dbraw/zinc/25/00/55/1189250055.db2.gz FRVXYICPEVUZJS-LBPRGKRZSA-N 0 2 311.361 0.753 20 0 DCADLN CC(C)[C@H](F)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001755891484 1189250059 /nfs/dbraw/zinc/25/00/59/1189250059.db2.gz FRVXYICPEVUZJS-LBPRGKRZSA-N 0 2 311.361 0.753 20 0 DCADLN CCn1nc(C)cc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001758027562 1189686297 /nfs/dbraw/zinc/68/62/97/1189686297.db2.gz IZAVTBROSMFCCM-VIFPVBQESA-N 0 2 324.278 0.958 20 0 DCADLN CCn1nc(C)cc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001758027562 1189686302 /nfs/dbraw/zinc/68/63/02/1189686302.db2.gz IZAVTBROSMFCCM-VIFPVBQESA-N 0 2 324.278 0.958 20 0 DCADLN Cc1ncc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1C ZINC001758191658 1189774689 /nfs/dbraw/zinc/77/46/89/1189774689.db2.gz PPAZBVMAUNLHPE-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN Cc1ncc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)n1C ZINC001758191658 1189774692 /nfs/dbraw/zinc/77/46/92/1189774692.db2.gz PPAZBVMAUNLHPE-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN Cc1cnc(COCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001771752035 1190489038 /nfs/dbraw/zinc/48/90/38/1190489038.db2.gz AEKVUEJJNYJXEA-SNVBAGLBSA-N 0 2 321.337 0.730 20 0 DCADLN CN(C[C@H](O)CN(C)c1ncccn1)C(=O)C(F)C(F)(F)F ZINC001125305380 746963111 /nfs/dbraw/zinc/96/31/11/746963111.db2.gz OQFUMQZIIHKTAY-IUCAKERBSA-N 0 2 324.278 0.633 20 0 DCADLN CN(C[C@H](O)CN(C)c1ncccn1)C(=O)[C@H](F)C(F)(F)F ZINC001125305380 746963125 /nfs/dbraw/zinc/96/31/25/746963125.db2.gz OQFUMQZIIHKTAY-IUCAKERBSA-N 0 2 324.278 0.633 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nnc(C(C)C)[nH]2)c1[O-] ZINC001125734608 747543398 /nfs/dbraw/zinc/54/33/98/747543398.db2.gz SIZLDAGAOZLUMS-UHFFFAOYSA-N 0 2 307.358 0.185 20 0 DCADLN CC(C)(C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043378422 752203756 /nfs/dbraw/zinc/20/37/56/752203756.db2.gz XOBUIJSKGMTJKM-SSDOTTSWSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)(C)C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043378422 752203759 /nfs/dbraw/zinc/20/37/59/752203759.db2.gz XOBUIJSKGMTJKM-SSDOTTSWSA-N 0 2 314.279 0.622 20 0 DCADLN CCCCC(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107744678 752275043 /nfs/dbraw/zinc/27/50/43/752275043.db2.gz IHPHJPHOWJIZBH-AWEZNQCLSA-N 0 2 311.386 0.408 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cn[nH]c2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087509103 748904166 /nfs/dbraw/zinc/90/41/66/748904166.db2.gz QFUQFRBBXDXHBS-VHSXEESVSA-N 0 2 305.342 0.016 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cn[nH]c2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087509103 748904170 /nfs/dbraw/zinc/90/41/70/748904170.db2.gz QFUQFRBBXDXHBS-VHSXEESVSA-N 0 2 305.342 0.016 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)n1C ZINC001088468116 749475494 /nfs/dbraw/zinc/47/54/94/749475494.db2.gz SLYAYDHWIZHGCA-MNOVXSKESA-N 0 2 318.381 0.550 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)n1C ZINC001088468116 749475499 /nfs/dbraw/zinc/47/54/99/749475499.db2.gz SLYAYDHWIZHGCA-MNOVXSKESA-N 0 2 318.381 0.550 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088512606 749537214 /nfs/dbraw/zinc/53/72/14/749537214.db2.gz QEZNQBYWCLZDKF-IIEKFROSSA-N 0 2 305.382 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088512606 749537218 /nfs/dbraw/zinc/53/72/18/749537218.db2.gz QEZNQBYWCLZDKF-IIEKFROSSA-N 0 2 305.382 0.635 20 0 DCADLN CC(C)CC1(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CC1 ZINC001112947358 762036432 /nfs/dbraw/zinc/03/64/32/762036432.db2.gz NWKQGAIEYITEKI-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cnco2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071717314 762085454 /nfs/dbraw/zinc/08/54/54/762085454.db2.gz CPDLLHRGILNWQN-RKDXNWHRSA-N 0 2 306.326 0.281 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ccc1F ZINC001043715583 752361882 /nfs/dbraw/zinc/36/18/82/752361882.db2.gz DFKJPWHDOBLMEU-UHFFFAOYSA-N 0 2 319.340 0.914 20 0 DCADLN CN(C(=O)C1CCC(F)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043762067 752378028 /nfs/dbraw/zinc/37/80/28/752378028.db2.gz VUKUQFLHPPJOIJ-UHFFFAOYSA-N 0 2 311.361 0.681 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049285430 752770977 /nfs/dbraw/zinc/77/09/77/752770977.db2.gz QTCCLXMYXISXIE-UWVGGRQHSA-N 0 2 311.361 0.824 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049285430 752770980 /nfs/dbraw/zinc/77/09/80/752770980.db2.gz QTCCLXMYXISXIE-UWVGGRQHSA-N 0 2 311.361 0.824 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049285430 752770985 /nfs/dbraw/zinc/77/09/85/752770985.db2.gz QTCCLXMYXISXIE-UWVGGRQHSA-N 0 2 311.361 0.824 20 0 DCADLN C[C@@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C(F)(F)F ZINC001113289880 762229367 /nfs/dbraw/zinc/22/93/67/762229367.db2.gz LDISARIEXYCQLD-QMMMGPOBSA-N 0 2 321.303 0.743 20 0 DCADLN Cc1nnc([C@H](C)N2CC[C@@](C)(NC(=O)c3cnn[nH]3)C2)o1 ZINC001046443350 753722410 /nfs/dbraw/zinc/72/24/10/753722410.db2.gz YSGMZTUMGKQQPE-ISVAXAHUSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1nc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001046719716 753904810 /nfs/dbraw/zinc/90/48/10/753904810.db2.gz OGBIIEXMIWQJRY-CQSZACIVSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071970185 762264701 /nfs/dbraw/zinc/26/47/01/762264701.db2.gz DGBTVPWGFHNFPT-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C1CC1)[C@@H](F)C(F)(F)F ZINC001061639166 754170428 /nfs/dbraw/zinc/17/04/28/754170428.db2.gz MJCWCKXTYRVTNM-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C1CC1)C(F)C(F)(F)F ZINC001061639166 754170425 /nfs/dbraw/zinc/17/04/25/754170425.db2.gz MJCWCKXTYRVTNM-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN CC1(C(=O)NC[C@@]2(C)CN(Cc3n[nH]c(=O)[nH]3)CCO2)CCC1 ZINC001108129288 754390560 /nfs/dbraw/zinc/39/05/60/754390560.db2.gz VVNINZGBNZOASY-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1conc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096293594 754636238 /nfs/dbraw/zinc/63/62/38/754636238.db2.gz PGEYVBNFJCNKKU-UTLUCORTSA-N 0 2 318.337 0.342 20 0 DCADLN O=C(c1ccco1)N1CCC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049957103 755469850 /nfs/dbraw/zinc/46/98/50/755469850.db2.gz NWHKLTZRLOHLIA-WDEREUQCSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccco1)N1CCC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049957103 755469854 /nfs/dbraw/zinc/46/98/54/755469854.db2.gz NWHKLTZRLOHLIA-WDEREUQCSA-N 0 2 317.349 0.840 20 0 DCADLN CC1CC(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)C1 ZINC001096939032 755555738 /nfs/dbraw/zinc/55/57/38/755555738.db2.gz GZBWCMKDIYWFKT-IVVCIIHESA-N 0 2 305.382 0.778 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)co1 ZINC001097004792 755573595 /nfs/dbraw/zinc/57/35/95/755573595.db2.gz FSKHTNNKWHNDCI-UTUOFQBUSA-N 0 2 317.349 0.947 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001079530213 755886348 /nfs/dbraw/zinc/88/63/48/755886348.db2.gz CUPPFRYYFLKITF-DIACKHNESA-N 0 2 319.409 0.883 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001079530213 755886353 /nfs/dbraw/zinc/88/63/53/755886353.db2.gz CUPPFRYYFLKITF-DIACKHNESA-N 0 2 319.409 0.883 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)o1 ZINC001079592724 755924943 /nfs/dbraw/zinc/92/49/43/755924943.db2.gz VMPPLZVKEPGGOP-BXKDBHETSA-N 0 2 319.365 0.591 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)o1 ZINC001079592724 755924946 /nfs/dbraw/zinc/92/49/46/755924946.db2.gz VMPPLZVKEPGGOP-BXKDBHETSA-N 0 2 319.365 0.591 20 0 DCADLN CCc1noc([C@@H](C)[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001080024256 756078449 /nfs/dbraw/zinc/07/84/49/756078449.db2.gz QMAOBHJBIKUOGS-FXPVBKGRSA-N 0 2 319.369 0.561 20 0 DCADLN CCc1noc([C@@H](C)[N@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001080024256 756078454 /nfs/dbraw/zinc/07/84/54/756078454.db2.gz QMAOBHJBIKUOGS-FXPVBKGRSA-N 0 2 319.369 0.561 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080170269 756127066 /nfs/dbraw/zinc/12/70/66/756127066.db2.gz PRUVOWPAVPOJJJ-KKOKHZNYSA-N 0 2 323.397 0.262 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080170269 756127070 /nfs/dbraw/zinc/12/70/70/756127070.db2.gz PRUVOWPAVPOJJJ-KKOKHZNYSA-N 0 2 323.397 0.262 20 0 DCADLN O=C([C@H]1[C@@H]2CCC[C@@H]21)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084261017 757763565 /nfs/dbraw/zinc/76/35/65/757763565.db2.gz WRBURTZENFEMGQ-DIACKHNESA-N 0 2 317.393 0.589 20 0 DCADLN O=C(N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1(C2CC2)CC1 ZINC001084433588 757856884 /nfs/dbraw/zinc/85/68/84/757856884.db2.gz ARBPUOCALLEOKH-ZYHUDNBSSA-N 0 2 317.393 0.733 20 0 DCADLN O=C(c1nccs1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084736340 757998556 /nfs/dbraw/zinc/99/85/56/757998556.db2.gz HZDKRYBZPKVURM-RKDXNWHRSA-N 0 2 320.378 0.313 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccc(F)cc1 ZINC001085456920 758902343 /nfs/dbraw/zinc/90/23/43/758902343.db2.gz WIOYJBPTDGEISF-LBPRGKRZSA-N 0 2 319.340 0.996 20 0 DCADLN O=C(NCC1CC(Nc2[nH+]cnc3nc[nH]c32)C1)c1nnc[n-]1 ZINC001051983186 759083615 /nfs/dbraw/zinc/08/36/15/759083615.db2.gz ROPMKDFCBLOGOP-UHFFFAOYSA-N 0 2 313.325 0.092 20 0 DCADLN Cc1ncc(CO)c(C(=O)Nc2cc(=O)[nH]c(Cl)n2)c1O ZINC001147834137 767855080 /nfs/dbraw/zinc/85/50/80/767855080.db2.gz NXYFAQYLQVOJBE-UHFFFAOYSA-N 0 2 310.697 0.989 20 0 DCADLN Cc1conc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085913073 759459809 /nfs/dbraw/zinc/45/98/09/759459809.db2.gz UFGJRWGITHXUCJ-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN Cc1nsc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122761569 767870591 /nfs/dbraw/zinc/87/05/91/767870591.db2.gz SNGFBDPPIOGQEA-RITPCOANSA-N 0 2 316.280 0.636 20 0 DCADLN Cc1nsc(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001122761569 767870597 /nfs/dbraw/zinc/87/05/97/767870597.db2.gz SNGFBDPPIOGQEA-RITPCOANSA-N 0 2 316.280 0.636 20 0 DCADLN O=C(NCCN(CCO)C(=O)C(F)C(F)(F)F)C1CCC1 ZINC001057830343 759573803 /nfs/dbraw/zinc/57/38/03/759573803.db2.gz FBMHDHWTVZISNG-SECBINFHSA-N 0 2 314.279 0.624 20 0 DCADLN O=C(NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F)C1CCC1 ZINC001057830343 759573812 /nfs/dbraw/zinc/57/38/12/759573812.db2.gz FBMHDHWTVZISNG-SECBINFHSA-N 0 2 314.279 0.624 20 0 DCADLN C[C@]1(CNC(=O)[C@H]2CC23CC3)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001108240389 759662101 /nfs/dbraw/zinc/66/21/01/759662101.db2.gz GUWXRQTZFGQPNS-YGRLFVJLSA-N 0 2 321.381 0.018 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cccn2C)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071359520 761794428 /nfs/dbraw/zinc/79/44/28/761794428.db2.gz VKEGQWLWGCRPPC-WDEREUQCSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cccn2C)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071359520 761794433 /nfs/dbraw/zinc/79/44/33/761794433.db2.gz VKEGQWLWGCRPPC-WDEREUQCSA-N 0 2 318.381 0.632 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001071464245 761880995 /nfs/dbraw/zinc/88/09/95/761880995.db2.gz ZIMPQNJCJZGJDC-WCBMZHEXSA-N 0 2 320.353 0.590 20 0 DCADLN CCOCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131899442 764114874 /nfs/dbraw/zinc/11/48/74/764114874.db2.gz MFXSGGNFRNQRKK-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CCOCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131899442 764114877 /nfs/dbraw/zinc/11/48/77/764114877.db2.gz MFXSGGNFRNQRKK-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CN(CCNc1nccn2nnnc12)C(=O)C(F)C(F)(F)F ZINC001102002841 764478919 /nfs/dbraw/zinc/47/89/19/764478919.db2.gz CEWBOAVSQGWPSW-LURJTMIESA-N 0 2 321.238 0.290 20 0 DCADLN CN(CCNc1nccn2nnnc12)C(=O)[C@H](F)C(F)(F)F ZINC001102002841 764478922 /nfs/dbraw/zinc/47/89/22/764478922.db2.gz CEWBOAVSQGWPSW-LURJTMIESA-N 0 2 321.238 0.290 20 0 DCADLN Cc1cc(NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F)ncn1 ZINC001124808507 768307789 /nfs/dbraw/zinc/30/77/89/768307789.db2.gz DMPGMDLVNZRSBE-PSASIEDQSA-N 0 2 324.278 0.917 20 0 DCADLN Cc1cc(NC[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001124808507 768307796 /nfs/dbraw/zinc/30/77/96/768307796.db2.gz DMPGMDLVNZRSBE-PSASIEDQSA-N 0 2 324.278 0.917 20 0 DCADLN C[C@H](CN(C)C(=O)COCC1CC1)Nc1ccc2nnnn2n1 ZINC001115616244 765768793 /nfs/dbraw/zinc/76/87/93/765768793.db2.gz IHKLGRFUWNEFGA-SNVBAGLBSA-N 0 2 319.369 0.205 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc2cc[nH]c2c1 ZINC001116841851 765917825 /nfs/dbraw/zinc/91/78/25/765917825.db2.gz REIUCSNKRWDNTH-UHFFFAOYSA-N 0 2 300.278 0.247 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCCOC(F)(F)F ZINC001119398049 766661181 /nfs/dbraw/zinc/66/11/81/766661181.db2.gz XGXARPYPICTRCM-UHFFFAOYSA-N 0 2 320.227 0.541 20 0 DCADLN CN1CCN(C(=O)[C@H]2Cc3ccccc3C[NH2+]2)CC[N@H+](C)CC1 ZINC001122290924 767760499 /nfs/dbraw/zinc/76/04/99/767760499.db2.gz MLESZOKXFDRNHG-QGZVFWFLSA-N 0 2 316.449 0.407 20 0 DCADLN Cc1nonc1C[NH2+]CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001147705708 767827884 /nfs/dbraw/zinc/82/78/84/767827884.db2.gz MNNZOALJOMIBPD-UHFFFAOYSA-N 0 2 318.293 0.139 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cncc(OC)c2C)C1=O ZINC001139683938 768485876 /nfs/dbraw/zinc/48/58/76/768485876.db2.gz LSUSEBPISIVSCL-CQSZACIVSA-N 0 2 306.322 0.764 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1c[nH]c2cc[nH+]cc12 ZINC001140805411 768547427 /nfs/dbraw/zinc/54/74/27/768547427.db2.gz DXWVZIRNAVCVEI-AWEZNQCLSA-N 0 2 316.361 0.817 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1c[nH]c2ccncc12 ZINC001140805411 768547433 /nfs/dbraw/zinc/54/74/33/768547433.db2.gz DXWVZIRNAVCVEI-AWEZNQCLSA-N 0 2 316.361 0.817 20 0 DCADLN CCOCCCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001230860802 768890253 /nfs/dbraw/zinc/89/02/53/768890253.db2.gz TWFQMUCUTCWPGP-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN O=C(C[C@H]1CCCO1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095200507 769638469 /nfs/dbraw/zinc/63/84/69/769638469.db2.gz JMQMEXHGQLFQLL-WYUUTHIRSA-N 0 2 321.381 0.301 20 0 DCADLN O=C(C[C@H]1CCCO1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095200507 769638477 /nfs/dbraw/zinc/63/84/77/769638477.db2.gz JMQMEXHGQLFQLL-WYUUTHIRSA-N 0 2 321.381 0.301 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001235322671 769809697 /nfs/dbraw/zinc/80/96/97/769809697.db2.gz XPEQYFZSXDRMGM-OLZOCXBDSA-N 0 2 310.442 0.267 20 0 DCADLN C[NH+]1CCC[C@@H]2CN(C(=O)c3cc4n(n3)CCC[N@H+](C)C4)C[C@H]21 ZINC001175077452 769862852 /nfs/dbraw/zinc/86/28/52/769862852.db2.gz OLMQBAXDCWMDFI-CZUORRHYSA-N 0 2 317.437 0.885 20 0 DCADLN C[NH+]1CCN(c2cccc(C[N@@H+]3CCO[C@@H](CO)C3)c2)CC1 ZINC001236887422 770021557 /nfs/dbraw/zinc/02/15/57/770021557.db2.gz LDLBJSHSHQNGOD-QGZVFWFLSA-N 0 2 305.422 0.632 20 0 DCADLN O=C(Cc1nn[n-]n1)N1CC[C@H]2[C@H]1CC[N@@H+]2Cc1cccnc1 ZINC001176839882 770187305 /nfs/dbraw/zinc/18/73/05/770187305.db2.gz GTGPOGARUPJGMV-QWHCGFSZSA-N 0 2 313.365 0.013 20 0 DCADLN O=C(Cc1nn[n-]n1)N1CC[C@H]2[C@H]1CC[N@H+]2Cc1cccnc1 ZINC001176839882 770187308 /nfs/dbraw/zinc/18/73/08/770187308.db2.gz GTGPOGARUPJGMV-QWHCGFSZSA-N 0 2 313.365 0.013 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H]1CCCN(c2ccccn2)C1 ZINC001176971724 770262853 /nfs/dbraw/zinc/26/28/53/770262853.db2.gz XNEKXYLANCEVTC-NOZJJQNGSA-N 0 2 320.374 0.723 20 0 DCADLN COC(=O)C[C@H](c1cc[nH+]c(N[C@@H]2CO[N-]C2=O)c1)C1CC1 ZINC001156695301 770898702 /nfs/dbraw/zinc/89/87/02/770898702.db2.gz WTWZAFZGHTWIOE-NWDGAFQWSA-N 0 2 305.334 0.980 20 0 DCADLN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)[C@@H]2C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001179305057 770983147 /nfs/dbraw/zinc/98/31/47/770983147.db2.gz FPPBCAOYRGSATE-DIYOJNKTSA-N 0 2 323.353 0.239 20 0 DCADLN CC(C)[C@@H](C)C(=O)NCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001181510393 771295089 /nfs/dbraw/zinc/29/50/89/771295089.db2.gz WPECJZFQIPCBJI-PWSUYJOCSA-N 0 2 324.381 0.320 20 0 DCADLN CC(C)[C@@H](C)C(=O)NCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001181510393 771295094 /nfs/dbraw/zinc/29/50/94/771295094.db2.gz WPECJZFQIPCBJI-PWSUYJOCSA-N 0 2 324.381 0.320 20 0 DCADLN C[C@H]1[C@H](Nc2cc(N)cc(Cl)[nH+]2)C(=O)N1S(=O)(=O)[O-] ZINC001157067818 771526400 /nfs/dbraw/zinc/52/64/00/771526400.db2.gz UJBIHVWUZZJHHI-NVNXEXLPSA-N 0 2 306.731 0.131 20 0 DCADLN COCc1cc[nH+]c(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)c1 ZINC001157067790 771526407 /nfs/dbraw/zinc/52/64/07/771526407.db2.gz SLCNBSDIOSYOMH-XVKPBYJWSA-N 0 2 301.324 0.042 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@]1([C@@H]2CCCCO2)CCCO1 ZINC001182832175 771563178 /nfs/dbraw/zinc/56/31/78/771563178.db2.gz FPFJZNMWYMXFTM-NHYWBVRUSA-N 0 2 324.381 0.677 20 0 DCADLN CCOC(=O)c1nc(NC(=O)C(CO)C(F)(F)F)cn1C ZINC001183278966 771626126 /nfs/dbraw/zinc/62/61/26/771626126.db2.gz KCSNPTJQORJPPM-LURJTMIESA-N 0 2 309.244 0.706 20 0 DCADLN CCOC(=O)c1nc(NC(=O)[C@H](CO)C(F)(F)F)cn1C ZINC001183278966 771626131 /nfs/dbraw/zinc/62/61/31/771626131.db2.gz KCSNPTJQORJPPM-LURJTMIESA-N 0 2 309.244 0.706 20 0 DCADLN Cc1cn2nnc(C(=O)N[C@@H](C)c3nn(C)cc3O)c2c(=O)[nH]1 ZINC001158308026 771756938 /nfs/dbraw/zinc/75/69/38/771756938.db2.gz UZQOGWVLZYRAIX-ZETCQYMHSA-N 0 2 317.309 0.068 20 0 DCADLN CC[C@@H](OC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110369057 771953140 /nfs/dbraw/zinc/95/31/40/771953140.db2.gz WMVSFEQJQJLIOW-CHWFTXMASA-N 0 2 309.370 0.157 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1nccnc1CC(=O)[O-] ZINC001160696642 772098447 /nfs/dbraw/zinc/09/84/47/772098447.db2.gz OTJUAGRCAQLAMA-NSHDSACASA-N 0 2 319.321 0.033 20 0 DCADLN COCCCCC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187233310 772150721 /nfs/dbraw/zinc/15/07/21/772150721.db2.gz PWALBCABKDOAMM-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN COCCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187233310 772150725 /nfs/dbraw/zinc/15/07/25/772150725.db2.gz PWALBCABKDOAMM-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CCOCCS(=O)(=O)N[C@@H](C(=O)OCC)c1cnccn1 ZINC001187311691 772173402 /nfs/dbraw/zinc/17/34/02/772173402.db2.gz MZWOBFMFDJJUDU-LLVKDONJSA-N 0 2 317.367 0.037 20 0 DCADLN CCC[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187387225 772184905 /nfs/dbraw/zinc/18/49/05/772184905.db2.gz SKGLETMOVHAWMA-SDDRHHMPSA-N 0 2 307.398 0.979 20 0 DCADLN CCC[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187387225 772184907 /nfs/dbraw/zinc/18/49/07/772184907.db2.gz SKGLETMOVHAWMA-SDDRHHMPSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(NCCNc1nc(Cl)nc2c1CC(=O)N2)C(F)(F)F ZINC001164238106 772629718 /nfs/dbraw/zinc/62/97/18/772629718.db2.gz OIDTZOQHLLKVRC-UHFFFAOYSA-N 0 2 323.662 0.715 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cn(-c2ccccc2)cn1 ZINC001191595029 772796446 /nfs/dbraw/zinc/79/64/46/772796446.db2.gz KNYJEFLCDJVUGT-LBPRGKRZSA-N 0 2 302.315 0.911 20 0 DCADLN C[C@@]1(CO)CCN(C(=O)c2ccc(F)c(F)c2O)C[C@H]1O ZINC001192797911 772961973 /nfs/dbraw/zinc/96/19/73/772961973.db2.gz WHQOLRZKVDKCJM-YGRLFVJLSA-N 0 2 301.289 0.876 20 0 DCADLN CCOC(=O)C(C)(C)S(=O)(=O)Nc1cnc(N(C)C)nc1 ZINC001193024881 772997585 /nfs/dbraw/zinc/99/75/85/772997585.db2.gz YVWDZNSYKGWMLS-UHFFFAOYSA-N 0 2 316.383 0.626 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ncn(-c2cccc(F)c2)n1 ZINC001193761214 773112164 /nfs/dbraw/zinc/11/21/64/773112164.db2.gz OHTKQZMTOKNNKU-NSHDSACASA-N 0 2 321.293 0.445 20 0 DCADLN CC(=O)Nc1cc(NS(=O)(=O)c2ccccc2N)ncn1 ZINC001193895988 773126448 /nfs/dbraw/zinc/12/64/48/773126448.db2.gz QAXRYKHVGZYFIZ-UHFFFAOYSA-N 0 2 307.335 0.818 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(NC(C)=O)ncn2)[nH]n1 ZINC001194282235 773175287 /nfs/dbraw/zinc/17/52/87/773175287.db2.gz DZKZBMPVGHFBJA-UHFFFAOYSA-N 0 2 304.266 0.197 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(NC(C)=O)ncn2)n[nH]1 ZINC001194282235 773175289 /nfs/dbraw/zinc/17/52/89/773175289.db2.gz DZKZBMPVGHFBJA-UHFFFAOYSA-N 0 2 304.266 0.197 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ncsc2C(=O)OC)[nH]n1 ZINC001194282075 773175305 /nfs/dbraw/zinc/17/53/05/773175305.db2.gz CKLAEYAVWBRZCL-UHFFFAOYSA-N 0 2 310.291 0.692 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ncsc2C(=O)OC)n[nH]1 ZINC001194282075 773175307 /nfs/dbraw/zinc/17/53/07/773175307.db2.gz CKLAEYAVWBRZCL-UHFFFAOYSA-N 0 2 310.291 0.692 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(OC)ccc2CO)[nH]n1 ZINC001194288930 773177729 /nfs/dbraw/zinc/17/77/29/773177729.db2.gz QPHKQQWGGPLVEL-UHFFFAOYSA-N 0 2 305.290 0.950 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(OC)ccc2CO)n[nH]1 ZINC001194288930 773177731 /nfs/dbraw/zinc/17/77/31/773177731.db2.gz QPHKQQWGGPLVEL-UHFFFAOYSA-N 0 2 305.290 0.950 20 0 DCADLN CCOCC1(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001207749878 773291428 /nfs/dbraw/zinc/29/14/28/773291428.db2.gz FQILQOGNQZRTIW-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN CCOCC1(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001207749878 773291435 /nfs/dbraw/zinc/29/14/35/773291435.db2.gz FQILQOGNQZRTIW-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cnccc1N1CCCC1 ZINC001195942626 773500446 /nfs/dbraw/zinc/50/04/46/773500446.db2.gz ZVYMQHJEMJEZDG-UHFFFAOYSA-N 0 2 313.379 0.987 20 0 DCADLN COCCCN1CC[C@@H]([NH+]2CCOCC2)C[C@]1(C)C(=O)OC ZINC001209050968 773557547 /nfs/dbraw/zinc/55/75/47/773557547.db2.gz YMVHWMNOBCGQST-GDBMZVCRSA-N 0 2 314.426 0.751 20 0 DCADLN COc1cccc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c1F ZINC001213927856 773902258 /nfs/dbraw/zinc/90/22/58/773902258.db2.gz FPFGCEVHOZEYQP-UHFFFAOYSA-N 0 2 304.237 0.928 20 0 DCADLN O=C([O-])c1ccccc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC001198730605 773968166 /nfs/dbraw/zinc/96/81/66/773968166.db2.gz QWWCHDKAMXDVML-UHFFFAOYSA-N 0 2 309.347 0.950 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnn(Cc2ccccn2)c1 ZINC001199574486 774142682 /nfs/dbraw/zinc/14/26/82/774142682.db2.gz HAWRTRARNOYOJH-GFCCVEGCSA-N 0 2 317.330 0.365 20 0 DCADLN CCOC(=O)c1ccncc1NC(=O)[C@H]([NH3+])Cc1c[nH+]c[nH]1 ZINC001218571612 774251515 /nfs/dbraw/zinc/25/15/15/774251515.db2.gz NOVMHLAITDAPBB-LLVKDONJSA-N 0 2 303.322 0.490 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cccc2c1NC(=O)NC2 ZINC001219334513 774452791 /nfs/dbraw/zinc/45/27/91/774452791.db2.gz IVSIHSSIZMDWKN-SNVBAGLBSA-N 0 2 300.322 0.553 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccns1 ZINC001095486682 775163715 /nfs/dbraw/zinc/16/37/15/775163715.db2.gz LIRXMPGPYLPUTQ-VGMNWLOBSA-N 0 2 320.378 0.502 20 0 DCADLN CC(F)(F)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110990842 775381649 /nfs/dbraw/zinc/38/16/49/775381649.db2.gz QMNCUXKUSWCQAC-HLTSFMKQSA-N 0 2 315.324 0.777 20 0 DCADLN CCCCNC(=O)C[NH+]1CCC(CNC(=O)c2ncn[nH]2)CC1 ZINC001224893817 775616471 /nfs/dbraw/zinc/61/64/71/775616471.db2.gz BQHJMKXMRWKQRC-UHFFFAOYSA-N 0 2 322.413 0.163 20 0 DCADLN CC[C@H](C)NC(=O)C[NH+]1CCC(CNC(=O)c2ncn[nH]2)CC1 ZINC001224895381 775616653 /nfs/dbraw/zinc/61/66/53/775616653.db2.gz SUKDELDWCRDMDI-NSHDSACASA-N 0 2 322.413 0.161 20 0 DCADLN Cc1cccc(O[C@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])c1 ZINC001225239460 775657632 /nfs/dbraw/zinc/65/76/32/775657632.db2.gz DRMAAWPDNGJNCT-CQSZACIVSA-N 0 2 315.391 0.962 20 0 DCADLN C[C@@H](c1ccccn1)[N@@H+]1CCC[C@H](Oc2c([O-])c(=O)c2=O)C1 ZINC001226607548 775845221 /nfs/dbraw/zinc/84/52/21/775845221.db2.gz RRSRDBGFRODTEH-QWRGUYRKSA-N 0 2 302.330 0.988 20 0 DCADLN C[C@@H](c1ccccn1)[N@H+]1CCC[C@H](Oc2c([O-])c(=O)c2=O)C1 ZINC001226607548 775845231 /nfs/dbraw/zinc/84/52/31/775845231.db2.gz RRSRDBGFRODTEH-QWRGUYRKSA-N 0 2 302.330 0.988 20 0 DCADLN CC(=O)OC[C@@H](COc1[nH]c(=O)nc2cccnc21)OC(C)=O ZINC001228010263 776035556 /nfs/dbraw/zinc/03/55/56/776035556.db2.gz WBCZAJFSXGFKPO-JTQLQIEISA-N 0 2 321.289 0.604 20 0 DCADLN O=S(=O)(NCC1CN(Cc2ccccc2)C1)c1ncc[nH]1 ZINC000715730419 945101429 /nfs/dbraw/zinc/10/14/29/945101429.db2.gz IOSCFUAVOFQJTB-UHFFFAOYSA-N 0 2 306.391 0.820 20 0 DCADLN C[N@H+](CCNC(=O)C[NH+]1CCCC1)CC(=O)NC1CCCC1 ZINC001480947355 939467374 /nfs/dbraw/zinc/46/73/74/939467374.db2.gz QZJRLQRNKSSRHD-UHFFFAOYSA-N 0 2 310.442 0.189 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccccc1O)Cc1n[nH]c(=O)[n-]1 ZINC001480963690 939503175 /nfs/dbraw/zinc/50/31/75/939503175.db2.gz XOMVNKSLSICCLR-UHFFFAOYSA-N 0 2 305.338 0.468 20 0 DCADLN CC[C@@H](C(=O)NCCN(C)C(=O)Cc1[nH]c[nH+]c1C)[NH+](C)C ZINC001408694400 939578615 /nfs/dbraw/zinc/57/86/15/939578615.db2.gz LAWFOTLQJKWHQZ-ZDUSSCGKSA-N 0 2 309.414 0.175 20 0 DCADLN COC1(CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001481623269 939977120 /nfs/dbraw/zinc/97/71/20/939977120.db2.gz YILPCZUMBZSYFF-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN CN(C(=O)C[C@](C)(O)C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481723024 940088585 /nfs/dbraw/zinc/08/85/85/940088585.db2.gz PZCJGTSXNWYAAA-NHYWBVRUSA-N 0 2 323.397 0.094 20 0 DCADLN CN(C(=O)C[C@](C)(O)C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481723024 940088586 /nfs/dbraw/zinc/08/85/86/940088586.db2.gz PZCJGTSXNWYAAA-NHYWBVRUSA-N 0 2 323.397 0.094 20 0 DCADLN COc1cccc(C[NH2+]CC=CCNC(=O)c2ncn[nH]2)n1 ZINC001268604528 940294628 /nfs/dbraw/zinc/29/46/28/940294628.db2.gz CCDBWBZPHOCOSV-IHWYPQMZSA-N 0 2 302.338 0.284 20 0 DCADLN CCNC(=O)CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001284256627 940339310 /nfs/dbraw/zinc/33/93/10/940339310.db2.gz OVANWOADAMBZCC-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CCNC(=O)CC(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001284256627 940339316 /nfs/dbraw/zinc/33/93/16/940339316.db2.gz OVANWOADAMBZCC-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN C[C@@H](C[N@@H+](C)CCOCC(F)(F)F)NC(=O)Cn1cc[nH+]c1 ZINC001268760266 940351492 /nfs/dbraw/zinc/35/14/92/940351492.db2.gz UCWNPRKRDRVPJZ-NSHDSACASA-N 0 2 322.331 0.899 20 0 DCADLN O=C(C=Cc1ccco1)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001268996815 940587626 /nfs/dbraw/zinc/58/76/26/940587626.db2.gz WOXSQWHDBRBOCU-XOULXFPDSA-N 0 2 303.322 0.507 20 0 DCADLN O=C(C=Cc1ccco1)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001268996815 940587628 /nfs/dbraw/zinc/58/76/28/940587628.db2.gz WOXSQWHDBRBOCU-XOULXFPDSA-N 0 2 303.322 0.507 20 0 DCADLN CN(C)C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001269565959 940732527 /nfs/dbraw/zinc/73/25/27/940732527.db2.gz NCDQRDKBPJZEBE-UHFFFAOYSA-N 0 2 306.282 0.350 20 0 DCADLN CON1CCC(N(C)C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC001362810963 940767453 /nfs/dbraw/zinc/76/74/53/940767453.db2.gz JSJZWUVIDKTFOW-VIFPVBQESA-N 0 2 300.384 0.027 20 0 DCADLN NC(=O)C12CC(NC(=O)c3csc4c3[nH]cnc4=O)(C1)C2 ZINC001270703352 940970123 /nfs/dbraw/zinc/97/01/23/940970123.db2.gz JZUDBVVIEKZXFR-UHFFFAOYSA-N 0 2 304.331 0.535 20 0 DCADLN CC(C)OCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001409146890 941551514 /nfs/dbraw/zinc/55/15/14/941551514.db2.gz HXKRCRXEZUZKJH-JTQLQIEISA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)OCC(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409146890 941551528 /nfs/dbraw/zinc/55/15/28/941551528.db2.gz HXKRCRXEZUZKJH-JTQLQIEISA-N 0 2 314.279 0.886 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001409495417 942022536 /nfs/dbraw/zinc/02/25/36/942022536.db2.gz PJVCPXBSBSMIOS-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001409495417 942022538 /nfs/dbraw/zinc/02/25/38/942022538.db2.gz PJVCPXBSBSMIOS-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001409495423 942023007 /nfs/dbraw/zinc/02/30/07/942023007.db2.gz PJVCPXBSBSMIOS-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001409495423 942023009 /nfs/dbraw/zinc/02/30/09/942023009.db2.gz PJVCPXBSBSMIOS-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC2=CCOCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088838891 942194560 /nfs/dbraw/zinc/19/45/60/942194560.db2.gz GRXHEVPLVFAFKD-PWSUYJOCSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC2=CCOCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088838891 942194563 /nfs/dbraw/zinc/19/45/63/942194563.db2.gz GRXHEVPLVFAFKD-PWSUYJOCSA-N 0 2 321.381 0.326 20 0 DCADLN CC[NH+](CC)CC(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105728409 942496687 /nfs/dbraw/zinc/49/66/87/942496687.db2.gz UNJBYMWJEZXGFB-UHFFFAOYSA-N 0 2 313.442 0.012 20 0 DCADLN Cc1cc(NC[C@@H](O)CNC(=O)[C@H]2CCC[N@@H+]2C)nc(C)[nH+]1 ZINC001105751264 942530314 /nfs/dbraw/zinc/53/03/14/942530314.db2.gz YXTFKWARLMTXBF-CHWSQXEVSA-N 0 2 307.398 0.077 20 0 DCADLN C[C@@H]1CCn2cc(C(=O)NCc3nc(O)cc(=O)[nH]3)nc2C1 ZINC001413344178 942910490 /nfs/dbraw/zinc/91/04/90/942910490.db2.gz QFMAQOVWUORPSM-MRVPVSSYSA-N 0 2 303.322 0.597 20 0 DCADLN COC[C@H](NC(=O)[C@H](CC(C)C)n1ccnc1)c1nn[nH]n1 ZINC001413548811 943064322 /nfs/dbraw/zinc/06/43/22/943064322.db2.gz AFVHMEZDHDHPAA-QWRGUYRKSA-N 0 2 307.358 0.487 20 0 DCADLN Cn1cc(C[N@@H+]2CC[C@](C)(NC(=O)CCc3nc[nH]n3)C2)cn1 ZINC001484039508 943109501 /nfs/dbraw/zinc/10/95/01/943109501.db2.gz UINQNIVAMHLRJH-HNNXBMFYSA-N 0 2 317.397 0.252 20 0 DCADLN Cn1cc(C[N@H+]2CC[C@](C)(NC(=O)CCc3nc[nH]n3)C2)cn1 ZINC001484039508 943109506 /nfs/dbraw/zinc/10/95/06/943109506.db2.gz UINQNIVAMHLRJH-HNNXBMFYSA-N 0 2 317.397 0.252 20 0 DCADLN C[C@@H](NC(=O)c1cccnc1OCCO)c1nn(C)cc1O ZINC001413767026 943673629 /nfs/dbraw/zinc/67/36/29/943673629.db2.gz URXITXYPHTXQAG-SECBINFHSA-N 0 2 306.322 0.383 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@@H]1CCC[NH+](Cc2cnon2)C1 ZINC001491125641 943773885 /nfs/dbraw/zinc/77/38/85/943773885.db2.gz NIOLOTSLUSSKSN-ZDUSSCGKSA-N 0 2 309.414 0.740 20 0 DCADLN C[C@@H](CNC(=O)COC1CCCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485282733 943787099 /nfs/dbraw/zinc/78/70/99/943787099.db2.gz WYWRRGFJXAFTJD-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](CNC(=O)COC1CCCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485282733 943787104 /nfs/dbraw/zinc/78/71/04/943787104.db2.gz WYWRRGFJXAFTJD-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](NC(=O)CC1CCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485494993 943963488 /nfs/dbraw/zinc/96/34/88/943963488.db2.gz MJWHJRIHIWVTSX-SNVBAGLBSA-N 0 2 323.397 0.264 20 0 DCADLN COCC(=O)N1CC[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385225914 944233271 /nfs/dbraw/zinc/23/32/71/944233271.db2.gz HBQDVUZQWDFCDQ-VXNVDRBHSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N1CC[C@@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385225914 944233285 /nfs/dbraw/zinc/23/32/85/944233285.db2.gz HBQDVUZQWDFCDQ-VXNVDRBHSA-N 0 2 300.252 0.593 20 0 DCADLN C[N@H+](CCNC(=O)c1cccn2nccc12)Cc1n[nH]c(=O)[n-]1 ZINC001317451932 944977566 /nfs/dbraw/zinc/97/75/66/944977566.db2.gz XSHIBPSOSCOBFZ-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN C[N@@H+](CCNC(=O)c1cccn2nccc12)Cc1n[nH]c(=O)[n-]1 ZINC001317451932 944977573 /nfs/dbraw/zinc/97/75/73/944977573.db2.gz XSHIBPSOSCOBFZ-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN CC(=O)c1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1C ZINC001320055700 945728374 /nfs/dbraw/zinc/72/83/74/945728374.db2.gz TZPVHZDFPXGEJX-UHFFFAOYSA-N 0 2 317.305 0.276 20 0 DCADLN CC(=O)NCCNC(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC001320427403 945873110 /nfs/dbraw/zinc/87/31/10/945873110.db2.gz WPZJQHNSOYQVEY-UHFFFAOYSA-N 0 2 323.378 0.674 20 0 DCADLN Cc1cnn(C)c1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001410441601 946379796 /nfs/dbraw/zinc/37/97/96/946379796.db2.gz LLHAHBGYVZZRKN-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cnn(C)c1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001410441601 946379807 /nfs/dbraw/zinc/37/98/07/946379807.db2.gz LLHAHBGYVZZRKN-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN CC[C@H](C)c1nnc([C@@H](C)NC(=O)CC[C@H]2NC(=O)NC2=O)[nH]1 ZINC001321895829 946503989 /nfs/dbraw/zinc/50/39/89/946503989.db2.gz RCWNHSXLQACJQR-DJLDLDEBSA-N 0 2 322.369 0.484 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccnnc1)C(F)C(F)(F)F ZINC001410573931 946581388 /nfs/dbraw/zinc/58/13/88/946581388.db2.gz NAAJTAJHUICRHT-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ccnnc1)[C@H](F)C(F)(F)F ZINC001410573931 946581394 /nfs/dbraw/zinc/58/13/94/946581394.db2.gz NAAJTAJHUICRHT-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc3c2CNC(=O)C3)cn1 ZINC001259839345 946995803 /nfs/dbraw/zinc/99/58/03/946995803.db2.gz AXYNCLWHTWFVDQ-UHFFFAOYSA-N 0 2 320.374 0.876 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc3c(c2)CC(=O)NC3)cn1 ZINC001259839850 946998951 /nfs/dbraw/zinc/99/89/51/946998951.db2.gz UTKAAOPWTVLCQR-UHFFFAOYSA-N 0 2 320.374 0.876 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc3c(c2)OCO3)S1 ZINC001259851014 946999975 /nfs/dbraw/zinc/99/99/75/946999975.db2.gz LZQHJGKPLICEKN-SECBINFHSA-N 0 2 316.316 0.003 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2cnc3ccccc3c2)S1 ZINC001260011890 947049677 /nfs/dbraw/zinc/04/96/77/947049677.db2.gz NZYNBLCXTOEVBM-NSHDSACASA-N 0 2 323.355 0.822 20 0 DCADLN Cn1cncc1NS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001260667820 947135084 /nfs/dbraw/zinc/13/50/84/947135084.db2.gz MLQFAAGSXDHKCO-UHFFFAOYSA-N 0 2 308.319 0.552 20 0 DCADLN COC(=O)c1nc(OC)ccc1NS(=O)(=O)c1cn[nH]c1 ZINC001260955230 947155914 /nfs/dbraw/zinc/15/59/14/947155914.db2.gz VDOZBKSKBLURPO-UHFFFAOYSA-N 0 2 312.307 0.401 20 0 DCADLN C[C@@H](CCCNC(=O)CC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001487507932 947718569 /nfs/dbraw/zinc/71/85/69/947718569.db2.gz NLJMSBJVHAUFKT-IMTBSYHQSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CCCNC(=O)CC(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001487507932 947718572 /nfs/dbraw/zinc/71/85/72/947718572.db2.gz NLJMSBJVHAUFKT-IMTBSYHQSA-N 0 2 315.267 0.163 20 0 DCADLN Cc1cc(NCCNC(=O)C(F)C(F)(F)F)nc(CO)n1 ZINC001094182527 947797169 /nfs/dbraw/zinc/79/71/69/947797169.db2.gz GIUFLJDTJZLYFC-VIFPVBQESA-N 0 2 310.251 0.706 20 0 DCADLN Cc1cc(NCCNC(=O)[C@H](F)C(F)(F)F)nc(CO)n1 ZINC001094182527 947797172 /nfs/dbraw/zinc/79/71/72/947797172.db2.gz GIUFLJDTJZLYFC-VIFPVBQESA-N 0 2 310.251 0.706 20 0 DCADLN CC(C)n1cnc(NS(=O)(=O)c2cnc3c(cnn3C)c2)n1 ZINC001326413468 948154389 /nfs/dbraw/zinc/15/43/89/948154389.db2.gz JBPUOYAELPBXTR-UHFFFAOYSA-N 0 2 321.366 0.942 20 0 DCADLN CCc1cc(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)n[nH]1 ZINC001570920524 948601686 /nfs/dbraw/zinc/60/16/86/948601686.db2.gz ROYQDEBAUJYAIJ-UHFFFAOYSA-N 0 2 314.309 0.814 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)Nc2n[nH]cc2-c2nn[nH]n2)C1 ZINC001570920673 948605347 /nfs/dbraw/zinc/60/53/47/948605347.db2.gz YQEKFLMVNQVNID-SVRRBLITSA-N 0 2 313.325 0.618 20 0 DCADLN C[C@H](C(=O)Nc1nc2n(c1C(=O)[O-])CCOC2)n1cc[nH+]c1 ZINC001602795840 971558193 /nfs/dbraw/zinc/55/81/93/971558193.db2.gz PUOHPAYZXPWVBJ-MRVPVSSYSA-N 0 2 305.294 0.508 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2C2CCOCC2)S1 ZINC001364416580 949236110 /nfs/dbraw/zinc/23/61/10/949236110.db2.gz HVCJMAYZJPKLCS-VIFPVBQESA-N 0 2 323.378 0.730 20 0 DCADLN O=C([O-])c1ccc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)nc1 ZINC001595222866 949266545 /nfs/dbraw/zinc/26/65/45/949266545.db2.gz BINCWZTWXBHEAF-TXEJJXNPSA-N 0 2 306.318 0.800 20 0 DCADLN O=C([O-])c1ccc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)nc1 ZINC001595222866 949266568 /nfs/dbraw/zinc/26/65/68/949266568.db2.gz BINCWZTWXBHEAF-TXEJJXNPSA-N 0 2 306.318 0.800 20 0 DCADLN CSc1cnc(NCc2nnc(CS(C)(=O)=O)[nH]2)nc1 ZINC001364587342 949594546 /nfs/dbraw/zinc/59/45/46/949594546.db2.gz JNAWVPMUUSIIRA-UHFFFAOYSA-N 0 2 314.396 0.473 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CC[C@@H](CC(=O)[O-])C1 ZINC000379019625 971591710 /nfs/dbraw/zinc/59/17/10/971591710.db2.gz AWSIFRUEBHEIAM-UPJWGTAASA-N 0 2 313.398 0.650 20 0 DCADLN Cc1cn2c(n1)C[C@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)CC2 ZINC001329639847 949738435 /nfs/dbraw/zinc/73/84/35/949738435.db2.gz NDRQBQPYZJPKJY-SNVBAGLBSA-N 0 2 304.354 0.327 20 0 DCADLN C[C@@H](C(=O)[O-])N(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C1CC1 ZINC001602814124 971605835 /nfs/dbraw/zinc/60/58/35/971605835.db2.gz FCYHDOCZWWLOBA-VIFPVBQESA-N 0 2 316.317 0.737 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@@H+](C)Cc2ncccn2)c1[O-] ZINC001265826057 949989629 /nfs/dbraw/zinc/98/96/29/949989629.db2.gz AWPGRAOJENDVRH-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@H+](C)Cc2ncccn2)c1[O-] ZINC001265826057 949989648 /nfs/dbraw/zinc/98/96/48/949989648.db2.gz AWPGRAOJENDVRH-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CC[C@](COC)(NS(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC001364857925 950086362 /nfs/dbraw/zinc/08/63/62/950086362.db2.gz JZQUDOZKJAPQMX-GFCCVEGCSA-N 0 2 320.367 0.371 20 0 DCADLN COCC(=O)NC[C@H]1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001489856755 950180143 /nfs/dbraw/zinc/18/01/43/950180143.db2.gz GOHYKOSDEHZELJ-QXFUBDJGSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)NC[C@H]1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001489856755 950180166 /nfs/dbraw/zinc/18/01/66/950180166.db2.gz GOHYKOSDEHZELJ-QXFUBDJGSA-N 0 2 314.279 0.934 20 0 DCADLN COC(=O)c1cc(C)ccc1S(=O)(=O)Nc1nnn(C)n1 ZINC001364915771 950184201 /nfs/dbraw/zinc/18/42/01/950184201.db2.gz ZUXITILBSSIQSP-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN C[C@H](NC(=O)C1(n2cnnn2)CCCCC1)c1nn(C)cc1O ZINC001365118794 950551661 /nfs/dbraw/zinc/55/16/61/950551661.db2.gz CMOGGMDNAKDHMK-JTQLQIEISA-N 0 2 319.369 0.649 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](C)c2nn(C)cc2O)nc1 ZINC001365118849 950552611 /nfs/dbraw/zinc/55/26/11/950552611.db2.gz DWFBXBIYYBAGQJ-QMMMGPOBSA-N 0 2 304.306 0.798 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NCc1ccc(C(N)=O)o1 ZINC001365129322 950571290 /nfs/dbraw/zinc/57/12/90/950571290.db2.gz CXSLVXBOPGABJA-UHFFFAOYSA-N 0 2 323.396 0.221 20 0 DCADLN CN(CCC1CC1)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365266262 950846582 /nfs/dbraw/zinc/84/65/82/950846582.db2.gz RQTNWWIMSRYYQU-UHFFFAOYSA-N 0 2 315.399 0.291 20 0 DCADLN CC(C)OCCCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001490580621 950899676 /nfs/dbraw/zinc/89/96/76/950899676.db2.gz FLKBVHKGIXEJNF-NSHDSACASA-N 0 2 311.386 0.406 20 0 DCADLN O=C([O-])CC1(C(=O)N[C@H]2CCc3c[nH+]cn3C2)CCOCC1 ZINC001594974571 950908749 /nfs/dbraw/zinc/90/87/49/950908749.db2.gz SJNZYLBANFYURF-NSHDSACASA-N 0 2 307.350 0.586 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2occc2Br)n1 ZINC001365458184 951149286 /nfs/dbraw/zinc/14/92/86/951149286.db2.gz TWFQFVRDCKQAKI-UHFFFAOYSA-N 0 2 308.117 0.366 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NCc2ccccc2)[nH]1 ZINC001365542219 951242517 /nfs/dbraw/zinc/24/25/17/951242517.db2.gz LLXCMESIRDAAIP-UHFFFAOYSA-N 0 2 323.378 0.349 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001365570490 951277468 /nfs/dbraw/zinc/27/74/68/951277468.db2.gz GNZXBTATEHKOSS-GMTAPVOTSA-N 0 2 309.370 0.967 20 0 DCADLN CC[C@@H](C)C(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001365685918 951430183 /nfs/dbraw/zinc/43/01/83/951430183.db2.gz MUFMJSKKPFVVAE-GFCCVEGCSA-N 0 2 317.393 0.713 20 0 DCADLN Cc1nnc([C@H](C)NS(=O)(=O)N=S2(=O)CCCC2)[nH]1 ZINC001365705289 951456513 /nfs/dbraw/zinc/45/65/13/951456513.db2.gz MJQXWLNHAORWIA-ZETCQYMHSA-N 0 2 307.401 0.270 20 0 DCADLN Cc1nc([C@H](C)NS(=O)(=O)N=S2(=O)CCCC2)n[nH]1 ZINC001365705289 951456527 /nfs/dbraw/zinc/45/65/27/951456527.db2.gz MJQXWLNHAORWIA-ZETCQYMHSA-N 0 2 307.401 0.270 20 0 DCADLN Cc1nc([C@@H](C)NS(=O)(=O)c2cc(O)cc(F)c2)n[nH]1 ZINC001365705559 951459191 /nfs/dbraw/zinc/45/91/91/951459191.db2.gz WNXCKCMVVFKLMP-ZCFIWIBFSA-N 0 2 300.315 0.997 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C(C)(C)C1CC1 ZINC001365789581 951542226 /nfs/dbraw/zinc/54/22/26/951542226.db2.gz JMKSAXSSFRCEOM-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC001332422074 951641157 /nfs/dbraw/zinc/64/11/57/951641157.db2.gz DAQSMCATYVTCCQ-CYBMUJFWSA-N 0 2 308.334 0.557 20 0 DCADLN O=C([O-])CNC(=O)C[NH+]1CCC(Oc2ncccc2F)CC1 ZINC001595098367 951837636 /nfs/dbraw/zinc/83/76/36/951837636.db2.gz HNSSCVRNDJAPCG-UHFFFAOYSA-N 0 2 311.313 0.265 20 0 DCADLN CO[C@H](C)C(=O)N(C)CCN(C)C(=O)C(F)C(F)(F)F ZINC001416643906 951991451 /nfs/dbraw/zinc/99/14/51/951991451.db2.gz CUAXZYYQLUINBM-SFYZADRCSA-N 0 2 302.268 0.839 20 0 DCADLN CO[C@H](C)C(=O)N(C)CCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001416643906 951991459 /nfs/dbraw/zinc/99/14/59/951991459.db2.gz CUAXZYYQLUINBM-SFYZADRCSA-N 0 2 302.268 0.839 20 0 DCADLN CC(=O)C[C@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001411950939 952565312 /nfs/dbraw/zinc/56/53/12/952565312.db2.gz JCAFMYPZPACWDB-QMMMGPOBSA-N 0 2 304.306 0.364 20 0 DCADLN CC1(C)CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC[S@@]1=O ZINC001412128722 952656420 /nfs/dbraw/zinc/65/64/20/952656420.db2.gz UOSHUAMLJIHJIL-FHZGZLOMSA-N 0 2 317.436 0.302 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NNc1nncc(N)c1Cl ZINC001340949471 953204425 /nfs/dbraw/zinc/20/44/25/953204425.db2.gz YDAPJANVKRTPLM-UHFFFAOYSA-N 0 2 317.762 0.060 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001365937204 953432745 /nfs/dbraw/zinc/43/27/45/953432745.db2.gz CABJLDQMKJSUAM-ZYHUDNBSSA-N 0 2 311.386 0.262 20 0 DCADLN O=C([N-]OC[C@H]1CCOC1)C(=O)NCCCNc1cccc[nH+]1 ZINC001345112411 953592686 /nfs/dbraw/zinc/59/26/86/953592686.db2.gz UJHPLHIEHDWGBS-LBPRGKRZSA-N 0 2 322.365 0.084 20 0 DCADLN CN(C)[C@@H](C(=O)Nc1cc(C(=O)[O-])ccn1)c1c[nH+]cn1C ZINC001593690874 954028316 /nfs/dbraw/zinc/02/83/16/954028316.db2.gz JNYWQCQRHYFXRT-GFCCVEGCSA-N 0 2 303.322 0.755 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)ncn1 ZINC001283226676 954162591 /nfs/dbraw/zinc/16/25/91/954162591.db2.gz PDWFHPPAESJIIJ-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001283226676 954162600 /nfs/dbraw/zinc/16/26/00/954162600.db2.gz PDWFHPPAESJIIJ-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN O=C([O-])C1(C(=O)N[C@H]2CCc3c[nH+]cn3C2)CCSCC1 ZINC001594856499 954241412 /nfs/dbraw/zinc/24/14/12/954241412.db2.gz ONRWBHYIKIYXSZ-JTQLQIEISA-N 0 2 309.391 0.912 20 0 DCADLN O=C(C[C@H]1CCOC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001366650124 954588065 /nfs/dbraw/zinc/58/80/65/954588065.db2.gz DSUCOTMOHHPCNX-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(C[C@H]1CCOC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001366650124 954588071 /nfs/dbraw/zinc/58/80/71/954588071.db2.gz DSUCOTMOHHPCNX-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001366672087 954622059 /nfs/dbraw/zinc/62/20/59/954622059.db2.gz QTKMUXZDSXYCKP-BDAKNGLRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001366672087 954622062 /nfs/dbraw/zinc/62/20/62/954622062.db2.gz QTKMUXZDSXYCKP-BDAKNGLRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001366672087 954622063 /nfs/dbraw/zinc/62/20/63/954622063.db2.gz QTKMUXZDSXYCKP-BDAKNGLRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NC[C@@H]2CCC[NH+]2Cc2cncn2C)C1 ZINC001366675982 954628516 /nfs/dbraw/zinc/62/85/16/954628516.db2.gz WWIYHSVIQRUXMG-CABCVRRESA-N 0 2 319.453 0.843 20 0 DCADLN CCC[N@H+](CCNC(=O)[C@H]1CCC[NH+]1C)Cc1nccn1C ZINC001366708628 954691863 /nfs/dbraw/zinc/69/18/63/954691863.db2.gz QFAQINHLWVRCRI-CQSZACIVSA-N 0 2 307.442 0.843 20 0 DCADLN CN1CC[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)Cc2cccnc21 ZINC001593785383 954696543 /nfs/dbraw/zinc/69/65/43/954696543.db2.gz SGEMUGQFXFJQQE-LBPRGKRZSA-N 0 2 304.350 0.019 20 0 DCADLN CN1CC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)Cc2cccnc21 ZINC001593785383 954696550 /nfs/dbraw/zinc/69/65/50/954696550.db2.gz SGEMUGQFXFJQQE-LBPRGKRZSA-N 0 2 304.350 0.019 20 0 DCADLN Cc1ncc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)s1 ZINC001366731254 954729790 /nfs/dbraw/zinc/72/97/90/954729790.db2.gz IMPBQLUCOZMWHQ-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN Cc1ncc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)s1 ZINC001366731254 954729798 /nfs/dbraw/zinc/72/97/98/954729798.db2.gz IMPBQLUCOZMWHQ-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN C[C@H]1CO[C@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366748683 954766880 /nfs/dbraw/zinc/76/68/80/954766880.db2.gz JUJABBTVNQWHET-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1CO[C@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366748683 954766889 /nfs/dbraw/zinc/76/68/89/954766889.db2.gz JUJABBTVNQWHET-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN COC(=O)[C@@H](C)Oc1ccccc1C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC001593805514 954899574 /nfs/dbraw/zinc/89/95/74/954899574.db2.gz NANWLNCNHDRZTD-RISCZKNCSA-N 0 2 323.345 0.912 20 0 DCADLN COC(=O)[C@@H](C)Oc1ccccc1C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC001593805514 954899582 /nfs/dbraw/zinc/89/95/82/954899582.db2.gz NANWLNCNHDRZTD-RISCZKNCSA-N 0 2 323.345 0.912 20 0 DCADLN CC(C)(C(N)=O)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001350151863 955001311 /nfs/dbraw/zinc/00/13/11/955001311.db2.gz QRYYCNDARGWQPM-ZCFIWIBFSA-N 0 2 315.267 0.021 20 0 DCADLN CC(C)(C(N)=O)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001350151863 955001328 /nfs/dbraw/zinc/00/13/28/955001328.db2.gz QRYYCNDARGWQPM-ZCFIWIBFSA-N 0 2 315.267 0.021 20 0 DCADLN CC(C)N(C)C(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001366918906 955055648 /nfs/dbraw/zinc/05/56/48/955055648.db2.gz DUMDTJGFNQQNAX-JTQLQIEISA-N 0 2 313.295 0.554 20 0 DCADLN CC(C)N(C)C(=O)CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001366918906 955055664 /nfs/dbraw/zinc/05/56/64/955055664.db2.gz DUMDTJGFNQQNAX-JTQLQIEISA-N 0 2 313.295 0.554 20 0 DCADLN CC[C@@H](CNC(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001589551211 955216730 /nfs/dbraw/zinc/21/67/30/955216730.db2.gz ZQYUSUPZCWBVFI-ZDUSSCGKSA-N 0 2 323.393 0.661 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])Cc1cnc2onc(C)c2c1 ZINC001593841983 955372976 /nfs/dbraw/zinc/37/29/76/955372976.db2.gz OZYBAQKNJFWILS-UHFFFAOYSA-N 0 2 307.306 0.981 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])Cc1cnc2onc(C)c2c1 ZINC001593841983 955372989 /nfs/dbraw/zinc/37/29/89/955372989.db2.gz OZYBAQKNJFWILS-UHFFFAOYSA-N 0 2 307.306 0.981 20 0 DCADLN Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)[C@]2(C(=O)[O-])CCCO2)C1 ZINC001594555254 955754664 /nfs/dbraw/zinc/75/46/64/955754664.db2.gz FNQOPAKHPIFHSM-WBMJQRKESA-N 0 2 321.377 0.835 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)c2cn(CC(=O)[O-])nn2)C1 ZINC001594556069 955760692 /nfs/dbraw/zinc/76/06/92/955760692.db2.gz SHGWLQRAOCRUPT-SNVBAGLBSA-N 0 2 318.337 0.116 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001594568549 955857617 /nfs/dbraw/zinc/85/76/17/955857617.db2.gz OJJZTUBXPDEXLH-AOOOYVTPSA-N 0 2 309.322 0.138 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001594568549 955857635 /nfs/dbraw/zinc/85/76/35/955857635.db2.gz OJJZTUBXPDEXLH-AOOOYVTPSA-N 0 2 309.322 0.138 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001589083156 955877323 /nfs/dbraw/zinc/87/73/23/955877323.db2.gz IWZODVVZVMJZGA-MRVPVSSYSA-N 0 2 319.321 0.652 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001589083156 955877334 /nfs/dbraw/zinc/87/73/34/955877334.db2.gz IWZODVVZVMJZGA-MRVPVSSYSA-N 0 2 319.321 0.652 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(C(=O)c2c(C)cc(C)[nH+]c2C)CCO1 ZINC001593971222 956618530 /nfs/dbraw/zinc/61/85/30/956618530.db2.gz AXZIOLXIRSQJMX-MRXNPFEDSA-N 0 2 322.361 0.949 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](C[C@H](O)c2ccccc2F)CCO1 ZINC001593984886 956757699 /nfs/dbraw/zinc/75/76/99/956757699.db2.gz COHJEIOJWKXZSH-ZFWWWQNUSA-N 0 2 313.325 0.661 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](C[C@H](O)c2ccccc2F)CCO1 ZINC001593984886 956757705 /nfs/dbraw/zinc/75/77/05/956757705.db2.gz COHJEIOJWKXZSH-ZFWWWQNUSA-N 0 2 313.325 0.661 20 0 DCADLN Cn1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)ccc1=O ZINC001292842848 957171422 /nfs/dbraw/zinc/17/14/22/957171422.db2.gz BFWWYOMXIBRUJB-VIFPVBQESA-N 0 2 323.246 0.132 20 0 DCADLN Cn1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)ccc1=O ZINC001292842848 957171429 /nfs/dbraw/zinc/17/14/29/957171429.db2.gz BFWWYOMXIBRUJB-VIFPVBQESA-N 0 2 323.246 0.132 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000035122371 957198233 /nfs/dbraw/zinc/19/82/33/957198233.db2.gz BJQQKNCKYFRCFG-UHFFFAOYSA-N 0 2 316.354 0.607 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001357388789 957265323 /nfs/dbraw/zinc/26/53/23/957265323.db2.gz RCCSPSCBDZGQFG-LSRCEVBISA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001357388789 957265341 /nfs/dbraw/zinc/26/53/41/957265341.db2.gz RCCSPSCBDZGQFG-LSRCEVBISA-N 0 2 314.279 0.836 20 0 DCADLN O=C(C[C@H]1CCOC1)NCCCNC(=O)C(F)C(F)(F)F ZINC001293212858 957314410 /nfs/dbraw/zinc/31/44/10/957314410.db2.gz FNECRPVYLMHRJJ-SCZZXKLOSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(C[C@H]1CCOC1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001293212858 957314420 /nfs/dbraw/zinc/31/44/20/957314420.db2.gz FNECRPVYLMHRJJ-SCZZXKLOSA-N 0 2 314.279 0.936 20 0 DCADLN COCCC(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC001294126328 957483374 /nfs/dbraw/zinc/48/33/74/957483374.db2.gz CDXPHYDRRPLOAF-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN COCCC(=O)N(C)CCCNC(=O)[C@@H](F)C(F)(F)F ZINC001294126328 957483379 /nfs/dbraw/zinc/48/33/79/957483379.db2.gz CDXPHYDRRPLOAF-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN C[C@H](CNCc1nnn(C)n1)N(C)C(=O)C(F)C(F)(F)F ZINC001367402826 957773744 /nfs/dbraw/zinc/77/37/44/957773744.db2.gz BNNOUUPIPNNSJY-SVRRBLITSA-N 0 2 312.271 0.047 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ncccn1 ZINC001417190545 957781188 /nfs/dbraw/zinc/78/11/88/957781188.db2.gz YGLCAJHOOSSSQU-ZETCQYMHSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1ncccn1 ZINC001417190545 957781193 /nfs/dbraw/zinc/78/11/93/957781193.db2.gz YGLCAJHOOSSSQU-ZETCQYMHSA-N 0 2 320.246 0.756 20 0 DCADLN COc1ccc(C(=O)[O-])c(OC[C@@H](O)C[NH+]2CCOCC2)c1 ZINC000390690517 972299351 /nfs/dbraw/zinc/29/93/51/972299351.db2.gz AEHCYNRSJWLFNX-NSHDSACASA-N 0 2 311.334 0.465 20 0 DCADLN Cc1cc(C)c(NC(=O)C(=O)N2CCO[C@H](C(=O)[O-])C2)c(C)[nH+]1 ZINC001594293997 957851661 /nfs/dbraw/zinc/85/16/61/957851661.db2.gz HVOJGEFPXFOBMY-NSHDSACASA-N 0 2 321.333 0.257 20 0 DCADLN Cc1ccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1C ZINC001367504291 957914693 /nfs/dbraw/zinc/91/46/93/957914693.db2.gz VUMUHUMACANTOA-UHFFFAOYSA-N 0 2 306.370 0.361 20 0 DCADLN Cc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1C ZINC001367504291 957914698 /nfs/dbraw/zinc/91/46/98/957914698.db2.gz VUMUHUMACANTOA-UHFFFAOYSA-N 0 2 306.370 0.361 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001430140831 1013163189 /nfs/dbraw/zinc/16/31/89/1013163189.db2.gz RQJKBXFZJORTTH-NRPADANISA-N 0 2 323.246 0.881 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430140831 1013163203 /nfs/dbraw/zinc/16/32/03/1013163203.db2.gz RQJKBXFZJORTTH-NRPADANISA-N 0 2 323.246 0.881 20 0 DCADLN C[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)CC(F)(F)F ZINC001361412669 957944934 /nfs/dbraw/zinc/94/49/34/957944934.db2.gz WMYSPBNDWCHRDD-ZETCQYMHSA-N 0 2 317.271 0.776 20 0 DCADLN CO[C@@H]([C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC001361447135 957974853 /nfs/dbraw/zinc/97/48/53/957974853.db2.gz RSIIIKQXOBXHGO-PELKAZGASA-N 0 2 305.338 0.001 20 0 DCADLN O=C(C(=O)N1CCSC[C@H]1c1nn[nH]n1)c1ccc(O)cc1 ZINC001296691751 958036974 /nfs/dbraw/zinc/03/69/74/958036974.db2.gz WZLVEUYFGGDPCW-JTQLQIEISA-N 0 2 319.346 0.405 20 0 DCADLN C[C@@H]1CNc2c(C(=O)NCCc3n[nH]c(=S)o3)cnn2C1 ZINC001296734071 958043820 /nfs/dbraw/zinc/04/38/20/958043820.db2.gz KOKZKRYVOJLLCE-SSDOTTSWSA-N 0 2 308.367 0.589 20 0 DCADLN Cc1cc(NC(=O)C(=O)NCc2c[nH+]cn2C)ccc1C(=O)[O-] ZINC001594314302 958055691 /nfs/dbraw/zinc/05/56/91/958055691.db2.gz IAKCQINCQBVVKT-UHFFFAOYSA-N 0 2 316.317 0.682 20 0 DCADLN Cc1n[nH]c(C(F)(F)F)c1C(=O)NCCc1c(C)[nH][nH]c1=O ZINC001361771306 958310751 /nfs/dbraw/zinc/31/07/51/958310751.db2.gz LPRINFVUDPKEKM-ZETCQYMHSA-N 0 2 317.271 0.979 20 0 DCADLN Cn1nccc1[C@@H]1NC(=O)CC[C@@H]1NC(=O)C(F)C(F)(F)F ZINC001548831817 1013170687 /nfs/dbraw/zinc/17/06/87/1013170687.db2.gz NJHPMQYFGUTSHT-QUNWWBBNSA-N 0 2 322.262 0.756 20 0 DCADLN Cn1nccc1[C@@H]1NC(=O)CC[C@@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001548831817 1013170697 /nfs/dbraw/zinc/17/06/97/1013170697.db2.gz NJHPMQYFGUTSHT-QUNWWBBNSA-N 0 2 322.262 0.756 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CCCC1(F)F ZINC001361835917 958384978 /nfs/dbraw/zinc/38/49/78/958384978.db2.gz VFBUEVABPVZEBC-MRVPVSSYSA-N 0 2 311.292 0.623 20 0 DCADLN O=C(c1ccc(Cl)c(O)c1)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001362120998 958772792 /nfs/dbraw/zinc/77/27/92/958772792.db2.gz CESBDJUJPWEMDQ-JTQLQIEISA-N 0 2 309.713 0.772 20 0 DCADLN O=C(NC[C@H]1CCCCO1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001367907853 958833100 /nfs/dbraw/zinc/83/31/00/958833100.db2.gz QMMCCPHGXQUHOI-WDEREUQCSA-N 0 2 309.370 0.968 20 0 DCADLN Cc1ccc(C)c(C2=NO[C@H](C(=O)NCc3n[nH]c(=O)[nH]3)C2)c1 ZINC001362216802 958941487 /nfs/dbraw/zinc/94/14/87/958941487.db2.gz YTQPHYDWJYEFEZ-LBPRGKRZSA-N 0 2 315.333 0.937 20 0 DCADLN O=C(CCCC(F)(F)F)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367961626 958959575 /nfs/dbraw/zinc/95/95/75/958959575.db2.gz PWWSMQPWGQOWPW-QMMMGPOBSA-N 0 2 321.303 0.933 20 0 DCADLN CN(C(=O)c1cc(S(N)(=O)=O)ccc1O)c1nncs1 ZINC001362374088 959238591 /nfs/dbraw/zinc/23/85/91/959238591.db2.gz JLLXQOHQIANCIM-UHFFFAOYSA-N 0 2 314.348 0.168 20 0 DCADLN CC[C@@H](C)[C@@H](O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362429854 959340769 /nfs/dbraw/zinc/34/07/69/959340769.db2.gz AVDYFXHBLQBWJT-SKDRFNHKSA-N 0 2 320.349 0.403 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H]1C[C@H](C)Cc2cn[nH]c21)c1nn[nH]n1 ZINC001362449526 959378431 /nfs/dbraw/zinc/37/84/31/959378431.db2.gz CBMZSNPDUMWCMJ-YWVKMMECSA-N 0 2 303.370 0.851 20 0 DCADLN C[C@H](CCO)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362472504 959421767 /nfs/dbraw/zinc/42/17/67/959421767.db2.gz ZORDINQDWISKSX-SECBINFHSA-N 0 2 306.322 0.015 20 0 DCADLN CC1(C)SC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362545841 959567709 /nfs/dbraw/zinc/56/77/09/959567709.db2.gz UKLBUQRXAAGXRV-SNVBAGLBSA-N 0 2 320.374 0.890 20 0 DCADLN O=C(NC1CCN(C(=O)c2cn[nH]n2)CC1)C(F)C(F)(F)F ZINC001492106357 959591326 /nfs/dbraw/zinc/59/13/26/959591326.db2.gz UEMUCGKGOILVLW-MRVPVSSYSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC1CCN(C(=O)c2cn[nH]n2)CC1)[C@@H](F)C(F)(F)F ZINC001492106357 959591335 /nfs/dbraw/zinc/59/13/35/959591335.db2.gz UEMUCGKGOILVLW-MRVPVSSYSA-N 0 2 323.250 0.426 20 0 DCADLN CC[C@@H](F)CN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001368296477 959634137 /nfs/dbraw/zinc/63/41/37/959634137.db2.gz ZYEMSOBGYDQKIJ-HTQZYQBOSA-N 0 2 304.259 0.798 20 0 DCADLN CC[C@@H](F)CN1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001368296477 959634147 /nfs/dbraw/zinc/63/41/47/959634147.db2.gz ZYEMSOBGYDQKIJ-HTQZYQBOSA-N 0 2 304.259 0.798 20 0 DCADLN CC(=O)N(C)CC(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001362769691 959962656 /nfs/dbraw/zinc/96/26/56/959962656.db2.gz MWUNMGHGFBQHGX-LBPRGKRZSA-N 0 2 317.349 0.389 20 0 DCADLN CSc1ncc(C(=O)N2CCN(c3[nH]cc[nH+]3)CC2)c(=O)[nH]1 ZINC001362793431 959993733 /nfs/dbraw/zinc/99/37/33/959993733.db2.gz NYJGVCDXHKTYHR-UHFFFAOYSA-N 0 2 320.378 0.590 20 0 DCADLN CSCC[C@H](NC(=O)c1ccc2n[nH]nc2c1)c1nn[nH]n1 ZINC001301159684 959995223 /nfs/dbraw/zinc/99/52/23/959995223.db2.gz YQOLOIWPENONFW-VIFPVBQESA-N 0 2 318.366 0.695 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@H]3CCOC3=O)=N2)cc1 ZINC001362820243 960038633 /nfs/dbraw/zinc/03/86/33/960038633.db2.gz MPWAECWJFTXICX-NSHDSACASA-N 0 2 317.301 0.632 20 0 DCADLN Cc1cn2nc(C(=O)N[C@@H](C)c3nn(C)cc3O)cc2c(=O)[nH]1 ZINC001304550414 960090251 /nfs/dbraw/zinc/09/02/51/960090251.db2.gz AJEVLCYARUOJNE-QMMMGPOBSA-N 0 2 316.321 0.261 20 0 DCADLN Cc1cn2ccnc(C(=O)N[C@H](C)c3nn(C)cc3O)c2n1 ZINC001304545463 960091299 /nfs/dbraw/zinc/09/12/99/960091299.db2.gz UKEXICPETHMEPF-SECBINFHSA-N 0 2 300.322 0.968 20 0 DCADLN C[NH+]1CCC[C@H]1C(=O)NCC[N@H+](CC(=O)NCC1CC1)C1CC1 ZINC001377520151 960223804 /nfs/dbraw/zinc/22/38/04/960223804.db2.gz SUFJPPZOZZBBCF-HNNXBMFYSA-N 0 2 322.453 0.187 20 0 DCADLN CO[C@H](C)[C@@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362962963 960231380 /nfs/dbraw/zinc/23/13/80/960231380.db2.gz PBLVUTAFBCHWAG-RKDXNWHRSA-N 0 2 306.322 0.419 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[nH]1 ZINC001362989732 960265364 /nfs/dbraw/zinc/26/53/64/960265364.db2.gz YBYDECQOHWHRAK-QZERSUKASA-N 0 2 324.406 0.258 20 0 DCADLN COc1cc(OC)nc(C(=O)N[C@H](C)c2nn(C)cc2O)n1 ZINC001363047789 960342948 /nfs/dbraw/zinc/34/29/48/960342948.db2.gz HVSQJIQCIKKFQJ-SSDOTTSWSA-N 0 2 307.310 0.424 20 0 DCADLN C[C@@H](NC(=O)COCc1cc[nH]c(=O)c1)c1nn(C)cc1O ZINC001363054279 960352114 /nfs/dbraw/zinc/35/21/14/960352114.db2.gz WKIXREBGTPVYSM-SECBINFHSA-N 0 2 306.322 0.620 20 0 DCADLN CCCN(C(=O)[C@H]1C[N@@H+](C)CCO1)[C@H]1CC[NH+](CCCF)C1 ZINC001377651425 960392463 /nfs/dbraw/zinc/39/24/63/960392463.db2.gz WYNPGMXZCPDTNF-LSDHHAIUSA-N 0 2 315.433 0.990 20 0 DCADLN O=C(Cc1ccc(O)c(Cl)c1)N1CCOC[C@H]1c1nn[nH]n1 ZINC001363117410 960423054 /nfs/dbraw/zinc/42/30/54/960423054.db2.gz WNMASFFSNKEHGT-JTQLQIEISA-N 0 2 323.740 0.701 20 0 DCADLN O=C(CCc1nn[nH]n1)N1CCN[C@H](c2ccc(O)cc2)C1 ZINC001363168069 960523919 /nfs/dbraw/zinc/52/39/19/960523919.db2.gz LVYLWQKHFHKKFN-LBPRGKRZSA-N 0 2 302.338 0.011 20 0 DCADLN Cc1nonc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001377773818 960624619 /nfs/dbraw/zinc/62/46/19/960624619.db2.gz SYHLMSHUVCMYGE-FFWSUHOLSA-N 0 2 312.223 0.513 20 0 DCADLN Cc1nonc1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001377773818 960624631 /nfs/dbraw/zinc/62/46/31/960624631.db2.gz SYHLMSHUVCMYGE-FFWSUHOLSA-N 0 2 312.223 0.513 20 0 DCADLN Cc1nc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)c[nH]1 ZINC001378380732 960673696 /nfs/dbraw/zinc/67/36/96/960673696.db2.gz UXNGVBGXQABENB-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc(C(=O)N2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)c[nH]1 ZINC001378380732 960673708 /nfs/dbraw/zinc/67/37/08/960673708.db2.gz UXNGVBGXQABENB-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)Nc1cccnn1 ZINC001363250200 960697817 /nfs/dbraw/zinc/69/78/17/960697817.db2.gz SDTSIBPXCILTEF-SECBINFHSA-N 0 2 303.326 0.118 20 0 DCADLN COC(=O)c1ccc(C(=O)NCC2=NC(=O)CC(=O)N2)cc1F ZINC001363316492 960871372 /nfs/dbraw/zinc/87/13/72/960871372.db2.gz DAXNWJIEAMWVGT-UHFFFAOYSA-N 0 2 321.264 0.744 20 0 DCADLN COC(=O)c1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1F ZINC001363316492 960871396 /nfs/dbraw/zinc/87/13/96/960871396.db2.gz DAXNWJIEAMWVGT-UHFFFAOYSA-N 0 2 321.264 0.744 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc2c(c1)OCCCO2 ZINC001363332332 960916602 /nfs/dbraw/zinc/91/66/02/960916602.db2.gz ZLGQQVABVISQBK-UHFFFAOYSA-N 0 2 317.301 0.979 20 0 DCADLN O=C([O-])[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Cc1ccc(O)cc1 ZINC001571080858 961004661 /nfs/dbraw/zinc/00/46/61/961004661.db2.gz XQCLAZQAUSQLOJ-NSHDSACASA-N 0 2 303.318 0.718 20 0 DCADLN Cc1noc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001377970267 961089280 /nfs/dbraw/zinc/08/92/80/961089280.db2.gz LXHOFUIHPMAJIR-SECBINFHSA-N 0 2 310.251 0.826 20 0 DCADLN Cc1noc(CN2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001377970267 961089306 /nfs/dbraw/zinc/08/93/06/961089306.db2.gz LXHOFUIHPMAJIR-SECBINFHSA-N 0 2 310.251 0.826 20 0 DCADLN C[C@@H]1C[N@H+](Cc2nnn(CC(F)(F)F)n2)C[C@H]1CC(=O)[O-] ZINC001571095987 961162869 /nfs/dbraw/zinc/16/28/69/961162869.db2.gz HSLZGEVONCWHSO-HTQZYQBOSA-N 0 2 307.276 0.778 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2nnn(CC(F)(F)F)n2)C[C@H]1CC(=O)[O-] ZINC001571095987 961162876 /nfs/dbraw/zinc/16/28/76/961162876.db2.gz HSLZGEVONCWHSO-HTQZYQBOSA-N 0 2 307.276 0.778 20 0 DCADLN CO[C@@H](CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C(F)(F)F ZINC001571100523 961213208 /nfs/dbraw/zinc/21/32/08/961213208.db2.gz JHCCFSSTBVKQQA-SFYZADRCSA-N 0 2 309.244 0.489 20 0 DCADLN CO[C@@H](CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C(F)(F)F ZINC001571100523 961213228 /nfs/dbraw/zinc/21/32/28/961213228.db2.gz JHCCFSSTBVKQQA-SFYZADRCSA-N 0 2 309.244 0.489 20 0 DCADLN CCCC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001378689140 961285830 /nfs/dbraw/zinc/28/58/30/961285830.db2.gz QGFNAZCENZMJAM-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1cn(C)nc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001430224853 1013266057 /nfs/dbraw/zinc/26/60/57/1013266057.db2.gz YHTVLUXZQKVXIO-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cn(C)nc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430224853 1013266062 /nfs/dbraw/zinc/26/60/62/1013266062.db2.gz YHTVLUXZQKVXIO-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@H](C)c2nn(C)cc2O)ccn1 ZINC001363670971 961668562 /nfs/dbraw/zinc/66/85/62/961668562.db2.gz ZMNBVCAGQIBGAY-MRVPVSSYSA-N 0 2 304.306 0.798 20 0 DCADLN CC1(C)O[C@@H]2C[C@@H](NC(=O)C[C@H]3SC(=N)NC3=O)C[C@@H]2O1 ZINC001363676098 961677299 /nfs/dbraw/zinc/67/72/99/961677299.db2.gz GKJQXFQEKBCTIG-LURQLKTLSA-N 0 2 313.379 0.342 20 0 DCADLN CCCC1(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001447142146 1013282662 /nfs/dbraw/zinc/28/26/62/1013282662.db2.gz YSWCEQQLRNISOZ-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN O=C(Cc1cncc(O)c1)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001363737388 961788142 /nfs/dbraw/zinc/78/81/42/961788142.db2.gz YOJUVQMAEPYARP-SNVBAGLBSA-N 0 2 303.322 0.268 20 0 DCADLN Cc1ncsc1CC[NH+]1CC(N(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])C1 ZINC001571161498 961937669 /nfs/dbraw/zinc/93/76/69/961937669.db2.gz SNWGYSDBYXACIX-NEPJUHHUSA-N 0 2 323.418 0.857 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCCCS1(=O)=O)c1nn(C)cc1O ZINC001363835724 961990350 /nfs/dbraw/zinc/99/03/50/961990350.db2.gz VXZVOFDPOYEBIV-SCZZXKLOSA-N 0 2 301.368 0.270 20 0 DCADLN CSCC[C@H](NC(N)=O)C(=O)N[C@H](C)c1nn(C)cc1O ZINC001363840819 962000793 /nfs/dbraw/zinc/00/07/93/962000793.db2.gz QEGUFOBUNPPGOR-SFYZADRCSA-N 0 2 315.399 0.093 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@]12C[C@H]1COC2 ZINC001380003820 962480419 /nfs/dbraw/zinc/48/04/19/962480419.db2.gz XBFNYQCSCILXAL-NHYWBVRUSA-N 0 2 323.397 0.216 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@]12C[C@H]1COC2 ZINC001380003820 962480427 /nfs/dbraw/zinc/48/04/27/962480427.db2.gz XBFNYQCSCILXAL-NHYWBVRUSA-N 0 2 323.397 0.216 20 0 DCADLN Cc1cc(C(=O)N2CC(N(C)C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001379365289 962738817 /nfs/dbraw/zinc/73/88/17/962738817.db2.gz JYBTWZMOFSRQIS-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN Cc1cc(C(=O)N2CC(N(C)C(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC001379365289 962738832 /nfs/dbraw/zinc/73/88/32/962738832.db2.gz JYBTWZMOFSRQIS-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)[C@H]3CC[C@@H](C(=O)[O-])C3)CC[NH2+]2)cn1 ZINC001574211770 962916157 /nfs/dbraw/zinc/91/61/57/962916157.db2.gz FXYSUHJNGLEGMA-DMDPSCGWSA-N 0 2 306.366 0.394 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccnn1C ZINC001380756995 963560552 /nfs/dbraw/zinc/56/05/52/963560552.db2.gz DFPBLPYOTGXACW-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ccnn1C ZINC001380756995 963560555 /nfs/dbraw/zinc/56/05/55/963560555.db2.gz DFPBLPYOTGXACW-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1[nH]ncc1F)N(C)C(=O)c1n[nH]cc1F ZINC001380902473 963670187 /nfs/dbraw/zinc/67/01/87/963670187.db2.gz STECTAAYVBWDCR-LURJTMIESA-N 0 2 312.280 0.302 20 0 DCADLN Cc1noc(C)c1CCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314138566 963716180 /nfs/dbraw/zinc/71/61/80/963716180.db2.gz PCOCLYWEQWBCIK-GFCCVEGCSA-N 0 2 306.322 0.759 20 0 DCADLN Cc1noc(C)c1CCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314138566 963716185 /nfs/dbraw/zinc/71/61/85/963716185.db2.gz PCOCLYWEQWBCIK-GFCCVEGCSA-N 0 2 306.322 0.759 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC000315741286 963932202 /nfs/dbraw/zinc/93/22/02/963932202.db2.gz FCPOQXWHVOTBPQ-HNNXBMFYSA-N 0 2 313.398 0.299 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC000315741286 963932212 /nfs/dbraw/zinc/93/22/12/963932212.db2.gz FCPOQXWHVOTBPQ-HNNXBMFYSA-N 0 2 313.398 0.299 20 0 DCADLN C[N@@H+]1CCCC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC000315891690 963948459 /nfs/dbraw/zinc/94/84/59/963948459.db2.gz BYVZHIGOMOWOAY-QMMMGPOBSA-N 0 2 303.340 0.135 20 0 DCADLN C[N@H+]1CCCC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC000315891690 963948470 /nfs/dbraw/zinc/94/84/70/963948470.db2.gz BYVZHIGOMOWOAY-QMMMGPOBSA-N 0 2 303.340 0.135 20 0 DCADLN COc1cccnc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375144352 964049134 /nfs/dbraw/zinc/04/91/34/964049134.db2.gz OLXHYJFHPUDQAA-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1cccnc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375144352 964049144 /nfs/dbraw/zinc/04/91/44/964049144.db2.gz OLXHYJFHPUDQAA-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN CCCc1n[nH]cc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368961769 964197559 /nfs/dbraw/zinc/19/75/59/964197559.db2.gz KMQBLKNPXWQUPW-SECBINFHSA-N 0 2 321.385 0.436 20 0 DCADLN CCCc1n[nH]cc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368961769 964197567 /nfs/dbraw/zinc/19/75/67/964197567.db2.gz KMQBLKNPXWQUPW-SECBINFHSA-N 0 2 321.385 0.436 20 0 DCADLN C[C@H](NC(=O)C(C)(C)C(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369090089 964392743 /nfs/dbraw/zinc/39/27/43/964392743.db2.gz QJGAGXHQOOGAJE-ZETCQYMHSA-N 0 2 317.340 0.738 20 0 DCADLN COC[C@H](C)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001369150882 964462141 /nfs/dbraw/zinc/46/21/41/964462141.db2.gz XGTQVFFFEURUSB-NSHDSACASA-N 0 2 323.397 0.217 20 0 DCADLN COC[C@H](C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001369150882 964462147 /nfs/dbraw/zinc/46/21/47/964462147.db2.gz XGTQVFFFEURUSB-NSHDSACASA-N 0 2 323.397 0.217 20 0 DCADLN C[C@@H](CNC(=O)c1ncc[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001375706909 964742024 /nfs/dbraw/zinc/74/20/24/964742024.db2.gz QGFWEOBSPZUZMM-RQJHMYQMSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@@H](CNC(=O)c1ncc[nH]1)CNC(=O)[C@H](F)C(F)(F)F ZINC001375706909 964742035 /nfs/dbraw/zinc/74/20/35/964742035.db2.gz QGFWEOBSPZUZMM-RQJHMYQMSA-N 0 2 310.251 0.792 20 0 DCADLN Cc1ccc(CC(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001375823702 964874546 /nfs/dbraw/zinc/87/45/46/964874546.db2.gz VAQKRFFXXUUCSE-OAHLLOKOSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccc(CC(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001375823702 964874553 /nfs/dbraw/zinc/87/45/53/964874553.db2.gz VAQKRFFXXUUCSE-OAHLLOKOSA-N 0 2 319.365 0.735 20 0 DCADLN CCc1ocnc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001374066529 964968757 /nfs/dbraw/zinc/96/87/57/964968757.db2.gz VSMGELRGRFLZKJ-UHFFFAOYSA-N 0 2 306.326 0.019 20 0 DCADLN C[C@H](CNC(=O)CCn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001447796598 1013551475 /nfs/dbraw/zinc/55/14/75/1013551475.db2.gz CRTJHXJNMWAMDN-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@H](CNC(=O)CCn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001447796598 1013551482 /nfs/dbraw/zinc/55/14/82/1013551482.db2.gz CRTJHXJNMWAMDN-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CNC(=O)Cc1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001447813410 1013559939 /nfs/dbraw/zinc/55/99/39/1013559939.db2.gz AAKMIAHHXHGTCD-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)Cc1cnn(C)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001447813410 1013559946 /nfs/dbraw/zinc/55/99/46/1013559946.db2.gz AAKMIAHHXHGTCD-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN COCC1(CC(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001369824444 965454693 /nfs/dbraw/zinc/45/46/93/965454693.db2.gz WEMMXQVQCGVSFV-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001381465001 966440195 /nfs/dbraw/zinc/44/01/95/966440195.db2.gz JEAUHKGFQUWXDW-BGZDPUMWSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001381465001 966440200 /nfs/dbraw/zinc/44/02/00/966440200.db2.gz JEAUHKGFQUWXDW-BGZDPUMWSA-N 0 2 314.279 0.933 20 0 DCADLN C[C@@H](CNC(=O)C1CC(F)(F)C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381502495 966471826 /nfs/dbraw/zinc/47/18/26/966471826.db2.gz FJUNQHBTSXJDAU-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](CNC(=O)C1CC(F)(F)C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381502495 966471832 /nfs/dbraw/zinc/47/18/32/966471832.db2.gz FJUNQHBTSXJDAU-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN CCc1[nH]ccc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381511407 966479113 /nfs/dbraw/zinc/47/91/13/966479113.db2.gz DLGWAPVYWWBUTQ-SECBINFHSA-N 0 2 306.370 0.651 20 0 DCADLN CCc1[nH]ccc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381511407 966479120 /nfs/dbraw/zinc/47/91/20/966479120.db2.gz DLGWAPVYWWBUTQ-SECBINFHSA-N 0 2 306.370 0.651 20 0 DCADLN C[C@@H](CNC(=O)c1ncccc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381604093 966573519 /nfs/dbraw/zinc/57/35/19/966573519.db2.gz SYPPDBXZGIMEPY-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](CNC(=O)c1ncccc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381604093 966573524 /nfs/dbraw/zinc/57/35/24/966573524.db2.gz SYPPDBXZGIMEPY-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN CSC[C@H](C)C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381773407 966672788 /nfs/dbraw/zinc/67/27/88/966672788.db2.gz QQEIAUQSZPNUDL-DTWKUNHWSA-N 0 2 313.427 0.446 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001382063683 966906713 /nfs/dbraw/zinc/90/67/13/966906713.db2.gz CCZZPUOIFCWLAW-IYSWYEEDSA-N 0 2 313.255 0.347 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001371677222 967232305 /nfs/dbraw/zinc/23/23/05/967232305.db2.gz JEAUHKGFQUWXDW-LURQLKTLSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001371677222 967232315 /nfs/dbraw/zinc/23/23/15/967232315.db2.gz JEAUHKGFQUWXDW-LURQLKTLSA-N 0 2 314.279 0.933 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cncc(C(=O)[O-])c1 ZINC000316839842 967983370 /nfs/dbraw/zinc/98/33/70/967983370.db2.gz LDLAERKWCBWZBM-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)NC(=O)C[N@@H+]2CCC[C@H]2C)c1[O-] ZINC001372819905 968421290 /nfs/dbraw/zinc/42/12/90/968421290.db2.gz KZTDPKCJHDUPJP-NXEZZACHSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)NC(=O)C[N@H+]2CCC[C@H]2C)c1[O-] ZINC001372819905 968421295 /nfs/dbraw/zinc/42/12/95/968421295.db2.gz KZTDPKCJHDUPJP-NXEZZACHSA-N 0 2 323.397 0.143 20 0 DCADLN C[C@@H](NC(=O)CC[C@H]1CCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001448280709 1013857527 /nfs/dbraw/zinc/85/75/27/1013857527.db2.gz JVEANZYZWWGOKC-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN COCCC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001373615176 969301323 /nfs/dbraw/zinc/30/13/23/969301323.db2.gz WCCGAKPBWGRJLW-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN O=C(c1nnc(C2CC2)o1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001448459892 1013919319 /nfs/dbraw/zinc/91/93/19/1013919319.db2.gz UCSGBXMCMLVSGN-QMMMGPOBSA-N 0 2 304.310 0.791 20 0 DCADLN O=C(c1ccnc(CO)c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001448462991 1013920260 /nfs/dbraw/zinc/92/02/60/1013920260.db2.gz ZDIUHHCBNZGCHP-JTQLQIEISA-N 0 2 303.322 0.417 20 0 DCADLN CC[C@@H](c1ccncc1)[N@H+](C)CCS(=O)(=O)CC(=O)[O-] ZINC001610184512 970693397 /nfs/dbraw/zinc/69/33/97/970693397.db2.gz JCZYPWWBNOYMQX-LBPRGKRZSA-N 0 2 300.380 0.964 20 0 DCADLN CC[C@@H](c1ccncc1)[N@@H+](C)CCS(=O)(=O)CC(=O)[O-] ZINC001610184512 970693400 /nfs/dbraw/zinc/69/34/00/970693400.db2.gz JCZYPWWBNOYMQX-LBPRGKRZSA-N 0 2 300.380 0.964 20 0 DCADLN CN(CCCNC(=O)c1cc(C(=O)[O-])nn1C)c1cccc[nH+]1 ZINC001604168584 972586202 /nfs/dbraw/zinc/58/62/02/972586202.db2.gz KFIVFYTYUUAXFH-UHFFFAOYSA-N 0 2 317.349 0.770 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)CSCC(=O)[O-] ZINC001603375918 972930646 /nfs/dbraw/zinc/93/06/46/972930646.db2.gz XOQLREGEHJARHA-QWRGUYRKSA-N 0 2 302.396 0.126 20 0 DCADLN Cc1n[nH]nc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001448921928 1014097392 /nfs/dbraw/zinc/09/73/92/1014097392.db2.gz PSAQLFLFPCDWOZ-ZETCQYMHSA-N 0 2 311.239 0.202 20 0 DCADLN Cc1n[nH]nc1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001448921928 1014097394 /nfs/dbraw/zinc/09/73/94/1014097394.db2.gz PSAQLFLFPCDWOZ-ZETCQYMHSA-N 0 2 311.239 0.202 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)O[C@@H]3CCN(CC(=O)[O-])C3=O)ccn12 ZINC001605375418 973329038 /nfs/dbraw/zinc/32/90/38/973329038.db2.gz LPRJAEJXTXUXED-LLVKDONJSA-N 0 2 317.301 0.485 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[N@@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001603504705 973481347 /nfs/dbraw/zinc/48/13/47/973481347.db2.gz LYTVAMDLSFJTQF-XHDPSFHLSA-N 0 2 316.398 0.482 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[N@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001603504705 973481350 /nfs/dbraw/zinc/48/13/50/973481350.db2.gz LYTVAMDLSFJTQF-XHDPSFHLSA-N 0 2 316.398 0.482 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCOc3ccccc3[C@H]2CO)C1=O ZINC001606231238 973491661 /nfs/dbraw/zinc/49/16/61/973491661.db2.gz GMTPYLMLMNTMTP-QWHCGFSZSA-N 0 2 320.345 0.100 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCOc3ccccc3[C@H]2CO)C1=O ZINC001606231238 973491667 /nfs/dbraw/zinc/49/16/67/973491667.db2.gz GMTPYLMLMNTMTP-QWHCGFSZSA-N 0 2 320.345 0.100 20 0 DCADLN O=C([O-])[C@@H]1CC[C@@H]1C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC001606397627 973849065 /nfs/dbraw/zinc/84/90/65/973849065.db2.gz JNVZBZUWAHMRFR-MELADBBJSA-N 0 2 310.394 0.811 20 0 DCADLN CC[C@@H]1C[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CCN1CC(F)F ZINC001603625526 973936473 /nfs/dbraw/zinc/93/64/73/973936473.db2.gz YEKSXQHMMCYMFP-GHMZBOCLSA-N 0 2 319.352 0.333 20 0 DCADLN CC[C@@H]1C[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CCN1CC(F)F ZINC001603625526 973936474 /nfs/dbraw/zinc/93/64/74/973936474.db2.gz YEKSXQHMMCYMFP-GHMZBOCLSA-N 0 2 319.352 0.333 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N2CC(C(=O)[O-])C2)cc1 ZINC001604790556 974024142 /nfs/dbraw/zinc/02/41/42/974024142.db2.gz QHTJXIJKPHJSBX-AWEZNQCLSA-N 0 2 304.346 0.813 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@H]2C(=O)N2CC(C(=O)[O-])C2)cc1 ZINC001604790556 974024152 /nfs/dbraw/zinc/02/41/52/974024152.db2.gz QHTJXIJKPHJSBX-AWEZNQCLSA-N 0 2 304.346 0.813 20 0 DCADLN CCc1nnc(C[NH+]2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)o1 ZINC001603993327 974494535 /nfs/dbraw/zinc/49/45/35/974494535.db2.gz SSZRATZLNYTSOL-JTQLQIEISA-N 0 2 322.365 0.530 20 0 DCADLN CC(C)[C@H](NC(=O)CO[NH+]=C(N)Cc1ccccc1)C(=O)[O-] ZINC001591878167 976233389 /nfs/dbraw/zinc/23/33/89/976233389.db2.gz YXAWLPORYUGEMB-AWEZNQCLSA-N 0 2 307.350 0.953 20 0 DCADLN CC(C)[C@H]([NH2+]CCC(=O)NCC(=O)[O-])C(=O)OC(C)(C)C ZINC001591898140 976275445 /nfs/dbraw/zinc/27/54/45/976275445.db2.gz PFJNOZATZIWRJD-LBPRGKRZSA-N 0 2 302.371 0.533 20 0 DCADLN CN(CCNC(=O)c1ccnnc1)C(=O)C(F)C(F)(F)F ZINC001449273153 1014371321 /nfs/dbraw/zinc/37/13/21/1014371321.db2.gz KQFARHYJSQDNLT-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1ccnnc1)C(=O)[C@H](F)C(F)(F)F ZINC001449273153 1014371328 /nfs/dbraw/zinc/37/13/28/1014371328.db2.gz KQFARHYJSQDNLT-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN O=C(NC[C@@H]1C[C@@H](c2nc[nH]n2)C[C@@H]1O)c1ccc(F)cc1O ZINC001549715171 1014419109 /nfs/dbraw/zinc/41/91/09/1014419109.db2.gz GYAXSASSPVUYPC-PTRXPTGYSA-N 0 2 320.324 0.934 20 0 DCADLN C[C@H](CC(=O)O[C@H]1CCCN(CCC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001592428715 978052488 /nfs/dbraw/zinc/05/24/88/978052488.db2.gz GHGMKCNKQUQGJH-NEPJUHHUSA-N 0 2 323.349 0.843 20 0 DCADLN CCN(CCNC(=O)c1ncccn1)C(=O)C(F)C(F)(F)F ZINC001529044242 1014484113 /nfs/dbraw/zinc/48/41/13/1014484113.db2.gz BHILAJHYUSOGKG-MRVPVSSYSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1ncccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001529044242 1014484119 /nfs/dbraw/zinc/48/41/19/1014484119.db2.gz BHILAJHYUSOGKG-MRVPVSSYSA-N 0 2 322.262 0.955 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C(=O)[O-])ccn1 ZINC001592623364 978594167 /nfs/dbraw/zinc/59/41/67/978594167.db2.gz HHMGPFYFVVFWBM-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN CC[C@H](CNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001595154517 979659633 /nfs/dbraw/zinc/65/96/33/979659633.db2.gz NLZWBVGMXHETPK-UPJWGTAASA-N 0 2 314.382 0.093 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)CC1(C(=O)[O-])CCC1 ZINC001592931336 979981674 /nfs/dbraw/zinc/98/16/74/979981674.db2.gz UYGJLNJIPYXSHW-SNVBAGLBSA-N 0 2 301.368 0.446 20 0 DCADLN CCC(C)(C)NC(=O)C[N@H+](C)CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001594806159 981912289 /nfs/dbraw/zinc/91/22/89/981912289.db2.gz VLSLSFPGMKQHEG-MNOVXSKESA-N 0 2 313.398 0.060 20 0 DCADLN CCC(C)(C)NC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001594806159 981912292 /nfs/dbraw/zinc/91/22/92/981912292.db2.gz VLSLSFPGMKQHEG-MNOVXSKESA-N 0 2 313.398 0.060 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+]1CCc2n[nH]c(=O)cc2C1)C(=O)[O-] ZINC001594894464 982321937 /nfs/dbraw/zinc/32/19/37/982321937.db2.gz SIMJGAYHEZUCBI-OTYXRUKQSA-N 0 2 322.365 0.156 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+]1CCc2n[nH]c(=O)cc2C1)C(=O)[O-] ZINC001594894464 982321945 /nfs/dbraw/zinc/32/19/45/982321945.db2.gz SIMJGAYHEZUCBI-OTYXRUKQSA-N 0 2 322.365 0.156 20 0 DCADLN CO[C@@H](C)CC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530713565 1014899731 /nfs/dbraw/zinc/89/97/31/1014899731.db2.gz DKZANKGNPUJYQG-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@@H](C)CC(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530713565 1014899746 /nfs/dbraw/zinc/89/97/46/1014899746.db2.gz DKZANKGNPUJYQG-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@H+](CCC(=O)[O-])C[C@H]2C)n[nH]1 ZINC001596052527 983352621 /nfs/dbraw/zinc/35/26/21/983352621.db2.gz CVAPMNQRVLKOKG-LLVKDONJSA-N 0 2 308.382 0.983 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@@H+](CCC(=O)[O-])C[C@H]2C)n[nH]1 ZINC001596052527 983352626 /nfs/dbraw/zinc/35/26/26/983352626.db2.gz CVAPMNQRVLKOKG-LLVKDONJSA-N 0 2 308.382 0.983 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001596424304 984068414 /nfs/dbraw/zinc/06/84/14/984068414.db2.gz IIFUQKXRQDDBQD-VIFPVBQESA-N 0 2 317.367 0.526 20 0 DCADLN CC[N@H+]1CCCC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001596424304 984068419 /nfs/dbraw/zinc/06/84/19/984068419.db2.gz IIFUQKXRQDDBQD-VIFPVBQESA-N 0 2 317.367 0.526 20 0 DCADLN CCOC(=O)C1CC[NH+](CC(=O)N[C@H](C(=O)[O-])C(C)C)CC1 ZINC001596580861 984495072 /nfs/dbraw/zinc/49/50/72/984495072.db2.gz PLUWMLIJLOAHRP-ZDUSSCGKSA-N 0 2 314.382 0.487 20 0 DCADLN C/C(=C/C(=O)N[C@H]1C[C@@H](C(=O)[O-])[C@@H]2C[C@@H]21)C[NH+]1CCOCC1 ZINC001588943866 984641786 /nfs/dbraw/zinc/64/17/86/984641786.db2.gz GXLQPKQWRFLTRA-IMUTUVOBSA-N 0 2 308.378 0.490 20 0 DCADLN CC(=O)N1CC[NH+](Cc2ccccc2NC(=O)CC(=O)[O-])CC1 ZINC001589084675 985253354 /nfs/dbraw/zinc/25/33/54/985253354.db2.gz DJTGSPDYKZLGPF-UHFFFAOYSA-N 0 2 319.361 0.764 20 0 DCADLN CC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC001589120919 985389089 /nfs/dbraw/zinc/38/90/89/985389089.db2.gz DSEOGWFRHOPGDU-CYBMUJFWSA-N 0 2 305.334 0.534 20 0 DCADLN CC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC001589120919 985389101 /nfs/dbraw/zinc/38/91/01/985389101.db2.gz DSEOGWFRHOPGDU-CYBMUJFWSA-N 0 2 305.334 0.534 20 0 DCADLN CC(C)CC(=O)N(C)C[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001384168093 985408088 /nfs/dbraw/zinc/40/80/88/985408088.db2.gz CJEKRVFNFRBDRQ-WCBMZHEXSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)CC(=O)N(C)C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001384168093 985408090 /nfs/dbraw/zinc/40/80/90/985408090.db2.gz CJEKRVFNFRBDRQ-WCBMZHEXSA-N 0 2 316.295 0.868 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[NH+]2CCC(O)(CO)CC2)c(C)c1C(=O)[O-] ZINC001599944319 985498160 /nfs/dbraw/zinc/49/81/60/985498160.db2.gz IILHVLSQMYIQQZ-NSHDSACASA-N 0 2 324.377 0.720 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001599954180 985658692 /nfs/dbraw/zinc/65/86/92/985658692.db2.gz BLFHORHNBJASBB-LBPRGKRZSA-N 0 2 321.377 0.876 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@H+](C)[C@H]1CCN(C2CCOCC2)C1=O ZINC001596878918 985745330 /nfs/dbraw/zinc/74/53/30/985745330.db2.gz WTFBTJWSCYJPBC-QWHCGFSZSA-N 0 2 314.382 0.188 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@@H+](C)[C@H]1CCN(C2CCOCC2)C1=O ZINC001596878918 985745341 /nfs/dbraw/zinc/74/53/41/985745341.db2.gz WTFBTJWSCYJPBC-QWHCGFSZSA-N 0 2 314.382 0.188 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(N)=O ZINC001599959352 985751411 /nfs/dbraw/zinc/75/14/11/985751411.db2.gz MMHJNTURFANIHV-BHDSKKPTSA-N 0 2 319.361 0.790 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(N)=O ZINC001599959352 985751417 /nfs/dbraw/zinc/75/14/17/985751417.db2.gz MMHJNTURFANIHV-BHDSKKPTSA-N 0 2 319.361 0.790 20 0 DCADLN Cc1[nH]c(C[N@@H+]2CCC[C@@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001599962252 985807699 /nfs/dbraw/zinc/80/76/99/985807699.db2.gz FDFLJNRWYYJEBW-SNVBAGLBSA-N 0 2 301.368 0.274 20 0 DCADLN Cc1[nH]c(C[N@H+]2CCC[C@@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001599962252 985807708 /nfs/dbraw/zinc/80/77/08/985807708.db2.gz FDFLJNRWYYJEBW-SNVBAGLBSA-N 0 2 301.368 0.274 20 0 DCADLN CC(=O)c1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1O ZINC001589276472 985990246 /nfs/dbraw/zinc/99/02/46/985990246.db2.gz WRAKQCSQBQEKFJ-LBPRGKRZSA-N 0 2 317.301 0.675 20 0 DCADLN C[C@H]1CN(CCC(=O)[O-])CC[N@@H+]1CCC(=O)Nc1cccnc1 ZINC001594522737 986013130 /nfs/dbraw/zinc/01/31/30/986013130.db2.gz VXPMGDAWSLJTNW-ZDUSSCGKSA-N 0 2 320.393 0.891 20 0 DCADLN C[C@H]1CN(CCC(=O)[O-])CC[N@H+]1CCC(=O)Nc1cccnc1 ZINC001594522737 986013144 /nfs/dbraw/zinc/01/31/44/986013144.db2.gz VXPMGDAWSLJTNW-ZDUSSCGKSA-N 0 2 320.393 0.891 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1cc(C(=O)[O-])ccn1 ZINC001594526608 986056650 /nfs/dbraw/zinc/05/66/50/986056650.db2.gz AOXQUGIAAOIUTO-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1cc(C(=O)[O-])ccn1 ZINC001594526608 986056663 /nfs/dbraw/zinc/05/66/63/986056663.db2.gz AOXQUGIAAOIUTO-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN CC[C@@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)NC(C)=O ZINC001531508222 1015185789 /nfs/dbraw/zinc/18/57/89/1015185789.db2.gz SKLJEYPJCDPBOU-NSHDSACASA-N 0 2 304.354 0.455 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CCC[C@@](C)(C(=O)[O-])C2)CCO1 ZINC001594528169 986094332 /nfs/dbraw/zinc/09/43/32/986094332.db2.gz RDMVTGRLHMNAQX-IUODEOHRSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CCC[C@@](C)(C(=O)[O-])C2)CCO1 ZINC001594528169 986094348 /nfs/dbraw/zinc/09/43/48/986094348.db2.gz RDMVTGRLHMNAQX-IUODEOHRSA-N 0 2 313.398 0.603 20 0 DCADLN Cc1c(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])sc2nccn12 ZINC001599981002 986162488 /nfs/dbraw/zinc/16/24/88/986162488.db2.gz QQLCEVWONBGOQM-SECBINFHSA-N 0 2 319.346 0.784 20 0 DCADLN COCCC(=O)N1CC[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385226205 986169035 /nfs/dbraw/zinc/16/90/35/986169035.db2.gz QWACPAXYPAZVIR-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N1CC[C@@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385226205 986169040 /nfs/dbraw/zinc/16/90/40/986169040.db2.gz QWACPAXYPAZVIR-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001385849869 986622745 /nfs/dbraw/zinc/62/27/45/986622745.db2.gz WVOSYCIKXYCYIW-GJMOJQLCSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385849869 986622766 /nfs/dbraw/zinc/62/27/66/986622766.db2.gz WVOSYCIKXYCYIW-GJMOJQLCSA-N 0 2 300.252 0.639 20 0 DCADLN C[C@H](C(=O)Nc1cccnc1Cl)[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001589443485 986789463 /nfs/dbraw/zinc/78/94/63/986789463.db2.gz BMNGHFDSPVBINK-AMIZOPFISA-N 0 2 313.741 0.583 20 0 DCADLN C[C@H](C(=O)Nc1cccnc1Cl)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001589443485 986789470 /nfs/dbraw/zinc/78/94/70/986789470.db2.gz BMNGHFDSPVBINK-AMIZOPFISA-N 0 2 313.741 0.583 20 0 DCADLN O=C(NCC1CN(C(=O)c2cccnn2)C1)C(F)C(F)(F)F ZINC001450629794 1015262877 /nfs/dbraw/zinc/26/28/77/1015262877.db2.gz WBZZGKAXSKCWAG-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2cccnn2)C1)[C@H](F)C(F)(F)F ZINC001450629794 1015262886 /nfs/dbraw/zinc/26/28/86/1015262886.db2.gz WBZZGKAXSKCWAG-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN C[C@@H](CNC(=O)Cc1cnoc1)NC(=O)C(F)C(F)(F)F ZINC001386435021 987147245 /nfs/dbraw/zinc/14/72/45/987147245.db2.gz VOLSHWNJXHXPEK-IMTBSYHQSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@@H](CNC(=O)Cc1cnoc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001386435021 987147248 /nfs/dbraw/zinc/14/72/48/987147248.db2.gz VOLSHWNJXHXPEK-IMTBSYHQSA-N 0 2 311.235 0.738 20 0 DCADLN CO[C@@H](C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001386931591 987511222 /nfs/dbraw/zinc/51/12/22/987511222.db2.gz ALDXDDIDEDFRNN-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@@H](C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001386931591 987511224 /nfs/dbraw/zinc/51/12/24/987511224.db2.gz ALDXDDIDEDFRNN-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001387255688 987734168 /nfs/dbraw/zinc/73/41/68/987734168.db2.gz XDTNMUKMDZSODT-VXNVDRBHSA-N 0 2 300.252 0.498 20 0 DCADLN COCC(=O)NC[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387255688 987734175 /nfs/dbraw/zinc/73/41/75/987734175.db2.gz XDTNMUKMDZSODT-VXNVDRBHSA-N 0 2 300.252 0.498 20 0 DCADLN CCc1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C)nn1 ZINC001597434084 987777677 /nfs/dbraw/zinc/77/76/77/987777677.db2.gz RRMHTCXXCTZFQP-GFCCVEGCSA-N 0 2 303.322 0.496 20 0 DCADLN CCc1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C)nn1 ZINC001597434084 987777683 /nfs/dbraw/zinc/77/76/83/987777683.db2.gz RRMHTCXXCTZFQP-GFCCVEGCSA-N 0 2 303.322 0.496 20 0 DCADLN CO[C@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001388644120 988467188 /nfs/dbraw/zinc/46/71/88/988467188.db2.gz FBLJGYJEIWGKIU-PWSUYJOCSA-N 0 2 311.386 0.214 20 0 DCADLN CC(C)[C@@H](CNC(=O)CC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001532699458 1015397916 /nfs/dbraw/zinc/39/79/16/1015397916.db2.gz UNKBWYQJZQDSKG-HZGVNTEJSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@@H](CNC(=O)CC(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001532699458 1015397928 /nfs/dbraw/zinc/39/79/28/1015397928.db2.gz UNKBWYQJZQDSKG-HZGVNTEJSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@@H](CNC(=O)CC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001532699461 1015398033 /nfs/dbraw/zinc/39/80/33/1015398033.db2.gz UNKBWYQJZQDSKG-MUWHJKNJSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@@H](CNC(=O)CC(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001532699461 1015398044 /nfs/dbraw/zinc/39/80/44/1015398044.db2.gz UNKBWYQJZQDSKG-MUWHJKNJSA-N 0 2 315.267 0.019 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)C2(COC)CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001388771371 988574776 /nfs/dbraw/zinc/57/47/76/988574776.db2.gz KDMHBAWXYRQYNW-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)C2(COC)CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001388771371 988574781 /nfs/dbraw/zinc/57/47/81/988574781.db2.gz KDMHBAWXYRQYNW-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN O=C([O-])[C@]12COC[C@@H]1CN(C(=O)Nc1ccn3cc[nH+]c3c1)C2 ZINC001552375194 1015411317 /nfs/dbraw/zinc/41/13/17/1015411317.db2.gz DMJJNOIHEMABDL-ZUZCIYMTSA-N 0 2 316.317 0.899 20 0 DCADLN CCc1nnc([C@H](C)[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)o1 ZINC001597724714 989022697 /nfs/dbraw/zinc/02/26/97/989022697.db2.gz UZLVVNADGSZPIQ-IUCAKERBSA-N 0 2 306.326 0.930 20 0 DCADLN CCc1nnc([C@H](C)[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)o1 ZINC001597724714 989022704 /nfs/dbraw/zinc/02/27/04/989022704.db2.gz UZLVVNADGSZPIQ-IUCAKERBSA-N 0 2 306.326 0.930 20 0 DCADLN C[C@@H](CNC(=O)CCCC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001389382089 989182252 /nfs/dbraw/zinc/18/22/52/989182252.db2.gz NTJPNCWTZDLFMT-RCOVLWMOSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CNC(=O)CCCC(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001389382089 989182257 /nfs/dbraw/zinc/18/22/57/989182257.db2.gz NTJPNCWTZDLFMT-RCOVLWMOSA-N 0 2 315.267 0.163 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCCC[C@H]1N1CCCC1=O ZINC001552546841 1015457720 /nfs/dbraw/zinc/45/77/20/1015457720.db2.gz UEPYYOFISDUHRH-NXEZZACHSA-N 0 2 307.354 0.308 20 0 DCADLN Cn1cc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001389452026 989269079 /nfs/dbraw/zinc/26/90/79/989269079.db2.gz AAMSIGUATWZBJC-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cc(CN2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001389452026 989269087 /nfs/dbraw/zinc/26/90/87/989269087.db2.gz AAMSIGUATWZBJC-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)NC[C@@H]1CC[N@@H+]1C ZINC001597785161 989300101 /nfs/dbraw/zinc/30/01/01/989300101.db2.gz YLXPGEYFHXVKDB-QMMMGPOBSA-N 0 2 302.352 0.523 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)NC[C@@H]1CC[N@H+]1C ZINC001597785161 989300113 /nfs/dbraw/zinc/30/01/13/989300113.db2.gz YLXPGEYFHXVKDB-QMMMGPOBSA-N 0 2 302.352 0.523 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C1=CCOCC1)C(F)C(F)(F)F ZINC001389659572 989470536 /nfs/dbraw/zinc/47/05/36/989470536.db2.gz FJTPXNIDLBMPEO-VHSXEESVSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C1=CCOCC1)[C@@H](F)C(F)(F)F ZINC001389659572 989470541 /nfs/dbraw/zinc/47/05/41/989470541.db2.gz FJTPXNIDLBMPEO-VHSXEESVSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H]2CCCO2)CC1)C(F)C(F)(F)F ZINC001389869635 989630523 /nfs/dbraw/zinc/63/05/23/989630523.db2.gz NZVIVCQZUFQHGI-JGVFFNPUSA-N 0 2 312.263 0.831 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H]2CCCO2)CC1)[C@@H](F)C(F)(F)F ZINC001389869635 989630530 /nfs/dbraw/zinc/63/05/30/989630530.db2.gz NZVIVCQZUFQHGI-JGVFFNPUSA-N 0 2 312.263 0.831 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ccnnc1 ZINC001389876151 989655105 /nfs/dbraw/zinc/65/51/05/989655105.db2.gz ZYDAPJJKAQJTNU-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1ccnnc1 ZINC001389876151 989655108 /nfs/dbraw/zinc/65/51/08/989655108.db2.gz ZYDAPJJKAQJTNU-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC[C@@H](Cc2ccccc2)O1 ZINC001552716176 1015506903 /nfs/dbraw/zinc/50/69/03/1015506903.db2.gz VZZFMUFLWLVVGZ-NWDGAFQWSA-N 0 2 302.334 0.917 20 0 DCADLN CCn1nc(C)c(C[NH2+]C/C=C/CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001597938297 989911293 /nfs/dbraw/zinc/91/12/93/989911293.db2.gz PBCVLSMRQQNUJL-RVAOTSRCSA-N 0 2 321.381 0.089 20 0 DCADLN C[N@H+](CCNC(=O)CCc1nccs1)Cc1n[nH]c(=O)[n-]1 ZINC001390866964 990447975 /nfs/dbraw/zinc/44/79/75/990447975.db2.gz VHQYIXHSJQZGLH-UHFFFAOYSA-N 0 2 310.383 0.148 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1nccs1)Cc1n[nH]c(=O)[n-]1 ZINC001390866964 990447978 /nfs/dbraw/zinc/44/79/78/990447978.db2.gz VHQYIXHSJQZGLH-UHFFFAOYSA-N 0 2 310.383 0.148 20 0 DCADLN CC(C)(CNC(=O)NCC(C)(C)[NH+]1CCOCC1)CC(=O)[O-] ZINC001590722518 990497730 /nfs/dbraw/zinc/49/77/30/990497730.db2.gz ODUAHPPCJQJXSK-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN CC(C)(CNS(=O)(=O)c1occc1C(=O)[O-])n1cc[nH+]c1 ZINC001590774356 990577980 /nfs/dbraw/zinc/57/79/80/990577980.db2.gz SGYUXYLVLFWFFR-UHFFFAOYSA-N 0 2 313.335 0.888 20 0 DCADLN CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001598139503 990596819 /nfs/dbraw/zinc/59/68/19/990596819.db2.gz QDKMHIKIDJOJQH-SNVBAGLBSA-N 0 2 307.354 0.410 20 0 DCADLN CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001598139503 990596824 /nfs/dbraw/zinc/59/68/24/990596824.db2.gz QDKMHIKIDJOJQH-SNVBAGLBSA-N 0 2 307.354 0.410 20 0 DCADLN CCOCC(=O)NC[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001391163372 990633414 /nfs/dbraw/zinc/63/34/14/990633414.db2.gz OQYBSQGCSPHIKC-CBAPKCEASA-N 0 2 302.268 0.792 20 0 DCADLN CCOCC(=O)NC[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001391163372 990633419 /nfs/dbraw/zinc/63/34/19/990633419.db2.gz OQYBSQGCSPHIKC-CBAPKCEASA-N 0 2 302.268 0.792 20 0 DCADLN CC[NH+]1CCCC[C@H]1C(=O)NCC[N@H+]([C@@H](C)C(=O)NC)C1CC1 ZINC001391194573 990657495 /nfs/dbraw/zinc/65/74/95/990657495.db2.gz BAGMXUFYLNCCBW-ZFWWWQNUSA-N 0 2 324.469 0.576 20 0 DCADLN Cn1cc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001391480762 990880411 /nfs/dbraw/zinc/88/04/11/990880411.db2.gz LYUINVGQFHEDPB-SECBINFHSA-N 0 2 309.267 0.264 20 0 DCADLN Cn1cc(CN2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001391480762 990880420 /nfs/dbraw/zinc/88/04/20/990880420.db2.gz LYUINVGQFHEDPB-SECBINFHSA-N 0 2 309.267 0.264 20 0 DCADLN CC1(C)CO[C@H](CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001391498062 990895708 /nfs/dbraw/zinc/89/57/08/990895708.db2.gz KJFLIUVELGQJJG-LLVKDONJSA-N 0 2 323.397 0.264 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+]1CC(=O)NC[C@@H]1c1ccccc1 ZINC001598405215 991716948 /nfs/dbraw/zinc/71/69/48/991716948.db2.gz RYESWORQBFHIPI-CYBMUJFWSA-N 0 2 319.361 0.093 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+]1CC(=O)NC[C@@H]1c1ccccc1 ZINC001598405215 991716956 /nfs/dbraw/zinc/71/69/56/991716956.db2.gz RYESWORQBFHIPI-CYBMUJFWSA-N 0 2 319.361 0.093 20 0 DCADLN CCN(CC[NH2+][C@@H]1CCCNC1=O)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001392451551 991946523 /nfs/dbraw/zinc/94/65/23/991946523.db2.gz AZOWRSWTJUPQBQ-ZIAGYGMSSA-N 0 2 321.425 0.551 20 0 DCADLN CN(CCC[N@H+](C)Cc1cnns1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001598476912 992093983 /nfs/dbraw/zinc/09/39/83/992093983.db2.gz AZSXPTGRHICKAX-WDEREUQCSA-N 0 2 312.395 0.539 20 0 DCADLN CN(CCC[N@@H+](C)Cc1cnns1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001598476912 992093990 /nfs/dbraw/zinc/09/39/90/992093990.db2.gz AZSXPTGRHICKAX-WDEREUQCSA-N 0 2 312.395 0.539 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)NC(=O)CCc2cnn[nH]2)c1C ZINC001392613700 992160971 /nfs/dbraw/zinc/16/09/71/992160971.db2.gz SHGARFQBLYXQLE-MRVPVSSYSA-N 0 2 319.369 0.012 20 0 DCADLN CCc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001392635947 992184292 /nfs/dbraw/zinc/18/42/92/992184292.db2.gz NCIIWIAZCXYQOJ-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001392635947 992184296 /nfs/dbraw/zinc/18/42/96/992184296.db2.gz NCIIWIAZCXYQOJ-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)c1n[nH]cc1C(=O)[O-] ZINC001598485589 992201585 /nfs/dbraw/zinc/20/15/85/992201585.db2.gz QEATVKYLRNQAMK-UHFFFAOYSA-N 0 2 313.339 0.015 20 0 DCADLN C[C@H](CN(C)Cc1nnn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001392650144 992204048 /nfs/dbraw/zinc/20/40/48/992204048.db2.gz XCNCAWGLFGTNMU-HTRCEHHLSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@H](CN(C)Cc1nnn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001392650144 992204062 /nfs/dbraw/zinc/20/40/62/992204062.db2.gz XCNCAWGLFGTNMU-HTRCEHHLSA-N 0 2 312.271 0.047 20 0 DCADLN COCC1(CC(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001392653988 992212212 /nfs/dbraw/zinc/21/22/12/992212212.db2.gz HPEFDKOTSSAHTM-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COCC1(CC(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001392653988 992212223 /nfs/dbraw/zinc/21/22/23/992212223.db2.gz HPEFDKOTSSAHTM-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@@H](C(=O)NCC1([NH2+]Cc2nnc(C)s2)CC1)[NH+](C)C ZINC001392938108 992562538 /nfs/dbraw/zinc/56/25/38/992562538.db2.gz SQECAYLPDSORMZ-NSHDSACASA-N 0 2 311.455 0.925 20 0 DCADLN C[C@@]1(NC(=O)CCc2ccco2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393098135 992678737 /nfs/dbraw/zinc/67/87/37/992678737.db2.gz RFBRQWSOVRCSPC-OAHLLOKOSA-N 0 2 319.365 0.817 20 0 DCADLN C[C@@]1(NC(=O)CCc2ccco2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393098135 992678741 /nfs/dbraw/zinc/67/87/41/992678741.db2.gz RFBRQWSOVRCSPC-OAHLLOKOSA-N 0 2 319.365 0.817 20 0 DCADLN Cc1ncc(CC(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001393111878 992691177 /nfs/dbraw/zinc/69/11/77/992691177.db2.gz QTWZEBBWGFIYDV-AWEZNQCLSA-N 0 2 320.353 0.130 20 0 DCADLN Cc1ncc(CC(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001393111878 992691179 /nfs/dbraw/zinc/69/11/79/992691179.db2.gz QTWZEBBWGFIYDV-AWEZNQCLSA-N 0 2 320.353 0.130 20 0 DCADLN CC(C)[N@H+](CCS(C)(=O)=O)Cc1cc(C(=O)[O-])n(C)c1 ZINC001591595556 992820416 /nfs/dbraw/zinc/82/04/16/992820416.db2.gz UJAKQIDEOOLEJP-UHFFFAOYSA-N 0 2 302.396 0.978 20 0 DCADLN CC(C)[N@@H+](CCS(C)(=O)=O)Cc1cc(C(=O)[O-])n(C)c1 ZINC001591595556 992820422 /nfs/dbraw/zinc/82/04/22/992820422.db2.gz UJAKQIDEOOLEJP-UHFFFAOYSA-N 0 2 302.396 0.978 20 0 DCADLN O=C(CC1CCC1)N[C@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001393424259 992971313 /nfs/dbraw/zinc/97/13/13/992971313.db2.gz CJJBYEVBXJZACA-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cn[nH]c1 ZINC001393679680 993174165 /nfs/dbraw/zinc/17/41/65/993174165.db2.gz UPIBGQJNHUDRPB-ZETCQYMHSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1cn[nH]c1 ZINC001393679680 993174169 /nfs/dbraw/zinc/17/41/69/993174169.db2.gz UPIBGQJNHUDRPB-ZETCQYMHSA-N 0 2 308.235 0.689 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2csc(-c3ncc[nH]3)n2)C[C@@H]1C(=O)[O-] ZINC001598571977 993211311 /nfs/dbraw/zinc/21/13/11/993211311.db2.gz CDIHMZKLJYJUMY-IONNQARKSA-N 0 2 322.346 0.847 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2csc(-c3ncc[nH]3)n2)C[C@@H]1C(=O)[O-] ZINC001598571977 993211315 /nfs/dbraw/zinc/21/13/15/993211315.db2.gz CDIHMZKLJYJUMY-IONNQARKSA-N 0 2 322.346 0.847 20 0 DCADLN O=C(Cc1nc[nH]n1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001393854906 993297557 /nfs/dbraw/zinc/29/75/57/993297557.db2.gz VUXMBGDZOFRJNN-QMMMGPOBSA-N 0 2 323.250 0.013 20 0 DCADLN CNC(=O)[C@@H](C)[N@@H+](C)C[C@H]1CCCN1C(=O)Cn1cncc1C ZINC001393880845 993319003 /nfs/dbraw/zinc/31/90/03/993319003.db2.gz SJZROBOOEWFCSW-ZIAGYGMSSA-N 0 2 321.425 0.249 20 0 DCADLN C[C@@H](CNC(=O)CCc1nccs1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394140597 993509629 /nfs/dbraw/zinc/50/96/29/993509629.db2.gz MPWWZCIXPJVMAQ-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN C[C@@H](CNC(=O)CCc1nccs1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394140597 993509635 /nfs/dbraw/zinc/50/96/35/993509635.db2.gz MPWWZCIXPJVMAQ-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN C[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1cccc(C(=O)[O-])n1 ZINC001598597648 993529114 /nfs/dbraw/zinc/52/91/14/993529114.db2.gz AUPLYPGYHSGBKW-NSHDSACASA-N 0 2 321.333 0.746 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1cccc(C(=O)[O-])n1 ZINC001598597648 993529117 /nfs/dbraw/zinc/52/91/17/993529117.db2.gz AUPLYPGYHSGBKW-NSHDSACASA-N 0 2 321.333 0.746 20 0 DCADLN CCOc1ncccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399651247 993637964 /nfs/dbraw/zinc/63/79/64/993637964.db2.gz BVKPUUGYMWVNFD-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CCOc1ncccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399651247 993637968 /nfs/dbraw/zinc/63/79/68/993637968.db2.gz BVKPUUGYMWVNFD-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(F)s1)Cc1n[nH]c(=O)[n-]1 ZINC001399805667 993792731 /nfs/dbraw/zinc/79/27/31/993792731.db2.gz BNTDYALHNBSADX-UHFFFAOYSA-N 0 2 313.358 0.963 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(F)s1)Cc1n[nH]c(=O)[n-]1 ZINC001399805667 993792720 /nfs/dbraw/zinc/79/27/20/993792720.db2.gz BNTDYALHNBSADX-UHFFFAOYSA-N 0 2 313.358 0.963 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccccc2CCC(=O)[O-])C[C@@H]1CO ZINC001598612884 993814752 /nfs/dbraw/zinc/81/47/52/993814752.db2.gz CEAOACYFFFAGKX-CYBMUJFWSA-N 0 2 306.362 0.452 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccccc2CCC(=O)[O-])C[C@@H]1CO ZINC001598612884 993814757 /nfs/dbraw/zinc/81/47/57/993814757.db2.gz CEAOACYFFFAGKX-CYBMUJFWSA-N 0 2 306.362 0.452 20 0 DCADLN O=C(NC[C@@H](CO)NCc1nncs1)C(F)C(F)(F)F ZINC001394590291 993879322 /nfs/dbraw/zinc/87/93/22/993879322.db2.gz MLWFCCRSKLCKNL-FSPLSTOPSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@@H](CO)NCc1nncs1)[C@H](F)C(F)(F)F ZINC001394590291 993879330 /nfs/dbraw/zinc/87/93/30/993879330.db2.gz MLWFCCRSKLCKNL-FSPLSTOPSA-N 0 2 316.280 0.005 20 0 DCADLN C[C@@H](NC(=O)c1ccc(C(=O)[O-])n1C)[C@@H](C)[NH+]1CCOCC1 ZINC001593159577 993985885 /nfs/dbraw/zinc/98/58/85/993985885.db2.gz IJPOXCXDGLFGGS-GHMZBOCLSA-N 0 2 309.366 0.562 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@@](C)(CC(=O)[O-])c2ccncc2)C1 ZINC001598626023 994095074 /nfs/dbraw/zinc/09/50/74/994095074.db2.gz RABPATPCFXZIQC-DOMZBBRYSA-N 0 2 307.350 0.218 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N[C@@](C)(CC(=O)[O-])c2ccncc2)C1 ZINC001598626023 994095086 /nfs/dbraw/zinc/09/50/86/994095086.db2.gz RABPATPCFXZIQC-DOMZBBRYSA-N 0 2 307.350 0.218 20 0 DCADLN CC(C)OCC(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001394796633 994164680 /nfs/dbraw/zinc/16/46/80/994164680.db2.gz DTPYJZDHGSHDKN-UHFFFAOYSA-N 0 2 313.402 0.604 20 0 DCADLN CC(C)OCC(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001394796633 994164684 /nfs/dbraw/zinc/16/46/84/994164684.db2.gz DTPYJZDHGSHDKN-UHFFFAOYSA-N 0 2 313.402 0.604 20 0 DCADLN COCCN(CCNC(=O)c1[nH]ccc1C)Cc1n[nH]c(=O)[nH]1 ZINC001394828364 994190486 /nfs/dbraw/zinc/19/04/86/994190486.db2.gz JONACMVGGRABHH-UHFFFAOYSA-N 0 2 322.369 0.025 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001395591584 994787488 /nfs/dbraw/zinc/78/74/88/994787488.db2.gz QRAPIQZSBLEDAW-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN CC(F)(F)CC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395737641 994951956 /nfs/dbraw/zinc/95/19/56/994951956.db2.gz CEHWZXKLNRLCKD-VDTYLAMSSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001395737641 994951968 /nfs/dbraw/zinc/95/19/68/994951968.db2.gz CEHWZXKLNRLCKD-VDTYLAMSSA-N 0 2 324.221 0.525 20 0 DCADLN CO[C@H](CS(=O)(=O)NCc1n[nH]c(=O)n1C1CC1)C1CC1 ZINC001464407155 1015905843 /nfs/dbraw/zinc/90/58/43/1015905843.db2.gz DCHSKKIDGLDJDW-SNVBAGLBSA-N 0 2 316.383 0.163 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc(C(=O)[O-])s1 ZINC001598701339 995226744 /nfs/dbraw/zinc/22/67/44/995226744.db2.gz FNYPZXAXVKNPLO-QMMMGPOBSA-N 0 2 323.330 0.614 20 0 DCADLN C[C@@H](CNC(=O)Cn1cc[nH+]c1)NC(=O)C[N@@H+]1CCC(C)(C)C1 ZINC001397493324 996029342 /nfs/dbraw/zinc/02/93/42/996029342.db2.gz WLJRODINPPGBJQ-ZDUSSCGKSA-N 0 2 321.425 0.236 20 0 DCADLN Cn1nnc(CN[C@H]2C[C@H](CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001397651809 996117888 /nfs/dbraw/zinc/11/78/88/996117888.db2.gz ZBFPLWFVXWRHAG-ZKWXMUAHSA-N 0 2 324.282 0.095 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccncn1 ZINC001397941341 996270055 /nfs/dbraw/zinc/27/00/55/996270055.db2.gz OASBDWJQENAOKX-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccncn1 ZINC001397941341 996270065 /nfs/dbraw/zinc/27/00/65/996270065.db2.gz OASBDWJQENAOKX-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN C[C@@H](c1ncc(C(=O)[O-])s1)[N@@H+]1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001593731212 996300908 /nfs/dbraw/zinc/30/09/08/996300908.db2.gz DKGDFWOFBGZUPQ-CPFSXVBKSA-N 0 2 324.362 0.576 20 0 DCADLN C[C@@H](c1ncc(C(=O)[O-])s1)[N@H+]1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001593731212 996300915 /nfs/dbraw/zinc/30/09/15/996300915.db2.gz DKGDFWOFBGZUPQ-CPFSXVBKSA-N 0 2 324.362 0.576 20 0 DCADLN CN(CCNC(=O)CCc1[nH+]ccn1C)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001397997279 996302623 /nfs/dbraw/zinc/30/26/23/996302623.db2.gz HUQGKSXEJVXLFE-ZDUSSCGKSA-N 0 2 321.425 0.022 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2nc(C3CCC3)no2)C[C@H]1C(=O)[O-] ZINC001598826601 996389322 /nfs/dbraw/zinc/38/93/22/996389322.db2.gz NRQJQDRLPFJZEL-ZJUUUORDSA-N 0 2 309.322 0.643 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2nc(C3CCC3)no2)C[C@H]1C(=O)[O-] ZINC001598826601 996389317 /nfs/dbraw/zinc/38/93/17/996389317.db2.gz NRQJQDRLPFJZEL-ZJUUUORDSA-N 0 2 309.322 0.643 20 0 DCADLN CCn1ccc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001398606853 996601148 /nfs/dbraw/zinc/60/11/48/996601148.db2.gz PRUIYXDJAXGVJE-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1ccc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001398606853 996601151 /nfs/dbraw/zinc/60/11/51/996601151.db2.gz PRUIYXDJAXGVJE-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN COCc1ncsc1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001599305228 997301102 /nfs/dbraw/zinc/30/11/02/997301102.db2.gz KEXBTDSKGSXUCZ-MRVPVSSYSA-N 0 2 310.335 0.369 20 0 DCADLN COC(=O)[C@@]1([NH2+]CCC(=O)N(C)CC(=O)[O-])CC[C@@H](C)CC1 ZINC001598908829 997321061 /nfs/dbraw/zinc/32/10/61/997321061.db2.gz ZKNLLBHSJFBZQC-FUKZOUPISA-N 0 2 314.382 0.631 20 0 DCADLN COC[C@H]1CC[N@@H+]1CN1C[C@H](c2ccccc2)[C@@H](C(=O)[O-])C1=O ZINC001599207556 997437237 /nfs/dbraw/zinc/43/72/37/997437237.db2.gz XVUMUDZFXWPAER-RBSFLKMASA-N 0 2 318.373 0.991 20 0 DCADLN COC[C@H]1CC[N@H+]1CN1C[C@H](c2ccccc2)[C@@H](C(=O)[O-])C1=O ZINC001599207556 997437243 /nfs/dbraw/zinc/43/72/43/997437243.db2.gz XVUMUDZFXWPAER-RBSFLKMASA-N 0 2 318.373 0.991 20 0 DCADLN CCN1CC[C@H](N2CC(NC(=O)C(F)C(F)(F)F)C2)C1=O ZINC001404767074 997445136 /nfs/dbraw/zinc/44/51/36/997445136.db2.gz KEJGDZUKXDNXID-IUCAKERBSA-N 0 2 311.279 0.308 20 0 DCADLN CCN1CC[C@H](N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)C1=O ZINC001404767074 997445145 /nfs/dbraw/zinc/44/51/45/997445145.db2.gz KEJGDZUKXDNXID-IUCAKERBSA-N 0 2 311.279 0.308 20 0 DCADLN COCCC(=O)NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001404836513 997510172 /nfs/dbraw/zinc/51/01/72/997510172.db2.gz KRRJEJFYQUSFGV-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404836513 997510185 /nfs/dbraw/zinc/51/01/85/997510185.db2.gz KRRJEJFYQUSFGV-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN COc1c(O)cccc1C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001599345289 997993778 /nfs/dbraw/zinc/99/37/78/997993778.db2.gz UHURITNFUADKPV-NSHDSACASA-N 0 2 308.334 0.566 20 0 DCADLN COc1c(O)cccc1C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001599345289 997993787 /nfs/dbraw/zinc/99/37/87/997993787.db2.gz UHURITNFUADKPV-NSHDSACASA-N 0 2 308.334 0.566 20 0 DCADLN CCC(C)(C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405291772 998069322 /nfs/dbraw/zinc/06/93/22/998069322.db2.gz NDTKITNJUUTIMZ-YUMQZZPRSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001405291772 998069330 /nfs/dbraw/zinc/06/93/30/998069330.db2.gz NDTKITNJUUTIMZ-YUMQZZPRSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001467623184 1016188283 /nfs/dbraw/zinc/18/82/83/1016188283.db2.gz UIHHJBLDRAMGDM-UHFFFAOYSA-N 0 2 303.388 0.195 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])s1 ZINC001598958851 998295760 /nfs/dbraw/zinc/29/57/60/998295760.db2.gz GTYCDMQBMVHOHF-MRVPVSSYSA-N 0 2 323.330 0.614 20 0 DCADLN COC(=O)c1ccc(C(=O)O[C@@H]2C[N@H+](C)[C@@](C)(C(=O)[O-])C2)o1 ZINC001598967808 998456266 /nfs/dbraw/zinc/45/62/66/998456266.db2.gz NJHUBKCTQGHQMO-RMLUDKJBSA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1ccc(C(=O)O[C@@H]2C[N@@H+](C)[C@@](C)(C(=O)[O-])C2)o1 ZINC001598967808 998456273 /nfs/dbraw/zinc/45/62/73/998456273.db2.gz NJHUBKCTQGHQMO-RMLUDKJBSA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1cnc(C[N@H+]2C[C@H](OC)C[C@]2(C)C(=O)[O-])cn1 ZINC001599007691 998869681 /nfs/dbraw/zinc/86/96/81/998869681.db2.gz WCQGKLKMODBQCZ-QMTHXVAHSA-N 0 2 309.322 0.327 20 0 DCADLN COC(=O)c1cnc(C[N@@H+]2C[C@H](OC)C[C@]2(C)C(=O)[O-])cn1 ZINC001599007691 998869686 /nfs/dbraw/zinc/86/96/86/998869686.db2.gz WCQGKLKMODBQCZ-QMTHXVAHSA-N 0 2 309.322 0.327 20 0 DCADLN COC(=O)c1cnoc1C[N@H+](CCC(=O)[O-])C[C@@H]1CCCO1 ZINC001599012513 998910904 /nfs/dbraw/zinc/91/09/04/998910904.db2.gz HNUSLKAIMAMCHM-JTQLQIEISA-N 0 2 312.322 0.917 20 0 DCADLN COC(=O)c1cnoc1C[N@@H+](CCC(=O)[O-])C[C@@H]1CCCO1 ZINC001599012513 998910913 /nfs/dbraw/zinc/91/09/13/998910913.db2.gz HNUSLKAIMAMCHM-JTQLQIEISA-N 0 2 312.322 0.917 20 0 DCADLN C[C@@H]1CCC[N@H+](CCNS(=O)(=O)c2cc(C(=O)[O-])no2)C1 ZINC001594382803 999444479 /nfs/dbraw/zinc/44/44/79/999444479.db2.gz DITNGFRFLJQCAT-SECBINFHSA-N 0 2 317.367 0.383 20 0 DCADLN C[C@@H]1CCC[N@@H+](CCNS(=O)(=O)c2cc(C(=O)[O-])no2)C1 ZINC001594382803 999444483 /nfs/dbraw/zinc/44/44/83/999444483.db2.gz DITNGFRFLJQCAT-SECBINFHSA-N 0 2 317.367 0.383 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC001469341438 1016329787 /nfs/dbraw/zinc/32/97/87/1016329787.db2.gz HDAWHAAYZWZYEN-NSHDSACASA-N 0 2 307.354 0.933 20 0 DCADLN C[C@H]1CCn2ncc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])c2C1 ZINC001594452181 999652006 /nfs/dbraw/zinc/65/20/06/999652006.db2.gz LXGOMSFHEQAZIQ-JQWIXIFHSA-N 0 2 317.349 0.545 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@@H+](C)CCc2ccnn2C)c1[O-] ZINC001418455945 1000240111 /nfs/dbraw/zinc/24/01/11/1000240111.db2.gz YZSSOJMPPCCERD-UHFFFAOYSA-N 0 2 306.370 0.062 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@H+](C)CCc2ccnn2C)c1[O-] ZINC001418455945 1000240115 /nfs/dbraw/zinc/24/01/15/1000240115.db2.gz YZSSOJMPPCCERD-UHFFFAOYSA-N 0 2 306.370 0.062 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[N@@H+](C)CCc1cnn(C)c1 ZINC001418516013 1000305154 /nfs/dbraw/zinc/30/51/54/1000305154.db2.gz HFFRFQFQVLHKQJ-UHFFFAOYSA-N 0 2 304.398 0.285 20 0 DCADLN C[N@H+](CCNC(=O)c1cc(F)cc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001418519560 1000308700 /nfs/dbraw/zinc/30/87/00/1000308700.db2.gz KOKTUOJULSYFHQ-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc(F)cc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001418519560 1000308706 /nfs/dbraw/zinc/30/87/06/1000308706.db2.gz KOKTUOJULSYFHQ-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1cscn1 ZINC001418596817 1000376946 /nfs/dbraw/zinc/37/69/46/1000376946.db2.gz KZQQFWSBHOMQSS-SNVBAGLBSA-N 0 2 322.394 0.242 20 0 DCADLN O=C(COC1CCCC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418614485 1000393735 /nfs/dbraw/zinc/39/37/35/1000393735.db2.gz SDNCJSHCNVIDAS-LLVKDONJSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(COC1CCCC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418614485 1000393740 /nfs/dbraw/zinc/39/37/40/1000393740.db2.gz SDNCJSHCNVIDAS-LLVKDONJSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C[NH2+]Cc2nc(C3CC3)no2)c1[O-] ZINC001401616946 1000552407 /nfs/dbraw/zinc/55/24/07/1000552407.db2.gz UQVQJEFZIFBKAS-SSDOTTSWSA-N 0 2 320.353 0.592 20 0 DCADLN CCO[C@@H](C)C(=O)N(CC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419139838 1000810261 /nfs/dbraw/zinc/81/02/61/1000810261.db2.gz SVILLGQHUVRCMH-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN CCO[C@@H](C)C(=O)N(CC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419139838 1000810269 /nfs/dbraw/zinc/81/02/69/1000810269.db2.gz SVILLGQHUVRCMH-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402172425 1001083757 /nfs/dbraw/zinc/08/37/57/1001083757.db2.gz FBPJSYNGSICGIN-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001403141377 1001692792 /nfs/dbraw/zinc/69/27/92/1001692792.db2.gz UZZUFYPZXVUMCV-WDEREUQCSA-N 0 2 306.370 0.510 20 0 DCADLN C[C@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001403141377 1001692794 /nfs/dbraw/zinc/69/27/94/1001692794.db2.gz UZZUFYPZXVUMCV-WDEREUQCSA-N 0 2 306.370 0.510 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001419971694 1001735942 /nfs/dbraw/zinc/73/59/42/1001735942.db2.gz FQTFUGRPMMJLOU-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001419971694 1001735949 /nfs/dbraw/zinc/73/59/49/1001735949.db2.gz FQTFUGRPMMJLOU-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN Cc1cccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001424895667 1002125609 /nfs/dbraw/zinc/12/56/09/1002125609.db2.gz CBLUETYXYSTCHK-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001424895667 1002125614 /nfs/dbraw/zinc/12/56/14/1002125614.db2.gz CBLUETYXYSTCHK-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN C[C@H](CNC(=O)c1ccncc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424947020 1002175289 /nfs/dbraw/zinc/17/52/89/1002175289.db2.gz ZIKQSKXFWIZIPY-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](CNC(=O)c1ccncc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424947020 1002175294 /nfs/dbraw/zinc/17/52/94/1002175294.db2.gz ZIKQSKXFWIZIPY-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN CC(C)n1ccc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001403640179 1002251969 /nfs/dbraw/zinc/25/19/69/1002251969.db2.gz YLQJUXOWQPTDRM-UHFFFAOYSA-N 0 2 321.385 0.078 20 0 DCADLN CC(C)n1ccc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001403640179 1002251979 /nfs/dbraw/zinc/25/19/79/1002251979.db2.gz YLQJUXOWQPTDRM-UHFFFAOYSA-N 0 2 321.385 0.078 20 0 DCADLN CC[N@H+](CCNC(=O)c1cccnc1OC)Cc1n[nH]c(=O)[n-]1 ZINC001420423741 1002399063 /nfs/dbraw/zinc/39/90/63/1002399063.db2.gz DOORSKWEJHUBMJ-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cccnc1OC)Cc1n[nH]c(=O)[n-]1 ZINC001420423741 1002399072 /nfs/dbraw/zinc/39/90/72/1002399072.db2.gz DOORSKWEJHUBMJ-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001425218552 1002449053 /nfs/dbraw/zinc/44/90/53/1002449053.db2.gz HEPPSWYRKJWRJN-XVKPBYJWSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001425218552 1002449067 /nfs/dbraw/zinc/44/90/67/1002449067.db2.gz HEPPSWYRKJWRJN-XVKPBYJWSA-N 0 2 323.294 0.652 20 0 DCADLN CC(C)c1nocc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420580977 1002646461 /nfs/dbraw/zinc/64/64/61/1002646461.db2.gz IKTDZUCROUQDJM-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1nocc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420580977 1002646463 /nfs/dbraw/zinc/64/64/63/1002646463.db2.gz IKTDZUCROUQDJM-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc2c([nH]1)CCC2 ZINC001420656895 1002759871 /nfs/dbraw/zinc/75/98/71/1002759871.db2.gz CKIWTIJJNUYQLA-VIFPVBQESA-N 0 2 318.381 0.577 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc2c([nH]1)CCC2 ZINC001420656895 1002759882 /nfs/dbraw/zinc/75/98/82/1002759882.db2.gz CKIWTIJJNUYQLA-VIFPVBQESA-N 0 2 318.381 0.577 20 0 DCADLN C[C@H](C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(=O)n(C)[nH]1)C(C)(F)F ZINC001425668688 1002944697 /nfs/dbraw/zinc/94/46/97/1002944697.db2.gz XLSJARVMMPFVFB-DTWKUNHWSA-N 0 2 319.356 0.336 20 0 DCADLN C[C@@H](CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001472082801 1016726298 /nfs/dbraw/zinc/72/62/98/1016726298.db2.gz UYXXDCAUJYCZBU-QMMMGPOBSA-N 0 2 300.384 0.402 20 0 DCADLN O=C(C[C@H]1CCCOC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420910485 1003119844 /nfs/dbraw/zinc/11/98/44/1003119844.db2.gz ZELIFMGYOYNGCK-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@H](CNC(=O)c1cnc(C2CC2)o1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505937811 1016740430 /nfs/dbraw/zinc/74/04/30/1016740430.db2.gz MNTRQTJBRGVASO-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](CNC(=O)c1cnc(C2CC2)o1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505937811 1016740432 /nfs/dbraw/zinc/74/04/32/1016740432.db2.gz MNTRQTJBRGVASO-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN O=C(CCCC(F)(F)F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421065989 1003285219 /nfs/dbraw/zinc/28/52/19/1003285219.db2.gz FLGCBJLHHWSSJC-UHFFFAOYSA-N 0 2 307.276 0.543 20 0 DCADLN COCCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)COC ZINC001426051573 1003377695 /nfs/dbraw/zinc/37/76/95/1003377695.db2.gz SNMAVJROUYFUMC-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN COCCN(CCNC(=O)C(F)C(F)(F)F)C(=O)COC ZINC001426051573 1003377689 /nfs/dbraw/zinc/37/76/89/1003377689.db2.gz SNMAVJROUYFUMC-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN C[C@H](NC(=O)c1cnc(C2CC2)nc1N)c1nn(C)cc1O ZINC001472236731 1016765096 /nfs/dbraw/zinc/76/50/96/1016765096.db2.gz MOPQKJYGIVGTFU-ZETCQYMHSA-N 0 2 302.338 0.866 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cscn1 ZINC001406143546 1003412941 /nfs/dbraw/zinc/41/29/41/1003412941.db2.gz WEFOVQPOASFYKB-UHFFFAOYSA-N 0 2 310.383 0.100 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cscn1 ZINC001406143546 1003412931 /nfs/dbraw/zinc/41/29/31/1003412931.db2.gz WEFOVQPOASFYKB-UHFFFAOYSA-N 0 2 310.383 0.100 20 0 DCADLN Cc1cn2nc(C(=O)NCCc3csc(O)n3)cc2c(=O)[nH]1 ZINC001472547707 1016821955 /nfs/dbraw/zinc/82/19/55/1016821955.db2.gz GSCYDNOXTSHQPJ-UHFFFAOYSA-N 0 2 319.346 0.466 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428204795 1003763606 /nfs/dbraw/zinc/76/36/06/1003763606.db2.gz WSJIGYBFFPSSQR-NRPADANISA-N 0 2 322.262 0.616 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001428204795 1003763612 /nfs/dbraw/zinc/76/36/12/1003763612.db2.gz WSJIGYBFFPSSQR-NRPADANISA-N 0 2 322.262 0.616 20 0 DCADLN O=C(Cc1nc[nH]n1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428224300 1003773274 /nfs/dbraw/zinc/77/32/74/1003773274.db2.gz WDJFTDRGABIMIF-HCVRKRLWSA-N 0 2 323.250 0.011 20 0 DCADLN Cc1cc(=O)c(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1C ZINC001472561961 1016826543 /nfs/dbraw/zinc/82/65/43/1016826543.db2.gz KSDOOMCPCXWRPR-SNVBAGLBSA-N 0 2 317.349 0.537 20 0 DCADLN Cc1cc(C)nc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001406632102 1003949538 /nfs/dbraw/zinc/94/95/38/1003949538.db2.gz BOQDSMHVXCJAAC-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cc(C)nc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001406632102 1003949547 /nfs/dbraw/zinc/94/95/47/1003949547.db2.gz BOQDSMHVXCJAAC-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN CCn1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001406651822 1003970171 /nfs/dbraw/zinc/97/01/71/1003970171.db2.gz SVULCZLJYIBMPR-JTQLQIEISA-N 0 2 320.397 0.890 20 0 DCADLN CCn1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001406651822 1003970178 /nfs/dbraw/zinc/97/01/78/1003970178.db2.gz SVULCZLJYIBMPR-JTQLQIEISA-N 0 2 320.397 0.890 20 0 DCADLN O=c1[nH]nc(CCCNc2c3c(nc4ncnn42)CCC3)[nH]1 ZINC001472706568 1016847025 /nfs/dbraw/zinc/84/70/25/1016847025.db2.gz MOSYLFZARUIFOQ-UHFFFAOYSA-N 0 2 300.326 0.481 20 0 DCADLN C[C@H](CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)n1cc[nH+]c1 ZINC001406887023 1004188328 /nfs/dbraw/zinc/18/83/28/1004188328.db2.gz ZWEOPKGUFWKMQV-GHMZBOCLSA-N 0 2 319.369 0.049 20 0 DCADLN COCC(=O)NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001427130763 1004223186 /nfs/dbraw/zinc/22/31/86/1004223186.db2.gz HJLXNLPJTDTCHG-MRTMQBJTSA-N 0 2 314.279 0.744 20 0 DCADLN COCC(=O)NC[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C ZINC001427130763 1004223196 /nfs/dbraw/zinc/22/31/96/1004223196.db2.gz HJLXNLPJTDTCHG-MRTMQBJTSA-N 0 2 314.279 0.744 20 0 DCADLN COCCC(=O)NCC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001428939463 1004281156 /nfs/dbraw/zinc/28/11/56/1004281156.db2.gz CQCUYSXHZQCUOP-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN COCCC(=O)NCC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001428939463 1004281162 /nfs/dbraw/zinc/28/11/62/1004281162.db2.gz CQCUYSXHZQCUOP-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN COCCC(=O)N(C)C[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001428976191 1004302259 /nfs/dbraw/zinc/30/22/59/1004302259.db2.gz VFUHBFGTLYCTON-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N(C)C[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001428976191 1004302267 /nfs/dbraw/zinc/30/22/67/1004302267.db2.gz VFUHBFGTLYCTON-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001427582154 1004474021 /nfs/dbraw/zinc/47/40/21/1004474021.db2.gz VFBSKXMIWIFBIX-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001427582154 1004474024 /nfs/dbraw/zinc/47/40/24/1004474024.db2.gz VFBSKXMIWIFBIX-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN([C@H]2CCCNC2=O)CC1 ZINC001472960530 1016892530 /nfs/dbraw/zinc/89/25/30/1016892530.db2.gz QFJQDOUSDINCQX-DTWKUNHWSA-N 0 2 311.279 0.310 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCN([C@H]2CCCNC2=O)CC1 ZINC001472960530 1016892539 /nfs/dbraw/zinc/89/25/39/1016892539.db2.gz QFJQDOUSDINCQX-DTWKUNHWSA-N 0 2 311.279 0.310 20 0 DCADLN CCN(CCNC(=O)c1cnccn1)C(=O)C(F)C(F)(F)F ZINC001429528515 1004650158 /nfs/dbraw/zinc/65/01/58/1004650158.db2.gz ZBGHKQQQJYDRNU-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1cnccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001429528515 1004650160 /nfs/dbraw/zinc/65/01/60/1004650160.db2.gz ZBGHKQQQJYDRNU-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCO[C@@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001414486605 1005342677 /nfs/dbraw/zinc/34/26/77/1005342677.db2.gz HMMZTRBEHUBNRA-IUCAKERBSA-N 0 2 314.279 0.983 20 0 DCADLN CCO[C@@H](C)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001414486605 1005342679 /nfs/dbraw/zinc/34/26/79/1005342679.db2.gz HMMZTRBEHUBNRA-IUCAKERBSA-N 0 2 314.279 0.983 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001415003249 1005440060 /nfs/dbraw/zinc/44/00/60/1005440060.db2.gz WBGNOJIICSDZHM-XVMARJQXSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001415003249 1005440062 /nfs/dbraw/zinc/44/00/62/1005440062.db2.gz WBGNOJIICSDZHM-XVMARJQXSA-N 0 2 323.250 0.093 20 0 DCADLN Cc1ncn(C)c1C(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001415232876 1005486371 /nfs/dbraw/zinc/48/63/71/1005486371.db2.gz MMSIYDQDSRIYMP-SECBINFHSA-N 0 2 318.381 0.237 20 0 DCADLN CC(F)(F)CC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001416767961 1005734033 /nfs/dbraw/zinc/73/40/33/1005734033.db2.gz WTHWMUMPMURJLS-CAHLUQPWSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001416767961 1005734035 /nfs/dbraw/zinc/73/40/35/1005734035.db2.gz WTHWMUMPMURJLS-CAHLUQPWSA-N 0 2 324.221 0.525 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cc[nH]c(=O)c1 ZINC001416885434 1005753409 /nfs/dbraw/zinc/75/34/09/1005753409.db2.gz PPUBLNTXLDDELS-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cc[nH]c(=O)c1 ZINC001416885434 1005753410 /nfs/dbraw/zinc/75/34/10/1005753410.db2.gz PPUBLNTXLDDELS-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)COCC(F)F ZINC001416918120 1005759821 /nfs/dbraw/zinc/75/98/21/1005759821.db2.gz QMWAUVIBUDIEER-XNCJUZBTSA-N 0 2 324.221 0.789 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)COCC(F)F ZINC001416918120 1005759822 /nfs/dbraw/zinc/75/98/22/1005759822.db2.gz QMWAUVIBUDIEER-XNCJUZBTSA-N 0 2 324.221 0.789 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1)N(C)C(=O)C(F)C(F)(F)F ZINC001508753776 1016998795 /nfs/dbraw/zinc/99/87/95/1016998795.db2.gz RYEKASIGPJMBDT-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001508753776 1016998800 /nfs/dbraw/zinc/99/88/00/1016998800.db2.gz RYEKASIGPJMBDT-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN CC1(C)CO[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001417578454 1005846185 /nfs/dbraw/zinc/84/61/85/1005846185.db2.gz GVMXAMJMUGONBG-VIFPVBQESA-N 0 2 305.338 0.003 20 0 DCADLN CC1(CNC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCC1 ZINC001434781742 1005971613 /nfs/dbraw/zinc/97/16/13/1005971613.db2.gz PPXMONQPKZVIMN-UHFFFAOYSA-N 0 2 315.399 0.339 20 0 DCADLN CC(C)c1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001438896242 1006205207 /nfs/dbraw/zinc/20/52/07/1006205207.db2.gz SBPSZRUROSWLOR-UHFFFAOYSA-N 0 2 322.369 0.826 20 0 DCADLN CC(C)c1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001438896242 1006205218 /nfs/dbraw/zinc/20/52/18/1006205218.db2.gz SBPSZRUROSWLOR-UHFFFAOYSA-N 0 2 322.369 0.826 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001438897695 1006205790 /nfs/dbraw/zinc/20/57/90/1006205790.db2.gz QDXUTWXFIKSEEW-YPMHNXCESA-N 0 2 323.397 0.216 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001438897695 1006205793 /nfs/dbraw/zinc/20/57/93/1006205793.db2.gz QDXUTWXFIKSEEW-YPMHNXCESA-N 0 2 323.397 0.216 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+][C@H](C)CNC(=O)Cn2c[nH+]cc2C)[nH]1 ZINC001418257541 1006260534 /nfs/dbraw/zinc/26/05/34/1006260534.db2.gz JHYOCWISNDTBON-ZYHUDNBSSA-N 0 2 319.413 0.728 20 0 DCADLN CCc1nnc([C@@H](C)NS(=O)(=O)N=S2(=O)CCCC2)[nH]1 ZINC001475158921 1017066727 /nfs/dbraw/zinc/06/67/27/1017066727.db2.gz VGHLSVPSCOVASS-MRVPVSSYSA-N 0 2 321.428 0.524 20 0 DCADLN CCc1nc([C@@H](C)NS(=O)(=O)N=S2(=O)CCCC2)n[nH]1 ZINC001475158921 1017066737 /nfs/dbraw/zinc/06/67/37/1017066737.db2.gz VGHLSVPSCOVASS-MRVPVSSYSA-N 0 2 321.428 0.524 20 0 DCADLN O=C(CC1CCC1)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452317572 1006738633 /nfs/dbraw/zinc/73/86/33/1006738633.db2.gz PXWPHLGBJHLWJZ-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001452317572 1006738643 /nfs/dbraw/zinc/73/86/43/1006738643.db2.gz PXWPHLGBJHLWJZ-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1=CCOCC1 ZINC001452499673 1006877498 /nfs/dbraw/zinc/87/74/98/1006877498.db2.gz AFGOOJMJXWWSSR-APPZFPTMSA-N 0 2 312.263 0.854 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C1=CCOCC1 ZINC001452499673 1006877514 /nfs/dbraw/zinc/87/75/14/1006877514.db2.gz AFGOOJMJXWWSSR-APPZFPTMSA-N 0 2 312.263 0.854 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC=C(Cl)Cl ZINC001452534189 1006903135 /nfs/dbraw/zinc/90/31/35/1006903135.db2.gz BLERILNXLPZFDB-UHFFFAOYSA-N 0 2 302.121 0.506 20 0 DCADLN COC[C@H](C)[C@@H](C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001439726859 1007158913 /nfs/dbraw/zinc/15/89/13/1007158913.db2.gz ZVNQGRLEWIUWCH-VHSXEESVSA-N 0 2 311.386 0.072 20 0 DCADLN Cn1nncc1CN1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001437682618 1007251666 /nfs/dbraw/zinc/25/16/66/1007251666.db2.gz UHMQDFNFCZHTMS-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnccn1)C(F)C(F)(F)F ZINC001453165561 1007285477 /nfs/dbraw/zinc/28/54/77/1007285477.db2.gz JNYXJXKXYISTTH-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnccn1)[C@@H](F)C(F)(F)F ZINC001453165561 1007285486 /nfs/dbraw/zinc/28/54/86/1007285486.db2.gz JNYXJXKXYISTTH-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN CO[C@@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(C)C ZINC001437806368 1007418728 /nfs/dbraw/zinc/41/87/28/1007418728.db2.gz DVPDZHPMLSVREX-GFCCVEGCSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(C)C ZINC001437806368 1007418737 /nfs/dbraw/zinc/41/87/37/1007418737.db2.gz DVPDZHPMLSVREX-GFCCVEGCSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N(C)C[C@H]1CC[N@@H+]1CCn1cccn1 ZINC001440234948 1007600289 /nfs/dbraw/zinc/60/02/89/1007600289.db2.gz LXBPHOHQIXQQNN-OAHLLOKOSA-N 0 2 316.409 0.621 20 0 DCADLN Cc1ccccc1CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438165472 1007680511 /nfs/dbraw/zinc/68/05/11/1007680511.db2.gz WKQRIWBXEVRDDX-UHFFFAOYSA-N 0 2 315.377 0.609 20 0 DCADLN CSC[C@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001438170456 1007685222 /nfs/dbraw/zinc/68/52/22/1007685222.db2.gz SKWDQTYYNKQVEN-GUBZILKMSA-N 0 2 311.411 0.295 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001441254675 1008291312 /nfs/dbraw/zinc/29/13/12/1008291312.db2.gz ZXPKWRQBYCNXMZ-SNVBAGLBSA-N 0 2 311.386 0.262 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001441254675 1008291316 /nfs/dbraw/zinc/29/13/16/1008291316.db2.gz ZXPKWRQBYCNXMZ-SNVBAGLBSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@@H](C[N@@H+]1CCO[C@H](CNC(=O)c2ncn[nH]2)C1)C1CC1 ZINC001421811166 1009123913 /nfs/dbraw/zinc/12/39/13/1009123913.db2.gz VQZHSQXAQZSOIQ-OLZOCXBDSA-N 0 2 323.397 0.050 20 0 DCADLN CCO[C@@H](C[N@H+]1CCO[C@H](CNC(=O)c2ncn[nH]2)C1)C1CC1 ZINC001421811166 1009123915 /nfs/dbraw/zinc/12/39/15/1009123915.db2.gz VQZHSQXAQZSOIQ-OLZOCXBDSA-N 0 2 323.397 0.050 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)c2cc(F)c[nH]2)CC1 ZINC001433954887 1009395128 /nfs/dbraw/zinc/39/51/28/1009395128.db2.gz VAAPXDDYHULXJM-UHFFFAOYSA-N 0 2 319.340 0.807 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1CCCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001442529704 1009445941 /nfs/dbraw/zinc/44/59/41/1009445941.db2.gz UZSMXZWRRBEBJH-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1CCCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001442529704 1009445949 /nfs/dbraw/zinc/44/59/49/1009445949.db2.gz UZSMXZWRRBEBJH-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN CCc1nc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)co1 ZINC001442646476 1009569987 /nfs/dbraw/zinc/56/99/87/1009569987.db2.gz ADBFXKVGRDAIQS-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN CCc1nc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)co1 ZINC001442646476 1009569996 /nfs/dbraw/zinc/56/99/96/1009569996.db2.gz ADBFXKVGRDAIQS-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)O1 ZINC001442674388 1009605107 /nfs/dbraw/zinc/60/51/07/1009605107.db2.gz FTIHFYZARPMIDX-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)O1 ZINC001442674388 1009605117 /nfs/dbraw/zinc/60/51/17/1009605117.db2.gz FTIHFYZARPMIDX-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN COCC1(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001422176607 1009611378 /nfs/dbraw/zinc/61/13/78/1009611378.db2.gz GZMQJIYVUHIOCJ-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN COCC1(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001422176607 1009611380 /nfs/dbraw/zinc/61/13/80/1009611380.db2.gz GZMQJIYVUHIOCJ-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN CC[C@H](C)[C@@H](OC)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422181755 1009616136 /nfs/dbraw/zinc/61/61/36/1009616136.db2.gz FQQTUWDSZGPRIB-CMPLNLGQSA-N 0 2 313.402 0.462 20 0 DCADLN CC[C@H](C)[C@@H](OC)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422181755 1009616140 /nfs/dbraw/zinc/61/61/40/1009616140.db2.gz FQQTUWDSZGPRIB-CMPLNLGQSA-N 0 2 313.402 0.462 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001442783979 1009730121 /nfs/dbraw/zinc/73/01/21/1009730121.db2.gz FZHQDIZKPXEMOJ-NWDGAFQWSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001442783979 1009730128 /nfs/dbraw/zinc/73/01/28/1009730128.db2.gz FZHQDIZKPXEMOJ-NWDGAFQWSA-N 0 2 318.381 0.654 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnccn1 ZINC001455588360 1009840030 /nfs/dbraw/zinc/84/00/30/1009840030.db2.gz CFFYWGHIXROTKU-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnccn1 ZINC001455588360 1009840055 /nfs/dbraw/zinc/84/00/55/1009840055.db2.gz CFFYWGHIXROTKU-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N3CC=C(N)N3)cc2[nH]c1=S ZINC001455880240 1010016261 /nfs/dbraw/zinc/01/62/61/1010016261.db2.gz TYAOCVDXDJYLQL-UHFFFAOYSA-N 0 2 317.374 0.466 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)n1cccc1 ZINC001442999927 1010035650 /nfs/dbraw/zinc/03/56/50/1010035650.db2.gz QNYVBICNPLQYPC-LLVKDONJSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)n1cccc1 ZINC001442999927 1010035659 /nfs/dbraw/zinc/03/56/59/1010035659.db2.gz QNYVBICNPLQYPC-LLVKDONJSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@]1(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCOC1 ZINC001443039458 1010081737 /nfs/dbraw/zinc/08/17/37/1010081737.db2.gz GPAYKTMNUMSZHW-AWEZNQCLSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCOC1 ZINC001443039458 1010081751 /nfs/dbraw/zinc/08/17/51/1010081751.db2.gz GPAYKTMNUMSZHW-AWEZNQCLSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)[C@H]1C[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443044978 1010084098 /nfs/dbraw/zinc/08/40/98/1010084098.db2.gz KRAMAEXSVSVREA-VXGBXAGGSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)[C@H]1C[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443044978 1010084110 /nfs/dbraw/zinc/08/41/10/1010084110.db2.gz KRAMAEXSVSVREA-VXGBXAGGSA-N 0 2 307.398 0.883 20 0 DCADLN CCc1nc[nH]c1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443045533 1010088887 /nfs/dbraw/zinc/08/88/87/1010088887.db2.gz CKIMHNRVNKPZMV-UHFFFAOYSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1nc[nH]c1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443045533 1010088896 /nfs/dbraw/zinc/08/88/96/1010088896.db2.gz CKIMHNRVNKPZMV-UHFFFAOYSA-N 0 2 319.369 0.190 20 0 DCADLN C[C@H]1CCC[C@H]1CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456306118 1010183068 /nfs/dbraw/zinc/18/30/68/1010183068.db2.gz CCFVRNUQOLRSQA-UWVGGRQHSA-N 0 2 314.411 0.792 20 0 DCADLN Cc1cc(C[N@@H+](C)[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])nn1C ZINC001422506492 1010200291 /nfs/dbraw/zinc/20/02/91/1010200291.db2.gz ZVRJENKMLURLOJ-SNVBAGLBSA-N 0 2 320.397 0.716 20 0 DCADLN Cc1cc(C[N@H+](C)[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])nn1C ZINC001422506492 1010200303 /nfs/dbraw/zinc/20/03/03/1010200303.db2.gz ZVRJENKMLURLOJ-SNVBAGLBSA-N 0 2 320.397 0.716 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001443231780 1010247528 /nfs/dbraw/zinc/24/75/28/1010247528.db2.gz QFLARSIIZIUVSQ-HZGVNTEJSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001443231780 1010247533 /nfs/dbraw/zinc/24/75/33/1010247533.db2.gz QFLARSIIZIUVSQ-HZGVNTEJSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1 ZINC001443495194 1010450620 /nfs/dbraw/zinc/45/06/20/1010450620.db2.gz NRRDHFNILWIBMI-SNVBAGLBSA-N 0 2 316.365 0.400 20 0 DCADLN Cc1cccc(OCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001443544701 1010484866 /nfs/dbraw/zinc/48/48/66/1010484866.db2.gz CZWLBZDTEIFGIQ-UHFFFAOYSA-N 0 2 317.349 0.198 20 0 DCADLN COCC1(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001422721938 1010528617 /nfs/dbraw/zinc/52/86/17/1010528617.db2.gz DYUGHYSUCWRWDF-JTQLQIEISA-N 0 2 323.397 0.264 20 0 DCADLN O=S(=O)(NCCn1cnnc1)c1onc(C2CC2)c1Cl ZINC001475321814 1017092447 /nfs/dbraw/zinc/09/24/47/1017092447.db2.gz ZLFBMFHLXNIGLQ-UHFFFAOYSA-N 0 2 317.758 0.775 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ncc[nH]1 ZINC001508898917 1017093614 /nfs/dbraw/zinc/09/36/14/1017093614.db2.gz IHGUOWXOQQFIRN-NKWVEPMBSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ncc[nH]1 ZINC001508898917 1017093621 /nfs/dbraw/zinc/09/36/21/1017093621.db2.gz IHGUOWXOQQFIRN-NKWVEPMBSA-N 0 2 310.251 0.887 20 0 DCADLN CS[C@H](C)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001443664504 1010571525 /nfs/dbraw/zinc/57/15/25/1010571525.db2.gz JUKJPYYOFPNNJJ-UTLUCORTSA-N 0 2 311.411 0.437 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@@H]1CCC2(CCC2)O1 ZINC001475349853 1017095704 /nfs/dbraw/zinc/09/57/04/1017095704.db2.gz WSGJTYCKQQCPII-UWVGGRQHSA-N 0 2 309.359 0.519 20 0 DCADLN C[C@H](CN(C)Cc1nnc(C(C)(C)C)[nH]1)NC(=O)c1cnn[nH]1 ZINC001422844556 1010666795 /nfs/dbraw/zinc/66/67/95/1010666795.db2.gz CGKFRAQKRKKQAC-SECBINFHSA-N 0 2 320.401 0.471 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001443848384 1010701092 /nfs/dbraw/zinc/70/10/92/1010701092.db2.gz PZERMMCUXJDNDW-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001443848384 1010701104 /nfs/dbraw/zinc/70/11/04/1010701104.db2.gz PZERMMCUXJDNDW-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN CC1(C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001444212044 1010906851 /nfs/dbraw/zinc/90/68/51/1010906851.db2.gz HTVZEFHBCCFKAA-RQJHMYQMSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001444212044 1010906858 /nfs/dbraw/zinc/90/68/58/1010906858.db2.gz HTVZEFHBCCFKAA-RQJHMYQMSA-N 0 2 300.252 0.280 20 0 DCADLN C[C@@H]([NH2+][C@@H](C)CN(C)C(=O)CCc1cnn[nH]1)c1cnccn1 ZINC001423133275 1010914182 /nfs/dbraw/zinc/91/41/82/1010914182.db2.gz QVOBLIRJNFFFAL-NWDGAFQWSA-N 0 2 317.397 0.725 20 0 DCADLN CC(C)c1cccc(C[NH2+][C@@H](CO)CNC(=O)c2ncn[nH]2)n1 ZINC001423282183 1011043950 /nfs/dbraw/zinc/04/39/50/1011043950.db2.gz CGSXDUSFGIIBEP-GFCCVEGCSA-N 0 2 318.381 0.204 20 0 DCADLN CC(C)c1cccc(C[NH2+][C@@H](CO)CNC(=O)c2nc[nH]n2)n1 ZINC001423282183 1011043956 /nfs/dbraw/zinc/04/39/56/1011043956.db2.gz CGSXDUSFGIIBEP-GFCCVEGCSA-N 0 2 318.381 0.204 20 0 DCADLN CCn1nncc1CNC1(CNC(=O)c2nccs2)CC1 ZINC001423282472 1011045062 /nfs/dbraw/zinc/04/50/62/1011045062.db2.gz LRQFLVLDOCYYDH-UHFFFAOYSA-N 0 2 306.395 0.807 20 0 DCADLN Cc1noc(C)c1CNC1(CNC(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC001423283922 1011045540 /nfs/dbraw/zinc/04/55/40/1011045540.db2.gz XBQGSHACZRTZLF-MNOVXSKESA-N 0 2 306.366 0.151 20 0 DCADLN CC[C@H](C)C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001423386309 1011116173 /nfs/dbraw/zinc/11/61/73/1011116173.db2.gz QWEZQQNQDASPQZ-NSHDSACASA-N 0 2 317.393 0.711 20 0 DCADLN C[C@@H](CNC(=O)CCc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001534184435 1011553892 /nfs/dbraw/zinc/55/38/92/1011553892.db2.gz KJRGSZASKKOXIS-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ncoc1CCC(F)(F)F ZINC001445410577 1011740925 /nfs/dbraw/zinc/74/09/25/1011740925.db2.gz DVYRIHOLPAEPGH-UHFFFAOYSA-N 0 2 305.216 0.923 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)N(C)C(=O)C(F)C(F)(F)F ZINC001424074199 1011954146 /nfs/dbraw/zinc/95/41/46/1011954146.db2.gz SZGPOPSPQWBYPJ-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001424074199 1011954155 /nfs/dbraw/zinc/95/41/55/1011954155.db2.gz SZGPOPSPQWBYPJ-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1CCOC1)NC(=O)C(F)C(F)(F)F ZINC001458170596 1012099338 /nfs/dbraw/zinc/09/93/38/1012099338.db2.gz HTZAZCNGBFLWDY-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1CCOC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001458170596 1012099353 /nfs/dbraw/zinc/09/93/53/1012099353.db2.gz HTZAZCNGBFLWDY-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(Cc1ccnc(F)c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001556371666 1012199585 /nfs/dbraw/zinc/19/95/85/1012199585.db2.gz GYRZAFHGBJFMRY-JTQLQIEISA-N 0 2 305.313 0.993 20 0 DCADLN COCCC(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458631105 1012234448 /nfs/dbraw/zinc/23/44/48/1012234448.db2.gz VHSHKAFGFBCCJE-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001458631105 1012234462 /nfs/dbraw/zinc/23/44/62/1012234462.db2.gz VHSHKAFGFBCCJE-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1)[C@@H](F)C(F)(F)F ZINC001432279814 1012252462 /nfs/dbraw/zinc/25/24/62/1012252462.db2.gz ZIIMONBSUKNHLJ-RYPBNFRJSA-N 0 2 324.274 0.544 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1)C(F)C(F)(F)F ZINC001432279814 1012252451 /nfs/dbraw/zinc/25/24/51/1012252451.db2.gz ZIIMONBSUKNHLJ-RYPBNFRJSA-N 0 2 324.274 0.544 20 0 DCADLN C/C=C\C(=O)Nc1cccc(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001558577306 1012370947 /nfs/dbraw/zinc/37/09/47/1012370947.db2.gz IBORYEZUNOJTHL-HYXAFXHYSA-N 0 2 322.350 0.526 20 0 DCADLN C[C@@H](NC(=O)CONC(=O)OC(C)(C)C)c1nn(C)cc1O ZINC001558684153 1012376855 /nfs/dbraw/zinc/37/68/55/1012376855.db2.gz XFFJDBDRRHFRDQ-MRVPVSSYSA-N 0 2 314.342 0.759 20 0 DCADLN CN(C)C(=O)C=CC(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC001540662537 1012456915 /nfs/dbraw/zinc/45/69/15/1012456915.db2.gz RNYZGGWORZRQHP-SNAWJCMRSA-N 0 2 320.374 1.000 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001432426108 1012471949 /nfs/dbraw/zinc/47/19/49/1012471949.db2.gz AZISMUYDTIUNLH-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001432426108 1012471961 /nfs/dbraw/zinc/47/19/61/1012471961.db2.gz AZISMUYDTIUNLH-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN O=C(NCCOCCF)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001565141683 1012545936 /nfs/dbraw/zinc/54/59/36/1012545936.db2.gz NCGKNKWBIQKLTI-VIFPVBQESA-N 0 2 301.322 0.385 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001432711724 1012796193 /nfs/dbraw/zinc/79/61/93/1012796193.db2.gz JAMMDQSVUBVUBV-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001432711724 1012796205 /nfs/dbraw/zinc/79/62/05/1012796205.db2.gz JAMMDQSVUBVUBV-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN COc1cc(C[NH2+]Cc2nnc(CS(C)(=O)=O)[n-]2)sn1 ZINC001461784576 1013038969 /nfs/dbraw/zinc/03/89/69/1013038969.db2.gz AFRAALKXKDJIFM-UHFFFAOYSA-N 0 2 317.396 0.104 20 0 DCADLN CCOCCCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506594659 1017387026 /nfs/dbraw/zinc/38/70/26/1017387026.db2.gz YCMWXADBBCXJST-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506620283 1017412989 /nfs/dbraw/zinc/41/29/89/1017412989.db2.gz RFRZEFYNMHDSLX-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](NC(=O)C1(C)CC=CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506650351 1017438407 /nfs/dbraw/zinc/43/84/07/1017438407.db2.gz NEJNWNWATZXXAW-SNVBAGLBSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001479328449 1017498974 /nfs/dbraw/zinc/49/89/74/1017498974.db2.gz ZKVLENWGIOYWRJ-DTWKUNHWSA-N 0 2 315.399 0.337 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N2CCCCCC2)[nH]1 ZINC001479428884 1017552356 /nfs/dbraw/zinc/55/23/56/1017552356.db2.gz PRDUXVGNGADQMB-UHFFFAOYSA-N 0 2 315.399 0.435 20 0 DCADLN O=C(CCCF)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001506867838 1017624349 /nfs/dbraw/zinc/62/43/49/1017624349.db2.gz ZCIWIANHDJJMAD-UHFFFAOYSA-N 0 2 311.361 0.684 20 0 DCADLN O=C(CCCF)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001506867838 1017624355 /nfs/dbraw/zinc/62/43/55/1017624355.db2.gz ZCIWIANHDJJMAD-UHFFFAOYSA-N 0 2 311.361 0.684 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001510512035 1017679506 /nfs/dbraw/zinc/67/95/06/1017679506.db2.gz CGCPKFYADWQMMQ-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1cn(C)cn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001510512035 1017679514 /nfs/dbraw/zinc/67/95/14/1017679514.db2.gz CGCPKFYADWQMMQ-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN CCCCOCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001494649464 1017913916 /nfs/dbraw/zinc/91/39/16/1017913916.db2.gz YKOVAZAFQIGSMH-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN CN(C(=O)CCc1nc[nH]n1)C1C[NH+](CC[C@H]2CCCCO2)C1 ZINC001499649741 1018022215 /nfs/dbraw/zinc/02/22/15/1018022215.db2.gz LXMKIIBXPDQRMR-CQSZACIVSA-N 0 2 321.425 0.839 20 0 DCADLN C[C@@]1(NC(=O)CCCn2c(=O)[n-][nH]c2=O)CC[N@H+](CCF)C1 ZINC001500234789 1018335979 /nfs/dbraw/zinc/33/59/79/1018335979.db2.gz ODWNRVBOFUSSOU-CYBMUJFWSA-N 0 2 315.349 0.020 20 0 DCADLN C[C@@]1(NC(=O)CCCn2c(=O)[n-][nH]c2=O)CC[N@@H+](CCF)C1 ZINC001500234789 1018335992 /nfs/dbraw/zinc/33/59/92/1018335992.db2.gz ODWNRVBOFUSSOU-CYBMUJFWSA-N 0 2 315.349 0.020 20 0 DCADLN Cn1cncc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498224291 1018775320 /nfs/dbraw/zinc/77/53/20/1018775320.db2.gz VHDGQEFITMQQNQ-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cncc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001498224291 1018775334 /nfs/dbraw/zinc/77/53/34/1018775334.db2.gz VHDGQEFITMQQNQ-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001501045087 1018831733 /nfs/dbraw/zinc/83/17/33/1018831733.db2.gz LOZYURRBUGRMCS-QMMMGPOBSA-N 0 2 310.251 0.841 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001501045087 1018831747 /nfs/dbraw/zinc/83/17/47/1018831747.db2.gz LOZYURRBUGRMCS-QMMMGPOBSA-N 0 2 310.251 0.841 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)cnn1 ZINC001496124042 1019088966 /nfs/dbraw/zinc/08/89/66/1019088966.db2.gz XJSCIFSXOUOLFN-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)cnn1 ZINC001496124042 1019088978 /nfs/dbraw/zinc/08/89/78/1019088978.db2.gz XJSCIFSXOUOLFN-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCCOC1 ZINC001499089729 1019725144 /nfs/dbraw/zinc/72/51/44/1019725144.db2.gz LZXYXPVBJLZERM-QMTHXVAHSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1nn(COCC(F)(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC001606116098 1170081813 /nfs/dbraw/zinc/08/18/13/1170081813.db2.gz NCZNICHJJSTOCI-UHFFFAOYSA-N 0 2 304.232 0.577 20 0 DCADLN CC(=CC(C)(C)C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001635955735 1171719206 /nfs/dbraw/zinc/71/92/06/1171719206.db2.gz HCCMYMBNYWZYLH-TWGQIWQCSA-N 0 2 314.411 0.958 20 0 DCADLN CNC(=O)N[C@@H]1CCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000331866767 529889422 /nfs/dbraw/zinc/88/94/22/529889422.db2.gz JQFFPBFKMBTASL-MRVPVSSYSA-N 0 2 307.379 0.900 20 0 DCADLN CCC1(CC)[C@@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C[C@@H]1OC ZINC000185865705 282385065 /nfs/dbraw/zinc/38/50/65/282385065.db2.gz NVDNQUUNBUEBKE-IUCAKERBSA-N 0 2 314.411 0.900 20 0 DCADLN CC(=O)C[C@H]1COCCN1C(=O)Cn1c2ccccc2[nH]c1=O ZINC000183848759 186224325 /nfs/dbraw/zinc/22/43/25/186224325.db2.gz QOCNBJHSJHUUML-LBPRGKRZSA-N 0 2 317.345 0.536 20 0 DCADLN CN(C[C@H](O)CO)C(=O)C(=O)Nc1ccccc1NC(C)(C)C ZINC000281334635 186527088 /nfs/dbraw/zinc/52/70/88/186527088.db2.gz CGCLCVLOMOUJHO-NSHDSACASA-N 0 2 323.393 0.647 20 0 DCADLN O=C(CNC(=O)c1cc(F)cc(Cl)c1O)N1CCOCC1 ZINC000181083752 199250205 /nfs/dbraw/zinc/25/02/05/199250205.db2.gz NLARFCHWLGLPQI-UHFFFAOYSA-N 0 2 316.716 0.773 20 0 DCADLN CNc1nc(C)cc(C(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)n1 ZINC000281091489 216074012 /nfs/dbraw/zinc/07/40/12/216074012.db2.gz VFUQLOTYEWYCMJ-CMPLNLGQSA-N 0 2 303.366 0.710 20 0 DCADLN O=S(=O)(Nc1nncs1)c1cccc(-n2cnnn2)c1 ZINC000160592029 291239382 /nfs/dbraw/zinc/23/93/82/291239382.db2.gz AFCGLOACZFBPBQ-UHFFFAOYSA-N 0 2 309.336 0.315 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2[nH]nc(C)c2C)cc1C(N)=O ZINC000317477965 291254925 /nfs/dbraw/zinc/25/49/25/291254925.db2.gz DFFLVAOXASLVLG-UHFFFAOYSA-N 0 2 324.362 0.935 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2n[nH]c(C)c2C)cc1C(N)=O ZINC000317477965 291254926 /nfs/dbraw/zinc/25/49/26/291254926.db2.gz DFFLVAOXASLVLG-UHFFFAOYSA-N 0 2 324.362 0.935 20 0 DCADLN CCOC(=O)c1ccc(C(=O)NN2CC(=O)N(C)C2=O)nc1C ZINC000271845513 209263168 /nfs/dbraw/zinc/26/31/68/209263168.db2.gz RTVAALIJMZEDRX-UHFFFAOYSA-N 0 2 320.305 0.106 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000566416002 291299118 /nfs/dbraw/zinc/29/91/18/291299118.db2.gz CYQAPFLTBDXDNB-GDBMZVCRSA-N 0 2 316.442 0.195 20 0 DCADLN O=C([O-])C[C@@H]1CN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)CCO1 ZINC000567356273 291351071 /nfs/dbraw/zinc/35/10/71/291351071.db2.gz FLGWACDMVMKZRJ-NWDGAFQWSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])C[C@@H]1CN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)CCO1 ZINC000567356273 291351072 /nfs/dbraw/zinc/35/10/72/291351072.db2.gz FLGWACDMVMKZRJ-NWDGAFQWSA-N 0 2 322.365 0.118 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CN(C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)CCN1C ZINC000567384302 291352793 /nfs/dbraw/zinc/35/27/93/291352793.db2.gz BFHMHYSKVNXICO-GRYCIOLGSA-N 0 2 320.393 0.592 20 0 DCADLN C[N@H+](C[C@@H](O)C[NH2+][C@H]1CC(=O)N(c2ccccc2)C1)C1CC1 ZINC000567680735 291369283 /nfs/dbraw/zinc/36/92/83/291369283.db2.gz DEEBDXARTCHAKX-BBRMVZONSA-N 0 2 303.406 0.837 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2nnc(C3CC3)o2)c1 ZINC000568497697 291431588 /nfs/dbraw/zinc/43/15/88/291431588.db2.gz WHANWMNVVZSPJK-UHFFFAOYSA-N 0 2 324.318 0.929 20 0 DCADLN O=C([C@H]1C[C@H]2CC(=O)[C@@H]1C2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000569930005 291503603 /nfs/dbraw/zinc/50/36/03/291503603.db2.gz HCEKDWXXFHODSZ-ZDCRXTMVSA-N 0 2 304.350 0.832 20 0 DCADLN Cn1cc(N2CC[C@H](NC(=O)NCCOCC3CC3)C2=O)cn1 ZINC000265439060 204613904 /nfs/dbraw/zinc/61/39/04/204613904.db2.gz UJCRGUPHKNLHKY-ZDUSSCGKSA-N 0 2 321.381 0.251 20 0 DCADLN COCCn1ccc(NS(=O)(=O)CC(C)(C)C(=O)OC)n1 ZINC000288446991 220147757 /nfs/dbraw/zinc/14/77/57/220147757.db2.gz IXKKBGPBSAASIX-UHFFFAOYSA-N 0 2 319.383 0.470 20 0 DCADLN CN=c1[nH]c(CNC(=O)N=c2nc3n([nH]2)CCCC3)cs1 ZINC000572458051 291568551 /nfs/dbraw/zinc/56/85/51/291568551.db2.gz LKWDEPAWOPGNFR-UHFFFAOYSA-N 0 2 307.383 0.278 20 0 DCADLN CN(C)c1cnc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000573124095 291613046 /nfs/dbraw/zinc/61/30/46/291613046.db2.gz SSGODHZBZPASDN-VIFPVBQESA-N 0 2 317.353 0.386 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(CNC(N)=O)cc1 ZINC000110589510 194340200 /nfs/dbraw/zinc/34/02/00/194340200.db2.gz NZDDTCZLUDPSFL-UHFFFAOYSA-N 0 2 309.351 0.687 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc2c(c1)oc(=O)n2C ZINC000110590085 194340532 /nfs/dbraw/zinc/34/05/32/194340532.db2.gz JEEUISLSARRALG-UHFFFAOYSA-N 0 2 308.319 0.964 20 0 DCADLN COC[C@@H](C)CS(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000338991715 253019832 /nfs/dbraw/zinc/01/98/32/253019832.db2.gz DDLWZIADETUGMP-NXEZZACHSA-N 0 2 318.399 0.302 20 0 DCADLN NC(=O)c1ccc(C(=O)N=c2nc(-c3cccnc3)[nH]s2)o1 ZINC000339727773 253134427 /nfs/dbraw/zinc/13/44/27/253134427.db2.gz PMILDUFSALAOGB-UHFFFAOYSA-N 0 2 315.314 0.966 20 0 DCADLN CCN(Cc1cc(=O)n2[nH]ccc2n1)[C@H](C)CS(C)(=O)=O ZINC000112704574 285093954 /nfs/dbraw/zinc/09/39/54/285093954.db2.gz OVEYNMMHOCNJPR-SNVBAGLBSA-N 0 2 312.395 0.278 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(Cl)c(C(N)=O)c1 ZINC000340080620 253198175 /nfs/dbraw/zinc/19/81/75/253198175.db2.gz RNMWTXTYHLUQFI-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN COc1ccc(-c2ocnc2C(=O)NCC(=O)NCCO)cc1 ZINC000288650157 220321956 /nfs/dbraw/zinc/32/19/56/220321956.db2.gz DXPBVDYMQXXNDP-UHFFFAOYSA-N 0 2 319.317 0.189 20 0 DCADLN C[C@H](C[S@](C)=O)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000346057309 254004093 /nfs/dbraw/zinc/00/40/93/254004093.db2.gz DMIFBTPARCKFTQ-ZEDNOMKYSA-N 0 2 309.347 0.527 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1F)CCNC2=O ZINC000346841811 254102319 /nfs/dbraw/zinc/10/23/19/254102319.db2.gz KXLWDAPTFDRIDG-UHFFFAOYSA-N 0 2 320.284 0.257 20 0 DCADLN CC(C)(C)NC(=O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348745454 254251375 /nfs/dbraw/zinc/25/13/75/254251375.db2.gz AZIUFZBHHYWGDC-UHFFFAOYSA-N 0 2 318.333 0.674 20 0 DCADLN CN(C)C(=O)Cn1cc(NS(=O)(=O)c2ccsc2)cn1 ZINC000349943435 254304917 /nfs/dbraw/zinc/30/49/17/254304917.db2.gz DWAHLIIASPNCAT-UHFFFAOYSA-N 0 2 314.392 0.834 20 0 DCADLN CC(C)NC(=O)NC1(C(=O)NCc2n[nH]c(=O)[nH]2)CCCCC1 ZINC000350180486 254312864 /nfs/dbraw/zinc/31/28/64/254312864.db2.gz FRSIKLCHBSEJFK-UHFFFAOYSA-N 0 2 324.385 0.537 20 0 DCADLN CCc1nc(NS(=O)(=O)c2ccc(C(=O)OC)nc2)no1 ZINC000350678378 254323804 /nfs/dbraw/zinc/32/38/04/254323804.db2.gz VAUBUXPOHYFBFE-UHFFFAOYSA-N 0 2 312.307 0.614 20 0 DCADLN Nc1ccc(F)c(NC(=O)CC[N@@H+]2CCc3n[nH]nc3C2)c1 ZINC000351606745 254351447 /nfs/dbraw/zinc/35/14/47/254351447.db2.gz FMEPJQLXAYAQBU-UHFFFAOYSA-N 0 2 304.329 0.913 20 0 DCADLN CSCC[C@H](O)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289092189 220691647 /nfs/dbraw/zinc/69/16/47/220691647.db2.gz QSFHNLOBHXJAAH-JTQLQIEISA-N 0 2 308.363 0.818 20 0 DCADLN CCOC(=O)[C@@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275702887 130366032 /nfs/dbraw/zinc/36/60/32/130366032.db2.gz SMQBYSSXHFWYDG-VIFPVBQESA-N 0 2 305.338 0.739 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)c2c(C)cnn2C)nc1 ZINC000285013633 131130881 /nfs/dbraw/zinc/13/08/81/131130881.db2.gz GZQFRXGPJROHIW-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COC(=O)C1(c2ccccc2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000092642968 395732553 /nfs/dbraw/zinc/73/25/53/395732553.db2.gz JMZSGSUWUISZDR-UHFFFAOYSA-N 0 2 316.361 0.805 20 0 DCADLN COCCN(CC(=O)NOCc1ccccc1)CC(=O)OC ZINC000270846367 395814947 /nfs/dbraw/zinc/81/49/47/395814947.db2.gz WVLDLGXDBDIBRL-UHFFFAOYSA-N 0 2 310.350 0.356 20 0 DCADLN COC(=O)[C@@]1(C)CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000195389062 395826176 /nfs/dbraw/zinc/82/61/76/395826176.db2.gz PGWAODYGVHUYOB-QMMMGPOBSA-N 0 2 304.290 0.268 20 0 DCADLN Cc1ccc(-n2nc(C(=O)Nn3cn[nH]c3=O)c3c2CCC3)cc1 ZINC000272175379 395875162 /nfs/dbraw/zinc/87/51/62/395875162.db2.gz ZJDQBHFCGUHUBA-UHFFFAOYSA-N 0 2 324.344 0.938 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(Cn3cncn3)cc2)cn1 ZINC000121269932 395884015 /nfs/dbraw/zinc/88/40/15/395884015.db2.gz OMXIOANRSSLROP-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN CN(CC(=O)NOCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000247950421 395980332 /nfs/dbraw/zinc/98/03/32/395980332.db2.gz LPSBGHMLKAXHAO-ZDUSSCGKSA-N 0 2 312.391 0.353 20 0 DCADLN C[N@H+](CC(=O)[N-]OCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000247950421 395980335 /nfs/dbraw/zinc/98/03/35/395980335.db2.gz LPSBGHMLKAXHAO-ZDUSSCGKSA-N 0 2 312.391 0.353 20 0 DCADLN C[N@@H+](CC(=O)[N-]OCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000247950421 395980337 /nfs/dbraw/zinc/98/03/37/395980337.db2.gz LPSBGHMLKAXHAO-ZDUSSCGKSA-N 0 2 312.391 0.353 20 0 DCADLN C[NH+]1CCN(C(=O)c2cc(N3CCNC3=O)ccc2F)CC1 ZINC000154579427 396040577 /nfs/dbraw/zinc/04/05/77/396040577.db2.gz HFSSJTWOUXMYMJ-UHFFFAOYSA-N 0 2 306.341 0.743 20 0 DCADLN COC(=O)[C@H]1C[C@H]1C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000171203519 396110818 /nfs/dbraw/zinc/11/08/18/396110818.db2.gz DJIJMTXZBLYMCH-SFYZADRCSA-N 0 2 321.358 0.752 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C)c(NC(C)=O)c2)c1O ZINC000278185386 396111174 /nfs/dbraw/zinc/11/11/74/396111174.db2.gz PTJPLNWERMRLAD-GFCCVEGCSA-N 0 2 319.317 0.941 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2noc3c2CCCC3)C1=O ZINC000278566727 396131836 /nfs/dbraw/zinc/13/18/36/396131836.db2.gz BTVFGSDXMXMYNU-CQSZACIVSA-N 0 2 306.322 0.919 20 0 DCADLN COc1cc(OC)cc(N2CC[C@H]([N@H+](C)CC(=O)[O-])C2=O)c1 ZINC000261932200 396080676 /nfs/dbraw/zinc/08/06/76/396080676.db2.gz LTXNCGXMMRDOED-ZDUSSCGKSA-N 0 2 308.334 0.826 20 0 DCADLN COc1cc(OC)cc(N2CC[C@H]([N@@H+](C)CC(=O)[O-])C2=O)c1 ZINC000261932200 396080678 /nfs/dbraw/zinc/08/06/78/396080678.db2.gz LTXNCGXMMRDOED-ZDUSSCGKSA-N 0 2 308.334 0.826 20 0 DCADLN CNC(=O)CCN(C)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000176287602 396153786 /nfs/dbraw/zinc/15/37/86/396153786.db2.gz OXDRGKOWLHXTHG-UHFFFAOYSA-N 0 2 320.324 0.925 20 0 DCADLN NC(=O)CONC(=O)C1(c2cncc(Br)c2)CC1 ZINC000282234280 396274387 /nfs/dbraw/zinc/27/43/87/396274387.db2.gz HPLJMRVQQWXAKY-UHFFFAOYSA-N 0 2 314.139 0.409 20 0 DCADLN CCCOC(=O)CCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000264479545 396283897 /nfs/dbraw/zinc/28/38/97/396283897.db2.gz ZRMJEJOQAUBCGE-UHFFFAOYSA-N 0 2 320.305 0.509 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(NC2CC2)nc1 ZINC000080974022 396290208 /nfs/dbraw/zinc/29/02/08/396290208.db2.gz FCNFQVZBIPJDTR-UHFFFAOYSA-N 0 2 302.338 0.430 20 0 DCADLN C[C@@H](NC(=O)N(C)CC[N@H+](C)C1CC1)[C@H](C)[NH+]1CCOCC1 ZINC000185355739 396325918 /nfs/dbraw/zinc/32/59/18/396325918.db2.gz NDCNWFQIQUNGCV-KGLIPLIRSA-N 0 2 312.458 0.831 20 0 DCADLN CCc1ocnc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000265808198 396343919 /nfs/dbraw/zinc/34/39/19/396343919.db2.gz OSHYUQDBAQQOJP-UHFFFAOYSA-N 0 2 317.352 0.712 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCC1(O)CCCCCC1 ZINC000187140311 396363835 /nfs/dbraw/zinc/36/38/35/396363835.db2.gz ALCHBANFZUOCNA-UHFFFAOYSA-N 0 2 300.384 0.392 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1ncnn1C(C)(C)C ZINC000285141777 396348462 /nfs/dbraw/zinc/34/84/62/396348462.db2.gz JRFJDFHXIOVHHN-QMMMGPOBSA-N 0 2 303.388 0.252 20 0 DCADLN CN(C)S(=O)(=O)CCNC(=O)c1cc(F)cc(Cl)c1O ZINC000291555652 396499225 /nfs/dbraw/zinc/49/92/25/396499225.db2.gz NINXOPHCRHAEKB-UHFFFAOYSA-N 0 2 324.761 0.806 20 0 DCADLN COCCNC(=O)[C@H](CC(C)C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000515179158 396500410 /nfs/dbraw/zinc/50/04/10/396500410.db2.gz HPMDSFSWQNYBMH-JSGCOSHPSA-N 0 2 324.425 0.882 20 0 DCADLN COCCNC(=O)[C@H](CC(C)C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000515179158 396500413 /nfs/dbraw/zinc/50/04/13/396500413.db2.gz HPMDSFSWQNYBMH-JSGCOSHPSA-N 0 2 324.425 0.882 20 0 DCADLN O=C(CNCC(F)(F)F)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288970452 396451581 /nfs/dbraw/zinc/45/15/81/396451581.db2.gz FKOJUDNIWFCKCZ-UHFFFAOYSA-N 0 2 315.255 0.855 20 0 DCADLN Cn1ccc(N2CCC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2=O)n1 ZINC000290763342 396471825 /nfs/dbraw/zinc/47/18/25/396471825.db2.gz IFEWEMIZKHJJGH-CBAPKCEASA-N 0 2 322.262 0.932 20 0 DCADLN Cn1ccc(N2CCC[C@H](NC(=O)C(F)C(F)(F)F)C2=O)n1 ZINC000290763342 396471826 /nfs/dbraw/zinc/47/18/26/396471826.db2.gz IFEWEMIZKHJJGH-CBAPKCEASA-N 0 2 322.262 0.932 20 0 DCADLN Cc1cc(N2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2=O)n(C)n1 ZINC000290937171 396475980 /nfs/dbraw/zinc/47/59/80/396475980.db2.gz VUPVHQYDAFUVSZ-APPZFPTMSA-N 0 2 322.262 0.850 20 0 DCADLN Cc1cc(N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2=O)n(C)n1 ZINC000290937171 396475982 /nfs/dbraw/zinc/47/59/82/396475982.db2.gz VUPVHQYDAFUVSZ-APPZFPTMSA-N 0 2 322.262 0.850 20 0 DCADLN Cc1cc(C)c(S(=O)(=O)Nc2cnn(CC(N)=O)c2)s1 ZINC000292323210 396544431 /nfs/dbraw/zinc/54/44/31/396544431.db2.gz MOAFUTNUFYUKOD-UHFFFAOYSA-N 0 2 314.392 0.848 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000372968298 396557218 /nfs/dbraw/zinc/55/72/18/396557218.db2.gz RMIMDEYXKSHDFT-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000372968298 396557221 /nfs/dbraw/zinc/55/72/21/396557221.db2.gz RMIMDEYXKSHDFT-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN Cc1nc([C@H](C)NC(=O)NC[C@H]2C[N@@H+](CC(C)C)CCO2)n[nH]1 ZINC000562201494 396652387 /nfs/dbraw/zinc/65/23/87/396652387.db2.gz VVKZWTRKJKMGQD-AAEUAGOBSA-N 0 2 324.429 0.830 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCCc2cnn(C)n2)cn1 ZINC000294165034 396657314 /nfs/dbraw/zinc/65/73/14/396657314.db2.gz YNSFSSDTZGPADN-UHFFFAOYSA-N 0 2 314.353 0.301 20 0 DCADLN COc1ccc(NC(=O)N2CC[N@@H+](C)C[C@H]2C[NH3+])cc1OC ZINC000562747010 396678078 /nfs/dbraw/zinc/67/80/78/396678078.db2.gz WEDHSAYJOZEKLP-GFCCVEGCSA-N 0 2 308.382 0.810 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)Cc1cccc(F)c1F)[C@@H](C)O ZINC000563062647 396698453 /nfs/dbraw/zinc/69/84/53/396698453.db2.gz FIWQYPISYSNHTI-RDDDGLTNSA-N 0 2 323.317 0.307 20 0 DCADLN COC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c(F)c1 ZINC000610773028 396761689 /nfs/dbraw/zinc/76/16/89/396761689.db2.gz LCDRUJROSHYKLI-UHFFFAOYSA-N 0 2 309.257 0.758 20 0 DCADLN O=c1[nH]c2ccc(S(=O)(=O)Nc3cnn(CCO)c3)cc2o1 ZINC000625080648 396765264 /nfs/dbraw/zinc/76/52/64/396765264.db2.gz IWJFZKXUFREOFJ-UHFFFAOYSA-N 0 2 324.318 0.523 20 0 DCADLN Cc1ccc(N2CCC(C(=O)NCc3nn[nH]n3)CC2)nc1 ZINC000600493532 396868635 /nfs/dbraw/zinc/86/86/35/396868635.db2.gz BKZGDDIWLGWDOL-UHFFFAOYSA-N 0 2 301.354 0.436 20 0 DCADLN Cn1cc(C(=O)C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)cn1 ZINC000590960998 396860054 /nfs/dbraw/zinc/86/00/54/396860054.db2.gz LEPGUHYVSXEJDS-UHFFFAOYSA-N 0 2 313.273 0.585 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000590961951 396860092 /nfs/dbraw/zinc/86/00/92/396860092.db2.gz WNOMDXPZKZSCOM-SECBINFHSA-N 0 2 323.330 0.403 20 0 DCADLN COC(=O)c1ccccc1N(C)C(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000563960801 396800013 /nfs/dbraw/zinc/80/00/13/396800013.db2.gz SMHMGZUXDRJBCZ-ZDUSSCGKSA-N 0 2 320.345 0.985 20 0 DCADLN COC(=O)c1ccccc1N(C)C(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000563960801 396800016 /nfs/dbraw/zinc/80/00/16/396800016.db2.gz SMHMGZUXDRJBCZ-ZDUSSCGKSA-N 0 2 320.345 0.985 20 0 DCADLN C[NH+](C)C[C@H]1CN(C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)CCO1 ZINC000332903036 396901534 /nfs/dbraw/zinc/90/15/34/396901534.db2.gz QHJTVJSOFIWWQB-NEPJUHHUSA-N 0 2 307.398 0.239 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ncc3c(n2)CCOC3)n1C ZINC000634660602 396896074 /nfs/dbraw/zinc/89/60/74/396896074.db2.gz UISQUXHWKXYBHH-UHFFFAOYSA-N 0 2 309.351 0.392 20 0 DCADLN CC(C)(CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)OCCO ZINC000629994185 396908111 /nfs/dbraw/zinc/90/81/11/396908111.db2.gz MRNSAFBERYXFFP-UHFFFAOYSA-N 0 2 321.333 0.547 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2cnnn2C)c(C)s1 ZINC000600667730 396911560 /nfs/dbraw/zinc/91/15/60/396911560.db2.gz DXTBJEYKXLGLPU-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)C[NH+]2CCOCC2)C[C@H]1c1ccccc1 ZINC000571404641 396947942 /nfs/dbraw/zinc/94/79/42/396947942.db2.gz ZMJAMMAMCOEHPX-LSDHHAIUSA-N 0 2 318.373 0.645 20 0 DCADLN CC(C)(C(=O)[O-])[NH+]1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000630221969 396964935 /nfs/dbraw/zinc/96/49/35/396964935.db2.gz IDKHGBYBVRYMOE-UHFFFAOYSA-N 0 2 317.349 0.579 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)NC(=O)CO3)c1O ZINC000618442090 396981608 /nfs/dbraw/zinc/98/16/08/396981608.db2.gz MHGSEEOOTCNJTF-NSHDSACASA-N 0 2 319.273 0.005 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@H](CC(N)=O)C2)c1 ZINC000591780485 397003236 /nfs/dbraw/zinc/00/32/36/397003236.db2.gz LTPZSVJQQLYPAZ-XFNZEKPQSA-N 0 2 324.402 0.527 20 0 DCADLN CC[C@@H]1CN(C)CC[N@@H+]1C[C@@H](O)CN1CC[NH+](C)C[C@@H]1CC ZINC000572836051 397101123 /nfs/dbraw/zinc/10/11/23/397101123.db2.gz CYHVBVGPPMEYGU-BJWYYQGGSA-N 0 2 312.502 0.399 20 0 DCADLN COC(=O)c1ccccc1N(C)C(=O)C[N@H+](C)[C@H](C)C(=O)[O-] ZINC000573004166 397124271 /nfs/dbraw/zinc/12/42/71/397124271.db2.gz QKQFCWKRESLQDL-SNVBAGLBSA-N 0 2 308.334 0.841 20 0 DCADLN COC(=O)c1ccccc1N(C)C(=O)C[N@@H+](C)[C@H](C)C(=O)[O-] ZINC000573004166 397124281 /nfs/dbraw/zinc/12/42/81/397124281.db2.gz QKQFCWKRESLQDL-SNVBAGLBSA-N 0 2 308.334 0.841 20 0 DCADLN C[C@@H]1C[N@H+](C)CCN1C(=O)C(=O)Nc1cccc(C(=O)[O-])c1 ZINC000573120327 397136933 /nfs/dbraw/zinc/13/69/33/397136933.db2.gz MHODLCLGUYPPQH-SNVBAGLBSA-N 0 2 305.334 0.486 20 0 DCADLN C[C@@H]1C[N@@H+](C)CCN1C(=O)C(=O)Nc1cccc(C(=O)[O-])c1 ZINC000573120327 397136938 /nfs/dbraw/zinc/13/69/38/397136938.db2.gz MHODLCLGUYPPQH-SNVBAGLBSA-N 0 2 305.334 0.486 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000573488149 397172502 /nfs/dbraw/zinc/17/25/02/397172502.db2.gz NJPPEMUPTSKKDP-WDEREUQCSA-N 0 2 307.350 0.644 20 0 DCADLN C[C@H]1C(=O)NCCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613875854 397341425 /nfs/dbraw/zinc/34/14/25/397341425.db2.gz MCIXLUGSUZTGTM-VIFPVBQESA-N 0 2 316.317 0.381 20 0 DCADLN CCOC(=O)c1[nH]c(C)c(C[NH2+]CC[N@@H+]2CC[C@@H](O)C2)c1C ZINC000599007229 397322663 /nfs/dbraw/zinc/32/26/63/397322663.db2.gz CFWATAWIHNEMNE-CYBMUJFWSA-N 0 2 309.410 0.964 20 0 DCADLN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614452984 397441300 /nfs/dbraw/zinc/44/13/00/397441300.db2.gz GOLUQPWHJSISHC-LDYMZIIASA-N 0 2 316.317 0.284 20 0 DCADLN COCCC(=O)N1CCC(Nc2nc(COC)ns2)CC1 ZINC000486925340 397462968 /nfs/dbraw/zinc/46/29/68/397462968.db2.gz LXEHFARHNZTDEA-UHFFFAOYSA-N 0 2 314.411 0.546 20 0 DCADLN CN(C)C(=O)OC[C@@H]1CCCC[N@H+]1C[C@H](O)C[NH+](C)C1CC1 ZINC000495849586 397560868 /nfs/dbraw/zinc/56/08/68/397560868.db2.gz KNPNWPAJJFINNS-LSDHHAIUSA-N 0 2 313.442 0.994 20 0 DCADLN CCC[C@@H](C)S(=O)(=O)N(C)C(C(=O)OCC)C(=O)OCC ZINC000603349165 397599418 /nfs/dbraw/zinc/59/94/18/397599418.db2.gz DCEFKIYHLAEVHB-SNVBAGLBSA-N 0 2 323.411 0.931 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1ccc(F)cc1C(=O)OC ZINC000603978960 397629134 /nfs/dbraw/zinc/62/91/34/397629134.db2.gz XLAXSTGQIAAFHO-UHFFFAOYSA-N 0 2 319.310 0.917 20 0 DCADLN O=c1cc(CN2CCO[C@@H](C(F)(F)F)C2)nc2cc[nH]n21 ZINC000606903669 397690823 /nfs/dbraw/zinc/69/08/23/397690823.db2.gz ZQUCARZWXIHYDE-SECBINFHSA-N 0 2 302.256 0.786 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)c1cccnc1 ZINC000048242103 158006000 /nfs/dbraw/zinc/00/60/00/158006000.db2.gz YSQXBGRZEACFCA-QMMMGPOBSA-N 0 2 311.301 0.910 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCCC(=O)NC1CC1)c2=O ZINC000119159267 158183816 /nfs/dbraw/zinc/18/38/16/158183816.db2.gz QCBWBJBZWCNUMQ-UHFFFAOYSA-N 0 2 317.349 0.463 20 0 DCADLN Cc1nn(C)c(C)c1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119298485 158185497 /nfs/dbraw/zinc/18/54/97/158185497.db2.gz SZKVDQPOIKSYGZ-UHFFFAOYSA-N 0 2 314.349 0.954 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N(CCO)Cc1ccccc1 ZINC000127254610 158271850 /nfs/dbraw/zinc/27/18/50/158271850.db2.gz UFSQQVIYYZIJJH-UHFFFAOYSA-N 0 2 312.313 0.878 20 0 DCADLN CCOC(=O)CN(C1CC1)S(=O)(=O)NCC(F)(F)F ZINC000127685095 158275742 /nfs/dbraw/zinc/27/57/42/158275742.db2.gz MTKNCQNNAIEXTR-UHFFFAOYSA-N 0 2 304.290 0.411 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCc1ccc(C(N)=O)o1)c2=O ZINC000132815736 158314305 /nfs/dbraw/zinc/31/43/05/158314305.db2.gz UUVGBHJIYAIPJD-UHFFFAOYSA-N 0 2 315.289 0.296 20 0 DCADLN COc1ccc(S(O)=CC(=O)N[C@H](C)C(=O)N(C)C)cc1 ZINC000133072199 158316140 /nfs/dbraw/zinc/31/61/40/158316140.db2.gz ZHQQFYZVQGPLEU-LADRHHBVSA-N 0 2 312.391 0.396 20 0 DCADLN CC(C)C[C@@H]([NH3+])C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000315506888 159002000 /nfs/dbraw/zinc/00/20/00/159002000.db2.gz WSUXVPCUZHCHCE-CQSZACIVSA-N 0 2 306.410 0.908 20 0 DCADLN CN(C(=O)N=c1nc(C(C)(C)C)[nH]s1)[C@@H]1COC[C@H]1O ZINC000329484163 159096184 /nfs/dbraw/zinc/09/61/84/159096184.db2.gz SEVUNNQMTIEOET-HTQZYQBOSA-N 0 2 300.384 0.481 20 0 DCADLN CNC(=O)C[NH+]1CCC(N=c2nc(C(F)(F)F)[n-]s2)CC1 ZINC000341740730 159225698 /nfs/dbraw/zinc/22/56/98/159225698.db2.gz AKSYCQZSPYJVPX-UHFFFAOYSA-N 0 2 323.344 0.601 20 0 DCADLN Cc1nn(C)c2nc(C)c(C(=O)NCc3n[nH]c(=O)[nH]3)cc12 ZINC000359283612 159262286 /nfs/dbraw/zinc/26/22/86/159262286.db2.gz XWBBGDCLVMLBJB-UHFFFAOYSA-N 0 2 301.310 0.339 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)[C@@H]2C[C@@]23CCOC3)cn1 ZINC000408486469 160118270 /nfs/dbraw/zinc/11/82/70/160118270.db2.gz SQVUUPRPVYCUSY-GXFFZTMASA-N 0 2 312.347 0.322 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)NC(=O)/C=C\c1ccnn1C ZINC000255073548 287122348 /nfs/dbraw/zinc/12/23/48/287122348.db2.gz JXJSENHFXXHSFU-PLNGDYQASA-N 0 2 310.335 0.543 20 0 DCADLN CC[C@@H]1C[C@@H](C[N@@H+]2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])CCO1 ZINC000333606526 287283165 /nfs/dbraw/zinc/28/31/65/287283165.db2.gz RGNLLRJHRWWNIV-AXFHLTTASA-N 0 2 310.354 0.458 20 0 DCADLN CC[C@@H]1C[C@@H](C[N@H+]2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])CCO1 ZINC000333606526 287283168 /nfs/dbraw/zinc/28/31/68/287283168.db2.gz RGNLLRJHRWWNIV-AXFHLTTASA-N 0 2 310.354 0.458 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)O1 ZINC000268100096 415159029 /nfs/dbraw/zinc/15/90/29/415159029.db2.gz HWKOUZVFOWTYPZ-BQBZGAKWSA-N 0 2 320.396 0.806 20 0 DCADLN CN(C)c1cccnc1CNS(=O)(=O)NCC(F)(F)F ZINC000352738305 415299292 /nfs/dbraw/zinc/29/92/92/415299292.db2.gz XGAQDMVNCWDODU-UHFFFAOYSA-N 0 2 312.317 0.634 20 0 DCADLN COCCCCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000059012990 415342801 /nfs/dbraw/zinc/34/28/01/415342801.db2.gz KMWQZOHQGCZBCP-UHFFFAOYSA-N 0 2 306.322 0.570 20 0 DCADLN COC(=O)CN1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000342988077 415395110 /nfs/dbraw/zinc/39/51/10/415395110.db2.gz HAFFAZHVUPCSTO-UHFFFAOYSA-N 0 2 322.390 0.688 20 0 DCADLN COc1cc(C)c(S(=O)(=O)NN2CCCNC2=O)cc1C ZINC000275953688 415398315 /nfs/dbraw/zinc/39/83/15/415398315.db2.gz ZUNFFJDUOZOMEO-UHFFFAOYSA-N 0 2 313.379 0.921 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H](C)[C@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000275963877 415401157 /nfs/dbraw/zinc/40/11/57/415401157.db2.gz HVVWMVARAFIGDZ-GMSGAONNSA-N 0 2 318.333 0.587 20 0 DCADLN NC(=O)CCOc1ccc(NC(=O)C(N)C(F)(F)F)cc1 ZINC000353148824 415454373 /nfs/dbraw/zinc/45/43/73/415454373.db2.gz LZPFZDJRUBLBLF-SNVBAGLBSA-N 0 2 305.256 0.769 20 0 DCADLN NC(=O)CCOc1ccc(NC(=O)[C@@H](N)C(F)(F)F)cc1 ZINC000353148824 415454376 /nfs/dbraw/zinc/45/43/76/415454376.db2.gz LZPFZDJRUBLBLF-SNVBAGLBSA-N 0 2 305.256 0.769 20 0 DCADLN CC(=O)Nc1ccc(S(O)=CC(=O)N2CCOCC2)cc1 ZINC000107973143 415676651 /nfs/dbraw/zinc/67/66/51/415676651.db2.gz SDFKULTZQSJOGU-NRFANRHFSA-N 0 2 310.375 0.611 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000108064802 415676834 /nfs/dbraw/zinc/67/68/34/415676834.db2.gz XFJXKHNPDWDEEY-QFIPXVFZSA-N 0 2 324.402 0.494 20 0 DCADLN Cc1cc(C)cc(C(=O)NCC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000354041120 415727792 /nfs/dbraw/zinc/72/77/92/415727792.db2.gz GUAPUHMAACANBQ-UHFFFAOYSA-N 0 2 303.322 0.173 20 0 DCADLN COC(=O)[C@@H]1CC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288981846 415697730 /nfs/dbraw/zinc/69/77/30/415697730.db2.gz GMGVOAJZBDVDGO-GHMZBOCLSA-N 0 2 316.317 0.903 20 0 DCADLN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)NCc1cn[nH]c1C ZINC000344144231 415849893 /nfs/dbraw/zinc/84/98/93/415849893.db2.gz WJFCXCWKUHNWPT-GZMMTYOYSA-N 0 2 302.400 0.298 20 0 DCADLN O=C(NCCN1CCOCC1)C1=NN(c2ccccc2)CC1=O ZINC000121251485 415801039 /nfs/dbraw/zinc/80/10/39/415801039.db2.gz YZYWTPOKIROMOB-UHFFFAOYSA-N 0 2 316.361 0.640 20 0 DCADLN Cc1cc(C[C@@H](C)NC(=O)N[C@H](C)CN2CC[NH+](C)CC2)n[nH]1 ZINC000121554359 415808620 /nfs/dbraw/zinc/80/86/20/415808620.db2.gz BJXRSHKGVVFZEA-TZMCWYRMSA-N 0 2 322.457 0.584 20 0 DCADLN O=C(N[C@@H]1COC[C@H]1O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000297119771 415953657 /nfs/dbraw/zinc/95/36/57/415953657.db2.gz YQSIMPJXCKXJQP-ZYHUDNBSSA-N 0 2 307.281 0.207 20 0 DCADLN CN1CC[NH+]([C@H](C(=O)[N-]OC(C)(C)CO)c2ccccc2)CC1 ZINC000297183884 415955075 /nfs/dbraw/zinc/95/50/75/415955075.db2.gz LGHRLVFTNVQNKC-HNNXBMFYSA-N 0 2 321.421 0.794 20 0 DCADLN COC[C@H]1C[C@@H](OC)CN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344626646 415963473 /nfs/dbraw/zinc/96/34/73/415963473.db2.gz JIVILKHWVPUMPH-NXEZZACHSA-N 0 2 320.349 0.550 20 0 DCADLN CN(C)c1ccc(S(=O)(=O)Nc2ccnc3ncnn32)cn1 ZINC000357394032 416073339 /nfs/dbraw/zinc/07/33/39/416073339.db2.gz UVVMDDZXUGIJME-UHFFFAOYSA-N 0 2 319.350 0.386 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H](O)Cc1c(F)cccc1Cl ZINC000356907848 416003985 /nfs/dbraw/zinc/00/39/85/416003985.db2.gz VDGMVROBPUDAAC-VIFPVBQESA-N 0 2 314.704 0.523 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000356982841 416015980 /nfs/dbraw/zinc/01/59/80/416015980.db2.gz NXFIVKMATKGMFD-RQJHMYQMSA-N 0 2 300.340 0.012 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000356985033 416016419 /nfs/dbraw/zinc/01/64/19/416016419.db2.gz RSIJZDDNXAXFLB-UHFFFAOYSA-N 0 2 313.321 0.673 20 0 DCADLN Cc1ccc(C[C@H](CO)NC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000357007848 416019564 /nfs/dbraw/zinc/01/95/64/416019564.db2.gz GQUKEZVVQUTKLY-LLVKDONJSA-N 0 2 322.390 0.631 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)c1ncnn1C ZINC000357025419 416023554 /nfs/dbraw/zinc/02/35/54/416023554.db2.gz HPGLPBUQTJDQIJ-QMMMGPOBSA-N 0 2 314.305 0.649 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)c1ncnn1C ZINC000357025417 416023733 /nfs/dbraw/zinc/02/37/33/416023733.db2.gz HPGLPBUQTJDQIJ-MRVPVSSYSA-N 0 2 314.305 0.649 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2cc(C)oc2C)n1 ZINC000345072648 416039889 /nfs/dbraw/zinc/03/98/89/416039889.db2.gz SDNCEIBUVWMGMN-UHFFFAOYSA-N 0 2 314.323 0.462 20 0 DCADLN C[C@@H](C1CC1)N(C)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000175349658 416103577 /nfs/dbraw/zinc/10/35/77/416103577.db2.gz VNTQDTDMVMBNCT-ZETCQYMHSA-N 0 2 324.362 0.030 20 0 DCADLN CC[C@@H](C)N(C)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000175356656 416103897 /nfs/dbraw/zinc/10/38/97/416103897.db2.gz RMMPVQZBAPFKMR-SSDOTTSWSA-N 0 2 312.351 0.030 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)c2csc(C(=O)[O-])c2)c1 ZINC000313199500 416108031 /nfs/dbraw/zinc/10/80/31/416108031.db2.gz PDHHQEKQXIBEJC-UHFFFAOYSA-N 0 2 315.376 0.701 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccnn1Cc1ccccn1 ZINC000357732836 416124041 /nfs/dbraw/zinc/12/40/41/416124041.db2.gz JIOLBIHYOYTLGJ-UHFFFAOYSA-N 0 2 314.309 0.472 20 0 DCADLN C[NH+]1CCN(c2ncc(C[N@H+]3C[C@@H]4CC[C@H](O)[C@@H]4C3)cn2)CC1 ZINC000329601626 416180240 /nfs/dbraw/zinc/18/02/40/416180240.db2.gz QIPHTDAVLYDRPV-XHSDSOJGSA-N 0 2 317.437 0.431 20 0 DCADLN CCOC(=O)C[C@@H](C)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358359753 416227926 /nfs/dbraw/zinc/22/79/26/416227926.db2.gz TZMUQWLISGLVCB-SECBINFHSA-N 0 2 320.349 0.993 20 0 DCADLN Cc1ncccc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000341850344 416242824 /nfs/dbraw/zinc/24/28/24/416242824.db2.gz FNNWDMVOKBZUIY-UHFFFAOYSA-N 0 2 313.364 0.865 20 0 DCADLN CC(C)CCO[C@@H]1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000187173139 416260560 /nfs/dbraw/zinc/26/05/60/416260560.db2.gz RSFGCKARXNDIHB-SNVBAGLBSA-N 0 2 314.411 0.854 20 0 DCADLN O=S(=O)(NCc1nccn1C(F)F)NCC(F)(F)F ZINC000192023598 416296602 /nfs/dbraw/zinc/29/66/02/416296602.db2.gz WFRYQVDKJLXIQM-UHFFFAOYSA-N 0 2 308.232 0.765 20 0 DCADLN CCCN(CC(=O)N(C)C)S(=O)(=O)NCC(F)(F)F ZINC000192017100 416296611 /nfs/dbraw/zinc/29/66/11/416296611.db2.gz IZBKRWVWEIWABY-UHFFFAOYSA-N 0 2 305.322 0.183 20 0 DCADLN C[C@H](NC(=O)C1CCCC1)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000359522790 416349815 /nfs/dbraw/zinc/34/98/15/416349815.db2.gz LPXQCPHZTDQUTL-VIFPVBQESA-N 0 2 309.370 0.254 20 0 DCADLN O=S(=O)([N-]CC(F)(F)F)NCc1ccn2cc[nH+]c2c1 ZINC000195406777 416326373 /nfs/dbraw/zinc/32/63/73/416326373.db2.gz ODGWSSZXIRKXLO-UHFFFAOYSA-N 0 2 308.285 0.821 20 0 DCADLN CCOC(=O)[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@@H](C)CC ZINC000195318013 416326378 /nfs/dbraw/zinc/32/63/78/416326378.db2.gz YAVRSFLXGLEOOU-YUMQZZPRSA-N 0 2 320.333 0.951 20 0 DCADLN COC[C@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@@H](C)O1 ZINC000195466326 416326712 /nfs/dbraw/zinc/32/67/12/416326712.db2.gz CLOACOIISXUIAD-HTQZYQBOSA-N 0 2 306.306 0.119 20 0 DCADLN COc1c(NS(=O)(=O)c2ccnn2C)cccc1C(N)=O ZINC000360288033 416384252 /nfs/dbraw/zinc/38/42/52/416384252.db2.gz ZVUPPJLNSVXTRV-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN COCCOCc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000360316623 416385873 /nfs/dbraw/zinc/38/58/73/416385873.db2.gz MXJYCLWMXCZLHT-UHFFFAOYSA-N 0 2 306.322 0.603 20 0 DCADLN CSCC[C@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000361670665 416472731 /nfs/dbraw/zinc/47/27/31/416472731.db2.gz KQAJMGXMORSQQI-MRVPVSSYSA-N 0 2 324.362 0.280 20 0 DCADLN CCOC(=O)c1cnc(N2CC[NH+]([C@@H](C)C(=O)[O-])CC2)s1 ZINC000583544110 416473285 /nfs/dbraw/zinc/47/32/85/416473285.db2.gz UZNWGFVCAHQAEQ-VIFPVBQESA-N 0 2 313.379 0.915 20 0 DCADLN C[C@@H](C[C@@H]1COCC[NH2+]1)[NH2+]CC(=O)NCCc1ccccc1 ZINC000422942275 416450479 /nfs/dbraw/zinc/45/04/79/416450479.db2.gz WIKPNJLKSHLOFA-GOEBONIOSA-N 0 2 305.422 0.702 20 0 DCADLN CC(C)[N@@H+]1CC[C@@H](N(C)C(=O)NCC[NH+]2CCOC[C@H]2C)C1 ZINC000458315742 416450605 /nfs/dbraw/zinc/45/06/05/416450605.db2.gz ZMPCZQUIXJFWEG-HUUCEWRRSA-N 0 2 312.458 0.831 20 0 DCADLN C[C@H](C[C@@H]1COCC[NH2+]1)[NH2+]CC(=O)NCCc1ccccc1 ZINC000422942274 416450828 /nfs/dbraw/zinc/45/08/28/416450828.db2.gz WIKPNJLKSHLOFA-GDBMZVCRSA-N 0 2 305.422 0.702 20 0 DCADLN NS(=O)(=O)[C@H]1CCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000436521376 416563049 /nfs/dbraw/zinc/56/30/49/416563049.db2.gz DDISCWSABGPXSF-ZETCQYMHSA-N 0 2 306.290 0.173 20 0 DCADLN NC(=O)NC(=O)CCNC(=O)c1cc(F)cc(Cl)c1O ZINC000436786600 416569045 /nfs/dbraw/zinc/56/90/45/416569045.db2.gz DVERTPXYCUQQFR-UHFFFAOYSA-N 0 2 303.677 0.500 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)c2ccccc2C)n1 ZINC000437304113 416582010 /nfs/dbraw/zinc/58/20/10/416582010.db2.gz KKRAMECJPSKSKB-UHFFFAOYSA-N 0 2 309.351 0.133 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)c2sccc2C)n1 ZINC000437333975 416582245 /nfs/dbraw/zinc/58/22/45/416582245.db2.gz UPVILVNYEKNZQH-UHFFFAOYSA-N 0 2 315.380 0.195 20 0 DCADLN COCC[C@@H](CO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000439558029 416617474 /nfs/dbraw/zinc/61/74/74/416617474.db2.gz QONCNVHIVQXPHO-NSHDSACASA-N 0 2 307.306 0.157 20 0 DCADLN NC(=O)C[C@H](NC(=O)c1cccc2[nH]nnc21)C1CCOCC1 ZINC000542820915 416631819 /nfs/dbraw/zinc/63/18/19/416631819.db2.gz DULGZTFWVWDDTJ-LBPRGKRZSA-N 0 2 317.349 0.358 20 0 DCADLN CC(C)C[C@H](CNC(=O)C[N@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000424246342 416632329 /nfs/dbraw/zinc/63/23/29/416632329.db2.gz LIFPHFKMOYNZSS-GFCCVEGCSA-N 0 2 315.414 0.306 20 0 DCADLN CC(C)C[C@H](CNC(=O)C[N@@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000424246342 416632333 /nfs/dbraw/zinc/63/23/33/416632333.db2.gz LIFPHFKMOYNZSS-GFCCVEGCSA-N 0 2 315.414 0.306 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H](C(N)=O)C(C)C)c1 ZINC000424668074 416666213 /nfs/dbraw/zinc/66/62/13/416666213.db2.gz WFUXKTOCKYLIRL-YEJXKQKISA-N 0 2 312.391 0.429 20 0 DCADLN COCCN(C)S(=O)(=O)Nc1c(C)cccc1C(N)=O ZINC000514989490 416686903 /nfs/dbraw/zinc/68/69/03/416686903.db2.gz SDRHZCXHBMKIGK-UHFFFAOYSA-N 0 2 301.368 0.329 20 0 DCADLN O=C(COCc1cccnc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000516294960 416721442 /nfs/dbraw/zinc/72/14/42/416721442.db2.gz GFCUPGJWYXCYGU-LBPRGKRZSA-N 0 2 317.349 0.828 20 0 DCADLN CC(C)(C)NC(=O)CCNS(=O)(=O)NCC(F)(F)F ZINC000442951855 416723520 /nfs/dbraw/zinc/72/35/20/416723520.db2.gz IOTUDHLOVDCDJA-UHFFFAOYSA-N 0 2 305.322 0.278 20 0 DCADLN C[C@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@@H](C2CC2)O1 ZINC000369123291 416726475 /nfs/dbraw/zinc/72/64/75/416726475.db2.gz ZSUXUVVAZMGYEZ-CBAPKCEASA-N 0 2 302.318 0.882 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H](CO)CC(F)(F)F ZINC000443023750 416726845 /nfs/dbraw/zinc/72/68/45/416726845.db2.gz HRJZQJCEFYSPRQ-SCSAIBSYSA-N 0 2 304.212 0.286 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cnn(CC(F)F)c2)nn1C ZINC000559198834 416805403 /nfs/dbraw/zinc/80/54/03/416805403.db2.gz YRATVOCEISVZBJ-UHFFFAOYSA-N 0 2 306.298 0.386 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H](CO)[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000427818096 416849122 /nfs/dbraw/zinc/84/91/22/416849122.db2.gz HDRBQYSMDUWRRM-RKDXNWHRSA-N 0 2 318.333 0.587 20 0 DCADLN NC(=O)[C@@H]1CCC[N@@H+]1CCC[NH2+]Cc1cccc2c1OCO2 ZINC000623618231 416851736 /nfs/dbraw/zinc/85/17/36/416851736.db2.gz IXVMBFCOOPYYPN-ZDUSSCGKSA-N 0 2 305.378 0.845 20 0 DCADLN CS(=O)(=O)c1ccccc1S(=O)(=O)Nc1cnoc1 ZINC000623946576 416925334 /nfs/dbraw/zinc/92/53/34/416925334.db2.gz VTGZJSMMNHERLO-UHFFFAOYSA-N 0 2 302.333 0.879 20 0 DCADLN CCOC(=O)CSc1cncc(NCc2n[nH]c(=O)[nH]2)n1 ZINC000446854030 416950601 /nfs/dbraw/zinc/95/06/01/416950601.db2.gz UJUUQORTYYJISU-UHFFFAOYSA-N 0 2 310.339 0.568 20 0 DCADLN O=C(Nc1nncs1)c1ccc(NCc2n[nH]c(=O)[nH]2)nc1 ZINC000446852595 416952429 /nfs/dbraw/zinc/95/24/29/416952429.db2.gz PPICHYIACPPEHP-UHFFFAOYSA-N 0 2 318.322 0.621 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)Cc3nnnn3C)n[nH]2)cc1 ZINC000521857210 417030814 /nfs/dbraw/zinc/03/08/14/417030814.db2.gz YPMHQNZDVIUXCE-UHFFFAOYSA-N 0 2 319.350 0.278 20 0 DCADLN Cc1ccc(S(=O)(=O)NCc2n[nH]c(=O)n2C)c(F)c1 ZINC000641653678 416967631 /nfs/dbraw/zinc/96/76/31/416967631.db2.gz LNJBORSWADPZFV-UHFFFAOYSA-N 0 2 300.315 0.447 20 0 DCADLN O=S(=O)(Nc1cnn2c1CCCC2)c1cnn2c1OCCC2 ZINC000641694727 416979574 /nfs/dbraw/zinc/97/95/74/416979574.db2.gz PDHRLQBEERFQKG-UHFFFAOYSA-N 0 2 323.378 0.999 20 0 DCADLN CON1CCC(NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000616387116 417033916 /nfs/dbraw/zinc/03/39/16/417033916.db2.gz DIPCLYHMEWAOJJ-UHFFFAOYSA-N 0 2 318.333 0.786 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(c2cc(NC[C@@H](C)O)[nH+]cn2)C1 ZINC000521050675 416988497 /nfs/dbraw/zinc/98/84/97/416988497.db2.gz YNKOUZKMOAUXLV-QMTHXVAHSA-N 0 2 310.354 0.197 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(c2cc(NC[C@@H](C)O)nc[nH+]2)C1 ZINC000521050675 416988504 /nfs/dbraw/zinc/98/85/04/416988504.db2.gz YNKOUZKMOAUXLV-QMTHXVAHSA-N 0 2 310.354 0.197 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000430650000 417054231 /nfs/dbraw/zinc/05/42/31/417054231.db2.gz BQVCNRLITXCCIM-MNOVXSKESA-N 0 2 308.334 0.348 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000430650000 417054235 /nfs/dbraw/zinc/05/42/35/417054235.db2.gz BQVCNRLITXCCIM-MNOVXSKESA-N 0 2 308.334 0.348 20 0 DCADLN CC(C)(C)c1cc(NCCc2nc(N)no2)nc(C(=O)[O-])[nH+]1 ZINC000567113754 417055576 /nfs/dbraw/zinc/05/55/76/417055576.db2.gz PBVOCKJDSLSCEW-UHFFFAOYSA-N 0 2 306.326 0.514 20 0 DCADLN CCN1C[C@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC000568366426 417126854 /nfs/dbraw/zinc/12/68/54/417126854.db2.gz BNWUBFMROONAHX-NXEZZACHSA-N 0 2 307.354 0.085 20 0 DCADLN O=C(CC[N@H+]1CCOC[C@@H]1C(=O)[O-])Nc1ccc2c(c1)OCO2 ZINC000643054378 417150326 /nfs/dbraw/zinc/15/03/26/417150326.db2.gz RZBYWSATQGGENG-LLVKDONJSA-N 0 2 322.317 0.529 20 0 DCADLN O=C(CC[N@@H+]1CCOC[C@@H]1C(=O)[O-])Nc1ccc2c(c1)OCO2 ZINC000643054378 417150331 /nfs/dbraw/zinc/15/03/31/417150331.db2.gz RZBYWSATQGGENG-LLVKDONJSA-N 0 2 322.317 0.529 20 0 DCADLN CCCN1C[C@@H](CNC(=O)c2cccc3[nH]nnc32)CC1=O ZINC000643355076 417179394 /nfs/dbraw/zinc/17/93/94/417179394.db2.gz XITSSQOTVLPKJQ-SNVBAGLBSA-N 0 2 301.350 0.946 20 0 DCADLN COCCO[C@@H]1COCC[C@@H]1NC(=O)c1cccc2[nH]nnc21 ZINC000526122102 417183785 /nfs/dbraw/zinc/18/37/85/417183785.db2.gz AJVWTIKSIMDQFU-WCQYABFASA-N 0 2 320.349 0.508 20 0 DCADLN CN(C)C(=O)C[NH+]1CCN(Cc2cccc(C(=O)[O-])c2)CC1 ZINC000637795228 417185901 /nfs/dbraw/zinc/18/59/01/417185901.db2.gz VPTDMELJDSRNHJ-UHFFFAOYSA-N 0 2 305.378 0.591 20 0 DCADLN COCC[C@@H](Nc1nc(-c2ccc(OC)cc2)no1)C(N)=O ZINC000450427867 417226063 /nfs/dbraw/zinc/22/60/63/417226063.db2.gz TYQJWKWQLLHKHN-LLVKDONJSA-N 0 2 306.322 1.048 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)N(C)C(=O)CO3)cn1 ZINC000438726586 287375267 /nfs/dbraw/zinc/37/52/67/287375267.db2.gz UZEKGRFRKNEFIP-UHFFFAOYSA-N 0 2 322.346 0.576 20 0 DCADLN CCNc1nc(NCC23CC(C2)CO3)nc(NC(C)(C)C)[nH+]1 ZINC000528184931 417314036 /nfs/dbraw/zinc/31/40/36/417314036.db2.gz GPMDIFRZSJWHPT-UHFFFAOYSA-N 0 2 306.414 0.949 20 0 DCADLN COc1ccc(OC)c(C[N@@H+]2CCN3C(=O)[C@H]([NH3+])C[C@@H]3C2)c1 ZINC000570827924 417396275 /nfs/dbraw/zinc/39/62/75/417396275.db2.gz QPFOPHQSBPIQGA-TZMCWYRMSA-N 0 2 305.378 0.448 20 0 DCADLN O=C([O-])c1cccc(C[NH+]2CCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000530165013 417546710 /nfs/dbraw/zinc/54/67/10/417546710.db2.gz MXXHJOUDJBDYGZ-UHFFFAOYSA-N 0 2 324.402 0.995 20 0 DCADLN O=C(NCC12CC(C1)CO2)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000529876765 417519081 /nfs/dbraw/zinc/51/90/81/417519081.db2.gz TXPGXOKACUVIRQ-UHFFFAOYSA-N 0 2 302.290 0.345 20 0 DCADLN Cc1[nH+]ccn1CCNC(=O)NCC(C)(C)N1CC[NH+](C)CC1 ZINC000623025004 417525738 /nfs/dbraw/zinc/52/57/38/417525738.db2.gz FYRVBCDPTFDBSG-UHFFFAOYSA-N 0 2 322.457 0.517 20 0 DCADLN CN(Cc1nnc[nH]1)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000580417997 417699458 /nfs/dbraw/zinc/69/94/58/417699458.db2.gz CEBNXKDEXMFBQO-UHFFFAOYSA-N 0 2 306.351 0.259 20 0 DCADLN CCOC(=O)c1ccc(NS(=O)(=O)c2cnn(C)c2)cn1 ZINC000532025630 417653746 /nfs/dbraw/zinc/65/37/46/417653746.db2.gz VRNGXYKYVFMYHC-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN CCCc1nc(=NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)s[n-]1 ZINC000577207287 417675059 /nfs/dbraw/zinc/67/50/59/417675059.db2.gz ALXPZZQCLVKOEW-ZJUUUORDSA-N 0 2 311.411 0.459 20 0 DCADLN CCCc1nc(=NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)s[n-]1 ZINC000577207287 417675061 /nfs/dbraw/zinc/67/50/61/417675061.db2.gz ALXPZZQCLVKOEW-ZJUUUORDSA-N 0 2 311.411 0.459 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@H]2C[N@H+](C)C[C@@H]21 ZINC000645620371 417764483 /nfs/dbraw/zinc/76/44/83/417764483.db2.gz FINQDEFNCYGPMP-JQWIXIFHSA-N 0 2 304.350 0.191 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ccnc(OC)[nH]2)[C@@H](CC)CO1 ZINC000651987799 417828334 /nfs/dbraw/zinc/82/83/34/417828334.db2.gz JGFOMQBYIMZLJT-WDEREUQCSA-N 0 2 322.365 0.262 20 0 DCADLN Cc1nc(=NC(=O)C(=O)N[C@@H]2C[C@H]2c2ccccc2C)[nH]n1C ZINC000651979641 417829028 /nfs/dbraw/zinc/82/90/28/417829028.db2.gz CCERITTTXWGSFV-QWHCGFSZSA-N 0 2 313.361 0.465 20 0 DCADLN CN(C)C(=O)Nc1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000629300908 417774733 /nfs/dbraw/zinc/77/47/33/417774733.db2.gz VCGZSWZCVSTAPR-UHFFFAOYSA-N 0 2 324.366 0.709 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(F)cc2C)[nH]1 ZINC000657104640 417812114 /nfs/dbraw/zinc/81/21/14/417812114.db2.gz QOZBUSMHFYMKLJ-UHFFFAOYSA-N 0 2 314.342 0.877 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(C)s2)[nH]1 ZINC000657103109 417812991 /nfs/dbraw/zinc/81/29/91/417812991.db2.gz BBEVRKDLVSMMQR-UHFFFAOYSA-N 0 2 302.381 0.800 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H](C)COCC2CC2)[nH]1 ZINC000652154491 417852949 /nfs/dbraw/zinc/85/29/49/417852949.db2.gz GVXUEPDPZXYFDJ-SNVBAGLBSA-N 0 2 309.370 0.151 20 0 DCADLN Cc1nc(=NC(=O)C(=O)N[C@@H](C)[C@@H]2CC3CCC2CC3)[nH]n1C ZINC000652335506 417886621 /nfs/dbraw/zinc/88/66/21/417886621.db2.gz MJTFNZQKJNKACQ-ZIUBGBMXSA-N 0 2 319.409 0.815 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+](Cc3cccnc3)CC2)CCC1 ZINC000663037679 417980474 /nfs/dbraw/zinc/98/04/74/417980474.db2.gz JCSGGDGQQFLXLB-UHFFFAOYSA-N 0 2 303.362 0.981 20 0 DCADLN C[C@@H](O)CNc1cc(N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)nc[nH+]1 ZINC000652538435 417928823 /nfs/dbraw/zinc/92/88/23/417928823.db2.gz YHXYDIUROOAHPM-ADEWGFFLSA-N 0 2 306.366 0.816 20 0 DCADLN C[C@@H](O)CNc1cc(N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)[nH+]cn1 ZINC000652538435 417928827 /nfs/dbraw/zinc/92/88/27/417928827.db2.gz YHXYDIUROOAHPM-ADEWGFFLSA-N 0 2 306.366 0.816 20 0 DCADLN CC(C)c1nc(N(C)C)nc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@@H]2O)[nH+]1 ZINC000662897076 417940954 /nfs/dbraw/zinc/94/09/54/417940954.db2.gz MYYXVMSBRHWWKR-BBBLOLIVSA-N 0 2 309.370 0.697 20 0 DCADLN CC(C)c1nc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@@H]2O)nc(N(C)C)[nH+]1 ZINC000662897076 417940956 /nfs/dbraw/zinc/94/09/56/417940956.db2.gz MYYXVMSBRHWWKR-BBBLOLIVSA-N 0 2 309.370 0.697 20 0 DCADLN C[NH+]1C[C@H]2[C@@H](CCN2C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000646940812 417955225 /nfs/dbraw/zinc/95/52/25/417955225.db2.gz NUDWFQYIWMWANF-BPUTZDHNSA-N 0 2 307.438 0.792 20 0 DCADLN CN=c1[nH]nc(CC(=O)N[C@H](C2CC2)C2CCOCC2)s1 ZINC000652770282 417965001 /nfs/dbraw/zinc/96/50/01/417965001.db2.gz ZKOFSXCEOPVWET-CYBMUJFWSA-N 0 2 310.423 0.866 20 0 DCADLN O=C([O-])c1ccc(N2CCC[C@@H](C(=O)N3CCOCC3)C2)[nH+]c1 ZINC000647448650 418010909 /nfs/dbraw/zinc/01/09/09/418010909.db2.gz VBLGMMQDSQGZQG-CYBMUJFWSA-N 0 2 319.361 0.855 20 0 DCADLN COCCn1nnc2c1CCN(c1ccc(C(=O)[O-])c[nH+]1)C2 ZINC000647455867 418011881 /nfs/dbraw/zinc/01/18/81/418011881.db2.gz SLGWIMMOKPSSSS-UHFFFAOYSA-N 0 2 303.322 0.580 20 0 DCADLN CC[C@H](NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C)C(=O)[O-] ZINC000647488624 418014113 /nfs/dbraw/zinc/01/41/13/418014113.db2.gz ICHZDKVZTYZAEY-JTQLQIEISA-N 0 2 318.333 0.800 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC000647589375 418028541 /nfs/dbraw/zinc/02/85/41/418028541.db2.gz ILPIEFMSGZYFMZ-QWHCGFSZSA-N 0 2 319.361 0.684 20 0 DCADLN CC(C)(C)OCc1cc(C(=O)Nc2n[nH]c3ncnn23)no1 ZINC000647737730 418047498 /nfs/dbraw/zinc/04/74/98/418047498.db2.gz WEMYIHMBHCRTGI-UHFFFAOYSA-N 0 2 305.298 0.430 20 0 DCADLN Cc1nc(N2CCN(C(=O)C3(C(=O)[O-])CCC3)CC2)cc[nH+]1 ZINC000663043006 417980989 /nfs/dbraw/zinc/98/09/89/417980989.db2.gz AOYUAQASFWXKBR-UHFFFAOYSA-N 0 2 304.350 0.689 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@@]3(C(=O)[O-])CC3(C)C)CC2)cc[nH+]1 ZINC000663043708 417981776 /nfs/dbraw/zinc/98/17/76/417981776.db2.gz IAFASSXVFYSZDG-MRXNPFEDSA-N 0 2 318.377 0.935 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000663102193 417989776 /nfs/dbraw/zinc/98/97/76/417989776.db2.gz XRVFVIAJHBGBOY-CQSZACIVSA-N 0 2 312.391 0.882 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@@H](C(=O)[O-])C(C)(C)C)[NH+]1CCOCC1 ZINC000663113400 417991514 /nfs/dbraw/zinc/99/15/14/417991514.db2.gz CBXMKUSIYMNUPT-TUAOUCFPSA-N 0 2 300.399 0.959 20 0 DCADLN O=C(c1ccc(F)cc1O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652901197 417993809 /nfs/dbraw/zinc/99/38/09/417993809.db2.gz SRNSSKDGNWTVLY-UHFFFAOYSA-N 0 2 305.313 0.607 20 0 DCADLN Cc1cc(C(=O)N[C@@H](CO)CCF)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000652915468 417997969 /nfs/dbraw/zinc/99/79/69/417997969.db2.gz LKTQVYFFTSVGIH-SSDOTTSWSA-N 0 2 310.285 0.195 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c2[nH+]ccn21 ZINC000659406526 418092862 /nfs/dbraw/zinc/09/28/62/418092862.db2.gz SMEJOXOLOKCQMB-YYHMBLRTSA-N 0 2 320.349 0.632 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2C[C@H](C)[C@@](C)(CO)C2)n1 ZINC000664595211 418138412 /nfs/dbraw/zinc/13/84/12/418138412.db2.gz FMWBBHRITFLOBZ-XHDPSFHLSA-N 0 2 324.429 0.551 20 0 DCADLN CCCN(C)C(=O)[C@@H]1CSCN1C(=O)c1n[nH]c(C)c1O ZINC000648231555 418120059 /nfs/dbraw/zinc/12/00/59/418120059.db2.gz NOPPPMLSWWCCBW-VIFPVBQESA-N 0 2 312.395 0.807 20 0 DCADLN COCc1nnc(CNC(=O)N[C@H]2Cc3cccc(O)c3C2)[nH]1 ZINC000664752527 418151438 /nfs/dbraw/zinc/15/14/38/418151438.db2.gz FWLJOBKIFVIDJW-JTQLQIEISA-N 0 2 317.349 0.623 20 0 DCADLN CN1CC[N@H+](Cc2ccccc2)[C@@H](CNC(=O)CCC(=O)[O-])C1 ZINC000659786272 418182470 /nfs/dbraw/zinc/18/24/70/418182470.db2.gz UKCSETKTHGWJMV-HNNXBMFYSA-N 0 2 319.405 0.784 20 0 DCADLN CN1CC[N@@H+](Cc2ccccc2)[C@@H](CNC(=O)CCC(=O)[O-])C1 ZINC000659786272 418182473 /nfs/dbraw/zinc/18/24/73/418182473.db2.gz UKCSETKTHGWJMV-HNNXBMFYSA-N 0 2 319.405 0.784 20 0 DCADLN CCOc1nc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)co1 ZINC000648893515 418170900 /nfs/dbraw/zinc/17/09/00/418170900.db2.gz AADJUYALAOKXMG-MRVPVSSYSA-N 0 2 307.310 0.917 20 0 DCADLN O=C(c1cn(C2CCC2)nn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893005 418171413 /nfs/dbraw/zinc/17/14/13/418171413.db2.gz ARJCXNXIEGKSHU-SECBINFHSA-N 0 2 317.353 0.847 20 0 DCADLN O=C(NCc1n[nH]c([C@@H]2CCCO2)n1)c1ccc2n[nH]nc2c1 ZINC000655236956 418243271 /nfs/dbraw/zinc/24/32/71/418243271.db2.gz JEOHGGOAAXUYCE-NSHDSACASA-N 0 2 313.321 0.858 20 0 DCADLN CC[C@@H](C)C[C@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000649051037 418187098 /nfs/dbraw/zinc/18/70/98/418187098.db2.gz JTKGFARJEOKBQB-PSASIEDQSA-N 0 2 320.349 0.963 20 0 DCADLN COc1cccc([C@@H]2C[C@H]2C(=O)N=c2cc(C(N)=O)[nH][nH]2)c1 ZINC000649178728 418206305 /nfs/dbraw/zinc/20/63/05/418206305.db2.gz CUAQULONXZZFRF-WDEREUQCSA-N 0 2 300.318 0.681 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1CCCO)[C@H]1CCc2c[nH+]cn2C1 ZINC000649225739 418212818 /nfs/dbraw/zinc/21/28/18/418212818.db2.gz FQPUOJUVROQXSO-KBPBESRZSA-N 0 2 306.410 0.409 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)NCC[C@@]1(O)CCCOC1 ZINC000650240502 418299182 /nfs/dbraw/zinc/29/91/82/418299182.db2.gz IENYCJCPIGFAHX-AWEZNQCLSA-N 0 2 309.370 0.089 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N1CC(=O)Nc2ccccc21 ZINC000650514304 418313861 /nfs/dbraw/zinc/31/38/61/418313861.db2.gz DLWZPEXPINOTPK-NSHDSACASA-N 0 2 305.334 0.767 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N1CC(=O)Nc2ccccc21 ZINC000650514304 418313864 /nfs/dbraw/zinc/31/38/64/418313864.db2.gz DLWZPEXPINOTPK-NSHDSACASA-N 0 2 305.334 0.767 20 0 DCADLN CC(C)[C@H](CNC(=O)C(=O)N(C)CCCn1cc[nH+]c1)C(=O)[O-] ZINC000656011559 418316952 /nfs/dbraw/zinc/31/69/52/418316952.db2.gz MQXVEPQWMUCQLZ-LBPRGKRZSA-N 0 2 324.381 0.205 20 0 DCADLN Cc1nc(N2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)cc[nH+]1 ZINC000650775202 418323933 /nfs/dbraw/zinc/32/39/33/418323933.db2.gz PDVAPCNBCMGDQV-LLVKDONJSA-N 0 2 304.350 0.687 20 0 DCADLN CCS(=O)(=O)N(C)CCCNc1cc[nH+]c(C(=O)[O-])c1 ZINC000650766606 418323992 /nfs/dbraw/zinc/32/39/92/418323992.db2.gz POMANIIQIFKTLA-UHFFFAOYSA-N 0 2 301.368 0.863 20 0 DCADLN CCCn1c(=O)[nH]nc1S(=O)(=O)[C@@H](C)c1n[nH]c(CC)n1 ZINC000656554094 418362822 /nfs/dbraw/zinc/36/28/22/418362822.db2.gz DJIQDSHTGTYBSZ-ZETCQYMHSA-N 0 2 314.371 0.609 20 0 DCADLN COc1cccnc1NS(=O)(=O)C[C@@H](OC)[C@H]1CCOC1 ZINC000656727522 418374288 /nfs/dbraw/zinc/37/42/88/418374288.db2.gz NBRHRCSUNYAGLD-CMPLNLGQSA-N 0 2 316.379 0.883 20 0 DCADLN CCn1ncc(C)c1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000656741335 418374830 /nfs/dbraw/zinc/37/48/30/418374830.db2.gz BOHNEBXVAWLVCO-UHFFFAOYSA-N 0 2 311.367 0.450 20 0 DCADLN Cc1ccc(NC(=O)C[N@@H+](C)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662201933 418387799 /nfs/dbraw/zinc/38/77/99/418387799.db2.gz WJQYADSQVNFYNE-CYBMUJFWSA-N 0 2 319.361 0.551 20 0 DCADLN Cc1ccc(NC(=O)C[N@H+](C)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662201933 418387801 /nfs/dbraw/zinc/38/78/01/418387801.db2.gz WJQYADSQVNFYNE-CYBMUJFWSA-N 0 2 319.361 0.551 20 0 DCADLN Cc1ccccc1N1CC[NH+]([C@@H]2CCN(CC(=O)[O-])C2=O)CC1 ZINC000662207469 418388614 /nfs/dbraw/zinc/38/86/14/418388614.db2.gz YBASWQVQMJCEFN-OAHLLOKOSA-N 0 2 317.389 0.803 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCO[C@H](Cc3ccccc3)C2)C1=O ZINC000662211823 418389111 /nfs/dbraw/zinc/38/91/11/418389111.db2.gz SFFHIKVMCRZRAU-CABCVRRESA-N 0 2 318.373 0.615 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCO[C@H](Cc3ccccc3)C2)C1=O ZINC000662211823 418389113 /nfs/dbraw/zinc/38/91/13/418389113.db2.gz SFFHIKVMCRZRAU-CABCVRRESA-N 0 2 318.373 0.615 20 0 DCADLN O=C([O-])CN1CC[C@H](N2CC[NH+](Cc3cccs3)CC2)C1=O ZINC000662205907 418389130 /nfs/dbraw/zinc/38/91/30/418389130.db2.gz NPOAEMNPICRPMF-ZDUSSCGKSA-N 0 2 323.418 0.551 20 0 DCADLN C[C@@H]1C[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C[C@](C)(C(F)F)O1 ZINC000662238182 418393108 /nfs/dbraw/zinc/39/31/08/418393108.db2.gz ZWUXBRQWPBQWPP-JRKPZEMJSA-N 0 2 306.309 0.416 20 0 DCADLN C[C@@H]1C[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C[C@](C)(C(F)F)O1 ZINC000662238182 418393110 /nfs/dbraw/zinc/39/31/10/418393110.db2.gz ZWUXBRQWPBQWPP-JRKPZEMJSA-N 0 2 306.309 0.416 20 0 DCADLN COCCC1(NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCC1 ZINC000651764717 418409241 /nfs/dbraw/zinc/40/92/41/418409241.db2.gz LXLKCVDLBYHQHY-UHFFFAOYSA-N 0 2 309.370 0.295 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC[C@H]2[C@H]2CCCO2)[nH]1 ZINC000651778019 418409819 /nfs/dbraw/zinc/40/98/19/418409819.db2.gz IOJARXFKZJUSPA-NWDGAFQWSA-N 0 2 321.381 0.389 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)C[C@@H]2CCCC[C@@H]2O)[nH]1 ZINC000651656283 418401416 /nfs/dbraw/zinc/40/14/16/418401416.db2.gz YHWQTUSXDLHVIN-RYUDHWBXSA-N 0 2 323.397 0.229 20 0 DCADLN CCCCCOC1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651721886 418406091 /nfs/dbraw/zinc/40/60/91/418406091.db2.gz WCWJLJQSXLPATF-UHFFFAOYSA-N 0 2 323.397 0.637 20 0 DCADLN CCc1oc(C(=O)OC)cc1S(=O)(=O)Nc1ncn(C)n1 ZINC000451028423 287454193 /nfs/dbraw/zinc/45/41/93/287454193.db2.gz JDVMSNUXXXXAJU-UHFFFAOYSA-N 0 2 314.323 0.558 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C/c2ccnn2C)cn1 ZINC000492097594 287563738 /nfs/dbraw/zinc/56/37/38/287563738.db2.gz XKAOLKHJYRFYTD-SNAWJCMRSA-N 0 2 309.351 0.155 20 0 DCADLN Cc1cc(C(=O)N(C)CC2(O)CCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000354266473 261199187 /nfs/dbraw/zinc/19/91/87/261199187.db2.gz DCPLROJZOTVMEF-UHFFFAOYSA-N 0 2 318.333 0.731 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC(n2ccnn2)C1 ZINC000354705695 261262490 /nfs/dbraw/zinc/26/24/90/261262490.db2.gz ODJJSANUKARVPE-UHFFFAOYSA-N 0 2 310.317 0.867 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](O)C(F)F)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000355158020 261313706 /nfs/dbraw/zinc/31/37/06/261313706.db2.gz SDWLICMZOSEMRD-ZCFIWIBFSA-N 0 2 314.248 0.100 20 0 DCADLN C[C@H](CC[S@](C)=O)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000359438995 261744808 /nfs/dbraw/zinc/74/48/08/261744808.db2.gz MYISWPQJBXEYMS-YNSPTIENSA-N 0 2 324.362 0.323 20 0 DCADLN COc1cn(-c2ccccc2)nc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000359822206 261772450 /nfs/dbraw/zinc/77/24/50/261772450.db2.gz ZURSKZBIIDRYHI-UHFFFAOYSA-N 0 2 314.305 0.635 20 0 DCADLN CC(C)[C@@H](CO)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000362336169 262023688 /nfs/dbraw/zinc/02/36/88/262023688.db2.gz UZTLHELSKUODCJ-ZCFIWIBFSA-N 0 2 308.385 0.113 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(=O)N(C)C ZINC000362387222 262028779 /nfs/dbraw/zinc/02/87/79/262028779.db2.gz OOWMUYSGJZEFQU-MRVPVSSYSA-N 0 2 304.306 0.236 20 0 DCADLN Cn1cnc(CCNC(=O)C2=NN(c3ccccc3)CC2=O)n1 ZINC000362930260 262088230 /nfs/dbraw/zinc/08/82/30/262088230.db2.gz KSJSGYGZGNNBKW-UHFFFAOYSA-N 0 2 312.333 0.679 20 0 DCADLN Cc1ccc(S(=O)(=O)CCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000443520924 271714056 /nfs/dbraw/zinc/71/40/56/271714056.db2.gz HLERNPSYGJGCOC-UHFFFAOYSA-N 0 2 324.362 0.299 20 0 DCADLN COC(=O)N1CC(NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000492243343 272118189 /nfs/dbraw/zinc/11/81/89/272118189.db2.gz LDURSOVOSUPOAP-UHFFFAOYSA-N 0 2 318.289 0.210 20 0 DCADLN COc1cncc(/C=C\C(=O)NS(=O)(=O)c2cnn(C)c2)c1 ZINC000492517720 272132659 /nfs/dbraw/zinc/13/26/59/272132659.db2.gz AACIJXIKOKKFMP-ARJAWSKDSA-N 0 2 322.346 0.342 20 0 DCADLN C[C@](O)(CNC(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC000492655087 272139936 /nfs/dbraw/zinc/13/99/36/272139936.db2.gz YFIGACZPUVFCJB-OUOZUETKSA-N 0 2 315.329 0.837 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C\C1CCOCC1 ZINC000493417523 272187230 /nfs/dbraw/zinc/18/72/30/272187230.db2.gz JMKFJNOJZLIUOJ-ARJAWSKDSA-N 0 2 313.379 0.516 20 0 DCADLN O=C(C=Cc1cnccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493566424 272196042 /nfs/dbraw/zinc/19/60/42/272196042.db2.gz YSMKXIBDJXQBIU-FSIBCCDJSA-N 0 2 300.322 0.720 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/c2cncc(C)c2)cnn1C ZINC000493736228 272207586 /nfs/dbraw/zinc/20/75/86/272207586.db2.gz DTHMQYSWONDWDY-SNAWJCMRSA-N 0 2 320.374 0.950 20 0 DCADLN CC(C)(C)OC(=O)N1CC(CC(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000494399315 272220370 /nfs/dbraw/zinc/22/03/70/272220370.db2.gz LGTKYPYIGXYTIH-UHFFFAOYSA-N 0 2 312.326 0.811 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2CC(F)(F)C[C@@H]2C(=O)[O-])c1 ZINC001650021922 1173383761 /nfs/dbraw/zinc/38/37/61/1173383761.db2.gz DKWBMDNCCCAQNK-SECBINFHSA-N 0 2 302.281 0.466 20 0 DCADLN CC(C)(C)c1nc(=NC[C@@H]2CS(=O)(=O)CCO2)s[nH]1 ZINC000547958812 288095828 /nfs/dbraw/zinc/09/58/28/288095828.db2.gz HVGVMCNIBPJADA-MRVPVSSYSA-N 0 2 305.425 0.483 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@H]1CCC(=O)N1 ZINC000548441066 288142920 /nfs/dbraw/zinc/14/29/20/288142920.db2.gz BZSPHRMQRCZDLT-LLVKDONJSA-N 0 2 315.333 0.279 20 0 DCADLN Cc1[nH]ncc1CNC(=O)c1ccc(S(N)(=O)=O)cc1F ZINC000548630325 288161091 /nfs/dbraw/zinc/16/10/91/288161091.db2.gz SNLXKGCUOMVBRS-UHFFFAOYSA-N 0 2 312.326 0.435 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N(CCO)CC1CC1 ZINC000551929117 288246033 /nfs/dbraw/zinc/24/60/33/288246033.db2.gz FCIHPGJSMPAFIM-UHFFFAOYSA-N 0 2 303.318 0.874 20 0 DCADLN COC(=O)[C@H]1CCCC[C@H]1S(=O)(=O)NCc1cn[nH]c1C ZINC000553799948 288307374 /nfs/dbraw/zinc/30/73/74/288307374.db2.gz UHHRCQMTNVPAAA-NWDGAFQWSA-N 0 2 315.395 0.869 20 0 DCADLN COCCn1cc(CNC(=O)c2cccc3[nH]nnc32)cn1 ZINC000554574251 288330260 /nfs/dbraw/zinc/33/02/60/288330260.db2.gz ZXJOQBSMFZWZHR-UHFFFAOYSA-N 0 2 300.322 0.731 20 0 DCADLN Cn1cc2c(n1)CCC[C@@H]2NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000554828478 288339083 /nfs/dbraw/zinc/33/90/83/288339083.db2.gz NMVDTCJAWGUIDL-QMMMGPOBSA-N 0 2 308.367 0.530 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1CCNC(=O)C1 ZINC000555536774 288353766 /nfs/dbraw/zinc/35/37/66/288353766.db2.gz YXCWAXUTJXIZJN-JTQLQIEISA-N 0 2 315.333 0.950 20 0 DCADLN C[C@@H]1COC[C@H](C)N1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000265874889 297151717 /nfs/dbraw/zinc/15/17/17/297151717.db2.gz KLTPDFOEDNLHOP-OCAPTIKFSA-N 0 2 304.306 0.685 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](C)OC[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265831091 301119467 /nfs/dbraw/zinc/11/94/67/301119467.db2.gz QGDFRSBLTRPXRQ-DTWKUNHWSA-N 0 2 318.333 0.994 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCO[C@@]2(CCCOC2)C1 ZINC000375702679 304759766 /nfs/dbraw/zinc/75/97/66/304759766.db2.gz IIRJHVFYPSVTCR-VIFPVBQESA-N 0 2 318.317 0.265 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N(C)C[C@@H](C)C(=O)[O-])[nH+]cn2)C1 ZINC000582847375 337209870 /nfs/dbraw/zinc/20/98/70/337209870.db2.gz MCGCXYMELDMTJN-IJLUTSLNSA-N 0 2 308.382 0.841 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N(C)C[C@@H](C)C(=O)[O-])nc[nH+]2)C1 ZINC000582847375 337209871 /nfs/dbraw/zinc/20/98/71/337209871.db2.gz MCGCXYMELDMTJN-IJLUTSLNSA-N 0 2 308.382 0.841 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](CCOc2cccc(Cl)c2)CC1 ZINC000396753891 337242011 /nfs/dbraw/zinc/24/20/11/337242011.db2.gz YZGHPCGQSAMMEM-UHFFFAOYSA-N 0 2 312.753 0.948 20 0 DCADLN CN(C[C@@H]1CCCCO1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000154546418 523034592 /nfs/dbraw/zinc/03/45/92/523034592.db2.gz OQGFDQKFWRDAMW-JTQLQIEISA-N 0 2 318.333 0.253 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccc(-c2nn[nH]n2)cc1 ZINC000364218622 523744084 /nfs/dbraw/zinc/74/40/84/523744084.db2.gz HYRPSFXEAMBNIT-UHFFFAOYSA-N 0 2 319.350 0.884 20 0 DCADLN CN1C[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)CC1=O ZINC000266552314 524024548 /nfs/dbraw/zinc/02/45/48/524024548.db2.gz MOOQSRCGOUGAAF-SNVBAGLBSA-N 0 2 300.318 0.538 20 0 DCADLN C[C@@H]1CCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000267622416 524520955 /nfs/dbraw/zinc/52/09/55/524520955.db2.gz LFAIVWQVCCUGCW-SSDOTTSWSA-N 0 2 310.335 0.467 20 0 DCADLN CC(C)CN(CC(C)C)C(=O)C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000043704657 545649133 /nfs/dbraw/zinc/64/91/33/545649133.db2.gz KDCRLMKFKPOFKV-CYBMUJFWSA-N 0 2 300.399 0.912 20 0 DCADLN CC(C)CN(CC(C)C)C(=O)C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000043704657 545649140 /nfs/dbraw/zinc/64/91/40/545649140.db2.gz KDCRLMKFKPOFKV-CYBMUJFWSA-N 0 2 300.399 0.912 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N1CCc2sccc2C1 ZINC000049607159 545653659 /nfs/dbraw/zinc/65/36/59/545653659.db2.gz VWGWXHYCAAIUPM-UHFFFAOYSA-N 0 2 312.391 0.666 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N1CCc2sccc2C1 ZINC000049607159 545653664 /nfs/dbraw/zinc/65/36/64/545653664.db2.gz VWGWXHYCAAIUPM-UHFFFAOYSA-N 0 2 312.391 0.666 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NCc1c[nH+]cn1C ZINC000357147358 546186794 /nfs/dbraw/zinc/18/67/94/546186794.db2.gz JAPBZAMCNDBYDC-UHFFFAOYSA-N 0 2 309.347 0.905 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NCCOCCO)=N1 ZINC000666191237 546435611 /nfs/dbraw/zinc/43/56/11/546435611.db2.gz AQQOGNZOMJCGBY-UHFFFAOYSA-N 0 2 319.361 0.879 20 0 DCADLN CCN(C(C)=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC000667523398 546616433 /nfs/dbraw/zinc/61/64/33/546616433.db2.gz FGRBUSMGVVUBPK-UHFFFAOYSA-N 0 2 319.325 0.600 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N3CCC[C@@H]4C[C@@H]43)cc-2c(=O)[nH]1 ZINC000671691319 547284336 /nfs/dbraw/zinc/28/43/36/547284336.db2.gz FJDNFJRPPUMKDW-XCBNKYQSSA-N 0 2 322.346 0.609 20 0 DCADLN Cn1ccnc1C[N@@H+]1CCCN(C(=O)C2CC[NH+](C)CC2)CC1 ZINC000673661842 547510418 /nfs/dbraw/zinc/51/04/18/547510418.db2.gz JGBAQFGCZVNIFU-UHFFFAOYSA-N 0 2 319.453 0.796 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(N2CCOCC2)c(F)c1 ZINC000673919346 547538866 /nfs/dbraw/zinc/53/88/66/547538866.db2.gz REWAZCXXTHVPPG-UHFFFAOYSA-N 0 2 321.312 0.416 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H](O)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000674813689 547635517 /nfs/dbraw/zinc/63/55/17/547635517.db2.gz UPWRHHOZEQESHZ-JTQLQIEISA-N 0 2 318.333 0.587 20 0 DCADLN Cc1sc(C(N)=O)cc1NS(=O)(=O)c1cnn(C)c1 ZINC000675277913 547671992 /nfs/dbraw/zinc/67/19/92/547671992.db2.gz SXGZZMSITJISKZ-UHFFFAOYSA-N 0 2 300.365 0.690 20 0 DCADLN Cc1nc(CN2CCN(C(=O)c3n[nH]c(C)c3O)CC2)no1 ZINC000676631239 547797053 /nfs/dbraw/zinc/79/70/53/547797053.db2.gz QEYOKNQRJJBZAL-UHFFFAOYSA-N 0 2 306.326 0.073 20 0 DCADLN CCc1nc(CN2CCN(C(=O)c3n[nH]c(C)c3O)CC2)no1 ZINC000676631000 547797686 /nfs/dbraw/zinc/79/76/86/547797686.db2.gz KFVBFAOKLRZENO-UHFFFAOYSA-N 0 2 320.353 0.327 20 0 DCADLN O=C(N[C@@H](CO)CC1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000678391286 547971575 /nfs/dbraw/zinc/97/15/75/547971575.db2.gz RLFHQJFBSTUAAU-SECBINFHSA-N 0 2 304.306 0.327 20 0 DCADLN NC(=O)c1cn(C2CN(C(=O)c3c(O)cc(F)cc3F)C2)nn1 ZINC000679411420 548054957 /nfs/dbraw/zinc/05/49/57/548054957.db2.gz XGKNLUQIAKRETF-UHFFFAOYSA-N 0 2 323.259 0.058 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCNC(=O)[C@H]2c2ccccc2F)c1O ZINC000680289012 548127352 /nfs/dbraw/zinc/12/73/52/548127352.db2.gz GHDSDBWDCHKTFQ-GFCCVEGCSA-N 0 2 318.308 0.876 20 0 DCADLN O=C(NCC1(CO)CCC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000680328062 548131981 /nfs/dbraw/zinc/13/19/81/548131981.db2.gz ZBLUEXIIRZYFRU-UHFFFAOYSA-N 0 2 303.318 0.922 20 0 DCADLN CC[C@H](C)C[C@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820870284 599067901 /nfs/dbraw/zinc/06/79/01/599067901.db2.gz QDAFHBATDMGJQM-IUCAKERBSA-N 0 2 321.385 0.501 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCc1ccc(N2CCCC2=O)cc1 ZINC000739631046 596903881 /nfs/dbraw/zinc/90/38/81/596903881.db2.gz BBMLEJFIDMCRSO-UHFFFAOYSA-N 0 2 319.361 0.446 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCc1ccc(N2CCCC2=O)cc1 ZINC000739631046 596903883 /nfs/dbraw/zinc/90/38/83/596903883.db2.gz BBMLEJFIDMCRSO-UHFFFAOYSA-N 0 2 319.361 0.446 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N2CC[C@H](C(=O)[O-])C2)c(N(C)C)[nH+]1 ZINC000821087767 597370091 /nfs/dbraw/zinc/37/00/91/597370091.db2.gz DXBHSZYQCBQNNA-JTQLQIEISA-N 0 2 320.349 0.328 20 0 DCADLN Cc1cccn2cc(C[S@](=O)CC(=O)NCC(=O)[O-])[nH+]c12 ZINC000821125236 597736788 /nfs/dbraw/zinc/73/67/88/597736788.db2.gz BHIAWSFLIRDYHA-NRFANRHFSA-N 0 2 309.347 0.092 20 0 DCADLN Cc1ccn(CC(=O)NCc2ccco2)c(=O)c1-c1nn[nH]n1 ZINC000822370274 607349709 /nfs/dbraw/zinc/34/97/09/607349709.db2.gz VJRCYCYGEZWCFM-UHFFFAOYSA-N 0 2 314.305 0.246 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)CC2CCCCC2)CC1 ZINC000049043454 599729419 /nfs/dbraw/zinc/72/94/19/599729419.db2.gz ZZBBCRWEQAXCJC-UHFFFAOYSA-N 0 2 318.439 0.989 20 0 DCADLN C[C@H](NC(=O)c1cccc(C(=O)[O-])n1)[C@H](C)[NH+]1CCOCC1 ZINC000736659024 599775534 /nfs/dbraw/zinc/77/55/34/599775534.db2.gz OLOGHFUGJGVTSS-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN COC(=O)CN(CC(=O)[O-])Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000737616671 600013851 /nfs/dbraw/zinc/01/38/51/600013851.db2.gz ZPLMVSQLXLHUFY-UHFFFAOYSA-N 0 2 303.318 0.932 20 0 DCADLN Cc1cc(NC(=O)C(=O)N2CC[NH+](C)CC2)ccc1C(=O)[O-] ZINC000738408618 600046238 /nfs/dbraw/zinc/04/62/38/600046238.db2.gz YOMRPSSTQIXBTL-UHFFFAOYSA-N 0 2 305.334 0.406 20 0 DCADLN Cc1ccc2nc(C[N@@H+]3C[C@H](O)C[C@H]3C(=O)[O-])cc(=O)n2c1 ZINC000318375506 600138908 /nfs/dbraw/zinc/13/89/08/600138908.db2.gz BGDHGJGUZLCNJG-NEPJUHHUSA-N 0 2 303.318 0.023 20 0 DCADLN Cc1ccc2nc(C[N@H+]3C[C@H](O)C[C@H]3C(=O)[O-])cc(=O)n2c1 ZINC000318375506 600138911 /nfs/dbraw/zinc/13/89/11/600138911.db2.gz BGDHGJGUZLCNJG-NEPJUHHUSA-N 0 2 303.318 0.023 20 0 DCADLN Cc1c(O)ccc2c(C[N@@H+]3C[C@H](O)C[C@H]3C(=O)[O-])cc(=O)oc12 ZINC000738304713 600140394 /nfs/dbraw/zinc/14/03/94/600140394.db2.gz BIJFVUABQNLGFO-PWSUYJOCSA-N 0 2 319.313 0.827 20 0 DCADLN Cc1c(O)ccc2c(C[N@H+]3C[C@H](O)C[C@H]3C(=O)[O-])cc(=O)oc12 ZINC000738304713 600140399 /nfs/dbraw/zinc/14/03/99/600140399.db2.gz BIJFVUABQNLGFO-PWSUYJOCSA-N 0 2 319.313 0.827 20 0 DCADLN Cn1ccnc1C[N@@H+]1CCCN(c2ccc(C(=O)[O-])nn2)CC1 ZINC000739055858 600206366 /nfs/dbraw/zinc/20/63/66/600206366.db2.gz NKNJVDFRUKBGNH-UHFFFAOYSA-N 0 2 316.365 0.621 20 0 DCADLN Cn1ccnc1C[N@H+]1CCCN(c2ccc(C(=O)[O-])nn2)CC1 ZINC000739055858 600206369 /nfs/dbraw/zinc/20/63/69/600206369.db2.gz NKNJVDFRUKBGNH-UHFFFAOYSA-N 0 2 316.365 0.621 20 0 DCADLN C[N@H+](CCC(=O)NCC(=O)[O-])Cc1cnn(-c2ccccc2)n1 ZINC000737412662 600291056 /nfs/dbraw/zinc/29/10/56/600291056.db2.gz TWGYDDFUSQJDCM-UHFFFAOYSA-N 0 2 317.349 0.290 20 0 DCADLN C[N@@H+](CCC(=O)NCC(=O)[O-])Cc1cnn(-c2ccccc2)n1 ZINC000737412662 600291059 /nfs/dbraw/zinc/29/10/59/600291059.db2.gz TWGYDDFUSQJDCM-UHFFFAOYSA-N 0 2 317.349 0.290 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000736581521 600495271 /nfs/dbraw/zinc/49/52/71/600495271.db2.gz IHHCISSCVVZMFU-CHWSQXEVSA-N 0 2 312.414 0.129 20 0 DCADLN C[N@H+](CC(=O)NCC(C)(C)N1CCOCC1)C(C)(C)C(=O)[O-] ZINC000737393543 600613426 /nfs/dbraw/zinc/61/34/26/600613426.db2.gz BLGJWAVAAJCBOF-UHFFFAOYSA-N 0 2 315.414 0.008 20 0 DCADLN C[N@@H+](CC(=O)NCC(C)(C)N1CCOCC1)C(C)(C)C(=O)[O-] ZINC000737393543 600613428 /nfs/dbraw/zinc/61/34/28/600613428.db2.gz BLGJWAVAAJCBOF-UHFFFAOYSA-N 0 2 315.414 0.008 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])c(C)o2)C1 ZINC000737553669 600642972 /nfs/dbraw/zinc/64/29/72/600642972.db2.gz VMVZYOWOCPWDHB-LLVKDONJSA-N 0 2 316.379 0.800 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])c(C)o2)C1 ZINC000737553669 600642973 /nfs/dbraw/zinc/64/29/73/600642973.db2.gz VMVZYOWOCPWDHB-LLVKDONJSA-N 0 2 316.379 0.800 20 0 DCADLN CCC(CC)CS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1 ZINC000736893955 600650006 /nfs/dbraw/zinc/65/00/06/600650006.db2.gz BNJNVQLGKWQBJU-UHFFFAOYSA-N 0 2 306.428 0.845 20 0 DCADLN O=C([O-])C[C@@H]1CSCC[N@@H+]1CN1C(=O)[C@@H]2CC=CC[C@H]2C1=O ZINC000739657044 600703059 /nfs/dbraw/zinc/70/30/59/600703059.db2.gz AUQHXUMLGRNOEL-IJLUTSLNSA-N 0 2 324.402 0.787 20 0 DCADLN O=C([O-])C[C@@H]1CSCC[N@H+]1CN1C(=O)[C@@H]2CC=CC[C@H]2C1=O ZINC000739657044 600703060 /nfs/dbraw/zinc/70/30/60/600703060.db2.gz AUQHXUMLGRNOEL-IJLUTSLNSA-N 0 2 324.402 0.787 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)cn1 ZINC000315902555 601084608 /nfs/dbraw/zinc/08/46/08/601084608.db2.gz MRBHWHMVTSYTQU-LBPRGKRZSA-N 0 2 305.334 0.327 20 0 DCADLN C[C@]1(O)CC[N@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C1 ZINC000828110566 601183120 /nfs/dbraw/zinc/18/31/20/601183120.db2.gz JJXWACMGSWIWPJ-HNNXBMFYSA-N 0 2 303.318 0.990 20 0 DCADLN C[C@]1(O)CC[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C1 ZINC000828110566 601183122 /nfs/dbraw/zinc/18/31/22/601183122.db2.gz JJXWACMGSWIWPJ-HNNXBMFYSA-N 0 2 303.318 0.990 20 0 DCADLN Cc1oc(S(=O)(=O)N[C@@H](C)Cn2cc[nH+]c2)cc1C(=O)[O-] ZINC000833027469 601217131 /nfs/dbraw/zinc/21/71/31/601217131.db2.gz FSBMBZYVCQEIMB-QMMMGPOBSA-N 0 2 313.335 0.850 20 0 DCADLN O=C([O-])c1cn(CC(=O)Nc2cccc(-n3cc[nH+]c3)c2)nn1 ZINC000833121290 601276923 /nfs/dbraw/zinc/27/69/23/601276923.db2.gz CWFKSYFJRUPBMY-UHFFFAOYSA-N 0 2 312.289 0.801 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](CC(=O)NCCc2ccc(F)cc2)C1 ZINC000833108043 601437669 /nfs/dbraw/zinc/43/76/69/601437669.db2.gz ZXXMIOKLEPAXAO-OAHLLOKOSA-N 0 2 312.316 0.983 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](CC(=O)NCCc2ccc(F)cc2)C1 ZINC000833108043 601437670 /nfs/dbraw/zinc/43/76/70/601437670.db2.gz ZXXMIOKLEPAXAO-OAHLLOKOSA-N 0 2 312.316 0.983 20 0 DCADLN Cc1ccc2nc(C[N@@H+]3CCO[C@@H](CC(=O)[O-])C3)cc(=O)n2c1 ZINC000321892756 601572837 /nfs/dbraw/zinc/57/28/37/601572837.db2.gz DQPSCDZUILDPDP-ZDUSSCGKSA-N 0 2 317.345 0.678 20 0 DCADLN Cc1ccc2nc(C[N@H+]3CCO[C@@H](CC(=O)[O-])C3)cc(=O)n2c1 ZINC000321892756 601572839 /nfs/dbraw/zinc/57/28/39/601572839.db2.gz DQPSCDZUILDPDP-ZDUSSCGKSA-N 0 2 317.345 0.678 20 0 DCADLN Cc1ccc2nc(C[N@@H+]3CCO[C@H](CC(=O)[O-])C3)cc(=O)n2c1 ZINC000321892755 601572994 /nfs/dbraw/zinc/57/29/94/601572994.db2.gz DQPSCDZUILDPDP-CYBMUJFWSA-N 0 2 317.345 0.678 20 0 DCADLN Cc1ccc2nc(C[N@H+]3CCO[C@H](CC(=O)[O-])C3)cc(=O)n2c1 ZINC000321892755 601572997 /nfs/dbraw/zinc/57/29/97/601572997.db2.gz DQPSCDZUILDPDP-CYBMUJFWSA-N 0 2 317.345 0.678 20 0 DCADLN O=C([O-])C[C@H]1CN(C(=O)NCCCNc2cccc[nH+]2)CCO1 ZINC000833219073 601767276 /nfs/dbraw/zinc/76/72/76/601767276.db2.gz WRMONSRUJIYIFW-LBPRGKRZSA-N 0 2 322.365 0.769 20 0 DCADLN Cc1cccc(S(=O)(=O)Nc2cnoc2)c1-c1nn[nH]n1 ZINC000826303951 607536509 /nfs/dbraw/zinc/53/65/09/607536509.db2.gz OBEOYSWFGZGLCH-UHFFFAOYSA-N 0 2 306.307 0.964 20 0 DCADLN C[C@@H](CC(=O)[O-])C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000827282139 602117623 /nfs/dbraw/zinc/11/76/23/602117623.db2.gz QNHPKLCDUVRTAR-FZMZJTMJSA-N 0 2 316.423 0.421 20 0 DCADLN COc1cc(OC)cc([C@H]([NH2+][C@@H](C(N)=O)C(C)C)C(=O)[O-])c1 ZINC000832051246 602171036 /nfs/dbraw/zinc/17/10/36/602171036.db2.gz VJBUWCUGGMLKMN-OLZOCXBDSA-N 0 2 310.350 0.929 20 0 DCADLN C[N@@H+]1CCO[C@@H]2CN(C(=O)CCc3ccc(C(=O)[O-])cc3)C[C@@H]21 ZINC000830963534 602223682 /nfs/dbraw/zinc/22/36/82/602223682.db2.gz CJMBVWKMNHEXAL-LSDHHAIUSA-N 0 2 318.373 0.859 20 0 DCADLN C[N@H+]1CCO[C@@H]2CN(C(=O)CCc3ccc(C(=O)[O-])cc3)C[C@@H]21 ZINC000830963534 602223685 /nfs/dbraw/zinc/22/36/85/602223685.db2.gz CJMBVWKMNHEXAL-LSDHHAIUSA-N 0 2 318.373 0.859 20 0 DCADLN O=C([O-])c1c(N2CCC[C@@H](O)C2)nc[nH+]c1N1CCC[C@@H](O)C1 ZINC000833293803 602294079 /nfs/dbraw/zinc/29/40/79/602294079.db2.gz JAIXCHGVCAHJJG-GHMZBOCLSA-N 0 2 322.365 0.097 20 0 DCADLN C[C@H]1CCN(C(=O)Cn2cc(C(=O)[O-])nn2)C[C@H]1n1cc[nH+]c1 ZINC000828278931 602349309 /nfs/dbraw/zinc/34/93/09/602349309.db2.gz UASKQJZYCAFQCE-CMPLNLGQSA-N 0 2 318.337 0.283 20 0 DCADLN Cn1cc(NC(=O)NCC(C)(C)[NH+]2CCOCC2)cc1C(=O)[O-] ZINC000833052438 602365932 /nfs/dbraw/zinc/36/59/32/602365932.db2.gz ZFXVFAJAGLUMFR-UHFFFAOYSA-N 0 2 324.381 0.956 20 0 DCADLN CCCCO[C@@H](C)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826842905 603506870 /nfs/dbraw/zinc/50/68/70/603506870.db2.gz ZLKAYHILADPLMA-RYUDHWBXSA-N 0 2 301.387 0.602 20 0 DCADLN CCCCO[C@@H](C)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826842905 603506875 /nfs/dbraw/zinc/50/68/75/603506875.db2.gz ZLKAYHILADPLMA-RYUDHWBXSA-N 0 2 301.387 0.602 20 0 DCADLN Cc1cccc(OCC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000830526704 603509444 /nfs/dbraw/zinc/50/94/44/603509444.db2.gz CNMQPWWSNPUPQH-ZDUSSCGKSA-N 0 2 321.377 0.784 20 0 DCADLN Cc1cccc(OCC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000830526704 603509446 /nfs/dbraw/zinc/50/94/46/603509446.db2.gz CNMQPWWSNPUPQH-ZDUSSCGKSA-N 0 2 321.377 0.784 20 0 DCADLN CCCn1nccc1NC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826964626 603596805 /nfs/dbraw/zinc/59/68/05/603596805.db2.gz JVAIPKQZTDDIAO-LLVKDONJSA-N 0 2 324.385 0.709 20 0 DCADLN CCCn1nccc1NC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826964626 603596809 /nfs/dbraw/zinc/59/68/09/603596809.db2.gz JVAIPKQZTDDIAO-LLVKDONJSA-N 0 2 324.385 0.709 20 0 DCADLN C[N@H+](CN1C(=O)[C@@H]2CC=CC[C@H]2C1=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000828345671 603597222 /nfs/dbraw/zinc/59/72/22/603597222.db2.gz GTRCHLPUADFXCC-QJPTWQEYSA-N 0 2 307.350 0.579 20 0 DCADLN C[N@@H+](CN1C(=O)[C@@H]2CC=CC[C@H]2C1=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000828345671 603597225 /nfs/dbraw/zinc/59/72/25/603597225.db2.gz GTRCHLPUADFXCC-QJPTWQEYSA-N 0 2 307.350 0.579 20 0 DCADLN C[C@H](CNC(=O)N1CC[C@H](N(C)C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000824930721 603619912 /nfs/dbraw/zinc/61/99/12/603619912.db2.gz QAGCBYBJFUMVIE-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN O=C([O-])N(CCCNC(=O)Cn1cc[nH+]c1)Cc1cccnc1 ZINC000831567198 603670139 /nfs/dbraw/zinc/67/01/39/603670139.db2.gz FKBWTBLYJSEQDC-UHFFFAOYSA-N 0 2 317.349 0.965 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@H]1CNC(=O)[O-] ZINC000824907206 603697008 /nfs/dbraw/zinc/69/70/08/603697008.db2.gz JJHSEKADXFCJKN-VXGBXAGGSA-N 0 2 314.386 0.149 20 0 DCADLN CCOC(=O)CCNC(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000827305181 603698745 /nfs/dbraw/zinc/69/87/45/603698745.db2.gz DGBNTTYUDUWXTQ-UHFFFAOYSA-N 0 2 315.370 0.130 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000831272587 603713096 /nfs/dbraw/zinc/71/30/96/603713096.db2.gz KSAYICPVANLBSF-NWDGAFQWSA-N 0 2 308.338 0.160 20 0 DCADLN C[C@@H](CN(C)C(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000824854084 604136404 /nfs/dbraw/zinc/13/64/04/604136404.db2.gz GSLAFLISWLNQRV-NSHDSACASA-N 0 2 301.387 0.459 20 0 DCADLN C[C@@H](CN(C)C(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000824854084 604136405 /nfs/dbraw/zinc/13/64/05/604136405.db2.gz GSLAFLISWLNQRV-NSHDSACASA-N 0 2 301.387 0.459 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000824848974 604153875 /nfs/dbraw/zinc/15/38/75/604153875.db2.gz QWYAOGHHIBTGDL-UTUOFQBUSA-N 0 2 301.387 0.458 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@@H+]3CC[C@@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831019082 604377790 /nfs/dbraw/zinc/37/77/90/604377790.db2.gz WNPYKTCDWZLYDB-GHMZBOCLSA-N 0 2 307.354 0.257 20 0 DCADLN Cn1ccc(N2CCC[C@@H]([N@H+]3CC[C@@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831019082 604377795 /nfs/dbraw/zinc/37/77/95/604377795.db2.gz WNPYKTCDWZLYDB-GHMZBOCLSA-N 0 2 307.354 0.257 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2ccccn2)[C@H](CNC(=O)[O-])C1 ZINC000828499999 604407770 /nfs/dbraw/zinc/40/77/70/604407770.db2.gz DALBQDDQJXVTPR-CYBMUJFWSA-N 0 2 306.366 0.424 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2ccccn2)[C@H](CNC(=O)[O-])C1 ZINC000828499999 604407772 /nfs/dbraw/zinc/40/77/72/604407772.db2.gz DALBQDDQJXVTPR-CYBMUJFWSA-N 0 2 306.366 0.424 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CC[C@@H](c2[nH+]ccn2C)C1)C(=O)[O-] ZINC000833519089 604567691 /nfs/dbraw/zinc/56/76/91/604567691.db2.gz MGANOLVBZDMGFT-VHSXEESVSA-N 0 2 301.368 0.260 20 0 DCADLN C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+](C)[C@@H]1CCNC1=O ZINC000833404188 604788226 /nfs/dbraw/zinc/78/82/26/604788226.db2.gz IVJLOOLPHWMOGQ-ZWNOBZJWSA-N 0 2 319.361 0.210 20 0 DCADLN C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@@H+](C)[C@@H]1CCNC1=O ZINC000833404188 604788232 /nfs/dbraw/zinc/78/82/32/604788232.db2.gz IVJLOOLPHWMOGQ-ZWNOBZJWSA-N 0 2 319.361 0.210 20 0 DCADLN O=C([O-])N1CCO[C@H](CC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)C1 ZINC000832060775 604794366 /nfs/dbraw/zinc/79/43/66/604794366.db2.gz RBYIFKVEAYIWBQ-GFCCVEGCSA-N 0 2 322.365 0.885 20 0 DCADLN O=C([O-])N1CCO[C@H](CC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC000832060428 604822615 /nfs/dbraw/zinc/82/26/15/604822615.db2.gz LCQXHMPGURGZHJ-VXGBXAGGSA-N 0 2 322.365 0.885 20 0 DCADLN C[C@H](NC(=O)[O-])C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000833739885 604941118 /nfs/dbraw/zinc/94/11/18/604941118.db2.gz VGNKLRNDAQZFON-LBPRGKRZSA-N 0 2 321.377 0.791 20 0 DCADLN COCCn1cnn(C[N@@H+]2CCC[C@@H]2CC(=O)[O-])c1=S ZINC000831807769 604976080 /nfs/dbraw/zinc/97/60/80/604976080.db2.gz WIQDADDJIJGNSF-SNVBAGLBSA-N 0 2 300.384 0.957 20 0 DCADLN COCCn1cnn(C[N@H+]2CCC[C@@H]2CC(=O)[O-])c1=S ZINC000831807769 604976082 /nfs/dbraw/zinc/97/60/82/604976082.db2.gz WIQDADDJIJGNSF-SNVBAGLBSA-N 0 2 300.384 0.957 20 0 DCADLN C[C@H](C(=O)Nc1nccs1)[NH+]1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833411980 604981825 /nfs/dbraw/zinc/98/18/25/604981825.db2.gz RQXYNELYHJOWLF-PSASIEDQSA-N 0 2 313.379 0.628 20 0 DCADLN Cc1nc(C)n([C@H]2CCC[N@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC000833755178 605177406 /nfs/dbraw/zinc/17/74/06/605177406.db2.gz COWBVHDATXZBQR-STQMWFEESA-N 0 2 321.381 0.422 20 0 DCADLN Cc1nc(C)n([C@H]2CCC[N@@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC000833755178 605177408 /nfs/dbraw/zinc/17/74/08/605177408.db2.gz COWBVHDATXZBQR-STQMWFEESA-N 0 2 321.381 0.422 20 0 DCADLN O=C([O-])c1csc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1 ZINC000707504000 605213224 /nfs/dbraw/zinc/21/32/24/605213224.db2.gz FEFYXXCRVKECCB-UHFFFAOYSA-N 0 2 313.360 0.888 20 0 DCADLN O=C([O-])N1CC[C@](F)(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000831893949 605291720 /nfs/dbraw/zinc/29/17/20/605291720.db2.gz FRVLHGSWXWFGQI-BXUZGUMPSA-N 0 2 315.345 0.012 20 0 DCADLN Cc1[nH]c2ccc(NC(=O)CNC(=O)CNC(=O)[O-])cc2[nH+]1 ZINC000833916352 605363656 /nfs/dbraw/zinc/36/36/56/605363656.db2.gz KMWCWSMSCXSZKS-UHFFFAOYSA-N 0 2 305.294 0.194 20 0 DCADLN O=C([O-])Nc1cccc(C[N@@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000834267746 605551991 /nfs/dbraw/zinc/55/19/91/605551991.db2.gz AQLDLPPRAVKUKK-NSHDSACASA-N 0 2 304.306 0.513 20 0 DCADLN O=C([O-])Nc1cccc(C[N@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000834267746 605551996 /nfs/dbraw/zinc/55/19/96/605551996.db2.gz AQLDLPPRAVKUKK-NSHDSACASA-N 0 2 304.306 0.513 20 0 DCADLN Cc1cncc(CNC(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)c1 ZINC000833912435 605577331 /nfs/dbraw/zinc/57/73/31/605577331.db2.gz NVBKDXZWJQZIPT-UHFFFAOYSA-N 0 2 321.381 0.485 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)C1 ZINC000834068556 605739077 /nfs/dbraw/zinc/73/90/77/605739077.db2.gz XANOHYXXQOGODS-UHFFFAOYSA-N 0 2 307.354 0.909 20 0 DCADLN O=C([O-])N1CCC(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC000834030691 605756919 /nfs/dbraw/zinc/75/69/19/605756919.db2.gz ITGLDTUUOUTXTB-LBPRGKRZSA-N 0 2 306.366 0.952 20 0 DCADLN CCCN(C(=O)[C@@H]1C[N@H+](C)CCO1)C1CCN(C(=O)[O-])CC1 ZINC000826857446 605819315 /nfs/dbraw/zinc/81/93/15/605819315.db2.gz JAKGXBVTZSCPCJ-ZDUSSCGKSA-N 0 2 313.398 0.698 20 0 DCADLN CCCN(C(=O)[C@@H]1C[N@@H+](C)CCO1)C1CCN(C(=O)[O-])CC1 ZINC000826857446 605819319 /nfs/dbraw/zinc/81/93/19/605819319.db2.gz JAKGXBVTZSCPCJ-ZDUSSCGKSA-N 0 2 313.398 0.698 20 0 DCADLN CNC(=O)[C@H]1C[N@H+](Cc2cccc3c2N(C(=O)[O-])CC3)CCO1 ZINC000833875568 605914304 /nfs/dbraw/zinc/91/43/04/605914304.db2.gz WFPQPTMZLZVTQQ-CYBMUJFWSA-N 0 2 319.361 0.674 20 0 DCADLN CNC(=O)[C@H]1C[N@@H+](Cc2cccc3c2N(C(=O)[O-])CC3)CCO1 ZINC000833875568 605914307 /nfs/dbraw/zinc/91/43/07/605914307.db2.gz WFPQPTMZLZVTQQ-CYBMUJFWSA-N 0 2 319.361 0.674 20 0 DCADLN O=C([O-])NCCOCC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000834242821 605975455 /nfs/dbraw/zinc/97/54/55/605975455.db2.gz QFUSVJGJSXBVCR-NSHDSACASA-N 0 2 308.338 0.061 20 0 DCADLN O=C([O-])N[C@H](CC(F)F)C(=O)N[C@H]1CCc2[nH+]ccn2C1 ZINC000834129671 606024918 /nfs/dbraw/zinc/02/49/18/606024918.db2.gz BYOFUUJHAKBMTL-JGVFFNPUSA-N 0 2 302.281 0.606 20 0 DCADLN C[C@]1(NC(=O)[O-])CCCC[C@H]1C(=O)NC[C@H]1C[NH+]2CCN1CC2 ZINC000833824715 606076960 /nfs/dbraw/zinc/07/69/60/606076960.db2.gz HMNAXTGXLNSSFZ-XEZPLFJOSA-N 0 2 324.425 0.319 20 0 DCADLN COCCN(CC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1)C(=O)[O-] ZINC000833884485 606082684 /nfs/dbraw/zinc/08/26/84/606082684.db2.gz MWFGWJUUSFAWPQ-LLVKDONJSA-N 0 2 310.354 0.362 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1nnnn1-c1ccccc1 ZINC000823656796 606178038 /nfs/dbraw/zinc/17/80/38/606178038.db2.gz IVTBWGLRJMENNL-UHFFFAOYSA-N 0 2 321.304 0.052 20 0 DCADLN CCc1noc(C)c1Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC000821553831 606862545 /nfs/dbraw/zinc/86/25/45/606862545.db2.gz KRPLUVNTNYJCEV-UHFFFAOYSA-N 0 2 315.337 0.947 20 0 DCADLN O=S(=O)(NCCCF)c1c(F)cc(-c2nn[nH]n2)cc1F ZINC000823573580 607141982 /nfs/dbraw/zinc/14/19/82/607141982.db2.gz XDACCRSQAVPHSA-UHFFFAOYSA-N 0 2 321.284 0.783 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)NC[C@@H](C)O ZINC000822005044 608582280 /nfs/dbraw/zinc/58/22/80/608582280.db2.gz NMHSRXSDLDKAAR-SSDOTTSWSA-N 0 2 320.353 0.014 20 0 DCADLN Cc1n[nH]c2cc(NC(=O)Cn3cnc(-c4nn[nH]n4)n3)ccc12 ZINC000826322581 608591967 /nfs/dbraw/zinc/59/19/67/608591967.db2.gz SMLBMGHFLPTRDC-UHFFFAOYSA-N 0 2 324.308 0.282 20 0 DCADLN CCc1ocnc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027800984 660749931 /nfs/dbraw/zinc/74/99/31/660749931.db2.gz KEYLLOFOMZBNKN-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCCO1 ZINC001027829933 660804600 /nfs/dbraw/zinc/80/46/00/660804600.db2.gz NKOSBLOPQCHJBX-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCCO1 ZINC001027829933 660804602 /nfs/dbraw/zinc/80/46/02/660804602.db2.gz NKOSBLOPQCHJBX-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)cnn1 ZINC001027849210 660834351 /nfs/dbraw/zinc/83/43/51/660834351.db2.gz UAYWHIUTCIQKQG-LLVKDONJSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(c1ccnc(F)c1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980814905 661018040 /nfs/dbraw/zinc/01/80/40/661018040.db2.gz YRJQMAWDIFIYRQ-UHFFFAOYSA-N 0 2 320.328 0.393 20 0 DCADLN O=C(C1CCC(F)CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950055084 661031138 /nfs/dbraw/zinc/03/11/38/661031138.db2.gz BPKQXSREAZUYTP-UHFFFAOYSA-N 0 2 311.361 0.683 20 0 DCADLN CNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C(C)C)[NH+](C)C)CC1 ZINC000981898230 661243446 /nfs/dbraw/zinc/24/34/46/661243446.db2.gz IKDCATYJTZDABV-ZIAGYGMSSA-N 0 2 312.458 0.241 20 0 DCADLN C[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)c1ccco1 ZINC000981940861 661250657 /nfs/dbraw/zinc/25/06/57/661250657.db2.gz UWGBRHFUKYSNPX-NSHDSACASA-N 0 2 319.365 0.941 20 0 DCADLN CNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCC[NH+]1C(C)C ZINC001028181680 661263729 /nfs/dbraw/zinc/26/37/29/661263729.db2.gz YAGTZWAKRRCDDE-HUUCEWRRSA-N 0 2 324.469 0.576 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1nccs1 ZINC000998316464 665435767 /nfs/dbraw/zinc/43/57/67/665435767.db2.gz WZLADJGSPOKIKZ-ZCFIWIBFSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1nccs1 ZINC000998316464 665435768 /nfs/dbraw/zinc/43/57/68/665435768.db2.gz WZLADJGSPOKIKZ-ZCFIWIBFSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)c1ccoc1 ZINC001041462036 657509385 /nfs/dbraw/zinc/50/93/85/657509385.db2.gz LNWXCBPCSZBCGC-QMMMGPOBSA-N 0 2 324.230 0.483 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccoc1 ZINC001041462036 657509392 /nfs/dbraw/zinc/50/93/92/657509392.db2.gz LNWXCBPCSZBCGC-QMMMGPOBSA-N 0 2 324.230 0.483 20 0 DCADLN CNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCC[N@H+]2C(C)C)C1 ZINC000969646730 658052359 /nfs/dbraw/zinc/05/23/59/658052359.db2.gz BSWUFARMUXGGAS-GXTWGEPZSA-N 0 2 310.442 0.042 20 0 DCADLN Cc1sccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038236069 658198805 /nfs/dbraw/zinc/19/88/05/658198805.db2.gz RBBPHFDWUFMJPA-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CC[C@@H](O)[C@@H](F)C1 ZINC000890690687 658286798 /nfs/dbraw/zinc/28/67/98/658286798.db2.gz KNPAYWDSLQKGCU-YIZRAAEISA-N 0 2 314.279 0.621 20 0 DCADLN Cc1nocc1C[NH2+][C@@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC000970006968 658347778 /nfs/dbraw/zinc/34/77/78/658347778.db2.gz LBRSJCRCBVMNKR-CYBMUJFWSA-N 0 2 304.354 0.424 20 0 DCADLN CC1(C)C[N@H+](CCN=c2nn[n-]n2Cc2ccccc2)CCO1 ZINC000892250992 658365931 /nfs/dbraw/zinc/36/59/31/658365931.db2.gz LWLDAWUBLGETMN-UHFFFAOYSA-N 0 2 316.409 0.666 20 0 DCADLN CC1(C)C[N@@H+](CCN=c2nn[n-]n2Cc2ccccc2)CCO1 ZINC000892250992 658365937 /nfs/dbraw/zinc/36/59/37/658365937.db2.gz LWLDAWUBLGETMN-UHFFFAOYSA-N 0 2 316.409 0.666 20 0 DCADLN Cn1ccc(C[NH+](C)[C@@H]2CCN(C(=O)[C@H]3CCC[N@H+]3C)C2)n1 ZINC000971206089 658407845 /nfs/dbraw/zinc/40/78/45/658407845.db2.gz ZREKONYUHZIWKJ-HUUCEWRRSA-N 0 2 305.426 0.547 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)n1C1CC1 ZINC001020782364 658462775 /nfs/dbraw/zinc/46/27/75/658462775.db2.gz RMCSIQJSOPUBAE-MGCOHNPYSA-N 0 2 316.369 0.090 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(OCCCO)cc2)S1 ZINC000804758380 658636250 /nfs/dbraw/zinc/63/62/50/658636250.db2.gz CVZHOVILSCKHAU-NSHDSACASA-N 0 2 323.374 0.943 20 0 DCADLN CC(C)c1cnc(C[NH2+][C@H]2C[C@@H](NC(=O)c3ncn[nH]3)C2)o1 ZINC001022867825 658704411 /nfs/dbraw/zinc/70/44/11/658704411.db2.gz GUSVJPIHJNZTHI-AOOOYVTPSA-N 0 2 304.354 0.967 20 0 DCADLN CN(Cc1nnn(C)n1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575364 658824700 /nfs/dbraw/zinc/82/47/00/658824700.db2.gz DNBRTOYMRFTOCN-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN CN(Cc1nnn(C)n1)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000971575364 658824711 /nfs/dbraw/zinc/82/47/11/658824711.db2.gz DNBRTOYMRFTOCN-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN CN(Cc1nnn(C)n1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575361 658825381 /nfs/dbraw/zinc/82/53/81/658825381.db2.gz DNBRTOYMRFTOCN-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN CN(Cc1nnn(C)n1)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000971575361 658825389 /nfs/dbraw/zinc/82/53/89/658825389.db2.gz DNBRTOYMRFTOCN-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)c1ccco1 ZINC001022933602 658839111 /nfs/dbraw/zinc/83/91/11/658839111.db2.gz OYLQYLMMBWXQBH-ZXFLCMHBSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O)c1ccco1 ZINC001022933602 658839115 /nfs/dbraw/zinc/83/91/15/658839115.db2.gz OYLQYLMMBWXQBH-ZXFLCMHBSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(c1cncnc1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011035601 658841874 /nfs/dbraw/zinc/84/18/74/658841874.db2.gz DLWKYVYDVVQXMI-VIFPVBQESA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1cncnc1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011035601 658841886 /nfs/dbraw/zinc/84/18/86/658841886.db2.gz DLWKYVYDVVQXMI-VIFPVBQESA-N 0 2 320.246 0.661 20 0 DCADLN COC(=O)[C@](C)(Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC000890945334 658872863 /nfs/dbraw/zinc/87/28/63/658872863.db2.gz QSESQFKKOYNYKD-XCBNKYQSSA-N 0 2 311.235 0.831 20 0 DCADLN COC(=O)[C@](C)(Cn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC000890945334 658872867 /nfs/dbraw/zinc/87/28/67/658872867.db2.gz QSESQFKKOYNYKD-XCBNKYQSSA-N 0 2 311.235 0.831 20 0 DCADLN CN1CCN(C(=O)c2ccc(C[NH+](C)C)nc2)CC[N@H+](C)CC1 ZINC000888985382 658903424 /nfs/dbraw/zinc/90/34/24/658903424.db2.gz VZCCQBXQNFKIPN-UHFFFAOYSA-N 0 2 319.453 0.463 20 0 DCADLN Cn1cncc1C[NH2+]C[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001025101175 659037915 /nfs/dbraw/zinc/03/79/15/659037915.db2.gz STUQFBLBZBETMY-CQSZACIVSA-N 0 2 316.409 0.857 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000975073875 659782616 /nfs/dbraw/zinc/78/26/16/659782616.db2.gz RHANTTYPKGBEIY-GMTAPVOTSA-N 0 2 307.398 0.883 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000975073875 659782619 /nfs/dbraw/zinc/78/26/19/659782619.db2.gz RHANTTYPKGBEIY-GMTAPVOTSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)n1cnc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031874014 665717201 /nfs/dbraw/zinc/71/72/01/665717201.db2.gz FTUPSCYFPMPJEB-UHFFFAOYSA-N 0 2 319.369 0.150 20 0 DCADLN Cc1nnc(C[N@@H+]2CC[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)[nH]1 ZINC001028485551 661555279 /nfs/dbraw/zinc/55/52/79/661555279.db2.gz SMHSFRHAUALMMD-JTQLQIEISA-N 0 2 319.369 0.102 20 0 DCADLN Cc1nnc(C[N@H+]2CC[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)[nH]1 ZINC001028485551 661555283 /nfs/dbraw/zinc/55/52/83/661555283.db2.gz SMHSFRHAUALMMD-JTQLQIEISA-N 0 2 319.369 0.102 20 0 DCADLN COc1ccc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000982601483 661591068 /nfs/dbraw/zinc/59/10/68/661591068.db2.gz PXLNVQADLALYDU-UHFFFAOYSA-N 0 2 321.337 0.460 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000982846653 661697381 /nfs/dbraw/zinc/69/73/81/661697381.db2.gz DCDPAYGPLKINCO-CBAPKCEASA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000982846653 661697382 /nfs/dbraw/zinc/69/73/82/661697382.db2.gz DCDPAYGPLKINCO-CBAPKCEASA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCC1(F)F ZINC001038399936 661715738 /nfs/dbraw/zinc/71/57/38/661715738.db2.gz VYVHDRQZJDMOQG-SFYZADRCSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@H](NC(=O)c1ccoc1)C(=O)NNC(=O)c1ccccn1 ZINC000048168035 661800164 /nfs/dbraw/zinc/80/01/64/661800164.db2.gz DZIFMSSUVPQTAS-VIFPVBQESA-N 0 2 302.290 0.254 20 0 DCADLN Cc1cc(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)[nH]n1 ZINC001000473126 665851569 /nfs/dbraw/zinc/85/15/69/665851569.db2.gz LPRKYPCRBDVYCW-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN Cc1cc(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)[nH]n1 ZINC001000473126 665851570 /nfs/dbraw/zinc/85/15/70/665851570.db2.gz LPRKYPCRBDVYCW-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C(F)F)C1)C(F)C(F)(F)F ZINC001040068861 662352792 /nfs/dbraw/zinc/35/27/92/662352792.db2.gz BQNLCYRBDWMTFI-ANLVUFKYSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C(F)F)C1)[C@@H](F)C(F)(F)F ZINC001040068861 662352794 /nfs/dbraw/zinc/35/27/94/662352794.db2.gz BQNLCYRBDWMTFI-ANLVUFKYSA-N 0 2 322.205 0.232 20 0 DCADLN C[C@@H]1OCC[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029528528 662359871 /nfs/dbraw/zinc/35/98/71/662359871.db2.gz XAFKXWKDOJIPLM-YFKTTZPYSA-N 0 2 321.381 0.111 20 0 DCADLN CCO[C@@H]1C[C@@](NC(=O)Cc2c[nH+]cn2C)(C(=O)[O-])C1(C)C ZINC000909603667 662475878 /nfs/dbraw/zinc/47/58/78/662475878.db2.gz PTYOQBAYZVVCOX-IAQYHMDHSA-N 0 2 309.366 0.737 20 0 DCADLN C[N@H+](Cc1ccon1)C[C@H]1CCN(C(=O)CCc2c[nH]nn2)C1 ZINC001029845574 662493472 /nfs/dbraw/zinc/49/34/72/662493472.db2.gz LAMURFUWZGFZGO-GFCCVEGCSA-N 0 2 318.381 0.706 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccon1 ZINC000937718331 662810010 /nfs/dbraw/zinc/81/00/10/662810010.db2.gz ULBMYQVEFAHGKV-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccon1 ZINC000937718331 662810011 /nfs/dbraw/zinc/81/00/11/662810011.db2.gz ULBMYQVEFAHGKV-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000937979974 662847995 /nfs/dbraw/zinc/84/79/95/662847995.db2.gz ROLIYNJGPCFHDP-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000937979974 662847997 /nfs/dbraw/zinc/84/79/97/662847997.db2.gz ROLIYNJGPCFHDP-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC000990108198 663004699 /nfs/dbraw/zinc/00/46/99/663004699.db2.gz VRHHTYGJOCHDBX-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnccn1 ZINC000990108198 663004700 /nfs/dbraw/zinc/00/47/00/663004700.db2.gz VRHHTYGJOCHDBX-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN C[C@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)n1cccn1 ZINC000990298572 663029807 /nfs/dbraw/zinc/02/98/07/663029807.db2.gz BLAPHBWLJUMVDU-APPZFPTMSA-N 0 2 322.262 0.672 20 0 DCADLN C[C@H](C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)n1cccn1 ZINC000990298572 663029809 /nfs/dbraw/zinc/02/98/09/663029809.db2.gz BLAPHBWLJUMVDU-APPZFPTMSA-N 0 2 322.262 0.672 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cncs1 ZINC000990371653 663039547 /nfs/dbraw/zinc/03/95/47/663039547.db2.gz KQXLOWCJQUXHKR-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cncs1 ZINC000990371653 663039548 /nfs/dbraw/zinc/03/95/48/663039548.db2.gz KQXLOWCJQUXHKR-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN CC[C@H](C)NC(=O)CN1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000900111572 663217893 /nfs/dbraw/zinc/21/78/93/663217893.db2.gz APBMQUCPDWURTE-LBPRGKRZSA-N 0 2 307.398 0.011 20 0 DCADLN CCn1nc2c(cc1=O)CN(c1[nH+]cccc1C(=O)[O-])CC2 ZINC000900989751 663302270 /nfs/dbraw/zinc/30/22/70/663302270.db2.gz YKDYVWFLKBUDMW-UHFFFAOYSA-N 0 2 300.318 0.919 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)/C=C\c2ccc(C(=O)[O-])o2)CCO1 ZINC000901921050 663372628 /nfs/dbraw/zinc/37/26/28/663372628.db2.gz MEPLAGKPXFRQFO-USEMIUTHSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)/C=C\c2ccc(C(=O)[O-])o2)CCO1 ZINC000901921050 663372629 /nfs/dbraw/zinc/37/26/29/663372629.db2.gz MEPLAGKPXFRQFO-USEMIUTHSA-N 0 2 308.334 0.828 20 0 DCADLN COc1ccc(CN(C)C(=O)CON=C(N)CN(C)C)cc1 ZINC000902612940 663422199 /nfs/dbraw/zinc/42/21/99/663422199.db2.gz PBFLEYJIUMTQEV-UHFFFAOYSA-N 0 2 308.382 0.714 20 0 DCADLN CC[C@]1(C)CC(=O)N(CN2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)C1 ZINC000902614473 663422371 /nfs/dbraw/zinc/42/23/71/663422371.db2.gz IFOJWQBVULUSAI-MBNYWOFBSA-N 0 2 317.455 0.449 20 0 DCADLN O=C(c1nc[nH]n1)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000941290953 665964442 /nfs/dbraw/zinc/96/44/42/665964442.db2.gz PJWXOSMDOLQVFD-ZETCQYMHSA-N 0 2 323.250 0.380 20 0 DCADLN O=C(c1nc[nH]n1)N1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000941290953 665964444 /nfs/dbraw/zinc/96/44/44/665964444.db2.gz PJWXOSMDOLQVFD-ZETCQYMHSA-N 0 2 323.250 0.380 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cnc(C)cn1)C1CCOCC1 ZINC000903657179 663473491 /nfs/dbraw/zinc/47/34/91/663473491.db2.gz XFSZOXKWTRBIMZ-GFCCVEGCSA-N 0 2 315.395 0.968 20 0 DCADLN C[C@@H]1CCCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031941394 665971441 /nfs/dbraw/zinc/97/14/41/665971441.db2.gz ODLWWJVETXTVKX-PWSUYJOCSA-N 0 2 307.398 0.885 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cnco1 ZINC001001013686 665975068 /nfs/dbraw/zinc/97/50/68/665975068.db2.gz BXGFKRQZKYRYKR-UHFFFAOYSA-N 0 2 304.310 0.060 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cnco1 ZINC001001013686 665975070 /nfs/dbraw/zinc/97/50/70/665975070.db2.gz BXGFKRQZKYRYKR-UHFFFAOYSA-N 0 2 304.310 0.060 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001001026418 665982115 /nfs/dbraw/zinc/98/21/15/665982115.db2.gz VNCSCXHWJALOQI-MRVPVSSYSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001001026418 665982117 /nfs/dbraw/zinc/98/21/17/665982117.db2.gz VNCSCXHWJALOQI-MRVPVSSYSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(c1cc(F)ccc1O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907473383 663697243 /nfs/dbraw/zinc/69/72/43/663697243.db2.gz GUZQFZXIFSRUIK-VIFPVBQESA-N 0 2 309.326 0.975 20 0 DCADLN Cc1cc(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001001099634 665991484 /nfs/dbraw/zinc/99/14/84/665991484.db2.gz WVXMXTRVVDOOCY-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1cc(C(=O)NCC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)[nH]n1 ZINC001001099634 665991486 /nfs/dbraw/zinc/99/14/86/665991486.db2.gz WVXMXTRVVDOOCY-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN CCCN(CC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000908748003 663767943 /nfs/dbraw/zinc/76/79/43/663767943.db2.gz SRLCEEROBSNZIL-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN O=C([O-])C[C@@H]1CSCCN1C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000909011971 663777697 /nfs/dbraw/zinc/77/76/97/663777697.db2.gz XDKNBXHVHIHAGR-WDEREUQCSA-N 0 2 309.391 0.864 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC000909711277 663795959 /nfs/dbraw/zinc/79/59/59/663795959.db2.gz LRIALVZXTJGIJM-LRDDRELGSA-N 0 2 321.377 0.785 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC000909713236 663796346 /nfs/dbraw/zinc/79/63/46/663796346.db2.gz UDUUTBCPMNQVQQ-HSMVNMDESA-N 0 2 321.377 0.863 20 0 DCADLN C[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C[C@H](C(=O)[O-])O1 ZINC000909895519 663817988 /nfs/dbraw/zinc/81/79/88/663817988.db2.gz IOQRNZKOQLGJCP-ZWNOBZJWSA-N 0 2 316.317 0.581 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000909936393 663821842 /nfs/dbraw/zinc/82/18/42/663821842.db2.gz DUVSMYZASMTISE-XHDPSFHLSA-N 0 2 307.350 0.538 20 0 DCADLN COc1cccc([C@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])c1 ZINC000909943185 663822872 /nfs/dbraw/zinc/82/28/72/663822872.db2.gz CJUNGBOQIMUVBV-AWEZNQCLSA-N 0 2 303.318 0.913 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC000910146962 663850005 /nfs/dbraw/zinc/85/00/05/663850005.db2.gz NUUNPCAQVYWBJH-MNOVXSKESA-N 0 2 309.391 0.722 20 0 DCADLN CC1(O)CCN(c2cc(N3CCC[C@H]3C(=O)[O-])[nH+]cn2)CC1 ZINC000910394840 663879120 /nfs/dbraw/zinc/87/91/20/663879120.db2.gz CVUUQHGPEOLXGU-NSHDSACASA-N 0 2 306.366 0.881 20 0 DCADLN CC1(O)CCN(c2cc(N3CCC[C@H]3C(=O)[O-])nc[nH+]2)CC1 ZINC000910394840 663879123 /nfs/dbraw/zinc/87/91/23/663879123.db2.gz CVUUQHGPEOLXGU-NSHDSACASA-N 0 2 306.366 0.881 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@](F)(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910454449 663886269 /nfs/dbraw/zinc/88/62/69/663886269.db2.gz OBQVBUYTRIJPBG-ZIBATOQPSA-N 0 2 324.356 0.687 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@](F)(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910454449 663886271 /nfs/dbraw/zinc/88/62/71/663886271.db2.gz OBQVBUYTRIJPBG-ZIBATOQPSA-N 0 2 324.356 0.687 20 0 DCADLN O=C([O-])[C@@H]1c2ccccc2OCCN1C(=O)Cn1cc[nH+]c1 ZINC000911056785 663973352 /nfs/dbraw/zinc/97/33/52/663973352.db2.gz JCBOQWZAYKFWHL-AWEZNQCLSA-N 0 2 301.302 0.930 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C(F)(F)F ZINC000911161983 663995580 /nfs/dbraw/zinc/99/55/80/663995580.db2.gz QBQYTIGPYUHAOR-APPZFPTMSA-N 0 2 305.256 0.967 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)Cc1ccc(C(=O)[O-])cc1 ZINC000911196438 664008211 /nfs/dbraw/zinc/00/82/11/664008211.db2.gz FTHFEWSWRZAHGD-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000911351647 664034281 /nfs/dbraw/zinc/03/42/81/664034281.db2.gz KTFSYDXNNNLOBO-PWSUYJOCSA-N 0 2 321.377 0.927 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@]3(CCCOC3)C2)S1 ZINC000746874270 664402812 /nfs/dbraw/zinc/40/28/12/664402812.db2.gz GSZQRGVJEBJSPT-QMTHXVAHSA-N 0 2 311.407 0.962 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2cc[nH]c2n1 ZINC001030481526 664561457 /nfs/dbraw/zinc/56/14/57/664561457.db2.gz LEQRNOLAIYBTBG-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN Cc1c[nH]c(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991987943 664644443 /nfs/dbraw/zinc/64/44/43/664644443.db2.gz NPPTVJYUUNAHGY-SSDOTTSWSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1c[nH]c(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000991987943 664644444 /nfs/dbraw/zinc/64/44/44/664644444.db2.gz NPPTVJYUUNAHGY-SSDOTTSWSA-N 0 2 308.235 0.559 20 0 DCADLN O=C(NC1C[NH+](C[C@H]2CCCOC2)C1)[C@@H]1CCCc2n[nH]nc21 ZINC001030860049 664689153 /nfs/dbraw/zinc/68/91/53/664689153.db2.gz TXTRHWYVZGQYNG-DGCLKSJQSA-N 0 2 319.409 0.452 20 0 DCADLN Cn1cncc1C[N@@H+]1CC[C@@]2(NC(=O)c3ncn[nH]3)CCC[C@H]12 ZINC000992326337 664697467 /nfs/dbraw/zinc/69/74/67/664697467.db2.gz GAAOYUZZCVNJCO-WFASDCNBSA-N 0 2 315.381 0.465 20 0 DCADLN Cn1cncc1C[N@H+]1CC[C@@]2(NC(=O)c3ncn[nH]3)CCC[C@H]12 ZINC000992326337 664697468 /nfs/dbraw/zinc/69/74/68/664697468.db2.gz GAAOYUZZCVNJCO-WFASDCNBSA-N 0 2 315.381 0.465 20 0 DCADLN C[C@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccsc1 ZINC001030943480 664725152 /nfs/dbraw/zinc/72/51/52/664725152.db2.gz QOGYWYONCGEWEF-QMMMGPOBSA-N 0 2 307.379 0.676 20 0 DCADLN C[C@H](C1CC1)N(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC000730525235 664785344 /nfs/dbraw/zinc/78/53/44/664785344.db2.gz LMNSBMRPTGGFHO-SECBINFHSA-N 0 2 301.350 0.861 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccc(F)cc1 ZINC000730530693 664785862 /nfs/dbraw/zinc/78/58/62/664785862.db2.gz IQTSHCKWDPDSTA-UHFFFAOYSA-N 0 2 301.281 0.873 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H](O)C1CCCCC1 ZINC000730588604 664795637 /nfs/dbraw/zinc/79/56/37/664795637.db2.gz GFPVYTRQJWHXOV-LBPRGKRZSA-N 0 2 319.365 0.129 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cscn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993135290 664825657 /nfs/dbraw/zinc/82/56/57/664825657.db2.gz WJIAAFQUEFJYOB-BDAKNGLRSA-N 0 2 322.394 0.750 20 0 DCADLN CC(C)[C@@H]1C[C@@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031208218 664882671 /nfs/dbraw/zinc/88/26/71/664882671.db2.gz VZHVENWTVXYLDT-JQWIXIFHSA-N 0 2 323.397 0.262 20 0 DCADLN Cc1nscc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007149690 664925301 /nfs/dbraw/zinc/92/53/01/664925301.db2.gz MOWWOQYIMNBGBH-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2csnn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993633660 664990026 /nfs/dbraw/zinc/99/00/26/664990026.db2.gz LDGIZSWAAZGOSR-YUMQZZPRSA-N 0 2 323.382 0.145 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001031708772 665318376 /nfs/dbraw/zinc/31/83/76/665318376.db2.gz RIYUCFBXWMHBQG-WDEREUQCSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1cc(C(=O)N2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cn[nH]n2)C3)n[nH]1 ZINC000998143469 665405602 /nfs/dbraw/zinc/40/56/02/665405602.db2.gz HGTLHCSRWWYXRK-PTRXPTGYSA-N 0 2 315.337 0.012 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000941450377 666002452 /nfs/dbraw/zinc/00/24/52/666002452.db2.gz JIJRYRIQGBKYAB-VDTYLAMSSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC001001536214 666069435 /nfs/dbraw/zinc/06/94/35/666069435.db2.gz GVDOATRPITVXOM-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cncnc1 ZINC001001536214 666069437 /nfs/dbraw/zinc/06/94/37/666069437.db2.gz GVDOATRPITVXOM-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000941977793 666089771 /nfs/dbraw/zinc/08/97/71/666089771.db2.gz PDBJPQSQWXXUKN-SVRRBLITSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000941977793 666089772 /nfs/dbraw/zinc/08/97/72/666089772.db2.gz PDBJPQSQWXXUKN-SVRRBLITSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1ccc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)o1 ZINC001002293177 666151428 /nfs/dbraw/zinc/15/14/28/666151428.db2.gz SERPJTQZICKEPI-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN CCc1nc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001032046114 666215458 /nfs/dbraw/zinc/21/54/58/666215458.db2.gz ZENSSFMUIKQNLF-UHFFFAOYSA-N 0 2 320.353 0.231 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC001003830612 666377516 /nfs/dbraw/zinc/37/75/16/666377516.db2.gz OMBFFTWQULHOBW-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cccnn1 ZINC001003830612 666377518 /nfs/dbraw/zinc/37/75/18/666377518.db2.gz OMBFFTWQULHOBW-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC000944064697 666385117 /nfs/dbraw/zinc/38/51/17/666385117.db2.gz RZTSLFWXUGTBKR-HTRCEHHLSA-N 0 2 323.250 0.426 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H]2CC[N@@H+]([C@H](C)C(=O)N(C)C)C2)c1[O-] ZINC001033014463 666427349 /nfs/dbraw/zinc/42/73/49/666427349.db2.gz LCBZPCPYVIWSPF-MNOVXSKESA-N 0 2 323.397 0.047 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H]2CC[N@H+]([C@H](C)C(=O)N(C)C)C2)c1[O-] ZINC001033014463 666427350 /nfs/dbraw/zinc/42/73/50/666427350.db2.gz LCBZPCPYVIWSPF-MNOVXSKESA-N 0 2 323.397 0.047 20 0 DCADLN Cc1nscc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033021081 666430449 /nfs/dbraw/zinc/43/04/49/666430449.db2.gz ULOARONPFMZSHX-SECBINFHSA-N 0 2 322.394 0.622 20 0 DCADLN Cc1ccn(C)c1C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033033770 666444702 /nfs/dbraw/zinc/44/47/02/666444702.db2.gz XZJVDKGLDKBRPE-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN Cc1ccn(C)c1C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033033770 666444704 /nfs/dbraw/zinc/44/47/04/666444704.db2.gz XZJVDKGLDKBRPE-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN Cc1noc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001033173447 666591351 /nfs/dbraw/zinc/59/13/51/666591351.db2.gz BJQNBIYOXXYEEG-JTQLQIEISA-N 0 2 320.353 0.462 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]n1)[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC000945599524 666632506 /nfs/dbraw/zinc/63/25/06/666632506.db2.gz VEDYQVHJTJTIRZ-NXEZZACHSA-N 0 2 317.353 0.199 20 0 DCADLN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H]1CCCN(C(=O)c2cc[nH]n2)C1 ZINC000945599524 666632508 /nfs/dbraw/zinc/63/25/08/666632508.db2.gz VEDYQVHJTJTIRZ-NXEZZACHSA-N 0 2 317.353 0.199 20 0 DCADLN CN(C(=O)[C@@]1(C)C=CCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033336970 666682133 /nfs/dbraw/zinc/68/21/33/666682133.db2.gz CSGLIUQNQJCZPH-ABAIWWIYSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@@]1(C)C=CCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033336970 666682134 /nfs/dbraw/zinc/68/21/34/666682134.db2.gz CSGLIUQNQJCZPH-ABAIWWIYSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@]1(C)C=CCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033336971 666682145 /nfs/dbraw/zinc/68/21/45/666682145.db2.gz CSGLIUQNQJCZPH-IAQYHMDHSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@]1(C)C=CCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033336971 666682146 /nfs/dbraw/zinc/68/21/46/666682146.db2.gz CSGLIUQNQJCZPH-IAQYHMDHSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@]1(C)C=CCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033336973 666682161 /nfs/dbraw/zinc/68/21/61/666682161.db2.gz CSGLIUQNQJCZPH-XHDPSFHLSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@]1(C)C=CCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033336973 666682162 /nfs/dbraw/zinc/68/21/62/666682162.db2.gz CSGLIUQNQJCZPH-XHDPSFHLSA-N 0 2 305.382 0.899 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)N(C)[C@H]2CC[N@@H+](CC(=O)N3CCC3)C2)C1 ZINC001033421494 666711546 /nfs/dbraw/zinc/71/15/46/666711546.db2.gz YOVUGRDHIRZOES-GJZGRUSLSA-N 0 2 322.453 0.093 20 0 DCADLN Cc1nc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001033525143 666743180 /nfs/dbraw/zinc/74/31/80/666743180.db2.gz LNWKLQZJAQCRJN-JTQLQIEISA-N 0 2 320.353 0.462 20 0 DCADLN CN(C(=O)C[NH+]1CCCC1)[C@@H]1CC[N@H+](Cc2cncn2C)C1 ZINC001033657028 666782875 /nfs/dbraw/zinc/78/28/75/666782875.db2.gz HUYDZXVPFYQXNP-CQSZACIVSA-N 0 2 305.426 0.549 20 0 DCADLN CCN(C(=O)c1ccco1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033726164 666807133 /nfs/dbraw/zinc/80/71/33/666807133.db2.gz OJEVLRUJGIXTMZ-JTQLQIEISA-N 0 2 305.338 0.840 20 0 DCADLN CCN(C(=O)c1ccccn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033730359 666808435 /nfs/dbraw/zinc/80/84/35/666808435.db2.gz HLBHCVFADZVPMY-LLVKDONJSA-N 0 2 316.365 0.642 20 0 DCADLN CCN(C(=O)c1ccncc1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033732966 666809918 /nfs/dbraw/zinc/80/99/18/666809918.db2.gz YPJHJIRWTHOBKP-GFCCVEGCSA-N 0 2 316.365 0.642 20 0 DCADLN CCN(C(=O)c1ccncc1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033732967 666810003 /nfs/dbraw/zinc/81/00/03/666810003.db2.gz YPJHJIRWTHOBKP-LBPRGKRZSA-N 0 2 316.365 0.642 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CC[C@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794832 666831346 /nfs/dbraw/zinc/83/13/46/666831346.db2.gz HSESHPVZXTUIED-WDEREUQCSA-N 0 2 323.397 0.224 20 0 DCADLN CC[C@H](C(N)=O)[N@H+]1CC[C@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794832 666831349 /nfs/dbraw/zinc/83/13/49/666831349.db2.gz HSESHPVZXTUIED-WDEREUQCSA-N 0 2 323.397 0.224 20 0 DCADLN CCN(C(=O)c1ccnnc1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033965524 666880872 /nfs/dbraw/zinc/88/08/72/666880872.db2.gz RLPAPDZTSFXQRL-LLVKDONJSA-N 0 2 317.353 0.037 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCOCC1 ZINC001034077635 666912502 /nfs/dbraw/zinc/91/25/02/666912502.db2.gz VNPQNOSFPVVBHH-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCOCC1 ZINC001034077635 666912503 /nfs/dbraw/zinc/91/25/03/666912503.db2.gz VNPQNOSFPVVBHH-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C([C@H]1[C@@H]2CCCC[C@@H]21)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032336482 666965459 /nfs/dbraw/zinc/96/54/59/666965459.db2.gz OOKNPIIWIAXNKH-ZXPJVPCYSA-N 0 2 317.393 0.732 20 0 DCADLN O=C([C@H]1[C@@H]2CCC[C@@H]21)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032339718 666974431 /nfs/dbraw/zinc/97/44/31/666974431.db2.gz PKXCAPKWKKSLMJ-HKLXJQGRSA-N 0 2 303.366 0.342 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1csnn1 ZINC001034336098 666991645 /nfs/dbraw/zinc/99/16/45/666991645.db2.gz ZMOGXORTPWBVTL-QMMMGPOBSA-N 0 2 323.382 0.146 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034528669 667058111 /nfs/dbraw/zinc/05/81/11/667058111.db2.gz SYZDEIUOCVPENC-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034528669 667058114 /nfs/dbraw/zinc/05/81/14/667058114.db2.gz SYZDEIUOCVPENC-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN Cc1cnccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032392670 667159256 /nfs/dbraw/zinc/15/92/56/667159256.db2.gz NMXIUPMNQVQQQI-QWRGUYRKSA-N 0 2 314.349 0.313 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000864125103 667217584 /nfs/dbraw/zinc/21/75/84/667217584.db2.gz XHAGNGOOGHYVJA-IUODEOHRSA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000864125103 667217585 /nfs/dbraw/zinc/21/75/85/667217585.db2.gz XHAGNGOOGHYVJA-IUODEOHRSA-N 0 2 314.357 0.655 20 0 DCADLN C[C@@H](C(N)=O)[N@H+]1CCC2(C1)CCN(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001035230624 667276671 /nfs/dbraw/zinc/27/66/71/667276671.db2.gz ACYUOSHJYSVQHB-LBPRGKRZSA-N 0 2 319.409 0.141 20 0 DCADLN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2cnns2)C1 ZINC000866179170 667367362 /nfs/dbraw/zinc/36/73/62/667367362.db2.gz JUVSQBWSNFCKJU-VIFPVBQESA-N 0 2 308.285 0.821 20 0 DCADLN Cc1nc(C(=O)N2CC[C@@](C)(NC(=O)C(F)(F)F)C2)no1 ZINC000866179199 667367419 /nfs/dbraw/zinc/36/74/19/667367419.db2.gz KWBKWBZJQOMFOY-SNVBAGLBSA-N 0 2 306.244 0.661 20 0 DCADLN O=S(=O)(NC[C@H]1COCCO1)c1onc(C2CC2)c1Cl ZINC000866560992 667381649 /nfs/dbraw/zinc/38/16/49/667381649.db2.gz ZKVNAJLKKJUKOW-QMMMGPOBSA-N 0 2 322.770 0.899 20 0 DCADLN O=C(c1c(O)cccc1Cl)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000738246285 667662724 /nfs/dbraw/zinc/66/27/24/667662724.db2.gz DMBYYCXCAMGXEA-SECBINFHSA-N 0 2 309.713 0.772 20 0 DCADLN CO[C@@H]1CC[C@H](C)N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000870716551 667753490 /nfs/dbraw/zinc/75/34/90/667753490.db2.gz WFJUHXGDHQNKNR-VHSXEESVSA-N 0 2 305.338 0.097 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2ccc3c(c2)CCO3)n1 ZINC000886424089 667765801 /nfs/dbraw/zinc/76/58/01/667765801.db2.gz ZFHPNBLVMNDKQG-UHFFFAOYSA-N 0 2 309.351 0.990 20 0 DCADLN C[C@@]1(c2ccccc2)C[C@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000741643720 667774161 /nfs/dbraw/zinc/77/41/61/667774161.db2.gz VNEVOZFHTGVGKH-DYVFJYSZSA-N 0 2 323.356 0.918 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(-n3cnnn3)c2)S1 ZINC000742501689 667792340 /nfs/dbraw/zinc/79/23/40/667792340.db2.gz QYAAWSMMIXJUPL-VIFPVBQESA-N 0 2 317.334 0.157 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnn(C[C@@H]3CCCO3)c2)S1 ZINC000742553425 667793794 /nfs/dbraw/zinc/79/37/94/667793794.db2.gz GMWRDVBNNOLJIW-UWVGGRQHSA-N 0 2 323.378 0.557 20 0 DCADLN CC(=O)NCc1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000742673286 667796846 /nfs/dbraw/zinc/79/68/46/667796846.db2.gz XGGJYTRIIFBMTR-LLVKDONJSA-N 0 2 320.374 0.818 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2OCCc3ccccc32)S1 ZINC000742673290 667796903 /nfs/dbraw/zinc/79/69/03/667796903.db2.gz XJAMUMLBGVZNPZ-RYUDHWBXSA-N 0 2 319.386 0.973 20 0 DCADLN COC(=O)C1=NO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000871385279 667812806 /nfs/dbraw/zinc/81/28/06/667812806.db2.gz YUFDVSBCGVZWTM-XVKPBYJWSA-N 0 2 312.219 0.807 20 0 DCADLN COC(=O)C1=NO[C@@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC000871385279 667812809 /nfs/dbraw/zinc/81/28/09/667812809.db2.gz YUFDVSBCGVZWTM-XVKPBYJWSA-N 0 2 312.219 0.807 20 0 DCADLN CO[C@]1(C)C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000744719752 667831596 /nfs/dbraw/zinc/83/15/96/667831596.db2.gz IFYZWRMUBJTEKS-ZUZCIYMTSA-N 0 2 319.365 0.391 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@](O)(C(F)(F)F)C2)S1 ZINC000746291606 667870190 /nfs/dbraw/zinc/87/01/90/667870190.db2.gz HYAYFQIZJMSVNV-MLUIRONXSA-N 0 2 311.285 0.069 20 0 DCADLN C[C@]1(C(=O)NCc2n[nH]c(=O)[nH]2)Cc2ccccc2C(=O)O1 ZINC000748057098 667917451 /nfs/dbraw/zinc/91/74/51/667917451.db2.gz JUDXRCKKCWYCKP-CQSZACIVSA-N 0 2 302.290 0.298 20 0 DCADLN O=C(CCCn1ccccc1=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000748382202 667926117 /nfs/dbraw/zinc/92/61/17/667926117.db2.gz HFRHOLAOQMXPPZ-UHFFFAOYSA-N 0 2 305.338 0.201 20 0 DCADLN C=C1c2ccccc2C(=O)N1[C@@H](C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748419486 667927512 /nfs/dbraw/zinc/92/75/12/667927512.db2.gz QYLIAMYDYDPEJB-VIFPVBQESA-N 0 2 313.317 0.642 20 0 DCADLN CCS[C@H]1CCC[C@@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000748577460 667932935 /nfs/dbraw/zinc/93/29/35/667932935.db2.gz RTUQVHRVKGPZHO-QWRGUYRKSA-N 0 2 321.406 0.862 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc(F)ccc1O ZINC000748854399 667941156 /nfs/dbraw/zinc/94/11/56/667941156.db2.gz SJKJEUYNUTZVPH-UHFFFAOYSA-N 0 2 303.253 0.555 20 0 DCADLN O=C1OCc2ccc(S(=O)(=O)Nc3cnn(CCO)c3)cc21 ZINC000751270861 667998701 /nfs/dbraw/zinc/99/87/01/667998701.db2.gz KDVSBZSKQDQVSL-UHFFFAOYSA-N 0 2 323.330 0.347 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnn(-c2ccc(Cl)cc2)n1 ZINC000752042339 668012111 /nfs/dbraw/zinc/01/21/11/668012111.db2.gz RRQIJBBGAXQMRX-UHFFFAOYSA-N 0 2 319.712 0.674 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC([C@H]3CCOC3)CC2)S1 ZINC000752971949 668024146 /nfs/dbraw/zinc/02/41/46/668024146.db2.gz BDCHAZWKFPRTIF-QWRGUYRKSA-N 0 2 311.407 0.818 20 0 DCADLN Cc1ccc(O)c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000753469180 668031526 /nfs/dbraw/zinc/03/15/26/668031526.db2.gz GWJFFQJTHLGALC-UHFFFAOYSA-N 0 2 300.278 0.119 20 0 DCADLN COc1cccc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC000753653726 668033477 /nfs/dbraw/zinc/03/34/77/668033477.db2.gz ZDICHVAWIWKNCR-VIFPVBQESA-N 0 2 308.363 0.305 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnn(-c2ccccc2)n1 ZINC000757830246 668149506 /nfs/dbraw/zinc/14/95/06/668149506.db2.gz QFXFFKJTHDVCHR-UHFFFAOYSA-N 0 2 300.282 0.413 20 0 DCADLN C[C@H](OC(=O)C(C)(C)NC(=O)C(F)(F)F)c1nnnn1C ZINC000759111977 668207026 /nfs/dbraw/zinc/20/70/26/668207026.db2.gz RGXCLKLTYXZUFL-YFKPBYRVSA-N 0 2 309.248 0.271 20 0 DCADLN CC(C)CO[C@@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000759310598 668214611 /nfs/dbraw/zinc/21/46/11/668214611.db2.gz NXTZHQSUKLYLEL-LLVKDONJSA-N 0 2 319.365 0.345 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c1ncnn1C ZINC000759339110 668216106 /nfs/dbraw/zinc/21/61/06/668216106.db2.gz RVBDSAPADQPXFL-ZCFIWIBFSA-N 0 2 307.276 0.449 20 0 DCADLN O=C(OCCCN1C(=O)CNC1=O)c1cc(F)c(O)c(F)c1 ZINC000759544126 668224518 /nfs/dbraw/zinc/22/45/18/668224518.db2.gz LNHHUROALIFHAC-UHFFFAOYSA-N 0 2 314.244 0.769 20 0 DCADLN O=C(COC(=O)c1cc(F)c(O)c(F)c1)N1CCOCC1 ZINC000759549921 668224953 /nfs/dbraw/zinc/22/49/53/668224953.db2.gz QSYSEJJPYLTQEI-UHFFFAOYSA-N 0 2 301.245 0.686 20 0 DCADLN C[C@@H](C[S@@](C)=O)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760505180 668276002 /nfs/dbraw/zinc/27/60/02/668276002.db2.gz MVUOFLBIQCITMG-INMOSUBGSA-N 0 2 302.318 0.327 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](CCO)c2ccccc2)S1 ZINC000761895414 668340110 /nfs/dbraw/zinc/34/01/10/668340110.db2.gz KVVRFMOFFPNMFW-GHMZBOCLSA-N 0 2 307.375 0.783 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc(CO)c(F)c2)S1 ZINC000762717476 668373384 /nfs/dbraw/zinc/37/33/84/668373384.db2.gz HRGYEAPGLVGKLY-SNVBAGLBSA-N 0 2 311.338 0.491 20 0 DCADLN O=C(c1cccc2n[nH]nc21)N1CCSC[C@H]1c1nn[nH]n1 ZINC000763009522 668390874 /nfs/dbraw/zinc/39/08/74/668390874.db2.gz ZCVNBZUDVYLUAO-VIFPVBQESA-N 0 2 316.350 0.401 20 0 DCADLN COCCN(CCC(=O)[O-])C(=O)[C@H]([NH3+])c1c(F)cccc1F ZINC000763076285 668396723 /nfs/dbraw/zinc/39/67/23/668396723.db2.gz VTUHTODQEXYHCK-CYBMUJFWSA-N 0 2 316.304 0.914 20 0 DCADLN CN(C[C@H](O)CN1CCOCC1)C(=O)C(F)C(F)(F)F ZINC000764610802 668455086 /nfs/dbraw/zinc/45/50/86/668455086.db2.gz HNOMFNABQQCTTN-DTWKUNHWSA-N 0 2 302.268 0.038 20 0 DCADLN COC(=O)c1cncc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000769011590 668629145 /nfs/dbraw/zinc/62/91/45/668629145.db2.gz YOTLVPBKMDIRQM-QMMMGPOBSA-N 0 2 308.319 0.363 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](CO)c2cccc(F)c2)S1 ZINC000771096299 668730913 /nfs/dbraw/zinc/73/09/13/668730913.db2.gz IKYQHKBXTZHMAP-VHSXEESVSA-N 0 2 311.338 0.532 20 0 DCADLN COc1ccccc1[C@@H](CO)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000771138707 668732449 /nfs/dbraw/zinc/73/24/49/668732449.db2.gz YQIULXSILMGCCT-KOLCDFICSA-N 0 2 323.374 0.401 20 0 DCADLN O=C(CNC(=O)c1ccccc1)NCCc1n[nH]c(=S)o1 ZINC000773086612 668792926 /nfs/dbraw/zinc/79/29/26/668792926.db2.gz HLCKVKYYNOCNOW-UHFFFAOYSA-N 0 2 306.347 0.447 20 0 DCADLN COCC(COC)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000839802407 668798097 /nfs/dbraw/zinc/79/80/97/668798097.db2.gz SIPQTUKCHQKWCU-SNVBAGLBSA-N 0 2 316.295 0.692 20 0 DCADLN COC(=O)C[C@@H]1CCCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000773274277 668798436 /nfs/dbraw/zinc/79/84/36/668798436.db2.gz AGVGRKPURQMKOM-DTWKUNHWSA-N 0 2 313.379 0.487 20 0 DCADLN COCC1(C)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000773984662 668818855 /nfs/dbraw/zinc/81/88/55/668818855.db2.gz WAUFZKZXVBLQFA-UHFFFAOYSA-N 0 2 319.365 0.346 20 0 DCADLN CC(=O)N1C[C@@H](C(=O)OCc2n[nH]c(=O)[nH]2)Oc2ccccc21 ZINC000774894883 668849643 /nfs/dbraw/zinc/84/96/43/668849643.db2.gz BQHHZJRUNYQEKQ-NSHDSACASA-N 0 2 318.289 0.368 20 0 DCADLN Cc1ccc(N2C[C@H](C(=O)OCc3n[nH]c(=O)[nH]3)CC2=O)cc1 ZINC000774889224 668849682 /nfs/dbraw/zinc/84/96/82/668849682.db2.gz LZIWMBSGNFDFFZ-SNVBAGLBSA-N 0 2 316.317 0.915 20 0 DCADLN Cn1nc(C(=O)OCc2n[nH]c(=O)[nH]2)c2ccccc2c1=O ZINC000774879939 668850017 /nfs/dbraw/zinc/85/00/17/668850017.db2.gz GYPWQBINVKKQCP-UHFFFAOYSA-N 0 2 301.262 0.114 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)Nc2cc(F)ccc21 ZINC000774899549 668850452 /nfs/dbraw/zinc/85/04/52/668850452.db2.gz ZJHNEZVCSLNZHH-MRVPVSSYSA-N 0 2 306.253 0.819 20 0 DCADLN O=C(C[C@H]1Oc2ccccc2NC1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774909704 668850824 /nfs/dbraw/zinc/85/08/24/668850824.db2.gz WXUNPVNJIAJJQK-SECBINFHSA-N 0 2 304.262 0.343 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000774943550 668852492 /nfs/dbraw/zinc/85/24/92/668852492.db2.gz ZIVPCGRVDUEEJH-QMMMGPOBSA-N 0 2 320.280 0.746 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1ccc(Cl)cn1 ZINC000778115252 668894403 /nfs/dbraw/zinc/89/44/03/668894403.db2.gz OFSKLUPTMCQNKN-UHFFFAOYSA-N 0 2 319.708 0.863 20 0 DCADLN CCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000778640118 668902138 /nfs/dbraw/zinc/90/21/38/668902138.db2.gz OZWXDPAURVKIEI-SRVKXCTJSA-N 0 2 306.428 0.586 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC[S@@](=O)CC)cn1 ZINC000778774962 668903796 /nfs/dbraw/zinc/90/37/96/668903796.db2.gz DPKQENYVUCBLAI-NRFANRHFSA-N 0 2 309.395 0.792 20 0 DCADLN COc1cc(O)cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000781024427 669027298 /nfs/dbraw/zinc/02/72/98/669027298.db2.gz LDPMQGREMTZNFZ-UHFFFAOYSA-N 0 2 315.289 0.424 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NN2Cc3ccccc3C2=O)S1 ZINC000782073756 669077774 /nfs/dbraw/zinc/07/77/74/669077774.db2.gz APKZHPPZPFWGPW-SECBINFHSA-N 0 2 304.331 0.230 20 0 DCADLN CC(C)(C)OC(=O)NCc1ccc(C(=O)NN2CN=NC2=O)o1 ZINC000782127276 669080949 /nfs/dbraw/zinc/08/09/49/669080949.db2.gz NNQRFUHZQUWDLL-UHFFFAOYSA-N 0 2 323.309 0.985 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H](C)[C@@H](C)O1 ZINC000782905386 669131410 /nfs/dbraw/zinc/13/14/10/669131410.db2.gz JFFTWIWTUOYHAG-OPRDCNLKSA-N 0 2 305.338 0.096 20 0 DCADLN COc1cnccc1[C@@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000783868683 669179197 /nfs/dbraw/zinc/17/91/97/669179197.db2.gz XWONKGFHAKYSAH-GMSGAONNSA-N 0 2 308.363 0.824 20 0 DCADLN Cc1ccc(Br)nc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000787110354 669351662 /nfs/dbraw/zinc/35/16/62/669351662.db2.gz UWTVGCAYKKIUSA-UHFFFAOYSA-N 0 2 312.127 0.906 20 0 DCADLN O=c1cc(CN2CCOC[C@@H]2C[C@H]2CCCO2)nc2cc[nH]n21 ZINC000933693019 669519610 /nfs/dbraw/zinc/51/96/10/669519610.db2.gz RFWZBOXXXPJWBY-UONOGXRCSA-N 0 2 318.377 0.793 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)Nc1cccc2c1N(C)C(=O)CO2 ZINC000882801748 669591463 /nfs/dbraw/zinc/59/14/63/669591463.db2.gz AYBVGXAWPIJULP-VIFPVBQESA-N 0 2 314.363 0.818 20 0 DCADLN CC(C)OC(=O)CC[C@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000792597906 669675578 /nfs/dbraw/zinc/67/55/78/669675578.db2.gz JMKHMYQRBFOFIJ-DTWKUNHWSA-N 0 2 315.395 0.779 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nc3cccnc3[nH]2)S1 ZINC000793933124 669756622 /nfs/dbraw/zinc/75/66/22/669756622.db2.gz RNYQYFPCGDMREB-ZETCQYMHSA-N 0 2 304.335 0.131 20 0 DCADLN CCCc1nnc(SCC(=O)NOCCOC(C)C)n1N ZINC000795083891 669874739 /nfs/dbraw/zinc/87/47/39/669874739.db2.gz CJWFGUWAWQLEHD-UHFFFAOYSA-N 0 2 317.415 0.509 20 0 DCADLN O=C(c1ccc(Cl)[nH]1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950352508 670008494 /nfs/dbraw/zinc/00/84/94/670008494.db2.gz UUMMVFKSBWXEKU-UHFFFAOYSA-N 0 2 310.745 0.450 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)O[C@@H]1CCC(=O)NC1=O ZINC000798661584 670018208 /nfs/dbraw/zinc/01/82/08/670018208.db2.gz UQSMAMLJGAZQKQ-SVRRBLITSA-N 0 2 324.255 0.038 20 0 DCADLN CC[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCO1 ZINC000798743909 670021251 /nfs/dbraw/zinc/02/12/51/670021251.db2.gz MZMWFLVEEYGPTJ-JTQLQIEISA-N 0 2 305.338 0.099 20 0 DCADLN COc1cnc(NS(=O)(=O)c2ncn(C)c2Cl)nc1 ZINC000799185804 670048501 /nfs/dbraw/zinc/04/85/01/670048501.db2.gz SXXKAHGZTZMQGD-UHFFFAOYSA-N 0 2 303.731 0.673 20 0 DCADLN Cc1nonc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000950837426 670137532 /nfs/dbraw/zinc/13/75/32/670137532.db2.gz XVNCEVOUUAWDBL-POYBYMJQSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000950837426 670137535 /nfs/dbraw/zinc/13/75/35/670137535.db2.gz XVNCEVOUUAWDBL-POYBYMJQSA-N 0 2 324.234 0.609 20 0 DCADLN Cn1cncc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000950892190 670149364 /nfs/dbraw/zinc/14/93/64/670149364.db2.gz RVTQXGMOURSODZ-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000950892190 670149368 /nfs/dbraw/zinc/14/93/68/670149368.db2.gz RVTQXGMOURSODZ-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN CC(C)[C@@H]1OCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948698586 670160547 /nfs/dbraw/zinc/16/05/47/670160547.db2.gz LLIVRRBAYSRTDT-YPMHNXCESA-N 0 2 323.397 0.216 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CC[C@](F)(CO)C1 ZINC000802338709 670175202 /nfs/dbraw/zinc/17/52/02/670175202.db2.gz ZMRQFLRFHYXNKC-GZMMTYOYSA-N 0 2 314.279 0.622 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000951047462 670183014 /nfs/dbraw/zinc/18/30/14/670183014.db2.gz GWVGEGLJYRPNTC-HTRCEHHLSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(CCc1ccncc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949031976 670242492 /nfs/dbraw/zinc/24/24/92/670242492.db2.gz DOEPGJNFZVKCJH-UHFFFAOYSA-N 0 2 316.365 0.182 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@@H]1Cc2ccccc21 ZINC000803342803 670244126 /nfs/dbraw/zinc/24/41/26/670244126.db2.gz LTGHIMODLRVIKV-NSHDSACASA-N 0 2 310.313 0.954 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)NC[C@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC000949142400 670272102 /nfs/dbraw/zinc/27/21/02/670272102.db2.gz ACPKLEVCAFTNJR-ZIAGYGMSSA-N 0 2 319.409 0.085 20 0 DCADLN O=C(Cc1[nH]nc2c1CCCC2)NCCCc1n[nH]c(=O)[nH]1 ZINC000806974340 670340195 /nfs/dbraw/zinc/34/01/95/670340195.db2.gz FWEVYKJRJWSOFY-UHFFFAOYSA-N 0 2 304.354 0.404 20 0 DCADLN Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)nn1 ZINC000949755972 670423608 /nfs/dbraw/zinc/42/36/08/670423608.db2.gz WIZBQUKSELPTND-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)nn1 ZINC000949755972 670423609 /nfs/dbraw/zinc/42/36/09/670423609.db2.gz WIZBQUKSELPTND-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN CC(C)c1[nH]ccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949782928 670430658 /nfs/dbraw/zinc/43/06/58/670430658.db2.gz YLEYUGWQXCHZKU-UHFFFAOYSA-N 0 2 318.381 0.920 20 0 DCADLN C[S@](=O)CCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810431778 670485612 /nfs/dbraw/zinc/48/56/12/670485612.db2.gz SQRUJKSMLRDYGJ-YLVJLNSGSA-N 0 2 304.309 0.410 20 0 DCADLN C[S@](=O)CCN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000810431778 670485614 /nfs/dbraw/zinc/48/56/14/670485614.db2.gz SQRUJKSMLRDYGJ-YLVJLNSGSA-N 0 2 304.309 0.410 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C(=O)N1c1cnn(C)c1 ZINC000810454372 670486322 /nfs/dbraw/zinc/48/63/22/670486322.db2.gz JNTZCFUVDJQFNU-VDAHYXPESA-N 0 2 322.262 0.931 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C(=O)N1c1cnn(C)c1 ZINC000810454372 670486323 /nfs/dbraw/zinc/48/63/23/670486323.db2.gz JNTZCFUVDJQFNU-VDAHYXPESA-N 0 2 322.262 0.931 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(F)C(F)(F)F ZINC000811497529 670531986 /nfs/dbraw/zinc/53/19/86/670531986.db2.gz AHPFWDBVFFOUJL-BIIVOSGPSA-N 0 2 320.308 0.721 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC000811497529 670531988 /nfs/dbraw/zinc/53/19/88/670531988.db2.gz AHPFWDBVFFOUJL-BIIVOSGPSA-N 0 2 320.308 0.721 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCO[C@H](c2ccccc2)O1 ZINC000847545202 670572141 /nfs/dbraw/zinc/57/21/41/670572141.db2.gz YSZFCTAZUBFYMK-MFKMUULPSA-N 0 2 304.306 0.631 20 0 DCADLN Cc1noc(CN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000848353037 670672947 /nfs/dbraw/zinc/67/29/47/670672947.db2.gz HACYYYYUNVHDID-UHFFFAOYSA-N 0 2 308.260 0.794 20 0 DCADLN C[C@@H](CO)[C@@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855464319 670715212 /nfs/dbraw/zinc/71/52/12/670715212.db2.gz PCPLEOZJUZHPBV-JQWIXIFHSA-N 0 2 319.365 0.081 20 0 DCADLN O=C([C@H]1CCCC12OCCO2)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000816472294 670813968 /nfs/dbraw/zinc/81/39/68/670813968.db2.gz ZFPLSVUPGPBCDR-LLVKDONJSA-N 0 2 322.365 0.760 20 0 DCADLN CN(C[C@H](O)Cc1ccccc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000817220455 670906096 /nfs/dbraw/zinc/90/60/96/670906096.db2.gz IESGOECUEMFWBE-VXGBXAGGSA-N 0 2 321.402 0.605 20 0 DCADLN COC(=O)CN(C)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858298971 670990028 /nfs/dbraw/zinc/99/00/28/670990028.db2.gz XFPUBUPQYCSYBV-UHFFFAOYSA-N 0 2 322.239 0.703 20 0 DCADLN COC(=O)C1CC(OC(=O)C(C)(C)NC(=O)C(F)(F)F)C1 ZINC000860948107 671252690 /nfs/dbraw/zinc/25/26/90/671252690.db2.gz SVZOXFDKXJVLRI-UHFFFAOYSA-N 0 2 311.256 0.938 20 0 DCADLN Cn1cc(C2=CCCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)cn1 ZINC000824412851 671429937 /nfs/dbraw/zinc/42/99/37/671429937.db2.gz CEPDDRPJRFZJFH-LLVKDONJSA-N 0 2 319.390 0.592 20 0 DCADLN O=C(C[C@@H]1COCC[NH2+]1)NCc1n[nH]c(-c2ccccc2)n1 ZINC000828094173 671544108 /nfs/dbraw/zinc/54/41/08/671544108.db2.gz IKGFZXHFYWHKPY-GFCCVEGCSA-N 0 2 301.350 0.466 20 0 DCADLN CC(C)(C)OC(=O)NC1(CC(=O)NCc2n[nH]c(=O)[nH]2)CC1 ZINC000829546607 671579291 /nfs/dbraw/zinc/57/92/91/671579291.db2.gz POTDFICIZTZDNK-UHFFFAOYSA-N 0 2 311.342 0.574 20 0 DCADLN CCOC(=O)C(F)(F)[C@@H](NS(=O)(=O)CCOC)C1CC1 ZINC000829915923 671595770 /nfs/dbraw/zinc/59/57/70/671595770.db2.gz PPWNBOZEJBGVAU-VIFPVBQESA-N 0 2 315.338 0.529 20 0 DCADLN COc1nc(C(=O)N2CC[C@@](C)(NC(=O)C(F)(F)F)C2)co1 ZINC000830789702 671640213 /nfs/dbraw/zinc/64/02/13/671640213.db2.gz KTCVYGBYTBCVPO-LLVKDONJSA-N 0 2 321.255 0.966 20 0 DCADLN COCC[C@H](NS(=O)(=O)N=S(C)(C)=O)c1ccco1 ZINC000867072263 671674027 /nfs/dbraw/zinc/67/40/27/671674027.db2.gz YWBXYOAPQOOIPG-VIFPVBQESA-N 0 2 310.397 0.919 20 0 DCADLN CCO[C@@H]1COCC[C@@H]1NS(=O)(=O)N=[S@@](C)(=O)CC ZINC000867104764 671751443 /nfs/dbraw/zinc/75/14/43/671751443.db2.gz GAZZXMMUIVNXCG-VOQFUICPSA-N 0 2 314.429 0.133 20 0 DCADLN CCO[C@@H]1C[C@H](NS(=O)(=O)N=[S@](C)(=O)CC)[C@H]1OC ZINC000867107459 671752111 /nfs/dbraw/zinc/75/21/11/671752111.db2.gz XJGVTXYHGKBZRR-LBKHUKBOSA-N 0 2 314.429 0.131 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NCC1(N(C)C)CCC1 ZINC000867154803 671813982 /nfs/dbraw/zinc/81/39/82/671813982.db2.gz YYPGCISBSRPWRJ-UHFFFAOYSA-N 0 2 311.473 0.813 20 0 DCADLN CC(C)[C@H](CO)[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(C)C ZINC000836846135 671834882 /nfs/dbraw/zinc/83/48/82/671834882.db2.gz XHSLSDRUJXULPP-NHCYSSNCSA-N 0 2 315.439 0.948 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)C(F)(F)C1(O)CCCC1 ZINC000841423130 671931131 /nfs/dbraw/zinc/93/11/31/671931131.db2.gz LRIGYIRENZTYKU-UHFFFAOYSA-N 0 2 307.322 0.957 20 0 DCADLN CCCN1CCC[C@H]1CNS(=O)(=O)N=S1(=O)CCCC1 ZINC000867265469 671946936 /nfs/dbraw/zinc/94/69/36/671946936.db2.gz HBIPFGTYRDUMHP-LBPRGKRZSA-N 0 2 323.484 0.957 20 0 DCADLN CC(C)O[C@@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000843613300 672114723 /nfs/dbraw/zinc/11/47/23/672114723.db2.gz IKNUIXIBFNHDHO-SNVBAGLBSA-N 0 2 305.338 0.097 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1CCC(F)CC1 ZINC000843727269 672117753 /nfs/dbraw/zinc/11/77/53/672117753.db2.gz PRPYTGUBVRAOOQ-UHFFFAOYSA-N 0 2 307.329 0.716 20 0 DCADLN O=C(N1CCC(c2n[nH]c(=O)[nH]2)CC1)C1(O)CCSCC1 ZINC000843875171 672126832 /nfs/dbraw/zinc/12/68/32/672126832.db2.gz KFWZXZGAILKXCJ-UHFFFAOYSA-N 0 2 312.395 0.474 20 0 DCADLN O=C(COCc1ccccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843877815 672126891 /nfs/dbraw/zinc/12/68/91/672126891.db2.gz IMVXYLNXOVLVKY-NSHDSACASA-N 0 2 317.349 0.828 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)[N-]S(=O)(=O)CCCF ZINC000845484017 672252618 /nfs/dbraw/zinc/25/26/18/672252618.db2.gz NWGUXQRKGRSGSU-UHFFFAOYSA-N 0 2 303.359 0.568 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)[C@H]1CCCOC1)n1cc[nH+]c1 ZINC000845510029 672254439 /nfs/dbraw/zinc/25/44/39/672254439.db2.gz VFPGHLLBCYCTKP-QWRGUYRKSA-N 0 2 301.368 0.459 20 0 DCADLN COc1ccc(S(=O)(=O)[N-]C(=O)C[C@H](C)n2cc[nH+]c2)cn1 ZINC000845530465 672255706 /nfs/dbraw/zinc/25/57/06/672255706.db2.gz OEQNBSPYCLXRRH-JTQLQIEISA-N 0 2 324.362 0.743 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000845542264 672258140 /nfs/dbraw/zinc/25/81/40/672258140.db2.gz VCEJGSVQNQKTTK-LLVKDONJSA-N 0 2 307.354 0.027 20 0 DCADLN C[C@H](CC(=O)N1CC(n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC000846119077 672294435 /nfs/dbraw/zinc/29/44/35/672294435.db2.gz XAJDTCZHVYMGES-SECBINFHSA-N 0 2 304.310 0.207 20 0 DCADLN COCCN(CCC(=O)[O-])C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000846115626 672294440 /nfs/dbraw/zinc/29/44/40/672294440.db2.gz MTYXJUNRGCKMOS-UHFFFAOYSA-N 0 2 309.366 0.712 20 0 DCADLN C[C@@H](CC(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC000846703484 672339327 /nfs/dbraw/zinc/33/93/27/672339327.db2.gz KAZXOLNVHZDOMA-WDEREUQCSA-N 0 2 318.337 0.598 20 0 DCADLN CN(C(=O)c1cncn1C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954694106 686101966 /nfs/dbraw/zinc/10/19/66/686101966.db2.gz AXGPLLCGTAAODA-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1cncn1C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954694106 686101967 /nfs/dbraw/zinc/10/19/67/686101967.db2.gz AXGPLLCGTAAODA-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN C[C@H]1CC[C@@H](CCC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000957730342 687172859 /nfs/dbraw/zinc/17/28/59/687172859.db2.gz DUJQQBYNHDSGIO-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1F ZINC001038476811 694094743 /nfs/dbraw/zinc/09/47/43/694094743.db2.gz RAXSRSPKBHKERJ-LLVKDONJSA-N 0 2 319.340 0.962 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1C ZINC001038536080 694109430 /nfs/dbraw/zinc/10/94/30/694109430.db2.gz DLAIKLHJUBVPFP-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN Cc1cccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)n1 ZINC000958246069 687475207 /nfs/dbraw/zinc/47/52/07/687475207.db2.gz UBLHTPPQKPFASH-IWIIMEHWSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1cccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)n1 ZINC000958246069 687475211 /nfs/dbraw/zinc/47/52/11/687475211.db2.gz UBLHTPPQKPFASH-IWIIMEHWSA-N 0 2 314.349 0.074 20 0 DCADLN CN(C(=O)C(F)F)[C@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000958380964 687603627 /nfs/dbraw/zinc/60/36/27/687603627.db2.gz QDBFQOYSPCMTJF-ZLUOBGJFSA-N 0 2 322.205 0.182 20 0 DCADLN CN(C(=O)C(F)F)[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC000958380964 687603630 /nfs/dbraw/zinc/60/36/30/687603630.db2.gz QDBFQOYSPCMTJF-ZLUOBGJFSA-N 0 2 322.205 0.182 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)oc1C ZINC000958576437 687763592 /nfs/dbraw/zinc/76/35/92/687763592.db2.gz MIPNQNFHWFHQLI-IWIIMEHWSA-N 0 2 317.349 0.580 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)oc1C ZINC000958576437 687763595 /nfs/dbraw/zinc/76/35/95/687763595.db2.gz MIPNQNFHWFHQLI-IWIIMEHWSA-N 0 2 317.349 0.580 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnns4)C[C@H]32)c1[O-] ZINC000958584900 687774575 /nfs/dbraw/zinc/77/45/75/687774575.db2.gz VNQZGSSASBNFGY-MYJAWHEDSA-N 0 2 320.378 0.135 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnns4)C[C@H]32)c1[O-] ZINC000958584900 687774581 /nfs/dbraw/zinc/77/45/81/687774581.db2.gz VNQZGSSASBNFGY-MYJAWHEDSA-N 0 2 320.378 0.135 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(C2CC2)n[nH]1 ZINC001038737098 694178079 /nfs/dbraw/zinc/17/80/79/694178079.db2.gz AIKBLEOYXGSLDZ-VIFPVBQESA-N 0 2 317.353 0.115 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1coc(C2CC2)n1 ZINC001038787284 694191762 /nfs/dbraw/zinc/19/17/62/694191762.db2.gz ZDDFYFAEUKPHKI-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)c1ccco1 ZINC000961237761 688579293 /nfs/dbraw/zinc/57/92/93/688579293.db2.gz OYLQYLMMBWXQBH-BKPPORCPSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O)c1ccco1 ZINC000961237761 688579295 /nfs/dbraw/zinc/57/92/95/688579295.db2.gz OYLQYLMMBWXQBH-BKPPORCPSA-N 0 2 324.230 0.482 20 0 DCADLN Cc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]cc2F)nc1 ZINC000961695049 688702705 /nfs/dbraw/zinc/70/27/05/688702705.db2.gz GQWLCFUWGVHLII-IWIIMEHWSA-N 0 2 316.340 0.507 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cnns1 ZINC000965293525 689399354 /nfs/dbraw/zinc/39/93/54/689399354.db2.gz MMVGVBNYGYXCMX-HTQZYQBOSA-N 0 2 323.382 0.002 20 0 DCADLN CC(=O)NC[C@H]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)O1 ZINC000965510550 689462547 /nfs/dbraw/zinc/46/25/47/689462547.db2.gz VBEIDZJUVAGWJQ-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN CC(=O)NC[C@H]1CCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)O1 ZINC000965510550 689462554 /nfs/dbraw/zinc/46/25/54/689462554.db2.gz VBEIDZJUVAGWJQ-RKDXNWHRSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@H]2C)co1 ZINC000965941473 689590222 /nfs/dbraw/zinc/59/02/22/689590222.db2.gz QYKGDMIATLENRY-SCZZXKLOSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@H]2C)n1 ZINC000968399088 690252057 /nfs/dbraw/zinc/25/20/57/690252057.db2.gz AEXKAKKWDXSKPZ-SCZZXKLOSA-N 0 2 319.369 0.182 20 0 DCADLN O=C(Cc1c[nH+]c[nH]1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn[nH]c1 ZINC000968580457 690326556 /nfs/dbraw/zinc/32/65/56/690326556.db2.gz XTLLTXLWFKQGBZ-BETUJISGSA-N 0 2 314.349 0.191 20 0 DCADLN O=C(c1ccc[nH]1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039512910 694375524 /nfs/dbraw/zinc/37/55/24/694375524.db2.gz XMBPDDUTERTBCL-PHIMTYICSA-N 0 2 316.365 0.718 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cscn1 ZINC001006920254 690605030 /nfs/dbraw/zinc/60/50/30/690605030.db2.gz XMRWDGZUHPBRGY-QMMMGPOBSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC001007219411 690644084 /nfs/dbraw/zinc/64/40/84/690644084.db2.gz GUYCRNYPMZAZLN-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN COc1cc(CN2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)on1 ZINC001007758161 690742203 /nfs/dbraw/zinc/74/22/03/690742203.db2.gz DDOAQDDAZMFHRM-SECBINFHSA-N 0 2 306.326 0.196 20 0 DCADLN COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)c3cnn[n-]3)C2)on1 ZINC001007758161 690742207 /nfs/dbraw/zinc/74/22/07/690742207.db2.gz DDOAQDDAZMFHRM-SECBINFHSA-N 0 2 306.326 0.196 20 0 DCADLN COc1cc(C[N@H+]2CCC[C@@H](NC(=O)c3cnn[n-]3)C2)on1 ZINC001007758161 690742210 /nfs/dbraw/zinc/74/22/10/690742210.db2.gz DDOAQDDAZMFHRM-SECBINFHSA-N 0 2 306.326 0.196 20 0 DCADLN CCc1nnc([C@@H](C)N2CCC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001007761657 690743546 /nfs/dbraw/zinc/74/35/46/690743546.db2.gz TURXUZXQXPTNSA-ZJUUUORDSA-N 0 2 318.385 0.441 20 0 DCADLN Cc1ncccc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008357806 690847149 /nfs/dbraw/zinc/84/71/49/690847149.db2.gz LTAGPIZUUBBSKR-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)nn1C ZINC001010622568 691329258 /nfs/dbraw/zinc/32/92/58/691329258.db2.gz BQJMVQCHSFHNCT-LLVKDONJSA-N 0 2 318.381 0.470 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)nn1C ZINC001010622568 691329262 /nfs/dbraw/zinc/32/92/62/691329262.db2.gz BQJMVQCHSFHNCT-LLVKDONJSA-N 0 2 318.381 0.470 20 0 DCADLN CCc1occc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010813993 691388644 /nfs/dbraw/zinc/38/86/44/691388644.db2.gz NGCBQJRLOVCMMS-VIFPVBQESA-N 0 2 305.338 0.670 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011083655 691461372 /nfs/dbraw/zinc/46/13/72/691461372.db2.gz WBKBPTDRXKXEFE-RKDXNWHRSA-N 0 2 314.279 0.575 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011083655 691461373 /nfs/dbraw/zinc/46/13/73/691461373.db2.gz WBKBPTDRXKXEFE-RKDXNWHRSA-N 0 2 314.279 0.575 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H](NC(=O)c3cn[nH]n3)[C@@H]2C)n[nH]1 ZINC001015053763 692171408 /nfs/dbraw/zinc/17/14/08/692171408.db2.gz QHSVJZWQQAKOCM-VHSXEESVSA-N 0 2 317.353 0.259 20 0 DCADLN CCc1cncc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015292286 692253946 /nfs/dbraw/zinc/25/39/46/692253946.db2.gz ZWROMGUXURFLFD-GFCCVEGCSA-N 0 2 316.365 0.472 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001015424877 692293934 /nfs/dbraw/zinc/29/39/34/692293934.db2.gz DAWDIPFXAWVMJA-SECBINFHSA-N 0 2 320.353 0.626 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC(F)CC1 ZINC001015658023 692383864 /nfs/dbraw/zinc/38/38/64/692383864.db2.gz FOABBPRKLSHFQG-VQXHTEKXSA-N 0 2 311.361 0.729 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1occc1Cl ZINC001015662426 692385708 /nfs/dbraw/zinc/38/57/08/692385708.db2.gz LEVUMYFLHAXHME-ZETCQYMHSA-N 0 2 311.729 0.761 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015728150 692419451 /nfs/dbraw/zinc/41/94/51/692419451.db2.gz NABYKGSFBNLJIG-GRYCIOLGSA-N 0 2 307.398 0.883 20 0 DCADLN CCc1oncc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015779708 692439241 /nfs/dbraw/zinc/43/92/41/692439241.db2.gz OSVDYQMQUKZIQS-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN CCc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001015830363 692459586 /nfs/dbraw/zinc/45/95/86/692459586.db2.gz SEKCMRZRIRTTIC-VIFPVBQESA-N 0 2 320.353 0.373 20 0 DCADLN O=C(C[C@@H]1CCCOC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016274998 692630490 /nfs/dbraw/zinc/63/04/90/692630490.db2.gz CZCLCGMYPBHWFR-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN CCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)no1 ZINC001020078450 693674417 /nfs/dbraw/zinc/67/44/17/693674417.db2.gz VGJUSMIROQNVCR-KYZUINATSA-N 0 2 320.353 0.420 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1CCC1 ZINC001073507815 694733294 /nfs/dbraw/zinc/73/32/94/694733294.db2.gz GHAGXPLOKRZUEN-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CCCC(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074144359 694826745 /nfs/dbraw/zinc/82/67/45/694826745.db2.gz OVIOAMLVTFSJEU-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN O=C(c1ccsc1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075526650 694956741 /nfs/dbraw/zinc/95/67/41/694956741.db2.gz AMLRCCWVMZGYPY-GXSJLCMTSA-N 0 2 319.390 0.918 20 0 DCADLN O=C(c1ccsc1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075526650 694956742 /nfs/dbraw/zinc/95/67/42/694956742.db2.gz AMLRCCWVMZGYPY-GXSJLCMTSA-N 0 2 319.390 0.918 20 0 DCADLN COCCCCN(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001570419672 1162510397 /nfs/dbraw/zinc/51/03/97/1162510397.db2.gz GMNKZCLQJIFRGU-UHFFFAOYSA-N 0 2 320.349 0.765 20 0 DCADLN COCC(=O)N1CCC[C@@H]1CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001745036324 1157726358 /nfs/dbraw/zinc/72/63/58/1157726358.db2.gz TVMQCTIUMNVWAP-ZDUSSCGKSA-N 0 2 322.409 0.794 20 0 DCADLN O=C(NO[C@@H]1CCCCO1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001625985395 1158333307 /nfs/dbraw/zinc/33/33/07/1158333307.db2.gz WMYGSVMXEKNEAX-LLVKDONJSA-N 0 2 320.305 0.454 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCCO1)C(F)C(F)(F)F ZINC001720063714 1158790218 /nfs/dbraw/zinc/79/02/18/1158790218.db2.gz DYYMIMOTKRQEND-SFYZADRCSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCCO1)[C@H](F)C(F)(F)F ZINC001720063714 1158790223 /nfs/dbraw/zinc/79/02/23/1158790223.db2.gz DYYMIMOTKRQEND-SFYZADRCSA-N 0 2 300.252 0.688 20 0 DCADLN COCC1(CC(=O)NCCNC(=O)C(F)C(F)(F)F)CC1 ZINC001720089897 1158944418 /nfs/dbraw/zinc/94/44/18/1158944418.db2.gz RBOYUBHMNPXBNR-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN COCC1(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001720089897 1158944423 /nfs/dbraw/zinc/94/44/23/1158944423.db2.gz RBOYUBHMNPXBNR-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN CC(=O)NC1(C(=O)NCCc2n[nH]c(=S)o2)CCCCC1 ZINC001448067120 1159712811 /nfs/dbraw/zinc/71/28/11/1159712811.db2.gz JZWYPDFSWRTKNS-UHFFFAOYSA-N 0 2 312.395 0.856 20 0 DCADLN CCn1ncn(NC(=O)c2cccc3nc[nH]c(=O)c32)c1=O ZINC001569834613 1161281672 /nfs/dbraw/zinc/28/16/72/1161281672.db2.gz WQDQJEBXZLBMSQ-UHFFFAOYSA-N 0 2 300.278 0.097 20 0 DCADLN CC(C)CCC[C@H](NS(=O)(=O)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001573510555 1163453591 /nfs/dbraw/zinc/45/35/91/1163453591.db2.gz AVVCGOMCDHFMKS-LBPRGKRZSA-N 0 2 321.443 0.348 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)C[N@H+](C)CCn1cnnc1 ZINC001574110369 1163638157 /nfs/dbraw/zinc/63/81/57/1163638157.db2.gz BLVZUVRFFUIYDD-UHFFFAOYSA-N 0 2 305.338 0.736 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)C[N@@H+](C)CCn1cnnc1 ZINC001574110369 1163638161 /nfs/dbraw/zinc/63/81/61/1163638161.db2.gz BLVZUVRFFUIYDD-UHFFFAOYSA-N 0 2 305.338 0.736 20 0 DCADLN C[C@H](CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001575312297 1163971220 /nfs/dbraw/zinc/97/12/20/1163971220.db2.gz SUZDZEOAVYQEIN-SCZZXKLOSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@H](CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1cccn1 ZINC001575312297 1163971225 /nfs/dbraw/zinc/97/12/25/1163971225.db2.gz SUZDZEOAVYQEIN-SCZZXKLOSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@H]([NH2+]Cc1c[nH]nn1)[C@H]1CCN(C(=O)c2cscn2)C1 ZINC001575703120 1164112097 /nfs/dbraw/zinc/11/20/97/1164112097.db2.gz QVUHPFKXNLWQSI-UWVGGRQHSA-N 0 2 306.395 0.902 20 0 DCADLN CCCOCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001575746219 1164130839 /nfs/dbraw/zinc/13/08/39/1164130839.db2.gz NHSYQHDHABZOTI-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN CCCOCC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001575746219 1164130842 /nfs/dbraw/zinc/13/08/42/1164130842.db2.gz NHSYQHDHABZOTI-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1C[C@H]2C[C@@H](C1)[N@H+](Cc1c[nH]nn1)C2 ZINC001577689114 1164765769 /nfs/dbraw/zinc/76/57/69/1164765769.db2.gz RORGRWYGZWUCRY-GVXVVHGQSA-N 0 2 315.381 0.321 20 0 DCADLN CCO[C@H]1C[C@H](NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001578383383 1164994793 /nfs/dbraw/zinc/99/47/93/1164994793.db2.gz OJRAWFWIBSEZDJ-KYZUINATSA-N 0 2 318.337 0.573 20 0 DCADLN COC(=O)[C@@]1(C)C[N@H+](Cc2nc(-c3nn[nH]n3)cs2)C[C@@H]1C ZINC001579473048 1165323294 /nfs/dbraw/zinc/32/32/94/1165323294.db2.gz XEDQNEZNABVHRQ-SDBXPKJASA-N 0 2 322.394 0.954 20 0 DCADLN CO[C@@H](Cn1cncc(-c2nn[nH]n2)c1=O)c1ccc(F)cc1 ZINC001579595958 1165354319 /nfs/dbraw/zinc/35/43/19/1165354319.db2.gz MBWCBUSTMJIOTK-LBPRGKRZSA-N 0 2 316.296 0.950 20 0 DCADLN COCC1(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)CCC1 ZINC001579747009 1165384944 /nfs/dbraw/zinc/38/49/44/1165384944.db2.gz LVZQZZUCKJKTHQ-UHFFFAOYSA-N 0 2 318.337 0.575 20 0 DCADLN COc1ccccc1OCCn1cnc(-c2nn[nH]n2)cc1=O ZINC001580083571 1165494634 /nfs/dbraw/zinc/49/46/34/1165494634.db2.gz MQSOBMPEFMESHZ-UHFFFAOYSA-N 0 2 314.305 0.511 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@]1(C)CO ZINC001580597831 1165650026 /nfs/dbraw/zinc/65/00/26/1165650026.db2.gz MLIAOLTULDWVEN-CQSZACIVSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@H](C)[C@H](O)C1 ZINC001580597813 1165650300 /nfs/dbraw/zinc/65/03/00/1165650300.db2.gz OAQTVMMHTDENOF-GMSGAONNSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC(=O)C(C)(C)C ZINC001580601644 1165650899 /nfs/dbraw/zinc/65/08/99/1165650899.db2.gz CBDZVMFQRVRGIF-UHFFFAOYSA-N 0 2 318.337 0.621 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](C)c1ncc[nH]1 ZINC001580606318 1165653778 /nfs/dbraw/zinc/65/37/78/1165653778.db2.gz GFMKCCUQSBXHDG-SSDOTTSWSA-N 0 2 314.309 0.490 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCc1cccnc1 ZINC001580605764 1165654175 /nfs/dbraw/zinc/65/41/75/1165654175.db2.gz IHRWKMQKAGFPTB-UHFFFAOYSA-N 0 2 311.305 0.601 20 0 DCADLN Cc1cc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)c(C)nn1 ZINC001580931176 1165758485 /nfs/dbraw/zinc/75/84/85/1165758485.db2.gz VLEBTTCRLIEJQJ-UHFFFAOYSA-N 0 2 323.360 0.972 20 0 DCADLN Cc1cnc(CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)o1 ZINC001581319073 1165813044 /nfs/dbraw/zinc/81/30/44/1165813044.db2.gz IRSQXABNXWUUMA-UHFFFAOYSA-N 0 2 315.293 0.502 20 0 DCADLN O=C(Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2)[C@H]1CCOC1 ZINC001582185142 1165979412 /nfs/dbraw/zinc/97/94/12/1165979412.db2.gz BMAADYJFBMMTJS-JTQLQIEISA-N 0 2 315.333 0.123 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)c1cc(F)ccc1F ZINC001582198036 1165981440 /nfs/dbraw/zinc/98/14/40/1165981440.db2.gz KALADMZWSMRHTH-UHFFFAOYSA-N 0 2 318.243 0.585 20 0 DCADLN Cc1cc2cn[nH]c2c(C(=O)N2CCOC[C@H]2c2nn[nH]n2)c1 ZINC001586804484 1166499373 /nfs/dbraw/zinc/49/93/73/1166499373.db2.gz HLQQHEJZSQXJAW-NSHDSACASA-N 0 2 313.321 0.598 20 0 DCADLN Cc1cc2c[nH]nc2c(C(=O)N2CCOC[C@H]2c2nn[nH]n2)c1 ZINC001586804484 1166499374 /nfs/dbraw/zinc/49/93/74/1166499374.db2.gz HLQQHEJZSQXJAW-NSHDSACASA-N 0 2 313.321 0.598 20 0 DCADLN O=C(NCc1cccnc1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001586997427 1166500756 /nfs/dbraw/zinc/50/07/56/1166500756.db2.gz BZQSGUQQNKIWGQ-UHFFFAOYSA-N 0 2 311.301 0.591 20 0 DCADLN CC(C)C[C@H](C)N(C)C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001589550616 1166666870 /nfs/dbraw/zinc/66/68/70/1166666870.db2.gz NHIUUECXWPORFK-JTQLQIEISA-N 0 2 319.369 0.316 20 0 DCADLN CC(C)CO[C@@H]1CCN(Cn2cccc(-c3nn[nH]n3)c2=O)C1 ZINC001589640173 1166670485 /nfs/dbraw/zinc/67/04/85/1166670485.db2.gz CMYIHXDRDCIOCH-GFCCVEGCSA-N 0 2 318.381 0.733 20 0 DCADLN CC(C)n1cncc1C[NH2+]CCn1cnc(-c2nn[nH]n2)n1 ZINC001589783894 1166686644 /nfs/dbraw/zinc/68/66/44/1166686644.db2.gz VBMDBMYBAPYPLU-UHFFFAOYSA-N 0 2 302.346 0.025 20 0 DCADLN C[C@](O)(CC(=O)NOc1cccc(-c2nn[nH]n2)c1)C1CC1 ZINC001589989691 1166737403 /nfs/dbraw/zinc/73/74/03/1166737403.db2.gz HODGZIRGUDQECZ-AWEZNQCLSA-N 0 2 303.322 0.828 20 0 DCADLN C[C@@H]1CN(CCCOC(=O)c2ccc(-c3nn[nH]n3)o2)CCO1 ZINC001590305701 1166851429 /nfs/dbraw/zinc/85/14/29/1166851429.db2.gz WUPUMEOJQNHTDH-SNVBAGLBSA-N 0 2 321.337 0.727 20 0 DCADLN CC[C@](O)(Cn1cnc(-c2nn[nH]n2)cc1=O)C(F)(F)F ZINC001590476300 1166920812 /nfs/dbraw/zinc/92/08/12/1166920812.db2.gz UTHVTYYBLCGFRZ-VIFPVBQESA-N 0 2 304.232 0.127 20 0 DCADLN CC[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@@H](C)O1 ZINC001590524837 1166934016 /nfs/dbraw/zinc/93/40/16/1166934016.db2.gz UGHLAVYFTNOVDA-GHMZBOCLSA-N 0 2 304.354 0.485 20 0 DCADLN CCCC1(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CC1 ZINC001590565291 1166943654 /nfs/dbraw/zinc/94/36/54/1166943654.db2.gz LHYBWRBMCXHNSJ-UHFFFAOYSA-N 0 2 305.342 0.658 20 0 DCADLN CCOCCONC(=O)C1(c2ccc(-c3nn[nH]n3)cc2)CC1 ZINC001590816532 1167031364 /nfs/dbraw/zinc/03/13/64/1167031364.db2.gz NBJMMWOAAPBAMU-UHFFFAOYSA-N 0 2 317.349 0.983 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC[C@H](C)C(C)=O)c1 ZINC001591286631 1167201504 /nfs/dbraw/zinc/20/15/04/1167201504.db2.gz NBPJBTDZDSRGSQ-QMMMGPOBSA-N 0 2 319.321 0.430 20 0 DCADLN COC(=O)c1ccoc1Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001591307035 1167207639 /nfs/dbraw/zinc/20/76/39/1167207639.db2.gz XBEPHXVJQZCMHJ-UHFFFAOYSA-N 0 2 315.289 0.765 20 0 DCADLN CO[C@@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)C(C)(C)C ZINC001591329519 1167218646 /nfs/dbraw/zinc/21/86/46/1167218646.db2.gz DOVNYJQKZNDNKO-ZETCQYMHSA-N 0 2 323.357 0.139 20 0 DCADLN COC1CCC(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CC1 ZINC001591352214 1167227122 /nfs/dbraw/zinc/22/71/22/1167227122.db2.gz UYXMVWRLDUNNKI-UHFFFAOYSA-N 0 2 321.341 0.036 20 0 DCADLN COCCOC(=O)N(C)Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001591431279 1167284422 /nfs/dbraw/zinc/28/44/22/1167284422.db2.gz JACAMJWKACITSC-UHFFFAOYSA-N 0 2 322.325 0.009 20 0 DCADLN Cc1ccc2[nH]c(NC(=O)Cn3cnc(-c4nn[nH]n4)n3)nc2c1 ZINC001592198596 1167475506 /nfs/dbraw/zinc/47/55/06/1167475506.db2.gz LTRPIKHPKYZIBT-UHFFFAOYSA-N 0 2 324.308 0.282 20 0 DCADLN Cc1ccc2nc(NC(=O)Cn3cnc(-c4nn[nH]n4)n3)[nH]c2c1 ZINC001592198596 1167475511 /nfs/dbraw/zinc/47/55/11/1167475511.db2.gz LTRPIKHPKYZIBT-UHFFFAOYSA-N 0 2 324.308 0.282 20 0 DCADLN Cc1ccn(Cc2[nH+]ccn2CC(F)F)c(=O)c1-c1nnn[n-]1 ZINC001592265509 1167479996 /nfs/dbraw/zinc/47/99/96/1167479996.db2.gz DDNSAVWATNGDOS-UHFFFAOYSA-N 0 2 321.291 0.847 20 0 DCADLN Cc1nn(Cc2cccc(F)n2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437287 1167521776 /nfs/dbraw/zinc/52/17/76/1167521776.db2.gz BENLYCIAHVNFSY-UHFFFAOYSA-N 0 2 301.285 0.623 20 0 DCADLN Cc1nn(CC[C@H]2COC(C)(C)O2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436815 1167523052 /nfs/dbraw/zinc/52/30/52/1167523052.db2.gz AVPLMMCSRYFELF-JTQLQIEISA-N 0 2 320.353 0.582 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(Oc2cccc(F)c2)n(C)c1=O ZINC001592556953 1167558102 /nfs/dbraw/zinc/55/81/02/1167558102.db2.gz QVNXXDINHPXUDH-UHFFFAOYSA-N 0 2 318.268 0.196 20 0 DCADLN O=C(NCc1ccc(-c2nn[nH]n2)cn1)c1[nH]nc2c1CCCC2 ZINC001593136017 1167720796 /nfs/dbraw/zinc/72/07/96/1167720796.db2.gz XZJGNGNJBFUOTB-UHFFFAOYSA-N 0 2 324.348 0.794 20 0 DCADLN O=C(NOCCO)C1(c2cc(F)cc(-c3nn[nH]n3)c2)CC1 ZINC001593169608 1167730326 /nfs/dbraw/zinc/73/03/26/1167730326.db2.gz ZKNYTUHKZNLENQ-UHFFFAOYSA-N 0 2 307.285 0.078 20 0 DCADLN O=C(Nc1n[nH]cc1-c1nn[nH]n1)c1cc(-c2ccco2)n[nH]1 ZINC001593197565 1167735649 /nfs/dbraw/zinc/73/56/49/1167735649.db2.gz LZNGYWYIJXEDDB-UHFFFAOYSA-N 0 2 311.265 0.825 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(-c2nn[nH]n2)c(Cl)c1 ZINC001593306951 1167766491 /nfs/dbraw/zinc/76/64/91/1167766491.db2.gz HXCWRGLGJFBOAP-UHFFFAOYSA-N 0 2 321.684 0.701 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1ncccc1C(F)(F)F ZINC001593494173 1167877717 /nfs/dbraw/zinc/87/77/17/1167877717.db2.gz IFJATLMVXHOSMU-UHFFFAOYSA-N 0 2 323.238 0.886 20 0 DCADLN COC(=O)[C@H](O)C1CC[NH+]([C@H](C)c2nc(C(=O)[O-])co2)CC1 ZINC001598714629 1168027134 /nfs/dbraw/zinc/02/71/34/1168027134.db2.gz ZICZZUDFUGINHF-LDYMZIIASA-N 0 2 312.322 0.680 20 0 DCADLN COC(=O)C12CCC(CC1)[N@@H+]2CCc1cn(CC(=O)[O-])nn1 ZINC001598728190 1168031079 /nfs/dbraw/zinc/03/10/79/1168031079.db2.gz BRLGBEVXVDKAIM-UHFFFAOYSA-N 0 2 308.338 0.075 20 0 DCADLN COC(=O)C12CCC(CC1)[N@H+]2CCc1cn(CC(=O)[O-])nn1 ZINC001598728190 1168031085 /nfs/dbraw/zinc/03/10/85/1168031085.db2.gz BRLGBEVXVDKAIM-UHFFFAOYSA-N 0 2 308.338 0.075 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@@H+](C)C3CC(O)C3)nc(=O)c12 ZINC001600002327 1168088178 /nfs/dbraw/zinc/08/81/78/1168088178.db2.gz HJGCMZCNUPUBLJ-UHFFFAOYSA-N 0 2 323.374 0.946 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@H+](C)C3CC(O)C3)nc(=O)c12 ZINC001600002327 1168088197 /nfs/dbraw/zinc/08/81/97/1168088197.db2.gz HJGCMZCNUPUBLJ-UHFFFAOYSA-N 0 2 323.374 0.946 20 0 DCADLN Cc1cc(NCCCn2cc[nH+]c2)n2nc(C(=O)[O-])nc2n1 ZINC001600280979 1168155400 /nfs/dbraw/zinc/15/54/00/1168155400.db2.gz NHAXLMTVKBYPBY-UHFFFAOYSA-N 0 2 301.310 0.830 20 0 DCADLN Cc1cn2c(n1)C[C@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])CC2 ZINC001600615101 1168215330 /nfs/dbraw/zinc/21/53/30/1168215330.db2.gz OEZQPZZWJHVIHG-VXGBXAGGSA-N 0 2 317.349 0.220 20 0 DCADLN Cc1ncccc1C[NH+]1CCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001600752744 1168277175 /nfs/dbraw/zinc/27/71/75/1168277175.db2.gz RSRZAOLBVFWVJK-UONOGXRCSA-N 0 2 303.362 0.755 20 0 DCADLN Cc1nc(N(C)CCCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])cc[nH+]1 ZINC001600750990 1168277297 /nfs/dbraw/zinc/27/72/97/1168277297.db2.gz QGQKCNWSWLJPAL-NEPJUHHUSA-N 0 2 306.366 0.790 20 0 DCADLN Cc1nn(C)c(C)c1CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600770368 1168284821 /nfs/dbraw/zinc/28/48/21/1168284821.db2.gz PRZWUSYVTWTTJQ-GFCCVEGCSA-N 0 2 305.338 0.046 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)C[C@H]1C ZINC001600832924 1168479364 /nfs/dbraw/zinc/47/93/64/1168479364.db2.gz YZSNENARSWASMB-RKDXNWHRSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)C[C@H]1C ZINC001600832924 1168479366 /nfs/dbraw/zinc/47/93/66/1168479366.db2.gz YZSNENARSWASMB-RKDXNWHRSA-N 0 2 316.379 0.999 20 0 DCADLN Cn1cc(C(=O)[O-])c(NC(=O)N2CC[C@H](c3[nH+]ccn3C)C2)n1 ZINC001600931988 1168517156 /nfs/dbraw/zinc/51/71/56/1168517156.db2.gz FZZVIJXJCUGIID-VIFPVBQESA-N 0 2 318.337 0.873 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(NC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001600985525 1168545381 /nfs/dbraw/zinc/54/53/81/1168545381.db2.gz QRYNHXNFBQRIBJ-NWDGAFQWSA-N 0 2 306.366 0.221 20 0 DCADLN Cn1nc(C(C)(C)C)cc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601006654 1168558950 /nfs/dbraw/zinc/55/89/50/1168558950.db2.gz FQBMXENNKACVOT-JTQLQIEISA-N 0 2 319.365 0.866 20 0 DCADLN Cn1nc(C(C)(C)C)cc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601006654 1168558956 /nfs/dbraw/zinc/55/89/56/1168558956.db2.gz FQBMXENNKACVOT-JTQLQIEISA-N 0 2 319.365 0.866 20 0 DCADLN [NH3+][C@H](CC(=O)NCCOc1ccc(C(=O)[O-])cc1)C(F)F ZINC001601171815 1168620107 /nfs/dbraw/zinc/62/01/07/1168620107.db2.gz KOWZGDBVTMIFAG-SNVBAGLBSA-N 0 2 302.277 0.862 20 0 DCADLN O=C([O-])[C@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1cccc(O)c1 ZINC001601230587 1168671459 /nfs/dbraw/zinc/67/14/59/1168671459.db2.gz CYCFJXDNUUTTOO-FIMWJMCYSA-N 0 2 320.345 0.523 20 0 DCADLN O=C(C[C@@H]1CSCCS1)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601256425 1168678716 /nfs/dbraw/zinc/67/87/16/1168678716.db2.gz QLFZCVNVMWJHIP-NXEZZACHSA-N 0 2 315.420 0.760 20 0 DCADLN O=C(C[C@@H]1CSCCS1)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601256425 1168678728 /nfs/dbraw/zinc/67/87/28/1168678728.db2.gz QLFZCVNVMWJHIP-NXEZZACHSA-N 0 2 315.420 0.760 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)COc1ccccc1F ZINC001601345085 1168716027 /nfs/dbraw/zinc/71/60/27/1168716027.db2.gz HUHZMYNOLZDKGR-NSHDSACASA-N 0 2 307.281 0.671 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)NC[C@@]1(C(=O)[O-])CCOC1 ZINC001601372500 1168723129 /nfs/dbraw/zinc/72/31/29/1168723129.db2.gz IHWYOFLESYURGF-HNNXBMFYSA-N 0 2 307.350 0.369 20 0 DCADLN O=C([O-])C1([C@]2(O)CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)CCC1 ZINC001601375692 1168724652 /nfs/dbraw/zinc/72/46/52/1168724652.db2.gz BBXQAODNHWTBGS-HNNXBMFYSA-N 0 2 307.350 0.561 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccn(CC(F)F)n1 ZINC001601425888 1168742188 /nfs/dbraw/zinc/74/21/88/1168742188.db2.gz MQKREJVLSBITJE-SECBINFHSA-N 0 2 313.264 0.228 20 0 DCADLN O=C([O-])[C@H](CNC(=O)c1cccc2[nH+]ccn21)[C@@H]1CCOC1 ZINC001601454443 1168753810 /nfs/dbraw/zinc/75/38/10/1168753810.db2.gz RTOLANOIZNKZEX-GHMZBOCLSA-N 0 2 303.318 0.801 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccnc2ccncc21 ZINC001601567355 1168782187 /nfs/dbraw/zinc/78/21/87/1168782187.db2.gz DFQFCQBJCRVABR-CYBMUJFWSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccnc2ccncc21 ZINC001601567355 1168782190 /nfs/dbraw/zinc/78/21/90/1168782190.db2.gz DFQFCQBJCRVABR-CYBMUJFWSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](Cc2nncn2-c2ccccc2)CC1 ZINC001601685406 1168814935 /nfs/dbraw/zinc/81/49/35/1168814935.db2.gz SDAGHGAMENTGGV-OAHLLOKOSA-N 0 2 316.361 0.925 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](Cc2nc(-c3ccoc3)no2)CC1 ZINC001601685393 1168815496 /nfs/dbraw/zinc/81/54/96/1168815496.db2.gz RVTLDPPBRJCJKL-GFCCVEGCSA-N 0 2 307.306 0.987 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCC[NH+]1CCN(C/C=C/Cl)CC1 ZINC001601784915 1168894944 /nfs/dbraw/zinc/89/49/44/1168894944.db2.gz WGZFJPYOFDAEFU-NUYITTKVSA-N 0 2 315.801 0.193 20 0 DCADLN O=C([O-])CC(=O)N1C[C@H]2OCC[N@H+](Cc3ccccc3)[C@H]2C1 ZINC001601887517 1168924670 /nfs/dbraw/zinc/92/46/70/1168924670.db2.gz RHLLLKZTUGSXNK-UONOGXRCSA-N 0 2 304.346 0.573 20 0 DCADLN O=C([O-])CC(=O)N1C[C@H]2OCC[N@@H+](Cc3ccccc3)[C@H]2C1 ZINC001601887517 1168924672 /nfs/dbraw/zinc/92/46/72/1168924672.db2.gz RHLLLKZTUGSXNK-UONOGXRCSA-N 0 2 304.346 0.573 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001601910656 1168930301 /nfs/dbraw/zinc/93/03/01/1168930301.db2.gz QPWHTJFZIGIVEO-KGLIPLIRSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001601910656 1168930310 /nfs/dbraw/zinc/93/03/10/1168930310.db2.gz QPWHTJFZIGIVEO-KGLIPLIRSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])CC1(C[NH+]2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001601915186 1168934190 /nfs/dbraw/zinc/93/41/90/1168934190.db2.gz FJFZRDGBCGVFTI-LBPRGKRZSA-N 0 2 316.423 0.046 20 0 DCADLN O=C([O-])C[C@@]1([NH2+]Cc2nnn(CC(F)(F)F)n2)CCCOC1 ZINC001601938025 1168940608 /nfs/dbraw/zinc/94/06/08/1168940608.db2.gz RMYFBIAQBGPFTR-JTQLQIEISA-N 0 2 323.275 0.349 20 0 DCADLN O=C([O-])CCN1CC[C@H]([N@@H+]2CC[C@@](O)(C(F)(F)F)C2)C1=O ZINC001602009212 1168960428 /nfs/dbraw/zinc/96/04/28/1168960428.db2.gz ANVRVWGVIYZKSM-KWQFWETISA-N 0 2 310.272 0.061 20 0 DCADLN O=C([O-])CCN1CC[C@H]([N@H+]2CC[C@@](O)(C(F)(F)F)C2)C1=O ZINC001602009212 1168960434 /nfs/dbraw/zinc/96/04/34/1168960434.db2.gz ANVRVWGVIYZKSM-KWQFWETISA-N 0 2 310.272 0.061 20 0 DCADLN O=C([O-])CCN1CCC[C@@H]([NH2+][C@H](c2ncccn2)C2CC2)C1=O ZINC001602012900 1168963189 /nfs/dbraw/zinc/96/31/89/1168963189.db2.gz SCXWRPWHTJSBPI-OCCSQVGLSA-N 0 2 318.377 0.983 20 0 DCADLN O=C([O-])COCC(=O)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC001602076707 1168988259 /nfs/dbraw/zinc/98/82/59/1168988259.db2.gz KEPATJPIJPBEEV-DAXSKMNVSA-N 0 2 318.373 0.945 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CCC[C@@H](n3cc(CO)nn3)C2)c1 ZINC001602150372 1169002595 /nfs/dbraw/zinc/00/25/95/1169002595.db2.gz LNAZGGFGFBOZAK-CYBMUJFWSA-N 0 2 317.349 0.634 20 0 DCADLN O=C([O-])c1ccnc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)c1 ZINC001602477997 1169085633 /nfs/dbraw/zinc/08/56/33/1169085633.db2.gz NDIFGEWMTXRQOM-ZDUSSCGKSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCC[C@@H](N3CCNC3=O)C2)s1 ZINC001602519880 1169104276 /nfs/dbraw/zinc/10/42/76/1169104276.db2.gz WWQODODCSBKIRI-SECBINFHSA-N 0 2 310.379 0.831 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCC[C@@H](N3CCNC3=O)C2)s1 ZINC001602519880 1169104283 /nfs/dbraw/zinc/10/42/83/1169104283.db2.gz WWQODODCSBKIRI-SECBINFHSA-N 0 2 310.379 0.831 20 0 DCADLN CC(=O)c1cc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)on1 ZINC001602962349 1169219386 /nfs/dbraw/zinc/21/93/86/1169219386.db2.gz QEOSBKNVZSJWCJ-UHFFFAOYSA-N 0 2 300.278 0.576 20 0 DCADLN C/C(=C/C(=O)N1CC(F)(F)C[C@@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001602951738 1169220399 /nfs/dbraw/zinc/22/03/99/1169220399.db2.gz XOUCDRIGWLDRIQ-SDKXAQGSSA-N 0 2 318.320 0.586 20 0 DCADLN C[C@@]1(O)CCC[N@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CC1 ZINC001603820635 1169404025 /nfs/dbraw/zinc/40/40/25/1169404025.db2.gz UEDYLVZGWANWOB-CQSZACIVSA-N 0 2 304.354 0.223 20 0 DCADLN C[C@@]1(O)CCC[N@@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CC1 ZINC001603820635 1169404027 /nfs/dbraw/zinc/40/40/27/1169404027.db2.gz UEDYLVZGWANWOB-CQSZACIVSA-N 0 2 304.354 0.223 20 0 DCADLN C[C@@H]1CCCC[C@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001603892041 1169422947 /nfs/dbraw/zinc/42/29/47/1169422947.db2.gz WIBREPDNLONDHM-RKDXNWHRSA-N 0 2 319.369 0.255 20 0 DCADLN CCC[C@H](CCO)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604145567 1169505645 /nfs/dbraw/zinc/50/56/45/1169505645.db2.gz VTVJOZPNHMMREP-SECBINFHSA-N 0 2 320.353 0.557 20 0 DCADLN C[C@H](CNC(=O)N[C@@H]1CC=C(C(=O)[O-])C1)[NH+]1CCN(C)CC1 ZINC001604177859 1169516007 /nfs/dbraw/zinc/51/60/07/1169516007.db2.gz GWVKQARHBHXFLF-DGCLKSJQSA-N 0 2 310.398 0.095 20 0 DCADLN C[C@H]([NH2+]CC(=O)N1CCO[C@H](C)C1)c1ncc(C(=O)[O-])s1 ZINC001604768534 1169675637 /nfs/dbraw/zinc/67/56/37/1169675637.db2.gz DPSFYXFTUNAVNI-BDAKNGLRSA-N 0 2 313.379 0.739 20 0 DCADLN C[C@H]1C[N@H+](CCc2cn(CC(=O)[O-])nn2)C[C@](C)(C(F)F)O1 ZINC001604796526 1169688690 /nfs/dbraw/zinc/68/86/90/1169688690.db2.gz DARQJOQNFDFTCX-TVQRCGJNSA-N 0 2 318.324 0.650 20 0 DCADLN C[C@H]1C[N@@H+](CCc2cn(CC(=O)[O-])nn2)C[C@](C)(C(F)F)O1 ZINC001604796526 1169688693 /nfs/dbraw/zinc/68/86/93/1169688693.db2.gz DARQJOQNFDFTCX-TVQRCGJNSA-N 0 2 318.324 0.650 20 0 DCADLN CO[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CC[C@H]1C ZINC001605036161 1169789639 /nfs/dbraw/zinc/78/96/39/1169789639.db2.gz FTJVSMXNOUNLFE-ZYHUDNBSSA-N 0 2 304.354 0.343 20 0 DCADLN CCCCCC[C@@](O)(C[N@H+](C)Cc1n[nH]c(=O)o1)C(=O)[O-] ZINC001605266148 1169883212 /nfs/dbraw/zinc/88/32/12/1169883212.db2.gz QOHQDCZXCAHCKC-CYBMUJFWSA-N 0 2 301.343 0.993 20 0 DCADLN CCCCCC[C@@](O)(C[N@@H+](C)Cc1n[nH]c(=O)o1)C(=O)[O-] ZINC001605266148 1169883222 /nfs/dbraw/zinc/88/32/22/1169883222.db2.gz QOHQDCZXCAHCKC-CYBMUJFWSA-N 0 2 301.343 0.993 20 0 DCADLN CC[N@H+](CC(=O)N(C)C)Cc1c(C)nn(CCC(=O)[O-])c1C ZINC001605411739 1169916024 /nfs/dbraw/zinc/91/60/24/1169916024.db2.gz ZYUVSFBDKXOKAM-UHFFFAOYSA-N 0 2 310.398 0.885 20 0 DCADLN CC[N@@H+](CC(=O)N(C)C)Cc1c(C)nn(CCC(=O)[O-])c1C ZINC001605411739 1169916026 /nfs/dbraw/zinc/91/60/26/1169916026.db2.gz ZYUVSFBDKXOKAM-UHFFFAOYSA-N 0 2 310.398 0.885 20 0 DCADLN CCN(CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])c1ccccc1 ZINC001605413883 1169916034 /nfs/dbraw/zinc/91/60/34/1169916034.db2.gz NGIPELIGTLACCH-CQSZACIVSA-N 0 2 316.361 0.979 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCc2ccncc21 ZINC001605506674 1169942227 /nfs/dbraw/zinc/94/22/27/1169942227.db2.gz LDHQJNJVNONHEN-UHFFFAOYSA-N 0 2 323.316 0.874 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NO[C@H]1CCCCO1 ZINC001605510317 1169942973 /nfs/dbraw/zinc/94/29/73/1169942973.db2.gz OQRGSPQNLVBNNJ-VIFPVBQESA-N 0 2 320.309 0.464 20 0 DCADLN Cc1ccn(CC(=O)NCCC(C)(C)C)c(=O)c1-c1nn[nH]n1 ZINC001605948910 1170031276 /nfs/dbraw/zinc/03/12/76/1170031276.db2.gz IJDGVHXJSFITIO-UHFFFAOYSA-N 0 2 318.381 0.889 20 0 DCADLN CN(C)c1cccc(C[NH+]2CC(NC(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)c1 ZINC001606059739 1170067359 /nfs/dbraw/zinc/06/73/59/1170067359.db2.gz NGSASXDGXFFFGP-GJZGRUSLSA-N 0 2 317.389 0.774 20 0 DCADLN CN(CCCNC(=O)Cc1[nH]cc[nH+]1)c1ncc(C(=O)[O-])cn1 ZINC001606122692 1170085641 /nfs/dbraw/zinc/08/56/41/1170085641.db2.gz XPMAIJYAGUVBSR-UHFFFAOYSA-N 0 2 318.337 0.083 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@]2(C)CC2(C)C)c(-c2nn[nH]n2)c1=O ZINC001606205558 1170112070 /nfs/dbraw/zinc/11/20/70/1170112070.db2.gz FXMBIWUBTNGGSI-ZDUSSCGKSA-N 0 2 305.342 0.514 20 0 DCADLN CN1CC[N@H+](CCCS(=O)(=O)C(C)(C)C)C[C@@H](C(=O)[O-])C1 ZINC001606240882 1170132765 /nfs/dbraw/zinc/13/27/65/1170132765.db2.gz HFZQHBHZOYIOKK-LBPRGKRZSA-N 0 2 320.455 0.538 20 0 DCADLN CN1CC[N@@H+](CCCS(=O)(=O)C(C)(C)C)C[C@@H](C(=O)[O-])C1 ZINC001606240882 1170132766 /nfs/dbraw/zinc/13/27/66/1170132766.db2.gz HFZQHBHZOYIOKK-LBPRGKRZSA-N 0 2 320.455 0.538 20 0 DCADLN COC(=O)[C@@]1([NH2+]CCC(=O)NCC(=O)[O-])CCCC(C)(C)C1 ZINC001606354782 1170168000 /nfs/dbraw/zinc/16/80/00/1170168000.db2.gz VEOISIBPHIVTGD-OAHLLOKOSA-N 0 2 314.382 0.679 20 0 DCADLN COC(=O)[C@@]1(OC)CC[N@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001606356488 1170168619 /nfs/dbraw/zinc/16/86/19/1170168619.db2.gz GTIJGCCOASRFRW-GFCCVEGCSA-N 0 2 300.336 0.605 20 0 DCADLN COC(=O)[C@@]1(OC)CC[N@@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001606356488 1170168623 /nfs/dbraw/zinc/16/86/23/1170168623.db2.gz GTIJGCCOASRFRW-GFCCVEGCSA-N 0 2 300.336 0.605 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCc1c[nH+]cn1C ZINC001606369336 1170171917 /nfs/dbraw/zinc/17/19/17/1170171917.db2.gz CYGKDFLPACUOHX-ZYHUDNBSSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCCn1ccnc1C ZINC001606373591 1170174113 /nfs/dbraw/zinc/17/41/13/1170174113.db2.gz LLONUWUAGHZYAA-CHWSQXEVSA-N 0 2 309.366 0.920 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCCn1ccnc1C ZINC001606373591 1170174117 /nfs/dbraw/zinc/17/41/17/1170174117.db2.gz LLONUWUAGHZYAA-CHWSQXEVSA-N 0 2 309.366 0.920 20 0 DCADLN COC(=O)[C@@H]1CCC[C@@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001606400686 1170186021 /nfs/dbraw/zinc/18/60/21/1170186021.db2.gz NGIOCQNCFHUBHR-CKYFFXLPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H]1CCC[C@@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001606400686 1170186025 /nfs/dbraw/zinc/18/60/25/1170186025.db2.gz NGIOCQNCFHUBHR-CKYFFXLPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1 ZINC001606505902 1170223568 /nfs/dbraw/zinc/22/35/68/1170223568.db2.gz MQJJCNJNVFVPQG-JFGNBEQYSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1 ZINC001606505902 1170223573 /nfs/dbraw/zinc/22/35/73/1170223573.db2.gz MQJJCNJNVFVPQG-JFGNBEQYSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2C[C@@H]3C[C@H]2C[S@]3=O)cc(C(=O)[O-])c1 ZINC001606542162 1170231669 /nfs/dbraw/zinc/23/16/69/1170231669.db2.gz ADYLVUCFCXXCDG-UTWWPYJBSA-N 0 2 323.370 0.877 20 0 DCADLN COC(=O)c1cc(C[N@H+]2C[C@@H]3C[C@H]2C[S@]3=O)cc(C(=O)[O-])c1 ZINC001606542162 1170231672 /nfs/dbraw/zinc/23/16/72/1170231672.db2.gz ADYLVUCFCXXCDG-UTWWPYJBSA-N 0 2 323.370 0.877 20 0 DCADLN O=C(COc1ccccc1)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001606573960 1170236779 /nfs/dbraw/zinc/23/67/79/1170236779.db2.gz ITNXDSOIOPULPG-UHFFFAOYSA-N 0 2 312.289 0.072 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])nc1 ZINC001606588304 1170238247 /nfs/dbraw/zinc/23/82/47/1170238247.db2.gz PUGPPITUPWLZTO-GFCCVEGCSA-N 0 2 321.333 0.033 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])nc1 ZINC001606588304 1170238249 /nfs/dbraw/zinc/23/82/49/1170238249.db2.gz PUGPPITUPWLZTO-GFCCVEGCSA-N 0 2 321.333 0.033 20 0 DCADLN COC1([C@H](C(=O)[O-])[NH+]2CCN(Cc3ccno3)CC2)CCC1 ZINC001606707987 1170271012 /nfs/dbraw/zinc/27/10/12/1170271012.db2.gz HXRYXMOBOVWSJB-ZDUSSCGKSA-N 0 2 309.366 0.815 20 0 DCADLN COCOc1ccc(OC)cc1C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC001606937539 1170334292 /nfs/dbraw/zinc/33/42/92/1170334292.db2.gz XYXPKTVYRHOHSN-YPMHNXCESA-N 0 2 311.334 0.698 20 0 DCADLN COCOc1ccc(OC)cc1C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC001606937539 1170334295 /nfs/dbraw/zinc/33/42/95/1170334295.db2.gz XYXPKTVYRHOHSN-YPMHNXCESA-N 0 2 311.334 0.698 20 0 DCADLN CO[C@@H]1C[N@H+](CC(=O)N(C)c2ccccc2C(=O)[O-])C[C@@H]1OC ZINC001607005593 1170352965 /nfs/dbraw/zinc/35/29/65/1170352965.db2.gz UYEUQBQPLPYKGJ-OKILXGFUSA-N 0 2 322.361 0.693 20 0 DCADLN CO[C@@H]1C[N@@H+](CC(=O)N(C)c2ccccc2C(=O)[O-])C[C@@H]1OC ZINC001607005593 1170352971 /nfs/dbraw/zinc/35/29/71/1170352971.db2.gz UYEUQBQPLPYKGJ-OKILXGFUSA-N 0 2 322.361 0.693 20 0 DCADLN COc1cccc(C(=O)[O-])c1C[NH+]1CCN(C(=O)[C@@H](C)O)CC1 ZINC001607305376 1170424849 /nfs/dbraw/zinc/42/48/49/1170424849.db2.gz OYROWTAQDOPAGH-LLVKDONJSA-N 0 2 322.361 0.418 20 0 DCADLN COc1nc(Cl)c(C[NH2+][C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])n1C ZINC001607358211 1170434405 /nfs/dbraw/zinc/43/44/05/1170434405.db2.gz YBKOOHJJLNPCQK-BQBZGAKWSA-N 0 2 318.761 0.150 20 0 DCADLN Cc1[nH]c(C(=O)N[C@H](CO)c2c[nH+]cn2C)c(C)c1C(=O)[O-] ZINC001607490607 1170466140 /nfs/dbraw/zinc/46/61/40/1170466140.db2.gz RZYGPWWXPOTJGG-SECBINFHSA-N 0 2 306.322 0.527 20 0 DCADLN Cc1c(NC(=O)[C@@H](c2c[nH+]cn2C)N(C)C)cnn1CC(=O)[O-] ZINC001607541389 1170475406 /nfs/dbraw/zinc/47/54/06/1170475406.db2.gz VSNATUSVNSYZSO-CYBMUJFWSA-N 0 2 320.353 0.251 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CC(=O)N(C)C[C@H]3C(=O)[O-])ccn12 ZINC001607908765 1170521621 /nfs/dbraw/zinc/52/16/21/1170521621.db2.gz NUEJGLXKOCYVNA-NSHDSACASA-N 0 2 316.317 0.010 20 0 DCADLN Cc1conc1C[NH+]1CCC(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001607922508 1170525253 /nfs/dbraw/zinc/52/52/53/1170525253.db2.gz GPAVNGYZSFXQHC-RYUDHWBXSA-N 0 2 307.350 0.784 20 0 DCADLN Cc1noc(C2CC[NH+](CC(=O)N[C@H](C(=O)[O-])C(C)C)CC2)n1 ZINC001608025428 1170551376 /nfs/dbraw/zinc/55/13/76/1170551376.db2.gz HGCNFPYDUFMEBJ-ZDUSSCGKSA-N 0 2 324.381 0.783 20 0 DCADLN Cn1c(=O)oc2ccc(C[N@@H+]3CCO[C@@H](CC(=O)[O-])C3)cc21 ZINC001608077122 1170564918 /nfs/dbraw/zinc/56/49/18/1170564918.db2.gz DSNJSHBBQGIQMZ-NSHDSACASA-N 0 2 306.318 0.807 20 0 DCADLN Cn1c(=O)oc2ccc(C[N@H+]3CCO[C@@H](CC(=O)[O-])C3)cc21 ZINC001608077122 1170564927 /nfs/dbraw/zinc/56/49/27/1170564927.db2.gz DSNJSHBBQGIQMZ-NSHDSACASA-N 0 2 306.318 0.807 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)CC2(C(=O)[O-])CC2)C1 ZINC001608132092 1170585748 /nfs/dbraw/zinc/58/57/48/1170585748.db2.gz ADMGZOUNDMFQQJ-SNVBAGLBSA-N 0 2 313.379 0.404 20 0 DCADLN Cn1nccc1CN1CC[NH+](Cc2cccc(C(=O)[O-])n2)CC1 ZINC001608165138 1170605568 /nfs/dbraw/zinc/60/55/68/1170605568.db2.gz HAGLVMNFFMYKIL-UHFFFAOYSA-N 0 2 315.377 0.831 20 0 DCADLN NC(=S)c1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001608247714 1170629774 /nfs/dbraw/zinc/62/97/74/1170629774.db2.gz JYFKTZHPPSMBOP-LLVKDONJSA-N 0 2 318.358 0.401 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc2c(c1)COC2 ZINC001608363923 1170659874 /nfs/dbraw/zinc/65/98/74/1170659874.db2.gz MSAKSQKZMJDMME-CQSZACIVSA-N 0 2 315.329 0.725 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2oc(=O)[nH]c2c1 ZINC001608402626 1170669908 /nfs/dbraw/zinc/66/99/08/1170669908.db2.gz JHMDZMJMIUCHQL-JTQLQIEISA-N 0 2 316.273 0.613 20 0 DCADLN O=C([O-])C1=NOC2(C1)CCN(C(=O)CCCn1cc[nH+]c1)CC2 ZINC001608588326 1170708885 /nfs/dbraw/zinc/70/88/85/1170708885.db2.gz DBSBBMDPNBIRQM-UHFFFAOYSA-N 0 2 320.349 0.885 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001608610831 1170719313 /nfs/dbraw/zinc/71/93/13/1170719313.db2.gz LAFCGIVDEZYSJE-GHMZBOCLSA-N 0 2 322.390 0.293 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001608610831 1170719319 /nfs/dbraw/zinc/71/93/19/1170719319.db2.gz LAFCGIVDEZYSJE-GHMZBOCLSA-N 0 2 322.390 0.293 20 0 DCADLN O=C([O-])CCNC(=O)C[N@@H+]1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC001608728994 1170745866 /nfs/dbraw/zinc/74/58/66/1170745866.db2.gz DQJWBIOSHHLJBK-LLVKDONJSA-N 0 2 321.381 0.452 20 0 DCADLN O=C([O-])CCNC(=O)C[N@H+]1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC001608728994 1170745871 /nfs/dbraw/zinc/74/58/71/1170745871.db2.gz DQJWBIOSHHLJBK-LLVKDONJSA-N 0 2 321.381 0.452 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1[C@H]1NC(=O)N(Cc2ccn3cc[nH+]c3c2)C1=O ZINC001608813716 1170759977 /nfs/dbraw/zinc/75/99/77/1170759977.db2.gz FSRCYFQZMHDAJM-CKYFFXLPSA-N 0 2 314.301 0.475 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)CN1C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC001608823358 1170761751 /nfs/dbraw/zinc/76/17/51/1170761751.db2.gz MSPMQXSFGOCTSR-HLTSFMKQSA-N 0 2 321.255 0.423 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](C(F)(F)F)CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001608822157 1170761930 /nfs/dbraw/zinc/76/19/30/1170761930.db2.gz BSFXLKLNCAKFAE-DTWKUNHWSA-N 0 2 305.256 0.995 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)nc1 ZINC001608881529 1170768329 /nfs/dbraw/zinc/76/83/29/1170768329.db2.gz CIWBURWRQQPWIP-NEPJUHHUSA-N 0 2 310.375 0.646 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)nc1 ZINC001608881529 1170768330 /nfs/dbraw/zinc/76/83/30/1170768330.db2.gz CIWBURWRQQPWIP-NEPJUHHUSA-N 0 2 310.375 0.646 20 0 DCADLN CCOCC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001609239322 1170894819 /nfs/dbraw/zinc/89/48/19/1170894819.db2.gz FZDFUXGPGCUOAD-UHFFFAOYSA-N 0 2 317.349 0.513 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)N[C@H](C)CO ZINC001609378165 1170958074 /nfs/dbraw/zinc/95/80/74/1170958074.db2.gz NGJAATGWPUVEFO-SSDOTTSWSA-N 0 2 320.353 0.014 20 0 DCADLN c1cc(-c2nn[nH]n2)oc1C[NH2+]C1(c2nnn[n-]2)CCCC1 ZINC001609613271 1171048808 /nfs/dbraw/zinc/04/88/08/1171048808.db2.gz WDIVFJJFTLRDGJ-UHFFFAOYSA-N 0 2 301.314 0.532 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CC[C@](F)(CO)C1 ZINC001609632136 1171055920 /nfs/dbraw/zinc/05/59/20/1171055920.db2.gz CVWJQFQNQFYTTL-IINYFYTJSA-N 0 2 302.346 0.104 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CC[C@](F)(CO)C1 ZINC001609632136 1171055928 /nfs/dbraw/zinc/05/59/28/1171055928.db2.gz CVWJQFQNQFYTTL-IINYFYTJSA-N 0 2 302.346 0.104 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CCCc2c(cnn2C)C1)C(=O)[O-] ZINC001609693757 1171067595 /nfs/dbraw/zinc/06/75/95/1171067595.db2.gz BQCDSUKNPFLAIX-CYBMUJFWSA-N 0 2 322.409 0.784 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CCCc2c(cnn2C)C1)C(=O)[O-] ZINC001609693757 1171067601 /nfs/dbraw/zinc/06/76/01/1171067601.db2.gz BQCDSUKNPFLAIX-CYBMUJFWSA-N 0 2 322.409 0.784 20 0 DCADLN CC(C)Oc1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])ccn1 ZINC001609712584 1171072377 /nfs/dbraw/zinc/07/23/77/1171072377.db2.gz NOXQNWGRJRKHHV-LBPRGKRZSA-N 0 2 318.333 0.949 20 0 DCADLN C[C@@](CC(=O)[O-])([NH2+]Cc1nc(N)nc(N)n1)c1cccc(F)c1 ZINC001609731328 1171075043 /nfs/dbraw/zinc/07/50/43/1171075043.db2.gz YEVIXTNRRYEDKF-AWEZNQCLSA-N 0 2 320.328 0.655 20 0 DCADLN CC(C)[C@H](NC(=O)C[NH2+][C@H](C)c1nnc2ccccn21)C(=O)[O-] ZINC001609773468 1171082390 /nfs/dbraw/zinc/08/23/90/1171082390.db2.gz NMYRJEYLZARPQP-MFKMUULPSA-N 0 2 319.365 0.605 20 0 DCADLN C[C@@H](c1nc(N)nc(Cl)n1)[N@@H+]1CCSC[C@H](C(=O)[O-])C1 ZINC001609809385 1171090924 /nfs/dbraw/zinc/09/09/24/1171090924.db2.gz CVVXZIZOTHBZOQ-NKWVEPMBSA-N 0 2 317.802 0.918 20 0 DCADLN C[C@@H](c1nc(N)nc(Cl)n1)[N@H+]1CCSC[C@H](C(=O)[O-])C1 ZINC001609809385 1171090932 /nfs/dbraw/zinc/09/09/32/1171090932.db2.gz CVVXZIZOTHBZOQ-NKWVEPMBSA-N 0 2 317.802 0.918 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001609828916 1171098023 /nfs/dbraw/zinc/09/80/23/1171098023.db2.gz RAXYYQQOZGISRB-VHSXEESVSA-N 0 2 308.338 0.837 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001609828916 1171098033 /nfs/dbraw/zinc/09/80/33/1171098033.db2.gz RAXYYQQOZGISRB-VHSXEESVSA-N 0 2 308.338 0.837 20 0 DCADLN C[C@@H]1NC(=O)N(CC[N@@H+]2Cc3ccc(C(=O)[O-])cc3C2)C1=O ZINC001609877529 1171113272 /nfs/dbraw/zinc/11/32/72/1171113272.db2.gz ABVGWDPIOZUVKB-VIFPVBQESA-N 0 2 303.318 0.641 20 0 DCADLN C[C@@H]1NC(=O)N(CC[N@H+]2Cc3ccc(C(=O)[O-])cc3C2)C1=O ZINC001609877529 1171113276 /nfs/dbraw/zinc/11/32/76/1171113276.db2.gz ABVGWDPIOZUVKB-VIFPVBQESA-N 0 2 303.318 0.641 20 0 DCADLN CCCNC(=O)NC(=O)C[NH2+][C@](C)(C(=O)[O-])c1ccccc1 ZINC001609979076 1171131495 /nfs/dbraw/zinc/13/14/95/1171131495.db2.gz CACMZQUTHVXZAY-HNNXBMFYSA-N 0 2 307.350 0.812 20 0 DCADLN CCOC(=O)C1(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCCC1 ZINC001610013859 1171139520 /nfs/dbraw/zinc/13/95/20/1171139520.db2.gz CHLPNXADCUROFN-NSHDSACASA-N 0 2 323.349 0.645 20 0 DCADLN CCOC(=O)C1(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCCC1 ZINC001610013859 1171139522 /nfs/dbraw/zinc/13/95/22/1171139522.db2.gz CHLPNXADCUROFN-NSHDSACASA-N 0 2 323.349 0.645 20 0 DCADLN COC(=O)C1CCC(NC(=O)CCc2c[nH]c[nH+]2)(C(=O)[O-])CC1 ZINC001610164335 1171182804 /nfs/dbraw/zinc/18/28/04/1171182804.db2.gz HOUSABJEYIOWFP-UHFFFAOYSA-N 0 2 323.349 0.645 20 0 DCADLN COC(=O)C1CCC(NC(=O)CCc2c[nH+]c[nH]2)(C(=O)[O-])CC1 ZINC001610164335 1171182809 /nfs/dbraw/zinc/18/28/09/1171182809.db2.gz HOUSABJEYIOWFP-UHFFFAOYSA-N 0 2 323.349 0.645 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCOC[C@H]1CCCO1 ZINC001610166492 1171183685 /nfs/dbraw/zinc/18/36/85/1171183685.db2.gz ROPHGEYHMUYZSF-XQQFMLRXSA-N 0 2 315.366 0.520 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCOC[C@H]1CCCO1 ZINC001610166492 1171183687 /nfs/dbraw/zinc/18/36/87/1171183687.db2.gz ROPHGEYHMUYZSF-XQQFMLRXSA-N 0 2 315.366 0.520 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCOC[C@@H]1CCCO1 ZINC001610166483 1171184119 /nfs/dbraw/zinc/18/41/19/1171184119.db2.gz ROPHGEYHMUYZSF-AGIUHOORSA-N 0 2 315.366 0.520 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCOC[C@@H]1CCCO1 ZINC001610166483 1171184121 /nfs/dbraw/zinc/18/41/21/1171184121.db2.gz ROPHGEYHMUYZSF-AGIUHOORSA-N 0 2 315.366 0.520 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@@H+]1CC[C@H](C)C[C@H]1C(=O)[O-])C(C)C ZINC001610192454 1171194408 /nfs/dbraw/zinc/19/44/08/1171194408.db2.gz LHQGLMXVANLMHE-GVXVVHGQSA-N 0 2 314.382 0.485 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@H+]1CC[C@H](C)C[C@H]1C(=O)[O-])C(C)C ZINC001610192454 1171194410 /nfs/dbraw/zinc/19/44/10/1171194410.db2.gz LHQGLMXVANLMHE-GVXVVHGQSA-N 0 2 314.382 0.485 20 0 DCADLN COC[C@@](C)([NH2+]Cc1cc(-c2cnn(C)c2C)no1)C(=O)[O-] ZINC001610244859 1171205765 /nfs/dbraw/zinc/20/57/65/1171205765.db2.gz TZWAIBAIVYGIJB-CQSZACIVSA-N 0 2 308.338 0.963 20 0 DCADLN COc1cc2c(cc1C[N@@H+]1CCC[C@H](O)[C@H]1C(=O)[O-])OCO2 ZINC001610308662 1171217831 /nfs/dbraw/zinc/21/78/31/1171217831.db2.gz YOEBFZUASZBVBK-HZMBPMFUSA-N 0 2 309.318 0.834 20 0 DCADLN COc1cc2c(cc1C[N@H+]1CCC[C@H](O)[C@H]1C(=O)[O-])OCO2 ZINC001610308662 1171217833 /nfs/dbraw/zinc/21/78/33/1171217833.db2.gz YOEBFZUASZBVBK-HZMBPMFUSA-N 0 2 309.318 0.834 20 0 DCADLN COc1ccccc1OCC[N@H+](C)CN1C[C@H](C(=O)[O-])CC1=O ZINC001610367973 1171225477 /nfs/dbraw/zinc/22/54/77/1171225477.db2.gz WQMKTTOJVOBRBX-GFCCVEGCSA-N 0 2 322.361 0.896 20 0 DCADLN COc1ccccc1OCC[N@@H+](C)CN1C[C@H](C(=O)[O-])CC1=O ZINC001610367973 1171225490 /nfs/dbraw/zinc/22/54/90/1171225490.db2.gz WQMKTTOJVOBRBX-GFCCVEGCSA-N 0 2 322.361 0.896 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2csc(C(=O)[O-])c2)CC1 ZINC001610379434 1171227169 /nfs/dbraw/zinc/22/71/69/1171227169.db2.gz ROITTWNFXPDNKS-HXUWFJFHSA-N 0 2 316.448 0.942 20 0 DCADLN C[C@H](CO)[N@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccccc1 ZINC001610394576 1171229840 /nfs/dbraw/zinc/22/98/40/1171229840.db2.gz NLCBPUDTSPIBFF-GFCCVEGCSA-N 0 2 304.350 0.746 20 0 DCADLN C[C@H](CO)[N@@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccccc1 ZINC001610394576 1171229847 /nfs/dbraw/zinc/22/98/47/1171229847.db2.gz NLCBPUDTSPIBFF-GFCCVEGCSA-N 0 2 304.350 0.746 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc2c(c1)CCO2 ZINC001610674448 1171287515 /nfs/dbraw/zinc/28/75/15/1171287515.db2.gz RUBAYAFTZHROGD-ZDUSSCGKSA-N 0 2 315.329 0.630 20 0 DCADLN Cn1nc(C(=O)NCCc2n[nH]c(=S)o2)c2c1CCCC2 ZINC001625689910 1171477494 /nfs/dbraw/zinc/47/74/94/1171477494.db2.gz XGAYMPNGIZLDIU-UHFFFAOYSA-N 0 2 307.379 0.943 20 0 DCADLN C/C=C/[C@H](O)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635457296 1171703577 /nfs/dbraw/zinc/70/35/77/1171703577.db2.gz WMCYWIFBTACKKS-UNAJDXHTSA-N 0 2 302.334 0.847 20 0 DCADLN CCn1ncn(NC(=O)c2cc3cc[nH]c(=O)c3s2)c1=O ZINC001636798523 1171749897 /nfs/dbraw/zinc/74/98/97/1171749897.db2.gz UHEIOFKHIHDTCZ-UHFFFAOYSA-N 0 2 305.319 0.352 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc2n[nH]nc2cc1F ZINC001639104131 1171822165 /nfs/dbraw/zinc/82/21/65/1171822165.db2.gz MEMJETDBNUXUQQ-UHFFFAOYSA-N 0 2 308.298 0.741 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cnn(-c2ccccc2)n1 ZINC001642456945 1171946610 /nfs/dbraw/zinc/94/66/10/1171946610.db2.gz VEEHMAWJBBNYJP-UHFFFAOYSA-N 0 2 312.289 0.399 20 0 DCADLN O=C(c1ccc2n[nH]c(=S)n2c1)N1CC[C@H](c2nn[nH]n2)C1 ZINC001643387169 1171974081 /nfs/dbraw/zinc/97/40/81/1171974081.db2.gz NVJKPTZPEMIQPV-ZETCQYMHSA-N 0 2 316.350 0.161 20 0 DCADLN C[C@H](NC(=O)c1ccc(-n2cncn2)nc1)c1nn(C)cc1O ZINC001646310190 1172332546 /nfs/dbraw/zinc/33/25/46/1172332546.db2.gz OFLVYDXPZFUQSS-VIFPVBQESA-N 0 2 313.321 0.592 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=S)c1C(=O)N[C@H](C)C1=NN(C)CC1=O ZINC001646318193 1172333744 /nfs/dbraw/zinc/33/37/44/1172333744.db2.gz LXNKUNCSFLQODZ-ZCFIWIBFSA-N 0 2 309.351 0.709 20 0 DCADLN C[C@@H](NC(=O)CCCCCS(N)(=O)=O)c1nn(C)cc1O ZINC001646320511 1172336549 /nfs/dbraw/zinc/33/65/49/1172336549.db2.gz ZZMHQJWNOBHYJN-SECBINFHSA-N 0 2 318.399 0.152 20 0 DCADLN Cc1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c(=O)[nH]1 ZINC001647186359 1172728538 /nfs/dbraw/zinc/72/85/38/1172728538.db2.gz ZTQUGTDBDJXIHG-VIFPVBQESA-N 0 2 303.322 0.939 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@H+](CC(=O)N[C@H]2C[NH+]3CCC2CC3)C1 ZINC001647604960 1172997443 /nfs/dbraw/zinc/99/74/43/1172997443.db2.gz PFRJINUCMQUIGZ-CABCVRRESA-N 0 2 323.437 0.472 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001649951353 1173332979 /nfs/dbraw/zinc/33/29/79/1173332979.db2.gz DPTGLGFWRBRXSB-FXQIFTODSA-N 0 2 303.277 0.780 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001649951353 1173332987 /nfs/dbraw/zinc/33/29/87/1173332987.db2.gz DPTGLGFWRBRXSB-FXQIFTODSA-N 0 2 303.277 0.780 20 0 DCADLN O=S(=O)(CCn1cc[nH+]c1)[N-][C@@H](CCO)C(F)(F)F ZINC001649987640 1173361010 /nfs/dbraw/zinc/36/10/10/1173361010.db2.gz LYVXOSTUXMJBNE-QMMMGPOBSA-N 0 2 301.290 0.116 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2ccc3n2CC[NH2+][C@@H]3C)CC1 ZINC001650335453 1173573642 /nfs/dbraw/zinc/57/36/42/1173573642.db2.gz TUWMGBKEENJMIK-CYBMUJFWSA-N 0 2 306.410 0.557 20 0 DCADLN COc1ncc(C=CC(=O)N[C@@H](C)c2nn(C)cc2O)cn1 ZINC001653245480 1173785012 /nfs/dbraw/zinc/78/50/12/1173785012.db2.gz QOUBQZOGGRYZCD-WBSSQXGSSA-N 0 2 303.322 0.815 20 0 DCADLN CCCCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001660977008 1174079708 /nfs/dbraw/zinc/07/97/08/1174079708.db2.gz UZCPXXXHVFEEGL-NSHDSACASA-N 0 2 323.397 0.144 20 0 DCADLN CCCCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001660977008 1174079714 /nfs/dbraw/zinc/07/97/14/1174079714.db2.gz UZCPXXXHVFEEGL-NSHDSACASA-N 0 2 323.397 0.144 20 0 DCADLN C/C(=C/C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001666683465 1174622229 /nfs/dbraw/zinc/62/22/29/1174622229.db2.gz DHJPDTXZCKYYSR-GYGFLDJKSA-N 0 2 321.381 0.184 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)ncn1 ZINC001686539450 1176145666 /nfs/dbraw/zinc/14/56/66/1176145666.db2.gz XULBTWQZYULFOB-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001686539450 1176145669 /nfs/dbraw/zinc/14/56/69/1176145669.db2.gz XULBTWQZYULFOB-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN Cn1nccc1CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001686680687 1176190674 /nfs/dbraw/zinc/19/06/74/1176190674.db2.gz UPGOWFNSPRJWKX-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN Cn1nccc1CCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686680687 1176190682 /nfs/dbraw/zinc/19/06/82/1176190682.db2.gz UPGOWFNSPRJWKX-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN C[C@@]1(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CC=CCC1 ZINC001688697523 1176593167 /nfs/dbraw/zinc/59/31/67/1176593167.db2.gz MZPSIQNPMYLCRX-OAHLLOKOSA-N 0 2 305.382 0.901 20 0 DCADLN CCc1nc[nH]c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720129787 1178624779 /nfs/dbraw/zinc/62/47/79/1178624779.db2.gz HZYRXNAHONIZEP-QMMMGPOBSA-N 0 2 310.251 0.719 20 0 DCADLN CCc1nc[nH]c1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001720129787 1178624781 /nfs/dbraw/zinc/62/47/81/1178624781.db2.gz HZYRXNAHONIZEP-QMMMGPOBSA-N 0 2 310.251 0.719 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720129941 1178646841 /nfs/dbraw/zinc/64/68/41/1178646841.db2.gz JOSGVFOBDLZINL-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001720129941 1178646843 /nfs/dbraw/zinc/64/68/43/1178646843.db2.gz JOSGVFOBDLZINL-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)C=CCC1 ZINC001715290943 1181762904 /nfs/dbraw/zinc/76/29/04/1181762904.db2.gz HZKXSCNUQIJYIT-NHYWBVRUSA-N 0 2 321.381 0.184 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001724139724 1184385048 /nfs/dbraw/zinc/38/50/48/1184385048.db2.gz DUODRWNKUDWKAO-AWEZNQCLSA-N 0 2 309.370 0.206 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001736947105 1187190766 /nfs/dbraw/zinc/19/07/66/1187190766.db2.gz CTPBLUVTIBRKSL-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736947105 1187190768 /nfs/dbraw/zinc/19/07/68/1187190768.db2.gz CTPBLUVTIBRKSL-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN CCOCCC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001737987516 1187437436 /nfs/dbraw/zinc/43/74/36/1187437436.db2.gz VFMMLDWIPWEDMT-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCCC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001737987516 1187437439 /nfs/dbraw/zinc/43/74/39/1187437439.db2.gz VFMMLDWIPWEDMT-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CCN(C(=O)CC(N)=O)[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001739258939 1187769764 /nfs/dbraw/zinc/76/97/64/1187769764.db2.gz CVPIMLKBWOSOLV-MUWHJKNJSA-N 0 2 315.267 0.115 20 0 DCADLN CCN(C(=O)CC(N)=O)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001739258939 1187769759 /nfs/dbraw/zinc/76/97/59/1187769759.db2.gz CVPIMLKBWOSOLV-MUWHJKNJSA-N 0 2 315.267 0.115 20 0 DCADLN C[C@H](CNC(=O)c1ccc2oc(=O)nc-2[n-]1)[NH2+]Cc1cnon1 ZINC001752912386 1188460878 /nfs/dbraw/zinc/46/08/78/1188460878.db2.gz CIWJLFWMIDCZDQ-SSDOTTSWSA-N 0 2 318.293 0.220 20 0 DCADLN CCn1ncc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001754112966 1188744357 /nfs/dbraw/zinc/74/43/57/1188744357.db2.gz SUIMRHORDFBSKB-PLNGDYQASA-N 0 2 319.369 0.111 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ccc(F)cn1 ZINC001757968515 1189645710 /nfs/dbraw/zinc/64/57/10/1189645710.db2.gz ZAUPUEIAUUORFO-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1ccc(F)cn1 ZINC001757968515 1189645717 /nfs/dbraw/zinc/64/57/17/1189645717.db2.gz ZAUPUEIAUUORFO-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN CC(=O)N[C@@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758118285 1189733174 /nfs/dbraw/zinc/73/31/74/1189733174.db2.gz FUTKOCVNQXSQQM-POYBYMJQSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)N[C@@H](C)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758118285 1189733178 /nfs/dbraw/zinc/73/31/78/1189733178.db2.gz FUTKOCVNQXSQQM-POYBYMJQSA-N 0 2 315.267 0.034 20 0 DCADLN Cc1n[nH]cc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758191157 1189774365 /nfs/dbraw/zinc/77/43/65/1189774365.db2.gz FTBAWOFSDYHVSO-MRVPVSSYSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1n[nH]cc1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758191157 1189774368 /nfs/dbraw/zinc/77/43/68/1189774368.db2.gz FTBAWOFSDYHVSO-MRVPVSSYSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1ncc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1C ZINC001758191659 1189775062 /nfs/dbraw/zinc/77/50/62/1189775062.db2.gz PPAZBVMAUNLHPE-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN Cc1ncc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)n1C ZINC001758191659 1189775067 /nfs/dbraw/zinc/77/50/67/1189775067.db2.gz PPAZBVMAUNLHPE-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@]2(C(=O)[O-])CCSC2)[nH]n1 ZINC001771918565 1190555648 /nfs/dbraw/zinc/55/56/48/1190555648.db2.gz PWXNFZFZJUMWRM-BXUZGUMPSA-N 0 2 324.406 0.867 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@]2(C(=O)[O-])CCSC2)[nH]n1 ZINC001771918565 1190555652 /nfs/dbraw/zinc/55/56/52/1190555652.db2.gz PWXNFZFZJUMWRM-BXUZGUMPSA-N 0 2 324.406 0.867 20 0 DCADLN CCn1[nH]cc(-c2nnc(N3CC[C@H](C(=O)OC)C3)n2C)c1=O ZINC001772175361 1190622457 /nfs/dbraw/zinc/62/24/57/1190622457.db2.gz RHHLFFIVUVGPED-VIFPVBQESA-N 0 2 320.353 0.403 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H]2CCC(C)(C)C[N@@H+]2CC(N)=O)c1C ZINC001089619448 751489425 /nfs/dbraw/zinc/48/94/25/751489425.db2.gz QQWWWCLBOBDKOW-GFCCVEGCSA-N 0 2 321.425 0.732 20 0 DCADLN CN(C(=O)[C@@H]1CCC[C@@H](F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042708369 751854157 /nfs/dbraw/zinc/85/41/57/751854157.db2.gz HBGWXQPUEYIQON-NXEZZACHSA-N 0 2 311.361 0.681 20 0 DCADLN C[C@H]1CCC[C@@H]1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042768456 751897519 /nfs/dbraw/zinc/89/75/19/751897519.db2.gz UIXKZNHZJRCVSH-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H]1CCC[C@H]1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042768454 751897885 /nfs/dbraw/zinc/89/78/85/751897885.db2.gz UIXKZNHZJRCVSH-MNOVXSKESA-N 0 2 307.398 0.979 20 0 DCADLN CCc1ncsc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042858769 751952226 /nfs/dbraw/zinc/95/22/26/751952226.db2.gz VGMKKIKAXPPRPV-UHFFFAOYSA-N 0 2 322.394 0.486 20 0 DCADLN CCc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001043110777 752079418 /nfs/dbraw/zinc/07/94/18/752079418.db2.gz MUNXTKDUKMVJCR-UHFFFAOYSA-N 0 2 305.338 0.622 20 0 DCADLN CC(C)[C@H](C)C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001083213018 747212428 /nfs/dbraw/zinc/21/24/28/747212428.db2.gz KOMOTLSWJRFHBQ-SDDRHHMPSA-N 0 2 323.397 0.214 20 0 DCADLN O=C(NC[C@H]1CN(c2ncccn2)CCO1)C(F)C(F)(F)F ZINC001104352020 747252218 /nfs/dbraw/zinc/25/22/18/747252218.db2.gz YNMDSKJARSLSET-IUCAKERBSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NC[C@H]1CN(c2ncccn2)CCO1)[C@H](F)C(F)(F)F ZINC001104352020 747252223 /nfs/dbraw/zinc/25/22/23/747252223.db2.gz YNMDSKJARSLSET-IUCAKERBSA-N 0 2 322.262 0.698 20 0 DCADLN CC(C)C[N@@H+]1C[C@H]2OCCN(C(=O)CCc3c[nH+]cn3C)[C@H]2C1 ZINC001083262411 747514620 /nfs/dbraw/zinc/51/46/20/747514620.db2.gz TYTRERNJGUCVLN-JKSUJKDBSA-N 0 2 320.437 0.920 20 0 DCADLN CC(C)CC(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043373588 752200398 /nfs/dbraw/zinc/20/03/98/752200398.db2.gz BLKJXRGTMCGXAD-SECBINFHSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)CC(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043373588 752200406 /nfs/dbraw/zinc/20/04/06/752200406.db2.gz BLKJXRGTMCGXAD-SECBINFHSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043447171 752235594 /nfs/dbraw/zinc/23/55/94/752235594.db2.gz ZMQKEZVRUKWOBV-WCFLWFBJSA-N 0 2 319.409 0.979 20 0 DCADLN O=S(=O)(N[C@H](Cc1nc[nH]n1)c1ccccc1)c1ncc[nH]1 ZINC001211691955 748321013 /nfs/dbraw/zinc/32/10/13/748321013.db2.gz UQMLLDJKAWWJHD-LLVKDONJSA-N 0 2 318.362 0.790 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071475741 761891591 /nfs/dbraw/zinc/89/15/91/761891591.db2.gz BEVVVMXXFADZGM-QWRGUYRKSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071475741 761891599 /nfs/dbraw/zinc/89/15/99/761891599.db2.gz BEVVVMXXFADZGM-QWRGUYRKSA-N 0 2 318.381 0.930 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@]2(C)C=CCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088800176 750038917 /nfs/dbraw/zinc/03/89/17/750038917.db2.gz UFSPXPKGBUYNMN-ZETOZRRWSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@]2(C)C=CCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088800176 750038924 /nfs/dbraw/zinc/03/89/24/750038924.db2.gz UFSPXPKGBUYNMN-ZETOZRRWSA-N 0 2 305.382 0.946 20 0 DCADLN CC[C@H](C(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1)[NH+](C)C ZINC001077152575 750231823 /nfs/dbraw/zinc/23/18/23/750231823.db2.gz XVUGOIAPRHFDPF-QWHCGFSZSA-N 0 2 309.414 0.234 20 0 DCADLN COC[C@H](C)[C@H](C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112944559 762039474 /nfs/dbraw/zinc/03/94/74/762039474.db2.gz HAYAGIJQVJMVAP-QWRGUYRKSA-N 0 2 311.386 0.073 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)O1 ZINC001088870374 750696060 /nfs/dbraw/zinc/69/60/60/750696060.db2.gz JIFWYCCTPOPYAF-CHWFTXMASA-N 0 2 309.370 0.157 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)O1 ZINC001088870374 750696064 /nfs/dbraw/zinc/69/60/64/750696064.db2.gz JIFWYCCTPOPYAF-CHWFTXMASA-N 0 2 309.370 0.157 20 0 DCADLN CN(C(=O)[C@H]1CCC(C)(C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043784809 752388552 /nfs/dbraw/zinc/38/85/52/752388552.db2.gz OFHJJPRUJWFLLO-JTQLQIEISA-N 0 2 307.398 0.979 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071782919 762133679 /nfs/dbraw/zinc/13/36/79/762133679.db2.gz GVFGZRDYBBYQHV-PSASIEDQSA-N 0 2 320.353 0.590 20 0 DCADLN CC(C)[C@@]1(C)C[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044122502 752579274 /nfs/dbraw/zinc/57/92/74/752579274.db2.gz YWQSKAQOZSQVCH-IAQYHMDHSA-N 0 2 307.398 0.835 20 0 DCADLN Cc1ccccc1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044279222 752660316 /nfs/dbraw/zinc/66/03/16/752660316.db2.gz NLSPTXWAVBUOHX-UHFFFAOYSA-N 0 2 315.377 0.704 20 0 DCADLN CCc1ncc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001044357756 752703992 /nfs/dbraw/zinc/70/39/92/752703992.db2.gz PFDQKJCPBPTHEY-UHFFFAOYSA-N 0 2 322.394 0.486 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001044525246 752774762 /nfs/dbraw/zinc/77/47/62/752774762.db2.gz OUPDLCMQKWCKIO-PRJMDXOYSA-N 0 2 312.263 0.232 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001044525246 752774761 /nfs/dbraw/zinc/77/47/61/752774761.db2.gz OUPDLCMQKWCKIO-PRJMDXOYSA-N 0 2 312.263 0.232 20 0 DCADLN Cc1cc(C(=O)NC2(C)CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)on1 ZINC001045358725 753158607 /nfs/dbraw/zinc/15/86/07/753158607.db2.gz DAOOETDTEVKFLZ-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN CC/C=C\CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn[nH]2)C1 ZINC001108043374 753444166 /nfs/dbraw/zinc/44/41/66/753444166.db2.gz JIXBWORNKZMMRT-FOSCPCJNSA-N 0 2 307.398 0.982 20 0 DCADLN C[C@]1(NC(=O)c2ccnc(F)c2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046188519 753539445 /nfs/dbraw/zinc/53/94/45/753539445.db2.gz GGMIJIQKPSKOMZ-AWEZNQCLSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1cccnc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046213023 753562011 /nfs/dbraw/zinc/56/20/11/753562011.db2.gz BQTHJYDUNUONGQ-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN CCn1ccc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001046676589 753867632 /nfs/dbraw/zinc/86/76/32/753867632.db2.gz DHCYCCYPPYUYFN-AWEZNQCLSA-N 0 2 319.369 0.121 20 0 DCADLN O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1cnns1)C2)c1cnon1 ZINC001047166384 754114619 /nfs/dbraw/zinc/11/46/19/754114619.db2.gz ASOIYXXGQSZXSA-GUBZILKMSA-N 0 2 320.378 0.704 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CCC1)c1cnon1 ZINC001063306141 755146137 /nfs/dbraw/zinc/14/61/37/755146137.db2.gz UMEDXQYANGZPKP-ZETCQYMHSA-N 0 2 324.234 0.739 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CCC1)c1cnon1 ZINC001063306141 755146140 /nfs/dbraw/zinc/14/61/40/755146140.db2.gz UMEDXQYANGZPKP-ZETCQYMHSA-N 0 2 324.234 0.739 20 0 DCADLN O=C(c1cocn1)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049369316 755238649 /nfs/dbraw/zinc/23/86/49/755238649.db2.gz MROOASMVGFTBKK-WDEREUQCSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cocn1)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049369316 755238652 /nfs/dbraw/zinc/23/86/52/755238652.db2.gz MROOASMVGFTBKK-WDEREUQCSA-N 0 2 318.337 0.377 20 0 DCADLN CSCC(=O)N1CCC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049961995 755471767 /nfs/dbraw/zinc/47/17/67/755471767.db2.gz DUAOEUYBAAQMID-VHSXEESVSA-N 0 2 311.411 0.296 20 0 DCADLN CSCC(=O)N1CCC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049961995 755471770 /nfs/dbraw/zinc/47/17/70/755471770.db2.gz DUAOEUYBAAQMID-VHSXEESVSA-N 0 2 311.411 0.296 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C2CC2)CCO1)C(F)C(F)(F)F ZINC001064732991 755481173 /nfs/dbraw/zinc/48/11/73/755481173.db2.gz JUFPVKQVXWVIOG-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C2CC2)CCO1)[C@@H](F)C(F)(F)F ZINC001064732991 755481179 /nfs/dbraw/zinc/48/11/79/755481179.db2.gz JUFPVKQVXWVIOG-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001096925090 755551662 /nfs/dbraw/zinc/55/16/62/755551662.db2.gz RHTWIEWEIMFFKB-GMNPVEAJSA-N 0 2 305.382 0.778 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)c1 ZINC001096952062 755563786 /nfs/dbraw/zinc/56/37/86/755563786.db2.gz VGAPQHKQTKJCFH-UTUOFQBUSA-N 0 2 316.365 0.384 20 0 DCADLN O=C(NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1)C1CCC1 ZINC001053558473 756091862 /nfs/dbraw/zinc/09/18/62/756091862.db2.gz GRLRKBRJKJQNPX-LLVKDONJSA-N 0 2 321.381 0.160 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001053644382 756132552 /nfs/dbraw/zinc/13/25/52/756132552.db2.gz AOTSLOXNPDBKTL-AXFHLTTASA-N 0 2 321.381 0.016 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)ccn1 ZINC001080646247 756266817 /nfs/dbraw/zinc/26/68/17/756266817.db2.gz RDGXQFCWKRGICB-BXKDBHETSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)ccn1 ZINC001080646247 756266820 /nfs/dbraw/zinc/26/68/20/756266820.db2.gz RDGXQFCWKRGICB-BXKDBHETSA-N 0 2 316.365 0.464 20 0 DCADLN CCC(=O)N[C@@]1(CO)CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001055664580 756848577 /nfs/dbraw/zinc/84/85/77/756848577.db2.gz XGQRSFZTKWSZMW-KOLCDFICSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N[C@@]1(CO)CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001055664580 756848580 /nfs/dbraw/zinc/84/85/80/756848580.db2.gz XGQRSFZTKWSZMW-KOLCDFICSA-N 0 2 314.279 0.767 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C(C)(C)C1CC1 ZINC001082042535 756904839 /nfs/dbraw/zinc/90/48/39/756904839.db2.gz UJPSDQCLTMCCHP-GHMZBOCLSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)c1ccsc1 ZINC001050813466 758103998 /nfs/dbraw/zinc/10/39/98/758103998.db2.gz VQHVAYDYNDXDNP-SNVBAGLBSA-N 0 2 323.378 0.203 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccccn1 ZINC001085459016 758903851 /nfs/dbraw/zinc/90/38/51/758903851.db2.gz XDRDXCYSEBLMHC-SNVBAGLBSA-N 0 2 302.338 0.252 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001085529961 759007951 /nfs/dbraw/zinc/00/79/51/759007951.db2.gz MEKMGVQSWPXBAR-SNVBAGLBSA-N 0 2 320.353 0.462 20 0 DCADLN CCn1nccc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085563227 759061394 /nfs/dbraw/zinc/06/13/94/759061394.db2.gz IBQJLVRBHDHRBB-SNVBAGLBSA-N 0 2 319.369 0.073 20 0 DCADLN Cc1nsc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122761567 767870949 /nfs/dbraw/zinc/87/09/49/767870949.db2.gz SNGFBDPPIOGQEA-PHDIDXHHSA-N 0 2 316.280 0.636 20 0 DCADLN Cc1nsc(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001122761567 767870956 /nfs/dbraw/zinc/87/09/56/767870956.db2.gz SNGFBDPPIOGQEA-PHDIDXHHSA-N 0 2 316.280 0.636 20 0 DCADLN CCCn1ncnc1C[NH2+][C@H]1C[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001086508105 760272285 /nfs/dbraw/zinc/27/22/85/760272285.db2.gz BUWLFNLPDRKHHU-XYPYZODXSA-N 0 2 318.385 0.104 20 0 DCADLN CCCn1ncnc1C[NH2+][C@H]1C[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001086508105 760272294 /nfs/dbraw/zinc/27/22/94/760272294.db2.gz BUWLFNLPDRKHHU-XYPYZODXSA-N 0 2 318.385 0.104 20 0 DCADLN C[C@@]1(CNC(=O)c2ncn[nH]2)C[N@H+](CCCCCF)CCO1 ZINC001108286757 760289113 /nfs/dbraw/zinc/28/91/13/760289113.db2.gz BMCULSRRPCCSLV-CQSZACIVSA-N 0 2 313.377 0.765 20 0 DCADLN C[C@@]1(CNC(=O)c2ncn[nH]2)C[N@@H+](CCCCCF)CCO1 ZINC001108286757 760289119 /nfs/dbraw/zinc/28/91/19/760289119.db2.gz BMCULSRRPCCSLV-CQSZACIVSA-N 0 2 313.377 0.765 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)CN(C)c2cc[nH+]c(C)n2)c1[O-] ZINC001108901409 761128560 /nfs/dbraw/zinc/12/85/60/761128560.db2.gz MAOOVAGYOOEPQZ-MRVPVSSYSA-N 0 2 304.354 0.777 20 0 DCADLN CCC[NH+](C)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148647395 768023799 /nfs/dbraw/zinc/02/37/99/768023799.db2.gz VYZNGGXYIOBSIW-UHFFFAOYSA-N 0 2 320.441 0.191 20 0 DCADLN O=C(CC1(O)CCC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109256689 761337354 /nfs/dbraw/zinc/33/73/54/761337354.db2.gz YQSHEUQNFAXFDH-VWYCJHECSA-N 0 2 321.381 0.037 20 0 DCADLN O=C(CC1(O)CCC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109256689 761337364 /nfs/dbraw/zinc/33/73/64/761337364.db2.gz YQSHEUQNFAXFDH-VWYCJHECSA-N 0 2 321.381 0.037 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccco2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071311848 761772533 /nfs/dbraw/zinc/77/25/33/761772533.db2.gz BMEPSLKNIAKGGT-UWVGGRQHSA-N 0 2 305.338 0.886 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cnns2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071366260 761798169 /nfs/dbraw/zinc/79/81/69/761798169.db2.gz LLZNOWHSHWDBTF-SFYZADRCSA-N 0 2 323.382 0.145 20 0 DCADLN CCC(=CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O)CC ZINC001099781654 763033709 /nfs/dbraw/zinc/03/37/09/763033709.db2.gz ZSRRHGBVRQMFDK-NEPJUHHUSA-N 0 2 323.397 0.308 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)Nc1nccn2nnnc12 ZINC001098328191 763679442 /nfs/dbraw/zinc/67/94/42/763679442.db2.gz USIMVPWOTUYKTO-RITPCOANSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)Nc1nccn2nnnc12 ZINC001098328191 763679452 /nfs/dbraw/zinc/67/94/52/763679452.db2.gz USIMVPWOTUYKTO-RITPCOANSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnccn1)Nc1ccc2nccnc2n1 ZINC001115614665 765768610 /nfs/dbraw/zinc/76/86/10/765768610.db2.gz KXOFIHJSVQZKFT-NSHDSACASA-N 0 2 323.360 0.761 20 0 DCADLN O=C(N[C@@H]1CN(c2ncccn2)C[C@H]1O)C(F)C(F)(F)F ZINC001090546487 766303696 /nfs/dbraw/zinc/30/36/96/766303696.db2.gz XNTRSZGLEJZXFN-BWZBUEFSSA-N 0 2 308.235 0.043 20 0 DCADLN O=C(N[C@@H]1CN(c2ncccn2)C[C@H]1O)[C@@H](F)C(F)(F)F ZINC001090546487 766303704 /nfs/dbraw/zinc/30/37/04/766303704.db2.gz XNTRSZGLEJZXFN-BWZBUEFSSA-N 0 2 308.235 0.043 20 0 DCADLN CCc1[nH]ncc1C(=O)Nc1ccc(O)c(S(=O)(=O)NC)c1 ZINC001140368384 768527426 /nfs/dbraw/zinc/52/74/26/768527426.db2.gz UYFLWJRDMQEVOP-UHFFFAOYSA-N 0 2 324.362 0.838 20 0 DCADLN CC(C)[N@H+](C)Cc1n[nH]c2c1CCN(C(=O)[C@@H]1CNC(=O)C1)C2 ZINC001143290332 768706249 /nfs/dbraw/zinc/70/62/49/768706249.db2.gz MLCCEHGJCUUOFO-NSHDSACASA-N 0 2 319.409 0.271 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc2cccnc2c1O ZINC001149327133 768733454 /nfs/dbraw/zinc/73/34/54/768733454.db2.gz SIXUIDZRPQWFII-LBPRGKRZSA-N 0 2 303.299 0.979 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231086742 769168849 /nfs/dbraw/zinc/16/88/49/769168849.db2.gz PXYOVBLPEXPFCV-PWSUYJOCSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc2c(ncnc2Cl)[nH]1 ZINC001151566257 769369911 /nfs/dbraw/zinc/36/99/11/769369911.db2.gz VCKNWWQJABEKTO-VIFPVBQESA-N 0 2 311.710 0.650 20 0 DCADLN C[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001233739835 769435906 /nfs/dbraw/zinc/43/59/06/769435906.db2.gz ANOHVGBITPZTMB-NEPJUHHUSA-N 0 2 318.381 0.606 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nnnn1C)C(=O)C(F)C(F)(F)F ZINC001234423205 769653312 /nfs/dbraw/zinc/65/33/12/769653312.db2.gz DNFNMCNTLINJGM-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nnnn1C)C(=O)[C@H](F)C(F)(F)F ZINC001234423205 769653317 /nfs/dbraw/zinc/65/33/17/769653317.db2.gz DNFNMCNTLINJGM-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN CCOC(=O)c1nonc1Nc1cnc2c(c1)OCC(=O)N2C ZINC001174838235 769806458 /nfs/dbraw/zinc/80/64/58/769806458.db2.gz PVGBFURTCITRJV-UHFFFAOYSA-N 0 2 319.277 0.740 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001235322669 769809326 /nfs/dbraw/zinc/80/93/26/769809326.db2.gz XPEQYFZSXDRMGM-CHWSQXEVSA-N 0 2 310.442 0.267 20 0 DCADLN CC[C@@H](C(N)=O)[NH+]1CC[C@H]1CN(C)C(=O)C[N@H+](C)C1CCC1 ZINC001235392231 769830074 /nfs/dbraw/zinc/83/00/74/769830074.db2.gz IMQMFTOMTPCKFT-KBPBESRZSA-N 0 2 310.442 0.267 20 0 DCADLN C[NH+]1CCC[C@@H]2CN(C(=O)c3cc4n(n3)CCC[N@H+](C)C4)C[C@@H]21 ZINC001175077451 769862420 /nfs/dbraw/zinc/86/24/20/769862420.db2.gz OLMQBAXDCWMDFI-CJNGLKHVSA-N 0 2 317.437 0.885 20 0 DCADLN C[N@@H+](CCN1CC[NH+](C)CC1)Cc1ccccc1OCCO ZINC001237202932 770033348 /nfs/dbraw/zinc/03/33/48/770033348.db2.gz OIFBAPSVEFWSSS-UHFFFAOYSA-N 0 2 307.438 0.737 20 0 DCADLN O=C([O-])Cn1cc(Nc2ccc(N3CC[C@H](O)C3)[nH+]c2)cn1 ZINC001176267045 770067895 /nfs/dbraw/zinc/06/78/95/770067895.db2.gz KPPBBZJXCQAGRZ-LBPRGKRZSA-N 0 2 303.322 0.677 20 0 DCADLN COc1ccc2ncc(O)c(C(=O)NCc3nn[nH]n3)c2c1 ZINC001154514608 770205680 /nfs/dbraw/zinc/20/56/80/770205680.db2.gz CYBKIXYGEZOALO-UHFFFAOYSA-N 0 2 300.278 0.392 20 0 DCADLN Cc1nc(CC(=O)Nc2nc(N(C)C)[nH]c(=O)c2N=O)n[nH]1 ZINC001177434699 770461137 /nfs/dbraw/zinc/46/11/37/770461137.db2.gz VYGAJOJDJZOFPW-UHFFFAOYSA-N 0 2 306.286 0.254 20 0 DCADLN NC(=O)c1nc(Cl)nc(Cl)c1N[C@@H]1SC(=O)NC1=O ZINC001155840816 770635274 /nfs/dbraw/zinc/63/52/74/770635274.db2.gz ACNOWLIRJDIGLI-ZCFIWIBFSA-N 0 2 322.133 0.603 20 0 DCADLN NC(=O)c1cnc(Cl)nc1NCCNC(=O)C(F)(F)F ZINC001156200332 770746585 /nfs/dbraw/zinc/74/65/85/770746585.db2.gz TYKDFMOBNVOUBO-UHFFFAOYSA-N 0 2 311.651 0.319 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc2cc3c(cc2[nH]1)OCO3 ZINC001156324014 770784723 /nfs/dbraw/zinc/78/47/23/770784723.db2.gz ZOVQANQLDFSXQG-GFCCVEGCSA-N 0 2 319.298 0.936 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)NC(=N)SCCS(=O)(=O)[O-] ZINC001178697111 770839518 /nfs/dbraw/zinc/83/95/18/770839518.db2.gz BYTDLADUVXEXCT-MRVPVSSYSA-N 0 2 309.413 0.143 20 0 DCADLN C[N@H+]1CCCC[C@@H]1C(=O)NC(=N)SCCS(=O)(=O)[O-] ZINC001178697111 770839528 /nfs/dbraw/zinc/83/95/28/770839528.db2.gz BYTDLADUVXEXCT-MRVPVSSYSA-N 0 2 309.413 0.143 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCC(=O)N1c1ccccc1 ZINC001181948814 771374683 /nfs/dbraw/zinc/37/46/83/771374683.db2.gz CIPLABFIRSMOTE-SNVBAGLBSA-N 0 2 301.306 0.322 20 0 DCADLN CSCc1cc[nH+]c(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)c1 ZINC001157072511 771527747 /nfs/dbraw/zinc/52/77/47/771527747.db2.gz GHHAFGCNHJJWOS-XVKPBYJWSA-N 0 2 317.392 0.759 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H](O)c1ccc2c(c1)CCO2 ZINC001182661333 771531888 /nfs/dbraw/zinc/53/18/88/771531888.db2.gz DBCPQXYLTQYMBJ-BXKDBHETSA-N 0 2 308.315 0.080 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc3n[nH]cc3c2)S1 ZINC001186001287 771983869 /nfs/dbraw/zinc/98/38/69/771983869.db2.gz MJWFJYWYYJAUGT-VIFPVBQESA-N 0 2 312.332 0.150 20 0 DCADLN CO[C@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110377301 772016707 /nfs/dbraw/zinc/01/67/07/772016707.db2.gz FZWBJICJAAOOAL-DBIOUOCHSA-N 0 2 309.370 0.157 20 0 DCADLN CO[C@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110377301 772016714 /nfs/dbraw/zinc/01/67/14/772016714.db2.gz FZWBJICJAAOOAL-DBIOUOCHSA-N 0 2 309.370 0.157 20 0 DCADLN Cc1c(C(=O)NCc2n[nH]c(=O)[nH]2)cccc1N1CCOCC1 ZINC001187483302 772192286 /nfs/dbraw/zinc/19/22/86/772192286.db2.gz RJNCLYQPZCAFEL-UHFFFAOYSA-N 0 2 317.349 0.585 20 0 DCADLN CN(Cc1n[nH]c(=O)o1)C(=O)c1ccc2n[nH]c(=S)n2c1 ZINC001161477915 772230327 /nfs/dbraw/zinc/23/03/27/772230327.db2.gz XZKFKRQTPRHXKQ-UHFFFAOYSA-N 0 2 306.307 0.379 20 0 DCADLN CCOC(=O)c1cccnc1NS(=O)(=O)CCC(=O)OC ZINC001188349465 772297175 /nfs/dbraw/zinc/29/71/75/772297175.db2.gz BJRJJWBEVBNTSK-UHFFFAOYSA-N 0 2 316.335 0.563 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1ccc(C(=O)OC)cc1O ZINC001188392837 772297201 /nfs/dbraw/zinc/29/72/01/772297201.db2.gz WAVFPERGXOFULC-UHFFFAOYSA-N 0 2 317.319 0.484 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1ncccc1OC(F)F ZINC001188355140 772298382 /nfs/dbraw/zinc/29/83/82/772298382.db2.gz CJEZBISFNBIVDA-UHFFFAOYSA-N 0 2 310.278 0.988 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(NCC[C@@H]2CCOC23C[NH2+]C3)cc1 ZINC001163096119 772461352 /nfs/dbraw/zinc/46/13/52/772461352.db2.gz QPGNCGRXNSEYBT-LLVKDONJSA-N 0 2 312.306 0.670 20 0 DCADLN O=[P@]([O-])(O)c1ccc(NCC[C@@H]2CCOC23C[NH2+]C3)cc1 ZINC001163096119 772461355 /nfs/dbraw/zinc/46/13/55/772461355.db2.gz QPGNCGRXNSEYBT-LLVKDONJSA-N 0 2 312.306 0.670 20 0 DCADLN Cc1cc(N2CCOCC2)[nH+]cc1N[C@H]1SC(=O)[N-]C1=O ZINC001163664977 772555514 /nfs/dbraw/zinc/55/55/14/772555514.db2.gz BPUYXTUFZPLUQY-LBPRGKRZSA-N 0 2 308.363 0.948 20 0 DCADLN O=S(=O)(c1ncc[nH]1)N1CC[C@H]([NH+]2CCCCC2)[C@@H](F)C1 ZINC001190719062 772668183 /nfs/dbraw/zinc/66/81/83/772668183.db2.gz ZQICPQOCSQEOIS-RYUDHWBXSA-N 0 2 316.402 0.997 20 0 DCADLN O=S(=O)(c1ncc[n-]1)N1CC[C@H]([NH+]2CCCCC2)[C@@H](F)C1 ZINC001190719062 772668184 /nfs/dbraw/zinc/66/81/84/772668184.db2.gz ZQICPQOCSQEOIS-RYUDHWBXSA-N 0 2 316.402 0.997 20 0 DCADLN CSc1ncc(C(=O)Nc2n[nH]c3nccnc23)c(=O)[nH]1 ZINC001191429802 772767280 /nfs/dbraw/zinc/76/72/80/772767280.db2.gz SVFNSPNLDHEMPV-UHFFFAOYSA-N 0 2 303.307 0.774 20 0 DCADLN C[C@]1(CO)CCN(C(=O)c2ccc(F)c(F)c2O)C[C@@H]1O ZINC001192797907 772961763 /nfs/dbraw/zinc/96/17/63/772961763.db2.gz WHQOLRZKVDKCJM-IINYFYTJSA-N 0 2 301.289 0.876 20 0 DCADLN O=C(N[C@@H]1COCCC12OCCO2)c1ccc(F)c(F)c1O ZINC001192812007 772963258 /nfs/dbraw/zinc/96/32/58/772963258.db2.gz YYPIQUZREBKECQ-SNVBAGLBSA-N 0 2 315.272 0.932 20 0 DCADLN COC(=O)[C@@H]1CN(C)CCN1C(=O)c1cc(F)c(O)cc1F ZINC001192872312 772967337 /nfs/dbraw/zinc/96/73/37/772967337.db2.gz MEHPPNRCCQABBC-NSHDSACASA-N 0 2 314.288 0.600 20 0 DCADLN O=C(N[C@H]1COCCC12OCCO2)c1cc(F)c(O)cc1F ZINC001192877607 772967875 /nfs/dbraw/zinc/96/78/75/772967875.db2.gz VLFWXYQSNWZNNG-LBPRGKRZSA-N 0 2 315.272 0.932 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2cnccc2Cl)S1 ZINC001192911611 772974633 /nfs/dbraw/zinc/97/46/33/772974633.db2.gz LHQDKWVLZQUKSA-ZETCQYMHSA-N 0 2 307.740 0.322 20 0 DCADLN CCCCOCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206733621 773102179 /nfs/dbraw/zinc/10/21/79/773102179.db2.gz XNQCIHJVTRMPKL-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CCCCOCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206733621 773102185 /nfs/dbraw/zinc/10/21/85/773102185.db2.gz XNQCIHJVTRMPKL-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206895865 773124177 /nfs/dbraw/zinc/12/41/77/773124177.db2.gz NHWLPCUUQPZIML-GMTAPVOTSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206895865 773124181 /nfs/dbraw/zinc/12/41/81/773124181.db2.gz NHWLPCUUQPZIML-GMTAPVOTSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCOCC1CC1 ZINC001206962384 773149792 /nfs/dbraw/zinc/14/97/92/773149792.db2.gz JXYKESGJJNBTIJ-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCOCC1CC1 ZINC001206962384 773149793 /nfs/dbraw/zinc/14/97/93/773149793.db2.gz JXYKESGJJNBTIJ-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ncnc(OC)c2OC)[nH]n1 ZINC001194284215 773175835 /nfs/dbraw/zinc/17/58/35/773175835.db2.gz VCXPMUOJGIEESI-UHFFFAOYSA-N 0 2 307.266 0.256 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ncnc(OC)c2OC)n[nH]1 ZINC001194284215 773175836 /nfs/dbraw/zinc/17/58/36/773175836.db2.gz VCXPMUOJGIEESI-UHFFFAOYSA-N 0 2 307.266 0.256 20 0 DCADLN Cc1c(C(=O)NCc2n[nH]c(=O)[nH]2)cccc1S(C)(=O)=O ZINC001194441599 773194161 /nfs/dbraw/zinc/19/41/61/773194161.db2.gz YHRHISGYFSZWIE-UHFFFAOYSA-N 0 2 310.335 0.152 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1c(C)cnn1CCO ZINC001194680596 773232645 /nfs/dbraw/zinc/23/26/45/773232645.db2.gz BQKHWAGGZOPRHL-UHFFFAOYSA-N 0 2 312.351 0.388 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1c(Cl)ncnc1OC ZINC001195934717 773506716 /nfs/dbraw/zinc/50/67/16/773506716.db2.gz WALZWTRHPZTMEG-UHFFFAOYSA-N 0 2 309.731 0.443 20 0 DCADLN COc1ccc(F)c(S(=O)(=O)Nc2cnn(CCO)c2)c1 ZINC001196726823 773639449 /nfs/dbraw/zinc/63/94/49/773639449.db2.gz WVWFRFVCMOFQBL-UHFFFAOYSA-N 0 2 315.326 0.824 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1c(F)cccc1F)=C(C)O ZINC001197622577 773770982 /nfs/dbraw/zinc/77/09/82/773770982.db2.gz JMCYVGUELKFPES-LLVKDONJSA-N 0 2 321.301 0.515 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC)[NH+](C)C ZINC001213457963 773849886 /nfs/dbraw/zinc/84/98/86/773849886.db2.gz JNZLAXGWXDEUIO-QLFBSQMISA-N 0 2 324.425 0.639 20 0 DCADLN CCCN=c1c(N)c(Cl)ncn1C(=O)[C@H]([NH3+])Cc1c[nH+]c[nH]1 ZINC001218572279 774251542 /nfs/dbraw/zinc/25/15/42/774251542.db2.gz RYYNCXUQBOJXJQ-SECBINFHSA-N 0 2 323.788 0.363 20 0 DCADLN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)Nc1ccc2nn[nH]c2c1 ZINC001218876754 774319103 /nfs/dbraw/zinc/31/91/03/774319103.db2.gz MLSQEGQWKKNAJE-DOGNXLDXSA-N 0 2 303.322 0.028 20 0 DCADLN CN1c2ccc(NC(=O)[C@@H]([NH3+])Cc3c[nH+]c[nH]3)cc2CNC1=O ZINC001219335000 774453124 /nfs/dbraw/zinc/45/31/24/774453124.db2.gz RLVRESQWNNRWAP-LBPRGKRZSA-N 0 2 314.349 0.578 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2cncc(CO)c2)c1 ZINC001201843546 774564768 /nfs/dbraw/zinc/56/47/68/774564768.db2.gz UAMUJMFNAIGDDP-UHFFFAOYSA-N 0 2 323.330 0.556 20 0 DCADLN CC1(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3Cc2n[nH]c(=O)[n-]2)CC1 ZINC001110832773 775048185 /nfs/dbraw/zinc/04/81/85/775048185.db2.gz ORNNKEQOMGSERO-MXWKQRLJSA-N 0 2 305.382 0.922 20 0 DCADLN CC1(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3Cc2n[nH]c(=O)[n-]2)CC1 ZINC001110832773 775048193 /nfs/dbraw/zinc/04/81/93/775048193.db2.gz ORNNKEQOMGSERO-MXWKQRLJSA-N 0 2 305.382 0.922 20 0 DCADLN CC[C@H](C)OCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221485647 775122396 /nfs/dbraw/zinc/12/23/96/775122396.db2.gz GVXHILSPOFHYPM-QJPTWQEYSA-N 0 2 323.397 0.358 20 0 DCADLN CCNC(=O)C[NH+]1CC[C@@H]2CN(C(=O)C[N@H+]3CCC[C@@H]3C)[C@@H]2C1 ZINC001221813298 775203219 /nfs/dbraw/zinc/20/32/19/775203219.db2.gz FCNZYJDKFUMHDQ-RRFJBIMHSA-N 0 2 322.453 0.140 20 0 DCADLN O=C(NC[C@@]1(O)CCN(c2ncccn2)C1)C(F)C(F)(F)F ZINC001111140487 775539319 /nfs/dbraw/zinc/53/93/19/775539319.db2.gz LMZFMMGDOZULEB-KCJUWKMLSA-N 0 2 322.262 0.434 20 0 DCADLN O=C(NC[C@@]1(O)CCN(c2ncccn2)C1)[C@@H](F)C(F)(F)F ZINC001111140487 775539331 /nfs/dbraw/zinc/53/93/31/775539331.db2.gz LMZFMMGDOZULEB-KCJUWKMLSA-N 0 2 322.262 0.434 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@]12C[C@H]1CCC2 ZINC001095788434 775671675 /nfs/dbraw/zinc/67/16/75/775671675.db2.gz WNJSVIKUNZXFNP-JRDJEQCOSA-N 0 2 317.393 0.922 20 0 DCADLN O=C(CC(F)(F)F)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226385330 775809274 /nfs/dbraw/zinc/80/92/74/775809274.db2.gz WXLXHDIULGLTLN-UHFFFAOYSA-N 0 2 307.276 0.543 20 0 DCADLN C[C@@H](O)CC(=O)Oc1ncnc2[nH]nc(Br)c21 ZINC001226667359 775857645 /nfs/dbraw/zinc/85/76/45/775857645.db2.gz YQARIZDTYBNOGS-SCSAIBSYSA-N 0 2 301.100 0.792 20 0 DCADLN CCOC(=O)[C@H](Oc1[nH]c(=O)nc2nc[nH]c21)C(F)(F)F ZINC001227071054 775921987 /nfs/dbraw/zinc/92/19/87/775921987.db2.gz VGBDBTKVXKAUOS-YFKPBYRVSA-N 0 2 306.200 0.931 20 0 DCADLN O=C(c1ccco1)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041902671 777576177 /nfs/dbraw/zinc/57/61/77/777576177.db2.gz FQRPAJDCJKPOHP-GHMZBOCLSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccco1)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041902671 777576184 /nfs/dbraw/zinc/57/61/84/777576184.db2.gz FQRPAJDCJKPOHP-GHMZBOCLSA-N 0 2 317.349 0.840 20 0 DCADLN C[C@](CNC(=O)[C@@H]1CCC(F)(F)C1)(NC(=O)C(N)=O)C1CC1 ZINC001582658723 1166063369 /nfs/dbraw/zinc/06/33/69/1166063369.db2.gz HXQKQRKNIAYCKJ-AMIZOPFISA-N 0 2 317.336 0.308 20 0 DCADLN CN(CCn1cc[nH+]c1)S(=O)(=O)[C@@H]1CCC[C@H]1C(=O)[O-] ZINC001465106341 804077894 /nfs/dbraw/zinc/07/78/94/804077894.db2.gz KPBHYPJTJBBCCF-GHMZBOCLSA-N 0 2 301.368 0.398 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1CCOCC(F)(F)C(F)(F)F ZINC001601774125 1168871750 /nfs/dbraw/zinc/87/17/50/1168871750.db2.gz XCBZKSYWGWTABZ-RNFRBKRXSA-N 0 2 307.215 0.720 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1CCOCC(F)(F)C(F)(F)F ZINC001601774125 1168871758 /nfs/dbraw/zinc/87/17/58/1168871758.db2.gz XCBZKSYWGWTABZ-RNFRBKRXSA-N 0 2 307.215 0.720 20 0 DCADLN CC(C)(C)OC(=O)C[N@@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001603374085 1169301963 /nfs/dbraw/zinc/30/19/63/1169301963.db2.gz ZHBVWVPTBYUHKU-SNVBAGLBSA-N 0 2 310.354 0.955 20 0 DCADLN CC(C)(C)OC(=O)C[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001603374085 1169301972 /nfs/dbraw/zinc/30/19/72/1169301972.db2.gz ZHBVWVPTBYUHKU-SNVBAGLBSA-N 0 2 310.354 0.955 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001266453588 939123352 /nfs/dbraw/zinc/12/33/52/939123352.db2.gz IGFADHCCMLGKNN-VIFPVBQESA-N 0 2 309.370 0.206 20 0 DCADLN C/C(=C\C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001266584004 939170507 /nfs/dbraw/zinc/17/05/07/939170507.db2.gz QRSPZKGWBTWSBY-CSKARUKUSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C\C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001266584004 939170508 /nfs/dbraw/zinc/17/05/08/939170508.db2.gz QRSPZKGWBTWSBY-CSKARUKUSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@]1(NC(=O)C[C@@H]2C=CCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001278355298 945240847 /nfs/dbraw/zinc/24/08/47/945240847.db2.gz ATECBQFCRFSBOR-IAQYHMDHSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@]1(NC(=O)C[C@@H]2C=CCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001278355298 945240851 /nfs/dbraw/zinc/24/08/51/945240851.db2.gz ATECBQFCRFSBOR-IAQYHMDHSA-N 0 2 305.382 0.947 20 0 DCADLN COc1nccc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001481560998 939938285 /nfs/dbraw/zinc/93/82/85/939938285.db2.gz DLSQELNBOHUAGC-VIFPVBQESA-N 0 2 322.262 0.686 20 0 DCADLN COc1nccc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001481560998 939938289 /nfs/dbraw/zinc/93/82/89/939938289.db2.gz DLSQELNBOHUAGC-VIFPVBQESA-N 0 2 322.262 0.686 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481599163 939962586 /nfs/dbraw/zinc/96/25/86/939962586.db2.gz KSJLAPAQEUAORL-JTQLQIEISA-N 0 2 317.349 0.278 20 0 DCADLN O=C(NC1=NCC(=O)N1)c1cc(Br)cc(F)c1O ZINC001364054312 941024767 /nfs/dbraw/zinc/02/47/67/941024767.db2.gz ABIVAEVCJOGVSW-UHFFFAOYSA-N 0 2 316.086 0.509 20 0 DCADLN O=C(NC1CN(C(=O)c2csnn2)C1)C(F)C(F)(F)F ZINC001409061625 941301964 /nfs/dbraw/zinc/30/19/64/941301964.db2.gz PYMWXRHUHXRRPO-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)c2csnn2)C1)[C@@H](F)C(F)(F)F ZINC001409061625 941301967 /nfs/dbraw/zinc/30/19/67/941301967.db2.gz PYMWXRHUHXRRPO-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NCC1CN(C(=O)c2ncc[nH]2)C1)C(F)C(F)(F)F ZINC001409147467 941552783 /nfs/dbraw/zinc/55/27/83/941552783.db2.gz VZSRYWMPDLZDRE-ZETCQYMHSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)c2ncc[nH]2)C1)[C@H](F)C(F)(F)F ZINC001409147467 941552787 /nfs/dbraw/zinc/55/27/87/941552787.db2.gz VZSRYWMPDLZDRE-ZETCQYMHSA-N 0 2 308.235 0.498 20 0 DCADLN Cc1noc(C[NH2+]C[C@@H](C)NC(=O)[C@@H]2CCC[N@@H+]2C(C)C)n1 ZINC001483127354 941868814 /nfs/dbraw/zinc/86/88/14/941868814.db2.gz PAOGHJXJQZAJHF-YPMHNXCESA-N 0 2 309.414 0.845 20 0 DCADLN CC(C)CO[C@H](C)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409549568 942046251 /nfs/dbraw/zinc/04/62/51/942046251.db2.gz XJIMRVLJCFWMIB-WDEREUQCSA-N 0 2 313.402 0.508 20 0 DCADLN CC(C)CO[C@H](C)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409549568 942046256 /nfs/dbraw/zinc/04/62/56/942046256.db2.gz XJIMRVLJCFWMIB-WDEREUQCSA-N 0 2 313.402 0.508 20 0 DCADLN CCCNC(=O)NC(=O)C[N@@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC000322252284 970864050 /nfs/dbraw/zinc/86/40/50/970864050.db2.gz DIULZAMTFIUTQD-UHFFFAOYSA-N 0 2 319.361 0.979 20 0 DCADLN CCCNC(=O)NC(=O)C[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC000322252284 970864057 /nfs/dbraw/zinc/86/40/57/970864057.db2.gz DIULZAMTFIUTQD-UHFFFAOYSA-N 0 2 319.361 0.979 20 0 DCADLN C[C@H](CNC(=O)c1cnn[nH]1)N(C)[C@H](C)c1nc(C2CC2)no1 ZINC001409560561 942056186 /nfs/dbraw/zinc/05/61/86/942056186.db2.gz AYRPZMIMBGLGMK-RKDXNWHRSA-N 0 2 319.369 0.876 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[N@H+](C)[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001409616400 942094807 /nfs/dbraw/zinc/09/48/07/942094807.db2.gz DVCXUFXOJCHBTR-WDEREUQCSA-N 0 2 324.429 0.548 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[N@@H+](C)[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001409616400 942094814 /nfs/dbraw/zinc/09/48/14/942094814.db2.gz DVCXUFXOJCHBTR-WDEREUQCSA-N 0 2 324.429 0.548 20 0 DCADLN C[C@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1(CO)CC1 ZINC001413272630 942598301 /nfs/dbraw/zinc/59/83/01/942598301.db2.gz PBBHFEDGZJIUNN-VIFPVBQESA-N 0 2 318.333 0.157 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC(C)(C)c1noc(C)n1 ZINC001413292855 942611835 /nfs/dbraw/zinc/61/18/35/942611835.db2.gz NRCGOJRGECWVSI-UHFFFAOYSA-N 0 2 324.428 0.955 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2ccnn2C)C1 ZINC001483864938 942990909 /nfs/dbraw/zinc/99/09/09/942990909.db2.gz PDXFURDSVSYTCT-JTQLQIEISA-N 0 2 308.279 0.963 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(Cc2ccnn2C)C1 ZINC001483864938 942990913 /nfs/dbraw/zinc/99/09/13/942990913.db2.gz PDXFURDSVSYTCT-JTQLQIEISA-N 0 2 308.279 0.963 20 0 DCADLN C[C@@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001483877658 943005274 /nfs/dbraw/zinc/00/52/74/943005274.db2.gz SSVMSUSQPBVTAY-ZETCQYMHSA-N 0 2 321.303 0.741 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@](C)(NC(=O)Cc3nnc[nH]3)C2)on1 ZINC001484064931 943130668 /nfs/dbraw/zinc/13/06/68/943130668.db2.gz PKWFAIUYOWGTLT-AWEZNQCLSA-N 0 2 304.354 0.424 20 0 DCADLN Cn1cnc2ccc(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)cc21 ZINC001413655259 943552770 /nfs/dbraw/zinc/55/27/70/943552770.db2.gz BUWBIYUQDKHPRY-UHFFFAOYSA-N 0 2 323.316 0.597 20 0 DCADLN CC/C=C(/C)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001484793695 943580278 /nfs/dbraw/zinc/58/02/78/943580278.db2.gz XCFLOWDUALUNOF-NEOSZVFXSA-N 0 2 321.381 0.326 20 0 DCADLN O=C([O-])C1=NO[C@@H](C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC001611361684 971008188 /nfs/dbraw/zinc/00/81/88/971008188.db2.gz HDBUZUGLLVCJME-MWLCHTKSSA-N 0 2 304.306 0.006 20 0 DCADLN C[C@H](NC(=O)c1cccc2c1NC(=O)CO2)c1nn(C)cc1O ZINC001413768961 943676461 /nfs/dbraw/zinc/67/64/61/943676461.db2.gz XHIHPFFBEZLMPK-QMMMGPOBSA-N 0 2 316.317 0.948 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc2csnn2)c1[O-] ZINC001485140497 943726328 /nfs/dbraw/zinc/72/63/28/943726328.db2.gz RKFXIWBIKVDNSC-RQJHMYQMSA-N 0 2 310.383 0.572 20 0 DCADLN O=C(NCC1COC1)[C@H]1CCC[C@@]12CC[N@H+](Cc1cn[nH]n1)C2 ZINC001277378093 944795808 /nfs/dbraw/zinc/79/58/08/944795808.db2.gz ASSQWFBVCOXROF-ZBFHGGJFSA-N 0 2 319.409 0.560 20 0 DCADLN CN(C)C(=O)C[NH2+]C[C@H](NC(=O)Cn1cc[nH+]c1)C(C)(C)C ZINC001486422502 944870636 /nfs/dbraw/zinc/87/06/36/944870636.db2.gz KRBYFUBLFHYJQL-LBPRGKRZSA-N 0 2 309.414 0.092 20 0 DCADLN COCCC(=O)NCC1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001486601822 944988388 /nfs/dbraw/zinc/98/83/88/944988388.db2.gz KRRJEJFYQUSFGV-SFVIPPHHSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NCC1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001486601822 944988399 /nfs/dbraw/zinc/98/83/99/944988399.db2.gz KRRJEJFYQUSFGV-SFVIPPHHSA-N 0 2 314.279 0.934 20 0 DCADLN CO[C@@H](C)c1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001320052731 945729864 /nfs/dbraw/zinc/72/98/64/945729864.db2.gz ROGSMRURKPECLJ-QMMMGPOBSA-N 0 2 319.321 0.473 20 0 DCADLN CC[C@H](C)n1nc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1C ZINC001320192861 945762284 /nfs/dbraw/zinc/76/22/84/945762284.db2.gz ZPCZHCFAESWKRY-ZETCQYMHSA-N 0 2 321.341 0.241 20 0 DCADLN COCCC(=O)NC[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001430946949 945862187 /nfs/dbraw/zinc/86/21/87/945862187.db2.gz PTIZIZGXHCZRIS-VXNVDRBHSA-N 0 2 302.268 0.792 20 0 DCADLN COCCC(=O)NC[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001430946949 945862197 /nfs/dbraw/zinc/86/21/97/945862197.db2.gz PTIZIZGXHCZRIS-VXNVDRBHSA-N 0 2 302.268 0.792 20 0 DCADLN Cc1nn(C)c(=O)c(C(=O)NCCc2n[nH]c(=S)o2)c1C ZINC001321448205 946334012 /nfs/dbraw/zinc/33/40/12/946334012.db2.gz MZOSPZYCDYHPGJ-UHFFFAOYSA-N 0 2 309.351 0.041 20 0 DCADLN C[C@H](NC(=O)N1CC[C@H](C(=O)[O-])[C@@H]1C)[C@@H]1CN(C)CC[N@@H+]1C ZINC001604280450 1169545738 /nfs/dbraw/zinc/54/57/38/1169545738.db2.gz ZYRAQRXPFLQNGQ-CYDGBPFRSA-N 0 2 312.414 0.125 20 0 DCADLN C[C@H](NC(=O)N1CC[C@H](C(=O)[O-])[C@@H]1C)[C@@H]1CN(C)CC[N@H+]1C ZINC001604280450 1169545744 /nfs/dbraw/zinc/54/57/44/1169545744.db2.gz ZYRAQRXPFLQNGQ-CYDGBPFRSA-N 0 2 312.414 0.125 20 0 DCADLN COc1ccc(Cc2nnc(-c3c[nH]c(=O)c(=O)[nH]3)o2)cc1 ZINC001254790129 946432694 /nfs/dbraw/zinc/43/26/94/946432694.db2.gz HVNRUBZUSKHJCQ-UHFFFAOYSA-N 0 2 300.274 0.713 20 0 DCADLN COc1ccc2nc(NS(=O)(=O)c3cn(C)cn3)nnc2c1 ZINC001258992611 946880856 /nfs/dbraw/zinc/88/08/56/946880856.db2.gz BGEGCCDXTZANJL-UHFFFAOYSA-N 0 2 320.334 0.568 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(NS(=O)(=O)C2CC2)c(O)c1 ZINC001259275628 946936547 /nfs/dbraw/zinc/93/65/47/946936547.db2.gz LQVDFEDIHVNZPC-UHFFFAOYSA-N 0 2 320.392 0.547 20 0 DCADLN Cc1cc(F)ccc1S(=O)(=O)Nc1cnc(C(N)=O)nc1 ZINC001259484993 946963725 /nfs/dbraw/zinc/96/37/25/946963725.db2.gz QBYWOSQDXJDYIX-UHFFFAOYSA-N 0 2 310.310 0.824 20 0 DCADLN O=S(=O)([N-]c1cnn(CC[NH+]2CCOCC2)c1)C(F)F ZINC001259951015 947034302 /nfs/dbraw/zinc/03/43/02/947034302.db2.gz MIZSTRNWGOMQOY-UHFFFAOYSA-N 0 2 310.326 0.180 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)cn1 ZINC001260609960 947128161 /nfs/dbraw/zinc/12/81/61/947128161.db2.gz CBYYHDXOUNRSPD-UHFFFAOYSA-N 0 2 321.318 0.575 20 0 DCADLN CCC(=O)NC[C@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001411136683 947379936 /nfs/dbraw/zinc/37/99/36/947379936.db2.gz CHPHVKCBFVYJTE-WCBMZHEXSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)NC[C@]1(O)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001411136683 947379945 /nfs/dbraw/zinc/37/99/45/947379945.db2.gz CHPHVKCBFVYJTE-WCBMZHEXSA-N 0 2 300.252 0.376 20 0 DCADLN Cc1ncoc1C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411185288 947450215 /nfs/dbraw/zinc/45/02/15/947450215.db2.gz NEFAWCXJHUPTOP-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN Cc1ncoc1C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411185288 947450227 /nfs/dbraw/zinc/45/02/27/947450227.db2.gz NEFAWCXJHUPTOP-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN C/C(=C/C(=O)N1CCC2(C[C@@H]2C(=O)[O-])CC1)C[NH+]1CCOCC1 ZINC001262174373 947593190 /nfs/dbraw/zinc/59/31/90/947593190.db2.gz RFYAYFYFCIGUCQ-QLKUMGTLSA-N 0 2 322.405 0.978 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cnc(Cl)nc2)n(CCO)n1 ZINC001185000441 947927109 /nfs/dbraw/zinc/92/71/09/947927109.db2.gz VVWPJKNMQWDQDI-UHFFFAOYSA-N 0 2 317.758 0.428 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cncnc2C)cc1 ZINC001191572157 947984841 /nfs/dbraw/zinc/98/48/41/947984841.db2.gz RSRYLPWDGRYOEA-UHFFFAOYSA-N 0 2 306.347 0.945 20 0 DCADLN CC(=O)NCCN(C(=O)CCCn1cc[nH+]c1)[C@H](C)C(=O)[O-] ZINC001602755653 971465212 /nfs/dbraw/zinc/46/52/12/971465212.db2.gz GLFZFWWGHPAAPF-LLVKDONJSA-N 0 2 310.354 0.101 20 0 DCADLN CC(=O)NCC[N@H+](Cc1ccc2c(n1)CCC2)[C@H](C)C(=O)[O-] ZINC001602758968 971481299 /nfs/dbraw/zinc/48/12/99/971481299.db2.gz XQLDHCVTDYCXSO-LLVKDONJSA-N 0 2 305.378 0.982 20 0 DCADLN CC(=O)NCC[N@@H+](Cc1ccc2c(n1)CCC2)[C@H](C)C(=O)[O-] ZINC001602758968 971481303 /nfs/dbraw/zinc/48/13/03/971481303.db2.gz XQLDHCVTDYCXSO-LLVKDONJSA-N 0 2 305.378 0.982 20 0 DCADLN CC[C@@H](C[C@@H](C)O)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001570922991 948653399 /nfs/dbraw/zinc/65/33/99/948653399.db2.gz RLMUKTUUXAGIIL-BDAKNGLRSA-N 0 2 320.353 0.555 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC001328627496 948996090 /nfs/dbraw/zinc/99/60/90/948996090.db2.gz LQYVMZSHEXFAFE-NSHDSACASA-N 0 2 312.333 0.696 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(F)CCCC1 ZINC001488639881 948996125 /nfs/dbraw/zinc/99/61/25/948996125.db2.gz BUMNNQPAKGDIPE-JTQLQIEISA-N 0 2 311.361 0.825 20 0 DCADLN Cc1c(C(=O)[O-])cccc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC000392262925 949654412 /nfs/dbraw/zinc/65/44/12/949654412.db2.gz SYINOEFOYYUGHE-UHFFFAOYSA-N 0 2 310.375 0.843 20 0 DCADLN O=C(NCc1n[nH]c([C@H]2CCCO2)n1)C(=O)c1ccc(O)cc1 ZINC001329926226 949980891 /nfs/dbraw/zinc/98/08/91/949980891.db2.gz ZNTLBBNYLCEMHD-LLVKDONJSA-N 0 2 316.317 0.861 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N3CC[C@@H](F)C3)cc-2c(=O)[nH]1 ZINC001364847670 950063263 /nfs/dbraw/zinc/06/32/63/950063263.db2.gz JDFURESCYNAFSW-ZCFIWIBFSA-N 0 2 314.298 0.169 20 0 DCADLN CCN(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)[C@@H](C)C(=O)[O-] ZINC001589696863 950172744 /nfs/dbraw/zinc/17/27/44/950172744.db2.gz DZYCCQJPOIMRDD-VIFPVBQESA-N 0 2 304.306 0.594 20 0 DCADLN CN1C(=O)COc2cccc(NC(=O)NCc3n[nH]c(=O)[nH]3)c21 ZINC001365075875 950466972 /nfs/dbraw/zinc/46/69/72/950466972.db2.gz BUNLVKJBEWEHPL-UHFFFAOYSA-N 0 2 318.293 0.187 20 0 DCADLN CC(C)c1ccc(N2CC(=O)C(C(=O)NC[C@@H](O)CO)=N2)cc1 ZINC001365119418 950552179 /nfs/dbraw/zinc/55/21/79/950552179.db2.gz DOIBVKAEAJLPHU-CYBMUJFWSA-N 0 2 319.361 0.784 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)(F)F)CC1 ZINC001490562659 950877448 /nfs/dbraw/zinc/87/74/48/950877448.db2.gz DXRAUGIGOYITJH-SSDOTTSWSA-N 0 2 319.287 0.543 20 0 DCADLN CC(=O)NC[C@@H]1c2ccccc2CCN1Cc1n[nH]c(=O)[nH]1 ZINC001365614451 951327346 /nfs/dbraw/zinc/32/73/46/951327346.db2.gz MFDUCVVPHDRNAW-CYBMUJFWSA-N 0 2 301.350 0.746 20 0 DCADLN CCO[C@H]1C[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001365652137 951386638 /nfs/dbraw/zinc/38/66/38/951386638.db2.gz BGSCXVGBYSVTIJ-GMTAPVOTSA-N 0 2 309.370 0.967 20 0 DCADLN Cc1cc(C(=O)[O-])ccc1C[NH+]1CC2(C1)CN(C)C(=O)CO2 ZINC001274116783 951425509 /nfs/dbraw/zinc/42/55/09/951425509.db2.gz BPCNBYIRWTZQMP-UHFFFAOYSA-N 0 2 304.346 0.736 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@H]1CC[C@H](C2CC2)O1 ZINC001365710520 951464242 /nfs/dbraw/zinc/46/42/42/951464242.db2.gz QOPYSLMTRYIQIV-OUAUKWLOSA-N 0 2 309.359 0.375 20 0 DCADLN C/C=C(\C)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001281677164 951596135 /nfs/dbraw/zinc/59/61/35/951596135.db2.gz MCHUSDZDWRUAPV-DHNCZSJJSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(\C)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001281677164 951596137 /nfs/dbraw/zinc/59/61/37/951596137.db2.gz MCHUSDZDWRUAPV-DHNCZSJJSA-N 0 2 312.263 0.541 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001274429102 951627249 /nfs/dbraw/zinc/62/72/49/951627249.db2.gz VBCSHDBCJAOLTB-UHFFFAOYSA-N 0 2 315.333 0.174 20 0 DCADLN O=C([O-])Cn1cc(C(=O)N2CCC(Cc3c[nH]c[nH+]3)CC2)nn1 ZINC001595141688 952083871 /nfs/dbraw/zinc/08/38/71/952083871.db2.gz CTDQBJICWLYJQE-UHFFFAOYSA-N 0 2 318.337 0.181 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)N(C)C(=O)C(F)C(F)(F)F ZINC001411563377 952276532 /nfs/dbraw/zinc/27/65/32/952276532.db2.gz QBXKKRAZHOCBMQ-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001411563377 952276533 /nfs/dbraw/zinc/27/65/33/952276533.db2.gz QBXKKRAZHOCBMQ-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN CC1(C)CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001411647694 952354905 /nfs/dbraw/zinc/35/49/05/952354905.db2.gz UGRAYGCHCXIAJQ-UHFFFAOYSA-N 0 2 318.333 0.517 20 0 DCADLN O=C([O-])c1cc(F)cc(S(=O)(=O)NCC[NH+]2CC=CC2)c1 ZINC001335836062 952607451 /nfs/dbraw/zinc/60/74/51/952607451.db2.gz IOGVJCGJCNURJF-UHFFFAOYSA-N 0 2 314.338 0.674 20 0 DCADLN O=C(c1cccc(F)c1O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001412056187 952625219 /nfs/dbraw/zinc/62/52/19/952625219.db2.gz JGGVYXBDBGGURI-UHFFFAOYSA-N 0 2 307.285 0.168 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccccn1 ZINC001412262505 952723898 /nfs/dbraw/zinc/72/38/98/952723898.db2.gz LUHLXVPISYFPQF-LLVKDONJSA-N 0 2 312.333 0.734 20 0 DCADLN COC(=O)Cc1occc1C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001412761387 953077746 /nfs/dbraw/zinc/07/77/46/953077746.db2.gz GKSBNFLGGGCOCG-QMMMGPOBSA-N 0 2 307.306 0.925 20 0 DCADLN C[C@H](NC(=O)[C@@]1(C)CCCS1(=O)=O)c1nn(C)cc1O ZINC001412771116 953084269 /nfs/dbraw/zinc/08/42/69/953084269.db2.gz SIZIBTXDAFDAGG-QPUJVOFHSA-N 0 2 301.368 0.270 20 0 DCADLN Cn1c(-c2n[nH]c(Cl)n2)nnc1N(CCCCO)C1CC1 ZINC001339425623 953093395 /nfs/dbraw/zinc/09/33/95/953093395.db2.gz FXSZRDFZQFDCFN-UHFFFAOYSA-N 0 2 311.777 0.995 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001365937201 953430796 /nfs/dbraw/zinc/43/07/96/953430796.db2.gz CABJLDQMKJSUAM-PWSUYJOCSA-N 0 2 311.386 0.262 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H]([C@H](F)C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001344531501 953493061 /nfs/dbraw/zinc/49/30/61/953493061.db2.gz FCDNQXMQEHXRSR-LTVRFXJVSA-N 0 2 314.357 0.536 20 0 DCADLN Cc1noc(C[NH2+][C@H](CNC(=O)c2[nH]nc(C)c2[O-])C2CC2)n1 ZINC001366029516 953601709 /nfs/dbraw/zinc/60/17/09/953601709.db2.gz GCXQYKPCNJPBQX-SNVBAGLBSA-N 0 2 320.353 0.413 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)NC2(C[NH2+]CCF)CCCCC2)C1 ZINC001282605763 953634841 /nfs/dbraw/zinc/63/48/41/953634841.db2.gz OUFSALAAWKKSOY-CYBMUJFWSA-N 0 2 301.406 0.695 20 0 DCADLN CCOCCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001282857101 953699226 /nfs/dbraw/zinc/69/92/26/953699226.db2.gz PIPDYUPWWZELEJ-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN CCOCCC(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001282857101 953699232 /nfs/dbraw/zinc/69/92/32/953699232.db2.gz PIPDYUPWWZELEJ-JTQLQIEISA-N 0 2 314.279 0.984 20 0 DCADLN O=C(N[C@H]1C[C@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1)C(F)C(F)(F)F ZINC001366114978 953704845 /nfs/dbraw/zinc/70/48/45/953704845.db2.gz IDUOJQXNOFCAGO-VPLCAKHXSA-N 0 2 311.239 0.148 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)Cc1nnnn1C1CC1 ZINC001366531318 954353856 /nfs/dbraw/zinc/35/38/56/954353856.db2.gz PDUJRQMMCBNXCD-VIFPVBQESA-N 0 2 324.282 0.456 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1nnnn1C1CC1 ZINC001366531318 954353870 /nfs/dbraw/zinc/35/38/70/954353870.db2.gz PDUJRQMMCBNXCD-VIFPVBQESA-N 0 2 324.282 0.456 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C)C(=O)[O-] ZINC001589400438 954420036 /nfs/dbraw/zinc/42/00/36/954420036.db2.gz QJRCDPWHGIOGOY-YNEHKIRRSA-N 0 2 315.414 0.752 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C)C(=O)[O-] ZINC001589400438 954420041 /nfs/dbraw/zinc/42/00/41/954420041.db2.gz QJRCDPWHGIOGOY-YNEHKIRRSA-N 0 2 315.414 0.752 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@@]1(C(=O)[O-])CCCO1 ZINC001593788420 954742861 /nfs/dbraw/zinc/74/28/61/954742861.db2.gz QZHHVVFFXKJOQW-CZUORRHYSA-N 0 2 304.346 0.923 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@@]1(C(=O)[O-])CCCO1 ZINC001593788420 954742872 /nfs/dbraw/zinc/74/28/72/954742872.db2.gz QZHHVVFFXKJOQW-CZUORRHYSA-N 0 2 304.346 0.923 20 0 DCADLN O=C([O-])c1ccnc(S(=O)(=O)NCCCn2cc[nH+]c2)c1 ZINC000386337155 972045885 /nfs/dbraw/zinc/04/58/85/972045885.db2.gz IZPZTMYJYNUNHT-UHFFFAOYSA-N 0 2 310.335 0.345 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H](F)Cc1ccccc1 ZINC001366950471 955106773 /nfs/dbraw/zinc/10/67/73/955106773.db2.gz IQFKHWAXLGKWFG-GFCCVEGCSA-N 0 2 319.340 0.392 20 0 DCADLN CC1(C)CO[C@@H](CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001366956787 955115820 /nfs/dbraw/zinc/11/58/20/955115820.db2.gz VJVCUYLWAVQCLC-NSHDSACASA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@H](CNC(=O)NC[C@@H](C)[NH+]1CCN(C)CC1)C(=O)[O-] ZINC001589535930 955162189 /nfs/dbraw/zinc/16/21/89/955162189.db2.gz XTYFOMIUZFVBKP-VXGBXAGGSA-N 0 2 300.403 0.032 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC001589539249 955189513 /nfs/dbraw/zinc/18/95/13/955189513.db2.gz YKIOBRZXHKMOLT-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC001589539249 955189517 /nfs/dbraw/zinc/18/95/17/955189517.db2.gz YKIOBRZXHKMOLT-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN COC(=O)CC[C@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)[O-] ZINC001593844039 955388960 /nfs/dbraw/zinc/38/89/60/955388960.db2.gz JKIOYDBXPPXGMB-NSHDSACASA-N 0 2 323.349 0.285 20 0 DCADLN C[C@H](CN(C)C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC001589021812 955477714 /nfs/dbraw/zinc/47/77/14/955477714.db2.gz FZFXAPNEPBIYRB-SECBINFHSA-N 0 2 304.306 0.452 20 0 DCADLN C[C@H](CNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2)C(=O)[O-] ZINC001589072025 955779964 /nfs/dbraw/zinc/77/99/64/955779964.db2.gz CIJHTEXESRLJND-SNVBAGLBSA-N 0 2 312.391 0.674 20 0 DCADLN C[C@H](CNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2)C(=O)[O-] ZINC001589072025 955779974 /nfs/dbraw/zinc/77/99/74/955779974.db2.gz CIJHTEXESRLJND-SNVBAGLBSA-N 0 2 312.391 0.674 20 0 DCADLN C[C@H]1CCN1C(=O)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC001353048643 955894594 /nfs/dbraw/zinc/89/45/94/955894594.db2.gz UTMMNCMNBLUHFT-QMMMGPOBSA-N 0 2 320.374 0.976 20 0 DCADLN COC[C@H](C)CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416051849 956215789 /nfs/dbraw/zinc/21/57/89/956215789.db2.gz YZTYDWXGGBOQPO-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN COC[C@H](C)CC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001416051849 956215794 /nfs/dbraw/zinc/21/57/94/956215794.db2.gz YZTYDWXGGBOQPO-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N(C)CCOCCNC(=O)C(F)C(F)(F)F ZINC001287408682 956362535 /nfs/dbraw/zinc/36/25/35/956362535.db2.gz AFJPGRXOSXEGLO-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN COCC(=O)N(C)CCOCCNC(=O)[C@H](F)C(F)(F)F ZINC001287408682 956362548 /nfs/dbraw/zinc/36/25/48/956362548.db2.gz AFJPGRXOSXEGLO-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(C(=O)Nc2cc(C)[nH+]cc2C)CCO1 ZINC001593977577 956680302 /nfs/dbraw/zinc/68/03/02/956680302.db2.gz BZXKYQMRXHIZDE-HNNXBMFYSA-N 0 2 323.349 0.454 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](C[C@@H](O)c2ccccc2F)CCO1 ZINC001593984885 956757394 /nfs/dbraw/zinc/75/73/94/956757394.db2.gz COHJEIOJWKXZSH-UKRRQHHQSA-N 0 2 313.325 0.661 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](C[C@@H](O)c2ccccc2F)CCO1 ZINC001593984885 956757398 /nfs/dbraw/zinc/75/73/98/956757398.db2.gz COHJEIOJWKXZSH-UKRRQHHQSA-N 0 2 313.325 0.661 20 0 DCADLN CCc1ncoc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292843742 957171247 /nfs/dbraw/zinc/17/12/47/957171247.db2.gz KYCUIBXXGMONIJ-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1ncoc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001292843742 957171252 /nfs/dbraw/zinc/17/12/52/957171252.db2.gz KYCUIBXXGMONIJ-QMMMGPOBSA-N 0 2 311.235 0.983 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cncnc1 ZINC001357753251 957375117 /nfs/dbraw/zinc/37/51/17/957375117.db2.gz SDESJVJXASOUAC-VWCDRPFISA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1cncnc1 ZINC001357753251 957375122 /nfs/dbraw/zinc/37/51/22/957375122.db2.gz SDESJVJXASOUAC-VWCDRPFISA-N 0 2 320.246 0.779 20 0 DCADLN N#Cc1ccc(OCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000390333041 972276042 /nfs/dbraw/zinc/27/60/42/972276042.db2.gz SVHAPSUGHBEQOA-CYBMUJFWSA-N 0 2 314.301 0.472 20 0 DCADLN N#Cc1ccc(OCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000390333041 972276048 /nfs/dbraw/zinc/27/60/48/972276048.db2.gz SVHAPSUGHBEQOA-CYBMUJFWSA-N 0 2 314.301 0.472 20 0 DCADLN COC1(c2cnnn2C)CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC001548811845 1013161085 /nfs/dbraw/zinc/16/10/85/1013161085.db2.gz HBXNZUYUJJRLPD-UHFFFAOYSA-N 0 2 324.287 0.797 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1cnnn1C ZINC001367510133 957928233 /nfs/dbraw/zinc/92/82/33/957928233.db2.gz DECJOEZJHFLLSX-SECBINFHSA-N 0 2 311.283 0.606 20 0 DCADLN COCC[C@@H](C)CNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001361506980 958032632 /nfs/dbraw/zinc/03/26/32/958032632.db2.gz CSTUMECVOGTJJP-SNVBAGLBSA-N 0 2 306.366 0.662 20 0 DCADLN NC(=O)c1ccc(C=CC(=O)NCCc2n[nH]c(=S)o2)o1 ZINC001296735378 958043962 /nfs/dbraw/zinc/04/39/62/958043962.db2.gz YEMFYGHIPXQIHE-RQOWECAXSA-N 0 2 308.319 0.422 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CC[C@H](C2CC2)O1 ZINC001361663410 958190133 /nfs/dbraw/zinc/19/01/33/958190133.db2.gz RWVRHULLYUHNHF-ZYHUDNBSSA-N 0 2 317.349 0.145 20 0 DCADLN CN1CC[N@@H+](C)C[C@H]1CNC(=O)c1ccc(C[NH+](C)C)nc1 ZINC001361832655 958383977 /nfs/dbraw/zinc/38/39/77/958383977.db2.gz JEWCUOOMFJNPMT-OAHLLOKOSA-N 0 2 305.426 0.119 20 0 DCADLN COc1cccc([C@H]2CN(C(=O)[C@](C)(OC)C(=O)[O-])CC[NH2+]2)c1 ZINC001594125412 958635062 /nfs/dbraw/zinc/63/50/62/958635062.db2.gz UGFALLPVXOGEEE-CJNGLKHVSA-N 0 2 322.361 0.658 20 0 DCADLN CCn1[n-]nnc1=NC(=O)NCCCCNc1cccc[nH+]1 ZINC001562801475 1013181046 /nfs/dbraw/zinc/18/10/46/1013181046.db2.gz UPMVDBWFWGVNBD-UHFFFAOYSA-N 0 2 304.358 0.524 20 0 DCADLN C[C@]1(CC(=O)Nc2ccc3nn[nH]c3c2)CCS(=O)(=O)N1 ZINC001362060081 958670089 /nfs/dbraw/zinc/67/00/89/958670089.db2.gz AAJYJXMDIIJAEN-GFCCVEGCSA-N 0 2 309.351 0.368 20 0 DCADLN CC(=O)NCC[N@H+]([C@@H](C)C(=O)[O-])[C@@H]1CCCN(C(C)C)C1=O ZINC001588508206 958747118 /nfs/dbraw/zinc/74/71/18/958747118.db2.gz DUTPRSOUEZYHSX-WCQYABFASA-N 0 2 313.398 0.297 20 0 DCADLN CC(=O)NCC[N@@H+]([C@@H](C)C(=O)[O-])[C@@H]1CCCN(C(C)C)C1=O ZINC001588508206 958747141 /nfs/dbraw/zinc/74/71/41/958747141.db2.gz DUTPRSOUEZYHSX-WCQYABFASA-N 0 2 313.398 0.297 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)c2ccccc2C1 ZINC001362128933 958785519 /nfs/dbraw/zinc/78/55/19/958785519.db2.gz ZIVCIMFESZNQCR-UHFFFAOYSA-N 0 2 303.322 0.168 20 0 DCADLN C[N@H+]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)c2ccccc2C1 ZINC001362128933 958785521 /nfs/dbraw/zinc/78/55/21/958785521.db2.gz ZIVCIMFESZNQCR-UHFFFAOYSA-N 0 2 303.322 0.168 20 0 DCADLN Cc1cccc([C@@H](NS(=O)(=O)CCn2cc[nH+]c2)C(=O)[O-])c1 ZINC001594399334 958825809 /nfs/dbraw/zinc/82/58/09/958825809.db2.gz GPSKSVNXDKZJAL-CYBMUJFWSA-N 0 2 323.374 0.937 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367931500 958893921 /nfs/dbraw/zinc/89/39/21/958893921.db2.gz MJUBPFUILBQNHM-QWRGUYRKSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001362219682 958949694 /nfs/dbraw/zinc/94/96/94/958949694.db2.gz IKPICHLIZMMQLC-GXSJLCMTSA-N 0 2 318.333 0.564 20 0 DCADLN CCO[C@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001491608178 959031773 /nfs/dbraw/zinc/03/17/73/959031773.db2.gz VEJXQPFJUYAKEH-SFYZADRCSA-N 0 2 302.268 0.934 20 0 DCADLN CCO[C@H](C)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001491608178 959031785 /nfs/dbraw/zinc/03/17/85/959031785.db2.gz VEJXQPFJUYAKEH-SFYZADRCSA-N 0 2 302.268 0.934 20 0 DCADLN CSc1ncc(C(=O)N(C)C[C@H](C)c2nn[nH]n2)c(=O)[nH]1 ZINC001362303748 959104620 /nfs/dbraw/zinc/10/46/20/959104620.db2.gz XBLSQKCJFYQXKL-LURJTMIESA-N 0 2 309.355 0.293 20 0 DCADLN O=C(NCC1([NH2+]Cc2ccncc2Cl)CC1)c1ncn[nH]1 ZINC001368028149 959120112 /nfs/dbraw/zinc/12/01/12/959120112.db2.gz ULFJBRGSAXIHSA-UHFFFAOYSA-N 0 2 306.757 0.905 20 0 DCADLN O=C(NCC1([NH2+]Cc2ccncc2Cl)CC1)c1nc[nH]n1 ZINC001368028149 959120117 /nfs/dbraw/zinc/12/01/17/959120117.db2.gz ULFJBRGSAXIHSA-UHFFFAOYSA-N 0 2 306.757 0.905 20 0 DCADLN CCOCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001368050922 959154518 /nfs/dbraw/zinc/15/45/18/959154518.db2.gz FGJZFRCKRJCXAG-PHIMTYICSA-N 0 2 309.370 0.112 20 0 DCADLN O=C(NN1CCCNC1=O)c1ccc(Cn2ccccc2=O)o1 ZINC001362425162 959331411 /nfs/dbraw/zinc/33/14/11/959331411.db2.gz HOMNVEHXCCEJEC-UHFFFAOYSA-N 0 2 316.317 0.550 20 0 DCADLN Cn1ncnc1CN1CC[C@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001368151273 959341156 /nfs/dbraw/zinc/34/11/56/959341156.db2.gz IURIKABIPSPPAU-KOLCDFICSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CC[C@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001368151273 959341172 /nfs/dbraw/zinc/34/11/72/959341172.db2.gz IURIKABIPSPPAU-KOLCDFICSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@@H]1O ZINC001362576537 959633236 /nfs/dbraw/zinc/63/32/36/959633236.db2.gz LJISHLZBGCCJQU-CABZTGNLSA-N 0 2 318.333 0.109 20 0 DCADLN CC[N@H+](CCNC(=O)CCC(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001377294544 959872546 /nfs/dbraw/zinc/87/25/46/959872546.db2.gz MYCNUGZZYWMLCC-UHFFFAOYSA-N 0 2 309.292 0.791 20 0 DCADLN CC[N@@H+](CCNC(=O)CCC(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001377294544 959872553 /nfs/dbraw/zinc/87/25/53/959872553.db2.gz MYCNUGZZYWMLCC-UHFFFAOYSA-N 0 2 309.292 0.791 20 0 DCADLN C[N@H+](CCCO)CCNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001492357527 959873856 /nfs/dbraw/zinc/87/38/56/959873856.db2.gz FRWGQMHUNGDKFF-UHFFFAOYSA-N 0 2 302.378 0.916 20 0 DCADLN COc1cccnc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362720774 959888157 /nfs/dbraw/zinc/88/81/57/959888157.db2.gz BOBUZGWOYUVSIW-VIFPVBQESA-N 0 2 303.322 0.934 20 0 DCADLN C[C@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1cnccn1 ZINC001362740302 959915258 /nfs/dbraw/zinc/91/52/58/959915258.db2.gz MZOVAKCQJRUEGY-UWVGGRQHSA-N 0 2 302.338 0.810 20 0 DCADLN CC(C)(C)CC[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(N)=O ZINC001362739482 959915793 /nfs/dbraw/zinc/91/57/93/959915793.db2.gz BZFWXQMLNGODRQ-HTQZYQBOSA-N 0 2 314.411 0.339 20 0 DCADLN COc1nnc(NC(=O)c2sccc2S(N)(=O)=O)s1 ZINC001362751291 959930966 /nfs/dbraw/zinc/93/09/66/959930966.db2.gz BOJAVEGIDPVFSB-UHFFFAOYSA-N 0 2 320.377 0.508 20 0 DCADLN CC[N@H+](CCNC(=O)CCn1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001377347561 959959257 /nfs/dbraw/zinc/95/92/57/959959257.db2.gz IFUWMEYCUUKNQE-UHFFFAOYSA-N 0 2 306.370 0.340 20 0 DCADLN CC[N@@H+](CCNC(=O)CCn1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001377347561 959959260 /nfs/dbraw/zinc/95/92/60/959959260.db2.gz IFUWMEYCUUKNQE-UHFFFAOYSA-N 0 2 306.370 0.340 20 0 DCADLN COC(=O)[C@@]1(C)CN(C(=O)C[C@H]2SC(=N)NC2=O)C[C@@H]1C ZINC001362781442 959977512 /nfs/dbraw/zinc/97/75/12/959977512.db2.gz VYYDMIISJPTPDM-DAROEXNTSA-N 0 2 313.379 0.200 20 0 DCADLN CSCC[C@H](NC(=O)CCCCc1c[nH]nn1)c1nn[nH]n1 ZINC001301161850 959993213 /nfs/dbraw/zinc/99/32/13/959993213.db2.gz QETDOWUOVICYEW-JTQLQIEISA-N 0 2 324.414 0.641 20 0 DCADLN CCn1cc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c(C(C)C)n1 ZINC001301130975 959994577 /nfs/dbraw/zinc/99/45/77/959994577.db2.gz BBUJYUZANJOKMJ-SECBINFHSA-N 0 2 307.354 0.954 20 0 DCADLN Cn1cnnc1CN1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001377384303 960005486 /nfs/dbraw/zinc/00/54/86/960005486.db2.gz CTQNPCBRMGRUFB-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CCC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001377384303 960005491 /nfs/dbraw/zinc/00/54/91/960005491.db2.gz CTQNPCBRMGRUFB-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN CC(C)(C(N)=O)C(=O)Nc1nccc(Br)c1O ZINC001301837353 960011484 /nfs/dbraw/zinc/01/14/84/960011484.db2.gz HIENARBVBHSYIW-UHFFFAOYSA-N 0 2 302.128 1.000 20 0 DCADLN O=C(NCC1CSC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362914400 960169694 /nfs/dbraw/zinc/16/96/94/960169694.db2.gz RLTBVVOPVVBHKW-UHFFFAOYSA-N 0 2 306.347 0.359 20 0 DCADLN Cc1nonc1C[NH2+][C@@H](C)CCNC(=O)CCc1cnn[nH]1 ZINC001368736209 960208265 /nfs/dbraw/zinc/20/82/65/960208265.db2.gz IANPDQCCCJPIKI-VIFPVBQESA-N 0 2 307.358 0.113 20 0 DCADLN CSC[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001368764838 960241567 /nfs/dbraw/zinc/24/15/67/960241567.db2.gz WDSVSJFQOYZBJZ-AEJSXWLSSA-N 0 2 313.427 0.588 20 0 DCADLN CSC[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001368764838 960241579 /nfs/dbraw/zinc/24/15/79/960241579.db2.gz WDSVSJFQOYZBJZ-AEJSXWLSSA-N 0 2 313.427 0.588 20 0 DCADLN O=C([O-])C1(CNC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCC1 ZINC001605780391 972490253 /nfs/dbraw/zinc/49/02/53/972490253.db2.gz HCHJAZLNMZRXHY-TXEJJXNPSA-N 0 2 311.382 0.404 20 0 DCADLN O=C([O-])C1(CNC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCC1 ZINC001605780391 972490258 /nfs/dbraw/zinc/49/02/58/972490258.db2.gz HCHJAZLNMZRXHY-TXEJJXNPSA-N 0 2 311.382 0.404 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2[C@H]2CCCOC2)S1 ZINC001363042575 960335191 /nfs/dbraw/zinc/33/51/91/960335191.db2.gz UPQDWKGRQIZBFZ-IUCAKERBSA-N 0 2 323.378 0.730 20 0 DCADLN CSc1ncc(C(=O)N[C@@H](C)C2=NN(C)CC2=O)c(=O)[nH]1 ZINC001363048170 960342188 /nfs/dbraw/zinc/34/21/88/960342188.db2.gz JWIBXCBMHLJHTI-LURJTMIESA-N 0 2 309.351 0.834 20 0 DCADLN O=C(NC[C@@H]1CNC(=O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC001363051731 960348194 /nfs/dbraw/zinc/34/81/94/960348194.db2.gz MXRGHGSWIGFLCR-VIFPVBQESA-N 0 2 318.308 0.583 20 0 DCADLN Cc1ccnc([C@H](C)NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC001363100205 960397077 /nfs/dbraw/zinc/39/70/77/960397077.db2.gz MCSASGCVHCMHLR-VIFPVBQESA-N 0 2 313.321 0.047 20 0 DCADLN CCCc1cc(C(=O)N2CCC[C@H]2C[N@@H+](C)[C@@H](C)C(N)=O)n[nH]1 ZINC001377661219 960400875 /nfs/dbraw/zinc/40/08/75/960400875.db2.gz WZEVNCNDYJPIFN-AAEUAGOBSA-N 0 2 321.425 0.772 20 0 DCADLN CCC[C@H](C)NC(=O)CN1CC(NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001377943600 961029429 /nfs/dbraw/zinc/02/94/29/961029429.db2.gz ZNQYKFKMDDCGHL-NSHDSACASA-N 0 2 321.425 0.366 20 0 DCADLN C[C@H](Cc1ccccc1)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377945447 961032267 /nfs/dbraw/zinc/03/22/67/961032267.db2.gz YNAWCZJPFQICRG-LLVKDONJSA-N 0 2 315.377 0.690 20 0 DCADLN CSc1nc(=O)[nH]c(C)c1C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001363414325 961106844 /nfs/dbraw/zinc/10/68/44/961106844.db2.gz LXXNCWHEKPICNA-QMMMGPOBSA-N 0 2 309.351 0.399 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2CCOC2)CC1)C(F)C(F)(F)F ZINC001378671772 961249761 /nfs/dbraw/zinc/24/97/61/961249761.db2.gz BRZSOUNCNQPRFE-JGVFFNPUSA-N 0 2 312.263 0.688 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2CCOC2)CC1)[C@@H](F)C(F)(F)F ZINC001378671772 961249776 /nfs/dbraw/zinc/24/97/76/961249776.db2.gz BRZSOUNCNQPRFE-JGVFFNPUSA-N 0 2 312.263 0.688 20 0 DCADLN Cn1nc2c(c1C(=O)NCc1nc(O)cc(=O)[nH]1)CSCC2 ZINC001363485564 961256686 /nfs/dbraw/zinc/25/66/86/961256686.db2.gz MBBYBPDXXQFVBG-UHFFFAOYSA-N 0 2 321.362 0.341 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001571113089 961368692 /nfs/dbraw/zinc/36/86/92/961368692.db2.gz RMWVDMJMMNVYOD-MELADBBJSA-N 0 2 311.426 0.630 20 0 DCADLN C[C@H]1[C@@H](CO)CC[N@@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001571116439 961395670 /nfs/dbraw/zinc/39/56/70/961395670.db2.gz CMMQBVKEWOKFPS-DTWKUNHWSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@H]1[C@@H](CO)CC[N@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001571116439 961395687 /nfs/dbraw/zinc/39/56/87/961395687.db2.gz CMMQBVKEWOKFPS-DTWKUNHWSA-N 0 2 313.379 0.411 20 0 DCADLN COCCc1noc(C[N@H+](CCC(=O)[O-])C[C@H]2CCCO2)n1 ZINC001571116571 961399581 /nfs/dbraw/zinc/39/95/81/961399581.db2.gz JDNDXNVEPZMOLO-LLVKDONJSA-N 0 2 313.354 0.714 20 0 DCADLN COCCc1noc(C[N@@H+](CCC(=O)[O-])C[C@H]2CCCO2)n1 ZINC001571116571 961399590 /nfs/dbraw/zinc/39/95/90/961399590.db2.gz JDNDXNVEPZMOLO-LLVKDONJSA-N 0 2 313.354 0.714 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2cccnc2C(=O)[O-])C[C@@H]1C ZINC001571117071 961408224 /nfs/dbraw/zinc/40/82/24/961408224.db2.gz UUHQZBFTEMYZCL-JTQLQIEISA-N 0 2 313.379 0.495 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2cccnc2C(=O)[O-])C[C@@H]1C ZINC001571117071 961408232 /nfs/dbraw/zinc/40/82/32/961408232.db2.gz UUHQZBFTEMYZCL-JTQLQIEISA-N 0 2 313.379 0.495 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCCc2cccnc21 ZINC001571123483 961469309 /nfs/dbraw/zinc/46/93/09/961469309.db2.gz QLLUGTFGBBKWCG-CHWSQXEVSA-N 0 2 314.345 0.968 20 0 DCADLN C[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)c1ccncc1 ZINC001363637408 961600712 /nfs/dbraw/zinc/60/07/12/961600712.db2.gz YUEYUYBKRBZLPV-VIFPVBQESA-N 0 2 323.378 0.164 20 0 DCADLN CC1(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC[NH+](Cc2ncccn2)CC1 ZINC001571138372 961637797 /nfs/dbraw/zinc/63/77/97/961637797.db2.gz YTNVNTVYGDRZQD-RYUDHWBXSA-N 0 2 318.377 0.668 20 0 DCADLN CC(C)[C@H](C(=O)N[C@H](C)c1nn(C)cc1O)N1CCCC1=O ZINC001363660242 961647800 /nfs/dbraw/zinc/64/78/00/961647800.db2.gz FTGUBOQYTQCPKM-QMTHXVAHSA-N 0 2 308.382 0.950 20 0 DCADLN CCOC(=O)c1nnc(C[NH2+]Cc2cncc(OC)c2C)[n-]1 ZINC001363674131 961672587 /nfs/dbraw/zinc/67/25/87/961672587.db2.gz NBJOGGDFCZTWCJ-UHFFFAOYSA-N 0 2 305.338 0.983 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)NC(C)(C)C(=O)[O-] ZINC001588843302 961844455 /nfs/dbraw/zinc/84/44/55/961844455.db2.gz JOMCTSQTHVNJIE-LLVKDONJSA-N 0 2 324.381 0.463 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)NC(C)(C)C(=O)[O-] ZINC001588843302 961844473 /nfs/dbraw/zinc/84/44/73/961844473.db2.gz JOMCTSQTHVNJIE-LLVKDONJSA-N 0 2 324.381 0.463 20 0 DCADLN CC1(NC(=O)[C@H]2C[C@H]2C(=O)[O-])CC[NH+](Cc2cnns2)CC1 ZINC001571164532 961976529 /nfs/dbraw/zinc/97/65/29/961976529.db2.gz AMDDSCCGWDHXII-WDEREUQCSA-N 0 2 324.406 0.730 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1CCCS(=O)(=O)C1)c1nn(C)cc1O ZINC001363839024 961993403 /nfs/dbraw/zinc/99/34/03/961993403.db2.gz OCEZKGDFGZSNDO-UWVGGRQHSA-N 0 2 315.395 0.518 20 0 DCADLN CCOC(=O)c1n[nH]c(NC(=O)CCc2nn[nH]n2)c1C1CC1 ZINC001363854143 962023510 /nfs/dbraw/zinc/02/35/10/962023510.db2.gz NECIKQOCAJPNHL-UHFFFAOYSA-N 0 2 319.325 0.548 20 0 DCADLN CCOC(=O)c1[nH]nc(NC(=O)CCc2nn[nH]n2)c1C1CC1 ZINC001363854143 962023528 /nfs/dbraw/zinc/02/35/28/962023528.db2.gz NECIKQOCAJPNHL-UHFFFAOYSA-N 0 2 319.325 0.548 20 0 DCADLN CC(C)OC(=O)C(C)(C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001363896304 962098411 /nfs/dbraw/zinc/09/84/11/962098411.db2.gz KEVNPMKNACSWCT-SSDOTTSWSA-N 0 2 301.368 0.389 20 0 DCADLN O=C([O-])C1=NO[C@@H](C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)C1 ZINC001574640341 962342416 /nfs/dbraw/zinc/34/24/16/962342416.db2.gz PRZUJFJHBDNSKM-ZYHUDNBSSA-N 0 2 305.290 0.291 20 0 DCADLN O=C(Cc1ccccc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001379979338 962441123 /nfs/dbraw/zinc/44/11/23/962441123.db2.gz OPEWWGAQNDVQSQ-LBPRGKRZSA-N 0 2 301.350 0.444 20 0 DCADLN CC[C@H](F)C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001380024094 962518416 /nfs/dbraw/zinc/51/84/16/962518416.db2.gz WBPBHMPMHDIAQH-NEPJUHHUSA-N 0 2 321.356 0.461 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)N[C@H](Cc1ccccc1)C(=O)[O-] ZINC001353897781 962669009 /nfs/dbraw/zinc/66/90/09/962669009.db2.gz YSJUWXHLOVMKIA-IJVDHGTGSA-N 0 2 318.373 0.687 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)CC(C)(C)O)C1 ZINC001379369451 962749693 /nfs/dbraw/zinc/74/96/93/962749693.db2.gz PRLHROCQYQERLJ-VIFPVBQESA-N 0 2 314.279 0.717 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)CC(C)(C)O)C1 ZINC001379369451 962749702 /nfs/dbraw/zinc/74/97/02/962749702.db2.gz PRLHROCQYQERLJ-VIFPVBQESA-N 0 2 314.279 0.717 20 0 DCADLN CC(C)N(C)C(=O)C[NH2+]C[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001379377250 962773670 /nfs/dbraw/zinc/77/36/70/962773670.db2.gz WQFODYYLXOLWDP-GFCCVEGCSA-N 0 2 309.414 0.303 20 0 DCADLN Cc1cccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1O ZINC001364287133 962822401 /nfs/dbraw/zinc/82/24/01/962822401.db2.gz XEIOKALGBSGCEY-UHFFFAOYSA-N 0 2 324.362 0.293 20 0 DCADLN C[C@H]1OC(=O)N[C@H]1C(=O)Nc1nccc(Br)c1O ZINC001364309997 962871980 /nfs/dbraw/zinc/87/19/80/962871980.db2.gz ZROQNYVJCXHZAN-INEUFUBQSA-N 0 2 316.111 0.985 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccnn1C ZINC001380756990 963559998 /nfs/dbraw/zinc/55/99/98/963559998.db2.gz DFPBLPYOTGXACW-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ccnn1C ZINC001380756990 963560007 /nfs/dbraw/zinc/56/00/07/963560007.db2.gz DFPBLPYOTGXACW-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN CN(C)c1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC000314091526 963709673 /nfs/dbraw/zinc/70/96/73/963709673.db2.gz HHBWCEOQNGRFRU-NSHDSACASA-N 0 2 303.322 0.296 20 0 DCADLN CN(C)c1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC000314091526 963709674 /nfs/dbraw/zinc/70/96/74/963709674.db2.gz HHBWCEOQNGRFRU-NSHDSACASA-N 0 2 303.322 0.296 20 0 DCADLN CCc1nnc(C[NH2+][C@H](C)[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001368849147 964020015 /nfs/dbraw/zinc/02/00/15/964020015.db2.gz WJZCESUGGDFSOL-SFYZADRCSA-N 0 2 322.369 0.666 20 0 DCADLN CCn1cc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001373628891 964389887 /nfs/dbraw/zinc/38/98/87/964389887.db2.gz XQTKZHKVNOERPJ-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1cc(CN2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001373628891 964389894 /nfs/dbraw/zinc/38/98/94/964389894.db2.gz XQTKZHKVNOERPJ-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN CCN(C(=O)C[C@@H]1CCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373705326 964500917 /nfs/dbraw/zinc/50/09/17/964500917.db2.gz VPZXTBMLEKNDKO-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)C[C@@H]1CCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373705326 964500924 /nfs/dbraw/zinc/50/09/24/964500924.db2.gz VPZXTBMLEKNDKO-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+]Cc1cnsn1 ZINC001373744597 964563310 /nfs/dbraw/zinc/56/33/10/964563310.db2.gz VMFNDBRQPSFPIJ-QMMMGPOBSA-N 0 2 310.383 0.573 20 0 DCADLN O=C(NC[C@H](CO)NCc1csnn1)C(F)C(F)(F)F ZINC001369327980 964749467 /nfs/dbraw/zinc/74/94/67/964749467.db2.gz UPDZQIINNCTVHE-IYSWYEEDSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@H](CO)NCc1csnn1)[C@@H](F)C(F)(F)F ZINC001369327980 964749492 /nfs/dbraw/zinc/74/94/92/964749492.db2.gz UPDZQIINNCTVHE-IYSWYEEDSA-N 0 2 316.280 0.005 20 0 DCADLN Cn1ncnc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001374086457 964996032 /nfs/dbraw/zinc/99/60/32/964996032.db2.gz XZNZBCMWUCUUEV-SECBINFHSA-N 0 2 309.267 0.360 20 0 DCADLN Cn1ncnc1CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001374086457 964996045 /nfs/dbraw/zinc/99/60/45/964996045.db2.gz XZNZBCMWUCUUEV-SECBINFHSA-N 0 2 309.267 0.360 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC[C@@H](F)C1 ZINC001374492584 965447446 /nfs/dbraw/zinc/44/74/46/965447446.db2.gz LAIKFTWLOJMYDJ-GHMZBOCLSA-N 0 2 313.377 0.929 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC[C@@H](F)C1 ZINC001374492584 965447456 /nfs/dbraw/zinc/44/74/56/965447456.db2.gz LAIKFTWLOJMYDJ-GHMZBOCLSA-N 0 2 313.377 0.929 20 0 DCADLN CCc1noc([C@@H](C)[NH2+]CC[C@@H](C)NC(=O)c2nnc[nH]2)n1 ZINC001374709602 965791573 /nfs/dbraw/zinc/79/15/73/965791573.db2.gz XBYFDPXKUFGVRI-RKDXNWHRSA-N 0 2 307.358 0.609 20 0 DCADLN Cc1noc([C@@H](C)[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001374875159 966071553 /nfs/dbraw/zinc/07/15/53/966071553.db2.gz DZBAJGHGBDKLDB-POYBYMJQSA-N 0 2 308.342 0.584 20 0 DCADLN CC(C)(F)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370634232 966307004 /nfs/dbraw/zinc/30/70/04/966307004.db2.gz GQGGNYUXCXVVJY-PHDIDXHHSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001370634232 966307012 /nfs/dbraw/zinc/30/70/12/966307012.db2.gz GQGGNYUXCXVVJY-PHDIDXHHSA-N 0 2 306.231 0.228 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001370712700 966406685 /nfs/dbraw/zinc/40/66/85/966406685.db2.gz OKWVLRQJZIGBBJ-RKDXNWHRSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CCCC1)[C@@H](F)C(F)(F)F ZINC001370712700 966406690 /nfs/dbraw/zinc/40/66/90/966406690.db2.gz OKWVLRQJZIGBBJ-RKDXNWHRSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CC1)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001371464222 967013256 /nfs/dbraw/zinc/01/32/56/967013256.db2.gz NDEQQQNTFYRJOZ-MRTMQBJTSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(CC1CC1)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001371464222 967013266 /nfs/dbraw/zinc/01/32/66/967013266.db2.gz NDEQQQNTFYRJOZ-MRTMQBJTSA-N 0 2 312.263 0.375 20 0 DCADLN C[N@H+](CCNC(=O)c1cc(C(F)F)n[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001372103772 967648817 /nfs/dbraw/zinc/64/88/17/967648817.db2.gz CTGBYGQHTOIRMR-UHFFFAOYSA-N 0 2 315.284 0.033 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc(C(F)F)n[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001372103772 967648821 /nfs/dbraw/zinc/64/88/21/967648821.db2.gz CTGBYGQHTOIRMR-UHFFFAOYSA-N 0 2 315.284 0.033 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H]2CCN(C(=O)C[N@@H+](C)C(C)C)C2)c1[O-] ZINC001372236979 967777630 /nfs/dbraw/zinc/77/76/30/967777630.db2.gz GMEWXWDEFADTEW-NSHDSACASA-N 0 2 323.397 0.095 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H]2CCN(C(=O)C[N@H+](C)C(C)C)C2)c1[O-] ZINC001372236979 967777634 /nfs/dbraw/zinc/77/76/34/967777634.db2.gz GMEWXWDEFADTEW-NSHDSACASA-N 0 2 323.397 0.095 20 0 DCADLN O=C([O-])CSCC(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000382521448 968099216 /nfs/dbraw/zinc/09/92/16/968099216.db2.gz QTWPQQFVXCLKMR-LLVKDONJSA-N 0 2 302.396 0.127 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)NC(=O)C(F)C(F)(F)F ZINC001372749195 968320796 /nfs/dbraw/zinc/32/07/96/968320796.db2.gz AXLDLLWDUGAJTA-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001372749195 968320807 /nfs/dbraw/zinc/32/08/07/968320807.db2.gz AXLDLLWDUGAJTA-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H]1CC[C@H](C)N1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001372758123 968332042 /nfs/dbraw/zinc/33/20/42/968332042.db2.gz HASKVNZXTKYHCF-IUCAKERBSA-N 0 2 315.399 0.432 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1csnn1 ZINC001372901502 968514531 /nfs/dbraw/zinc/51/45/31/968514531.db2.gz GWQVIXBTWMVZIB-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1csnn1 ZINC001372901502 968514538 /nfs/dbraw/zinc/51/45/38/968514538.db2.gz GWQVIXBTWMVZIB-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)CNC(=O)C[N@@H+]2CC[C@@H](C)C2)c1[O-] ZINC001373120989 968731259 /nfs/dbraw/zinc/73/12/59/968731259.db2.gz VRRVEKSODYFBBA-NXEZZACHSA-N 0 2 323.397 0.000 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)CNC(=O)C[N@H+]2CC[C@@H](C)C2)c1[O-] ZINC001373120989 968731268 /nfs/dbraw/zinc/73/12/68/968731268.db2.gz VRRVEKSODYFBBA-NXEZZACHSA-N 0 2 323.397 0.000 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001373283403 968894504 /nfs/dbraw/zinc/89/45/04/968894504.db2.gz FVNPCHTVMOGLAI-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001373283403 968894517 /nfs/dbraw/zinc/89/45/17/968894517.db2.gz FVNPCHTVMOGLAI-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)CNC(=O)C(F)C(F)(F)F ZINC001430973877 1013837663 /nfs/dbraw/zinc/83/76/63/1013837663.db2.gz XSGWJGGFQJXDCC-SCZZXKLOSA-N 0 2 324.278 0.652 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001430973877 1013837667 /nfs/dbraw/zinc/83/76/67/1013837667.db2.gz XSGWJGGFQJXDCC-SCZZXKLOSA-N 0 2 324.278 0.652 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nnn(C)n2)C1 ZINC001448287217 1013860198 /nfs/dbraw/zinc/86/01/98/1013860198.db2.gz HBBDLFPYBUTNCQ-HZGVNTEJSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2nnn(C)n2)C1 ZINC001448287217 1013860204 /nfs/dbraw/zinc/86/02/04/1013860204.db2.gz HBBDLFPYBUTNCQ-HZGVNTEJSA-N 0 2 324.282 0.047 20 0 DCADLN CCO[C@H](C)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001431146112 1013921933 /nfs/dbraw/zinc/92/19/33/1013921933.db2.gz VTPGDYBPOUTJDX-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN CCO[C@H](C)C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001431146112 1013921937 /nfs/dbraw/zinc/92/19/37/1013921937.db2.gz VTPGDYBPOUTJDX-SFYZADRCSA-N 0 2 302.268 0.886 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NC(=O)NC2CCCC2)[C@@H](C(=O)[O-])C1 ZINC000319124124 970505726 /nfs/dbraw/zinc/50/57/26/970505726.db2.gz DFYKOQBEMMUUJO-CMPLNLGQSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NC(=O)NC2CCCC2)[C@@H](C(=O)[O-])C1 ZINC000319124124 970505734 /nfs/dbraw/zinc/50/57/34/970505734.db2.gz DFYKOQBEMMUUJO-CMPLNLGQSA-N 0 2 311.382 0.940 20 0 DCADLN Cc1cccc2nc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cn21 ZINC000320651349 970692341 /nfs/dbraw/zinc/69/23/41/970692341.db2.gz KBQYFIOTLCPQKK-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1cccc2nc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cn21 ZINC000320651349 970692350 /nfs/dbraw/zinc/69/23/50/970692350.db2.gz KBQYFIOTLCPQKK-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)cnn1 ZINC001448808492 1014049930 /nfs/dbraw/zinc/04/99/30/1014049930.db2.gz IKLCXFUKJHYGFN-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)cnn1 ZINC001448808492 1014049933 /nfs/dbraw/zinc/04/99/33/1014049933.db2.gz IKLCXFUKJHYGFN-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N(C)C[C@@H](C)C(=O)[O-])cc(C)[nH+]1 ZINC001605173341 972883607 /nfs/dbraw/zinc/88/36/07/972883607.db2.gz YHOBGUCEAJSGGV-SECBINFHSA-N 0 2 307.350 0.494 20 0 DCADLN C[C@@H]1C[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CC[C@H](C(F)(F)F)O1 ZINC001603461236 973300027 /nfs/dbraw/zinc/30/00/27/973300027.db2.gz NKKCDKOJQPNWDG-OPRDCNLKSA-N 0 2 324.299 0.714 20 0 DCADLN C[C@@H]1C[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CC[C@H](C(F)(F)F)O1 ZINC001603461236 973300034 /nfs/dbraw/zinc/30/00/34/973300034.db2.gz NKKCDKOJQPNWDG-OPRDCNLKSA-N 0 2 324.299 0.714 20 0 DCADLN C[C@@H]1C[N@H+](CCOC(=O)C2(C(=O)[O-])CCSCC2)CCO1 ZINC001603461541 973302840 /nfs/dbraw/zinc/30/28/40/973302840.db2.gz QBVOQDSMUMSAOW-LLVKDONJSA-N 0 2 317.407 0.848 20 0 DCADLN C[C@@H]1C[N@@H+](CCOC(=O)C2(C(=O)[O-])CCSCC2)CCO1 ZINC001603461541 973302846 /nfs/dbraw/zinc/30/28/46/973302846.db2.gz QBVOQDSMUMSAOW-LLVKDONJSA-N 0 2 317.407 0.848 20 0 DCADLN Cn1c[nH+]cc1CCNS(=O)(=O)c1cc(C(=O)[O-])cs1 ZINC000401707839 973378440 /nfs/dbraw/zinc/37/84/40/973378440.db2.gz FXSIIKZPYLANHR-UHFFFAOYSA-N 0 2 315.376 0.701 20 0 DCADLN Cc1nonc1C[NH+]1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001605455469 973545570 /nfs/dbraw/zinc/54/55/70/973545570.db2.gz VRJPUYHPLMIFTQ-SNVBAGLBSA-N 0 2 308.338 0.276 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)[C@H](C(=O)[O-])C3CCCC3)CC[NH2+]2)cn1 ZINC001605488084 973613549 /nfs/dbraw/zinc/61/35/49/973613549.db2.gz CSBCCASUDMOMEH-ZIAGYGMSSA-N 0 2 320.393 0.784 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@H](C(=O)[O-])C3CCCC3)CC[NH2+]2)cn1 ZINC001605488082 973614169 /nfs/dbraw/zinc/61/41/69/973614169.db2.gz CSBCCASUDMOMEH-UONOGXRCSA-N 0 2 320.393 0.784 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)c3ccc(C(=O)[O-])cn3)CC[NH2+]2)cn1 ZINC001605488802 973625872 /nfs/dbraw/zinc/62/58/72/973625872.db2.gz MTQVXUAFMVBJAB-ZDUSSCGKSA-N 0 2 315.333 0.300 20 0 DCADLN COCC[N@H+](CC(=O)[O-])Cc1cn(C)nc1C1CCOCC1 ZINC001604593031 973684138 /nfs/dbraw/zinc/68/41/38/973684138.db2.gz HEQUQPUTSHZGLB-UHFFFAOYSA-N 0 2 311.382 0.847 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])Cc1cn(C)nc1C1CCOCC1 ZINC001604593031 973684147 /nfs/dbraw/zinc/68/41/47/973684147.db2.gz HEQUQPUTSHZGLB-UHFFFAOYSA-N 0 2 311.382 0.847 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001605532932 973719668 /nfs/dbraw/zinc/71/96/68/973719668.db2.gz LRNPDBXPFLRFIM-UHFFFAOYSA-N 0 2 303.322 0.792 20 0 DCADLN CN(C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001604040456 974564085 /nfs/dbraw/zinc/56/40/85/974564085.db2.gz BEVIONPEUMOSSK-SNVBAGLBSA-N 0 2 309.370 0.306 20 0 DCADLN CN(C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001604040456 974564090 /nfs/dbraw/zinc/56/40/90/974564090.db2.gz BEVIONPEUMOSSK-SNVBAGLBSA-N 0 2 309.370 0.306 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001522644233 1014271103 /nfs/dbraw/zinc/27/11/03/1014271103.db2.gz YHZCQDDNADDROQ-CYBMUJFWSA-N 0 2 309.414 0.407 20 0 DCADLN CCc1nc(NC(=O)C[N@@H+]2CC[C@](O)(C(=O)[O-])C2)sc1C ZINC001605853635 1170014109 /nfs/dbraw/zinc/01/41/09/1170014109.db2.gz GJNXCXUKIKRWPK-CYBMUJFWSA-N 0 2 313.379 0.474 20 0 DCADLN CCc1nc(NC(=O)C[N@H+]2CC[C@](O)(C(=O)[O-])C2)sc1C ZINC001605853635 1170014118 /nfs/dbraw/zinc/01/41/18/1170014118.db2.gz GJNXCXUKIKRWPK-CYBMUJFWSA-N 0 2 313.379 0.474 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H](O)C(C)C ZINC001528892821 1014449021 /nfs/dbraw/zinc/44/90/21/1014449021.db2.gz USERSTBKQAWLEZ-BDAKNGLRSA-N 0 2 316.295 0.868 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@H](O)C(C)C ZINC001528892821 1014449027 /nfs/dbraw/zinc/44/90/27/1014449027.db2.gz USERSTBKQAWLEZ-BDAKNGLRSA-N 0 2 316.295 0.868 20 0 DCADLN CCNC(=O)CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001522886232 1014450998 /nfs/dbraw/zinc/45/09/98/1014450998.db2.gz ZPOMGOBZWFCGRL-VIFPVBQESA-N 0 2 315.267 0.036 20 0 DCADLN CCNC(=O)CC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001522886232 1014451005 /nfs/dbraw/zinc/45/10/05/1014451005.db2.gz ZPOMGOBZWFCGRL-VIFPVBQESA-N 0 2 315.267 0.036 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C(=O)[O-])ccn1 ZINC001592623367 978594001 /nfs/dbraw/zinc/59/40/01/978594001.db2.gz HHMGPFYFVVFWBM-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)N[C@@H]1CC=C(C(=O)[O-])C1 ZINC001592625014 978603300 /nfs/dbraw/zinc/60/33/00/978603300.db2.gz UQVCMIXNYMJSDN-WCQYABFASA-N 0 2 311.382 0.522 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1cc(C(=O)[O-])co1 ZINC001592625146 978603870 /nfs/dbraw/zinc/60/38/70/978603870.db2.gz XILNWCIELBQGPR-SNVBAGLBSA-N 0 2 311.338 0.498 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC001592930303 979967265 /nfs/dbraw/zinc/96/72/65/979967265.db2.gz IZQBRQMZFHCDJK-TYNCELHUSA-N 0 2 322.365 0.404 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC001592931496 979983036 /nfs/dbraw/zinc/98/30/36/979983036.db2.gz XCIDLPMFCAXYPR-VIFPVBQESA-N 0 2 310.335 0.343 20 0 DCADLN CCC1(O)CC[NH+](Cn2nc(C(=O)OC)cc2C(=O)[O-])CC1 ZINC001595396086 980959700 /nfs/dbraw/zinc/95/97/00/980959700.db2.gz PBWMUSIWIDOLCT-UHFFFAOYSA-N 0 2 311.338 0.562 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNc1ncnc(C(=O)[O-])c1OC ZINC001595499678 981266128 /nfs/dbraw/zinc/26/61/28/981266128.db2.gz ATZMZALDXIEYQJ-JTQLQIEISA-N 0 2 310.354 0.706 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNc1ncnc(C(=O)[O-])c1OC ZINC001595499678 981266132 /nfs/dbraw/zinc/26/61/32/981266132.db2.gz ATZMZALDXIEYQJ-JTQLQIEISA-N 0 2 310.354 0.706 20 0 DCADLN CCC(C)(C)NC(=O)C[N@H+](C)CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001594806160 981912300 /nfs/dbraw/zinc/91/23/00/981912300.db2.gz VLSLSFPGMKQHEG-QWRGUYRKSA-N 0 2 313.398 0.060 20 0 DCADLN CCC(C)(C)NC(=O)C[N@@H+](C)CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001594806160 981912302 /nfs/dbraw/zinc/91/23/02/981912302.db2.gz VLSLSFPGMKQHEG-QWRGUYRKSA-N 0 2 313.398 0.060 20 0 DCADLN CC[C@H](C)NC(=O)CN1CC[NH+](Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC001594989800 982846735 /nfs/dbraw/zinc/84/67/35/982846735.db2.gz TYLDWGKVQDFGNC-LBPRGKRZSA-N 0 2 322.409 0.745 20 0 DCADLN CCCNC(=O)CCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001595922835 983042670 /nfs/dbraw/zinc/04/26/70/983042670.db2.gz STSTZDSOOYWIHR-NSHDSACASA-N 0 2 310.354 0.218 20 0 DCADLN CCCNC(=O)CCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001595922835 983042677 /nfs/dbraw/zinc/04/26/77/983042677.db2.gz STSTZDSOOYWIHR-NSHDSACASA-N 0 2 310.354 0.218 20 0 DCADLN CCN(CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])C(=O)CCc1c[nH]c[nH+]1 ZINC001596332110 983769534 /nfs/dbraw/zinc/76/95/34/983769534.db2.gz GRMZSGGRURQOCR-NEPJUHHUSA-N 0 2 322.365 0.028 20 0 DCADLN CCN(CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])C(=O)CCc1c[nH+]c[nH]1 ZINC001596332110 983769539 /nfs/dbraw/zinc/76/95/39/983769539.db2.gz GRMZSGGRURQOCR-NEPJUHHUSA-N 0 2 322.365 0.028 20 0 DCADLN CCN(CC[NH2+]Cc1nnc(C2CC2)o1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001596339036 983782655 /nfs/dbraw/zinc/78/26/55/983782655.db2.gz CHGCCECWONYPEA-QWRGUYRKSA-N 0 2 322.365 0.606 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)N(C)C(=O)C(F)C(F)(F)F ZINC001383128265 984687929 /nfs/dbraw/zinc/68/79/29/984687929.db2.gz LMZBJKLWJQPQAM-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1ccnnc1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001383128265 984687937 /nfs/dbraw/zinc/68/79/37/984687937.db2.gz LMZBJKLWJQPQAM-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNc1ncc(C(=O)[O-])cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001599890676 984690174 /nfs/dbraw/zinc/69/01/74/984690174.db2.gz BQYKHDFOJZFOOM-MRVPVSSYSA-N 0 2 304.310 0.057 20 0 DCADLN CC(C)C(=O)N1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001383166191 984707895 /nfs/dbraw/zinc/70/78/95/984707895.db2.gz NUXXPBOUSUCVLG-MRVPVSSYSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)N1CC(CCO)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001383166191 984707897 /nfs/dbraw/zinc/70/78/97/984707897.db2.gz NUXXPBOUSUCVLG-MRVPVSSYSA-N 0 2 314.279 0.622 20 0 DCADLN C[C@H]1C[N@H+](Cc2ccc(S(N)(=O)=O)cc2)C[C@@H](C(=O)[O-])O1 ZINC001599929331 985278801 /nfs/dbraw/zinc/27/88/01/985278801.db2.gz JTGUXDIQIKJIGI-CABZTGNLSA-N 0 2 314.363 0.008 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccc(S(N)(=O)=O)cc2)C[C@@H](C(=O)[O-])O1 ZINC001599929331 985278805 /nfs/dbraw/zinc/27/88/05/985278805.db2.gz JTGUXDIQIKJIGI-CABZTGNLSA-N 0 2 314.363 0.008 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H](C)n2cccn2)n[nH]1 ZINC001551662445 1015167641 /nfs/dbraw/zinc/16/76/41/1015167641.db2.gz FKTMQAGEOVJOTF-CYZMBNFOSA-N 0 2 318.381 0.902 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2cnsn2)n[nH]1 ZINC001551661857 1015167837 /nfs/dbraw/zinc/16/78/37/1015167837.db2.gz DFNUBEIKZGWFSY-WCBMZHEXSA-N 0 2 308.367 0.609 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2csnn2)n[nH]1 ZINC001551669395 1015171067 /nfs/dbraw/zinc/17/10/67/1015171067.db2.gz SMKSJSXCBULOMI-PSASIEDQSA-N 0 2 308.367 0.609 20 0 DCADLN Cc1cc(CC(=O)N(C)C[C@@H]2OCC[C@H]2c2n[nH]c(C)n2)no1 ZINC001551676303 1015176524 /nfs/dbraw/zinc/17/65/24/1015176524.db2.gz SRCVZBBENJIZBN-OLZOCXBDSA-N 0 2 319.365 0.983 20 0 DCADLN C[C@H]1CN(CCC(=O)[O-])CC[N@@H+]1CC1CCS(=O)(=O)CC1 ZINC001594521342 985990764 /nfs/dbraw/zinc/99/07/64/985990764.db2.gz DTENTDJINUCYAO-LBPRGKRZSA-N 0 2 318.439 0.292 20 0 DCADLN C[C@H]1CN(CCC(=O)[O-])CC[N@H+]1CC1CCS(=O)(=O)CC1 ZINC001594521342 985990772 /nfs/dbraw/zinc/99/07/72/985990772.db2.gz DTENTDJINUCYAO-LBPRGKRZSA-N 0 2 318.439 0.292 20 0 DCADLN CCOCCNC(=O)C[N@@H+]1CCCC[C@]1(COC)C(=O)[O-] ZINC001596929779 986023132 /nfs/dbraw/zinc/02/31/32/986023132.db2.gz WZCHIOYVHFSCBR-AWEZNQCLSA-N 0 2 302.371 0.095 20 0 DCADLN CCOCCNC(=O)C[N@H+]1CCCC[C@]1(COC)C(=O)[O-] ZINC001596929779 986023138 /nfs/dbraw/zinc/02/31/38/986023138.db2.gz WZCHIOYVHFSCBR-AWEZNQCLSA-N 0 2 302.371 0.095 20 0 DCADLN C[C@H]1C[N@H+](Cc2cnc(C(=O)[O-])cn2)CC2(CCOCC2)O1 ZINC001594547344 986224482 /nfs/dbraw/zinc/22/44/82/986224482.db2.gz IWVKBPZCERXOTA-NSHDSACASA-N 0 2 307.350 0.945 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cnc(C(=O)[O-])cn2)CC2(CCOCC2)O1 ZINC001594547344 986224499 /nfs/dbraw/zinc/22/44/99/986224499.db2.gz IWVKBPZCERXOTA-NSHDSACASA-N 0 2 307.350 0.945 20 0 DCADLN C[C@H](C(=O)NC1CC1)N1CC[NH+](Cc2occc2C(=O)[O-])CC1 ZINC001589398105 986547712 /nfs/dbraw/zinc/54/77/12/986547712.db2.gz IXZSDPPAUCZXQX-LLVKDONJSA-N 0 2 321.377 0.763 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCCO3)C[C@H]21)C(F)C(F)(F)F ZINC001526199409 1015229805 /nfs/dbraw/zinc/22/98/05/1015229805.db2.gz WCJHFDQOOWYVOH-KGDYZURWSA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCCO3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001526199409 1015229813 /nfs/dbraw/zinc/22/98/13/1015229813.db2.gz WCJHFDQOOWYVOH-KGDYZURWSA-N 0 2 324.274 0.639 20 0 DCADLN COCC(=O)NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001385826137 986613184 /nfs/dbraw/zinc/61/31/84/986613184.db2.gz VNZMNDPUXPIIQJ-VXNVDRBHSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001385826137 986613191 /nfs/dbraw/zinc/61/31/91/986613191.db2.gz VNZMNDPUXPIIQJ-VXNVDRBHSA-N 0 2 300.252 0.544 20 0 DCADLN C[C@H](C(=O)Nc1cccc(CCC(=O)[O-])c1)N1CC[NH2+]CC1=O ZINC001589439652 986777358 /nfs/dbraw/zinc/77/73/58/986777358.db2.gz JZNAOCPUUNNWBH-LLVKDONJSA-N 0 2 319.361 0.463 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386162593 986904350 /nfs/dbraw/zinc/90/43/50/986904350.db2.gz FAIPLUCBEIIRHC-BGZDPUMWSA-N 0 2 314.279 0.933 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001386162593 986904360 /nfs/dbraw/zinc/90/43/60/986904360.db2.gz FAIPLUCBEIIRHC-BGZDPUMWSA-N 0 2 314.279 0.933 20 0 DCADLN C[C@H](CNC(=O)Cc1cnoc1)NC(=O)C(F)C(F)(F)F ZINC001386435020 987147112 /nfs/dbraw/zinc/14/71/12/987147112.db2.gz VOLSHWNJXHXPEK-HZGVNTEJSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@H](CNC(=O)Cc1cnoc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001386435020 987147114 /nfs/dbraw/zinc/14/71/14/987147114.db2.gz VOLSHWNJXHXPEK-HZGVNTEJSA-N 0 2 311.235 0.738 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)CNC(=O)CCc2cnn[nH]2)c1C ZINC001387152398 987664668 /nfs/dbraw/zinc/66/46/68/987664668.db2.gz CCEZPQFOJQLRSK-MRVPVSSYSA-N 0 2 319.369 0.012 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001387490173 987865958 /nfs/dbraw/zinc/86/59/58/987865958.db2.gz RZRXDNPRQCTTDX-VDTYLAMSSA-N 0 2 311.239 0.330 20 0 DCADLN CO[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001388644108 988467247 /nfs/dbraw/zinc/46/72/47/988467247.db2.gz FBLJGYJEIWGKIU-CMPLNLGQSA-N 0 2 311.386 0.214 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C(C1CC1)C1CC1 ZINC001388653831 988478714 /nfs/dbraw/zinc/47/87/14/988478714.db2.gz OYKMTVWSGTXHSQ-LBPRGKRZSA-N 0 2 319.409 0.979 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001389006963 988730656 /nfs/dbraw/zinc/73/06/56/988730656.db2.gz VFIUNMGXSPQLKW-LURJTMIESA-N 0 2 311.239 0.236 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001389006963 988730668 /nfs/dbraw/zinc/73/06/68/988730668.db2.gz VFIUNMGXSPQLKW-LURJTMIESA-N 0 2 311.239 0.236 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001389062249 988777987 /nfs/dbraw/zinc/77/79/87/988777987.db2.gz PQUMZVGHKWGNOE-HTRCEHHLSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccco1 ZINC001389062249 988777995 /nfs/dbraw/zinc/77/79/95/988777995.db2.gz PQUMZVGHKWGNOE-HTRCEHHLSA-N 0 2 312.219 0.387 20 0 DCADLN CCc1noc(C[N@H+](C)CCCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001597748739 989136944 /nfs/dbraw/zinc/13/69/44/989136944.db2.gz QBZWOIDJYJRCPQ-NXEZZACHSA-N 0 2 310.354 0.291 20 0 DCADLN CCc1noc(C[N@@H+](C)CCCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001597748739 989136948 /nfs/dbraw/zinc/13/69/48/989136948.db2.gz QBZWOIDJYJRCPQ-NXEZZACHSA-N 0 2 310.354 0.291 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)F)CC1 ZINC001389368515 989166436 /nfs/dbraw/zinc/16/64/36/989166436.db2.gz VYHKFJKORHMNQX-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001389385143 989186248 /nfs/dbraw/zinc/18/62/48/989186248.db2.gz WULPKGJDMUDZPM-XNCJUZBTSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001389385143 989186252 /nfs/dbraw/zinc/18/62/52/989186252.db2.gz WULPKGJDMUDZPM-XNCJUZBTSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H]1CN(c2nnc([C@H]3C[C@H](O)C[N@@H+]3C)n2C)C[C@H]1CC(=O)[O-] ZINC001599870602 989343600 /nfs/dbraw/zinc/34/36/00/989343600.db2.gz VNPMNXDDXUDKJJ-WISYIIOYSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1CN(c2nnc([C@H]3C[C@H](O)C[N@H+]3C)n2C)C[C@H]1CC(=O)[O-] ZINC001599870602 989343609 /nfs/dbraw/zinc/34/36/09/989343609.db2.gz VNPMNXDDXUDKJJ-WISYIIOYSA-N 0 2 323.397 0.100 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001389737930 989530532 /nfs/dbraw/zinc/53/05/32/989530532.db2.gz ILZYYVJIFWMSTF-XFWSIPNHSA-N 0 2 324.274 0.354 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)[C@@H](F)C(F)(F)F ZINC001389737930 989530539 /nfs/dbraw/zinc/53/05/39/989530539.db2.gz ILZYYVJIFWMSTF-XFWSIPNHSA-N 0 2 324.274 0.354 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001450961727 1015486806 /nfs/dbraw/zinc/48/68/06/1015486806.db2.gz OSUJLOHPVHSXPW-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001450961727 1015486808 /nfs/dbraw/zinc/48/68/08/1015486808.db2.gz OSUJLOHPVHSXPW-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CCn1nncc1C[N@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])C1CC1 ZINC001597975896 990040004 /nfs/dbraw/zinc/04/00/04/990040004.db2.gz NEVSCNFGKGFYDG-STQMWFEESA-N 0 2 321.381 0.099 20 0 DCADLN CCn1nncc1C[N@@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])C1CC1 ZINC001597975896 990040010 /nfs/dbraw/zinc/04/00/10/990040010.db2.gz NEVSCNFGKGFYDG-STQMWFEESA-N 0 2 321.381 0.099 20 0 DCADLN CN(C(=O)C[NH2+][C@H](C(=O)[O-])c1ccc2c(c1)CCO2)C1CC1 ZINC001598025176 990234395 /nfs/dbraw/zinc/23/43/95/990234395.db2.gz OGSLFZZAOGCQON-HNNXBMFYSA-N 0 2 304.346 0.958 20 0 DCADLN Cn1nncc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001391576083 990968558 /nfs/dbraw/zinc/96/85/58/990968558.db2.gz FQCYLCMOCPCRIG-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1nncc1CN1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001391576083 990968563 /nfs/dbraw/zinc/96/85/63/990968563.db2.gz FQCYLCMOCPCRIG-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN CN(C)[S@@](C)(=O)=NC(=O)C[N@@H+]1CC[C@@]2(C(=O)[O-])CCC[C@@H]12 ZINC001598282638 991165769 /nfs/dbraw/zinc/16/57/69/991165769.db2.gz LQDHORRURAHPLU-NDRLBQGXSA-N 0 2 317.411 0.417 20 0 DCADLN CN(C)[S@@](C)(=O)=NC(=O)C[N@H+]1CC[C@@]2(C(=O)[O-])CCC[C@@H]12 ZINC001598282638 991165774 /nfs/dbraw/zinc/16/57/74/991165774.db2.gz LQDHORRURAHPLU-NDRLBQGXSA-N 0 2 317.411 0.417 20 0 DCADLN CN(C)c1ccnc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001598346222 991421470 /nfs/dbraw/zinc/42/14/70/991421470.db2.gz MZWOWWDYHKMRPQ-LBPRGKRZSA-N 0 2 303.322 0.296 20 0 DCADLN CN(C)c1ccnc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001598346222 991421478 /nfs/dbraw/zinc/42/14/78/991421478.db2.gz MZWOWWDYHKMRPQ-LBPRGKRZSA-N 0 2 303.322 0.296 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccncn1)NC(=O)C(F)C(F)(F)F ZINC001451203732 1015641622 /nfs/dbraw/zinc/64/16/22/1015641622.db2.gz RAUYLUKIMFYBJC-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccncn1)NC(=O)[C@H](F)C(F)(F)F ZINC001451203732 1015641628 /nfs/dbraw/zinc/64/16/28/1015641628.db2.gz RAUYLUKIMFYBJC-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[N@H+](CC(=O)NCc1cccnc1)Cc1ccc(C(=O)[O-])cn1 ZINC001598392796 991650121 /nfs/dbraw/zinc/65/01/21/991650121.db2.gz PQLSWONWMVKWHP-UHFFFAOYSA-N 0 2 314.345 0.923 20 0 DCADLN C[N@@H+](CC(=O)NCc1cccnc1)Cc1ccc(C(=O)[O-])cn1 ZINC001598392796 991650126 /nfs/dbraw/zinc/65/01/26/991650126.db2.gz PQLSWONWMVKWHP-UHFFFAOYSA-N 0 2 314.345 0.923 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ncc3c(n2)CCC3)S1 ZINC001451224615 1015652910 /nfs/dbraw/zinc/65/29/10/1015652910.db2.gz APNCKODUTSMPCC-SECBINFHSA-N 0 2 305.363 0.138 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cc(C(=O)[O-])no1 ZINC001598488056 992236861 /nfs/dbraw/zinc/23/68/61/992236861.db2.gz HCLNVRIIDZOQTR-UHFFFAOYSA-N 0 2 311.338 0.556 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cc(C(=O)[O-])no1 ZINC001598488056 992236871 /nfs/dbraw/zinc/23/68/71/992236871.db2.gz HCLNVRIIDZOQTR-UHFFFAOYSA-N 0 2 311.338 0.556 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cscn1 ZINC001392698993 992284531 /nfs/dbraw/zinc/28/45/31/992284531.db2.gz CSVBDIIHRJKMMF-QMMMGPOBSA-N 0 2 310.383 0.146 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cscn1 ZINC001392698993 992284540 /nfs/dbraw/zinc/28/45/40/992284540.db2.gz CSVBDIIHRJKMMF-QMMMGPOBSA-N 0 2 310.383 0.146 20 0 DCADLN O=C(CCc1ccoc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001392826198 992433549 /nfs/dbraw/zinc/43/35/49/992433549.db2.gz PATCXKRUUUYNMY-NSHDSACASA-N 0 2 305.338 0.427 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393124936 992703191 /nfs/dbraw/zinc/70/31/91/992703191.db2.gz TXJWDBNNFSNHSQ-IINYFYTJSA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393124936 992703197 /nfs/dbraw/zinc/70/31/97/992703197.db2.gz TXJWDBNNFSNHSQ-IINYFYTJSA-N 0 2 311.386 0.264 20 0 DCADLN C[N@@H+](Cc1cn(CC(=O)[O-])nn1)C[C@H](O)Cc1ccccc1 ZINC001598533007 992808928 /nfs/dbraw/zinc/80/89/28/992808928.db2.gz JRLXRXNFKYQPDO-CQSZACIVSA-N 0 2 304.350 0.398 20 0 DCADLN C[N@H+](Cc1cn(CC(=O)[O-])nn1)C[C@H](O)Cc1ccccc1 ZINC001598533007 992808936 /nfs/dbraw/zinc/80/89/36/992808936.db2.gz JRLXRXNFKYQPDO-CQSZACIVSA-N 0 2 304.350 0.398 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001393346770 992902251 /nfs/dbraw/zinc/90/22/51/992902251.db2.gz NNCGHEDKQLLMQF-VXNVDRBHSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001393346770 992902258 /nfs/dbraw/zinc/90/22/58/992902258.db2.gz NNCGHEDKQLLMQF-VXNVDRBHSA-N 0 2 314.279 0.765 20 0 DCADLN Cc1cc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)[nH]n1 ZINC001393676670 993172172 /nfs/dbraw/zinc/17/21/72/993172172.db2.gz DXVPQJUXKVDSQJ-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1cc(C(=O)NC2(CNC(=O)[C@@H](F)C(F)(F)F)CC2)[nH]n1 ZINC001393676670 993172176 /nfs/dbraw/zinc/17/21/76/993172176.db2.gz DXVPQJUXKVDSQJ-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN COc1ccc(F)cc1C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001599582543 993570757 /nfs/dbraw/zinc/57/07/57/993570757.db2.gz AGTAPXMKWGBQHR-GFCCVEGCSA-N 0 2 310.325 1.000 20 0 DCADLN COc1ccc(F)cc1C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001599582543 993570766 /nfs/dbraw/zinc/57/07/66/993570766.db2.gz AGTAPXMKWGBQHR-GFCCVEGCSA-N 0 2 310.325 1.000 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001399755632 993740321 /nfs/dbraw/zinc/74/03/21/993740321.db2.gz JRZWQEYBVKBNCS-VHSXEESVSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccccc1 ZINC001399755632 993740327 /nfs/dbraw/zinc/74/03/27/993740327.db2.gz JRZWQEYBVKBNCS-VHSXEESVSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001399755635 993740965 /nfs/dbraw/zinc/74/09/65/993740965.db2.gz JRZWQEYBVKBNCS-ZJUUUORDSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccccc1 ZINC001399755635 993740977 /nfs/dbraw/zinc/74/09/77/993740977.db2.gz JRZWQEYBVKBNCS-ZJUUUORDSA-N 0 2 322.258 0.794 20 0 DCADLN Cc1cc(C[N@@H+]2CCC[C@@H]2CNC(=O)Cc2nnc[nH]2)on1 ZINC001399874732 993867295 /nfs/dbraw/zinc/86/72/95/993867295.db2.gz PPWMOMRHOVFXBZ-LLVKDONJSA-N 0 2 304.354 0.424 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@H]1CCC[N@@H+]1[C@H](C)c1nncn1C ZINC001399902942 993903946 /nfs/dbraw/zinc/90/39/46/993903946.db2.gz MYQDCBJACGHHQM-ZIAGYGMSSA-N 0 2 322.457 0.799 20 0 DCADLN C[N@@H+]1CCc2nc(NC(=O)Cn3cc(C(=O)[O-])cn3)sc2C1 ZINC001598627542 994122133 /nfs/dbraw/zinc/12/21/33/994122133.db2.gz NFCMTTLMEPGCFI-UHFFFAOYSA-N 0 2 321.362 0.664 20 0 DCADLN C[N@H+]1CCc2nc(NC(=O)Cn3cc(C(=O)[O-])cn3)sc2C1 ZINC001598627542 994122137 /nfs/dbraw/zinc/12/21/37/994122137.db2.gz NFCMTTLMEPGCFI-UHFFFAOYSA-N 0 2 321.362 0.664 20 0 DCADLN O=C(NCC1CC(NC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001394906727 994257916 /nfs/dbraw/zinc/25/79/16/994257916.db2.gz ORJFQTSMPAUESW-NPWHJSNTSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NCC1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC001394906727 994257929 /nfs/dbraw/zinc/25/79/29/994257929.db2.gz ORJFQTSMPAUESW-NPWHJSNTSA-N 0 2 324.234 0.595 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc(C(F)F)o1)Cc1n[nH]c(=O)[n-]1 ZINC001492835760 994416103 /nfs/dbraw/zinc/41/61/03/994416103.db2.gz RWZPBHJMXYLNBA-UHFFFAOYSA-N 0 2 315.280 0.903 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc(C(F)F)o1)Cc1n[nH]c(=O)[n-]1 ZINC001492835760 994416110 /nfs/dbraw/zinc/41/61/10/994416110.db2.gz RWZPBHJMXYLNBA-UHFFFAOYSA-N 0 2 315.280 0.903 20 0 DCADLN CC(C)(O)CC(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400410511 994488092 /nfs/dbraw/zinc/48/80/92/994488092.db2.gz SJHOVEHHHSFCCK-VXNVDRBHSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001400410511 994488096 /nfs/dbraw/zinc/48/80/96/994488096.db2.gz SJHOVEHHHSFCCK-VXNVDRBHSA-N 0 2 314.279 0.765 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN1c1ccc(C)c(C(=O)[O-])[nH+]1 ZINC001598671272 994761369 /nfs/dbraw/zinc/76/13/69/994761369.db2.gz OMYDMAXWZALXTQ-SNVBAGLBSA-N 0 2 313.379 0.606 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1(CF)CC1)C(F)C(F)(F)F ZINC001395741433 994956064 /nfs/dbraw/zinc/95/60/64/994956064.db2.gz MGMCKYSZTKBBSW-RNFRBKRXSA-N 0 2 318.242 0.230 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1(CF)CC1)[C@@H](F)C(F)(F)F ZINC001395741433 994956078 /nfs/dbraw/zinc/95/60/78/994956078.db2.gz MGMCKYSZTKBBSW-RNFRBKRXSA-N 0 2 318.242 0.230 20 0 DCADLN C[C@@H](O)c1ncc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])s1 ZINC001593482178 995431854 /nfs/dbraw/zinc/43/18/54/995431854.db2.gz PSSONBIVAYIVIR-PSASIEDQSA-N 0 2 313.379 0.362 20 0 DCADLN C[C@@H](O)c1ncc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])s1 ZINC001593482178 995431858 /nfs/dbraw/zinc/43/18/58/995431858.db2.gz PSSONBIVAYIVIR-PSASIEDQSA-N 0 2 313.379 0.362 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)Cc1[nH]c[nH+]c1C ZINC001598764957 995820139 /nfs/dbraw/zinc/82/01/39/995820139.db2.gz GGRCATUUHXOYDR-ONGXEEELSA-N 0 2 309.322 0.125 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001598768116 995855766 /nfs/dbraw/zinc/85/57/66/995855766.db2.gz PNBJDHSGNKOTTR-XNDJQWLSSA-N 0 2 321.333 0.378 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC001397256269 995891053 /nfs/dbraw/zinc/89/10/53/995891053.db2.gz GEUYGYFPXQCGJY-KXUCPTDWSA-N 0 2 324.274 0.997 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC001397256269 995891056 /nfs/dbraw/zinc/89/10/56/995891056.db2.gz GEUYGYFPXQCGJY-KXUCPTDWSA-N 0 2 324.274 0.997 20 0 DCADLN CC[C@H]1COCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001465190409 1015986163 /nfs/dbraw/zinc/98/61/63/1015986163.db2.gz UITIZCHDGMRGKJ-NSHDSACASA-N 0 2 318.333 0.517 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])C[N@@H+]1CCn1ccccc1=O ZINC001598776796 995938484 /nfs/dbraw/zinc/93/84/84/995938484.db2.gz KNNNUONLPHDCRN-NWDGAFQWSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1CCn1ccccc1=O ZINC001598776796 995938489 /nfs/dbraw/zinc/93/84/89/995938489.db2.gz KNNNUONLPHDCRN-NWDGAFQWSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])[N@H+](CC(=O)NC(C)(C)C)C1 ZINC001598779891 995972255 /nfs/dbraw/zinc/97/22/55/995972255.db2.gz QIBQDPDKDUSEEV-NXEZZACHSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])[N@@H+](CC(=O)NC(C)(C)C)C1 ZINC001598779891 995972259 /nfs/dbraw/zinc/97/22/59/995972259.db2.gz QIBQDPDKDUSEEV-NXEZZACHSA-N 0 2 300.355 0.239 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)cnn1 ZINC001397419280 995983812 /nfs/dbraw/zinc/98/38/12/995983812.db2.gz WMEVELMTOHRSIS-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)cnn1 ZINC001397419280 995983818 /nfs/dbraw/zinc/98/38/18/995983818.db2.gz WMEVELMTOHRSIS-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN COCC(=O)N1CCC[C@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001397703715 996139146 /nfs/dbraw/zinc/13/91/46/996139146.db2.gz RRSIILFWFYLENZ-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N1CCC[C@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001397703715 996139149 /nfs/dbraw/zinc/13/91/49/996139149.db2.gz RRSIILFWFYLENZ-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)C[C@@H]1C(=O)[O-] ZINC001598818372 996296326 /nfs/dbraw/zinc/29/63/26/996296326.db2.gz QHWJHUUQKYVUKN-VHSXEESVSA-N 0 2 317.301 0.509 20 0 DCADLN CN(CCNC(=O)Cc1c[nH]c[nH+]1)C(=O)[C@@H]1CCCC[N@@H+]1C ZINC001398060287 996339836 /nfs/dbraw/zinc/33/98/36/996339836.db2.gz RNGCRRVUITUWTC-ZDUSSCGKSA-N 0 2 307.398 0.011 20 0 DCADLN O=C(NC1CN(C(=O)c2ccns2)C1)C(F)C(F)(F)F ZINC001398605408 996600046 /nfs/dbraw/zinc/60/00/46/996600046.db2.gz NILGRCDHLYZVLC-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)c2ccns2)C1)[C@@H](F)C(F)(F)F ZINC001398605408 996600048 /nfs/dbraw/zinc/60/00/48/996600048.db2.gz NILGRCDHLYZVLC-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccncc1 ZINC001404171447 996779816 /nfs/dbraw/zinc/77/98/16/996779816.db2.gz CMJKZRHZIBSRQH-UHFFFAOYSA-N 0 2 302.338 0.300 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccncc1 ZINC001404171447 996779820 /nfs/dbraw/zinc/77/98/20/996779820.db2.gz CMJKZRHZIBSRQH-UHFFFAOYSA-N 0 2 302.338 0.300 20 0 DCADLN CN(CC(C)(C)CNC(=O)c1cn[nH]n1)C(=O)c1ccn[nH]1 ZINC001404237585 996849208 /nfs/dbraw/zinc/84/92/08/996849208.db2.gz BHSSWQMOHQZJOG-UHFFFAOYSA-N 0 2 305.342 0.056 20 0 DCADLN CN(CC(C)(C)CNC(=O)c1cnn[nH]1)C(=O)c1cc[nH]n1 ZINC001404237585 996849220 /nfs/dbraw/zinc/84/92/20/996849220.db2.gz BHSSWQMOHQZJOG-UHFFFAOYSA-N 0 2 305.342 0.056 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N[C@H]2CC=C(C(=O)[O-])C2)CCO1 ZINC001593939542 996931487 /nfs/dbraw/zinc/93/14/87/996931487.db2.gz NTRKPMGPTOPLIW-LBPRGKRZSA-N 0 2 311.382 0.570 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N[C@H]2CC=C(C(=O)[O-])C2)CCO1 ZINC001593939542 996931497 /nfs/dbraw/zinc/93/14/97/996931497.db2.gz NTRKPMGPTOPLIW-LBPRGKRZSA-N 0 2 311.382 0.570 20 0 DCADLN CC1(C)C[N@H+](Cc2cnc(C(=O)[O-])cn2)C[C@]2(CCOC2)O1 ZINC001593945055 996984978 /nfs/dbraw/zinc/98/49/78/996984978.db2.gz NSOKBLZEPDWFNI-HNNXBMFYSA-N 0 2 307.350 0.945 20 0 DCADLN CC1(C)C[N@@H+](Cc2cnc(C(=O)[O-])cn2)C[C@]2(CCOC2)O1 ZINC001593945055 996984992 /nfs/dbraw/zinc/98/49/92/996984992.db2.gz NSOKBLZEPDWFNI-HNNXBMFYSA-N 0 2 307.350 0.945 20 0 DCADLN O=C(N[C@H](CO)[C@@H]1CCOC1)C1=NN(c2ccccc2)CC1=O ZINC001466515100 1016084372 /nfs/dbraw/zinc/08/43/72/1016084372.db2.gz IBZKKYKTOLOKFV-DGCLKSJQSA-N 0 2 317.345 0.705 20 0 DCADLN O=C(N[C@@H](CO)[C@@H]1CCOC1)C1=NN(c2ccccc2)CC1=O ZINC001466515104 1016084683 /nfs/dbraw/zinc/08/46/83/1016084683.db2.gz IBZKKYKTOLOKFV-YPMHNXCESA-N 0 2 317.345 0.705 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001598893417 997034645 /nfs/dbraw/zinc/03/46/45/997034645.db2.gz VYWZFDWEHNIUOL-LLVKDONJSA-N 0 2 323.349 0.285 20 0 DCADLN COc1cc(C[N@@H+]2CCCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)on1 ZINC001599407144 997333548 /nfs/dbraw/zinc/33/35/48/997333548.db2.gz HLZXFTPEVOXOQP-VXGBXAGGSA-N 0 2 323.349 0.438 20 0 DCADLN COc1cc(C[N@H+]2CCCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)on1 ZINC001599407144 997333556 /nfs/dbraw/zinc/33/35/56/997333556.db2.gz HLZXFTPEVOXOQP-VXGBXAGGSA-N 0 2 323.349 0.438 20 0 DCADLN COc1ccc([C@@H](CCO)[NH2+]Cc2cn(CC(=O)[O-])nn2)cc1 ZINC001599514645 997361177 /nfs/dbraw/zinc/36/11/77/997361177.db2.gz CVVDTHSHOPKYOE-CQSZACIVSA-N 0 2 320.349 0.585 20 0 DCADLN COc1ccc([C@@H](NC(=O)CCc2[nH]cc[nH+]2)C(=O)[O-])cc1O ZINC001599516657 997401373 /nfs/dbraw/zinc/40/13/73/997401373.db2.gz USLHZSBPRXDXJQ-CQSZACIVSA-N 0 2 319.317 0.999 20 0 DCADLN C[C@H](C(=O)NC1CC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404762320 997443101 /nfs/dbraw/zinc/44/31/01/997443101.db2.gz BOAMJTRROVRMTF-HZGVNTEJSA-N 0 2 311.279 0.354 20 0 DCADLN C[C@H](C(=O)NC1CC1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404762320 997443110 /nfs/dbraw/zinc/44/31/10/997443110.db2.gz BOAMJTRROVRMTF-HZGVNTEJSA-N 0 2 311.279 0.354 20 0 DCADLN CC[C@H](C)CC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001404769434 997447366 /nfs/dbraw/zinc/44/73/66/997447366.db2.gz LQZPVUIJYNQHBW-NSHDSACASA-N 0 2 317.393 0.759 20 0 DCADLN CS(=O)(=O)CCCN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404779785 997450569 /nfs/dbraw/zinc/45/05/69/997450569.db2.gz XZLWWUNXFJSTHT-MRVPVSSYSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCCN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404779785 997450577 /nfs/dbraw/zinc/45/05/77/997450577.db2.gz XZLWWUNXFJSTHT-MRVPVSSYSA-N 0 2 320.308 0.122 20 0 DCADLN CO[C@@H]1CC[N@H+](CC(=O)N2C[C@@H](C)O[C@@H](C)C2)[C@H](C(=O)[O-])C1 ZINC001599123032 997778124 /nfs/dbraw/zinc/77/81/24/997778124.db2.gz PDVLCPPHIZKNFN-XQHKEYJVSA-N 0 2 314.382 0.186 20 0 DCADLN CO[C@@H]1CC[N@@H+](CC(=O)N2C[C@@H](C)O[C@@H](C)C2)[C@H](C(=O)[O-])C1 ZINC001599123032 997778133 /nfs/dbraw/zinc/77/81/33/997778133.db2.gz PDVLCPPHIZKNFN-XQHKEYJVSA-N 0 2 314.382 0.186 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cccc2nc[nH]c(=O)c21 ZINC001467315157 1016156924 /nfs/dbraw/zinc/15/69/24/1016156924.db2.gz XAODTUCNZXNGRR-UHFFFAOYSA-N 0 2 317.330 0.980 20 0 DCADLN CCN(C(=O)CC1(O)CCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405167099 997879048 /nfs/dbraw/zinc/87/90/48/997879048.db2.gz IVYQDSWYVOMCSC-NSHDSACASA-N 0 2 323.397 0.238 20 0 DCADLN CCN(C(=O)CC1(O)CCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405167099 997879059 /nfs/dbraw/zinc/87/90/59/997879059.db2.gz IVYQDSWYVOMCSC-NSHDSACASA-N 0 2 323.397 0.238 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H](O)C(C)(C)O ZINC001467397392 1016163341 /nfs/dbraw/zinc/16/33/41/1016163341.db2.gz CBEYQNGCTPSFDH-JTQLQIEISA-N 0 2 306.322 0.556 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1C(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC001594137862 998396749 /nfs/dbraw/zinc/39/67/49/998396749.db2.gz YLNVIBHUSDDDEP-NEPJUHHUSA-N 0 2 322.365 0.053 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC001594248696 998947742 /nfs/dbraw/zinc/94/77/42/998947742.db2.gz BWRBUGDXDZFDLG-NWDGAFQWSA-N 0 2 319.361 0.715 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CC=C(C(=O)[O-])C1 ZINC001594250742 998962713 /nfs/dbraw/zinc/96/27/13/998962713.db2.gz OOHDMMYTPKQBQJ-MRVWCRGKSA-N 0 2 323.393 0.664 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cncc(C(=O)[O-])c1 ZINC001594251345 998967531 /nfs/dbraw/zinc/96/75/31/998967531.db2.gz UXJXEAOUBBOEDU-RISCZKNCSA-N 0 2 319.361 0.715 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)C[N@@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001594365245 999379643 /nfs/dbraw/zinc/37/96/43/999379643.db2.gz DTYLBHFDFOREBH-WQGACYEGSA-N 0 2 323.393 0.987 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)C[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001594365245 999379646 /nfs/dbraw/zinc/37/96/46/999379646.db2.gz DTYLBHFDFOREBH-WQGACYEGSA-N 0 2 323.393 0.987 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NCC(=O)NC(C)(C)C)[C@@H](C(=O)[O-])C1 ZINC001594415214 999554052 /nfs/dbraw/zinc/55/40/52/999554052.db2.gz YGZMPPSRRQCCGN-GHMZBOCLSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)[C@@H](C(=O)[O-])C1 ZINC001594415214 999554054 /nfs/dbraw/zinc/55/40/54/999554054.db2.gz YGZMPPSRRQCCGN-GHMZBOCLSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418483733 1000271187 /nfs/dbraw/zinc/27/11/87/1000271187.db2.gz XXSRSMALHWEQNE-SSDOTTSWSA-N 0 2 309.292 0.647 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418483733 1000271191 /nfs/dbraw/zinc/27/11/91/1000271191.db2.gz XXSRSMALHWEQNE-SSDOTTSWSA-N 0 2 309.292 0.647 20 0 DCADLN CC[N@H+](CCNC(=O)c1cccc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001418532189 1000320510 /nfs/dbraw/zinc/32/05/10/1000320510.db2.gz SPJHPNJVMWGXMJ-UHFFFAOYSA-N 0 2 307.329 0.901 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cccc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001418532189 1000320513 /nfs/dbraw/zinc/32/05/13/1000320513.db2.gz SPJHPNJVMWGXMJ-UHFFFAOYSA-N 0 2 307.329 0.901 20 0 DCADLN CO[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001418583845 1000365602 /nfs/dbraw/zinc/36/56/02/1000365602.db2.gz TYYWAQVRWSQHGA-AAEUAGOBSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(COC1CCCC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418614496 1000393232 /nfs/dbraw/zinc/39/32/32/1000393232.db2.gz SDNCJSHCNVIDAS-NSHDSACASA-N 0 2 323.397 0.550 20 0 DCADLN O=C(COC1CCCC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418614496 1000393239 /nfs/dbraw/zinc/39/32/39/1000393239.db2.gz SDNCJSHCNVIDAS-NSHDSACASA-N 0 2 323.397 0.550 20 0 DCADLN CC[C@H](CO)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001418910106 1000610445 /nfs/dbraw/zinc/61/04/45/1000610445.db2.gz CQTIPJUDKXFTMJ-NEPJUHHUSA-N 0 2 304.350 0.929 20 0 DCADLN COCC(C)(C)CC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401771825 1000708672 /nfs/dbraw/zinc/70/86/72/1000708672.db2.gz ACNANJANNFXOTE-SNVBAGLBSA-N 0 2 313.402 0.510 20 0 DCADLN COCC(C)(C)CC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401771825 1000708680 /nfs/dbraw/zinc/70/86/80/1000708680.db2.gz ACNANJANNFXOTE-SNVBAGLBSA-N 0 2 313.402 0.510 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001401782653 1000721361 /nfs/dbraw/zinc/72/13/61/1000721361.db2.gz SCWVXNKUOJRGKW-SSDOTTSWSA-N 0 2 307.358 0.101 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001401782653 1000721365 /nfs/dbraw/zinc/72/13/65/1000721365.db2.gz SCWVXNKUOJRGKW-SSDOTTSWSA-N 0 2 307.358 0.101 20 0 DCADLN CCO[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001419048889 1000722466 /nfs/dbraw/zinc/72/24/66/1000722466.db2.gz KAPPFTSWTATAPI-GMXVVIOVSA-N 0 2 321.381 0.111 20 0 DCADLN CCN(C(=O)[C@H](C)SC)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419141201 1000810521 /nfs/dbraw/zinc/81/05/21/1000810521.db2.gz XKQWOCCXFMGQQA-UWVGGRQHSA-N 0 2 313.427 0.685 20 0 DCADLN COCC1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001401905163 1000841246 /nfs/dbraw/zinc/84/12/46/1000841246.db2.gz KTSUVAMHNUPICL-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN CCC1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCOCC1 ZINC001401917493 1000855335 /nfs/dbraw/zinc/85/53/35/1000855335.db2.gz DQKQVTCAHOFXCU-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN Cn1cnnc1C[NH2+]C[C@H](NC(=O)c1nnc[nH]1)C1CCCC1 ZINC001402096576 1001013669 /nfs/dbraw/zinc/01/36/69/1001013669.db2.gz KFXDIVWKKCDGIZ-NSHDSACASA-N 0 2 318.385 0.012 20 0 DCADLN C[C@H](C[NH2+]Cc1n[nH]c(=O)[n-]1)N(C)C(=O)C(F)C(F)(F)F ZINC001419685293 1001220946 /nfs/dbraw/zinc/22/09/46/1001220946.db2.gz RGYSLVUKKOJBIF-IYSWYEEDSA-N 0 2 313.255 0.347 20 0 DCADLN CC1(C)CC[C@@H](O)[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001470949747 1016506569 /nfs/dbraw/zinc/50/65/69/1016506569.db2.gz DMKUMBNMGZAFLG-GHMZBOCLSA-N 0 2 319.365 0.127 20 0 DCADLN COCc1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001403142454 1001693216 /nfs/dbraw/zinc/69/32/16/1001693216.db2.gz LMWQSRWSWLJILC-SECBINFHSA-N 0 2 323.353 0.500 20 0 DCADLN COCc1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001403142454 1001693218 /nfs/dbraw/zinc/69/32/18/1001693218.db2.gz LMWQSRWSWLJILC-SECBINFHSA-N 0 2 323.353 0.500 20 0 DCADLN C[C@@H](CNC(=O)C(C)(C)NC(=O)C(F)(F)F)c1ncon1 ZINC001420014494 1001763619 /nfs/dbraw/zinc/76/36/19/1001763619.db2.gz MVNHMNMGWFALKX-LURJTMIESA-N 0 2 308.260 0.746 20 0 DCADLN C[NH+](CCNC(=O)[C@@H]1CCC[N@@H+]1C)CC(=O)NC1CCCCC1 ZINC001420190407 1002004120 /nfs/dbraw/zinc/00/41/20/1002004120.db2.gz HXJPHBARINMMQT-HNNXBMFYSA-N 0 2 324.469 0.578 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@H]1c1ccsc1)Cc1n[nH]c(=O)[n-]1 ZINC001420257939 1002123984 /nfs/dbraw/zinc/12/39/84/1002123984.db2.gz XVXFZKXYKFLBMM-WDEREUQCSA-N 0 2 321.406 0.924 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1c1ccsc1)Cc1n[nH]c(=O)[n-]1 ZINC001420257939 1002123992 /nfs/dbraw/zinc/12/39/92/1002123992.db2.gz XVXFZKXYKFLBMM-WDEREUQCSA-N 0 2 321.406 0.924 20 0 DCADLN CC(F)(F)CN1CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC001420258225 1002128143 /nfs/dbraw/zinc/12/81/43/1002128143.db2.gz XUKJEINUMHKXTP-MRVPVSSYSA-N 0 2 320.365 0.342 20 0 DCADLN CO[C@H]1CCC[C@@H](C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001403661978 1002299034 /nfs/dbraw/zinc/29/90/34/1002299034.db2.gz CRYLWLOZEZIGJE-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@H]1CCC[C@@H](C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001403661978 1002299039 /nfs/dbraw/zinc/29/90/39/1002299039.db2.gz CRYLWLOZEZIGJE-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](NC(=O)C1CCCC1)C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001420412378 1002380629 /nfs/dbraw/zinc/38/06/29/1002380629.db2.gz BMIFTYFDYXCLKL-VHSXEESVSA-N 0 2 308.382 0.998 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H](C)n1cccn1 ZINC001420649740 1002748736 /nfs/dbraw/zinc/74/87/36/1002748736.db2.gz SIBQZXVVVUWKQR-QWRGUYRKSA-N 0 2 321.385 0.295 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@H](C)n1cccn1 ZINC001420649740 1002748749 /nfs/dbraw/zinc/74/87/49/1002748749.db2.gz SIBQZXVVVUWKQR-QWRGUYRKSA-N 0 2 321.385 0.295 20 0 DCADLN Cc1cccnc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505897010 1016674478 /nfs/dbraw/zinc/67/44/78/1016674478.db2.gz OUROINZNSXJZSG-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cccnc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505897010 1016674488 /nfs/dbraw/zinc/67/44/88/1016674488.db2.gz OUROINZNSXJZSG-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cn1nnc(CNC[C@@H](NC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001420724655 1002861602 /nfs/dbraw/zinc/86/16/02/1002861602.db2.gz AGAFQRCRWUEOFZ-VXNVDRBHSA-N 0 2 324.282 0.095 20 0 DCADLN O=C(CC1CC1)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001420802227 1002963548 /nfs/dbraw/zinc/96/35/48/1002963548.db2.gz OWNYZDJTAHMEBS-ZDUSSCGKSA-N 0 2 315.377 0.513 20 0 DCADLN CCO[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)C ZINC001472085805 1016724164 /nfs/dbraw/zinc/72/41/64/1016724164.db2.gz XWYALZQIENYRDC-LLVKDONJSA-N 0 2 318.399 0.027 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCc2ncccc21 ZINC001472095719 1016730109 /nfs/dbraw/zinc/73/01/09/1016730109.db2.gz ZDRZJMFYGDWTRJ-UHFFFAOYSA-N 0 2 323.312 0.864 20 0 DCADLN COCCN(CCNC(=O)c1occc1C)Cc1n[nH]c(=O)[nH]1 ZINC001426153240 1003470688 /nfs/dbraw/zinc/47/06/88/1003470688.db2.gz GWYQTOLKSQCSAL-UHFFFAOYSA-N 0 2 323.353 0.290 20 0 DCADLN CC(C)c1nsc(NCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001421280598 1003499577 /nfs/dbraw/zinc/49/95/77/1003499577.db2.gz QHNIGEJYGDONHC-UHFFFAOYSA-N 0 2 316.412 0.936 20 0 DCADLN CCN(C(=O)Cc1ccoc1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421339651 1003563044 /nfs/dbraw/zinc/56/30/44/1003563044.db2.gz PFCPDAIAPWGVAS-LBPRGKRZSA-N 0 2 319.365 0.769 20 0 DCADLN CCN(C(=O)Cc1ccoc1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421339651 1003563048 /nfs/dbraw/zinc/56/30/48/1003563048.db2.gz PFCPDAIAPWGVAS-LBPRGKRZSA-N 0 2 319.365 0.769 20 0 DCADLN COc1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001406694227 1004008462 /nfs/dbraw/zinc/00/84/62/1004008462.db2.gz OIHXSKYXPSVNCJ-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN COc1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001406694227 1004008473 /nfs/dbraw/zinc/00/84/73/1004008473.db2.gz OIHXSKYXPSVNCJ-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCCOC1 ZINC001406699733 1004016386 /nfs/dbraw/zinc/01/63/86/1004016386.db2.gz FDRUYUYMSLSEQN-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCCOC1 ZINC001406699733 1004016395 /nfs/dbraw/zinc/01/63/95/1004016395.db2.gz FDRUYUYMSLSEQN-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC001428860232 1004222313 /nfs/dbraw/zinc/22/23/13/1004222313.db2.gz MFFVLUGPOPYCBF-LBPRGKRZSA-N 0 2 322.409 0.386 20 0 DCADLN CN(CCNC(=O)C1=COCCO1)C(=O)C(F)C(F)(F)F ZINC001429142525 1004409596 /nfs/dbraw/zinc/40/95/96/1004409596.db2.gz HJJGRAFMJLJUIJ-MRVPVSSYSA-N 0 2 314.235 0.350 20 0 DCADLN CN(CCNC(=O)C1=COCCO1)C(=O)[C@@H](F)C(F)(F)F ZINC001429142525 1004409599 /nfs/dbraw/zinc/40/95/99/1004409599.db2.gz HJJGRAFMJLJUIJ-MRVPVSSYSA-N 0 2 314.235 0.350 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CCn1cccn1 ZINC001429305145 1004518576 /nfs/dbraw/zinc/51/85/76/1004518576.db2.gz UCBDMWDWMZRIFL-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)CCn1cccn1 ZINC001429305145 1004518585 /nfs/dbraw/zinc/51/85/85/1004518585.db2.gz UCBDMWDWMZRIFL-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN COC[C@H](OC)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001429480702 1004624706 /nfs/dbraw/zinc/62/47/06/1004624706.db2.gz UKZPYJUERQIWOZ-JGVFFNPUSA-N 0 2 318.267 0.123 20 0 DCADLN COC[C@H](OC)C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001429480702 1004624711 /nfs/dbraw/zinc/62/47/11/1004624711.db2.gz UKZPYJUERQIWOZ-JGVFFNPUSA-N 0 2 318.267 0.123 20 0 DCADLN CO[C@@H](C)C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001429505303 1004637075 /nfs/dbraw/zinc/63/70/75/1004637075.db2.gz AENINBYRDDZJSI-XLPZGREQSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001429505303 1004637078 /nfs/dbraw/zinc/63/70/78/1004637078.db2.gz AENINBYRDDZJSI-XLPZGREQSA-N 0 2 300.252 0.639 20 0 DCADLN C[C@@H](CNC(=O)CC[NH+]1CCOCC1)[N@H+](C)Cc1ccccn1 ZINC001506021877 1016894721 /nfs/dbraw/zinc/89/47/21/1016894721.db2.gz QPAYUVVQRDHRPA-HNNXBMFYSA-N 0 2 320.437 0.740 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncc[nH]3)C[C@H]21)C(F)C(F)(F)F ZINC001408007720 1004982373 /nfs/dbraw/zinc/98/23/73/1004982373.db2.gz PCRNUCUMQZXXDP-OSMVPFSASA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncc[nH]3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001408007720 1004982378 /nfs/dbraw/zinc/98/23/78/1004982378.db2.gz PCRNUCUMQZXXDP-OSMVPFSASA-N 0 2 320.246 0.497 20 0 DCADLN Cn1nncc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001506034499 1016924617 /nfs/dbraw/zinc/92/46/17/1016924617.db2.gz SQUFKEWSBAGMRW-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1nncc1C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001506034499 1016924629 /nfs/dbraw/zinc/92/46/29/1016924629.db2.gz SQUFKEWSBAGMRW-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN C[C@H](CNC(=O)c1cnsn1)NC(=O)C(F)C(F)(F)F ZINC001408074358 1005020169 /nfs/dbraw/zinc/02/01/69/1005020169.db2.gz JLMVHLVDOMLSES-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)c1cnsn1)NC(=O)[C@H](F)C(F)(F)F ZINC001408074358 1005020179 /nfs/dbraw/zinc/02/01/79/1005020179.db2.gz JLMVHLVDOMLSES-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001408188128 1005099008 /nfs/dbraw/zinc/09/90/08/1005099008.db2.gz BPNUDHVDJRESPD-RNFRBKRXSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001408188128 1005099013 /nfs/dbraw/zinc/09/90/13/1005099013.db2.gz BPNUDHVDJRESPD-RNFRBKRXSA-N 0 2 310.251 0.853 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001408470571 1005212699 /nfs/dbraw/zinc/21/26/99/1005212699.db2.gz XXQREIJSTAQKRN-RQJHMYQMSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001408470571 1005212702 /nfs/dbraw/zinc/21/27/02/1005212702.db2.gz XXQREIJSTAQKRN-RQJHMYQMSA-N 0 2 310.251 0.935 20 0 DCADLN CCCCC(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001413974308 1005236496 /nfs/dbraw/zinc/23/64/96/1005236496.db2.gz YIYYEHNMNBKIFY-MRTMQBJTSA-N 0 2 314.279 0.765 20 0 DCADLN CCCCC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001413974308 1005236499 /nfs/dbraw/zinc/23/64/99/1005236499.db2.gz YIYYEHNMNBKIFY-MRTMQBJTSA-N 0 2 314.279 0.765 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc(OC(F)F)c(F)c2)n1 ZINC001414329995 1005288905 /nfs/dbraw/zinc/28/89/05/1005288905.db2.gz JGPRTJQREAXRCV-UHFFFAOYSA-N 0 2 323.256 0.751 20 0 DCADLN CC(=O)N1CCO[C@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001414422594 1005319427 /nfs/dbraw/zinc/31/94/27/1005319427.db2.gz FAMXFGWCBWMLQE-WCBMZHEXSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)N1CCO[C@](C)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001414422594 1005319431 /nfs/dbraw/zinc/31/94/31/1005319431.db2.gz FAMXFGWCBWMLQE-WCBMZHEXSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001415156654 1005472107 /nfs/dbraw/zinc/47/21/07/1005472107.db2.gz GJELHTJJXDSQHA-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001415156654 1005472109 /nfs/dbraw/zinc/47/21/09/1005472109.db2.gz GJELHTJJXDSQHA-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN CC(C)(O)CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001415991990 1005643591 /nfs/dbraw/zinc/64/35/91/1005643591.db2.gz JHMNDZZRBLYCIG-QMMMGPOBSA-N 0 2 300.252 0.375 20 0 DCADLN CC(C)(O)CC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001415991990 1005643593 /nfs/dbraw/zinc/64/35/93/1005643593.db2.gz JHMNDZZRBLYCIG-QMMMGPOBSA-N 0 2 300.252 0.375 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001416234168 1005675414 /nfs/dbraw/zinc/67/54/14/1005675414.db2.gz LDKIULLJAAUZAD-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001416234168 1005675417 /nfs/dbraw/zinc/67/54/17/1005675417.db2.gz LDKIULLJAAUZAD-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cn[nH]c1 ZINC001417112317 1005785514 /nfs/dbraw/zinc/78/55/14/1005785514.db2.gz XKIXVFOMZKXUME-SSDOTTSWSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1cn[nH]c1 ZINC001417112317 1005785517 /nfs/dbraw/zinc/78/55/17/1005785517.db2.gz XKIXVFOMZKXUME-SSDOTTSWSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(Cn1cccn1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001417147073 1005793324 /nfs/dbraw/zinc/79/33/24/1005793324.db2.gz ODHGRAWRUJKBHK-VIFPVBQESA-N 0 2 322.262 0.549 20 0 DCADLN O=C(Cn1cccn1)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001417147073 1005793325 /nfs/dbraw/zinc/79/33/25/1005793325.db2.gz ODHGRAWRUJKBHK-VIFPVBQESA-N 0 2 322.262 0.549 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@H]1CCC[NH+](Cc2ccnn2C)C1 ZINC001417911313 1005922797 /nfs/dbraw/zinc/92/27/97/1005922797.db2.gz FHLVLWNMJCUYPU-AWEZNQCLSA-N 0 2 307.442 0.843 20 0 DCADLN C[C@]1(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC(=O)N1 ZINC001434809417 1005996051 /nfs/dbraw/zinc/99/60/51/1005996051.db2.gz HOLNYXGHKMEQMJ-LKFCYVNXSA-N 0 2 322.369 0.068 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1ccoc1 ZINC001438871177 1006185248 /nfs/dbraw/zinc/18/52/48/1006185248.db2.gz YGVILQNTVOLUKN-UHFFFAOYSA-N 0 2 307.354 0.626 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1ccoc1 ZINC001438871177 1006185253 /nfs/dbraw/zinc/18/52/53/1006185253.db2.gz YGVILQNTVOLUKN-UHFFFAOYSA-N 0 2 307.354 0.626 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001452318552 1006738276 /nfs/dbraw/zinc/73/82/76/1006738276.db2.gz XUYOQFHXMRVSDM-DTWKUNHWSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C1=CCCC1 ZINC001452318552 1006738291 /nfs/dbraw/zinc/73/82/91/1006738291.db2.gz XUYOQFHXMRVSDM-DTWKUNHWSA-N 0 2 312.263 0.590 20 0 DCADLN CCn1nncc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001439523855 1006954186 /nfs/dbraw/zinc/95/41/86/1006954186.db2.gz LCAJKQCAUYNRCO-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1nncc1CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001439523855 1006954201 /nfs/dbraw/zinc/95/42/01/1006954201.db2.gz LCAJKQCAUYNRCO-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN CCc1cccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001437470691 1006999449 /nfs/dbraw/zinc/99/94/49/1006999449.db2.gz GOUIFSSVRZAPMS-UHFFFAOYSA-N 0 2 304.354 0.330 20 0 DCADLN CCc1cccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001437470691 1006999455 /nfs/dbraw/zinc/99/94/55/1006999455.db2.gz GOUIFSSVRZAPMS-UHFFFAOYSA-N 0 2 304.354 0.330 20 0 DCADLN COc1cc(C)ccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437558557 1007123690 /nfs/dbraw/zinc/12/36/90/1007123690.db2.gz ZXNMZUDJCXGHIX-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN COc1cc(C)ccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437558557 1007123696 /nfs/dbraw/zinc/12/36/96/1007123696.db2.gz ZXNMZUDJCXGHIX-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN CC[C@@H](C)[C@H](OC)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001439728457 1007158760 /nfs/dbraw/zinc/15/87/60/1007158760.db2.gz KSUNVDYIQIIMMM-SKDRFNHKSA-N 0 2 311.386 0.214 20 0 DCADLN CCC[N@@H+]1CCC[C@H]1C(=O)NCC1(O)C[NH+](CC[C@H](C)F)C1 ZINC001440050036 1007453310 /nfs/dbraw/zinc/45/33/10/1007453310.db2.gz SVJQWBWWDLYAOZ-KBPBESRZSA-N 0 2 315.433 0.772 20 0 DCADLN CO[C@H](C)C(=O)NC1CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001438050327 1007603309 /nfs/dbraw/zinc/60/33/09/1007603309.db2.gz UMCBEMANSNJVDK-MRVPVSSYSA-N 0 2 322.390 0.732 20 0 DCADLN Cc1ccccc1OCC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438092287 1007630792 /nfs/dbraw/zinc/63/07/92/1007630792.db2.gz YROUJRCWKVREMJ-UHFFFAOYSA-N 0 2 317.349 0.198 20 0 DCADLN CN(C(=O)CC[C@H]1CCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438242726 1007739713 /nfs/dbraw/zinc/73/97/13/1007739713.db2.gz YNJNHEVTHQTGDB-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)CC[C@H]1CCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438242726 1007739727 /nfs/dbraw/zinc/73/97/27/1007739727.db2.gz YNJNHEVTHQTGDB-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CNC(=O)[C@@H](C)[N@@H+](C)C[C@@H]1CCCCN1C(=O)c1[nH]nnc1C ZINC001440514980 1007859383 /nfs/dbraw/zinc/85/93/83/1007859383.db2.gz GXNDEISQRUSLMS-NEPJUHHUSA-N 0 2 322.413 0.174 20 0 DCADLN CC[C@H](C[N@@H+]1CCO[C@@H](CNC(=O)C[NH+]2CCCC2)C1)OC ZINC001438587277 1008014957 /nfs/dbraw/zinc/01/49/57/1008014957.db2.gz MDWUYBXDORJBJW-CABCVRRESA-N 0 2 313.442 0.324 20 0 DCADLN C[C@@H]1CC(=O)NN1C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC001440963317 1008146341 /nfs/dbraw/zinc/14/63/41/1008146341.db2.gz JKTGGJNFBONAOB-ZCFIWIBFSA-N 0 2 319.239 0.724 20 0 DCADLN C[C@H](CNC(=O)c1conc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440964818 1008147789 /nfs/dbraw/zinc/14/77/89/1008147789.db2.gz APZHMGFFIPKBSK-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](CNC(=O)c1conc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440964818 1008147798 /nfs/dbraw/zinc/14/77/98/1008147798.db2.gz APZHMGFFIPKBSK-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN COc1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001440985854 1008159889 /nfs/dbraw/zinc/15/98/89/1008159889.db2.gz WOCXOHFRUISWJN-QMMMGPOBSA-N 0 2 309.326 0.362 20 0 DCADLN COc1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001440985854 1008159893 /nfs/dbraw/zinc/15/98/93/1008159893.db2.gz WOCXOHFRUISWJN-QMMMGPOBSA-N 0 2 309.326 0.362 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cccnn1 ZINC001453527187 1008558008 /nfs/dbraw/zinc/55/80/08/1008558008.db2.gz LRYOVEAAMFFLLU-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1cccnn1 ZINC001453527187 1008558019 /nfs/dbraw/zinc/55/80/19/1008558019.db2.gz LRYOVEAAMFFLLU-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN Cc1cnn(CC(=O)NC[C@@H](C)NC(=O)Cc2[nH]c[nH+]c2C)c1 ZINC001433468784 1008818387 /nfs/dbraw/zinc/81/83/87/1008818387.db2.gz PAEXIIPMZNBDLQ-LLVKDONJSA-N 0 2 318.381 0.087 20 0 DCADLN Cn1cnnc1CNC[C@H](NC(=O)CC(C)(C)O)C(C)(C)C ZINC001442162042 1009034783 /nfs/dbraw/zinc/03/47/83/1009034783.db2.gz ZAQAPAQJSHJCBM-NSHDSACASA-N 0 2 311.430 0.597 20 0 DCADLN Cc1n[nH]c(NC(=O)c2ccc(S(N)(=O)=O)c(Cl)c2)n1 ZINC001433667982 1009047694 /nfs/dbraw/zinc/04/76/94/1009047694.db2.gz YRTJADSFEJJDGY-UHFFFAOYSA-N 0 2 315.742 0.666 20 0 DCADLN COCC(=O)NC[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001454415631 1009126010 /nfs/dbraw/zinc/12/60/10/1009126010.db2.gz FZZBVCOKVOPBCQ-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NC[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001454415631 1009126019 /nfs/dbraw/zinc/12/60/19/1009126019.db2.gz FZZBVCOKVOPBCQ-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@H]1CCC[N@@H+]1CC(=O)N1CC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001433760021 1009154372 /nfs/dbraw/zinc/15/43/72/1009154372.db2.gz UYMFUJZOOWBENW-UONOGXRCSA-N 0 2 319.409 0.085 20 0 DCADLN O=C(NCC1CC(NC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001442357028 1009213205 /nfs/dbraw/zinc/21/32/05/1009213205.db2.gz CWZOTXFWKDGZCM-QXUHLLMWSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NCC1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001442357028 1009213216 /nfs/dbraw/zinc/21/32/16/1009213216.db2.gz CWZOTXFWKDGZCM-QXUHLLMWSA-N 0 2 322.262 0.935 20 0 DCADLN Cc1c[nH]c(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001455259102 1009613818 /nfs/dbraw/zinc/61/38/18/1009613818.db2.gz BYQCFMSCOQWGEM-SSDOTTSWSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1c[nH]c(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)n1 ZINC001455259102 1009613837 /nfs/dbraw/zinc/61/38/37/1009613837.db2.gz BYQCFMSCOQWGEM-SSDOTTSWSA-N 0 2 310.251 0.807 20 0 DCADLN O=C(N[C@@H]1COC[C@@H]1O)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC001434276527 1009715801 /nfs/dbraw/zinc/71/58/01/1009715801.db2.gz YVHFNDYQTNZOFS-PWSUYJOCSA-N 0 2 323.736 0.721 20 0 DCADLN CC1(C)CCC[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001434383341 1009869174 /nfs/dbraw/zinc/86/91/74/1009869174.db2.gz CFBAIZWJFUJOFO-VIFPVBQESA-N 0 2 314.411 0.792 20 0 DCADLN C[C@@H]1CCC[C@H]1CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456306127 1010184861 /nfs/dbraw/zinc/18/48/61/1010184861.db2.gz CCFVRNUQOLRSQA-ZJUUUORDSA-N 0 2 314.411 0.792 20 0 DCADLN CCC1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCCC1 ZINC001456311457 1010187209 /nfs/dbraw/zinc/18/72/09/1010187209.db2.gz PZTYZXOBFHCXHS-UHFFFAOYSA-N 0 2 314.411 0.936 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001443231790 1010244994 /nfs/dbraw/zinc/24/49/94/1010244994.db2.gz QFLARSIIZIUVSQ-RCOVLWMOSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001443231790 1010245003 /nfs/dbraw/zinc/24/50/03/1010245003.db2.gz QFLARSIIZIUVSQ-RCOVLWMOSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](CNC(=O)C(C1CC1)C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422588328 1010363880 /nfs/dbraw/zinc/36/38/80/1010363880.db2.gz YIKXWIMASBUROX-VIFPVBQESA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](CNC(=O)C(C1CC1)C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422588328 1010363888 /nfs/dbraw/zinc/36/38/88/1010363888.db2.gz YIKXWIMASBUROX-VIFPVBQESA-N 0 2 307.398 0.883 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001422593251 1010371754 /nfs/dbraw/zinc/37/17/54/1010371754.db2.gz AVUXUPACEODDRD-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001422593251 1010371767 /nfs/dbraw/zinc/37/17/67/1010371767.db2.gz AVUXUPACEODDRD-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001443610354 1010533734 /nfs/dbraw/zinc/53/37/34/1010533734.db2.gz AORANCAHYMXQTO-NSHDSACASA-N 0 2 311.386 0.119 20 0 DCADLN CC(C)SCC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422779066 1010600694 /nfs/dbraw/zinc/60/06/94/1010600694.db2.gz JWDGPWOAHHAVQF-VIFPVBQESA-N 0 2 301.416 0.588 20 0 DCADLN CC(C)SCC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422779066 1010600697 /nfs/dbraw/zinc/60/06/97/1010600697.db2.gz JWDGPWOAHHAVQF-VIFPVBQESA-N 0 2 301.416 0.588 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001443844534 1010696761 /nfs/dbraw/zinc/69/67/61/1010696761.db2.gz KELTXBQYJUEHQB-SCZZXKLOSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001443844534 1010696767 /nfs/dbraw/zinc/69/67/67/1010696767.db2.gz KELTXBQYJUEHQB-SCZZXKLOSA-N 0 2 324.278 0.747 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001444205267 1010904562 /nfs/dbraw/zinc/90/45/62/1010904562.db2.gz CUVGVJRCSPPKCH-HTQZYQBOSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001444205267 1010904566 /nfs/dbraw/zinc/90/45/66/1010904566.db2.gz CUVGVJRCSPPKCH-HTQZYQBOSA-N 0 2 311.235 0.122 20 0 DCADLN C[C@H](CN(C)C(=O)CCc1cnn[nH]1)[NH2+][C@@H](C)c1cnccn1 ZINC001423133270 1010914596 /nfs/dbraw/zinc/91/45/96/1010914596.db2.gz QVOBLIRJNFFFAL-NEPJUHHUSA-N 0 2 317.397 0.725 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001423135065 1010916768 /nfs/dbraw/zinc/91/67/68/1010916768.db2.gz WMYSRAPMZJZSBF-UWVGGRQHSA-N 0 2 305.338 0.598 20 0 DCADLN CC(=O)NC(C)(C)C(=O)NCC1(NCc2nccnc2C)CC1 ZINC001423283120 1011045776 /nfs/dbraw/zinc/04/57/76/1011045776.db2.gz BSHBRWXOSCKPBW-UHFFFAOYSA-N 0 2 319.409 0.438 20 0 DCADLN CC[C@](C)(O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001444827615 1011181818 /nfs/dbraw/zinc/18/18/18/1011181818.db2.gz BAULQRMBNJFRLC-AWEZNQCLSA-N 0 2 306.322 0.157 20 0 DCADLN O=C(NCC1CN(C(=O)C2=CCOCC2)C1)C(F)C(F)(F)F ZINC001456504424 1011312803 /nfs/dbraw/zinc/31/28/03/1011312803.db2.gz DEFSXVSBNAQIIF-SNVBAGLBSA-N 0 2 324.274 0.808 20 0 DCADLN O=C(NCC1CN(C(=O)C2=CCOCC2)C1)[C@@H](F)C(F)(F)F ZINC001456504424 1011312813 /nfs/dbraw/zinc/31/28/13/1011312813.db2.gz DEFSXVSBNAQIIF-SNVBAGLBSA-N 0 2 324.274 0.808 20 0 DCADLN C[C@H](O)C(C)(C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001456779583 1011456828 /nfs/dbraw/zinc/45/68/28/1011456828.db2.gz KABUGBZMEUDCTA-VIFPVBQESA-N 0 2 320.349 0.403 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001534851363 1011699881 /nfs/dbraw/zinc/69/98/81/1011699881.db2.gz UPCHSSJDDGAPSH-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001534851363 1011699892 /nfs/dbraw/zinc/69/98/92/1011699892.db2.gz UPCHSSJDDGAPSH-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1ccc(Br)c(C(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC001445417979 1011746798 /nfs/dbraw/zinc/74/67/98/1011746798.db2.gz XALPFVRKCMWNTN-UHFFFAOYSA-N 0 2 312.127 0.906 20 0 DCADLN Cn1nnc(C(=O)Nc2nc(-c3cc4ccccc4o3)n[nH]2)n1 ZINC001457778719 1011874495 /nfs/dbraw/zinc/87/44/95/1011874495.db2.gz JKTIFUCSERLLLC-UHFFFAOYSA-N 0 2 310.277 0.994 20 0 DCADLN Cc1c[nH]nc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001535251861 1011886869 /nfs/dbraw/zinc/88/68/69/1011886869.db2.gz ULXQSUNTPMKNPU-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1c[nH]nc1C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001535251861 1011886882 /nfs/dbraw/zinc/88/68/82/1011886882.db2.gz ULXQSUNTPMKNPU-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)C[N@H+](C)C(C)C)CC1 ZINC001535697111 1011963703 /nfs/dbraw/zinc/96/37/03/1011963703.db2.gz NUYCHDLIEUSBPN-UHFFFAOYSA-N 0 2 321.425 0.366 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1CCOC1)NC(=O)C(F)C(F)(F)F ZINC001458170594 1012099438 /nfs/dbraw/zinc/09/94/38/1012099438.db2.gz HTZAZCNGBFLWDY-MRTMQBJTSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1CCOC1)NC(=O)[C@H](F)C(F)(F)F ZINC001458170594 1012099451 /nfs/dbraw/zinc/09/94/51/1012099451.db2.gz HTZAZCNGBFLWDY-MRTMQBJTSA-N 0 2 314.279 0.934 20 0 DCADLN Cn1nc(C2CC2)nc1CC(=O)NCCc1n[nH]c(=S)o1 ZINC001556284068 1012195705 /nfs/dbraw/zinc/19/57/05/1012195705.db2.gz QEQAIMKGRUBTET-UHFFFAOYSA-N 0 2 308.367 0.266 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)C1(C(=O)NC2CC2)CCC1 ZINC001556283721 1012196466 /nfs/dbraw/zinc/19/64/66/1012196466.db2.gz AYHJMOWSBMDRHE-UHFFFAOYSA-N 0 2 310.379 0.466 20 0 DCADLN Cn1cc(-c2ccc(C(=O)Nn3ccc(=O)[nH]c3=O)o2)cn1 ZINC001557735209 1012297389 /nfs/dbraw/zinc/29/73/89/1012297389.db2.gz UUUWRBFNGXZJFW-UHFFFAOYSA-N 0 2 301.262 0.326 20 0 DCADLN Cc1cc(C)n2nc(C(=O)N[C@H](C)c3nn(C)cc3O)nc2n1 ZINC001558682841 1012377789 /nfs/dbraw/zinc/37/77/89/1012377789.db2.gz NFZNOIHDYJPPOH-SECBINFHSA-N 0 2 315.337 0.671 20 0 DCADLN COC[C@@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432392514 1012424325 /nfs/dbraw/zinc/42/43/25/1012424325.db2.gz TTYXNSMOJAUSRC-APPZFPTMSA-N 0 2 314.279 0.839 20 0 DCADLN COC[C@@H](C)C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432392514 1012424334 /nfs/dbraw/zinc/42/43/34/1012424334.db2.gz TTYXNSMOJAUSRC-APPZFPTMSA-N 0 2 314.279 0.839 20 0 DCADLN COC[C@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432392517 1012424597 /nfs/dbraw/zinc/42/45/97/1012424597.db2.gz TTYXNSMOJAUSRC-IONNQARKSA-N 0 2 314.279 0.839 20 0 DCADLN COC[C@H](C)C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432392517 1012424603 /nfs/dbraw/zinc/42/46/03/1012424603.db2.gz TTYXNSMOJAUSRC-IONNQARKSA-N 0 2 314.279 0.839 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001432430441 1012479490 /nfs/dbraw/zinc/47/94/90/1012479490.db2.gz TWDWPWRONRSBKC-APPZFPTMSA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001432430441 1012479498 /nfs/dbraw/zinc/47/94/98/1012479498.db2.gz TWDWPWRONRSBKC-APPZFPTMSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H]2CCOC2)CC1)C(F)C(F)(F)F ZINC001458969207 1012500612 /nfs/dbraw/zinc/50/06/12/1012500612.db2.gz DKNNRWIIZLHWHD-SFYZADRCSA-N 0 2 312.263 0.688 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H]2CCOC2)CC1)[C@H](F)C(F)(F)F ZINC001458969207 1012500630 /nfs/dbraw/zinc/50/06/30/1012500630.db2.gz DKNNRWIIZLHWHD-SFYZADRCSA-N 0 2 312.263 0.688 20 0 DCADLN Cc1nc(C)c(C(=O)Nc2ccc(O)c(S(N)(=O)=O)c2)[nH]1 ZINC001547268668 1012578566 /nfs/dbraw/zinc/57/85/66/1012578566.db2.gz TWIPDCVQIBAFAF-UHFFFAOYSA-N 0 2 310.335 0.632 20 0 DCADLN CC[C@@H](CNC(=O)CCOC)NC(=O)C(F)C(F)(F)F ZINC001432569509 1012631831 /nfs/dbraw/zinc/63/18/31/1012631831.db2.gz OMIVSDGIUDEPDZ-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](CNC(=O)CCOC)NC(=O)[C@@H](F)C(F)(F)F ZINC001432569509 1012631837 /nfs/dbraw/zinc/63/18/37/1012631837.db2.gz OMIVSDGIUDEPDZ-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001459227683 1012637666 /nfs/dbraw/zinc/63/76/66/1012637666.db2.gz WGFJZJDHVGBZHA-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN CCOCC(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001459227683 1012637677 /nfs/dbraw/zinc/63/76/77/1012637677.db2.gz WGFJZJDHVGBZHA-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001429754339 1012743816 /nfs/dbraw/zinc/74/38/16/1012743816.db2.gz KPOXOUJRXLFWGD-POYBYMJQSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2cnon2)C1)[C@@H](F)C(F)(F)F ZINC001429754339 1012743832 /nfs/dbraw/zinc/74/38/32/1012743832.db2.gz KPOXOUJRXLFWGD-POYBYMJQSA-N 0 2 324.234 0.691 20 0 DCADLN Cc1cc(C2CCN(C(=O)C[C@H]3SC(=N)NC3=O)CC2)[nH]n1 ZINC001446854356 1013029130 /nfs/dbraw/zinc/02/91/30/1013029130.db2.gz GZQCOLGKLXRUAX-LLVKDONJSA-N 0 2 321.406 0.981 20 0 DCADLN CCOCCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001506292697 1017186920 /nfs/dbraw/zinc/18/69/20/1017186920.db2.gz BXCPBJVJMSRIQU-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCCC(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001506292697 1017186924 /nfs/dbraw/zinc/18/69/24/1017186924.db2.gz BXCPBJVJMSRIQU-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@@H](NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1(C)CC1 ZINC001479428099 1017553458 /nfs/dbraw/zinc/55/34/58/1017553458.db2.gz KHAHZAAUBMBUFK-MRVPVSSYSA-N 0 2 315.399 0.337 20 0 DCADLN C[C@H](NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1(C)CC1 ZINC001479428100 1017554176 /nfs/dbraw/zinc/55/41/76/1017554176.db2.gz KHAHZAAUBMBUFK-QMMMGPOBSA-N 0 2 315.399 0.337 20 0 DCADLN C[C@H](CN(C)C(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001510506163 1017677494 /nfs/dbraw/zinc/67/74/94/1017677494.db2.gz YTEAWLORFXFMFQ-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@H]([NH2+]C1(CNC(=O)C2=NC(=O)N(C)C2)CC1)c1cnccn1 ZINC001499338594 1017862924 /nfs/dbraw/zinc/86/29/24/1017862924.db2.gz ACSNEMDFADFKGM-JTQLQIEISA-N 0 2 316.365 0.529 20 0 DCADLN C[C@]1(NC(=O)C2(CCF)CC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500244134 1018342566 /nfs/dbraw/zinc/34/25/66/1018342566.db2.gz UQYSPVHKNCCUCD-ZDUSSCGKSA-N 0 2 311.361 0.731 20 0 DCADLN C[C@]1(NC(=O)C2(CCF)CC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500244134 1018342571 /nfs/dbraw/zinc/34/25/71/1018342571.db2.gz UQYSPVHKNCCUCD-ZDUSSCGKSA-N 0 2 311.361 0.731 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]n1)CNC(=O)C(F)C(F)(F)F ZINC001500255353 1018352653 /nfs/dbraw/zinc/35/26/53/1018352653.db2.gz JFEHWCOQOJPTES-IYSWYEEDSA-N 0 2 311.239 0.187 20 0 DCADLN Cc1ncc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)o1 ZINC001498222559 1018770319 /nfs/dbraw/zinc/77/03/19/1018770319.db2.gz HCFJMZYKXATWSW-SNVBAGLBSA-N 0 2 323.246 0.753 20 0 DCADLN Cc1ncc(CC(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)o1 ZINC001498222559 1018770335 /nfs/dbraw/zinc/77/03/35/1018770335.db2.gz HCFJMZYKXATWSW-SNVBAGLBSA-N 0 2 323.246 0.753 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1(C2CC2)CC1 ZINC001501785685 1019474926 /nfs/dbraw/zinc/47/49/26/1019474926.db2.gz FKJAEUKMZPSESM-NSHDSACASA-N 0 2 321.381 0.018 20 0 DCADLN CC1(CC(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001501808665 1019480478 /nfs/dbraw/zinc/48/04/78/1019480478.db2.gz BXQIQGKJNNYMQD-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN CN(CC[NH+](C)CC(=O)NCC1CCC1)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001496674897 1019509120 /nfs/dbraw/zinc/50/91/20/1019509120.db2.gz ADCZBICKRDQERG-HNNXBMFYSA-N 0 2 324.469 0.387 20 0 DCADLN CC(C)c1nocc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496722307 1019574518 /nfs/dbraw/zinc/57/45/18/1019574518.db2.gz WONTWWBUCKZVQH-UHFFFAOYSA-N 0 2 322.369 0.826 20 0 DCADLN CC(C)c1nocc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496722307 1019574527 /nfs/dbraw/zinc/57/45/27/1019574527.db2.gz WONTWWBUCKZVQH-UHFFFAOYSA-N 0 2 322.369 0.826 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)Cn1cc(C(=O)[O-])[nH+]c1C)C(=O)OC ZINC001609901351 1171119656 /nfs/dbraw/zinc/11/96/56/1171119656.db2.gz MKQWVSFSQAOTKQ-UFBFGSQYSA-N 0 2 311.338 0.594 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cn(C)nc2C(F)(F)F)cn1 ZINC000330802050 232079621 /nfs/dbraw/zinc/07/96/21/232079621.db2.gz DJURIWWNHVDPOI-UHFFFAOYSA-N 0 2 309.273 0.973 20 0 DCADLN CC(=O)N1CCC[C@@](O)(C(=O)Nc2ccc3nn[nH]c3c2)C1 ZINC000286911327 219222335 /nfs/dbraw/zinc/22/23/35/219222335.db2.gz PQQKSEMOSPODTQ-AWEZNQCLSA-N 0 2 303.322 0.270 20 0 DCADLN COc1ccc(-n2ccc(C(=O)NN3CCCNC3=O)n2)cc1 ZINC000272619928 210021843 /nfs/dbraw/zinc/02/18/43/210021843.db2.gz ULPODDOZPJWZMI-UHFFFAOYSA-N 0 2 315.333 0.941 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cccnc2C(F)(F)F)C1=O ZINC000272581642 210001169 /nfs/dbraw/zinc/00/11/69/210001169.db2.gz FALHQWATENTFGT-UHFFFAOYSA-N 0 2 302.212 0.639 20 0 DCADLN CC[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCS1 ZINC000174770890 198382619 /nfs/dbraw/zinc/38/26/19/198382619.db2.gz RGZLTGFPWHAMMW-SECBINFHSA-N 0 2 320.374 0.579 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)nn1C ZINC000161513986 237093763 /nfs/dbraw/zinc/09/37/63/237093763.db2.gz FOBMZLQFBRIPNO-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN C[C@@H](O)CC(C)(C)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000176096837 237192298 /nfs/dbraw/zinc/19/22/98/237192298.db2.gz SVOBKPGLYNJRBH-MRVPVSSYSA-N 0 2 320.349 0.138 20 0 DCADLN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC=C(C)C1 ZINC000281140201 216105751 /nfs/dbraw/zinc/10/57/51/216105751.db2.gz YKHDRYIAVNCWEE-UHFFFAOYSA-N 0 2 320.349 0.714 20 0 DCADLN CNC(=O)[C@@]1(C)CCN(C(=O)c2nc3nc(C)cc(C)n3n2)C1 ZINC000281139808 216105895 /nfs/dbraw/zinc/10/58/95/216105895.db2.gz OFLRKKGUSBHYKD-HNNXBMFYSA-N 0 2 316.365 0.339 20 0 DCADLN O=C(N[C@H]1CCCN(c2ccccc2F)C1)c1nc(=O)[nH][nH]1 ZINC000155934011 291222388 /nfs/dbraw/zinc/22/23/88/291222388.db2.gz AHBNOFUSHMSMKI-VIFPVBQESA-N 0 2 305.313 0.636 20 0 DCADLN COc1ccc(S(O)=CC(=O)N2CCC[C@@H](C(N)=O)C2)cc1 ZINC000157032668 291226894 /nfs/dbraw/zinc/22/68/94/291226894.db2.gz ZAFACNOOOHDOEG-XFNZEKPQSA-N 0 2 324.402 0.527 20 0 DCADLN C[C@]1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CC1(F)F ZINC000421748749 240100692 /nfs/dbraw/zinc/10/06/92/240100692.db2.gz NDXPVJWVPHFZRO-SSDOTTSWSA-N 0 2 312.323 0.893 20 0 DCADLN C[C@H](O[C@@H](C)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)C(=O)[O-] ZINC000421809821 240105108 /nfs/dbraw/zinc/10/51/08/240105108.db2.gz WAZIRBDKSQCQQK-SRVKXCTJSA-N 0 2 307.350 0.655 20 0 DCADLN O=C([O-])C[C@H]1CN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)CCO1 ZINC000567356272 291351202 /nfs/dbraw/zinc/35/12/02/291351202.db2.gz FLGWACDMVMKZRJ-NEPJUHHUSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])C[C@H]1CN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)CCO1 ZINC000567356272 291351203 /nfs/dbraw/zinc/35/12/03/291351203.db2.gz FLGWACDMVMKZRJ-NEPJUHHUSA-N 0 2 322.365 0.118 20 0 DCADLN COC[C@@H](Cc1ccccc1)NC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000567871746 291386443 /nfs/dbraw/zinc/38/64/43/291386443.db2.gz LKPFXSKIWDNBFX-HZPDHXFCSA-N 0 2 320.437 0.528 20 0 DCADLN COc1ccc(CCNC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000274916427 212031550 /nfs/dbraw/zinc/03/15/50/212031550.db2.gz WGELMLITVOPWAD-UHFFFAOYSA-N 0 2 308.363 0.558 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2cn3ccccc3n2)C1 ZINC000265231042 204471167 /nfs/dbraw/zinc/47/11/67/204471167.db2.gz BTLGPDIGILTIDJ-SNVBAGLBSA-N 0 2 308.363 0.098 20 0 DCADLN O=C(CN1CCCC1=O)N[C@@H](CO)C[C@H](O)c1ccccc1 ZINC000271679346 209120384 /nfs/dbraw/zinc/12/03/84/209120384.db2.gz QCVSXRLOMJXNEI-KGLIPLIRSA-N 0 2 306.362 0.210 20 0 DCADLN CCCCS(=O)(=O)NC[C@H](O)C(=O)OCc1ccccc1 ZINC000271680034 209120400 /nfs/dbraw/zinc/12/04/00/209120400.db2.gz MRVJYXCEYOTUQS-ZDUSSCGKSA-N 0 2 315.391 0.810 20 0 DCADLN CCOc1cccc(NC(=O)C(=O)NC2CN(C(=O)OC)C2)c1 ZINC000271679626 209121863 /nfs/dbraw/zinc/12/18/63/209121863.db2.gz JGMNUNVYHKFVNI-UHFFFAOYSA-N 0 2 321.333 0.591 20 0 DCADLN COC(=O)[C@@H]1[C@H](O)CCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000189606708 291648829 /nfs/dbraw/zinc/64/88/29/291648829.db2.gz XNGGIZZGNIIHHA-KOLCDFICSA-N 0 2 323.374 0.483 20 0 DCADLN C[C@H](O)C[C@@H]1CCCCN1C(=O)CCCN1C(=O)CNC1=O ZINC000331007759 252649064 /nfs/dbraw/zinc/64/90/64/252649064.db2.gz WTWHSQCUUPGAQE-RYUDHWBXSA-N 0 2 311.382 0.675 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC[C@H](O)COC)=N1 ZINC000338975652 253018107 /nfs/dbraw/zinc/01/81/07/253018107.db2.gz WXMOJRSIMNJWGR-LBPRGKRZSA-N 0 2 319.361 0.877 20 0 DCADLN CN1CC[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC1=O ZINC000339399716 253077815 /nfs/dbraw/zinc/07/78/15/253077815.db2.gz ZHPBPZSEJQPBBH-SNVBAGLBSA-N 0 2 316.317 0.837 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N(CCO)CC1CC1 ZINC000339771857 253141175 /nfs/dbraw/zinc/14/11/75/253141175.db2.gz IALIRXZNVYNJPN-UHFFFAOYSA-N 0 2 304.306 0.281 20 0 DCADLN CC[C@H](C[C@H](C)CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000340290411 253231523 /nfs/dbraw/zinc/23/15/23/253231523.db2.gz MCWAUKKCCGIDMG-WCBMZHEXSA-N 0 2 320.349 0.963 20 0 DCADLN CCCS(=O)(=O)Nc1c(OC)cccc1S(N)(=O)=O ZINC000340636674 253286195 /nfs/dbraw/zinc/28/61/95/253286195.db2.gz VHEFREIDBLEZHN-UHFFFAOYSA-N 0 2 308.381 0.494 20 0 DCADLN CN(C(=O)C1=NN(c2ccc(F)cc2)CC1=O)[C@H]1CCNC1=O ZINC000340719834 253295212 /nfs/dbraw/zinc/29/52/12/253295212.db2.gz UEBODRAEEOTPSM-NSHDSACASA-N 0 2 318.308 0.678 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc3c2CNC3=O)cn1 ZINC000340985232 253331823 /nfs/dbraw/zinc/33/18/23/253331823.db2.gz SAIIVOZYHJDRCZ-UHFFFAOYSA-N 0 2 306.347 0.947 20 0 DCADLN C[C@]1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCCOC1 ZINC000272943800 210329950 /nfs/dbraw/zinc/32/99/50/210329950.db2.gz SSGQMMDLAROJMG-JTQLQIEISA-N 0 2 320.396 0.665 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](CO)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000345921508 253987731 /nfs/dbraw/zinc/98/77/31/253987731.db2.gz CNZWMVFPEPUOPF-VIFPVBQESA-N 0 2 320.349 0.739 20 0 DCADLN O=S(=O)(Nc1ccnc2ncnn21)c1ccc2c(c1)CCO2 ZINC000347316372 254147942 /nfs/dbraw/zinc/14/79/42/254147942.db2.gz DTLBGYBRKYMULA-UHFFFAOYSA-N 0 2 317.330 0.860 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@@H]1C ZINC000347209673 254138547 /nfs/dbraw/zinc/13/85/47/254138547.db2.gz STKCYOPORNKJCU-BDAKNGLRSA-N 0 2 318.333 0.698 20 0 DCADLN CN(C)C(=O)CCCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348864954 254262573 /nfs/dbraw/zinc/26/25/73/254262573.db2.gz GINOXXRDLWZPJS-UHFFFAOYSA-N 0 2 318.333 0.628 20 0 DCADLN Cn1cnn(-c2ccc(NS(=O)(=O)c3ccoc3)cc2)c1=O ZINC000350941421 254330120 /nfs/dbraw/zinc/33/01/20/254330120.db2.gz NYMNMSQXJSBATE-UHFFFAOYSA-N 0 2 320.330 0.965 20 0 DCADLN COC(=O)Cn1cc(NS(=O)(=O)c2ccc(C)nc2)cn1 ZINC000349992456 254307642 /nfs/dbraw/zinc/30/76/42/254307642.db2.gz RXLCZINENNTPPJ-UHFFFAOYSA-N 0 2 310.335 0.560 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1cccc(-c2nnnn2C)c1 ZINC000350302593 254315744 /nfs/dbraw/zinc/31/57/44/254315744.db2.gz SNTXFCNFSFIIBU-UHFFFAOYSA-N 0 2 320.334 0.974 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(-c2nn[nH]n2)c1 ZINC000352101212 254398704 /nfs/dbraw/zinc/39/87/04/254398704.db2.gz WSBNTNMLNMPWQD-UHFFFAOYSA-N 0 2 305.323 0.401 20 0 DCADLN Cc1nnnn1-c1cc(NC(=O)C(N)C(F)(F)F)ccc1F ZINC000353193330 254447300 /nfs/dbraw/zinc/44/73/00/254447300.db2.gz ZSYBJYGHPYOBOK-VIFPVBQESA-N 0 2 318.234 0.938 20 0 DCADLN Cc1nnnn1-c1cc(NC(=O)[C@H](N)C(F)(F)F)ccc1F ZINC000353193330 254447301 /nfs/dbraw/zinc/44/73/01/254447301.db2.gz ZSYBJYGHPYOBOK-VIFPVBQESA-N 0 2 318.234 0.938 20 0 DCADLN CO[C@@H](C)CCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000330810160 533326163 /nfs/dbraw/zinc/32/61/63/533326163.db2.gz OAXFHMNPTQPJDO-LURJTMIESA-N 0 2 308.385 0.663 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2cn[nH]c2)cc1F ZINC000161781521 533453123 /nfs/dbraw/zinc/45/31/23/533453123.db2.gz UMUXEKYRQBUNMM-UHFFFAOYSA-N 0 2 319.339 0.753 20 0 DCADLN COCCn1ccc(NS(=O)(=O)c2ccc(OC)nc2)n1 ZINC000285094610 131138016 /nfs/dbraw/zinc/13/80/16/131138016.db2.gz LKNSADFKKXCSOD-UHFFFAOYSA-N 0 2 312.351 0.734 20 0 DCADLN NC(=O)CCCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000089357817 395710619 /nfs/dbraw/zinc/71/06/19/395710619.db2.gz FSWVBPSHTAJLMC-UHFFFAOYSA-N 0 2 302.334 0.963 20 0 DCADLN COc1ccc(OC)c(CN(CCO)Cc2n[nH]c(=O)[nH]2)c1 ZINC000091575632 395726035 /nfs/dbraw/zinc/72/60/35/395726035.db2.gz FXFVSWHQCCSTCT-UHFFFAOYSA-N 0 2 308.338 0.522 20 0 DCADLN COC(=O)Cn1cc(NS(=O)(=O)c2ccc(F)cc2)cn1 ZINC000038030599 395731051 /nfs/dbraw/zinc/73/10/51/395731051.db2.gz VSMHKHKZTOKUJT-UHFFFAOYSA-N 0 2 313.310 0.996 20 0 DCADLN CCN(Cc1ccoc1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000102370601 395769507 /nfs/dbraw/zinc/76/95/07/395769507.db2.gz FJVXZBLDBBMPMQ-UHFFFAOYSA-N 0 2 314.301 0.867 20 0 DCADLN O=C(CS(=O)(=O)c1nc2ccccc2[nH]1)NC[C@@H]1CCCO1 ZINC000047940298 395803619 /nfs/dbraw/zinc/80/36/19/395803619.db2.gz VSXBTVSQTOXQNG-JTQLQIEISA-N 0 2 323.374 0.632 20 0 DCADLN COc1cc(CNS(=O)(=O)NCC(F)(F)F)ccc1O ZINC000195280434 395823023 /nfs/dbraw/zinc/82/30/23/395823023.db2.gz FAEWDRZNDCWNBK-UHFFFAOYSA-N 0 2 314.285 0.887 20 0 DCADLN COCCN(CC(=O)OC)Cc1nc(=O)c2sccc2[nH]1 ZINC000114476796 395834935 /nfs/dbraw/zinc/83/49/35/395834935.db2.gz JJMPXORSJNHYJT-UHFFFAOYSA-N 0 2 311.363 0.606 20 0 DCADLN CN(Cc1cnn(C)c1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000051374990 395849968 /nfs/dbraw/zinc/84/99/68/395849968.db2.gz AKSMGNWEHZAKMK-UHFFFAOYSA-N 0 2 314.305 0.029 20 0 DCADLN Cc1[nH]ncc1CNC(=O)Cn1c(=O)[nH]c(=O)c2ccccc21 ZINC000051382519 395849994 /nfs/dbraw/zinc/84/99/94/395849994.db2.gz APBYKUGNISCIBE-UHFFFAOYSA-N 0 2 313.317 0.038 20 0 DCADLN O=C1C[C@H]([NH2+]C[C@H]2CCC=CO2)CN1CC[NH+]1CCOCC1 ZINC000194564504 395799751 /nfs/dbraw/zinc/79/97/51/395799751.db2.gz DTLHTQBCVNCIJH-LSDHHAIUSA-N 0 2 309.410 0.202 20 0 DCADLN CC(C)COCCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000054254707 395895987 /nfs/dbraw/zinc/89/59/87/395895987.db2.gz WMPRGNDVRNZXGY-UHFFFAOYSA-N 0 2 320.349 0.816 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000132388560 395926902 /nfs/dbraw/zinc/92/69/02/395926902.db2.gz FAECTEZSEQBJKC-JTQLQIEISA-N 0 2 318.308 0.972 20 0 DCADLN O=C(NCC1(C2CC2)CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000119087479 395867126 /nfs/dbraw/zinc/86/71/26/395867126.db2.gz MTOHNHCRLXOEAA-UHFFFAOYSA-N 0 2 300.318 0.531 20 0 DCADLN CN(C)C(=O)Cn1cc(NC(=O)c2ccc3[nH]nnc3c2)cn1 ZINC000066672897 396012883 /nfs/dbraw/zinc/01/28/83/396012883.db2.gz PYAWVPZNVINHMH-UHFFFAOYSA-N 0 2 313.321 0.495 20 0 DCADLN CSC[C@@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000066828274 396015381 /nfs/dbraw/zinc/01/53/81/396015381.db2.gz NRJZWEYTWLCNRT-RXMQYKEDSA-N 0 2 310.426 0.847 20 0 DCADLN O=C(CSc1nnc2ccccn21)NOC[C@H]1CCOC1 ZINC000276684608 396021359 /nfs/dbraw/zinc/02/13/59/396021359.db2.gz WONAHSRJDVCDRC-JTQLQIEISA-N 0 2 308.363 0.906 20 0 DCADLN O=C([O-])[C@@H]1CCCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000262126417 396102001 /nfs/dbraw/zinc/10/20/01/396102001.db2.gz NCCHUMFMGCZDDF-VXGBXAGGSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@@H]1CCCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000262126417 396102004 /nfs/dbraw/zinc/10/20/04/396102004.db2.gz NCCHUMFMGCZDDF-VXGBXAGGSA-N 0 2 306.366 0.739 20 0 DCADLN C[C@@H](C(=O)NCCC(=O)[O-])[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000262291455 396118644 /nfs/dbraw/zinc/11/86/44/396118644.db2.gz YQKFJSNWWKAHRH-LBPRGKRZSA-N 0 2 323.368 0.927 20 0 DCADLN CCOc1ccc(C(=O)NCC(=O)NOCC(C)(C)O)cc1 ZINC000278528305 396129270 /nfs/dbraw/zinc/12/92/70/396129270.db2.gz CWDYOEFYKXVOAV-UHFFFAOYSA-N 0 2 310.350 0.634 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nnc(C3CC3)o2)c[nH]1 ZINC000278541004 396130312 /nfs/dbraw/zinc/13/03/12/396130312.db2.gz XGEZMLIWZQNJTG-UHFFFAOYSA-N 0 2 312.307 0.863 20 0 DCADLN Cc1cc(NC(=O)CSCC(=O)NOCC(C)(C)O)no1 ZINC000278635152 396136600 /nfs/dbraw/zinc/13/66/00/396136600.db2.gz NLOYIPFMJRUZKO-UHFFFAOYSA-N 0 2 317.367 0.473 20 0 DCADLN Cn1cc(N2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2=O)cn1 ZINC000277603286 396077271 /nfs/dbraw/zinc/07/72/71/396077271.db2.gz HEZJLZNMVIZRBP-SFYZADRCSA-N 0 2 308.235 0.542 20 0 DCADLN Cn1cc(N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2=O)cn1 ZINC000277603286 396077274 /nfs/dbraw/zinc/07/72/74/396077274.db2.gz HEZJLZNMVIZRBP-SFYZADRCSA-N 0 2 308.235 0.542 20 0 DCADLN O=C(CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O)NC1CC1 ZINC000176974890 396163879 /nfs/dbraw/zinc/16/38/79/396163879.db2.gz QGHSKKAKTXNYKJ-UHFFFAOYSA-N 0 2 318.308 0.725 20 0 DCADLN COCCOc1cccc(CNC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])c1 ZINC000262674354 396171527 /nfs/dbraw/zinc/17/15/27/396171527.db2.gz SYDWGFZBIXQTIN-LBPRGKRZSA-N 0 2 324.377 0.733 20 0 DCADLN COCCOc1cccc(CNC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])c1 ZINC000262674354 396171529 /nfs/dbraw/zinc/17/15/29/396171529.db2.gz SYDWGFZBIXQTIN-LBPRGKRZSA-N 0 2 324.377 0.733 20 0 DCADLN CC(C)N1C(=O)C[C@H](NC(=O)c2cccc3[nH]nnc32)C1=O ZINC000182794136 396254564 /nfs/dbraw/zinc/25/45/64/396254564.db2.gz LUWGXPHHZXPHDR-JTQLQIEISA-N 0 2 301.306 0.224 20 0 DCADLN CNC(=O)CN(C)C(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000081568256 396304769 /nfs/dbraw/zinc/30/47/69/396304769.db2.gz AEQUGAKDLCZUNA-UHFFFAOYSA-N 0 2 320.324 0.843 20 0 DCADLN CNC(=O)[C@@H](C)NC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000081845518 396309336 /nfs/dbraw/zinc/30/93/36/396309336.db2.gz OAECRDCFWDSABA-SECBINFHSA-N 0 2 320.324 0.890 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(N3CCCC3)nc2)c1O ZINC000285814214 396355847 /nfs/dbraw/zinc/35/58/47/396355847.db2.gz BIEFNDISLVUYDF-LBPRGKRZSA-N 0 2 318.333 0.670 20 0 DCADLN COCc1nc(S(=O)(=O)CC(=O)NCCC(C)(C)C)n[nH]1 ZINC000268689832 396367558 /nfs/dbraw/zinc/36/75/58/396367558.db2.gz YVHOLZCZSIBXNX-UHFFFAOYSA-N 0 2 318.399 0.277 20 0 DCADLN CC(C)NS(=O)(=O)CCNC(=O)c1cccc2[nH]nnc21 ZINC000187606101 396371658 /nfs/dbraw/zinc/37/16/58/396371658.db2.gz RKDROROVJDVNPK-UHFFFAOYSA-N 0 2 311.367 0.016 20 0 DCADLN CS[C@H](CO)[C@@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000269132598 396388202 /nfs/dbraw/zinc/38/82/02/396388202.db2.gz HVCYWSZHAVXJLQ-HZGVNTEJSA-N 0 2 324.362 0.278 20 0 DCADLN COCCOCCS(=O)(=O)Nc1cccc2c1OCCO2 ZINC000269174061 396392174 /nfs/dbraw/zinc/39/21/74/396392174.db2.gz WNUDPPHWMWQOOC-UHFFFAOYSA-N 0 2 317.363 0.863 20 0 DCADLN COCC[C@@H](NC(=O)c1cc(F)c(O)c(F)c1)C(=O)OC ZINC000291514964 396495898 /nfs/dbraw/zinc/49/58/98/396495898.db2.gz JCPCTMMODRYVDG-SNVBAGLBSA-N 0 2 303.261 0.978 20 0 DCADLN CC[C@@H](CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)OC ZINC000291372392 396486895 /nfs/dbraw/zinc/48/68/95/396486895.db2.gz DECJABOPUDECJB-QMMMGPOBSA-N 0 2 306.322 0.899 20 0 DCADLN CC[C@H](CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)OC ZINC000291372391 396487116 /nfs/dbraw/zinc/48/71/16/396487116.db2.gz DECJABOPUDECJB-MRVPVSSYSA-N 0 2 306.322 0.899 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@@H+]1CCC[C@H](N2CCCC2=O)C1 ZINC000519176730 396518499 /nfs/dbraw/zinc/51/84/99/396518499.db2.gz HRULQJUJGURMGQ-LSDHHAIUSA-N 0 2 313.442 0.012 20 0 DCADLN COC(=O)c1oc(S(=O)(=O)NCC(F)(F)CO)cc1C ZINC000595329350 396588712 /nfs/dbraw/zinc/58/87/12/396588712.db2.gz QYRFRYIDGFDGAO-UHFFFAOYSA-N 0 2 313.278 0.281 20 0 DCADLN CCC(=O)N[C@@H](C)C(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000634154073 396797107 /nfs/dbraw/zinc/79/71/07/396797107.db2.gz YLSCZAZCPTVEFY-ZETCQYMHSA-N 0 2 300.384 0.695 20 0 DCADLN CC[C@@H](NC(C)=O)C(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000634150639 396797782 /nfs/dbraw/zinc/79/77/82/396797782.db2.gz ZFEHLXQOFSXYMK-MRVPVSSYSA-N 0 2 300.384 0.695 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@H]2CC(=O)N(C3CC3)C2)s[nH]1 ZINC000634154090 396798030 /nfs/dbraw/zinc/79/80/30/396798030.db2.gz YZJBCQNEBHFZOR-QMMMGPOBSA-N 0 2 324.406 0.791 20 0 DCADLN O=c1[n-]c(C[N@H+](Cc2ccccc2)[C@@]2(CO)CCOC2)n[nH]1 ZINC000625390150 396857034 /nfs/dbraw/zinc/85/70/34/396857034.db2.gz OYOLWTIHTYFBSB-OAHLLOKOSA-N 0 2 304.350 0.664 20 0 DCADLN O=c1[n-]c(C[N@@H+](Cc2ccccc2)[C@@]2(CO)CCOC2)n[nH]1 ZINC000625390150 396857037 /nfs/dbraw/zinc/85/70/37/396857037.db2.gz OYOLWTIHTYFBSB-OAHLLOKOSA-N 0 2 304.350 0.664 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1nnc([C@@H]2CCCO2)s1 ZINC000634664379 396896725 /nfs/dbraw/zinc/89/67/25/396896725.db2.gz AMHMVKIFDQVWBF-ZETCQYMHSA-N 0 2 315.380 0.924 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)c1cnc(C)n1C ZINC000600651190 396905455 /nfs/dbraw/zinc/90/54/55/396905455.db2.gz WHDQFIFJUPOSAM-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cnn(CCF)c2)c[nH]1 ZINC000600661622 396908583 /nfs/dbraw/zinc/90/85/83/396908583.db2.gz XKNNBAJOIIMMAV-UHFFFAOYSA-N 0 2 316.314 0.768 20 0 DCADLN C[C@@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H]1C ZINC000131534200 296544127 /nfs/dbraw/zinc/54/41/27/296544127.db2.gz IVBUFSHDHOPANW-RKDXNWHRSA-N 0 2 302.334 0.730 20 0 DCADLN COC(=O)C[C@H]1COCCN1CC(=O)NOCc1ccccc1 ZINC000376542271 396943658 /nfs/dbraw/zinc/94/36/58/396943658.db2.gz QCXMLHMPCAKAGK-AWEZNQCLSA-N 0 2 322.361 0.498 20 0 DCADLN Cc1cc(C)[nH+]c(S([O-])=CC(=O)N[C@@H](C)C(=O)N(C)C)c1 ZINC000618534100 396990402 /nfs/dbraw/zinc/99/04/02/396990402.db2.gz OPKNVNKJUQVPIU-WIUDPPPLSA-N 0 2 311.407 0.399 20 0 DCADLN C[C@@H](C[S@](C)=O)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597499034 396992561 /nfs/dbraw/zinc/99/25/61/396992561.db2.gz LGWTWKHASPYHCQ-PPRQPISWSA-N 0 2 309.347 0.527 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@H]2CCCC(=O)N2)c1 ZINC000591779500 397001169 /nfs/dbraw/zinc/00/11/69/397001169.db2.gz JCRAPDKJEXHDJI-SAHAZLINSA-N 0 2 324.402 0.588 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCO[C@H](OC)C2)c1 ZINC000597538923 397004999 /nfs/dbraw/zinc/00/49/99/397004999.db2.gz FQKMIZDSXUZQIW-LHSJRXKWSA-N 0 2 313.375 0.634 20 0 DCADLN C[S@@](=O)C1(CNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000597622056 397035481 /nfs/dbraw/zinc/03/54/81/397035481.db2.gz SCCNQGJEZQVZOW-JOCHJYFZSA-N 0 2 321.358 0.671 20 0 DCADLN O=C(CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)NC(C1CC1)C1CC1 ZINC000377484623 397086848 /nfs/dbraw/zinc/08/68/48/397086848.db2.gz LUIPPMGALIKNJV-LBPRGKRZSA-N 0 2 319.409 0.995 20 0 DCADLN COCC[N@@H+](C)C[C@H](O)C[NH+]1CCCC[C@@H]1c1ccnn1C ZINC000573054574 397127688 /nfs/dbraw/zinc/12/76/88/397127688.db2.gz ZBCRKMPAEOOPFG-GOEBONIOSA-N 0 2 310.442 0.886 20 0 DCADLN COCC[N@@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccc(C)o1 ZINC000573126580 397138105 /nfs/dbraw/zinc/13/81/05/397138105.db2.gz WRYKXZADZFWCOS-UHFFFAOYSA-N 0 2 308.338 0.913 20 0 DCADLN COCC[N@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccc(C)o1 ZINC000573126580 397138108 /nfs/dbraw/zinc/13/81/08/397138108.db2.gz WRYKXZADZFWCOS-UHFFFAOYSA-N 0 2 308.338 0.913 20 0 DCADLN COC[C@@](C)(O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000573150033 397139618 /nfs/dbraw/zinc/13/96/18/397139618.db2.gz KCJOXYYMIZITAI-HNNXBMFYSA-N 0 2 305.334 0.705 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@H]2C(F)(F)F)on1 ZINC000601519717 397162267 /nfs/dbraw/zinc/16/22/67/397162267.db2.gz GDBSKKLRMGATTG-RFZPGFLSSA-N 0 2 314.241 0.690 20 0 DCADLN Cc1nnc(CCNC(=O)c2cn[nH]c2-c2cnn(C)c2)s1 ZINC000573769137 397203224 /nfs/dbraw/zinc/20/32/24/397203224.db2.gz GLMDDWBGYMQHBA-UHFFFAOYSA-N 0 2 317.378 0.943 20 0 DCADLN C[C@@H]1CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CC[C@@H]1C(=O)[O-] ZINC000626761220 397233838 /nfs/dbraw/zinc/23/38/38/397233838.db2.gz UCWRUYYRZNFJKJ-WOPDTQHZSA-N 0 2 306.366 0.950 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@H](O)CCSC)s[nH]1 ZINC000601795956 397246469 /nfs/dbraw/zinc/24/64/69/397246469.db2.gz NKVIJIQLGLAGID-ZETCQYMHSA-N 0 2 305.425 0.894 20 0 DCADLN O=C(NC[C@H]1COC2(CCOCC2)O1)[C@@H](F)C(F)(F)F ZINC000365596170 397299284 /nfs/dbraw/zinc/29/92/84/397299284.db2.gz RXTQMKBHULKYCR-JGVFFNPUSA-N 0 2 301.236 0.925 20 0 DCADLN O=C(NC[C@H]1COC2(CCOCC2)O1)C(F)C(F)(F)F ZINC000365596170 397299287 /nfs/dbraw/zinc/29/92/87/397299287.db2.gz RXTQMKBHULKYCR-JGVFFNPUSA-N 0 2 301.236 0.925 20 0 DCADLN O=C(CN1CCCNC1=O)Nc1nc(-c2ccccc2F)n[nH]1 ZINC000598914914 397307544 /nfs/dbraw/zinc/30/75/44/397307544.db2.gz NUSXMKACLUGVHW-UHFFFAOYSA-N 0 2 318.312 0.965 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000620308665 397259126 /nfs/dbraw/zinc/25/91/26/397259126.db2.gz QCFJKBIRPLPHJY-ZCFIWIBFSA-N 0 2 320.396 0.663 20 0 DCADLN CC1CCC(O)(CNC(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000621097612 397338906 /nfs/dbraw/zinc/33/89/06/397338906.db2.gz DEKWQFUHCLTHGS-UHFFFAOYSA-N 0 2 300.384 0.660 20 0 DCADLN C[S@@](=O)C1(CNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC1 ZINC000577574073 397342657 /nfs/dbraw/zinc/34/26/57/397342657.db2.gz VTDJEWVZKAXYIE-JOCHJYFZSA-N 0 2 321.358 0.671 20 0 DCADLN C[C@@]1(C(N)=O)CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000614310621 397419258 /nfs/dbraw/zinc/41/92/58/397419258.db2.gz XVAYNZHHOUHUDC-OAHLLOKOSA-N 0 2 316.317 0.367 20 0 DCADLN O=C(CCNC(=O)OCC(F)(F)F)OCc1n[nH]c(=O)[nH]1 ZINC000494694366 397532282 /nfs/dbraw/zinc/53/22/82/397532282.db2.gz PTAYLYNWGKVZAL-UHFFFAOYSA-N 0 2 312.204 0.232 20 0 DCADLN O=C(CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)NCC1CC1 ZINC000579956271 397600269 /nfs/dbraw/zinc/60/02/69/397600269.db2.gz IYEQSSUXWDMWKL-SNVBAGLBSA-N 0 2 307.354 0.133 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC[S@@]1=O ZINC000610091581 397802865 /nfs/dbraw/zinc/80/28/65/397802865.db2.gz COVNFHBHRZRUMH-AUADJRAKSA-N 0 2 321.358 0.623 20 0 DCADLN COC(=O)COc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000040824624 157991272 /nfs/dbraw/zinc/99/12/72/157991272.db2.gz OJSPBFMNXANKMB-UHFFFAOYSA-N 0 2 311.319 0.762 20 0 DCADLN CC[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000047477927 158003101 /nfs/dbraw/zinc/00/31/01/158003101.db2.gz SEKXTMRWCMYNCS-VIFPVBQESA-N 0 2 304.306 0.275 20 0 DCADLN CS(=O)(=O)c1cccc(S(=O)(=O)Nc2cn[nH]c2)c1 ZINC000090230814 158102926 /nfs/dbraw/zinc/10/29/26/158102926.db2.gz XAKMGOCYJILJDM-UHFFFAOYSA-N 0 2 301.349 0.614 20 0 DCADLN CCN(CC(=O)NCc1ccc(F)cc1)Cc1n[nH]c(=O)[nH]1 ZINC000092617321 158114678 /nfs/dbraw/zinc/11/46/78/158114678.db2.gz BMQCOCKSKLVYCE-UHFFFAOYSA-N 0 2 307.329 0.375 20 0 DCADLN COC(=O)CNC(=O)[C@H](C)[N@@H+]1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC000131341875 158301555 /nfs/dbraw/zinc/30/15/55/158301555.db2.gz PUYVDSBALBEMQH-QWHCGFSZSA-N 0 2 322.409 0.352 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)CN1Cc1nc(=O)c2sccc2[nH]1 ZINC000158699938 158352794 /nfs/dbraw/zinc/35/27/94/158352794.db2.gz VIPWDNYXZBVLBM-CBAPKCEASA-N 0 2 309.347 0.093 20 0 DCADLN NC(=O)c1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)s1 ZINC000329089607 159060336 /nfs/dbraw/zinc/06/03/36/159060336.db2.gz WEAXQTQEZBJSOA-ZETCQYMHSA-N 0 2 321.362 0.691 20 0 DCADLN COCc1nnc([C@H]2CCCCN2S(=O)(=O)C2CC2)[nH]1 ZINC000329417756 159090417 /nfs/dbraw/zinc/09/04/17/159090417.db2.gz GNYQEIJZNPBTPQ-SNVBAGLBSA-N 0 2 300.384 0.970 20 0 DCADLN O=C(NC[C@@H]1C[NH+](C2CC2)CCO1)N1CC[N@H+]2CCC[C@@H]2C1 ZINC000368167366 159379902 /nfs/dbraw/zinc/37/99/02/159379902.db2.gz QHJRVYBRTIZJKR-HUUCEWRRSA-N 0 2 308.426 0.339 20 0 DCADLN CCCCN(C)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000408191372 160057048 /nfs/dbraw/zinc/05/70/48/160057048.db2.gz FNJXQXGIRLVEBZ-SNVBAGLBSA-N 0 2 317.415 0.666 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)[C@H]2C[C@]23CCOC3)cn1 ZINC000408486471 160118427 /nfs/dbraw/zinc/11/84/27/160118427.db2.gz SQVUUPRPVYCUSY-MFKMUULPSA-N 0 2 312.347 0.322 20 0 DCADLN O=C(COCCOc1ccccc1)NCCCc1n[nH]c(=O)[nH]1 ZINC000080975505 286928066 /nfs/dbraw/zinc/92/80/66/286928066.db2.gz WIOYHBPFCNIDPI-UHFFFAOYSA-N 0 2 320.349 0.242 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)NC(=O)/C=C\c1cnn(C)c1C ZINC000255721102 287126402 /nfs/dbraw/zinc/12/64/02/287126402.db2.gz IPAVHDWZJDYMTH-WAYWQWQTSA-N 0 2 324.362 0.852 20 0 DCADLN CC(C)(C(=O)NCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)OCCO2 ZINC000331645818 415168781 /nfs/dbraw/zinc/16/87/81/415168781.db2.gz JIRVHABZPSLISO-UHFFFAOYSA-N 0 2 318.333 0.876 20 0 DCADLN C[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)NC(C)(C)C ZINC000352470712 415189095 /nfs/dbraw/zinc/18/90/95/415189095.db2.gz MEKFUFZGYRZELC-ZCFIWIBFSA-N 0 2 301.372 0.022 20 0 DCADLN Cc1n[nH]cc1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342796662 415298959 /nfs/dbraw/zinc/29/89/59/415298959.db2.gz LCRIZRCOVLNLFK-UHFFFAOYSA-N 0 2 300.278 0.398 20 0 DCADLN O=C(NCCNc1nc(C(F)(F)F)ns1)c1ccn[nH]1 ZINC000342936956 415364412 /nfs/dbraw/zinc/36/44/12/415364412.db2.gz FFIZZFCPSOIZFR-UHFFFAOYSA-N 0 2 306.273 0.544 20 0 DCADLN COc1cccc(C(=O)NCC(=O)NOC[C@@H]2CCOC2)c1 ZINC000276264267 415460585 /nfs/dbraw/zinc/46/05/85/415460585.db2.gz IZJOEGJBIMPGLU-LLVKDONJSA-N 0 2 308.334 0.509 20 0 DCADLN NC(C(=O)Nc1cccc(CS(N)(=O)=O)c1)C(F)(F)F ZINC000353193682 415469137 /nfs/dbraw/zinc/46/91/37/415469137.db2.gz RWORBCRFZULPJN-QMMMGPOBSA-N 0 2 311.285 0.303 20 0 DCADLN N[C@@H](C(=O)Nc1cccc(CS(N)(=O)=O)c1)C(F)(F)F ZINC000353193682 415469150 /nfs/dbraw/zinc/46/91/50/415469150.db2.gz RWORBCRFZULPJN-QMMMGPOBSA-N 0 2 311.285 0.303 20 0 DCADLN NC(=O)c1ccc(C(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)cn1 ZINC000353319689 415504615 /nfs/dbraw/zinc/50/46/15/415504615.db2.gz GYJKDPBKAHTDGX-SNVBAGLBSA-N 0 2 316.321 0.372 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCc1cccnn1 ZINC000280218731 415534971 /nfs/dbraw/zinc/53/49/71/415534971.db2.gz WNYRBKOYNLBUNU-VIFPVBQESA-N 0 2 304.272 0.796 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCO[C@H](c2ccccc2Cl)C1 ZINC000103525682 415619985 /nfs/dbraw/zinc/61/99/85/415619985.db2.gz MHPRXDTZRVWZCQ-JTQLQIEISA-N 0 2 308.725 0.965 20 0 DCADLN CN(C)C(=O)[C@H]1CCC[N@@H+]1CCCNC(=O)CCc1[nH]cc[nH+]1 ZINC000287375635 415664564 /nfs/dbraw/zinc/66/45/64/415664564.db2.gz LALPHZGPEWKHSG-CYBMUJFWSA-N 0 2 321.425 0.401 20 0 DCADLN Cn1[nH]c(=NC(=O)N=c2nc3n([nH]2)CCCC3)cc1C1CC1 ZINC000333611918 415696599 /nfs/dbraw/zinc/69/65/99/415696599.db2.gz FACYYTAXEYGGSD-UHFFFAOYSA-N 0 2 301.354 0.713 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccc(OC)nn2)c[nH]1 ZINC000354124617 415762815 /nfs/dbraw/zinc/76/28/15/415762815.db2.gz MWONGXTWOULVML-UHFFFAOYSA-N 0 2 312.307 0.401 20 0 DCADLN C[C@@H](c1nnnn1C1CC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000344085541 415837353 /nfs/dbraw/zinc/83/73/53/415837353.db2.gz HIRJVDLYNSTQNQ-IUCAKERBSA-N 0 2 304.358 0.772 20 0 DCADLN O=C(N[C@H]1CCCS(=O)(=O)C1)N1CCO[C@H](C2CCC2)C1 ZINC000334034566 415787718 /nfs/dbraw/zinc/78/77/18/415787718.db2.gz MWLOWHUCYQLAJX-STQMWFEESA-N 0 2 316.423 0.774 20 0 DCADLN C[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)[C@H](O)c1ccccc1 ZINC000290568017 415820402 /nfs/dbraw/zinc/82/04/02/415820402.db2.gz GKJJGJQSFQBKBQ-KCJUWKMLSA-N 0 2 308.363 0.428 20 0 DCADLN C[C@@H](C[S@@](C)=O)N(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344392292 415904127 /nfs/dbraw/zinc/90/41/27/415904127.db2.gz LPDZGHORMNXIBG-HXNGOWOSSA-N 0 2 310.379 0.513 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2[C@H]3CCCO[C@H]32)s1 ZINC000356352901 415904506 /nfs/dbraw/zinc/90/45/06/415904506.db2.gz WGRDFEFFAJKTGA-FSDSQADBSA-N 0 2 318.380 0.273 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)CCN2C(N)=O ZINC000338126479 416047939 /nfs/dbraw/zinc/04/79/39/416047939.db2.gz BUAIYNDWKZNCEO-UHFFFAOYSA-N 0 2 321.362 0.662 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnnn1-c1cccc(F)c1 ZINC000345179735 416057729 /nfs/dbraw/zinc/05/77/29/416057729.db2.gz GCSLVPGLTZQMLH-UHFFFAOYSA-N 0 2 303.257 0.160 20 0 DCADLN Cc1c(C(=O)[O-])cccc1S(=O)(=O)NCCc1cn(C)c[nH+]1 ZINC000313195008 416107327 /nfs/dbraw/zinc/10/73/27/416107327.db2.gz XEDLHNFZGDFWII-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN CS(=O)(=O)N1CCC[C@H](C(=O)Nc2ccc3nn[nH]c3c2)C1 ZINC000175826441 416114974 /nfs/dbraw/zinc/11/49/74/416114974.db2.gz BVDMQEWONGPWHM-VIFPVBQESA-N 0 2 323.378 0.568 20 0 DCADLN Cc1c(C(=O)NCc2n[nH]c(=O)[nH]2)nnn1-c1ccc(C)cc1 ZINC000358354384 416227901 /nfs/dbraw/zinc/22/79/01/416227901.db2.gz KMIIIDWZZABYHE-UHFFFAOYSA-N 0 2 313.321 0.638 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H](CCO)C1CCCCC1 ZINC000187207258 416260337 /nfs/dbraw/zinc/26/03/37/416260337.db2.gz NCYOYWLIZJBWPX-SNVBAGLBSA-N 0 2 314.411 0.638 20 0 DCADLN Nc1ncncc1-c1noc(-c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000350835180 416271590 /nfs/dbraw/zinc/27/15/90/416271590.db2.gz DVXPYAMFMWYFOC-UHFFFAOYSA-N 0 2 324.260 0.525 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1n[nH]c2cccc(F)c21 ZINC000331193181 416283366 /nfs/dbraw/zinc/28/33/66/416283366.db2.gz RVBMLEGDLLOAAK-SSDOTTSWSA-N 0 2 314.342 0.920 20 0 DCADLN NS(=O)(=O)[C@H]1CCN(C(=O)c2cc(F)cc(Cl)c2O)C1 ZINC000331237426 416294610 /nfs/dbraw/zinc/29/46/10/416294610.db2.gz NAGWEHYZPNQLPH-ZETCQYMHSA-N 0 2 322.745 0.688 20 0 DCADLN CCN(CC)C(=O)CN(C)S(=O)(=O)NCC(F)(F)F ZINC000192005288 416294700 /nfs/dbraw/zinc/29/47/00/416294700.db2.gz BTVSYPYOZYNCIU-UHFFFAOYSA-N 0 2 305.322 0.183 20 0 DCADLN COc1ccc(Cl)cc1NCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358950870 416296553 /nfs/dbraw/zinc/29/65/53/416296553.db2.gz KEJFNWHGLPNWQO-UHFFFAOYSA-N 0 2 311.729 0.901 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000249550410 416334404 /nfs/dbraw/zinc/33/44/04/416334404.db2.gz KWQWATGDSZEETN-YIZRAAEISA-N 0 2 302.318 0.930 20 0 DCADLN COCC[N@@H+]1CCN(S(=O)(=O)[N-]CC(F)(F)F)C[C@H]1C ZINC000195264666 416325848 /nfs/dbraw/zinc/32/58/48/416325848.db2.gz KGYFBIWAXYDNRQ-SECBINFHSA-N 0 2 319.349 0.036 20 0 DCADLN COCC[N@H+]1CCN(S(=O)(=O)[N-]CC(F)(F)F)C[C@H]1C ZINC000195264666 416325849 /nfs/dbraw/zinc/32/58/49/416325849.db2.gz KGYFBIWAXYDNRQ-SECBINFHSA-N 0 2 319.349 0.036 20 0 DCADLN Cc1cccc(C(=O)N[C@@H](C)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000359835668 416362223 /nfs/dbraw/zinc/36/22/23/416362223.db2.gz XMEMYIAUOSCLAY-VIFPVBQESA-N 0 2 303.322 0.253 20 0 DCADLN CO[C@@H]1C[C@@H](NS(=O)(=O)NCC(F)(F)F)C12CCC2 ZINC000416558988 416375849 /nfs/dbraw/zinc/37/58/49/416375849.db2.gz JIBZXJHAHFIAPD-HTQZYQBOSA-N 0 2 302.318 0.930 20 0 DCADLN COC(=O)[C@H](C)N(C(=O)CSc1n[nH]c(=O)[nH]1)C1CCC1 ZINC000360432914 416389788 /nfs/dbraw/zinc/38/97/88/416389788.db2.gz CNNXPFRKGOJUJF-ZETCQYMHSA-N 0 2 314.367 0.545 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)C(=O)NC2 ZINC000361919857 416522376 /nfs/dbraw/zinc/52/23/76/416522376.db2.gz VDDFVHYHHPPPPD-UHFFFAOYSA-N 0 2 305.319 0.485 20 0 DCADLN COc1ccc(F)c(N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000361923071 416524741 /nfs/dbraw/zinc/52/47/41/416524741.db2.gz APNNEVVXPHQOOF-UHFFFAOYSA-N 0 2 307.329 0.980 20 0 DCADLN N[C@H](C(=O)Nc1c[nH]cc(Br)c1=O)C(F)(F)F ZINC000423757338 416562004 /nfs/dbraw/zinc/56/20/04/416562004.db2.gz CWOWEIGCNKMXGI-ZCFIWIBFSA-N 0 2 314.061 0.966 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cnn(C)c1C(F)(F)F ZINC000436164393 416549829 /nfs/dbraw/zinc/54/98/29/416549829.db2.gz KDRKWFFRGLZLAX-UHFFFAOYSA-N 0 2 309.273 0.973 20 0 DCADLN Cc1ncc(C(=O)N=c2nc(-c3cccnc3)[nH]s2)c(N)n1 ZINC000535231935 416519622 /nfs/dbraw/zinc/51/96/22/416519622.db2.gz XNILCOOELKAJBU-UHFFFAOYSA-N 0 2 313.346 0.955 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N[C@H](C)C(N)=O)=N1 ZINC000438900944 416603525 /nfs/dbraw/zinc/60/35/25/416603525.db2.gz GVMRGDHBKPQPMI-SECBINFHSA-N 0 2 302.334 0.744 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2cccc(C)c2)n1 ZINC000437313238 416582073 /nfs/dbraw/zinc/58/20/73/416582073.db2.gz QKRLXAPJPICPNK-UHFFFAOYSA-N 0 2 310.335 0.560 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C\c1cncc(C)c1 ZINC000493287747 416640745 /nfs/dbraw/zinc/64/07/45/416640745.db2.gz FRDMUMTVQBIORX-ARJAWSKDSA-N 0 2 322.346 0.787 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC[C@H]2C(N)=O)c1 ZINC000424556900 416663711 /nfs/dbraw/zinc/66/37/11/416663711.db2.gz HTOZFRXAGVWUDO-LAJNKCICSA-N 0 2 310.375 0.279 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCCNC(C)=O)c1 ZINC000424562731 416663880 /nfs/dbraw/zinc/66/38/80/416663880.db2.gz KKZIAISZQIAJQT-OAQYLSRUSA-N 0 2 312.391 0.445 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2C[C@@H](C)[C@H](CO)C2)c1 ZINC000424795540 416669225 /nfs/dbraw/zinc/66/92/25/416669225.db2.gz CIJUHSSYIRKJCO-IDFMNXDXSA-N 0 2 311.403 0.890 20 0 DCADLN CCC[C@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(N)=O ZINC000614793945 416706264 /nfs/dbraw/zinc/70/62/64/416706264.db2.gz DBFRHYUFAAPFCC-JTQLQIEISA-N 0 2 304.306 0.414 20 0 DCADLN COc1cccc(CCNS(=O)(=O)NCC(F)(F)F)n1 ZINC000443009621 416725016 /nfs/dbraw/zinc/72/50/16/416725016.db2.gz BXELQWOLSQXWQV-UHFFFAOYSA-N 0 2 313.301 0.619 20 0 DCADLN CC[C@H](C)C[C@@H](NS(=O)(=O)NCC(F)(F)F)C(=O)OC ZINC000516924916 416756656 /nfs/dbraw/zinc/75/66/56/416756656.db2.gz YEGHCTVSVVVZCD-JGVFFNPUSA-N 0 2 320.333 0.951 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(-n3cncn3)nc2)cnn1C ZINC000427129177 416802619 /nfs/dbraw/zinc/80/26/19/416802619.db2.gz URUJQBSHILKGKU-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc3c(c2)C(=O)NC3)cnn1C ZINC000427217733 416807924 /nfs/dbraw/zinc/80/79/24/416807924.db2.gz RYMFQNYACFYHBL-UHFFFAOYSA-N 0 2 306.347 0.773 20 0 DCADLN CC(C)Cc1nsc(NC[C@@H]2CS(=O)(=O)CCO2)n1 ZINC000560392742 416849526 /nfs/dbraw/zinc/84/95/26/416849526.db2.gz RPCFZHQLAQEYSS-SECBINFHSA-N 0 2 305.425 0.384 20 0 DCADLN CCn1nc(C)c(C[NH2+]CCC[N@@H+]2CCC[C@H]2C(N)=O)c1C ZINC000623618479 416851938 /nfs/dbraw/zinc/85/19/38/416851938.db2.gz SYOSZPZUZREIBX-HNNXBMFYSA-N 0 2 307.442 0.949 20 0 DCADLN CO[C@@H](C)c1nsc(NC[C@H](CO)Cc2cnn(C)c2)n1 ZINC000641646421 416959505 /nfs/dbraw/zinc/95/95/05/416959505.db2.gz HSJJGWIRVGHLDW-GXSJLCMTSA-N 0 2 311.411 0.664 20 0 DCADLN COCC[C@@H](NC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+])c1ccc(C)o1 ZINC000565294923 416963648 /nfs/dbraw/zinc/96/36/48/416963648.db2.gz XTYZRRNVMOBWNE-ZIAGYGMSSA-N 0 2 324.425 0.950 20 0 DCADLN Nc1nc(N2CCC[N@H+](Cc3ccc(F)cc3)CC2)nc(N)[nH+]1 ZINC000623827757 416900093 /nfs/dbraw/zinc/90/00/93/416900093.db2.gz WARZHJSKTJIKJI-UHFFFAOYSA-N 0 2 317.372 0.548 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(-n3cccn3)cc2)c1O ZINC000445912170 416906336 /nfs/dbraw/zinc/90/63/36/416906336.db2.gz JCBJZQWNXWPODF-LBPRGKRZSA-N 0 2 314.301 0.860 20 0 DCADLN CC(=O)NCCN(C)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000565029404 416909824 /nfs/dbraw/zinc/90/98/24/416909824.db2.gz PHLSQVJYIUNQAW-UHFFFAOYSA-N 0 2 302.334 0.786 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1csnc1C(=O)OC ZINC000446728867 416941677 /nfs/dbraw/zinc/94/16/77/416941677.db2.gz QSEYHUVELAULRK-UHFFFAOYSA-N 0 2 316.364 0.947 20 0 DCADLN C[N@H+](C[C@@H](O)C[NH2+]Cc1cccnc1N1CCOCC1)C1CC1 ZINC000520567604 416969176 /nfs/dbraw/zinc/96/91/76/416969176.db2.gz NWUCTKQEJYBMOY-INIZCTEOSA-N 0 2 320.437 0.463 20 0 DCADLN C[C@@H](O)CNc1cc(NC[C@H](C(=O)[O-])c2ccccc2)[nH+]cn1 ZINC000566297729 417043754 /nfs/dbraw/zinc/04/37/54/417043754.db2.gz QDQRRFPLOZKQCB-YPMHNXCESA-N 0 2 316.361 1.550 20 0 DCADLN C[C@@H](O)CNc1cc(NC[C@H](C(=O)[O-])c2ccccc2)nc[nH+]1 ZINC000566297729 417043760 /nfs/dbraw/zinc/04/37/60/417043760.db2.gz QDQRRFPLOZKQCB-YPMHNXCESA-N 0 2 316.361 1.550 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-])C(=O)OC ZINC000568633166 417157443 /nfs/dbraw/zinc/15/74/43/417157443.db2.gz DSUVSJZBASFQCC-SCVCMEIPSA-N 0 2 300.355 0.239 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-])C(=O)OC ZINC000568633166 417157447 /nfs/dbraw/zinc/15/74/47/417157447.db2.gz DSUVSJZBASFQCC-SCVCMEIPSA-N 0 2 300.355 0.239 20 0 DCADLN CCOC(=O)C(C)(C)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000568631353 417158440 /nfs/dbraw/zinc/15/84/40/417158440.db2.gz JDIARRQIWXJIJD-SECBINFHSA-N 0 2 310.354 0.806 20 0 DCADLN COCCOCc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000626960970 417187297 /nfs/dbraw/zinc/18/72/97/417187297.db2.gz LLCVEVWKBIJMNZ-UHFFFAOYSA-N 0 2 321.337 0.995 20 0 DCADLN COCC[N@H+](CC(=O)[O-])[C@H]1CCN(c2ccccc2OC)C1=O ZINC000643434650 417207666 /nfs/dbraw/zinc/20/76/66/417207666.db2.gz XJUNRQASWABMEA-ZDUSSCGKSA-N 0 2 322.361 0.834 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])[C@H]1CCN(c2ccccc2OC)C1=O ZINC000643434650 417207678 /nfs/dbraw/zinc/20/76/78/417207678.db2.gz XJUNRQASWABMEA-ZDUSSCGKSA-N 0 2 322.361 0.834 20 0 DCADLN O=C(N[C@@H](CCO)C1CCOCC1)c1cccc2[nH]nnc21 ZINC000527114644 417212564 /nfs/dbraw/zinc/21/25/64/417212564.db2.gz UYGZKENJHYQDHK-LBPRGKRZSA-N 0 2 304.350 0.865 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)NC[C@@H]2CC[NH+]2C2CCCC2)C1 ZINC000527727987 417271336 /nfs/dbraw/zinc/27/13/36/417271336.db2.gz PUVZHSOYUHYJCR-GJZGRUSLSA-N 0 2 310.442 0.633 20 0 DCADLN CCc1n[nH]c(NS(=O)(=O)c2cnn(CCOC)c2)c1C ZINC000438231571 287368690 /nfs/dbraw/zinc/36/86/90/287368690.db2.gz XHJFVWHFCRLPGY-UHFFFAOYSA-N 0 2 313.383 0.924 20 0 DCADLN CCC[C@H](NC(=O)c1cc(=O)[nH]c(N(C)C)n1)c1nn[nH]n1 ZINC000433693833 417282356 /nfs/dbraw/zinc/28/23/56/417282356.db2.gz KZBYHLUMBLUOCU-ZETCQYMHSA-N 0 2 306.330 0.033 20 0 DCADLN CCOC(=O)c1cc(S(=O)(=O)Nc2ccnnc2)cn1C ZINC000414463273 417283276 /nfs/dbraw/zinc/28/32/76/417283276.db2.gz GNGYVAUEDPHXGH-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2ccccc2O)cn1 ZINC000433804224 417289388 /nfs/dbraw/zinc/28/93/88/417289388.db2.gz GQFNUJVTUYEZLB-UHFFFAOYSA-N 0 2 311.319 0.563 20 0 DCADLN CC(C)c1[nH]c2c([nH+]1)CC[C@H](C(=O)NCCc1nc(=O)o[n-]1)C2 ZINC000527271757 417232741 /nfs/dbraw/zinc/23/27/41/417232741.db2.gz JFDCKGSJQLMWKI-VIFPVBQESA-N 0 2 319.365 0.673 20 0 DCADLN CC(C)c1[nH]c2c([nH+]1)C[C@@H](C(=O)NCCc1nc(=O)o[n-]1)CC2 ZINC000527271757 417232744 /nfs/dbraw/zinc/23/27/44/417232744.db2.gz JFDCKGSJQLMWKI-VIFPVBQESA-N 0 2 319.365 0.673 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1ccc2c(c1)CC(=O)N2 ZINC000569550491 417264085 /nfs/dbraw/zinc/26/40/85/417264085.db2.gz AZSHAKJHDMHRBG-UHFFFAOYSA-N 0 2 308.319 0.861 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)NCc1ccccc1 ZINC000643880621 417410753 /nfs/dbraw/zinc/41/07/53/417410753.db2.gz TWGMHPRUBHVLHQ-INIZCTEOSA-N 0 2 306.362 0.868 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@H+]1CC(=O)NCc1ccccc1 ZINC000643880621 417410760 /nfs/dbraw/zinc/41/07/60/417410760.db2.gz TWGMHPRUBHVLHQ-INIZCTEOSA-N 0 2 306.362 0.868 20 0 DCADLN CCn1ccnc1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452571438 417491510 /nfs/dbraw/zinc/49/15/10/417491510.db2.gz OLYRGWDDWGJDQN-UHFFFAOYSA-N 0 2 300.322 0.820 20 0 DCADLN COC(=O)[C@@H](CNC(=O)c1c[nH]c2nc(C)ccc2c1=O)OC ZINC000452166942 417456483 /nfs/dbraw/zinc/45/64/83/417456483.db2.gz ZWEKQRQPEDBYDU-LLVKDONJSA-N 0 2 319.317 0.562 20 0 DCADLN O=C(c1cc(F)cc(Cl)c1O)N1C[C@@H](CO)[C@H](CO)C1 ZINC000529691977 417485847 /nfs/dbraw/zinc/48/58/47/417485847.db2.gz YYRVEOSKUDWTSV-YUMQZZPRSA-N 0 2 303.717 0.858 20 0 DCADLN CCOC(=O)CN(C(=O)C[N@H+](C)CC(=O)[O-])c1ccccc1 ZINC000575409540 417533445 /nfs/dbraw/zinc/53/34/45/417533445.db2.gz PMODLSDWUHFWRG-UHFFFAOYSA-N 0 2 308.334 0.599 20 0 DCADLN CCOC(=O)CN(C(=O)C[N@@H+](C)CC(=O)[O-])c1ccccc1 ZINC000575409540 417533450 /nfs/dbraw/zinc/53/34/50/417533450.db2.gz PMODLSDWUHFWRG-UHFFFAOYSA-N 0 2 308.334 0.599 20 0 DCADLN O=C([O-])c1ccccc1C[NH+]1CCN(S(=O)(=O)C2CC2)CC1 ZINC000530163373 417546245 /nfs/dbraw/zinc/54/62/45/417546245.db2.gz COZFLZDNEPAKKS-UHFFFAOYSA-N 0 2 324.402 0.995 20 0 DCADLN CCN1C[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)CC1=O ZINC000453004730 417517655 /nfs/dbraw/zinc/51/76/55/417517655.db2.gz YJEGFSICMVNNCM-LLVKDONJSA-N 0 2 314.345 0.929 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc(OCC(F)F)cc2)n1 ZINC000634663813 417523599 /nfs/dbraw/zinc/52/35/99/417523599.db2.gz ACCLZAABXLFPPH-UHFFFAOYSA-N 0 2 319.293 0.655 20 0 DCADLN [NH3+][C@@H]1C[C@H]2C[N@H+](CCOCC(F)(F)C(F)F)CCN2C1=O ZINC000576173582 417588094 /nfs/dbraw/zinc/58/80/94/417588094.db2.gz VUTYVTAYYHFEIB-DTWKUNHWSA-N 0 2 313.295 0.147 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nnc(C3CC3)o2)cn1 ZINC000576577882 417618611 /nfs/dbraw/zinc/61/86/11/417618611.db2.gz HJKZEJGHZSCWTR-UHFFFAOYSA-N 0 2 324.318 0.929 20 0 DCADLN C[C@H]1CCN(C(=O)NCCOCC(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000635523011 417687546 /nfs/dbraw/zinc/68/75/46/417687546.db2.gz IOBJCLHHYFMCQL-RYUDHWBXSA-N 0 2 310.354 0.577 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CC[C@H](C(N)=O)C1)c2=O ZINC000456899486 417670605 /nfs/dbraw/zinc/67/06/05/417670605.db2.gz DMNJGNKMWPMQNC-JGVFFNPUSA-N 0 2 303.322 0.058 20 0 DCADLN Cc1cc(=NC(=O)C(=O)N2CCC[C@H](OC(C)C)C2)[nH]nc1C ZINC000651967914 417827262 /nfs/dbraw/zinc/82/72/62/417827262.db2.gz ZFOADLHUQMOALW-ZDUSSCGKSA-N 0 2 320.393 0.870 20 0 DCADLN CCN(C(=O)C(=O)N=c1cc(C)c(C)n[nH]1)[C@H]1CCCOC1 ZINC000652026211 417837763 /nfs/dbraw/zinc/83/77/63/417837763.db2.gz GESKHTQNPDEMDH-LBPRGKRZSA-N 0 2 306.366 0.481 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1nc2c(s1)CSCC2 ZINC000629351530 417785753 /nfs/dbraw/zinc/78/57/53/417785753.db2.gz ZHPKAOIEMNJWSE-UHFFFAOYSA-N 0 2 317.421 0.862 20 0 DCADLN CCC[C@@]1(CO)CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651807664 417788977 /nfs/dbraw/zinc/78/89/77/417788977.db2.gz MOMZZIJSWVLJPY-OAHLLOKOSA-N 0 2 323.397 0.231 20 0 DCADLN Cc1c[nH]c(C[NH2+]CCS(=O)(=O)N2CCSCC2)n1 ZINC000657354707 417852913 /nfs/dbraw/zinc/85/29/13/417852913.db2.gz XXKLLJPVDWFJKS-UHFFFAOYSA-N 0 2 304.441 0.186 20 0 DCADLN COC1(OC)CCN(C(=O)c2cc(F)c(O)c(F)c2)C[C@@H]1O ZINC000636084536 417865445 /nfs/dbraw/zinc/86/54/45/417865445.db2.gz YPWSEFLAJDCLBN-NSHDSACASA-N 0 2 317.288 0.866 20 0 DCADLN Cc1cc(C(=O)N2CCO[C@H]3C[C@H]32)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000646746749 417907062 /nfs/dbraw/zinc/90/70/62/417907062.db2.gz CZCXLHHKBDJPQB-BDAKNGLRSA-N 0 2 302.290 0.358 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CCc3c([nH+]c(C)n3CCO)C2)c1[O-] ZINC000652085726 417844867 /nfs/dbraw/zinc/84/48/67/417844867.db2.gz SLHIMSLETZTHGH-JTQLQIEISA-N 0 2 319.365 0.208 20 0 DCADLN O=C(NC1COCCOC1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000646800660 417916458 /nfs/dbraw/zinc/91/64/58/417916458.db2.gz UCUVAUMIQSMVTI-UHFFFAOYSA-N 0 2 321.308 0.862 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000647262249 418004650 /nfs/dbraw/zinc/00/46/50/418004650.db2.gz NLNSODKIBSTKDU-ZJUUUORDSA-N 0 2 301.306 0.645 20 0 DCADLN Cc1c(S(=O)(=O)Nc2nncs2)coc1C(=O)N(C)C ZINC000647270173 418005191 /nfs/dbraw/zinc/00/51/91/418005191.db2.gz QOCZGDHYHJGEIR-UHFFFAOYSA-N 0 2 316.364 0.942 20 0 DCADLN CC[C@H](C)NC(=O)C[NH+]1CCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000647448238 418010250 /nfs/dbraw/zinc/01/02/50/418010250.db2.gz BGZQTCUDUZTPGG-LBPRGKRZSA-N 0 2 320.393 0.817 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)c[nH+]1 ZINC000647589077 418028929 /nfs/dbraw/zinc/02/89/29/418028929.db2.gz WJKBTPFMWUSIRD-WCQYABFASA-N 0 2 319.361 0.684 20 0 DCADLN NC(=O)Cn1ccc(Nc2nc(C3CCOCC3)ns2)n1 ZINC000663532230 418029379 /nfs/dbraw/zinc/02/93/79/418029379.db2.gz QYTGEWVZSOYBAJ-UHFFFAOYSA-N 0 2 308.367 0.858 20 0 DCADLN O=C(Nc1nnc2nc[nH]n21)c1cc(C2CCCCC2)[nH]n1 ZINC000647738523 418047426 /nfs/dbraw/zinc/04/74/26/418047426.db2.gz WNFRCPAQTAUPFN-UHFFFAOYSA-N 0 2 300.326 0.897 20 0 DCADLN O=C(Nc1n[nH]c2ncnn12)c1cc(C2CCCCC2)[nH]n1 ZINC000647738523 418047429 /nfs/dbraw/zinc/04/74/29/418047429.db2.gz WNFRCPAQTAUPFN-UHFFFAOYSA-N 0 2 300.326 0.897 20 0 DCADLN Cc1nc(N2CCN(C(=O)C3(C(=O)[O-])CC=CC3)CC2)cc[nH+]1 ZINC000663044395 417981360 /nfs/dbraw/zinc/98/13/60/417981360.db2.gz PRFFKULRJQMPQA-UHFFFAOYSA-N 0 2 316.361 0.855 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc3c(c2)nnn3C)cn1 ZINC000647136071 417991958 /nfs/dbraw/zinc/99/19/58/417991958.db2.gz WNZHZQOCKWNSGJ-UHFFFAOYSA-N 0 2 306.351 0.986 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000663109817 417992092 /nfs/dbraw/zinc/99/20/92/417992092.db2.gz MSGUJSIUIGMLNP-OAHLLOKOSA-N 0 2 323.349 0.024 20 0 DCADLN Cc1cc(C(=O)N[C@H](CO)CCF)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000652915469 417997926 /nfs/dbraw/zinc/99/79/26/417997926.db2.gz LKTQVYFFTSVGIH-ZETCQYMHSA-N 0 2 310.285 0.195 20 0 DCADLN O=C([O-])C1(CNC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)CCC1 ZINC000659069216 418054156 /nfs/dbraw/zinc/05/41/56/418054156.db2.gz NJCLMDKAHGMTME-UHFFFAOYSA-N 0 2 316.317 0.644 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])N1C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000653317944 418057726 /nfs/dbraw/zinc/05/77/26/418057726.db2.gz OVZKFVLDROPWDM-MWLCHTKSSA-N 0 2 301.306 0.740 20 0 DCADLN C[C@@H](NC(=O)NCC1(C(=O)[O-])CCC1)[C@H](C)[NH+]1CCOCC1 ZINC000659396006 418090836 /nfs/dbraw/zinc/09/08/36/418090836.db2.gz CRIBFENRDBQJNG-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN CC(C)(C)n1nnc(CN2CCC[C@H](C3N=NC(=O)O3)C2)n1 ZINC000653657417 418099447 /nfs/dbraw/zinc/09/94/47/418099447.db2.gz WPNGURGPPTXMDM-VIFPVBQESA-N 0 2 307.358 0.896 20 0 DCADLN O=C(COC[C@H]1CCCO1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000648888363 418170206 /nfs/dbraw/zinc/17/02/06/418170206.db2.gz NECAIHHUFGGDDT-LLVKDONJSA-N 0 2 310.354 0.412 20 0 DCADLN COc1cccc2c1[nH+]cc(C(=O)[O-])c2N1C[C@@H](O)[C@@H](O)C1 ZINC000649390753 418250330 /nfs/dbraw/zinc/25/03/30/418250330.db2.gz PHVWYORQJFPVMD-PHIMTYICSA-N 0 2 304.302 0.483 20 0 DCADLN CO[C@H]1C[C@@H](CC(=O)[O-])N(c2cc(-n3cc[nH+]c3)ncn2)C1 ZINC000649406732 418251283 /nfs/dbraw/zinc/25/12/83/418251283.db2.gz DAVQXRXTAGNPHV-QWRGUYRKSA-N 0 2 303.322 0.731 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cnn(C(C)(C)C)c2C)[nH]n1 ZINC000650815934 418326592 /nfs/dbraw/zinc/32/65/92/418326592.db2.gz DUKLWXZPBHHUCL-UHFFFAOYSA-N 0 2 316.365 0.770 20 0 DCADLN CC1(C)CN(Cc2cc(=O)n3[nH]ccc3n2)[C@@H]2COC[C@H]2O1 ZINC000649912595 418282687 /nfs/dbraw/zinc/28/26/87/418282687.db2.gz MGUJDXLVGXTLFH-VXGBXAGGSA-N 0 2 304.350 0.401 20 0 DCADLN CC(C)[C@H](CNC(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC000655867632 418294554 /nfs/dbraw/zinc/29/45/54/418294554.db2.gz KXIDTGHFTWGKKC-AGIUHOORSA-N 0 2 315.414 0.752 20 0 DCADLN CC(C)[C@H](CNC(=O)N(C)[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000655896917 418298149 /nfs/dbraw/zinc/29/81/49/418298149.db2.gz JLPYWIIYQVJNBA-OLZOCXBDSA-N 0 2 315.414 0.705 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])[N@@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000650503652 418313263 /nfs/dbraw/zinc/31/32/63/418313263.db2.gz RCOSSMDDRVLVTE-ZWNOBZJWSA-N 0 2 317.345 0.909 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])[N@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000650503652 418313267 /nfs/dbraw/zinc/31/32/67/418313267.db2.gz RCOSSMDDRVLVTE-ZWNOBZJWSA-N 0 2 317.345 0.909 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2ncn(C(C)C)n2)cn1 ZINC000650487936 418313334 /nfs/dbraw/zinc/31/33/34/418313334.db2.gz UOIUSIUCAJYGHX-UHFFFAOYSA-N 0 2 314.371 0.503 20 0 DCADLN C[C@@H](C(=O)NC1CC1)N1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650766120 418323066 /nfs/dbraw/zinc/32/30/66/418323066.db2.gz UWQHLHCTWYVCMO-NSHDSACASA-N 0 2 318.377 0.569 20 0 DCADLN CC[C@@H](C(=O)[O-])N(C)c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000650777353 418323257 /nfs/dbraw/zinc/32/32/57/418323257.db2.gz BUDNDJZSGPQFGU-JTQLQIEISA-N 0 2 307.354 0.054 20 0 DCADLN CC[C@@H](C(=O)[O-])N(C)c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000650777353 418323259 /nfs/dbraw/zinc/32/32/59/418323259.db2.gz BUDNDJZSGPQFGU-JTQLQIEISA-N 0 2 307.354 0.054 20 0 DCADLN Nc1nsc(N2CCN(c3cc[nH+]c(C(=O)[O-])c3)CC2)n1 ZINC000650767025 418323751 /nfs/dbraw/zinc/32/37/51/418323751.db2.gz FWHXDBSHOJAKGZ-UHFFFAOYSA-N 0 2 306.351 0.370 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CCOc2cccc(C)c2)[nH]n1 ZINC000650814060 418325578 /nfs/dbraw/zinc/32/55/78/418325578.db2.gz CFCQVCYXTKKCFG-UHFFFAOYSA-N 0 2 314.345 0.974 20 0 DCADLN O=C([O-])c1cnc(C(=O)NCC[NH+]2Cc3ccccc3C2)cn1 ZINC000649464020 418256039 /nfs/dbraw/zinc/25/60/39/418256039.db2.gz KSKPEQSBFGAMSP-UHFFFAOYSA-N 0 2 312.329 0.920 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H]2Cc3cccc(C)c3O2)[nH]n1 ZINC000650819240 418326487 /nfs/dbraw/zinc/32/64/87/418326487.db2.gz MXMNBBGACARNAP-LBPRGKRZSA-N 0 2 312.329 0.509 20 0 DCADLN CC[C@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@H]1CCCO1 ZINC000651638260 418399139 /nfs/dbraw/zinc/39/91/39/418399139.db2.gz SKGANHSGPIFSTJ-WDEREUQCSA-N 0 2 309.370 0.293 20 0 DCADLN COc1cc(NS(=O)(=O)C[C@@H](OC)[C@@H]2CCOC2)nn1C ZINC000656629934 418366917 /nfs/dbraw/zinc/36/69/17/418366917.db2.gz ZEKUEVGLSFAQDG-NXEZZACHSA-N 0 2 319.383 0.222 20 0 DCADLN COCc1nccc(NS(=O)(=O)c2ccc(N(C)C)nc2)n1 ZINC000656742549 418375437 /nfs/dbraw/zinc/37/54/37/418375437.db2.gz UWMFGTFTXPHYJC-UHFFFAOYSA-N 0 2 323.378 0.885 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCCOCC2CC2)[nH]1 ZINC000651498472 418384471 /nfs/dbraw/zinc/38/44/71/418384471.db2.gz DPKVJDDTLHVONA-UHFFFAOYSA-N 0 2 309.370 0.152 20 0 DCADLN C[C@H]1COc2ccccc2C[N@@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662212155 418388537 /nfs/dbraw/zinc/38/85/37/418388537.db2.gz VMZCFIMAAGSAKR-WCQYABFASA-N 0 2 304.346 0.955 20 0 DCADLN C[C@H]1COc2ccccc2C[N@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662212155 418388540 /nfs/dbraw/zinc/38/85/40/418388540.db2.gz VMZCFIMAAGSAKR-WCQYABFASA-N 0 2 304.346 0.955 20 0 DCADLN CC[N@H+](CC(=O)N1CC(=O)Nc2ccccc21)[C@@H](C)C(=O)[O-] ZINC000662218132 418390877 /nfs/dbraw/zinc/39/08/77/418390877.db2.gz VHQJIWQTIAWDFQ-JTQLQIEISA-N 0 2 305.334 0.767 20 0 DCADLN CC[N@@H+](CC(=O)N1CC(=O)Nc2ccccc21)[C@@H](C)C(=O)[O-] ZINC000662218132 418390880 /nfs/dbraw/zinc/39/08/80/418390880.db2.gz VHQJIWQTIAWDFQ-JTQLQIEISA-N 0 2 305.334 0.767 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)NC(=O)/C=C/c1ccnn1C ZINC000193975063 261144026 /nfs/dbraw/zinc/14/40/26/261144026.db2.gz JXJSENHFXXHSFU-SNAWJCMRSA-N 0 2 310.335 0.543 20 0 DCADLN C[C@H]1Oc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc2NC1=O ZINC000354321699 261204865 /nfs/dbraw/zinc/20/48/65/261204865.db2.gz ZDQZOIGWLRLKSP-ZCFIWIBFSA-N 0 2 318.293 0.551 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC3(C(N)=O)CC3)=N2)cc1 ZINC000354527964 261233144 /nfs/dbraw/zinc/23/31/44/261233144.db2.gz BMGPWUWUKVTHLL-UHFFFAOYSA-N 0 2 316.317 0.334 20 0 DCADLN O=C(N[C@H]1CC[S@@](=O)C1)C1=NN(c2ccccc2)CC1=O ZINC000354722546 261263334 /nfs/dbraw/zinc/26/33/34/261263334.db2.gz ZNKHRJYLEXMUIR-CHNSCGDPSA-N 0 2 305.359 0.829 20 0 DCADLN CC(C)Cc1nsc(NCCNC(=O)c2cnccn2)n1 ZINC000355413072 261339203 /nfs/dbraw/zinc/33/92/03/261339203.db2.gz CIYDAIBQQXFIHL-UHFFFAOYSA-N 0 2 306.395 0.790 20 0 DCADLN CS(=O)(=O)c1cccc(S(=O)(=O)Nc2cncnc2)c1 ZINC000362216686 262011358 /nfs/dbraw/zinc/01/13/58/262011358.db2.gz PXHHGUWBYQMAEB-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@H](C)[N@H+]2C[C@@H](C)[C@H](C(=O)OC)C2)CC1 ZINC000370557785 262147102 /nfs/dbraw/zinc/14/71/02/262147102.db2.gz ZYHGKQDABAUWAZ-HZSPNIEDSA-N 0 2 311.426 0.280 20 0 DCADLN O=C(N[C@@H]1COC[C@H]1O)c1cc(F)cc(Br)c1O ZINC000412387887 262187704 /nfs/dbraw/zinc/18/77/04/262187704.db2.gz BWAMMTLPOOUBFY-RKDXNWHRSA-N 0 2 320.114 0.783 20 0 DCADLN CCC[C@@H](O)[C@H](CO)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000412523260 262190516 /nfs/dbraw/zinc/19/05/16/262190516.db2.gz KEQAUQRGSINHRK-NWDGAFQWSA-N 0 2 321.333 0.282 20 0 DCADLN COC(=O)[C@H](CC1CC1)NS(=O)(=O)NCC(F)(F)F ZINC000416578784 262232562 /nfs/dbraw/zinc/23/25/62/262232562.db2.gz GVCSUNQAWFAIAL-ZETCQYMHSA-N 0 2 304.290 0.314 20 0 DCADLN CCNc1nc(N2CC[C@@H](NC(C)=O)C2)nc(NC(C)(C)C)[nH+]1 ZINC000420623314 262379165 /nfs/dbraw/zinc/37/91/65/262379165.db2.gz UWQNFZVHUGDGJV-LLVKDONJSA-N 0 2 321.429 0.651 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000355455551 271010190 /nfs/dbraw/zinc/01/01/90/271010190.db2.gz MJQPEHXKNMXKSU-UWVGGRQHSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000355455551 271010192 /nfs/dbraw/zinc/01/01/92/271010192.db2.gz MJQPEHXKNMXKSU-UWVGGRQHSA-N 0 2 315.395 0.436 20 0 DCADLN COC(=O)C(C)(C)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355755337 271024519 /nfs/dbraw/zinc/02/45/19/271024519.db2.gz GLWYGJCCLUDNIK-UHFFFAOYSA-N 0 2 306.322 0.603 20 0 DCADLN CSC[C@@H](CCO)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358385398 271051068 /nfs/dbraw/zinc/05/10/68/271051068.db2.gz OGPSEOTVPSARRK-MRVPVSSYSA-N 0 2 310.379 0.518 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@H](O)C(F)(F)F)c2=O ZINC000358387287 271051222 /nfs/dbraw/zinc/05/12/22/271051222.db2.gz RXPRNVBKGIPVHJ-ZETCQYMHSA-N 0 2 304.228 0.327 20 0 DCADLN O=C(CN1CN=NC1=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000359794400 271144963 /nfs/dbraw/zinc/14/49/63/271144963.db2.gz MCRKPYWEIDDRIT-UHFFFAOYSA-N 0 2 302.319 0.563 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccnc(OCC(F)(F)F)c1 ZINC000359794662 271145021 /nfs/dbraw/zinc/14/50/21/271145021.db2.gz BUNNDEDHFLVLBA-UHFFFAOYSA-N 0 2 317.227 0.776 20 0 DCADLN COc1ccc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)c(O)c1 ZINC000370853143 271215469 /nfs/dbraw/zinc/21/54/69/271215469.db2.gz DJRISBOYSRMYCL-NSHDSACASA-N 0 2 305.294 0.128 20 0 DCADLN C[N@@H+]1CC[C@@H]2CN(C(=O)NC[C@@H]3CC[NH2+]CC3(F)F)C[C@@H]21 ZINC000418729134 271253623 /nfs/dbraw/zinc/25/36/23/271253623.db2.gz NZYWVJXIUICVHR-WOPDTQHZSA-N 0 2 302.369 0.577 20 0 DCADLN CC(C)CN1CCN(C(=O)NCCn2cc[nH+]c2)[C@@H](C)C1=O ZINC000488791322 272050874 /nfs/dbraw/zinc/05/08/74/272050874.db2.gz YUDVUHXLGBIVKU-ZDUSSCGKSA-N 0 2 307.398 0.781 20 0 DCADLN O=C(/C=C/c1cccnc1)NCC(=O)NOCc1cccnc1 ZINC000492183742 272117253 /nfs/dbraw/zinc/11/72/53/272117253.db2.gz HJIVUZZUFDOPHF-AATRIKPKSA-N 0 2 312.329 0.854 20 0 DCADLN COc1cccc(/C=C/C(=O)NS(=O)(=O)c2ccnn2C)c1 ZINC000492562583 272135335 /nfs/dbraw/zinc/13/53/35/272135335.db2.gz HFKIFZSXFXMTMC-VOTSOKGWSA-N 0 2 321.358 0.947 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C\c2cccnc2)cnn1C ZINC000493075832 272167374 /nfs/dbraw/zinc/16/73/74/272167374.db2.gz AQCHRFLSZPVDTB-WAYWQWQTSA-N 0 2 306.347 0.642 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)/C=C/c2ccncn2)cn1 ZINC000493321391 272181068 /nfs/dbraw/zinc/18/10/68/272181068.db2.gz NKAGUQWYMUJKSO-DUXPYHPUSA-N 0 2 320.330 0.399 20 0 DCADLN O=C(C=Cc1ccncn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493727367 272206398 /nfs/dbraw/zinc/20/63/98/272206398.db2.gz QHIZFXZFSRDLKY-UMBAGQNISA-N 0 2 300.322 0.720 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)OC[C@H]1CNC(=O)O1 ZINC000497262067 272379174 /nfs/dbraw/zinc/37/91/74/272379174.db2.gz HGJSCAMSDXTWCS-RQJHMYQMSA-N 0 2 312.244 0.341 20 0 DCADLN CCN1CCOC[C@@H]1C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000540470115 287903517 /nfs/dbraw/zinc/90/35/17/287903517.db2.gz GOAMPMAQGNYCSD-LLVKDONJSA-N 0 2 319.390 0.681 20 0 DCADLN CC(=O)N[C@@H]1CC[C@H](C(F)(F)F)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC000352093489 278150259 /nfs/dbraw/zinc/15/02/59/278150259.db2.gz QOKDZGSQXCJXBH-HTQZYQBOSA-N 0 2 307.276 0.542 20 0 DCADLN CCC1CN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)C1 ZINC000457271767 278653781 /nfs/dbraw/zinc/65/37/81/278653781.db2.gz GOZRGOQPDLFEDB-UHFFFAOYSA-N 0 2 316.361 0.423 20 0 DCADLN C[C@H](C(F)(F)F)S(=O)(=O)NCCN1CCO[C@@H](C)C1 ZINC000548040906 288104677 /nfs/dbraw/zinc/10/46/77/288104677.db2.gz IYQCSGUXJIGPDE-DTWKUNHWSA-N 0 2 304.334 0.577 20 0 DCADLN CC(=O)N[C@@H](C)C(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000550095685 288210206 /nfs/dbraw/zinc/21/02/06/288210206.db2.gz BKPYSOJHWLOHJK-QMMMGPOBSA-N 0 2 303.322 0.949 20 0 DCADLN CC(C)CC1(CO)CCCN1C(=O)CCn1ncn(C)c1=O ZINC000551924600 288245621 /nfs/dbraw/zinc/24/56/21/288245621.db2.gz LMAJGIIBPHYAHP-OAHLLOKOSA-N 0 2 310.398 0.372 20 0 DCADLN CC(C)[C@H](CNC(=O)c1cnc(C(=O)[O-])cn1)[NH+]1CCOCC1 ZINC000563114528 288632728 /nfs/dbraw/zinc/63/27/28/288632728.db2.gz GOLUQMDBPVRNLG-ZDUSSCGKSA-N 0 2 322.365 0.261 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)CO1 ZINC000563886373 288711772 /nfs/dbraw/zinc/71/17/72/288711772.db2.gz UHCUQLGZFUYZPY-SFYZADRCSA-N 0 2 312.395 0.490 20 0 DCADLN O=C1CNC(=O)N1CCNc1nc(C2CCCCC2)ns1 ZINC000565592267 288864311 /nfs/dbraw/zinc/86/43/11/288864311.db2.gz LCRQPHRRHZPJPQ-UHFFFAOYSA-N 0 2 309.395 0.971 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)CN(C)C(C)=O)[nH]1 ZINC000330875248 293333891 /nfs/dbraw/zinc/33/38/91/293333891.db2.gz IZHNAFDXFZDKCK-NSHDSACASA-N 0 2 309.370 0.483 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCOC[C@H]1C1CC1 ZINC000089973666 304593803 /nfs/dbraw/zinc/59/38/03/304593803.db2.gz XEBGYSRUCJWSNU-NSHDSACASA-N 0 2 316.317 0.275 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC[C@@H]1C ZINC000131533980 300206234 /nfs/dbraw/zinc/20/62/34/300206234.db2.gz IVBUFSHDHOPANW-IUCAKERBSA-N 0 2 302.334 0.730 20 0 DCADLN C[C@H]1CSCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000172455289 300388790 /nfs/dbraw/zinc/38/87/90/300388790.db2.gz QFNBEHXFXFLFHD-ZETCQYMHSA-N 0 2 306.347 0.189 20 0 DCADLN Cc1cc(C(=O)N2CC[NH+](C)CC2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000265838274 301099218 /nfs/dbraw/zinc/09/92/18/301099218.db2.gz RPVREUKMIAHJRP-UHFFFAOYSA-N 0 2 303.322 0.132 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC2(CCC2)[C@H]2COC[C@@H]21 ZINC000416537794 304759201 /nfs/dbraw/zinc/75/92/01/304759201.db2.gz PKNSQFIIOYOOFW-IUCAKERBSA-N 0 2 314.329 0.884 20 0 DCADLN Cn1nc2c(cc1=O)CN(C(=O)c1cc(F)c(O)c(F)c1)CC2 ZINC000186843710 303045287 /nfs/dbraw/zinc/04/52/87/303045287.db2.gz DUPROFJRGLWTQG-UHFFFAOYSA-N 0 2 321.283 0.963 20 0 DCADLN NC(=O)[C@H]1CCCN1C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000123254627 303329848 /nfs/dbraw/zinc/32/98/48/303329848.db2.gz UBDWWILPZWLUJT-LLVKDONJSA-N 0 2 318.308 0.807 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1n[nH]c2c1CCC2 ZINC001349162297 1159173895 /nfs/dbraw/zinc/17/38/95/1159173895.db2.gz BJXCEFWNWCLLCR-VIFPVBQESA-N 0 2 322.262 0.645 20 0 DCADLN COCCC(C)(C)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448106658 1159717823 /nfs/dbraw/zinc/71/78/23/1159717823.db2.gz LCHNCDFWPNEVNU-JTQLQIEISA-N 0 2 313.402 0.510 20 0 DCADLN COCCC(C)(C)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448106658 1159717828 /nfs/dbraw/zinc/71/78/28/1159717828.db2.gz LCHNCDFWPNEVNU-JTQLQIEISA-N 0 2 313.402 0.510 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000267760034 523623265 /nfs/dbraw/zinc/62/32/65/523623265.db2.gz RFRFNEVHXLHMOQ-VIFPVBQESA-N 0 2 318.289 0.117 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266845606 524091713 /nfs/dbraw/zinc/09/17/13/524091713.db2.gz NZVYCAGTEMJYNI-QMMMGPOBSA-N 0 2 304.306 0.511 20 0 DCADLN COC(=O)CSCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275825630 536482745 /nfs/dbraw/zinc/48/27/45/536482745.db2.gz NOYNKDGYKZZZCN-UHFFFAOYSA-N 0 2 323.378 0.446 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000251612227 545974441 /nfs/dbraw/zinc/97/44/41/545974441.db2.gz DBBQGIJKFLNCBT-RWMBFGLXSA-N 0 2 300.399 0.960 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000251612227 545974447 /nfs/dbraw/zinc/97/44/47/545974447.db2.gz DBBQGIJKFLNCBT-RWMBFGLXSA-N 0 2 300.399 0.960 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(C(=O)[O-])c(F)c1 ZINC000357154145 546186617 /nfs/dbraw/zinc/18/66/17/546186617.db2.gz WBIZFDDEVJGLNF-UHFFFAOYSA-N 0 2 313.310 0.736 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)N[C@@H](C(=O)[O-])C1CCOCC1 ZINC000668305058 546724430 /nfs/dbraw/zinc/72/44/30/546724430.db2.gz DAUUYGKPGMSHQB-OAHLLOKOSA-N 0 2 321.377 0.758 20 0 DCADLN Cc1[nH+]c2ccccc2n1CCNC(=O)NC[C@H]1C[NH2+]CCO1 ZINC000668793125 546847554 /nfs/dbraw/zinc/84/75/54/546847554.db2.gz OTQXQKXAJRCWMI-CYBMUJFWSA-N 0 2 317.393 0.632 20 0 DCADLN C[C@H](NC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+])c1ccc2c(c1)OCO2 ZINC000668975245 546893948 /nfs/dbraw/zinc/89/39/48/546893948.db2.gz FNNNQINJZXNMII-WCQYABFASA-N 0 2 320.393 0.761 20 0 DCADLN Cc1ccn2cc(CNC(=O)c3c[n-]n4c3nccc4=O)[nH+]c2c1 ZINC000670462395 547098653 /nfs/dbraw/zinc/09/86/53/547098653.db2.gz KWSHLKYHRKVONH-UHFFFAOYSA-N 0 2 322.328 0.909 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cc([C@H]2CCCO2)[nH]n1 ZINC000673144634 547451249 /nfs/dbraw/zinc/45/12/49/547451249.db2.gz HYXDAQKSNOSHPK-SSDOTTSWSA-N 0 2 310.339 0.816 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000673949710 547545347 /nfs/dbraw/zinc/54/53/47/547545347.db2.gz UEQVBIQLFCSVQB-SECBINFHSA-N 0 2 307.354 0.308 20 0 DCADLN Cc1ccc(CNC(=O)[C@@H](C)[N@@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000676231377 547746848 /nfs/dbraw/zinc/74/68/48/547746848.db2.gz GAKQOAVPRIIMOU-TZMCWYRMSA-N 0 2 306.362 0.785 20 0 DCADLN Cc1ccc(CNC(=O)[C@@H](C)[N@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000676231377 547746850 /nfs/dbraw/zinc/74/68/50/547746850.db2.gz GAKQOAVPRIIMOU-TZMCWYRMSA-N 0 2 306.362 0.785 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231069 547747103 /nfs/dbraw/zinc/74/71/03/547747103.db2.gz GPVIALVGEXVBEY-CYBMUJFWSA-N 0 2 310.325 0.570 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231069 547747105 /nfs/dbraw/zinc/74/71/05/547747105.db2.gz GPVIALVGEXVBEY-CYBMUJFWSA-N 0 2 310.325 0.570 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](CCCn2c(=O)[nH]c3ccccc32)CCO1 ZINC000676232788 547748137 /nfs/dbraw/zinc/74/81/37/547748137.db2.gz YTPNJVCZHXRQNG-CYBMUJFWSA-N 0 2 305.334 0.917 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](CCCn2c(=O)[nH]c3ccccc32)CCO1 ZINC000676232788 547748139 /nfs/dbraw/zinc/74/81/39/547748139.db2.gz YTPNJVCZHXRQNG-CYBMUJFWSA-N 0 2 305.334 0.917 20 0 DCADLN Cc1n[nH]c2cc(NC(=O)CC[C@@H]3NC(=O)NC3=O)ccc12 ZINC000678330150 547964344 /nfs/dbraw/zinc/96/43/44/547964344.db2.gz TWWFTOSRPOFOEM-JTQLQIEISA-N 0 2 301.306 0.798 20 0 DCADLN Cc1[nH]nc2cc(NC(=O)CC[C@@H]3NC(=O)NC3=O)ccc12 ZINC000678330150 547964347 /nfs/dbraw/zinc/96/43/47/547964347.db2.gz TWWFTOSRPOFOEM-JTQLQIEISA-N 0 2 301.306 0.798 20 0 DCADLN Cc1cc(C(=O)N[C@@H](CO)CC2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000678388060 547970859 /nfs/dbraw/zinc/97/08/59/547970859.db2.gz CCDAQVWDOYZJGL-SECBINFHSA-N 0 2 318.333 0.635 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc3c(c2)OCO3)[nH]n1 ZINC000679203204 548033878 /nfs/dbraw/zinc/03/38/78/548033878.db2.gz WPHKRDFMLBCKCM-UHFFFAOYSA-N 0 2 310.291 0.038 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCCOCCO)=N2)cc1 ZINC000679406218 548053895 /nfs/dbraw/zinc/05/38/95/548053895.db2.gz ABGBVEPVUSBTRO-UHFFFAOYSA-N 0 2 321.333 0.325 20 0 DCADLN CN(CC(=O)[O-])C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000679540707 548064940 /nfs/dbraw/zinc/06/49/40/548064940.db2.gz OOOBEOQEYIFCLT-CQSZACIVSA-N 0 2 321.377 0.786 20 0 DCADLN Cc1csc(N2CC[N@@H+](CC(=O)NCC(=O)[O-])C[C@H]2C)n1 ZINC000679658238 548081904 /nfs/dbraw/zinc/08/19/04/548081904.db2.gz NOUZBADLEDTCBP-SNVBAGLBSA-N 0 2 312.395 0.163 20 0 DCADLN Cc1csc(N2CC[N@H+](CC(=O)NCC(=O)[O-])C[C@H]2C)n1 ZINC000679658238 548081907 /nfs/dbraw/zinc/08/19/07/548081907.db2.gz NOUZBADLEDTCBP-SNVBAGLBSA-N 0 2 312.395 0.163 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[N@H+](C3CCOCC3)C[C@H]2C)c1[O-] ZINC000683883338 548530413 /nfs/dbraw/zinc/53/04/13/548530413.db2.gz AFKMCUSQBDIAII-SNVBAGLBSA-N 0 2 308.382 0.749 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[N@@H+](C3CCOCC3)C[C@H]2C)c1[O-] ZINC000683883338 548530417 /nfs/dbraw/zinc/53/04/17/548530417.db2.gz AFKMCUSQBDIAII-SNVBAGLBSA-N 0 2 308.382 0.749 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(O[C@@H]2CCOC2)cc1 ZINC000684054169 548560673 /nfs/dbraw/zinc/56/06/73/548560673.db2.gz ZHNBOTKKKMVFJM-LLVKDONJSA-N 0 2 304.306 0.608 20 0 DCADLN C[C@@H](C(=O)NC(=O)NC(C)(C)C)[N@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000825990917 601552657 /nfs/dbraw/zinc/55/26/57/601552657.db2.gz RDCPNBBCZJZVCI-SDBXPKJASA-N 0 2 303.334 0.498 20 0 DCADLN COCC[N@@H+](CC(=O)N(C)C)Cc1ccsc1C(=O)[O-] ZINC000831715715 601827142 /nfs/dbraw/zinc/82/71/42/601827142.db2.gz KRJQCPKAJIZKAF-UHFFFAOYSA-N 0 2 300.380 0.983 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)NCCSCC(=O)[O-] ZINC000736737338 599906154 /nfs/dbraw/zinc/90/61/54/599906154.db2.gz QVRHIILSOGVUPW-UHFFFAOYSA-N 0 2 319.427 0.214 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)NCCSCC(=O)[O-] ZINC000736737338 599906155 /nfs/dbraw/zinc/90/61/55/599906155.db2.gz QVRHIILSOGVUPW-UHFFFAOYSA-N 0 2 319.427 0.214 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(C(=O)N2CC[C@@H](C(=O)[O-])C2)CC1 ZINC000819078383 597499798 /nfs/dbraw/zinc/49/97/98/597499798.db2.gz OVBZNOKPCUOZBI-CYBMUJFWSA-N 0 2 320.393 0.565 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@@H]1CC(=O)c1cccs1 ZINC000821530524 597798019 /nfs/dbraw/zinc/79/80/19/597798019.db2.gz HKAMTVVQPDILGA-SNVBAGLBSA-N 0 2 310.375 0.986 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@@H]1CC(=O)c1cccs1 ZINC000821530524 597798021 /nfs/dbraw/zinc/79/80/21/597798021.db2.gz HKAMTVVQPDILGA-SNVBAGLBSA-N 0 2 310.375 0.986 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc(-c2nn[nH]n2)c1 ZINC000040828771 598381566 /nfs/dbraw/zinc/38/15/66/598381566.db2.gz DFJLACYOQLSEDJ-UHFFFAOYSA-N 0 2 305.323 0.699 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(F)c(-c3nn[nH]n3)c2)cn1 ZINC000737609063 598593766 /nfs/dbraw/zinc/59/37/66/598593766.db2.gz AOBFBQSLYKGHSV-UHFFFAOYSA-N 0 2 323.313 0.540 20 0 DCADLN CCc1cnc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)o1 ZINC000821499038 599381569 /nfs/dbraw/zinc/38/15/69/599381569.db2.gz BQYTVGDLIXDTGQ-UHFFFAOYSA-N 0 2 301.310 0.639 20 0 DCADLN O=C([O-])[C@@H]([NH2+]CC(=O)NCC(=O)N1CCCC1)c1ccccc1 ZINC000739307227 599760557 /nfs/dbraw/zinc/76/05/57/599760557.db2.gz JZWVHFNHXZGZQM-HNNXBMFYSA-N 0 2 319.361 0.141 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)NCCCCCCC(=O)[O-])C1 ZINC000091676547 599831328 /nfs/dbraw/zinc/83/13/28/599831328.db2.gz PEBOEYWRGURQPF-GFCCVEGCSA-N 0 2 301.387 0.651 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)NCCCCCCC(=O)[O-])C1 ZINC000091676547 599831330 /nfs/dbraw/zinc/83/13/30/599831330.db2.gz PEBOEYWRGURQPF-GFCCVEGCSA-N 0 2 301.387 0.651 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC[NH+](C[C@@H](O)c2ccccc2)CC1 ZINC000739545916 599916185 /nfs/dbraw/zinc/91/61/85/599916185.db2.gz ZBBKFOYLQSATRT-RRFJBIMHSA-N 0 2 318.373 0.585 20 0 DCADLN COC(=O)CN(CC(=O)[O-])C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000737608468 600007572 /nfs/dbraw/zinc/00/75/72/600007572.db2.gz HCKMUQURFNHIOQ-UHFFFAOYSA-N 0 2 317.301 0.572 20 0 DCADLN CCc1cccc(OCC[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC000737269857 600042367 /nfs/dbraw/zinc/04/23/67/600042367.db2.gz ITPVCTDRRBBZQG-AWEZNQCLSA-N 0 2 306.362 0.903 20 0 DCADLN CCc1cccc(OCC[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC000737269857 600042370 /nfs/dbraw/zinc/04/23/70/600042370.db2.gz ITPVCTDRRBBZQG-AWEZNQCLSA-N 0 2 306.362 0.903 20 0 DCADLN CCNC(=O)CN1CC[NH+](Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC000737120987 600084264 /nfs/dbraw/zinc/08/42/64/600084264.db2.gz BBVSECHRXFHKHL-UHFFFAOYSA-N 0 2 323.368 0.778 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000381882180 600142188 /nfs/dbraw/zinc/14/21/88/600142188.db2.gz OCDFESMQMPJMQT-KGLIPLIRSA-N 0 2 306.362 0.555 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000381882180 600142189 /nfs/dbraw/zinc/14/21/89/600142189.db2.gz OCDFESMQMPJMQT-KGLIPLIRSA-N 0 2 306.362 0.555 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)N(Cc1ccccc1)C1CC1 ZINC000740057325 600142332 /nfs/dbraw/zinc/14/23/32/600142332.db2.gz WPUYENAOSITDRH-CABCVRRESA-N 0 2 318.373 0.697 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)N(Cc1ccccc1)C1CC1 ZINC000740057325 600142333 /nfs/dbraw/zinc/14/23/33/600142333.db2.gz WPUYENAOSITDRH-CABCVRRESA-N 0 2 318.373 0.697 20 0 DCADLN CN(C)c1nc(N)nc(C[NH+]2CCC3(C[C@@H]3C(=O)[O-])CC2)n1 ZINC000737386216 600307671 /nfs/dbraw/zinc/30/76/71/600307671.db2.gz MLKDBOKBBOENGV-SECBINFHSA-N 0 2 306.370 0.207 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)N2CCC3(C[C@H]3C(=O)[O-])CC2)C1 ZINC000405346339 600352434 /nfs/dbraw/zinc/35/24/34/600352434.db2.gz URVQOOFIINOSMI-RYUDHWBXSA-N 0 2 311.382 0.213 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)N2CCC3(C[C@H]3C(=O)[O-])CC2)C1 ZINC000405346339 600352437 /nfs/dbraw/zinc/35/24/37/600352437.db2.gz URVQOOFIINOSMI-RYUDHWBXSA-N 0 2 311.382 0.213 20 0 DCADLN Cc1cc(-c2nn[nH]n2)ccc1C(=O)N1CCc2[nH]nnc2C1 ZINC000826246167 607461347 /nfs/dbraw/zinc/46/13/47/607461347.db2.gz USKXWIGBFUQCHR-UHFFFAOYSA-N 0 2 310.321 0.492 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CC[C@@H]([NH+]3CC=CC3)C2)c[nH]1 ZINC000833301382 600772388 /nfs/dbraw/zinc/77/23/88/600772388.db2.gz VIUGESPSWCSRJG-SNVBAGLBSA-N 0 2 311.363 0.348 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])C1 ZINC000737133737 600977232 /nfs/dbraw/zinc/97/72/32/600977232.db2.gz JBYGUEWSAYHSTP-QWRGUYRKSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])C1 ZINC000737133737 600977234 /nfs/dbraw/zinc/97/72/34/600977234.db2.gz JBYGUEWSAYHSTP-QWRGUYRKSA-N 0 2 300.355 0.193 20 0 DCADLN CC(C)(C(N)=O)N1CC[NH+](Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC000826126527 601153826 /nfs/dbraw/zinc/15/38/26/601153826.db2.gz FRJYJWXXGCQIAW-UHFFFAOYSA-N 0 2 323.368 0.905 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN(Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC000826126527 601153827 /nfs/dbraw/zinc/15/38/27/601153827.db2.gz FRJYJWXXGCQIAW-UHFFFAOYSA-N 0 2 323.368 0.905 20 0 DCADLN C[C@@H](CS(=O)(=O)NC[C@@H](c1ccco1)[NH+](C)C)C(=O)[O-] ZINC000827558235 601272678 /nfs/dbraw/zinc/27/26/78/601272678.db2.gz KLLYNAAXANWKDL-UWVGGRQHSA-N 0 2 304.368 0.522 20 0 DCADLN O=C([O-])c1cn(CC(=O)NCC[NH+]2Cc3ccccc3C2)nn1 ZINC000833121064 601279122 /nfs/dbraw/zinc/27/91/22/601279122.db2.gz WJCRCVDLPYAZIF-UHFFFAOYSA-N 0 2 315.333 0.108 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+](C)Cc1cnccn1 ZINC000825977636 601420472 /nfs/dbraw/zinc/42/04/72/601420472.db2.gz LFHQUYWCRPANED-LLVKDONJSA-N 0 2 306.366 0.620 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+](C)Cc1cnccn1 ZINC000825977636 601420473 /nfs/dbraw/zinc/42/04/73/601420473.db2.gz LFHQUYWCRPANED-LLVKDONJSA-N 0 2 306.366 0.620 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2C[C@@H](C3CCCCC3)C[C@H]2C(=O)[O-])n1 ZINC000833090832 601541913 /nfs/dbraw/zinc/54/19/13/601541913.db2.gz FMYJDFXHTKHIRG-QWRGUYRKSA-N 0 2 320.397 0.891 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2C[C@@H](C3CCCCC3)C[C@H]2C(=O)[O-])n1 ZINC000833090832 601541914 /nfs/dbraw/zinc/54/19/14/601541914.db2.gz FMYJDFXHTKHIRG-QWRGUYRKSA-N 0 2 320.397 0.891 20 0 DCADLN C[C@@H](C(=O)NC(=O)NC(C)(C)C)[N@@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000825990917 601552654 /nfs/dbraw/zinc/55/26/54/601552654.db2.gz RDCPNBBCZJZVCI-SDBXPKJASA-N 0 2 303.334 0.498 20 0 DCADLN Nc1nsc(N2CC[NH+](Cc3occc3C(=O)[O-])CC2)n1 ZINC000833091047 601554821 /nfs/dbraw/zinc/55/48/21/601554821.db2.gz LIKAOKWLWTWNHM-UHFFFAOYSA-N 0 2 309.351 0.734 20 0 DCADLN COCC[N@H+](CC(=O)N(C)C)Cc1ccsc1C(=O)[O-] ZINC000831715715 601827141 /nfs/dbraw/zinc/82/71/41/601827141.db2.gz KRJQCPKAJIZKAF-UHFFFAOYSA-N 0 2 300.380 0.983 20 0 DCADLN CNC(=O)N1CC[NH+](CCOc2cccc(C(=O)[O-])c2)CC1 ZINC000831000735 601843957 /nfs/dbraw/zinc/84/39/57/601843957.db2.gz LZUNDSDTBJSCKL-UHFFFAOYSA-N 0 2 307.350 0.721 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000827461064 602068773 /nfs/dbraw/zinc/06/87/73/602068773.db2.gz LPMUUIVSBXWCTR-IUODEOHRSA-N 0 2 312.414 0.129 20 0 DCADLN C[C@H](CC(=O)[O-])C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000827317008 602155552 /nfs/dbraw/zinc/15/55/52/602155552.db2.gz HPCOTQWBOLXIDW-LLVKDONJSA-N 0 2 310.354 0.265 20 0 DCADLN COc1cc(OC)cc([C@H]([NH2+]CC(=O)NC(C)C)C(=O)[O-])c1 ZINC000832057509 602164203 /nfs/dbraw/zinc/16/42/03/602164203.db2.gz PPZLPDWFNCHNCW-AWEZNQCLSA-N 0 2 310.350 0.944 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@H](C)CC(=O)[O-])CC1 ZINC000833015192 602164257 /nfs/dbraw/zinc/16/42/57/602164257.db2.gz ZWWADNCBEKIMLB-LLVKDONJSA-N 0 2 322.409 0.792 20 0 DCADLN COc1cc(OC)cc([C@H]([NH2+][C@@H]2CCN(C)C2=O)C(=O)[O-])c1 ZINC000832050520 602174328 /nfs/dbraw/zinc/17/43/28/602174328.db2.gz QRYZZDUWQYISAU-OLZOCXBDSA-N 0 2 308.334 0.650 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@H](C)CC(=O)[O-])nc[nH+]1 ZINC000831041893 602177219 /nfs/dbraw/zinc/17/72/19/602177219.db2.gz KBNCBXIPDWQFMA-GHMZBOCLSA-N 0 2 321.381 0.714 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@H](C)CC(=O)[O-])[nH+]cn1 ZINC000831041893 602177223 /nfs/dbraw/zinc/17/72/23/602177223.db2.gz KBNCBXIPDWQFMA-GHMZBOCLSA-N 0 2 321.381 0.714 20 0 DCADLN CC(C)(CNC(=O)N1CCN(c2cccc[nH+]2)CC1)NC(=O)[O-] ZINC000823924536 603449976 /nfs/dbraw/zinc/44/99/76/603449976.db2.gz PLMCXBKUMKPAOY-UHFFFAOYSA-N 0 2 321.381 0.959 20 0 DCADLN CN(C[C@@H]1CCOC1)C(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000828267027 603467041 /nfs/dbraw/zinc/46/70/41/603467041.db2.gz NFKCLSDXNGYZTM-LBPRGKRZSA-N 0 2 313.398 0.556 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2n[nH]c3c2CCCC3)[C@H](CNC(=O)[O-])C1 ZINC000828506112 603502316 /nfs/dbraw/zinc/50/23/16/603502316.db2.gz DMKVWNHSVBOMDD-SNVBAGLBSA-N 0 2 321.381 0.312 20 0 DCADLN C[N@H+]1CCN(C(=O)c2n[nH]c3c2CCCC3)[C@H](CNC(=O)[O-])C1 ZINC000828506112 603502319 /nfs/dbraw/zinc/50/23/19/603502319.db2.gz DMKVWNHSVBOMDD-SNVBAGLBSA-N 0 2 321.381 0.312 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC[C@H](NC(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000825084803 603573854 /nfs/dbraw/zinc/57/38/54/603573854.db2.gz VUNJLTRSYTZNHG-RVMXOQNASA-N 0 2 313.398 0.648 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000831272591 603713179 /nfs/dbraw/zinc/71/31/79/603713179.db2.gz KSAYICPVANLBSF-VXGBXAGGSA-N 0 2 308.338 0.160 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)NCCCNc1cccc[nH+]1 ZINC000831675991 603817670 /nfs/dbraw/zinc/81/76/70/603817670.db2.gz KIJGYCZDKLGWGG-WDEREUQCSA-N 0 2 308.338 0.113 20 0 DCADLN O=C([O-])N1[C@@H]2CC[C@H]1CN(C(=O)NCCn1cc[nH+]c1)CC2 ZINC000831708594 603888541 /nfs/dbraw/zinc/88/85/41/603888541.db2.gz JVRADNWJRKNNKI-NEPJUHHUSA-N 0 2 307.354 0.809 20 0 DCADLN CNC(=O)NC(=O)C[N@@H+]1CCN(C(=O)[O-])CC12CCCCC2 ZINC000828552457 603913340 /nfs/dbraw/zinc/91/33/40/603913340.db2.gz RQYPPMJARCNKLH-UHFFFAOYSA-N 0 2 312.370 0.441 20 0 DCADLN CNC(=O)NC(=O)C[N@H+]1CCN(C(=O)[O-])CC12CCCCC2 ZINC000828552457 603913343 /nfs/dbraw/zinc/91/33/43/603913343.db2.gz RQYPPMJARCNKLH-UHFFFAOYSA-N 0 2 312.370 0.441 20 0 DCADLN CC(C)C[C@H]1NC(=O)N(C[N@@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000824231042 603915057 /nfs/dbraw/zinc/91/50/57/603915057.db2.gz CHEFFEBWJPUOJS-GHMZBOCLSA-N 0 2 312.370 0.595 20 0 DCADLN CC(C)C[C@H]1NC(=O)N(C[N@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000824231042 603915060 /nfs/dbraw/zinc/91/50/60/603915060.db2.gz CHEFFEBWJPUOJS-GHMZBOCLSA-N 0 2 312.370 0.595 20 0 DCADLN O=C([O-])N1CC(OCC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)C1 ZINC000831852617 603992429 /nfs/dbraw/zinc/99/24/29/603992429.db2.gz RPBXSYYCRKYVNO-UHFFFAOYSA-N 0 2 308.338 0.495 20 0 DCADLN Cc1[nH+]c[nH]c1CNC(=O)C(C)(C)N1CCN(C(=O)[O-])CC1 ZINC000829833764 604057077 /nfs/dbraw/zinc/05/70/77/604057077.db2.gz NVWDOETWEWRANE-UHFFFAOYSA-N 0 2 309.370 0.409 20 0 DCADLN O=C([O-])NC[C@@H](C(=O)N1CC[NH+](CCO)CC1)c1ccccc1 ZINC000832402050 604160213 /nfs/dbraw/zinc/16/02/13/604160213.db2.gz FGMVOGWKUKQRNV-CQSZACIVSA-N 0 2 321.377 0.174 20 0 DCADLN C[C@@H](CNC(=O)Nc1cncc(C(=O)[O-])c1)[NH+]1CCOCC1 ZINC000827493212 604322737 /nfs/dbraw/zinc/32/27/37/604322737.db2.gz ONGHYWCFZVRNGL-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN CCCn1c(CO)nn(C[N@@H+]2C[C@H](C)[C@H](C(=O)[O-])C2)c1=S ZINC000829580280 604398808 /nfs/dbraw/zinc/39/88/08/604398808.db2.gz UQBRKXQONVMLDB-VHSXEESVSA-N 0 2 314.411 0.926 20 0 DCADLN CCCn1c(CO)nn(C[N@H+]2C[C@H](C)[C@H](C(=O)[O-])C2)c1=S ZINC000829580280 604398812 /nfs/dbraw/zinc/39/88/12/604398812.db2.gz UQBRKXQONVMLDB-VHSXEESVSA-N 0 2 314.411 0.926 20 0 DCADLN CCO[C@H](CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])C(C)C ZINC000827372757 604400712 /nfs/dbraw/zinc/40/07/12/604400712.db2.gz SQEHASSYOUVVMO-QWHCGFSZSA-N 0 2 315.414 0.848 20 0 DCADLN CCO[C@H](CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])C(C)C ZINC000827372757 604400713 /nfs/dbraw/zinc/40/07/13/604400713.db2.gz SQEHASSYOUVVMO-QWHCGFSZSA-N 0 2 315.414 0.848 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cc2ccc(O)cc2)[C@@H](CNC(=O)[O-])C1 ZINC000828501508 604409006 /nfs/dbraw/zinc/40/90/06/604409006.db2.gz WOQBKASPAHMZGA-LBPRGKRZSA-N 0 2 307.350 0.345 20 0 DCADLN C[N@H+]1CCN(C(=O)Cc2ccc(O)cc2)[C@@H](CNC(=O)[O-])C1 ZINC000828501508 604409007 /nfs/dbraw/zinc/40/90/07/604409007.db2.gz WOQBKASPAHMZGA-LBPRGKRZSA-N 0 2 307.350 0.345 20 0 DCADLN CC(C)c1nnc(NC(=O)C[NH2+][C@]2(C(=O)[O-])CCOC2)s1 ZINC000833511622 604483866 /nfs/dbraw/zinc/48/38/66/604483866.db2.gz BVBFYYFTFHRBRM-GFCCVEGCSA-N 0 2 314.367 0.433 20 0 DCADLN C[C@@H](Oc1ccc(C[NH+]2CCN(CC(N)=O)CC2)cc1)C(=O)[O-] ZINC000833538511 604525450 /nfs/dbraw/zinc/52/54/50/604525450.db2.gz DATBYQCBINNORA-GFCCVEGCSA-N 0 2 321.377 0.141 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCCSCC(=O)[O-])C1 ZINC000833759819 604576920 /nfs/dbraw/zinc/57/69/20/604576920.db2.gz IWESLEZYHUVUKR-JTQLQIEISA-N 0 2 312.395 0.737 20 0 DCADLN CCN(CC)C(=O)C[NH+]1CCN(c2cccc(C(=O)[O-])n2)CC1 ZINC000833653839 604625777 /nfs/dbraw/zinc/62/57/77/604625777.db2.gz KJSOOIHSHSJIKA-UHFFFAOYSA-N 0 2 320.393 0.770 20 0 DCADLN CC[C@@H](c1ccccc1)[N@H+](CC(=O)[O-])CC(=O)NC(=O)NC ZINC000829027507 604650726 /nfs/dbraw/zinc/65/07/26/604650726.db2.gz NXXBZJSXUDRXFP-LBPRGKRZSA-N 0 2 307.350 0.980 20 0 DCADLN CC[C@@H](c1ccccc1)[N@@H+](CC(=O)[O-])CC(=O)NC(=O)NC ZINC000829027507 604650728 /nfs/dbraw/zinc/65/07/28/604650728.db2.gz NXXBZJSXUDRXFP-LBPRGKRZSA-N 0 2 307.350 0.980 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@@H+](C)C[C@H](C)O)nc(=O)c12 ZINC000832852845 604768184 /nfs/dbraw/zinc/76/81/84/604768184.db2.gz MOUPWRJGPNQRHK-LURJTMIESA-N 0 2 311.363 0.804 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@H+](C)C[C@H](C)O)nc(=O)c12 ZINC000832852845 604768186 /nfs/dbraw/zinc/76/81/86/604768186.db2.gz MOUPWRJGPNQRHK-LURJTMIESA-N 0 2 311.363 0.804 20 0 DCADLN CC(C)(CNC(=O)c1cnc(C(=O)[O-])cn1)[NH+]1CCOCC1 ZINC000833459413 604781582 /nfs/dbraw/zinc/78/15/82/604781582.db2.gz VUSGDLLANAOMRO-UHFFFAOYSA-N 0 2 308.338 0.015 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833588485 604801649 /nfs/dbraw/zinc/80/16/49/604801649.db2.gz PUKNDLZADIVIIZ-DTWKUNHWSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833588485 604801651 /nfs/dbraw/zinc/80/16/51/604801651.db2.gz PUKNDLZADIVIIZ-DTWKUNHWSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000833586575 604803180 /nfs/dbraw/zinc/80/31/80/604803180.db2.gz GODSXFAHOOSUHL-GHMZBOCLSA-N 0 2 319.427 0.052 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000833586575 604803184 /nfs/dbraw/zinc/80/31/84/604803184.db2.gz GODSXFAHOOSUHL-GHMZBOCLSA-N 0 2 319.427 0.052 20 0 DCADLN CC(C)(C)n1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC000833452909 604896913 /nfs/dbraw/zinc/89/69/13/604896913.db2.gz LVXZAZOFICKMMT-NSHDSACASA-N 0 2 305.338 0.787 20 0 DCADLN CC(C)(C)n1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC000833452909 604896914 /nfs/dbraw/zinc/89/69/14/604896914.db2.gz LVXZAZOFICKMMT-NSHDSACASA-N 0 2 305.338 0.787 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)[C@@H](COC(C)C)C(=O)[O-] ZINC000833656604 604920840 /nfs/dbraw/zinc/92/08/40/604920840.db2.gz FLCIINXCRGOXFG-ZJUUUORDSA-N 0 2 303.359 0.031 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)[C@@H](COC(C)C)C(=O)[O-] ZINC000833656604 604920843 /nfs/dbraw/zinc/92/08/43/604920843.db2.gz FLCIINXCRGOXFG-ZJUUUORDSA-N 0 2 303.359 0.031 20 0 DCADLN C[C@H](CNC(=O)C[C@H]1CN(C(=O)[O-])CCO1)Cn1cc[nH+]c1 ZINC000824921044 604946442 /nfs/dbraw/zinc/94/64/42/604946442.db2.gz BMXRRQXCIRLBTP-NEPJUHHUSA-N 0 2 310.354 0.404 20 0 DCADLN Cn1ccnc1C[N@@H+]1CCCN(c2cncc(C(=O)[O-])n2)CC1 ZINC000833761259 605183130 /nfs/dbraw/zinc/18/31/30/605183130.db2.gz ZVZSCCRJVNEZPN-UHFFFAOYSA-N 0 2 316.365 0.621 20 0 DCADLN Cn1ccnc1C[N@H+]1CCCN(c2cncc(C(=O)[O-])n2)CC1 ZINC000833761259 605183135 /nfs/dbraw/zinc/18/31/35/605183135.db2.gz ZVZSCCRJVNEZPN-UHFFFAOYSA-N 0 2 316.365 0.621 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[O-])c(F)c2)CC1 ZINC000833697164 605288948 /nfs/dbraw/zinc/28/89/48/605288948.db2.gz BWSJQVGFLBEYNW-UHFFFAOYSA-N 0 2 324.312 0.628 20 0 DCADLN O=C([O-])N1CC[C@@](F)(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000831893951 605291834 /nfs/dbraw/zinc/29/18/34/605291834.db2.gz FRVLHGSWXWFGQI-FZMZJTMJSA-N 0 2 315.345 0.012 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CCC[C@H](NC(=O)[O-])C2)C1 ZINC000833958706 605318388 /nfs/dbraw/zinc/31/83/88/605318388.db2.gz IHFFFOATXLFUBM-NEPJUHHUSA-N 0 2 321.381 0.426 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)c2cnc(C(=O)[O-])cn2)CCO1 ZINC000833561726 605564046 /nfs/dbraw/zinc/56/40/46/605564046.db2.gz REACHVOCEWQALQ-UHFFFAOYSA-N 0 2 308.338 0.015 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)c2cnc(C(=O)[O-])cn2)CCO1 ZINC000833561726 605564049 /nfs/dbraw/zinc/56/40/49/605564049.db2.gz REACHVOCEWQALQ-UHFFFAOYSA-N 0 2 308.338 0.015 20 0 DCADLN O=C([O-])N1CC[C@@H]([NH+]2CCN(C(=O)N3CCCCC3)CC2)C1 ZINC000834084766 605582840 /nfs/dbraw/zinc/58/28/40/605582840.db2.gz NUHNACZCOPNNPB-CYBMUJFWSA-N 0 2 310.398 0.962 20 0 DCADLN O=C([O-])N1CC[C@H]([NH+]2CCN(C(=O)CC(F)(F)F)CC2)C1 ZINC000834085411 605584683 /nfs/dbraw/zinc/58/46/83/605584683.db2.gz SBNQSZUBECCUEG-VIFPVBQESA-N 0 2 309.288 0.835 20 0 DCADLN O=C([O-])N1CC[C@@H](N2CC[NH+](CCn3ccccc3=O)CC2)C1 ZINC000834081837 605591188 /nfs/dbraw/zinc/59/11/88/605591188.db2.gz BWCBBECLLQGSNB-CQSZACIVSA-N 0 2 320.393 0.218 20 0 DCADLN NC(=O)C[C@H](NC(=O)[O-])C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000833985344 605753879 /nfs/dbraw/zinc/75/38/79/605753879.db2.gz YGAKEUHTNBUONY-NSHDSACASA-N 0 2 317.305 0.322 20 0 DCADLN O=C([O-])c1cc(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)on1 ZINC000833777825 605879526 /nfs/dbraw/zinc/87/95/26/605879526.db2.gz GTNURSBCCJETDV-SECBINFHSA-N 0 2 302.290 0.777 20 0 DCADLN Cn1cc([C@H]2CCC[N@@H+]2CN2C[C@H](NC(=O)[O-])CC2=O)cn1 ZINC000833947630 605994697 /nfs/dbraw/zinc/99/46/97/605994697.db2.gz OIIYFFAZJUOAOI-VXGBXAGGSA-N 0 2 307.354 0.383 20 0 DCADLN Cn1cc([C@H]2CCC[N@H+]2CN2C[C@H](NC(=O)[O-])CC2=O)cn1 ZINC000833947630 605994700 /nfs/dbraw/zinc/99/47/00/605994700.db2.gz OIIYFFAZJUOAOI-VXGBXAGGSA-N 0 2 307.354 0.383 20 0 DCADLN CCOC(=O)c1cnn(C[N@@H+]2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)c1 ZINC000833861641 606036317 /nfs/dbraw/zinc/03/63/17/606036317.db2.gz STPKFWCYNLDXFH-CMPLNLGQSA-N 0 2 310.354 0.995 20 0 DCADLN CCOC(=O)c1cnn(C[N@H+]2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)c1 ZINC000833861641 606036320 /nfs/dbraw/zinc/03/63/20/606036320.db2.gz STPKFWCYNLDXFH-CMPLNLGQSA-N 0 2 310.354 0.995 20 0 DCADLN O=C([O-])Nc1ccccc1CC(=O)NC[C@@H]1C[NH+]2CCN1CC2 ZINC000834274524 606073614 /nfs/dbraw/zinc/07/36/14/606073614.db2.gz UWYJQGGOBROFHX-CYBMUJFWSA-N 0 2 318.377 0.435 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)[C@@H]2CSCN2C(=O)[O-])C1 ZINC000833954629 606081708 /nfs/dbraw/zinc/08/17/08/606081708.db2.gz LRWCBYDCLNGPIE-ZJUUUORDSA-N 0 2 310.379 0.789 20 0 DCADLN O=S1(=O)CCCC[C@@H]1CNCc1ccc(-c2nn[nH]n2)o1 ZINC000826496930 608133795 /nfs/dbraw/zinc/13/37/95/608133795.db2.gz OELVSBREYOPMOO-SNVBAGLBSA-N 0 2 311.367 0.517 20 0 DCADLN O=c1[nH]nc(CNc2nc3c(cc2-c2nn[nH]n2)CCCC3)[nH]1 ZINC000826501271 608295607 /nfs/dbraw/zinc/29/56/07/608295607.db2.gz IDLOHTICCAVKFX-UHFFFAOYSA-N 0 2 313.325 0.576 20 0 DCADLN Cc1ccccc1C(=O)CNS(=O)(=O)N=S(C)(C)=O ZINC000867573254 667470409 /nfs/dbraw/zinc/47/04/09/667470409.db2.gz ZBERFVUBOZHKEP-UHFFFAOYSA-N 0 2 304.393 0.740 20 0 DCADLN Cc1ncncc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027769171 660711334 /nfs/dbraw/zinc/71/13/34/660711334.db2.gz NYOCESROFUQFQO-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOCC1 ZINC001027852745 660842962 /nfs/dbraw/zinc/84/29/62/660842962.db2.gz NDXHPTFGWONLLM-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOCC1 ZINC001027852745 660842964 /nfs/dbraw/zinc/84/29/64/660842964.db2.gz NDXHPTFGWONLLM-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC[C@@H]1CCCO1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980734417 660992137 /nfs/dbraw/zinc/99/21/37/660992137.db2.gz JAMNRHPJTFILLR-LBPRGKRZSA-N 0 2 323.397 0.504 20 0 DCADLN O=C(c1ccncc1F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980886636 661038968 /nfs/dbraw/zinc/03/89/68/661038968.db2.gz TZXZTEOGFBYUQG-UHFFFAOYSA-N 0 2 320.328 0.393 20 0 DCADLN Cn1cnnc1CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981208013 661101202 /nfs/dbraw/zinc/10/12/02/661101202.db2.gz YWSBMGINXZEWNZ-JTQLQIEISA-N 0 2 323.294 0.750 20 0 DCADLN Cn1cnnc1CN1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000981208013 661101204 /nfs/dbraw/zinc/10/12/04/661101204.db2.gz YWSBMGINXZEWNZ-JTQLQIEISA-N 0 2 323.294 0.750 20 0 DCADLN Cn1ncnc1CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981209676 661103784 /nfs/dbraw/zinc/10/37/84/661103784.db2.gz JATVDUVMORYYSK-JTQLQIEISA-N 0 2 323.294 0.750 20 0 DCADLN Cn1ncnc1CN1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000981209676 661103786 /nfs/dbraw/zinc/10/37/86/661103786.db2.gz JATVDUVMORYYSK-JTQLQIEISA-N 0 2 323.294 0.750 20 0 DCADLN COCC1CC(OC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC000893634670 661142959 /nfs/dbraw/zinc/14/29/59/661142959.db2.gz ABRMVTKIQGBBFJ-UHFFFAOYSA-N 0 2 305.334 0.842 20 0 DCADLN C[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981600550 661180049 /nfs/dbraw/zinc/18/00/49/661180049.db2.gz SWZIYNUDLRWJHB-MNOVXSKESA-N 0 2 305.382 0.591 20 0 DCADLN C[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981600550 661180051 /nfs/dbraw/zinc/18/00/51/661180051.db2.gz SWZIYNUDLRWJHB-MNOVXSKESA-N 0 2 305.382 0.591 20 0 DCADLN CCc1nocc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028120400 661209291 /nfs/dbraw/zinc/20/92/91/661209291.db2.gz OYAWPMJTEJAARY-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN C[C@H](C(=O)N(C)C)[N@@H+]1CCCN(C(=O)C[NH+]2CC[C@@H](C)C2)CC1 ZINC000981957214 661255455 /nfs/dbraw/zinc/25/54/55/661255455.db2.gz GHNQKWAMVYVWMQ-HUUCEWRRSA-N 0 2 324.469 0.339 20 0 DCADLN CNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCC[NH+]1C(C)C ZINC001028181679 661263918 /nfs/dbraw/zinc/26/39/18/661263918.db2.gz YAGTZWAKRRCDDE-GJZGRUSLSA-N 0 2 324.469 0.576 20 0 DCADLN CC1(C)CO[C@@H](CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949250269 657494549 /nfs/dbraw/zinc/49/45/49/657494549.db2.gz RUKXMQGVSITUTM-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969272470 657648246 /nfs/dbraw/zinc/64/82/46/657648246.db2.gz KPBWYGDZITXQOP-SSDOTTSWSA-N 0 2 320.353 0.365 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC(Oc3ccncc3)C2)S1 ZINC000742626755 657693134 /nfs/dbraw/zinc/69/31/34/657693134.db2.gz AACNZTZLHAARAT-SNVBAGLBSA-N 0 2 306.347 0.228 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CN(C(=O)CCc3cnn[nH]3)C[C@H]2C)on1 ZINC000939159027 665507527 /nfs/dbraw/zinc/50/75/27/665507527.db2.gz UPPPBOYUFUMNNR-QMTHXVAHSA-N 0 2 318.381 0.670 20 0 DCADLN CCc1ocnc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969423385 657853341 /nfs/dbraw/zinc/85/33/41/657853341.db2.gz FCZINVIKBIUKPY-QMMMGPOBSA-N 0 2 320.353 0.311 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC000969559741 657975632 /nfs/dbraw/zinc/97/56/32/657975632.db2.gz AYMQKFVAIBRXHC-MRVPVSSYSA-N 0 2 322.394 0.526 20 0 DCADLN Cc1cnoc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000998979076 665559153 /nfs/dbraw/zinc/55/91/53/665559153.db2.gz OWNUANIPLXFZKI-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cnoc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000998979076 665559155 /nfs/dbraw/zinc/55/91/55/665559155.db2.gz OWNUANIPLXFZKI-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nc(C[NH2+][C@@H]2CCN(C(=O)CCc3cn[nH]n3)C2)cs1 ZINC000970007083 658347413 /nfs/dbraw/zinc/34/74/13/658347413.db2.gz LMCPINBLBBJRIO-GFCCVEGCSA-N 0 2 320.422 0.893 20 0 DCADLN Cc1nc(C[NH2+][C@@H]2CCN(C(=O)CCc3c[nH]nn3)C2)cs1 ZINC000970007083 658347427 /nfs/dbraw/zinc/34/74/27/658347427.db2.gz LMCPINBLBBJRIO-GFCCVEGCSA-N 0 2 320.422 0.893 20 0 DCADLN CCc1cnc(C[NH+]2CC([C@@H](C)NC(=O)c3cnn[n-]3)C2)o1 ZINC000970023512 658370304 /nfs/dbraw/zinc/37/03/04/658370304.db2.gz IQQZLDCQZGNBHX-SECBINFHSA-N 0 2 304.354 0.605 20 0 DCADLN CCc1cnc(CN2CC([C@@H](C)NC(=O)c3cnn[nH]3)C2)o1 ZINC000970023512 658370316 /nfs/dbraw/zinc/37/03/16/658370316.db2.gz IQQZLDCQZGNBHX-SECBINFHSA-N 0 2 304.354 0.605 20 0 DCADLN CCc1nnc([C@@H](C)[NH+]2CC([C@H](C)NC(=O)c3cnn[n-]3)C2)o1 ZINC000970036372 658382550 /nfs/dbraw/zinc/38/25/50/658382550.db2.gz XZBMNOSCXDFKPH-DTWKUNHWSA-N 0 2 319.369 0.561 20 0 DCADLN CCCC(=O)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972221061 658455512 /nfs/dbraw/zinc/45/55/12/658455512.db2.gz QYVMYPUCTQUKCF-AWEZNQCLSA-N 0 2 309.370 0.114 20 0 DCADLN CC(C)CC(=O)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972229594 658464416 /nfs/dbraw/zinc/46/44/16/658464416.db2.gz WPXIDOQIIQXDEL-OAHLLOKOSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccncc1 ZINC001024302820 658554462 /nfs/dbraw/zinc/55/44/62/658554462.db2.gz BIJUDDCVMUETGI-GFCCVEGCSA-N 0 2 316.365 0.690 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1n[nH]cc1F ZINC000999177878 665594035 /nfs/dbraw/zinc/59/40/35/665594035.db2.gz VNRYRAJYKKUTQJ-ZETCQYMHSA-N 0 2 312.198 0.390 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1n[nH]cc1F ZINC000999177878 665594037 /nfs/dbraw/zinc/59/40/37/665594037.db2.gz VNRYRAJYKKUTQJ-ZETCQYMHSA-N 0 2 312.198 0.390 20 0 DCADLN CN(Cc1nncn1C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971576278 658822737 /nfs/dbraw/zinc/82/27/37/658822737.db2.gz PFMCFOQIUOYMOD-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1nncn1C)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000971576278 658822745 /nfs/dbraw/zinc/82/27/45/658822745.db2.gz PFMCFOQIUOYMOD-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC=CC1 ZINC000972981710 658852018 /nfs/dbraw/zinc/85/20/18/658852018.db2.gz AVDUAKVAPDJTAW-LLVKDONJSA-N 0 2 305.382 0.803 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC=CC1 ZINC000972981710 658852029 /nfs/dbraw/zinc/85/20/29/658852029.db2.gz AVDUAKVAPDJTAW-LLVKDONJSA-N 0 2 305.382 0.803 20 0 DCADLN O=C(c1cccnn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240387 658894689 /nfs/dbraw/zinc/89/46/89/658894689.db2.gz SICUOYVANPXHAV-SECBINFHSA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1cccnn1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011240387 658894695 /nfs/dbraw/zinc/89/46/95/658894695.db2.gz SICUOYVANPXHAV-SECBINFHSA-N 0 2 320.246 0.661 20 0 DCADLN Cn1cc(C[NH2+][C@@H]2CCCN(C(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC000999316431 665628036 /nfs/dbraw/zinc/62/80/36/665628036.db2.gz LJPFWYZQBXNWEM-OAHLLOKOSA-N 0 2 316.409 0.857 20 0 DCADLN O=C([O-])C[NH+]1CCN(S(=O)(=O)c2cc(F)cc(F)c2)CC1 ZINC000035680387 659081635 /nfs/dbraw/zinc/08/16/35/659081635.db2.gz IYHORXYPBNVGIL-UHFFFAOYSA-N 0 2 320.317 0.356 20 0 DCADLN Cc1conc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000999653547 665669340 /nfs/dbraw/zinc/66/93/40/665669340.db2.gz HJQIZZAUJZWBGM-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1conc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000999653547 665669341 /nfs/dbraw/zinc/66/93/41/665669341.db2.gz HJQIZZAUJZWBGM-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)C[C@@H]1C ZINC000110718706 659407707 /nfs/dbraw/zinc/40/77/07/659407707.db2.gz LJUHDZVEXCKESU-JTQLQIEISA-N 0 2 315.395 0.438 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)C[C@@H]1C ZINC000110718706 659407710 /nfs/dbraw/zinc/40/77/10/659407710.db2.gz LJUHDZVEXCKESU-JTQLQIEISA-N 0 2 315.395 0.438 20 0 DCADLN C[C@H](NC(=O)N(C)[C@@H]1CCC[N@@H+](C)C1)[C@H](C)[NH+]1CCOCC1 ZINC000892838361 659480151 /nfs/dbraw/zinc/48/01/51/659480151.db2.gz MZJCALFAZWAECK-SOUVJXGZSA-N 0 2 312.458 0.831 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)co1 ZINC000974497588 659533247 /nfs/dbraw/zinc/53/32/47/659533247.db2.gz CITMMDFVBKOZNI-JTQLQIEISA-N 0 2 320.353 0.447 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)c1ccc(C(=O)[O-])cn1 ZINC000308605025 659564219 /nfs/dbraw/zinc/56/42/19/659564219.db2.gz ORTDPEBNUPFOIP-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]n1 ZINC000977405264 659681287 /nfs/dbraw/zinc/68/12/87/659681287.db2.gz SVUZNPNCHRNZPU-APPZFPTMSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1ccn[nH]1 ZINC000977405264 659681289 /nfs/dbraw/zinc/68/12/89/659681289.db2.gz SVUZNPNCHRNZPU-APPZFPTMSA-N 0 2 322.262 0.983 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@@H]1CC12CC2 ZINC000975075527 659782646 /nfs/dbraw/zinc/78/26/46/659782646.db2.gz MVAUNPUTIUMREZ-UWVGGRQHSA-N 0 2 305.382 0.637 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@@H]1CC12CC2 ZINC000975075527 659782649 /nfs/dbraw/zinc/78/26/49/659782649.db2.gz MVAUNPUTIUMREZ-UWVGGRQHSA-N 0 2 305.382 0.637 20 0 DCADLN Cn1cc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000940265038 665728041 /nfs/dbraw/zinc/72/80/41/665728041.db2.gz BVDDZVRSJDHYDU-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC000940265038 665728042 /nfs/dbraw/zinc/72/80/42/665728042.db2.gz BVDDZVRSJDHYDU-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@H+](Cc3cnon3)C2)c1[O-] ZINC001028485717 661555145 /nfs/dbraw/zinc/55/51/45/661555145.db2.gz UWPRUEZTCCOLSU-VIFPVBQESA-N 0 2 306.326 0.059 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@@H+](Cc3cnon3)C2)c1[O-] ZINC001028485717 661555146 /nfs/dbraw/zinc/55/51/46/661555146.db2.gz UWPRUEZTCCOLSU-VIFPVBQESA-N 0 2 306.326 0.059 20 0 DCADLN C[C@@H]([NH2+][C@H]1CCN(CC[NH+]2CCOCC2)C1)c1cn(C)cn1 ZINC000925082335 662009439 /nfs/dbraw/zinc/00/94/39/662009439.db2.gz VSMLGMCKINBVOF-CABCVRRESA-N 0 2 307.442 0.477 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2CC[C@H]3[C@H]2CCC[N@@H+]3CCO)c1 ZINC000895292409 662162660 /nfs/dbraw/zinc/16/26/60/662162660.db2.gz UQKCWQMMOIDRJX-LSDHHAIUSA-N 0 2 321.425 0.203 20 0 DCADLN CC(C)(C)c1nnc(CN2CC[C@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001038455843 662357974 /nfs/dbraw/zinc/35/79/74/662357974.db2.gz IKXQKMNXEQZFRD-VIFPVBQESA-N 0 2 318.385 0.225 20 0 DCADLN Cc1nnc(CN2CC[C@@H](NC(=O)c3cnn[nH]3)C(C)(C)C2)[nH]1 ZINC000940857945 665865859 /nfs/dbraw/zinc/86/58/59/665865859.db2.gz HHOYJSAGOJVVPB-LLVKDONJSA-N 0 2 318.385 0.262 20 0 DCADLN Cn1cnnc1C[NH+](C)C[C@@H]1CCN(C(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001029672712 662447170 /nfs/dbraw/zinc/44/71/70/662447170.db2.gz NJPLZBODFFHDJE-UONOGXRCSA-N 0 2 320.441 0.190 20 0 DCADLN C[C@H]1[C@H]([NH2+]Cc2nccs2)CCN1C(=O)CCc1nc[nH]n1 ZINC000986114478 662556669 /nfs/dbraw/zinc/55/66/69/662556669.db2.gz JRVPMIZCSQHLSD-WDEREUQCSA-N 0 2 320.422 0.973 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CCN(C(=O)CCc3nc[nH]n3)[C@H]2C)on1 ZINC000986119166 662557622 /nfs/dbraw/zinc/55/76/22/662557622.db2.gz WROAIVGMVRHTHB-AAEUAGOBSA-N 0 2 318.381 0.813 20 0 DCADLN CC1CC(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000568158 665883544 /nfs/dbraw/zinc/88/35/44/665883544.db2.gz GZHJJNBOLLNHSD-UHFFFAOYSA-N 0 2 305.382 0.805 20 0 DCADLN CC1CC(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000568158 665883547 /nfs/dbraw/zinc/88/35/47/665883547.db2.gz GZHJJNBOLLNHSD-UHFFFAOYSA-N 0 2 305.382 0.805 20 0 DCADLN O=C([O-])[C@H](CC(F)(F)F)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000909667061 662630778 /nfs/dbraw/zinc/63/07/78/662630778.db2.gz PYOTVCPNWNHWSY-SFYZADRCSA-N 0 2 305.256 0.967 20 0 DCADLN C[C@H]1[C@H]([NH2+]Cc2ncccn2)CCN1C(=O)CCc1cn[nH]n1 ZINC000987019104 662635190 /nfs/dbraw/zinc/63/51/90/662635190.db2.gz VDSXSXAXJFIIMZ-WCQYABFASA-N 0 2 315.381 0.307 20 0 DCADLN CC1(C)CN(C(=O)C[C@@H]2SC(=N)NC2=O)[C@H]1[C@H]1CCCO1 ZINC000752973866 662645246 /nfs/dbraw/zinc/64/52/46/662645246.db2.gz ABHRTHAVDNZVCU-YWVKMMECSA-N 0 2 311.407 0.959 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000263440324 662688770 /nfs/dbraw/zinc/68/87/70/662688770.db2.gz WSJBOBYKXNGCNP-NHYWBVRUSA-N 0 2 306.366 0.739 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000263440324 662688772 /nfs/dbraw/zinc/68/87/72/662688772.db2.gz WSJBOBYKXNGCNP-NHYWBVRUSA-N 0 2 306.366 0.739 20 0 DCADLN Cc1ccoc1C(=O)N1C[C@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@H]1C ZINC000988090083 662728500 /nfs/dbraw/zinc/72/85/00/662728500.db2.gz NODQPXBYMXDYAE-GHMZBOCLSA-N 0 2 319.365 0.403 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000938097622 662881862 /nfs/dbraw/zinc/88/18/62/662881862.db2.gz GJSFAHMBFBPBGV-YIZRAAEISA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000938097622 662881864 /nfs/dbraw/zinc/88/18/64/662881864.db2.gz GJSFAHMBFBPBGV-YIZRAAEISA-N 0 2 312.263 0.783 20 0 DCADLN O=C(Cc1ccc[nH]1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000989704116 662973208 /nfs/dbraw/zinc/97/32/08/662973208.db2.gz RUGAAJQFKKNBBL-UHFFFAOYSA-N 0 2 304.354 0.115 20 0 DCADLN CN(C)C(=O)C[NH+]1CC[C@]2(NC(=O)[C@@H]3CCC[N@@H+]3C)CCC[C@@H]12 ZINC000990024737 662994964 /nfs/dbraw/zinc/99/49/64/662994964.db2.gz DRVIUUNRCAINGG-JJRVBVJISA-N 0 2 322.453 0.282 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCCOC1 ZINC000990460448 663053462 /nfs/dbraw/zinc/05/34/62/663053462.db2.gz FWTQGSNZLVHGPJ-APPZFPTMSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CCCOC1 ZINC000990460448 663053463 /nfs/dbraw/zinc/05/34/63/663053463.db2.gz FWTQGSNZLVHGPJ-APPZFPTMSA-N 0 2 312.263 0.640 20 0 DCADLN CCn1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000990543186 663064430 /nfs/dbraw/zinc/06/44/30/663064430.db2.gz YHSGVGNGOBCONI-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC000990543186 663064431 /nfs/dbraw/zinc/06/44/31/663064431.db2.gz YHSGVGNGOBCONI-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CO[C@]1(C(=O)N2CC[C@H]3CC[C@@H](C2)S3(=O)=O)CCSC1 ZINC000899143172 663125354 /nfs/dbraw/zinc/12/53/54/663125354.db2.gz VQGSJTIAGJWKHW-NTZNESFSSA-N 0 2 319.448 0.687 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC001000835797 665942192 /nfs/dbraw/zinc/94/21/92/665942192.db2.gz XOUMLTNPKXVCFX-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC001000835797 665942193 /nfs/dbraw/zinc/94/21/93/665942193.db2.gz XOUMLTNPKXVCFX-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN CO[C@@H]1CCC[C@@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031935725 665951381 /nfs/dbraw/zinc/95/13/81/665951381.db2.gz BVAQIJNCQGNMIR-VXGBXAGGSA-N 0 2 323.397 0.264 20 0 DCADLN CN1CCN(c2cc(N[C@@H]3CCC[C@@H]3C(=O)[O-])[nH+]cn2)CC1=O ZINC000900978562 663296934 /nfs/dbraw/zinc/29/69/34/663296934.db2.gz MHUSWGKGOXWVTJ-WDEREUQCSA-N 0 2 319.365 0.420 20 0 DCADLN CN1CCN(c2cc(N[C@@H]3CCC[C@@H]3C(=O)[O-])nc[nH+]2)CC1=O ZINC000900978562 663296935 /nfs/dbraw/zinc/29/69/35/663296935.db2.gz MHUSWGKGOXWVTJ-WDEREUQCSA-N 0 2 319.365 0.420 20 0 DCADLN CONC(=O)CN[C@H](C(=O)OC)c1cc(OC)cc(OC)c1 ZINC000901606093 663355232 /nfs/dbraw/zinc/35/52/32/663355232.db2.gz LFSJJHAJTYOPBT-ZDUSSCGKSA-N 0 2 312.322 0.185 20 0 DCADLN CONC(=O)CN[C@H](Cc1ccc(Cl)cc1)C(=O)OC ZINC000901606545 663355358 /nfs/dbraw/zinc/35/53/58/663355358.db2.gz SBPPQDCVJVHFBS-LLVKDONJSA-N 0 2 300.742 0.691 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)/C=C/c2ccc(C(=O)[O-])o2)CCO1 ZINC000901921049 663372756 /nfs/dbraw/zinc/37/27/56/663372756.db2.gz MEPLAGKPXFRQFO-TZNOJPMFSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)/C=C/c2ccc(C(=O)[O-])o2)CCO1 ZINC000901921049 663372758 /nfs/dbraw/zinc/37/27/58/663372758.db2.gz MEPLAGKPXFRQFO-TZNOJPMFSA-N 0 2 308.334 0.828 20 0 DCADLN COCc1ccccc1CNC(=O)CON=C(N)CN(C)C ZINC000902612430 663422208 /nfs/dbraw/zinc/42/22/08/663422208.db2.gz IWPMUQVDNPSGFY-UHFFFAOYSA-N 0 2 308.382 0.509 20 0 DCADLN CC1(C)COc2c(S(=O)(=O)Nc3ncccn3)cnn2C1 ZINC000903616971 663470463 /nfs/dbraw/zinc/47/04/63/663470463.db2.gz JEENUWTUXBLYCE-UHFFFAOYSA-N 0 2 309.351 0.893 20 0 DCADLN O=C([O-])[C@@]1(c2ccccc2)C[C@H]1C(=O)N1CC[NH+](CCO)CC1 ZINC000905125135 663532519 /nfs/dbraw/zinc/53/25/19/663532519.db2.gz ZHYVLICYHHIQOB-WMLDXEAASA-N 0 2 318.373 0.165 20 0 DCADLN O=C(Cc1cncnc1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991082534 663606083 /nfs/dbraw/zinc/60/60/83/663606083.db2.gz BNJXTKLRJCDQGX-JTQLQIEISA-N 0 2 320.246 0.247 20 0 DCADLN O=C(Cc1cncnc1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000991082534 663606085 /nfs/dbraw/zinc/60/60/85/663606085.db2.gz BNJXTKLRJCDQGX-JTQLQIEISA-N 0 2 320.246 0.247 20 0 DCADLN CCn1ncn(NC(=O)c2[nH]nc(C)c2C(F)(F)F)c1=O ZINC000908370943 663752747 /nfs/dbraw/zinc/75/27/47/663752747.db2.gz WKHSLBDONIOOSL-UHFFFAOYSA-N 0 2 304.232 0.499 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N[C@H](C)CO)cc2[nH]c1=S ZINC000129204649 663764152 /nfs/dbraw/zinc/76/41/52/663764152.db2.gz YJVRWTJLRFRYST-MRVPVSSYSA-N 0 2 307.375 0.816 20 0 DCADLN CC[C@@H](C(=O)N1CCOC[C@@H]1C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000909059703 663780281 /nfs/dbraw/zinc/78/02/81/663780281.db2.gz NYSPVSOFTMLCIL-FRRDWIJNSA-N 0 2 314.382 0.188 20 0 DCADLN CC[C@@H](C(=O)N1CCOC[C@@H]1C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000909059703 663780282 /nfs/dbraw/zinc/78/02/82/663780282.db2.gz NYSPVSOFTMLCIL-FRRDWIJNSA-N 0 2 314.382 0.188 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)[C@H]2CCc3c[nH+]cn3C2)CCCOC1 ZINC000909693651 663796907 /nfs/dbraw/zinc/79/69/07/663796907.db2.gz RRUBQEVRZQEJHT-XHDPSFHLSA-N 0 2 307.350 0.586 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)[C@@H](C(=O)[O-])C1 ZINC000909984781 663826575 /nfs/dbraw/zinc/82/65/75/663826575.db2.gz SYHWBVKYRFSMPB-RAIGVLPGSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000910148021 663849932 /nfs/dbraw/zinc/84/99/32/663849932.db2.gz QCUOWEJPRYUTIX-MNOVXSKESA-N 0 2 309.391 0.722 20 0 DCADLN O=C([O-])[C@H]1CSCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000910147582 663850044 /nfs/dbraw/zinc/85/00/44/663850044.db2.gz NSQUBWHEBKEPIZ-GHMZBOCLSA-N 0 2 309.391 0.722 20 0 DCADLN CS(=O)(=O)NCC1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000910365318 663874620 /nfs/dbraw/zinc/87/46/20/663874620.db2.gz UABRCKALZQSOJI-UHFFFAOYSA-N 0 2 313.379 0.545 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000910457134 663886165 /nfs/dbraw/zinc/88/61/65/663886165.db2.gz UMOOOYJFKIPZJF-XHDPSFHLSA-N 0 2 324.356 0.831 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000910457134 663886167 /nfs/dbraw/zinc/88/61/67/663886167.db2.gz UMOOOYJFKIPZJF-XHDPSFHLSA-N 0 2 324.356 0.831 20 0 DCADLN O=C([O-])[C@H](CC1CCOCC1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000910456858 663886225 /nfs/dbraw/zinc/88/62/25/663886225.db2.gz ZRTNZANHBXCCKC-OLZOCXBDSA-N 0 2 321.377 0.832 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@@](F)(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910454448 663886257 /nfs/dbraw/zinc/88/62/57/663886257.db2.gz OBQVBUYTRIJPBG-RWSFTLGLSA-N 0 2 324.356 0.687 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@@](F)(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910454448 663886258 /nfs/dbraw/zinc/88/62/58/663886258.db2.gz OBQVBUYTRIJPBG-RWSFTLGLSA-N 0 2 324.356 0.687 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N(C)[C@H]3C[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910474883 663889311 /nfs/dbraw/zinc/88/93/11/663889311.db2.gz FRKQJAXHYZURNP-QNWHQSFQSA-N 0 2 320.393 0.983 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N(C)[C@H]3C[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910474883 663889314 /nfs/dbraw/zinc/88/93/14/663889314.db2.gz FRKQJAXHYZURNP-QNWHQSFQSA-N 0 2 320.393 0.983 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)C3CCOCC3)CC2)[nH+]c1 ZINC000911172728 664001110 /nfs/dbraw/zinc/00/11/10/664001110.db2.gz ITWRMBDCLBAAMI-UHFFFAOYSA-N 0 2 319.361 0.855 20 0 DCADLN C[C@@]1(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CCOC1 ZINC000911171414 664001280 /nfs/dbraw/zinc/00/12/80/664001280.db2.gz FHHUINCKCFKXIQ-MRXNPFEDSA-N 0 2 319.361 0.855 20 0 DCADLN O=C([O-])c1cnn(CC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)c1 ZINC000911430224 664050801 /nfs/dbraw/zinc/05/08/01/664050801.db2.gz MGEGMIXTUOFJSR-CYBMUJFWSA-N 0 2 316.317 0.656 20 0 DCADLN Cn1ccc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991326609 664051786 /nfs/dbraw/zinc/05/17/86/664051786.db2.gz SKICLFHVKPGBKG-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN Cn1ccc(CC(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000991326609 664051788 /nfs/dbraw/zinc/05/17/88/664051788.db2.gz SKICLFHVKPGBKG-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN Cn1cc(CN(CCn2cc[nH+]c2)C(=O)C2(C(=O)[O-])CC2)cn1 ZINC000911743154 664105053 /nfs/dbraw/zinc/10/50/53/664105053.db2.gz RZIBAGGMAKZIDT-UHFFFAOYSA-N 0 2 317.349 0.510 20 0 DCADLN CC(C)Cc1ncc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001030309894 664508669 /nfs/dbraw/zinc/50/86/69/664508669.db2.gz ULTMLPYAYKYDEN-UHFFFAOYSA-N 0 2 319.369 0.046 20 0 DCADLN Cc1cncc(C)c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030675329 664627662 /nfs/dbraw/zinc/62/76/62/664627662.db2.gz UEHWCRVXQAQDFD-UHFFFAOYSA-N 0 2 302.338 0.136 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1occ2c1CCC2 ZINC001030745704 664653103 /nfs/dbraw/zinc/65/31/03/664653103.db2.gz PYUMVHGUBBLTTJ-UHFFFAOYSA-N 0 2 303.322 0.206 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc[nH]c1C1CC1 ZINC001030855963 664687899 /nfs/dbraw/zinc/68/78/99/664687899.db2.gz PZGPJFILZVZTHX-UHFFFAOYSA-N 0 2 302.338 0.330 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccsc1Cl ZINC001031054235 664778898 /nfs/dbraw/zinc/77/88/98/664778898.db2.gz NRHQPDCXUKBHEU-UHFFFAOYSA-N 0 2 313.770 0.839 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(Cl)nc1 ZINC000730522738 664784977 /nfs/dbraw/zinc/78/49/77/664784977.db2.gz YUZLZUNUXCICNZ-UHFFFAOYSA-N 0 2 304.697 0.758 20 0 DCADLN COc1cc(F)ccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730526614 664785221 /nfs/dbraw/zinc/78/52/21/664785221.db2.gz SRTQLNJOFJCOKV-UHFFFAOYSA-N 0 2 317.280 0.858 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cnccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992982309 664788528 /nfs/dbraw/zinc/78/85/28/664788528.db2.gz MYHKESMOGAZSGV-UWVGGRQHSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@@H](c1cccnc1)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730534856 664789844 /nfs/dbraw/zinc/78/98/44/664789844.db2.gz XLBOBBSGBLWIQE-JTQLQIEISA-N 0 2 312.333 0.686 20 0 DCADLN COc1cccnc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730538910 664791053 /nfs/dbraw/zinc/79/10/53/664791053.db2.gz CHNIMRWJTHNPLQ-UHFFFAOYSA-N 0 2 300.278 0.114 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccn(C)c2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993199052 664884083 /nfs/dbraw/zinc/88/40/83/664884083.db2.gz MOGZRXHMTVEXIF-JQWIXIFHSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccn(C)c2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993199052 664884085 /nfs/dbraw/zinc/88/40/85/664884085.db2.gz MOGZRXHMTVEXIF-JQWIXIFHSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccn(C)c2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993199055 664884315 /nfs/dbraw/zinc/88/43/15/664884315.db2.gz MOGZRXHMTVEXIF-ZYHUDNBSSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccn(C)c2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993199055 664884316 /nfs/dbraw/zinc/88/43/16/664884316.db2.gz MOGZRXHMTVEXIF-ZYHUDNBSSA-N 0 2 318.381 0.632 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H](c2ccco2)C1 ZINC000732130397 664905230 /nfs/dbraw/zinc/90/52/30/664905230.db2.gz HBBSOHYLYMTDCV-JTQLQIEISA-N 0 2 313.317 0.680 20 0 DCADLN COc1ccccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031295628 664969099 /nfs/dbraw/zinc/96/90/99/664969099.db2.gz QCDOAHHOIFJXQR-UHFFFAOYSA-N 0 2 303.322 0.133 20 0 DCADLN C[C@H]1[C@H](NC(=O)Cc2cnoc2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993738438 664997533 /nfs/dbraw/zinc/99/75/33/664997533.db2.gz FBEBNJJRRAJUBG-GXSJLCMTSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@H]1[C@H](NC(=O)Cc2cnoc2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993738438 664997534 /nfs/dbraw/zinc/99/75/34/664997534.db2.gz FBEBNJJRRAJUBG-GXSJLCMTSA-N 0 2 320.353 0.210 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000994184902 665046664 /nfs/dbraw/zinc/04/66/64/665046664.db2.gz XRHFUSUWBFHMFR-NXEZZACHSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1 ZINC001031429543 665145880 /nfs/dbraw/zinc/14/58/80/665145880.db2.gz DFEVSKXLBFWBTH-UHFFFAOYSA-N 0 2 302.338 0.136 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC[C@@H](F)C1 ZINC001031663904 665274717 /nfs/dbraw/zinc/27/47/17/665274717.db2.gz MHQIRMAAUHGDNQ-GHMZBOCLSA-N 0 2 311.361 0.587 20 0 DCADLN CCc1cncc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038523816 665321381 /nfs/dbraw/zinc/32/13/81/665321381.db2.gz SKRAMUFDPSYRCP-LBPRGKRZSA-N 0 2 316.365 0.472 20 0 DCADLN CC(C)[C@H]1OCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031723742 665330809 /nfs/dbraw/zinc/33/08/09/665330809.db2.gz UBPZNUGIHJIXEC-DGCLKSJQSA-N 0 2 323.397 0.119 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(Cl)no1 ZINC001016054342 665340572 /nfs/dbraw/zinc/34/05/72/665340572.db2.gz HQZYDIXLAMWZKJ-ZCFIWIBFSA-N 0 2 312.717 0.156 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC000938514805 665376885 /nfs/dbraw/zinc/37/68/85/665376885.db2.gz INSBBLZBJHNKSU-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cccnn1 ZINC000938514805 665376886 /nfs/dbraw/zinc/37/68/86/665376886.db2.gz INSBBLZBJHNKSU-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC001001140352 666003684 /nfs/dbraw/zinc/00/36/84/666003684.db2.gz BBTFJSXOCNQKKM-BDAKNGLRSA-N 0 2 312.263 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC001001140352 666003686 /nfs/dbraw/zinc/00/36/86/666003686.db2.gz BBTFJSXOCNQKKM-BDAKNGLRSA-N 0 2 312.263 0.498 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1conc1C1CC1 ZINC001031969127 666049282 /nfs/dbraw/zinc/04/92/82/666049282.db2.gz YSLKXQNCRVBXTI-UHFFFAOYSA-N 0 2 318.337 0.238 20 0 DCADLN Cn1cnc(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC001001440603 666057693 /nfs/dbraw/zinc/05/76/93/666057693.db2.gz SIDWEWQKTNEJGD-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cnc(C(=O)NCC2CN(C(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC001001440603 666057694 /nfs/dbraw/zinc/05/76/94/666057694.db2.gz SIDWEWQKTNEJGD-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN C[C@@H]1CC[C@H](CC(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001003556375 666327280 /nfs/dbraw/zinc/32/72/80/666327280.db2.gz NFBBEMHCLIBGHQ-ZYHUDNBSSA-N 0 2 323.397 0.549 20 0 DCADLN C[C@@H]1COCC[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003581695 666331194 /nfs/dbraw/zinc/33/11/94/666331194.db2.gz AVJXULGZGKEDSU-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1nc(C)c(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)[nH]1 ZINC001003645183 666338934 /nfs/dbraw/zinc/33/89/34/666338934.db2.gz BIRCMDITUZIKPR-UHFFFAOYSA-N 0 2 319.369 0.245 20 0 DCADLN CC(C)n1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001032121487 666349613 /nfs/dbraw/zinc/34/96/13/666349613.db2.gz XLPQSWXCTFVRAR-UHFFFAOYSA-N 0 2 319.369 0.150 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001003730851 666351429 /nfs/dbraw/zinc/35/14/29/666351429.db2.gz GQZQQGFPDVZCOL-JLIMGVALSA-N 0 2 324.274 0.354 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001003730851 666351432 /nfs/dbraw/zinc/35/14/32/666351432.db2.gz GQZQQGFPDVZCOL-JLIMGVALSA-N 0 2 324.274 0.354 20 0 DCADLN Cc1ccc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001032887938 666371638 /nfs/dbraw/zinc/37/16/38/666371638.db2.gz QPKBOWMSADMMCQ-JTQLQIEISA-N 0 2 305.338 0.758 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001032899943 666380210 /nfs/dbraw/zinc/38/02/10/666380210.db2.gz OMVFJRNFOIJPFG-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)C(F)C(F)(F)F)c1cnon1 ZINC000944068226 666385321 /nfs/dbraw/zinc/38/53/21/666385321.db2.gz SXUJHFYWHBPGFA-SVRRBLITSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)[C@H](F)C(F)(F)F)c1cnon1 ZINC000944068226 666385322 /nfs/dbraw/zinc/38/53/22/666385322.db2.gz SXUJHFYWHBPGFA-SVRRBLITSA-N 0 2 324.234 0.691 20 0 DCADLN CN(C(=O)C[C@H]1CCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032919117 666389042 /nfs/dbraw/zinc/38/90/42/666389042.db2.gz LDVMJSXDKOTKSN-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN CN(C(=O)C[C@H]1CCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032919117 666389043 /nfs/dbraw/zinc/38/90/43/666389043.db2.gz LDVMJSXDKOTKSN-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN Cc1cc(CC(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)no1 ZINC001032969397 666413230 /nfs/dbraw/zinc/41/32/30/666413230.db2.gz WKYZRTIETTUUFE-LLVKDONJSA-N 0 2 320.353 0.082 20 0 DCADLN Cc1cc(CC(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)no1 ZINC001032969397 666413231 /nfs/dbraw/zinc/41/32/31/666413231.db2.gz WKYZRTIETTUUFE-LLVKDONJSA-N 0 2 320.353 0.082 20 0 DCADLN CN(C(=O)[C@H]1CCCCO1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033018390 666429015 /nfs/dbraw/zinc/42/90/15/666429015.db2.gz YIOLSLNQLDMQHU-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN Cc1cnccc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033067660 666488266 /nfs/dbraw/zinc/48/82/66/666488266.db2.gz JEMNNTNVJVFONK-LLVKDONJSA-N 0 2 316.365 0.560 20 0 DCADLN C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@@H]1NC(=O)C(C)(F)F ZINC000945538053 666624599 /nfs/dbraw/zinc/62/45/99/666624599.db2.gz DKQRULRVDZUXQI-KOLCDFICSA-N 0 2 314.336 0.961 20 0 DCADLN COC[C@H](C)[N@H+]1CC[C@H](N(C)C(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001033215107 666627932 /nfs/dbraw/zinc/62/79/32/666627932.db2.gz BHTKWMIFCBMSCP-STQMWFEESA-N 0 2 324.425 0.917 20 0 DCADLN CN(C(=O)c1ccco1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005050640 666649044 /nfs/dbraw/zinc/64/90/44/666649044.db2.gz BBZOACLWHVBPGC-UHFFFAOYSA-N 0 2 305.338 0.840 20 0 DCADLN Cc1cocc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033333134 666679290 /nfs/dbraw/zinc/67/92/90/666679290.db2.gz MJDKAXZSEWGPSN-SNVBAGLBSA-N 0 2 305.338 0.758 20 0 DCADLN CN(C(=O)[C@H]1CC12CCC2)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033458423 666719149 /nfs/dbraw/zinc/71/91/49/666719149.db2.gz CHAZNIFBUOCVPP-WDEREUQCSA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)[C@H]1CC12CCC2)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033458423 666719151 /nfs/dbraw/zinc/71/91/51/666719151.db2.gz CHAZNIFBUOCVPP-WDEREUQCSA-N 0 2 305.382 0.733 20 0 DCADLN Cc1ncsc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032292428 666725729 /nfs/dbraw/zinc/72/57/29/666725729.db2.gz BOCAELKJMJXQKS-IUCAKERBSA-N 0 2 320.378 0.374 20 0 DCADLN CCN(C(=O)c1cccnc1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033727988 666807333 /nfs/dbraw/zinc/80/73/33/666807333.db2.gz MABQPJKUEOKFMJ-LBPRGKRZSA-N 0 2 316.365 0.642 20 0 DCADLN CCN(C(=O)C1CC(C)C1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033801008 666834527 /nfs/dbraw/zinc/83/45/27/666834527.db2.gz AXLBCEOSWNPJIX-MCIGGMRASA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)C1CC(C)C1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033801008 666834529 /nfs/dbraw/zinc/83/45/29/666834529.db2.gz AXLBCEOSWNPJIX-MCIGGMRASA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)c1csnn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033913283 666863022 /nfs/dbraw/zinc/86/30/22/666863022.db2.gz PRUCXHYFEXRGJO-MRVPVSSYSA-N 0 2 323.382 0.098 20 0 DCADLN O=C(NC[C@@H]1CCCCCN1C(=O)c1ccn[nH]1)c1cn[nH]n1 ZINC001005457804 666868454 /nfs/dbraw/zinc/86/84/54/666868454.db2.gz AKLUGMVSCUDAIB-JTQLQIEISA-N 0 2 317.353 0.343 20 0 DCADLN CCN(C(=O)c1oncc1C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034008184 666897643 /nfs/dbraw/zinc/89/76/43/666897643.db2.gz SPXIDKGVNJRXGW-JTQLQIEISA-N 0 2 320.353 0.543 20 0 DCADLN O=C(C[C@H]1CCCO1)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034117413 666926973 /nfs/dbraw/zinc/92/69/73/666926973.db2.gz MGBVRRIZZGJUIQ-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@H]1CCCO1)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034117413 666926974 /nfs/dbraw/zinc/92/69/74/666926974.db2.gz MGBVRRIZZGJUIQ-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(NCc1ccc(C(=O)O[C@H]2CNOC2)o1)C(F)(F)F ZINC000861659883 666955145 /nfs/dbraw/zinc/95/51/45/666955145.db2.gz WCEWPCRTELNJOW-ZETCQYMHSA-N 0 2 308.212 0.518 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1Cc2ccccc2C1 ZINC000735259183 666973817 /nfs/dbraw/zinc/97/38/17/666973817.db2.gz QIWGQZNWJQCKRG-UHFFFAOYSA-N 0 2 323.356 0.602 20 0 DCADLN O=C(CC[C@H]1CCOC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032345978 667003635 /nfs/dbraw/zinc/00/36/35/667003635.db2.gz OYXSBOOPKFTDJI-SRVKXCTJSA-N 0 2 321.381 0.112 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2Cc3ccccc3CO2)S1 ZINC000862424565 667042685 /nfs/dbraw/zinc/04/26/85/667042685.db2.gz TYQRNMHWOXKAPT-RYUDHWBXSA-N 0 2 319.386 0.801 20 0 DCADLN O=C(NN1CCS(=O)(=O)CC1)c1cccc(Cl)c1O ZINC000862733584 667076950 /nfs/dbraw/zinc/07/69/50/667076950.db2.gz FSEIPLRQNKWJAE-UHFFFAOYSA-N 0 2 304.755 0.421 20 0 DCADLN CCONC(=O)CN[C@@H](Cc1ccc(F)cc1)C(=O)OCC ZINC000863375425 667138013 /nfs/dbraw/zinc/13/80/13/667138013.db2.gz RNWPLANHLHTNEI-ZDUSSCGKSA-N 0 2 312.341 0.957 20 0 DCADLN CC(C)(C)OC(=O)C(C)(C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000863374118 667138079 /nfs/dbraw/zinc/13/80/79/667138079.db2.gz JHHYEDWJTYUZSY-ZETCQYMHSA-N 0 2 315.395 0.779 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)C[C@@H](OC)C2CC2)nn1C ZINC000863449302 667146669 /nfs/dbraw/zinc/14/66/69/667146669.db2.gz BXKRGEKYEYYHOS-SNVBAGLBSA-N 0 2 317.367 0.373 20 0 DCADLN O=C([C@H]1CCCC12CC2)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032474464 667297811 /nfs/dbraw/zinc/29/78/11/667297811.db2.gz ZAIZSNQKKBQLJJ-SDDRHHMPSA-N 0 2 317.393 0.876 20 0 DCADLN O=S(=O)(NCCN1CCCC1)c1nc[nH]c1Br ZINC000866426401 667375574 /nfs/dbraw/zinc/37/55/74/667375574.db2.gz AYDXFWTZCOBWAD-UHFFFAOYSA-N 0 2 323.216 0.546 20 0 DCADLN COc1ccnc(OC)c1C(=O)NCCc1n[nH]c(=S)o1 ZINC000867483805 667407228 /nfs/dbraw/zinc/40/72/28/667407228.db2.gz KQTAHTPIUKHEQL-UHFFFAOYSA-N 0 2 310.335 0.743 20 0 DCADLN CON(C)CCNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC000867495945 667408101 /nfs/dbraw/zinc/40/81/01/667408101.db2.gz VCJKTOQFUAYJGL-UHFFFAOYSA-N 0 2 309.775 0.977 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000923080557 667460574 /nfs/dbraw/zinc/46/05/74/667460574.db2.gz ZGMKTDBSEBGTGW-UHFFFAOYSA-N 0 2 321.381 0.735 20 0 DCADLN CCCCCCNC(=O)[C@H]1CCCN(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000134177498 667518877 /nfs/dbraw/zinc/51/88/77/667518877.db2.gz RQNHARBFVAMQFR-NSHDSACASA-N 0 2 323.397 0.647 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006113303 667550033 /nfs/dbraw/zinc/55/00/33/667550033.db2.gz SRTFSDWXDQEOGQ-QWHCGFSZSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@@H]1CCSC1 ZINC000869605217 667669948 /nfs/dbraw/zinc/66/99/48/667669948.db2.gz QCIOGRQTSFCZLP-SECBINFHSA-N 0 2 307.379 0.331 20 0 DCADLN O=C(CCc1nn[n-]n1)N1CC2(C1)C[NH+](Cc1ccccc1)C2 ZINC000869813618 667684370 /nfs/dbraw/zinc/68/43/70/667684370.db2.gz DSVZBTWTRHOVHR-UHFFFAOYSA-N 0 2 312.377 0.477 20 0 DCADLN COCCOc1ccc(NC(=O)CC2SC(=N)NC2=O)cn1 ZINC000742533941 667792986 /nfs/dbraw/zinc/79/29/86/667792986.db2.gz YZMYELUJBCUNAU-SECBINFHSA-N 0 2 324.362 0.602 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2CCCc3[nH]c(=O)ccc32)S1 ZINC000742512441 667793089 /nfs/dbraw/zinc/79/30/89/667793089.db2.gz HABMOQHWZHSWCO-ZJUUUORDSA-N 0 2 320.374 0.837 20 0 DCADLN CNC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1C ZINC000742537230 667793105 /nfs/dbraw/zinc/79/31/05/667793105.db2.gz IXYMPMYVKHLHNM-SNVBAGLBSA-N 0 2 320.374 0.850 20 0 DCADLN CN(Cc1nccn1C(F)F)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742519785 667793167 /nfs/dbraw/zinc/79/31/67/667793167.db2.gz RKYVPYYYBHMKBS-ZCFIWIBFSA-N 0 2 317.321 0.793 20 0 DCADLN COC(=O)C1(NC(=O)C[C@@H]2SC(=N)NC2=O)CCCCC1 ZINC000742565189 667793611 /nfs/dbraw/zinc/79/36/11/667793611.db2.gz WXXAEDSZEGAZRG-QMMMGPOBSA-N 0 2 313.379 0.535 20 0 DCADLN CC(C)(C)OC(=O)NCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742588414 667794646 /nfs/dbraw/zinc/79/46/46/667794646.db2.gz BDCVMNPNABCFCR-ZETCQYMHSA-N 0 2 316.383 0.184 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](c3cc[nH]n3)C2)S1 ZINC000742584944 667794675 /nfs/dbraw/zinc/79/46/75/667794675.db2.gz AXPGKLDCFRJNBB-PSASIEDQSA-N 0 2 307.379 0.672 20 0 DCADLN CN(Cc1nnc2ccccn21)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742605149 667794968 /nfs/dbraw/zinc/79/49/68/667794968.db2.gz OROCLGREBZIKGA-QMMMGPOBSA-N 0 2 318.362 0.244 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(C)(C)C ZINC000742681016 667796880 /nfs/dbraw/zinc/79/68/80/667796880.db2.gz IPWZCIUGKNEODJ-HTRCEHHLSA-N 0 2 301.368 0.247 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnn(CC(F)(F)F)c2)S1 ZINC000742688146 667797587 /nfs/dbraw/zinc/79/75/87/667797587.db2.gz RBCUQQKCPUQJQT-ZCFIWIBFSA-N 0 2 321.284 0.940 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC[C@H](O)c2ccccc2)S1 ZINC000742821632 667799872 /nfs/dbraw/zinc/79/98/72/667799872.db2.gz NZMSZBGDHKJNGD-QWRGUYRKSA-N 0 2 307.375 0.783 20 0 DCADLN CC(C)CC[C@@](C)(O)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000743775457 667816656 /nfs/dbraw/zinc/81/66/56/667816656.db2.gz MSEAXTMUEBOHMY-TVQRCGJNSA-N 0 2 301.412 0.846 20 0 DCADLN CN1C(=O)[C@H]2CN(C(=O)c3cccc(Cl)c3O)C[C@H]2C1=O ZINC000871529419 667830798 /nfs/dbraw/zinc/83/07/98/667830798.db2.gz DWODYOJQAOEMGL-DTORHVGOSA-N 0 2 308.721 0.732 20 0 DCADLN CCc1noc([C@@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000744986129 667838029 /nfs/dbraw/zinc/83/80/29/667838029.db2.gz BYQPUSPWGLEQQG-SSDOTTSWSA-N 0 2 318.293 0.321 20 0 DCADLN Cc1nn(C)c2ncc(NC(=O)CC3SC(=N)NC3=O)cc12 ZINC000745422200 667847679 /nfs/dbraw/zinc/84/76/79/667847679.db2.gz ZHRPKDLPJJYEPF-SECBINFHSA-N 0 2 318.362 0.772 20 0 DCADLN Cc1cc(F)cc2c1S(=O)(=O)N(Cc1n[nH]c(=O)[nH]1)C2=O ZINC000804918904 667859709 /nfs/dbraw/zinc/85/97/09/667859709.db2.gz YXCUGCVWQDDQRJ-UHFFFAOYSA-N 0 2 312.282 0.303 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)N[C@@H](CO)CC(F)F ZINC000872479756 667901275 /nfs/dbraw/zinc/90/12/75/667901275.db2.gz PQMBWSZOEPOBPC-LWESTGQBSA-N 0 2 322.399 0.733 20 0 DCADLN CCc1ncsc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000747234579 667898847 /nfs/dbraw/zinc/89/88/47/667898847.db2.gz XUQAWSRKGVNPIY-UHFFFAOYSA-N 0 2 304.335 0.729 20 0 DCADLN C[C@@]1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCC[C@H]1O ZINC000748580298 667933016 /nfs/dbraw/zinc/93/30/16/667933016.db2.gz BNTVZKFSBDRQRK-ABAIWWIYSA-N 0 2 319.365 0.129 20 0 DCADLN CCS[C@@H]1CCC[C@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000748577458 667933059 /nfs/dbraw/zinc/93/30/59/667933059.db2.gz RTUQVHRVKGPZHO-GHMZBOCLSA-N 0 2 321.406 0.862 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2COc3ccccc3[C@@H]2O)S1 ZINC000752907658 668023184 /nfs/dbraw/zinc/02/31/84/668023184.db2.gz JLXQYKKNSHDQSD-PEXQALLHSA-N 0 2 321.358 0.154 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCO[C@H](c3ccco3)C2)S1 ZINC000753647125 668033608 /nfs/dbraw/zinc/03/36/08/668033608.db2.gz DRFHQVNBXNCGHH-UWVGGRQHSA-N 0 2 309.347 0.736 20 0 DCADLN CC(C)CSCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000756334599 668086303 /nfs/dbraw/zinc/08/63/03/668086303.db2.gz RIXZCDJFNSZOKF-UHFFFAOYSA-N 0 2 309.395 0.577 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)C(F)(F)c1nccs1 ZINC000757660407 668145501 /nfs/dbraw/zinc/14/55/01/668145501.db2.gz BUYDSSCJPMLHEZ-UHFFFAOYSA-N 0 2 303.294 0.808 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1ccccc1C(=O)[O-] ZINC000874387122 668178172 /nfs/dbraw/zinc/17/81/72/668178172.db2.gz MWTGPURPWCDBIA-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCc2c[nH]nc2C1 ZINC000760472793 668273741 /nfs/dbraw/zinc/27/37/41/668273741.db2.gz BILIDCNOGSYOFE-UHFFFAOYSA-N 0 2 304.272 0.752 20 0 DCADLN CCOc1cccc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC000760804129 668287594 /nfs/dbraw/zinc/28/75/94/668287594.db2.gz BIJFYIWZFJZBII-JTQLQIEISA-N 0 2 322.390 0.695 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCc1cnn(C)n1 ZINC000805214648 668295475 /nfs/dbraw/zinc/29/54/75/668295475.db2.gz NDLOWRDGYYTLFS-QMMMGPOBSA-N 0 2 307.276 0.134 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(OCCO)cc2)S1 ZINC000763059571 668395130 /nfs/dbraw/zinc/39/51/30/668395130.db2.gz WQBHKGNJNRXSNB-JTQLQIEISA-N 0 2 309.347 0.553 20 0 DCADLN O=C([O-])C[NH+]1CCN(S(=O)(=O)c2ccc(C3CC3)cc2)CC1 ZINC000926584489 668414093 /nfs/dbraw/zinc/41/40/93/668414093.db2.gz YDSHKSQSKHRRFH-UHFFFAOYSA-N 0 2 324.402 0.955 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC[C@@H]2CC(=O)N(C)C2)cn1 ZINC000927745674 668501275 /nfs/dbraw/zinc/50/12/75/668501275.db2.gz YULBQUKSGJXZJP-SNVBAGLBSA-N 0 2 316.365 0.408 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCOC2(CCCC2)C1 ZINC000766087733 668521955 /nfs/dbraw/zinc/52/19/55/668521955.db2.gz UIAMVTVXYIFDGT-UHFFFAOYSA-N 0 2 317.349 0.243 20 0 DCADLN COC[C@@H]1CCCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000767247162 668565455 /nfs/dbraw/zinc/56/54/55/668565455.db2.gz AQSRGLJIPXYQFK-LLVKDONJSA-N 0 2 319.365 0.346 20 0 DCADLN C[C@]1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCO[C@H]1C1CC1 ZINC000768659392 668617898 /nfs/dbraw/zinc/61/78/98/668617898.db2.gz YPBIOGYUAXAUPB-OLUVUFQESA-N 0 2 311.407 0.864 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(-n3ccnn3)c2)S1 ZINC000769337830 668643497 /nfs/dbraw/zinc/64/34/97/668643497.db2.gz ZMHAXLLTCBFRGD-SNVBAGLBSA-N 0 2 316.346 0.762 20 0 DCADLN CCNc1ncc(C(=O)NN2C(=O)N[C@@](C)(CC)C2=O)s1 ZINC000769810756 668663766 /nfs/dbraw/zinc/66/37/66/668663766.db2.gz BXUOCRRWVJRBHL-LBPRGKRZSA-N 0 2 311.367 0.940 20 0 DCADLN O=C(CCN1C(=O)[C@H]2CCCC[C@@H]2C1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774875585 668849241 /nfs/dbraw/zinc/84/92/41/668849241.db2.gz MFOSEVFGPKIGKN-IUCAKERBSA-N 0 2 322.321 0.119 20 0 DCADLN CCc1[nH]c(C(=O)OCc2n[nH]c(=O)[nH]2)c(C)c1C(=O)OC ZINC000774899807 668850331 /nfs/dbraw/zinc/85/03/31/668850331.db2.gz AVLDKCJIZBDLMG-UHFFFAOYSA-N 0 2 308.294 0.853 20 0 DCADLN C[C@H]1Oc2c(cccc2C(=O)OCc2n[nH]c(=O)[nH]2)NC1=O ZINC000774948322 668852461 /nfs/dbraw/zinc/85/24/61/668852461.db2.gz SHXMIJQUQVIONU-ZCFIWIBFSA-N 0 2 304.262 0.587 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(N2CCC(O)CC2)cc1 ZINC000774950534 668852516 /nfs/dbraw/zinc/85/25/16/668852516.db2.gz UJWJGNKCMMRCGV-UHFFFAOYSA-N 0 2 318.333 0.828 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cn(Cc2cccs2)nn1 ZINC000774963160 668852880 /nfs/dbraw/zinc/85/28/80/668852880.db2.gz XSOMENBFUDNRGT-UHFFFAOYSA-N 0 2 306.307 0.569 20 0 DCADLN Cc1nc(-c2ccc(C(=O)OCc3n[nH]c(=O)[nH]3)cc2)n[nH]1 ZINC000774958511 668852945 /nfs/dbraw/zinc/85/29/45/668852945.db2.gz AVFVFNBUCVWEFP-UHFFFAOYSA-N 0 2 300.278 0.961 20 0 DCADLN CNC(=O)c1cccc(CCC(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774975582 668853288 /nfs/dbraw/zinc/85/32/88/668853288.db2.gz ATSFGYMIVDCZKR-UHFFFAOYSA-N 0 2 304.306 0.546 20 0 DCADLN O=C(NCCCN1CCNC(=O)C1)c1cccc(Cl)c1O ZINC000776230997 668873649 /nfs/dbraw/zinc/87/36/49/668873649.db2.gz DXGPFSDKYNJJQC-UHFFFAOYSA-N 0 2 311.769 0.597 20 0 DCADLN Cn1c(=O)oc2c1cccc2C(=O)NCCc1n[nH]c(=S)o1 ZINC000776536707 668877381 /nfs/dbraw/zinc/87/73/81/668877381.db2.gz MKTNMOGDXYLJRX-UHFFFAOYSA-N 0 2 320.330 0.776 20 0 DCADLN C[C@@H]1C[C@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000778354450 668898371 /nfs/dbraw/zinc/89/83/71/668898371.db2.gz CZJSKNWFOSZSJA-NXEZZACHSA-N 0 2 306.322 0.430 20 0 DCADLN CC(C)C[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000780340914 668979486 /nfs/dbraw/zinc/97/94/86/668979486.db2.gz FUDJELXIBUMWCG-LBPRGKRZSA-N 0 2 315.414 0.896 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](OC(F)F)C2)S1 ZINC000781412557 669042610 /nfs/dbraw/zinc/04/26/10/669042610.db2.gz FXIXDZHUWPVSDC-RNFRBKRXSA-N 0 2 307.322 0.773 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(OC3COC3)cc2)S1 ZINC000781745311 669061594 /nfs/dbraw/zinc/06/15/94/669061594.db2.gz UOVSDNRZXRCFJD-LLVKDONJSA-N 0 2 321.358 0.959 20 0 DCADLN CCCCNC(=O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000880985848 669283510 /nfs/dbraw/zinc/28/35/10/669283510.db2.gz XZIIVGBUFMVGDU-UHFFFAOYSA-N 0 2 307.276 0.373 20 0 DCADLN O=C(NCc1cn(CCO[C@@H]2CCCCO2)nn1)C(F)(F)F ZINC000881201173 669304610 /nfs/dbraw/zinc/30/46/10/669304610.db2.gz HAEXCMBYRSYPJN-SNVBAGLBSA-N 0 2 322.287 1.000 20 0 DCADLN CN(C)c1cc(NC(=O)N2CCN(C)CC[N@H+](C)CC2)cc[nH+]1 ZINC000932173869 669328969 /nfs/dbraw/zinc/32/89/69/669328969.db2.gz WLKCMQSHWHSNIB-UHFFFAOYSA-N 0 2 320.441 0.859 20 0 DCADLN Cn1cc(-c2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)nn2)cn1 ZINC000788784642 669458027 /nfs/dbraw/zinc/45/80/27/669458027.db2.gz BBFMZIPZPDAIEZ-UHFFFAOYSA-N 0 2 315.297 0.023 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1nccc2ccncc21 ZINC000789741098 669506846 /nfs/dbraw/zinc/50/68/46/669506846.db2.gz VNFHNIFKGYTEJH-SECBINFHSA-N 0 2 308.363 0.848 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCc1ncccn1 ZINC000789993056 669527596 /nfs/dbraw/zinc/52/75/96/669527596.db2.gz MYIUSFLVAZFRMB-VIFPVBQESA-N 0 2 304.272 0.796 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC2(CO)CC3(CCC3)C2)S1 ZINC000790089772 669537056 /nfs/dbraw/zinc/53/70/56/669537056.db2.gz JWJJSVNYXNALGL-SECBINFHSA-N 0 2 311.407 0.602 20 0 DCADLN COc1cnc([C@@H]2CCCN2CCC(=O)N(C)OC)[nH]c1=O ZINC000934280003 669591559 /nfs/dbraw/zinc/59/15/59/669591559.db2.gz RSVGMYBNCRLUMQ-JTQLQIEISA-N 0 2 310.354 0.738 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(N3CCC3=O)c2)S1 ZINC000791183065 669595942 /nfs/dbraw/zinc/59/59/42/669595942.db2.gz BJYGCTXTPDGNER-JTQLQIEISA-N 0 2 318.358 0.918 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc([C@H]3CCCOC3)[nH]n2)S1 ZINC000792535422 669673127 /nfs/dbraw/zinc/67/31/27/669673127.db2.gz SOEFFQHGNLDIQR-CBAPKCEASA-N 0 2 323.378 0.799 20 0 DCADLN CN(C)c1cccnc1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000793146011 669703705 /nfs/dbraw/zinc/70/37/05/669703705.db2.gz NWQYYRBEZDZUQP-JTQLQIEISA-N 0 2 307.379 0.320 20 0 DCADLN CSCCO[N-]C(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC000793726089 669738884 /nfs/dbraw/zinc/73/88/84/669738884.db2.gz NFZHQNNBPHBLEZ-UHFFFAOYSA-N 0 2 300.384 0.190 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)N1CCCCC1=O ZINC000793925908 669755554 /nfs/dbraw/zinc/75/55/54/669755554.db2.gz URKDNVLYHLOYJZ-IUCAKERBSA-N 0 2 312.395 0.060 20 0 DCADLN COc1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)c(OC)n1 ZINC000794149114 669798524 /nfs/dbraw/zinc/79/85/24/669798524.db2.gz YJIHQBRFEQFITB-MRVPVSSYSA-N 0 2 324.362 0.271 20 0 DCADLN CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1C[NH+](CC=C(C)C)C1 ZINC000950766409 670124617 /nfs/dbraw/zinc/12/46/17/670124617.db2.gz FFUUVMNAMOEEMM-UHFFFAOYSA-N 0 2 307.354 0.395 20 0 DCADLN Cn1cc2c(n1)CCC[C@@H]2NC(=O)C[C@H]1SC(=N)NC1=O ZINC000801584143 670135697 /nfs/dbraw/zinc/13/56/97/670135697.db2.gz BRGIZYJZNNDJIF-WCBMZHEXSA-N 0 2 307.379 0.470 20 0 DCADLN CCc1ncsc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948657682 670150924 /nfs/dbraw/zinc/15/09/24/670150924.db2.gz HWOIREAGSSSZOT-UHFFFAOYSA-N 0 2 322.394 0.487 20 0 DCADLN Cn1ncc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000951097353 670195100 /nfs/dbraw/zinc/19/51/00/670195100.db2.gz KDWOJYHUUBPRJF-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)NC[C@H]2CCN2C(=O)[C@H](F)C(F)(F)F)n1 ZINC000951097353 670195101 /nfs/dbraw/zinc/19/51/01/670195101.db2.gz KDWOJYHUUBPRJF-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(CN1CCSC1=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000807973798 670383731 /nfs/dbraw/zinc/38/37/31/670383731.db2.gz IZMDGCBWLPJEGU-UHFFFAOYSA-N 0 2 311.367 0.385 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000949764679 670426346 /nfs/dbraw/zinc/42/63/46/670426346.db2.gz CXSDEFWLCKYZEP-LPBLVHEISA-N 0 2 323.250 0.282 20 0 DCADLN CCS(=O)(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810273167 670478854 /nfs/dbraw/zinc/47/88/54/670478854.db2.gz KMEWJRNYGZLSRS-SSDOTTSWSA-N 0 2 306.281 0.381 20 0 DCADLN CCS(=O)(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000810273167 670478858 /nfs/dbraw/zinc/47/88/58/670478858.db2.gz KMEWJRNYGZLSRS-SSDOTTSWSA-N 0 2 306.281 0.381 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC000810205512 670477745 /nfs/dbraw/zinc/47/77/45/670477745.db2.gz QWKMIEGSMOTDKE-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC000810205512 670477749 /nfs/dbraw/zinc/47/77/49/670477749.db2.gz QWKMIEGSMOTDKE-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)C(F)C(F)(F)F ZINC000810461673 670486032 /nfs/dbraw/zinc/48/60/32/670486032.db2.gz CXCADODSHUASMF-JFWOZONXSA-N 0 2 311.235 0.381 20 0 DCADLN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)[C@H](F)C(F)(F)F ZINC000810461673 670486035 /nfs/dbraw/zinc/48/60/35/670486035.db2.gz CXCADODSHUASMF-JFWOZONXSA-N 0 2 311.235 0.381 20 0 DCADLN NC(=O)NC(=O)C1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC000810528052 670488720 /nfs/dbraw/zinc/48/87/20/670488720.db2.gz PXIYWLKSVYLSCG-AHXFUIDQSA-N 0 2 313.251 0.757 20 0 DCADLN NC(=O)NC(=O)C1CCC(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC000810528052 670488723 /nfs/dbraw/zinc/48/87/23/670488723.db2.gz PXIYWLKSVYLSCG-AHXFUIDQSA-N 0 2 313.251 0.757 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)OCc1cnn(C)n1 ZINC000811203714 670515913 /nfs/dbraw/zinc/51/59/13/670515913.db2.gz ASSRWXGLGKNKAZ-QMMMGPOBSA-N 0 2 308.260 0.561 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCCn1cnnc1 ZINC000854738818 670621503 /nfs/dbraw/zinc/62/15/03/670621503.db2.gz WJERNJSMRZFGDN-QMMMGPOBSA-N 0 2 307.276 0.097 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H](c2ccncc2)C1 ZINC000848102033 670634738 /nfs/dbraw/zinc/63/47/38/670634738.db2.gz SUPGZIZFJYUHJA-GFCCVEGCSA-N 0 2 324.344 0.482 20 0 DCADLN Cc1nn[nH]c1C(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C ZINC000848339963 670671156 /nfs/dbraw/zinc/67/11/56/670671156.db2.gz JTXMVLJVPMJABG-HTQZYQBOSA-N 0 2 319.287 0.785 20 0 DCADLN CSCC1(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000855397434 670703982 /nfs/dbraw/zinc/70/39/82/670703982.db2.gz YFAGWVIHISXQNB-UHFFFAOYSA-N 0 2 321.406 0.721 20 0 DCADLN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cccc(Cl)c1O ZINC000855476512 670718396 /nfs/dbraw/zinc/71/83/96/670718396.db2.gz PQRSQQFPEAFMKC-NXEZZACHSA-N 0 2 319.766 0.587 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N[C@H](C)C(N)=O)cc2[nH]c1=S ZINC000849198761 670764281 /nfs/dbraw/zinc/76/42/81/670764281.db2.gz OBHOGTVYXCZMTH-SSDOTTSWSA-N 0 2 320.374 0.309 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)O[C@H]3CNOC3)cc2[nH]c1=S ZINC000816572516 670822958 /nfs/dbraw/zinc/82/29/58/670822958.db2.gz SPCAYTRGEFYHQZ-VIFPVBQESA-N 0 2 321.358 0.765 20 0 DCADLN C[C@@]1(C(F)F)CN(C(=O)C[C@@H]2SC(=N)NC2=O)CCO1 ZINC000856502193 670826539 /nfs/dbraw/zinc/82/65/39/670826539.db2.gz YQXATIUPBCYDAH-KGFZYKRKSA-N 0 2 307.322 0.425 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)c1cnsn1 ZINC000114412587 670828256 /nfs/dbraw/zinc/82/82/56/670828256.db2.gz XDLNOPZXNPYWEU-UHFFFAOYSA-N 0 2 304.335 0.421 20 0 DCADLN Cc1nc([C@](C)(NC(=O)C[C@@H]2SC(=N)NC2=O)C2CC2)no1 ZINC000817624040 670926738 /nfs/dbraw/zinc/92/67/38/670926738.db2.gz REJBNCBHGSSNRR-ISVAXAHUSA-N 0 2 323.378 0.676 20 0 DCADLN CC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@@H](CC)O1 ZINC000817637263 670927728 /nfs/dbraw/zinc/92/77/28/670927728.db2.gz ZAUNXPGEUGOKDM-GHMZBOCLSA-N 0 2 319.365 0.487 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1C[C@H]1c1ccccc1 ZINC000818483422 671021641 /nfs/dbraw/zinc/02/16/41/671021641.db2.gz MQEQMINKUGKXNX-STQMWFEESA-N 0 2 323.356 0.991 20 0 DCADLN O=C(N[C@H]1C[C@@H](O)C1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860241662 671191201 /nfs/dbraw/zinc/19/12/01/671191201.db2.gz MQQMXYZJYDOJBZ-KNVOCYPGSA-N 0 2 306.240 0.711 20 0 DCADLN O=S(=O)(Nc1nc2ccccn2n1)c1cnn2c1OCCC2 ZINC000820802409 671229474 /nfs/dbraw/zinc/22/94/74/671229474.db2.gz UJBXODKWDLISNZ-UHFFFAOYSA-N 0 2 320.334 0.509 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)OCc3cn[nH]n3)cc2[nH]c1=S ZINC000821586944 671284776 /nfs/dbraw/zinc/28/47/76/671284776.db2.gz YHDYTZMFMCAUTL-UHFFFAOYSA-N 0 2 317.330 0.697 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NCCNC(N)=O)=N1 ZINC000827975986 671541012 /nfs/dbraw/zinc/54/10/12/671541012.db2.gz SKLMAMALMFICGR-UHFFFAOYSA-N 0 2 317.349 0.538 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)N[C@@H](CO)c1ccsc1 ZINC000867119253 671791812 /nfs/dbraw/zinc/79/18/12/671791812.db2.gz JPAHXSKIMYNHDF-JTQLQIEISA-N 0 2 324.449 0.878 20 0 DCADLN CC(C)[C@H](CO)[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(C)C ZINC000836846138 671834859 /nfs/dbraw/zinc/83/48/59/671834859.db2.gz XHSLSDRUJXULPP-UMNHJUIQSA-N 0 2 315.439 0.948 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CC[C@H](Oc2ccccc2)C1 ZINC000842937646 672047529 /nfs/dbraw/zinc/04/75/29/672047529.db2.gz UWHZWPSEBLFGNG-ZDUSSCGKSA-N 0 2 313.375 0.639 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CC[C@H](Oc2ccccc2)C1 ZINC000842937646 672047532 /nfs/dbraw/zinc/04/75/32/672047532.db2.gz UWHZWPSEBLFGNG-ZDUSSCGKSA-N 0 2 313.375 0.639 20 0 DCADLN C[C@H](O)[C@H]1CN(c2c3ccccc3[nH+]nc2C(=O)[O-])CCO1 ZINC000844210413 672163827 /nfs/dbraw/zinc/16/38/27/672163827.db2.gz WLYXZDDBUFHKHT-JOYOIKCWSA-N 0 2 303.318 0.914 20 0 DCADLN COC[C@@H](C)Cc1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000844880072 672208001 /nfs/dbraw/zinc/20/80/01/672208001.db2.gz HQBZYZMYZUWTQJ-QMMMGPOBSA-N 0 2 304.310 0.328 20 0 DCADLN CC(C)(C)OCC(=O)NC(C)(C)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000845377175 672245198 /nfs/dbraw/zinc/24/51/98/672245198.db2.gz AVWVVTYBLIESFW-UHFFFAOYSA-N 0 2 314.342 0.263 20 0 DCADLN CCn1cc(S(=O)(=O)[N-]C(=O)C[C@@H](C)n2cc[nH+]c2)cn1 ZINC000845487731 672252480 /nfs/dbraw/zinc/25/24/80/672252480.db2.gz WIGSJRKMVKHGEO-SNVBAGLBSA-N 0 2 311.367 0.556 20 0 DCADLN C[C@@H](CC(=O)N1CC(n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC000846119079 672294498 /nfs/dbraw/zinc/29/44/98/672294498.db2.gz XAJDTCZHVYMGES-VIFPVBQESA-N 0 2 304.310 0.207 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000846495976 672318820 /nfs/dbraw/zinc/31/88/20/672318820.db2.gz GQUVSKLPQPOIAP-SCRDCRAPSA-N 0 2 315.414 0.304 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000846495976 672318823 /nfs/dbraw/zinc/31/88/23/672318823.db2.gz GQUVSKLPQPOIAP-SCRDCRAPSA-N 0 2 315.414 0.304 20 0 DCADLN O=C(c1cccs1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092618649 685108533 /nfs/dbraw/zinc/10/85/33/685108533.db2.gz RASDPIGPEQJDNB-UHFFFAOYSA-N 0 2 307.379 0.508 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]n1)[C@@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC000953559222 685736172 /nfs/dbraw/zinc/73/61/72/685736172.db2.gz MEXYUOKZLBIJFV-CABZTGNLSA-N 0 2 317.353 0.341 20 0 DCADLN Cc1ccsc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038008086 693984258 /nfs/dbraw/zinc/98/42/58/693984258.db2.gz JGVWUZCZRQPGHV-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN CN(C(=O)c1cnco1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954873354 686170106 /nfs/dbraw/zinc/17/01/06/686170106.db2.gz ZZQDDGITVJOZRT-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)c1cnco1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954873354 686170110 /nfs/dbraw/zinc/17/01/10/686170110.db2.gz ZZQDDGITVJOZRT-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)Cc1ncc[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955110752 686278125 /nfs/dbraw/zinc/27/81/25/686278125.db2.gz LCBQNUZIEWWELP-JTQLQIEISA-N 0 2 322.262 0.522 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)cn1 ZINC001038182071 694006060 /nfs/dbraw/zinc/00/60/60/694006060.db2.gz DKGXXRABWZSDRS-SECBINFHSA-N 0 2 306.301 0.049 20 0 DCADLN C[C@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@@H]1CCCO1 ZINC000957463162 687065564 /nfs/dbraw/zinc/06/55/64/687065564.db2.gz YCHHUOUTNMQGNQ-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CCCc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]n1 ZINC001038571780 694118524 /nfs/dbraw/zinc/11/85/24/694118524.db2.gz KEHMYLOJDXPTAX-SNVBAGLBSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1ncoc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038682203 694160428 /nfs/dbraw/zinc/16/04/28/694160428.db2.gz VCVZZLPMEKQMQC-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ncccc1F ZINC001038843521 694213811 /nfs/dbraw/zinc/21/38/11/694213811.db2.gz LIDAGNLVXMXCNJ-QMMMGPOBSA-N 0 2 306.301 0.049 20 0 DCADLN CCc1oc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1C ZINC001038909889 694237306 /nfs/dbraw/zinc/23/73/06/694237306.db2.gz JBVQNRXKNVKANN-JTQLQIEISA-N 0 2 319.365 0.978 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)C1=CCCC1 ZINC000962245043 688859067 /nfs/dbraw/zinc/85/90/67/688859067.db2.gz KTSRHQSRWNLCIG-UTLUCORTSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O)C1=CCCC1 ZINC000962245043 688859070 /nfs/dbraw/zinc/85/90/70/688859070.db2.gz KTSRHQSRWNLCIG-UTLUCORTSA-N 0 2 324.274 0.685 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@H]2C)[nH]n1 ZINC000965375069 689427130 /nfs/dbraw/zinc/42/71/30/689427130.db2.gz UXVOHSWXADLBSR-KCJUWKMLSA-N 0 2 319.369 0.182 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cnsn1 ZINC000965395524 689432890 /nfs/dbraw/zinc/43/28/90/689432890.db2.gz PVSAJQFYXZRHAM-VXNVDRBHSA-N 0 2 323.382 0.002 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC=CC1 ZINC000965766379 689547625 /nfs/dbraw/zinc/54/76/25/689547625.db2.gz SCCKESCRBIWSRG-ZYHUDNBSSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC=CC1 ZINC000965766379 689547628 /nfs/dbraw/zinc/54/76/28/689547628.db2.gz SCCKESCRBIWSRG-ZYHUDNBSSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCOCC1 ZINC000965936713 689587739 /nfs/dbraw/zinc/58/77/39/689587739.db2.gz UIFSSXSWVFELRX-JQWIXIFHSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCOCC1 ZINC000965936713 689587745 /nfs/dbraw/zinc/58/77/45/689587745.db2.gz UIFSSXSWVFELRX-JQWIXIFHSA-N 0 2 321.381 0.184 20 0 DCADLN CCN(C(=O)c1cc[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000967801141 690079140 /nfs/dbraw/zinc/07/91/40/690079140.db2.gz KJOWWXOSLPVRBC-SECBINFHSA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)c1ccn[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000967801141 690079142 /nfs/dbraw/zinc/07/91/42/690079142.db2.gz KJOWWXOSLPVRBC-SECBINFHSA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)c1nc[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000968242353 690208898 /nfs/dbraw/zinc/20/88/98/690208898.db2.gz WEWMNFRSFXOXPM-ZETCQYMHSA-N 0 2 323.250 0.378 20 0 DCADLN CCN(C(=O)c1nc[nH]n1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000968242353 690208899 /nfs/dbraw/zinc/20/88/99/690208899.db2.gz WEWMNFRSFXOXPM-ZETCQYMHSA-N 0 2 323.250 0.378 20 0 DCADLN O=C(N[C@@]12CCC[C@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1ccon1 ZINC000989979368 690531420 /nfs/dbraw/zinc/53/14/20/690531420.db2.gz HGFNFJURTDTQND-QMTHXVAHSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1ccon1 ZINC000989979368 690531421 /nfs/dbraw/zinc/53/14/21/690531421.db2.gz HGFNFJURTDTQND-QMTHXVAHSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(C[C@@H]1CCOC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006979093 690614827 /nfs/dbraw/zinc/61/48/27/690614827.db2.gz CNCFNOSOYOYNPH-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1F ZINC001006996734 690617905 /nfs/dbraw/zinc/61/79/05/690617905.db2.gz UYNWOKGJFDNCCK-SECBINFHSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(Cc1cccnc1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007115927 690629891 /nfs/dbraw/zinc/62/98/91/690629891.db2.gz GWPPRMKYMBSJGE-LBPRGKRZSA-N 0 2 316.365 0.229 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCOCC1 ZINC001007246450 690648445 /nfs/dbraw/zinc/64/84/45/690648445.db2.gz SJIUQVAZYOTIPU-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1cnccc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007276256 690656516 /nfs/dbraw/zinc/65/65/16/690656516.db2.gz NAKPWSMJHOKXKI-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN CC(=O)N1CC[C@@]2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC001007551698 690701668 /nfs/dbraw/zinc/70/16/68/690701668.db2.gz IVZXDGONGYNMRX-MWLCHTKSSA-N 0 2 312.263 0.737 20 0 DCADLN CC(=O)N1CC[C@@]2(C1)CN(C(=O)[C@@H](F)C(F)(F)F)CCO2 ZINC001007551698 690701672 /nfs/dbraw/zinc/70/16/72/690701672.db2.gz IVZXDGONGYNMRX-MWLCHTKSSA-N 0 2 312.263 0.737 20 0 DCADLN Cc1nnc([C@@H](C)N2CCC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001007763436 690743931 /nfs/dbraw/zinc/74/39/31/690743931.db2.gz YINZYKCLBQSFPJ-SCZZXKLOSA-N 0 2 304.358 0.187 20 0 DCADLN Cc1c(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001008456908 690871275 /nfs/dbraw/zinc/87/12/75/690871275.db2.gz KIMYWENGCONOJH-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN COc1ccc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001008972834 690965604 /nfs/dbraw/zinc/96/56/04/690965604.db2.gz MHQKHZGUAVPXGP-VIFPVBQESA-N 0 2 321.337 0.506 20 0 DCADLN O=C(c1cc[nH]n1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010418771 691269556 /nfs/dbraw/zinc/26/95/56/691269556.db2.gz IROJEGITUVDDEX-MRVPVSSYSA-N 0 2 308.235 0.595 20 0 DCADLN O=C(c1ccn[nH]1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010418771 691269559 /nfs/dbraw/zinc/26/95/59/691269559.db2.gz IROJEGITUVDDEX-MRVPVSSYSA-N 0 2 308.235 0.595 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)on1 ZINC001010618850 691327424 /nfs/dbraw/zinc/32/74/24/691327424.db2.gz CMXQGUBBVKCSRI-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)on1 ZINC001010618850 691327430 /nfs/dbraw/zinc/32/74/30/691327430.db2.gz CMXQGUBBVKCSRI-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN Cn1nccc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010629669 691330569 /nfs/dbraw/zinc/33/05/69/691330569.db2.gz PFYXZCBEWSWLIC-SECBINFHSA-N 0 2 322.262 0.605 20 0 DCADLN Cn1nccc1C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010629669 691330574 /nfs/dbraw/zinc/33/05/74/691330574.db2.gz PFYXZCBEWSWLIC-SECBINFHSA-N 0 2 322.262 0.605 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cn1 ZINC001010718769 691359795 /nfs/dbraw/zinc/35/97/95/691359795.db2.gz PSOIXYNHBUJIQV-VIFPVBQESA-N 0 2 306.301 0.049 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010873923 691408803 /nfs/dbraw/zinc/40/88/03/691408803.db2.gz VLPPOLJQKZYBDZ-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN CCc1cc(C(=O)N2C[C@H](NC(=O)c3cnn[nH]3)C[C@@H]2C)[nH]n1 ZINC001012324726 691748522 /nfs/dbraw/zinc/74/85/22/691748522.db2.gz BXRGMCSIOREZSX-WCBMZHEXSA-N 0 2 317.353 0.123 20 0 DCADLN CCc1cncc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015292289 692253821 /nfs/dbraw/zinc/25/38/21/692253821.db2.gz ZWROMGUXURFLFD-LBPRGKRZSA-N 0 2 316.365 0.472 20 0 DCADLN CC(C)c1[nH]ccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015593013 692355288 /nfs/dbraw/zinc/35/52/88/692355288.db2.gz RZUNAQNRZAYAIY-JTQLQIEISA-N 0 2 318.381 0.966 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)[nH]1 ZINC001015764835 692432263 /nfs/dbraw/zinc/43/22/63/692432263.db2.gz DPIXZRLRKURGQG-SNVBAGLBSA-N 0 2 304.354 0.460 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC001015880261 692485150 /nfs/dbraw/zinc/48/51/50/692485150.db2.gz QLNLSEGKYJGOQN-NSHDSACASA-N 0 2 302.338 0.218 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC12CCCC2 ZINC001015949777 692518748 /nfs/dbraw/zinc/51/87/48/692518748.db2.gz ATEIWVXWHBVMBG-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC12CCOCC2 ZINC001016438603 692689929 /nfs/dbraw/zinc/68/99/29/692689929.db2.gz RONUIRRCGYZXSB-QWRGUYRKSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1CC=CC1 ZINC001073560036 694742965 /nfs/dbraw/zinc/74/29/65/694742965.db2.gz BPOUTCOCVBHKOB-LBPRGKRZSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1=CCCC1 ZINC001073574259 694745185 /nfs/dbraw/zinc/74/51/85/694745185.db2.gz NRQLHQBYXGHHDA-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN CCCC(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074144358 694826778 /nfs/dbraw/zinc/82/67/78/694826778.db2.gz OVIOAMLVTFSJEU-MNOVXSKESA-N 0 2 309.370 0.112 20 0 DCADLN CC(C)CC(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074147815 694827416 /nfs/dbraw/zinc/82/74/16/694827416.db2.gz KZXIPLXISUUYIV-RYUDHWBXSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]3OCC[N@H+](CC4CC4)[C@H]3C2)c1[O-] ZINC001074219793 694843354 /nfs/dbraw/zinc/84/33/54/694843354.db2.gz WJDGOQVVZWYQCJ-QWHCGFSZSA-N 0 2 320.393 0.749 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]3OCC[N@@H+](CC4CC4)[C@H]3C2)c1[O-] ZINC001074219793 694843356 /nfs/dbraw/zinc/84/33/56/694843356.db2.gz WJDGOQVVZWYQCJ-QWHCGFSZSA-N 0 2 320.393 0.749 20 0 DCADLN CC(C)[C@@H](F)C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001076146047 695001214 /nfs/dbraw/zinc/00/12/14/695001214.db2.gz FRRLEFWUAOXJQF-HOSYDEDBSA-N 0 2 311.361 0.537 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001570891669 1162642407 /nfs/dbraw/zinc/64/24/07/1162642407.db2.gz VGTCSQBXDSRYIQ-SECBINFHSA-N 0 2 323.397 0.452 20 0 DCADLN Cc1noc(C[NH2+]CCN(C)C(=O)C[N@H+](C)CC(C)(C)C)n1 ZINC001691476018 1157788491 /nfs/dbraw/zinc/78/84/91/1157788491.db2.gz AYBUYMOHEKFGAY-UHFFFAOYSA-N 0 2 311.430 0.904 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1n[nH]c2c1CCC2 ZINC001349162297 1159173889 /nfs/dbraw/zinc/17/38/89/1159173889.db2.gz BJXCEFWNWCLLCR-VIFPVBQESA-N 0 2 322.262 0.645 20 0 DCADLN CCN(C)C(=O)CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001446033335 1159327329 /nfs/dbraw/zinc/32/73/29/1159327329.db2.gz WRILNZCTHUQJAH-WCBMZHEXSA-N 0 2 313.295 0.556 20 0 DCADLN CCN(C)C(=O)CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001446033335 1159327333 /nfs/dbraw/zinc/32/73/33/1159327333.db2.gz WRILNZCTHUQJAH-WCBMZHEXSA-N 0 2 313.295 0.556 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001447512468 1159653829 /nfs/dbraw/zinc/65/38/29/1159653829.db2.gz BKAWRLBKJJSCSX-RBXMUDONSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC001447512468 1159653836 /nfs/dbraw/zinc/65/38/36/1159653836.db2.gz BKAWRLBKJJSCSX-RBXMUDONSA-N 0 2 312.263 0.687 20 0 DCADLN CN(CCNCc1csnn1)C(=O)C(F)C(F)(F)F ZINC001567498638 1160397298 /nfs/dbraw/zinc/39/72/98/1160397298.db2.gz PVGKWYPOYKJWQM-SSDOTTSWSA-N 0 2 300.281 0.987 20 0 DCADLN Nc1ncnc2cc(C(=O)NCCc3n[nH]c(=S)o3)ccc12 ZINC001567852325 1160500151 /nfs/dbraw/zinc/50/01/51/1160500151.db2.gz CZNQINDEKVDVBN-UHFFFAOYSA-N 0 2 316.346 0.856 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1c[nH]nc1[C@H]1CCCO1 ZINC001567852924 1160502071 /nfs/dbraw/zinc/50/20/71/1160502071.db2.gz NSOVRSNYRSVUSA-MRVPVSSYSA-N 0 2 309.351 0.905 20 0 DCADLN CC(C)CCC[C@@H]([NH2+]Cc1nnc2c(=O)[nH]ccn12)C(=O)[O-] ZINC001573511293 1163455445 /nfs/dbraw/zinc/45/54/45/1163455445.db2.gz RKMFNTBPCYWGDM-SNVBAGLBSA-N 0 2 307.354 0.787 20 0 DCADLN COC(=O)c1cc(C[NH2+][C@H](C)c2nc(C(=O)[O-])n[nH]2)cn1C ZINC001573937578 1163592247 /nfs/dbraw/zinc/59/22/47/1163592247.db2.gz AYGSRWPWENQBIB-SSDOTTSWSA-N 0 2 307.310 0.479 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CCC(c2nc(C(=O)[O-])n[nH]2)CC1 ZINC001574113189 1163639834 /nfs/dbraw/zinc/63/98/34/1163639834.db2.gz MGMSUTUODMBSRR-UHFFFAOYSA-N 0 2 318.337 0.483 20 0 DCADLN NC(=O)CC[N@H+](Cc1nc(C(=O)[O-])no1)Cc1ccccc1 ZINC001574254845 1163676077 /nfs/dbraw/zinc/67/60/77/1163676077.db2.gz JJBBDBLHKIIAID-UHFFFAOYSA-N 0 2 304.306 0.645 20 0 DCADLN NC(=O)CC[N@@H+](Cc1nc(C(=O)[O-])no1)Cc1ccccc1 ZINC001574254845 1163676082 /nfs/dbraw/zinc/67/60/82/1163676082.db2.gz JJBBDBLHKIIAID-UHFFFAOYSA-N 0 2 304.306 0.645 20 0 DCADLN O=C([O-])C[C@@H](O)C(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC001574360766 1163704456 /nfs/dbraw/zinc/70/44/56/1163704456.db2.gz CDXVHQRCSGRWDD-CYBMUJFWSA-N 0 2 303.318 0.383 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1C ZINC001574978069 1163829992 /nfs/dbraw/zinc/82/99/92/1163829992.db2.gz MEOWQTCSZQPWHW-MRVPVSSYSA-N 0 2 310.251 0.773 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1C ZINC001574978069 1163829997 /nfs/dbraw/zinc/82/99/97/1163829997.db2.gz MEOWQTCSZQPWHW-MRVPVSSYSA-N 0 2 310.251 0.773 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ccc(=O)[nH]c1 ZINC001575311587 1163969539 /nfs/dbraw/zinc/96/95/39/1163969539.db2.gz MBZKVCOMDNHLND-QMMMGPOBSA-N 0 2 309.219 0.534 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1ccc(=O)[nH]c1 ZINC001575311587 1163969543 /nfs/dbraw/zinc/96/95/43/1163969543.db2.gz MBZKVCOMDNHLND-QMMMGPOBSA-N 0 2 309.219 0.534 20 0 DCADLN C[C@H]([NH2+]Cc1c[nH]nn1)[C@H]1CCCCN1C(=O)c1cnsn1 ZINC001575703966 1164111791 /nfs/dbraw/zinc/11/17/91/1164111791.db2.gz VCFZLEHBUYYFHV-JOYOIKCWSA-N 0 2 321.410 0.829 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](N)c1c(F)cccc1F)c1nn[nH]n1 ZINC001575971746 1164198043 /nfs/dbraw/zinc/19/80/43/1164198043.db2.gz GVRLUAYWUQLAQB-RDDDGLTNSA-N 0 2 310.308 0.740 20 0 DCADLN CC[C@@H](C)NC(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001576654551 1164424259 /nfs/dbraw/zinc/42/42/59/1164424259.db2.gz IHGZIVSQPUXEPO-SECBINFHSA-N 0 2 316.365 0.432 20 0 DCADLN COC(=O)[C@@H](Cc1cnn[nH]1)NC(=O)c1cc(Cl)ccc1O ZINC001576912980 1164530366 /nfs/dbraw/zinc/53/03/66/1164530366.db2.gz JVHWCDXEQTYVAM-SNVBAGLBSA-N 0 2 324.724 0.678 20 0 DCADLN O=C(Cc1ccco1)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cn[nH]n1 ZINC001577963751 1164866591 /nfs/dbraw/zinc/86/65/91/1164866591.db2.gz DUIVGTFGKKKSSO-XBFCOCLRSA-N 0 2 301.350 0.862 20 0 DCADLN O=C(Cc1ccco1)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1c[nH]nn1 ZINC001577963751 1164866603 /nfs/dbraw/zinc/86/66/03/1164866603.db2.gz DUIVGTFGKKKSSO-XBFCOCLRSA-N 0 2 301.350 0.862 20 0 DCADLN O=C(NC[C@H]1CC[N@H+]1CCCO)c1ccc(-c2cn[nH]n2)cn1 ZINC001578001880 1164886004 /nfs/dbraw/zinc/88/60/04/1164886004.db2.gz QZLDUCSOTJRQFJ-GFCCVEGCSA-N 0 2 316.365 0.053 20 0 DCADLN CCOC(C)(C)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001578274983 1164966443 /nfs/dbraw/zinc/96/64/43/1164966443.db2.gz XPLSAWWVFYKFMK-UHFFFAOYSA-N 0 2 320.353 0.821 20 0 DCADLN COC(=O)c1nc[nH]c1NC(=O)c1cc(-c2nn[nH]n2)oc1C ZINC001579491694 1165330028 /nfs/dbraw/zinc/33/00/28/1165330028.db2.gz OYKVQLREGMBCDR-UHFFFAOYSA-N 0 2 317.265 0.530 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)Cc1ncc[nH]1 ZINC001580596323 1165649201 /nfs/dbraw/zinc/64/92/01/1165649201.db2.gz SIVIMBYCQGJVCE-UHFFFAOYSA-N 0 2 314.309 0.271 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCCC[C@@H]1CO ZINC001580596579 1165649373 /nfs/dbraw/zinc/64/93/73/1165649373.db2.gz BWKZMDYONLGUOC-SECBINFHSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@](C)(CO)C1CC1 ZINC001580601800 1165651550 /nfs/dbraw/zinc/65/15/50/1165651550.db2.gz YIEHQYHMXVVCPZ-AWEZNQCLSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](C)C1(CO)CC1 ZINC001580600929 1165651675 /nfs/dbraw/zinc/65/16/75/1165651675.db2.gz RLCAUMFRXSMYKW-MRVPVSSYSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@H](O)C[C@H](C)C1 ZINC001580599972 1165651832 /nfs/dbraw/zinc/65/18/32/1165651832.db2.gz IXPHIDREJUYPQD-IONNQARKSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCCOC1 ZINC001580607152 1165654415 /nfs/dbraw/zinc/65/44/15/1165654415.db2.gz RKRDWPWCLPZTDZ-MRVPVSSYSA-N 0 2 304.310 0.185 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCC(C)(C)O ZINC001580606197 1165654438 /nfs/dbraw/zinc/65/44/38/1165654438.db2.gz SEUHQUJJXGBPGB-UHFFFAOYSA-N 0 2 306.326 0.167 20 0 DCADLN Cc1nc(CNC(=O)Nc2snc(C)c2-c2nn[nH]n2)n[nH]1 ZINC001581401046 1165822298 /nfs/dbraw/zinc/82/22/98/1165822298.db2.gz PAVKIEAFRRMPAC-UHFFFAOYSA-N 0 2 320.342 0.380 20 0 DCADLN CCCc1[nH]ccc1C(=O)NC[C@](C)(NC(=O)C(N)=O)C1CC1 ZINC001582658755 1166062933 /nfs/dbraw/zinc/06/29/33/1166062933.db2.gz INEWXVPRZXZPJM-INIZCTEOSA-N 0 2 320.393 0.467 20 0 DCADLN C[C@](CNC(=O)CC(N)=O)(NC(=O)[C@@H]1CCC1(C)C)C1CC1 ZINC001582656842 1166062974 /nfs/dbraw/zinc/06/29/74/1166062974.db2.gz VFXRUBQXMOODQO-MEDUHNTESA-N 0 2 309.410 0.699 20 0 DCADLN C[C@@](CNC(=O)CC(N)=O)(NC(=O)c1ncccc1F)C1CC1 ZINC001582656974 1166063246 /nfs/dbraw/zinc/06/32/46/1166063246.db2.gz XQELMKNPDBJUHV-HNNXBMFYSA-N 0 2 322.340 0.111 20 0 DCADLN C[C@](CNC(=O)C=C1CCC1)(NC(=O)[C@@H]1CNC(=O)N1)C1CC1 ZINC001582655886 1166063475 /nfs/dbraw/zinc/06/34/75/1166063475.db2.gz JYCZUSMNEJSFGG-BLLLJJGKSA-N 0 2 320.393 0.179 20 0 DCADLN O=S(=O)(NCc1cnc[nH]1)c1ccc(F)cc1-c1nn[nH]n1 ZINC001582796293 1166084223 /nfs/dbraw/zinc/08/42/23/1166084223.db2.gz KGCHGJXMQRPLTP-UHFFFAOYSA-N 0 2 323.313 0.207 20 0 DCADLN C[C@@H]1CCC[C@@H](Cn2cnc3ncc(-c4nn[nH]n4)c(=O)n32)C1 ZINC001590240150 1166825260 /nfs/dbraw/zinc/82/52/60/1166825260.db2.gz CWSWUOVWMCMFCK-NXEZZACHSA-N 0 2 314.353 0.897 20 0 DCADLN C/C=C/C[C@@H](CO)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001590351859 1166881363 /nfs/dbraw/zinc/88/13/63/1166881363.db2.gz IKHRCKDBCFGNIK-NWALNABHSA-N 0 2 318.337 0.333 20 0 DCADLN CCN(c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)C1CCCCC1 ZINC001590723652 1166982304 /nfs/dbraw/zinc/98/23/04/1166982304.db2.gz XJJMWMNOMMMSHJ-UHFFFAOYSA-N 0 2 319.369 0.413 20 0 DCADLN CC[N@@H+]1CCN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)[C@H](C)C1 ZINC001590735118 1166993014 /nfs/dbraw/zinc/99/30/14/1166993014.db2.gz RKRPIBIINWUUML-SNVBAGLBSA-N 0 2 316.365 0.739 20 0 DCADLN CC[N@H+]1CCN(C(=O)c2ccc(-c3nn[nH]n3)c([O-])c2)[C@H](C)C1 ZINC001590735118 1166993019 /nfs/dbraw/zinc/99/30/19/1166993019.db2.gz RKRPIBIINWUUML-SNVBAGLBSA-N 0 2 316.365 0.739 20 0 DCADLN CCc1nc(N[C@H](C)c2n[nH]c(C)n2)cc(-c2nn[nH]n2)n1 ZINC001590916382 1167048632 /nfs/dbraw/zinc/04/86/32/1167048632.db2.gz GYQWCZWWPSMQEA-ZCFIWIBFSA-N 0 2 300.330 0.819 20 0 DCADLN CN(Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2)[C@H]1CCOC1 ZINC001591154091 1167119237 /nfs/dbraw/zinc/11/92/37/1167119237.db2.gz PHNMAFGFDFOUOH-NSHDSACASA-N 0 2 316.365 0.195 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC[C@@H](C)C(C)=O)c1 ZINC001591286630 1167199599 /nfs/dbraw/zinc/19/95/99/1167199599.db2.gz NBPJBTDZDSRGSQ-MRVPVSSYSA-N 0 2 319.321 0.430 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCC[C@H](C)CO ZINC001591835721 1167390875 /nfs/dbraw/zinc/39/08/75/1167390875.db2.gz JTUIQRHIGPJBRM-QMMMGPOBSA-N 0 2 320.353 0.414 20 0 DCADLN Cc1ccc2[nH+]cc(-c3nn[nH]n3)c(NCc3n[nH]c(=O)[n-]3)c2c1 ZINC001592209090 1167475318 /nfs/dbraw/zinc/47/53/18/1167475318.db2.gz SGKJYMIXEHWUEJ-UHFFFAOYSA-N 0 2 323.320 0.581 20 0 DCADLN Cc1ccn(CN(C)Cc2ccon2)c(=O)c1-c1nn[nH]n1 ZINC001592267999 1167480679 /nfs/dbraw/zinc/48/06/79/1167480679.db2.gz VKOVZRRPLFYCNM-UHFFFAOYSA-N 0 2 301.310 0.414 20 0 DCADLN Cc1csc(NS(=O)(=O)c2cncc(-c3nn[nH]n3)c2)n1 ZINC001592321587 1167488951 /nfs/dbraw/zinc/48/89/51/1167488951.db2.gz SLGKYMADOWRVTG-UHFFFAOYSA-N 0 2 323.363 0.827 20 0 DCADLN Cc1nc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)c(C)o1 ZINC001592362761 1167504054 /nfs/dbraw/zinc/50/40/54/1167504054.db2.gz CJTGWAHXAYYZDO-UHFFFAOYSA-N 0 2 301.310 0.693 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H](C)C(=O)NC(C)C ZINC001592498687 1167539598 /nfs/dbraw/zinc/53/95/98/1167539598.db2.gz DAXJTZWJCHFTCV-SSDOTTSWSA-N 0 2 306.326 0.411 20 0 DCADLN Cn1c(=O)[nH]c(N2CC[C@H]2C2CCCC2)c(-c2nn[nH]n2)c1=O ZINC001592542842 1167548978 /nfs/dbraw/zinc/54/89/78/1167548978.db2.gz ALOGTEPQCUESNE-VIFPVBQESA-N 0 2 317.353 0.023 20 0 DCADLN Cn1c(=O)[nH]c(NCc2cccs2)c(-c2nn[nH]n2)c1=O ZINC001592544843 1167551679 /nfs/dbraw/zinc/55/16/79/1167551679.db2.gz APGZGCDKNXBMGT-UHFFFAOYSA-N 0 2 305.323 0.340 20 0 DCADLN O=c1c(-c2nn[nH]n2)cnc2ncn(C[C@H]3C[C@H]4C=C[C@@H]3C4)n21 ZINC001593493673 1167875213 /nfs/dbraw/zinc/87/52/13/1167875213.db2.gz BAOWEKXNZHDXRR-IVZWLZJFSA-N 0 2 310.321 0.283 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@@H]1CCC2(CCCC2)O1 ZINC001593494176 1167875631 /nfs/dbraw/zinc/87/56/31/1167875631.db2.gz IUIPODGPLNXUBE-JTQLQIEISA-N 0 2 302.338 0.915 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N2CCC[C@@H]2CC(=O)[O-])cc(C)[nH+]1 ZINC001600197359 1168137744 /nfs/dbraw/zinc/13/77/44/1168137744.db2.gz JULODWGXJLSRGC-CYBMUJFWSA-N 0 2 319.361 0.780 20 0 DCADLN Cc1cc(NC(=O)[C@H](C)[NH+]2CCC([C@H](O)C(=O)[O-])CC2)on1 ZINC001600249421 1168149506 /nfs/dbraw/zinc/14/95/06/1168149506.db2.gz GJAOFNIGBAMNAJ-CABZTGNLSA-N 0 2 311.338 0.468 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@@H+]3CCC[C@H](O)[C@H]3C(=O)[O-])nc12 ZINC001600588943 1168203928 /nfs/dbraw/zinc/20/39/28/1168203928.db2.gz QBVAWDSBUCHTJO-JSGCOSHPSA-N 0 2 317.345 0.413 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@H+]3CCC[C@H](O)[C@H]3C(=O)[O-])nc12 ZINC001600588943 1168203934 /nfs/dbraw/zinc/20/39/34/1168203934.db2.gz QBVAWDSBUCHTJO-JSGCOSHPSA-N 0 2 317.345 0.413 20 0 DCADLN Cc1ccn([C@H](C)CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001600594752 1168205280 /nfs/dbraw/zinc/20/52/80/1168205280.db2.gz MTYYOKQOPHGIEW-PWSUYJOCSA-N 0 2 305.338 0.678 20 0 DCADLN Cc1ccn([C@H](C)CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001600594752 1168205284 /nfs/dbraw/zinc/20/52/84/1168205284.db2.gz MTYYOKQOPHGIEW-PWSUYJOCSA-N 0 2 305.338 0.678 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001600639979 1168226013 /nfs/dbraw/zinc/22/60/13/1168226013.db2.gz SKQIJCMHGBXDMB-LLVKDONJSA-N 0 2 318.333 0.725 20 0 DCADLN Cc1nc(C[N@@H+](C)CCCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])co1 ZINC001600698819 1168257028 /nfs/dbraw/zinc/25/70/28/1168257028.db2.gz JOLYWDNAZFJEPG-QWHCGFSZSA-N 0 2 309.366 0.984 20 0 DCADLN Cc1nc(C[N@H+](C)CCCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])co1 ZINC001600698819 1168257034 /nfs/dbraw/zinc/25/70/34/1168257034.db2.gz JOLYWDNAZFJEPG-QWHCGFSZSA-N 0 2 309.366 0.984 20 0 DCADLN Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600769095 1168285357 /nfs/dbraw/zinc/28/53/57/1168285357.db2.gz MEZFWXPPFQJOTO-QPUJVOFHSA-N 0 2 319.365 0.676 20 0 DCADLN Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600769095 1168285361 /nfs/dbraw/zinc/28/53/61/1168285361.db2.gz MEZFWXPPFQJOTO-QPUJVOFHSA-N 0 2 319.365 0.676 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@H+](Cc3cn(CC(=O)[O-])nn3)C2)n1 ZINC001600813957 1168342034 /nfs/dbraw/zinc/34/20/34/1168342034.db2.gz RUYNIJLMSORMLD-LLVKDONJSA-N 0 2 320.353 0.509 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@@H+](Cc3cn(CC(=O)[O-])nn3)C2)n1 ZINC001600813957 1168342050 /nfs/dbraw/zinc/34/20/50/1168342050.db2.gz RUYNIJLMSORMLD-LLVKDONJSA-N 0 2 320.353 0.509 20 0 DCADLN Cn1c2ccncc2n(C[N@@H+]2CCSC[C@@H](C(=O)[O-])C2)c1=O ZINC001600897035 1168497978 /nfs/dbraw/zinc/49/79/78/1168497978.db2.gz CLWBGFAKPAPDHJ-JTQLQIEISA-N 0 2 322.390 0.442 20 0 DCADLN Cn1c2ccncc2n(C[N@H+]2CCSC[C@@H](C(=O)[O-])C2)c1=O ZINC001600897035 1168497981 /nfs/dbraw/zinc/49/79/81/1168497981.db2.gz CLWBGFAKPAPDHJ-JTQLQIEISA-N 0 2 322.390 0.442 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001600905765 1168500735 /nfs/dbraw/zinc/50/07/35/1168500735.db2.gz RKHSFLXMYYHYPD-LLVKDONJSA-N 0 2 307.350 0.270 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)C2(C(=O)[O-])CCC2)C1 ZINC001600983893 1168543666 /nfs/dbraw/zinc/54/36/66/1168543666.db2.gz CFPFTXMEDUPJOB-JTQLQIEISA-N 0 2 313.379 0.547 20 0 DCADLN Cn1cc[nH+]c1Cc1nnc(N2CC[C@](C)(C(=O)[O-])C2)n1C ZINC001600986981 1168545491 /nfs/dbraw/zinc/54/54/91/1168545491.db2.gz USCQYIDYVOXHNV-AWEZNQCLSA-N 0 2 304.354 0.440 20 0 DCADLN Cn1nc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1C1CC1 ZINC001601013884 1168564367 /nfs/dbraw/zinc/56/43/67/1168564367.db2.gz XFRGIDMIHRZWSP-LLVKDONJSA-N 0 2 303.322 0.377 20 0 DCADLN NC(=O)[C@@H](F)C1CC[NH+](Cc2nc(C(=O)[O-])cs2)CC1 ZINC001601139916 1168595836 /nfs/dbraw/zinc/59/58/36/1168595836.db2.gz ZMRYJNKMBHRYHS-JTQLQIEISA-N 0 2 301.343 0.877 20 0 DCADLN NC(=O)c1cc(C[NH2+]Cc2ccc(OCC(=O)[O-])cc2)on1 ZINC001601160518 1168613834 /nfs/dbraw/zinc/61/38/34/1168613834.db2.gz GRBDUSLRTNZFDU-UHFFFAOYSA-N 0 2 305.290 0.527 20 0 DCADLN NS(=O)(=O)CC1CCN(c2cc(CC(=O)[O-])cc[nH+]2)CC1 ZINC001601192775 1168646229 /nfs/dbraw/zinc/64/62/29/1168646229.db2.gz CWJNBVYVJXKCOZ-UHFFFAOYSA-N 0 2 313.379 0.214 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)N[C@H](C(=O)[O-])c1cccnc1 ZINC001601231048 1168670316 /nfs/dbraw/zinc/67/03/16/1168670316.db2.gz IHWJRYIHAMHXEN-XBPVQUQDSA-N 0 2 305.334 0.212 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1ccc2c[nH]nc2c1 ZINC001601363212 1168719501 /nfs/dbraw/zinc/71/95/01/1168719501.db2.gz VQHUJVNTVFNZHK-CYBMUJFWSA-N 0 2 313.317 0.641 20 0 DCADLN O=C([O-])c1cnn(CC(=O)NCC[NH+]2Cc3ccccc3C2)c1 ZINC001601379763 1168724426 /nfs/dbraw/zinc/72/44/26/1168724426.db2.gz LCWSPGMJHGAXMJ-UHFFFAOYSA-N 0 2 314.345 0.713 20 0 DCADLN O=C([O-])[C@@H]1CCCC[C@@H]1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001601502006 1168766198 /nfs/dbraw/zinc/76/61/98/1168766198.db2.gz VZGIBQZVGQROPW-RFQIPJPRSA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])[C@@H]1CCCC[C@@H]1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001601502006 1168766203 /nfs/dbraw/zinc/76/62/03/1168766203.db2.gz VZGIBQZVGQROPW-RFQIPJPRSA-N 0 2 310.394 0.857 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccc(F)c1 ZINC001601564384 1168782473 /nfs/dbraw/zinc/78/24/73/1168782473.db2.gz PCURMVGGPUZIFD-KBPBESRZSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccc(F)c1 ZINC001601564384 1168782479 /nfs/dbraw/zinc/78/24/79/1168782479.db2.gz PCURMVGGPUZIFD-KBPBESRZSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc(C2CC2)nc1 ZINC001601567904 1168784788 /nfs/dbraw/zinc/78/47/88/1168784788.db2.gz OTIRDEIBPCSXOB-LLVKDONJSA-N 0 2 301.306 0.503 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc(C2CC2)nc1 ZINC001601567904 1168784793 /nfs/dbraw/zinc/78/47/93/1168784793.db2.gz OTIRDEIBPCSXOB-LLVKDONJSA-N 0 2 301.306 0.503 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](Cc2nc(-c3ccco3)no2)CC1 ZINC001601683926 1168815720 /nfs/dbraw/zinc/81/57/20/1168815720.db2.gz GZWOWROFLFMCCW-GFCCVEGCSA-N 0 2 307.306 0.987 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC001601683806 1168816747 /nfs/dbraw/zinc/81/67/47/1168816747.db2.gz RPTFTFPCDMJKAX-AAEUAGOBSA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])C1(O)C[NH+](C[C@@H](O)c2ccc(C(F)(F)F)cc2)C1 ZINC001601726274 1168834872 /nfs/dbraw/zinc/83/48/72/1168834872.db2.gz KKZNTTURDQRLOH-SNVBAGLBSA-N 0 2 305.252 0.870 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)CN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC001601768934 1168866902 /nfs/dbraw/zinc/86/69/02/1168866902.db2.gz KZDLRADCQAIUJA-WDEREUQCSA-N 0 2 324.406 0.302 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)CN(c2cc(N3CCSCC3)nc[nH+]2)C1 ZINC001601768934 1168866916 /nfs/dbraw/zinc/86/69/16/1168866916.db2.gz KZDLRADCQAIUJA-WDEREUQCSA-N 0 2 324.406 0.302 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCCC[N@H+](Cc1cnns1)C1CC1 ZINC001601776838 1168876595 /nfs/dbraw/zinc/87/65/95/1168876595.db2.gz AMDJDAGWSIWOMO-VXGBXAGGSA-N 0 2 324.406 0.730 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCCC[N@@H+](Cc1cnns1)C1CC1 ZINC001601776838 1168876605 /nfs/dbraw/zinc/87/66/05/1168876605.db2.gz AMDJDAGWSIWOMO-VXGBXAGGSA-N 0 2 324.406 0.730 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1ccon1)C2 ZINC001601776226 1168876786 /nfs/dbraw/zinc/87/67/86/1168876786.db2.gz WKBCDJGYLPRNNG-OLZOCXBDSA-N 0 2 319.361 0.820 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1ccon1)C2 ZINC001601776226 1168876795 /nfs/dbraw/zinc/87/67/95/1168876795.db2.gz WKBCDJGYLPRNNG-OLZOCXBDSA-N 0 2 319.361 0.820 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1C[C@H](O)Cc1ccc(F)cc1 ZINC001601939203 1168941225 /nfs/dbraw/zinc/94/12/25/1168941225.db2.gz JQSBONJQIUYQOF-OLZOCXBDSA-N 0 2 310.325 0.004 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1C[C@H](O)Cc1ccc(F)cc1 ZINC001601939203 1168941234 /nfs/dbraw/zinc/94/12/34/1168941234.db2.gz JQSBONJQIUYQOF-OLZOCXBDSA-N 0 2 310.325 0.004 20 0 DCADLN O=C([O-])CCCCC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001601980392 1168952272 /nfs/dbraw/zinc/95/22/72/1168952272.db2.gz ZVRPXUFKODSQFC-UHFFFAOYSA-N 0 2 320.393 0.808 20 0 DCADLN O=C([O-])CCN1CCC[C@@H]([N@H+](CCO)Cc2cccnc2)C1=O ZINC001602011585 1168961621 /nfs/dbraw/zinc/96/16/21/1168961621.db2.gz BAGIMYXSODHPHI-CQSZACIVSA-N 0 2 321.377 0.342 20 0 DCADLN O=C([O-])CCN1CCC[C@@H]([N@@H+](CCO)Cc2cccnc2)C1=O ZINC001602011585 1168961631 /nfs/dbraw/zinc/96/16/31/1168961631.db2.gz BAGIMYXSODHPHI-CQSZACIVSA-N 0 2 321.377 0.342 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)nc1 ZINC001602319064 1169057719 /nfs/dbraw/zinc/05/77/19/1169057719.db2.gz CLRMUXLCPFQTAJ-ZDUSSCGKSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])c1ccc(OC[C@@H](O)C[NH+]2CC3(C2)CCCO3)cc1 ZINC001602387430 1169066441 /nfs/dbraw/zinc/06/64/41/1169066441.db2.gz JXQFLPKMGOXNED-ZDUSSCGKSA-N 0 2 307.346 0.989 20 0 DCADLN O=C([O-])c1ccnc(S(=O)(=O)N2CC[NH2+]C[C@H]2C(F)F)c1 ZINC001602484445 1169089446 /nfs/dbraw/zinc/08/94/46/1169089446.db2.gz KZGADRWYWUGZBD-QMMMGPOBSA-N 0 2 321.305 0.007 20 0 DCADLN O=C([O-])c1cncc(-n2cc(CC[NH+]3CCOCC3)nn2)c1 ZINC001602534504 1169110158 /nfs/dbraw/zinc/11/01/58/1169110158.db2.gz WJSKMMMFZYZGKH-UHFFFAOYSA-N 0 2 303.322 0.235 20 0 DCADLN O=C([O-])c1n[nH]c2c1CN(C(=O)[C@H]1CCc3c[nH+]cn3C1)CC2 ZINC001602564399 1169118112 /nfs/dbraw/zinc/11/81/12/1169118112.db2.gz QUACIXLJINIZOL-VIFPVBQESA-N 0 2 315.333 0.452 20 0 DCADLN O=C([O-])c1n[nH]c2c1C[N@@H+]([C@@H]1CCN(C3CCC3)C1=O)CC2 ZINC001602564736 1169119981 /nfs/dbraw/zinc/11/99/81/1169119981.db2.gz XXHAXIKLAQLUKV-GFCCVEGCSA-N 0 2 304.350 0.619 20 0 DCADLN O=C([O-])c1n[nH]c2c1C[N@H+]([C@@H]1CCN(C3CCC3)C1=O)CC2 ZINC001602564736 1169119987 /nfs/dbraw/zinc/11/99/87/1169119987.db2.gz XXHAXIKLAQLUKV-GFCCVEGCSA-N 0 2 304.350 0.619 20 0 DCADLN O=C([O-])c1nccnc1Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC001602579616 1169124510 /nfs/dbraw/zinc/12/45/10/1169124510.db2.gz DGCPVLOOGKKOFV-UHFFFAOYSA-N 0 2 318.337 0.447 20 0 DCADLN CC(=O)c1ncc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001603126532 1169250058 /nfs/dbraw/zinc/25/00/58/1169250058.db2.gz MZESXRRQHWHOAG-MRVPVSSYSA-N 0 2 308.319 0.495 20 0 DCADLN CC(=O)c1ncc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001603126532 1169250067 /nfs/dbraw/zinc/25/00/67/1169250067.db2.gz MZESXRRQHWHOAG-MRVPVSSYSA-N 0 2 308.319 0.495 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])N1CC[NH+](Cc2ccsc2)CC1 ZINC001603160091 1169261861 /nfs/dbraw/zinc/26/18/61/1169261861.db2.gz HNUBLOYYVAQKQQ-NSHDSACASA-N 0 2 311.407 0.455 20 0 DCADLN CC(C)CCN(c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)C1CC1 ZINC001603283182 1169287101 /nfs/dbraw/zinc/28/71/01/1169287101.db2.gz LAEVSDQPKXOUFK-UHFFFAOYSA-N 0 2 319.369 0.269 20 0 DCADLN CC(C)CSCCCn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001603304170 1169290091 /nfs/dbraw/zinc/29/00/91/1169290091.db2.gz HLZLKUGBILMAGF-UHFFFAOYSA-N 0 2 310.383 0.908 20 0 DCADLN CC(C)c1nc(CNC(=O)c2coc(-c3nn[nH]n3)c2)n[nH]1 ZINC001603419165 1169305657 /nfs/dbraw/zinc/30/56/57/1169305657.db2.gz VLUCIYRJOWWLSD-UHFFFAOYSA-N 0 2 302.298 0.631 20 0 DCADLN CC(C)(CNC(=O)Nc1ccc(C(=O)[O-])nn1)[NH+]1CCOCC1 ZINC001603635904 1169340590 /nfs/dbraw/zinc/34/05/90/1169340590.db2.gz JWUKZMMHCSJVOR-UHFFFAOYSA-N 0 2 323.353 0.407 20 0 DCADLN CC(C)[C@H]([NH2+]CCC(=O)N(C)CC(=O)[O-])C(=O)OC(C)(C)C ZINC001603979612 1169462921 /nfs/dbraw/zinc/46/29/21/1169462921.db2.gz RNSNKNGJGAKYOT-ZDUSSCGKSA-N 0 2 316.398 0.875 20 0 DCADLN CC(C)n1ncc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1N ZINC001604043397 1169478057 /nfs/dbraw/zinc/47/80/57/1169478057.db2.gz KKGXHMBQMKARRT-JTQLQIEISA-N 0 2 306.326 0.195 20 0 DCADLN CC(C)n1ncc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1N ZINC001604043397 1169478059 /nfs/dbraw/zinc/47/80/59/1169478059.db2.gz KKGXHMBQMKARRT-JTQLQIEISA-N 0 2 306.326 0.195 20 0 DCADLN C[C@@H](CC(=O)[O-])CC(=O)N1CCO[C@@H](C[NH+]2CCOCC2)C1 ZINC001604105924 1169493768 /nfs/dbraw/zinc/49/37/68/1169493768.db2.gz VRPADDNCDHXGBB-OLZOCXBDSA-N 0 2 314.382 0.047 20 0 DCADLN C[C@@H](CC(=O)OCCc1cn(CC(=O)[O-])nn1)n1cc[nH+]c1 ZINC001604122080 1169499427 /nfs/dbraw/zinc/49/94/27/1169499427.db2.gz JHKJPVLTZWJTMX-JTQLQIEISA-N 0 2 307.310 0.296 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001604246463 1169532993 /nfs/dbraw/zinc/53/29/93/1169532993.db2.gz CFKJOPSUYIEHRO-SNVBAGLBSA-N 0 2 309.347 0.948 20 0 DCADLN CCOC1CC(n2cc(C(=O)OC)cc(-c3nn[nH]n3)c2=O)C1 ZINC001604415801 1169588199 /nfs/dbraw/zinc/58/81/99/1169588199.db2.gz GCFGNPOYTLQDSB-UHFFFAOYSA-N 0 2 319.321 0.555 20 0 DCADLN C[C@H](OC[C@H]1CCCO1)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001604422849 1169590302 /nfs/dbraw/zinc/59/03/02/1169590302.db2.gz QHLYUEYBLYWRMK-TUAOUCFPSA-N 0 2 311.338 0.037 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001604439788 1169593737 /nfs/dbraw/zinc/59/37/37/1169593737.db2.gz RCOQHSBQUHPUGU-WCQYABFASA-N 0 2 303.318 0.920 20 0 DCADLN C[C@]1(C2CC[NH+](Cc3cn(CC(=O)[O-])nn3)CC2)COC(=O)N1 ZINC001604568317 1169618259 /nfs/dbraw/zinc/61/82/59/1169618259.db2.gz BCABJUPRRAMCNL-CQSZACIVSA-N 0 2 323.353 0.073 20 0 DCADLN C[C@@H]1CCn2cc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])nc2C1 ZINC001604766568 1169673966 /nfs/dbraw/zinc/67/39/66/1169673966.db2.gz IAGJBZVBVAQEIJ-ZYHUDNBSSA-N 0 2 317.349 0.545 20 0 DCADLN C[C@@H]1C[N@H+](CCCN2C(=O)CS/C2=C\C(=O)[O-])CCC1=O ZINC001604796129 1169688765 /nfs/dbraw/zinc/68/87/65/1169688765.db2.gz MJZJWMVDDUFZCK-QVASGMJFSA-N 0 2 312.391 0.789 20 0 DCADLN C[C@@H]1C[N@@H+](CCCN2C(=O)CS/C2=C\C(=O)[O-])CCC1=O ZINC001604796129 1169688770 /nfs/dbraw/zinc/68/87/70/1169688770.db2.gz MJZJWMVDDUFZCK-QVASGMJFSA-N 0 2 312.391 0.789 20 0 DCADLN C[C@@H]1C[N@H+](CCOC(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CCO1 ZINC001604798232 1169688821 /nfs/dbraw/zinc/68/88/21/1169688821.db2.gz RGOHDDKUZJPYLH-FRRDWIJNSA-N 0 2 315.366 0.520 20 0 DCADLN C[C@@H]1C[N@@H+](CCOC(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CCO1 ZINC001604798232 1169688822 /nfs/dbraw/zinc/68/88/22/1169688822.db2.gz RGOHDDKUZJPYLH-FRRDWIJNSA-N 0 2 315.366 0.520 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CCN(c2cccc(F)c2)C[C@H]1C ZINC001604813818 1169692351 /nfs/dbraw/zinc/69/23/51/1169692351.db2.gz UYJZINLVNJBYFU-VXGBXAGGSA-N 0 2 323.368 0.926 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CCN(c2cccc(F)c2)C[C@H]1C ZINC001604813818 1169692359 /nfs/dbraw/zinc/69/23/59/1169692359.db2.gz UYJZINLVNJBYFU-VXGBXAGGSA-N 0 2 323.368 0.926 20 0 DCADLN COCCn1cc(Br)cc(-c2nn[nH]n2)c1=O ZINC001605124583 1169834427 /nfs/dbraw/zinc/83/44/27/1169834427.db2.gz IRBQUICSCVNUNX-UHFFFAOYSA-N 0 2 300.116 0.437 20 0 DCADLN CS[C@H](C)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605368072 1169906900 /nfs/dbraw/zinc/90/69/00/1169906900.db2.gz XHFVBVKNGZZVLT-SSDOTTSWSA-N 0 2 308.367 0.757 20 0 DCADLN CCNc1nc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cs1 ZINC001605502847 1169940875 /nfs/dbraw/zinc/94/08/75/1169940875.db2.gz OEFIPWBKVFMXNU-QMMMGPOBSA-N 0 2 309.351 0.655 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H](O)CC(C)C ZINC001605509344 1169942712 /nfs/dbraw/zinc/94/27/12/1169942712.db2.gz FABSWUPTWJOBCV-SECBINFHSA-N 0 2 320.353 0.413 20 0 DCADLN CCc1noc([C@H](C)[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001605874188 1170019558 /nfs/dbraw/zinc/01/95/58/1170019558.db2.gz QVUWTZLUYOXLRM-IUCAKERBSA-N 0 2 306.326 0.930 20 0 DCADLN CCc1noc([C@H](C)[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001605874188 1170019562 /nfs/dbraw/zinc/01/95/62/1170019562.db2.gz QVUWTZLUYOXLRM-IUCAKERBSA-N 0 2 306.326 0.930 20 0 DCADLN Cc1nn(Cc2ccc(F)cn2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606115888 1170082070 /nfs/dbraw/zinc/08/20/70/1170082070.db2.gz HDZNIUDHIXPIEO-UHFFFAOYSA-N 0 2 301.285 0.623 20 0 DCADLN Cc1nnsc1Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001606126666 1170086996 /nfs/dbraw/zinc/08/69/96/1170086996.db2.gz CYKYDTQGNAGQHX-UHFFFAOYSA-N 0 2 304.339 0.248 20 0 DCADLN C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001606219026 1170120427 /nfs/dbraw/zinc/12/04/27/1170120427.db2.gz QBYFJCAFIWUPMI-JTQLQIEISA-N 0 2 314.363 0.132 20 0 DCADLN C[N@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001606219026 1170120430 /nfs/dbraw/zinc/12/04/30/1170120430.db2.gz QBYFJCAFIWUPMI-JTQLQIEISA-N 0 2 314.363 0.132 20 0 DCADLN CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC001606278518 1170146445 /nfs/dbraw/zinc/14/64/45/1170146445.db2.gz YPSHOJONAIWBFR-GFCCVEGCSA-N 0 2 320.349 0.327 20 0 DCADLN CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC001606278518 1170146449 /nfs/dbraw/zinc/14/64/49/1170146449.db2.gz YPSHOJONAIWBFR-GFCCVEGCSA-N 0 2 320.349 0.327 20 0 DCADLN CNC(=O)N1CC[NH+](Cc2c(OC)cccc2C(=O)[O-])CC1 ZINC001606278438 1170146876 /nfs/dbraw/zinc/14/68/76/1170146876.db2.gz WGUJNSPCZBDKMF-UHFFFAOYSA-N 0 2 307.350 0.850 20 0 DCADLN COC(=O)C1(NC(=O)Cc2c[nH]c[nH+]2)CCC(C(=O)[O-])CC1 ZINC001606353043 1170167598 /nfs/dbraw/zinc/16/75/98/1170167598.db2.gz WBTWHBZPTXOPSW-UHFFFAOYSA-N 0 2 309.322 0.255 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])N(C(=O)CCCn2cc[nH+]c2)C1 ZINC001606386131 1170177818 /nfs/dbraw/zinc/17/78/18/1170177818.db2.gz AAKLCWXLNOOVBZ-RYUDHWBXSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)[C@H](C(=O)[O-])C1 ZINC001606404390 1170187266 /nfs/dbraw/zinc/18/72/66/1170187266.db2.gz TZWLGZBLSZQLND-TUAOUCFPSA-N 0 2 323.349 0.699 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@H]1C(=O)[O-] ZINC001606417805 1170192859 /nfs/dbraw/zinc/19/28/59/1170192859.db2.gz SOJTXJFBKHKFHJ-RKDXNWHRSA-N 0 2 309.322 0.020 20 0 DCADLN CO[C@@H](CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])C(F)(F)F ZINC001606670128 1170257490 /nfs/dbraw/zinc/25/74/90/1170257490.db2.gz NTKKTCBQCLSMTN-YUMQZZPRSA-N 0 2 309.244 0.420 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@@H+]2CCO[C@@H](c3ccnn3C)C2)CCC1 ZINC001606709485 1170270746 /nfs/dbraw/zinc/27/07/46/1170270746.db2.gz YZGVEHXQFXFSPE-OLZOCXBDSA-N 0 2 309.366 0.816 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@H+]2CCO[C@@H](c3ccnn3C)C2)CCC1 ZINC001606709485 1170270752 /nfs/dbraw/zinc/27/07/52/1170270752.db2.gz YZGVEHXQFXFSPE-OLZOCXBDSA-N 0 2 309.366 0.816 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@@H+]2CC[C@@H](NC(N)=O)C2)c1 ZINC001607185489 1170413429 /nfs/dbraw/zinc/41/34/29/1170413429.db2.gz NUFNSAPPILYPDF-MFKMUULPSA-N 0 2 323.349 0.572 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](NC(N)=O)C2)c1 ZINC001607185489 1170413432 /nfs/dbraw/zinc/41/34/32/1170413432.db2.gz NUFNSAPPILYPDF-MFKMUULPSA-N 0 2 323.349 0.572 20 0 DCADLN COc1cccc([C@H](NC(=O)C[C@H]([NH3+])C(F)F)C(=O)[O-])c1 ZINC001607331466 1170429766 /nfs/dbraw/zinc/42/97/66/1170429766.db2.gz SUDKHSUOOFWQOL-ONGXEEELSA-N 0 2 302.277 0.920 20 0 DCADLN Cc1cc(CNC(=O)N2C[C@H](C(=O)[O-])C[C@H](O)C2)cc(C)[nH+]1 ZINC001607642700 1170488635 /nfs/dbraw/zinc/48/86/35/1170488635.db2.gz ZDWBAOIJUUTPQQ-OLZOCXBDSA-N 0 2 307.350 0.675 20 0 DCADLN Cc1cc(NC(=O)C(=O)N2CCn3c[nH+]cc3C2)c(C(=O)[O-])[nH]1 ZINC001607670625 1170492194 /nfs/dbraw/zinc/49/21/94/1170492194.db2.gz LKQZBIHCCDRQLL-UHFFFAOYSA-N 0 2 317.305 0.199 20 0 DCADLN Cc1ccc(N2CCC3(CC2)C[C@H](O)CNC3=O)[nH+]c1C(=O)[O-] ZINC001607792434 1170507478 /nfs/dbraw/zinc/50/74/78/1170507478.db2.gz DRGGGHNQDJKVGA-NSHDSACASA-N 0 2 319.361 0.556 20 0 DCADLN Cc1cccc(OC[C@H](O)C[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC001607858858 1170514817 /nfs/dbraw/zinc/51/48/17/1170514817.db2.gz JYDOWSXKNAVJEJ-OCCSQVGLSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1cccc(OC[C@H](O)C[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])c1 ZINC001607858858 1170514818 /nfs/dbraw/zinc/51/48/18/1170514818.db2.gz JYDOWSXKNAVJEJ-OCCSQVGLSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1ccn(C[C@H](C)C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001607890915 1170516655 /nfs/dbraw/zinc/51/66/55/1170516655.db2.gz AEIFAKIGEKRPFM-JOYOIKCWSA-N 0 2 305.338 0.363 20 0 DCADLN Cc1ccn(C[C@H](C)C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001607890915 1170516659 /nfs/dbraw/zinc/51/66/59/1170516659.db2.gz AEIFAKIGEKRPFM-JOYOIKCWSA-N 0 2 305.338 0.363 20 0 DCADLN Cc1cnccc1C=CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001607911926 1170522707 /nfs/dbraw/zinc/52/27/07/1170522707.db2.gz CSRKFJZVOHZFKT-YWVDXFKGSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1ncc(C[NH+]2CC(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)cn1 ZINC001607978564 1170537950 /nfs/dbraw/zinc/53/79/50/1170537950.db2.gz TZNOWGOMPKNHKD-CHWSQXEVSA-N 0 2 304.350 0.054 20 0 DCADLN Cc1sc(C(=O)[O-])cc1NC(=O)[C@H](C)N1CC[NH2+]CC1=O ZINC001608061887 1170563456 /nfs/dbraw/zinc/56/34/56/1170563456.db2.gz SZVPQOJLWKJCTB-ZETCQYMHSA-N 0 2 311.363 0.514 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001608132800 1170586736 /nfs/dbraw/zinc/58/67/36/1170586736.db2.gz LFOKRLROUOXVQF-JTQLQIEISA-N 0 2 318.337 0.116 20 0 DCADLN Cn1cc[nH+]c1CCCOC(=O)Cn1cccc(C(=O)[O-])c1=O ZINC001608132908 1170587876 /nfs/dbraw/zinc/58/78/76/1170587876.db2.gz NVAKVHZPQCGSHB-UHFFFAOYSA-N 0 2 319.317 0.456 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@](C)(Cn1cccn1)C(=O)[O-] ZINC001608136612 1170588993 /nfs/dbraw/zinc/58/89/93/1170588993.db2.gz BIDOWJBKFDKKRP-UEKVPHQBSA-N 0 2 317.349 0.380 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2C[C@@H]3CCC[C@]3(C(=O)[O-])C2)c1 ZINC001608135825 1170589135 /nfs/dbraw/zinc/58/91/35/1170589135.db2.gz STCWLDDXTKDJSG-NHYWBVRUSA-N 0 2 306.366 0.859 20 0 DCADLN [NH3+][C@@H](C(=O)N1CC[C@](F)(C(=O)[O-])C1)c1c(F)cccc1F ZINC001608247830 1170629789 /nfs/dbraw/zinc/62/97/89/1170629789.db2.gz MUDIAYSNZVBKLI-ZWNOBZJWSA-N 0 2 302.252 0.990 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)COc1ccc(F)cc1 ZINC001608350130 1170657127 /nfs/dbraw/zinc/65/71/27/1170657127.db2.gz IHHJSBPPPIWYIR-LBPRGKRZSA-N 0 2 307.281 0.671 20 0 DCADLN O=C([O-])c1cc2n(n1)CCC[C@@H]2NC(=O)NCCn1cc[nH+]c1 ZINC001608455372 1170683139 /nfs/dbraw/zinc/68/31/39/1170683139.db2.gz PPYFOSLKGQSFFV-JTQLQIEISA-N 0 2 318.337 0.612 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001608543228 1170699375 /nfs/dbraw/zinc/69/93/75/1170699375.db2.gz JNDKFRNKZXZMBC-WCQYABFASA-N 0 2 319.292 0.595 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](Cc2cn(C[C@H]3CCCO3)nn2)CC1 ZINC001608557355 1170701644 /nfs/dbraw/zinc/70/16/44/1170701644.db2.gz JTERIMNKDPDUKR-KGLIPLIRSA-N 0 2 324.381 0.115 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N[C@@H]2CCC[N@H+](CCF)C2)O1 ZINC001608736067 1170747059 /nfs/dbraw/zinc/74/70/59/1170747059.db2.gz OJACFRJZEQEPBU-FRRDWIJNSA-N 0 2 316.373 0.949 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N[C@@H]2CCC[N@@H+](CCF)C2)O1 ZINC001608736067 1170747062 /nfs/dbraw/zinc/74/70/62/1170747062.db2.gz OJACFRJZEQEPBU-FRRDWIJNSA-N 0 2 316.373 0.949 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1C[C@H]1CN(C2CCCCC2)C(=O)O1 ZINC001608810650 1170758943 /nfs/dbraw/zinc/75/89/43/1170758943.db2.gz QJQZOZVPDLNQSK-AGIUHOORSA-N 0 2 312.366 0.660 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1C[C@H]1CN(C2CCCCC2)C(=O)O1 ZINC001608810650 1170758945 /nfs/dbraw/zinc/75/89/45/1170758945.db2.gz QJQZOZVPDLNQSK-AGIUHOORSA-N 0 2 312.366 0.660 20 0 DCADLN CC(C)(C)c1nc(CNc2cc(-c3nn[nH]n3)ncn2)n[nH]1 ZINC001609081655 1170803014 /nfs/dbraw/zinc/80/30/14/1170803014.db2.gz CRTOWTGHSOPUIH-UHFFFAOYSA-N 0 2 300.330 0.684 20 0 DCADLN CC(C)c1cnc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)o1 ZINC001609105623 1170811252 /nfs/dbraw/zinc/81/12/52/1170811252.db2.gz NRBZXHHLNSMRLR-UHFFFAOYSA-N 0 2 303.282 0.289 20 0 DCADLN CCCN(CCC)C(=O)Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001609213187 1170870930 /nfs/dbraw/zinc/87/09/30/1170870930.db2.gz OGDDINCCOHTEIE-UHFFFAOYSA-N 0 2 318.381 0.985 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CC(=O)C(C)(C)CC)c1=O ZINC001609257874 1170901208 /nfs/dbraw/zinc/90/12/08/1170901208.db2.gz MQCZFEIURUXDSN-UHFFFAOYSA-N 0 2 320.353 0.215 20 0 DCADLN COC(=O)N1CCC[C@@H](NCc2nc(-c3nn[nH]n3)cs2)C1 ZINC001609300245 1170922018 /nfs/dbraw/zinc/92/20/18/1170922018.db2.gz KGARBOSAXDIEKH-MRVPVSSYSA-N 0 2 323.382 0.644 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)OC[C@@H](C)O ZINC001609378239 1170957731 /nfs/dbraw/zinc/95/77/31/1170957731.db2.gz RKLYTPOMKDGAJX-SSDOTTSWSA-N 0 2 321.337 0.441 20 0 DCADLN NC(=O)C[C@H]1CCCCN1Cc1nc(-c2nn[nH]n2)cs1 ZINC001609502080 1171000971 /nfs/dbraw/zinc/00/09/71/1171000971.db2.gz BTMLXLXXNHAVAP-MRVPVSSYSA-N 0 2 307.383 0.553 20 0 DCADLN CC(=O)[C@@H]1C[C@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1(C)C ZINC001609626508 1171054934 /nfs/dbraw/zinc/05/49/34/1171054934.db2.gz GZGQFLGJXSCMRG-TUAOUCFPSA-N 0 2 307.350 0.773 20 0 DCADLN CC(=O)[C@@H]1C[C@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1(C)C ZINC001609626508 1171054942 /nfs/dbraw/zinc/05/49/42/1171054942.db2.gz GZGQFLGJXSCMRG-TUAOUCFPSA-N 0 2 307.350 0.773 20 0 DCADLN C[C@@H](C(=O)N1CCC(n2cc(C(=O)[O-])nn2)CC1)n1cc[nH+]c1 ZINC001609633345 1171055783 /nfs/dbraw/zinc/05/57/83/1171055783.db2.gz YNHQHYAZXVVWHV-JTQLQIEISA-N 0 2 318.337 0.598 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1c[nH]c(C(=O)[O-])c1 ZINC001609837420 1171100510 /nfs/dbraw/zinc/10/05/10/1171100510.db2.gz ANJGBXYZQVBMEB-CMPLNLGQSA-N 0 2 307.350 0.648 20 0 DCADLN CCCC[C@@H](C(=O)[O-])[N@@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001609964279 1171129121 /nfs/dbraw/zinc/12/91/21/1171129121.db2.gz YFBIFOPLUXKLHY-WCQYABFASA-N 0 2 305.396 0.519 20 0 DCADLN CCCC[C@@H](C(=O)[O-])[N@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001609964279 1171129125 /nfs/dbraw/zinc/12/91/25/1171129125.db2.gz YFBIFOPLUXKLHY-WCQYABFASA-N 0 2 305.396 0.519 20 0 DCADLN COc1ccc(CC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001610328235 1171220662 /nfs/dbraw/zinc/22/06/62/1171220662.db2.gz UBWYNRGWLBANPE-CYBMUJFWSA-N 0 2 303.318 0.704 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[N@@H+](CCO)C[C@H]1C ZINC001610543143 1171250006 /nfs/dbraw/zinc/25/00/06/1171250006.db2.gz LDABVDADJYSHFR-LLVKDONJSA-N 0 2 310.350 0.354 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[N@H+](CCO)C[C@H]1C ZINC001610543143 1171250014 /nfs/dbraw/zinc/25/00/14/1171250014.db2.gz LDABVDADJYSHFR-LLVKDONJSA-N 0 2 310.350 0.354 20 0 DCADLN Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CC[C@](F)(C(=O)[O-])C2)C1 ZINC001610611616 1171267495 /nfs/dbraw/zinc/26/74/95/1171267495.db2.gz MRUSRYVPAMZVSH-IINYFYTJSA-N 0 2 310.329 0.192 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC001610721959 1171297842 /nfs/dbraw/zinc/29/78/42/1171297842.db2.gz HQERDXOJOONLPD-YPMHNXCESA-N 0 2 322.365 0.065 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1CCOCCOc1ccccc1 ZINC001610762027 1171315460 /nfs/dbraw/zinc/31/54/60/1171315460.db2.gz QFKXQUGBELLKLE-AWEZNQCLSA-N 0 2 322.361 0.357 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1CCOCCOc1ccccc1 ZINC001610762027 1171315466 /nfs/dbraw/zinc/31/54/66/1171315466.db2.gz QFKXQUGBELLKLE-AWEZNQCLSA-N 0 2 322.361 0.357 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)c1n[nH]c2c1C[NH2+]CC2 ZINC001610773542 1171320114 /nfs/dbraw/zinc/32/01/14/1171320114.db2.gz ZYYWVIIHHLUNMS-SNVBAGLBSA-N 0 2 322.365 0.151 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2ccc(-c3cnn(C)c3)o2)C(=O)N1C ZINC001633414028 1171641464 /nfs/dbraw/zinc/64/14/64/1171641464.db2.gz AOVJCNBFPLGFGP-QMMMGPOBSA-N 0 2 317.305 0.607 20 0 DCADLN O=C(c1cnc2c[nH]nc2c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001634041472 1171658065 /nfs/dbraw/zinc/65/80/65/1171658065.db2.gz ZVAIEHOEOUXZNW-MRVPVSSYSA-N 0 2 313.321 0.801 20 0 DCADLN CO[C@H]1COCC[C@@H]1CC(=O)NCCc1n[nH]c(=S)o1 ZINC001634591257 1171678271 /nfs/dbraw/zinc/67/82/71/1171678271.db2.gz XANJMZZGYFPPSG-BDAKNGLRSA-N 0 2 301.368 0.459 20 0 DCADLN COC1(OC)CC(CNC(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC001637787259 1171778450 /nfs/dbraw/zinc/77/84/50/1171778450.db2.gz ZEMUKRRGRUMRSY-QMMMGPOBSA-N 0 2 301.368 0.058 20 0 DCADLN Cc1noc2ncc(C(=O)NCc3nc(O)cc(=O)[nH]3)cc12 ZINC001641493167 1171917452 /nfs/dbraw/zinc/91/74/52/1171917452.db2.gz FWHFIACLTFYSEQ-UHFFFAOYSA-N 0 2 301.262 0.662 20 0 DCADLN CN(C)C(=O)Cc1ccccc1NS(=O)(=O)c1cn[nH]c1 ZINC000057123001 1171929758 /nfs/dbraw/zinc/92/97/58/1171929758.db2.gz GXXGXXCAVYJNLA-UHFFFAOYSA-N 0 2 308.363 0.841 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc2n[nH]nc2cc1F ZINC001642460419 1171946719 /nfs/dbraw/zinc/94/67/19/1171946719.db2.gz YEFCYEAIOKCLDA-UHFFFAOYSA-N 0 2 304.241 0.228 20 0 DCADLN O=C(NCc1cc(=O)[nH]c(=S)[nH]1)[C@H]1CCCc2[nH]ncc21 ZINC001644269155 1172002733 /nfs/dbraw/zinc/00/27/33/1172002733.db2.gz ZSIIZGIMWZVGFI-QMMMGPOBSA-N 0 2 305.363 0.930 20 0 DCADLN C[NH+](C)[C@H](C(=O)NCc1nc(=O)o[n-]1)c1ccc(Cl)cc1 ZINC001645914945 1172182763 /nfs/dbraw/zinc/18/27/63/1172182763.db2.gz HVYNXQHVCFEMHD-NSHDSACASA-N 0 2 310.741 0.935 20 0 DCADLN CS(=O)(=O)N1CCC[C@H](C[N@@H+]2C[C@@H](F)C[C@H]2C(=O)[O-])C1 ZINC001646231699 1172297951 /nfs/dbraw/zinc/29/79/51/1172297951.db2.gz QSZKBDJFUVZTIV-VWYCJHECSA-N 0 2 308.375 0.155 20 0 DCADLN CS(=O)(=O)N1CCC[C@H](C[N@H+]2C[C@@H](F)C[C@H]2C(=O)[O-])C1 ZINC001646231699 1172297954 /nfs/dbraw/zinc/29/79/54/1172297954.db2.gz QSZKBDJFUVZTIV-VWYCJHECSA-N 0 2 308.375 0.155 20 0 DCADLN Cc1[nH+]ccn1C[C@@H](C)CNC(=O)C[NH+]1CCC(CO)CC1 ZINC001646574671 1172429187 /nfs/dbraw/zinc/42/91/87/1172429187.db2.gz AHTXXFLUDKFPKU-ZDUSSCGKSA-N 0 2 308.426 0.648 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC001647721250 1173050133 /nfs/dbraw/zinc/05/01/33/1173050133.db2.gz OJCCJIAVODYNNS-CHWSQXEVSA-N 0 2 313.398 0.603 20 0 DCADLN CCCc1noc(CS(=O)(=O)c2n[nH]c(COC)n2)n1 ZINC001649721844 1173183979 /nfs/dbraw/zinc/18/39/79/1173183979.db2.gz WEXURJMDSWSWHT-UHFFFAOYSA-N 0 2 301.328 0.261 20 0 DCADLN COc1coc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1=O ZINC001649816856 1173242367 /nfs/dbraw/zinc/24/23/67/1173242367.db2.gz BGCDTKZDJGCOIM-QMMMGPOBSA-N 0 2 320.305 0.492 20 0 DCADLN Cn1cc[nH+]c1CCNC(=O)c1ccccc1[N-]S(C)(=O)=O ZINC001650604977 1173722817 /nfs/dbraw/zinc/72/28/17/1173722817.db2.gz NLORYVRPEQBYRI-UHFFFAOYSA-N 0 2 322.390 0.764 20 0 DCADLN Cc1cn2ccc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)cc2[nH+]1 ZINC001650754423 1173732070 /nfs/dbraw/zinc/73/20/70/1173732070.db2.gz BOMRKZZOVRMKIJ-UHFFFAOYSA-N 0 2 320.334 0.417 20 0 DCADLN Cc1nnc([C@@H](C)[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001660103351 1173943591 /nfs/dbraw/zinc/94/35/91/1173943591.db2.gz FHKMUJANFDUWFT-SECBINFHSA-N 0 2 321.385 0.663 20 0 DCADLN Cc1nnc([C@@H](C)[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001660103351 1173943594 /nfs/dbraw/zinc/94/35/94/1173943594.db2.gz FHKMUJANFDUWFT-SECBINFHSA-N 0 2 321.385 0.663 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N1CCC(O)(C[NH2+]CCF)CC1 ZINC001674107482 1175254796 /nfs/dbraw/zinc/25/47/96/1175254796.db2.gz PJSSOABPKSLCQX-UHFFFAOYSA-N 0 2 312.389 0.265 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)NOCc1ccc(F)cc1 ZINC001674622161 1175286180 /nfs/dbraw/zinc/28/61/80/1175286180.db2.gz IYHWLFKNYXMFIX-UHFFFAOYSA-N 0 2 308.273 0.557 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)C(F)C(F)(F)F ZINC001686688593 1176193371 /nfs/dbraw/zinc/19/33/71/1176193371.db2.gz CMSSZAZTICJYHG-LURQLKTLSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[C@@H](F)C(F)(F)F ZINC001686688593 1176193375 /nfs/dbraw/zinc/19/33/75/1176193375.db2.gz CMSSZAZTICJYHG-LURQLKTLSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCCO1)C(F)C(F)(F)F ZINC001686876174 1176239298 /nfs/dbraw/zinc/23/92/98/1176239298.db2.gz ALRYIEHJCMJFIQ-YUMQZZPRSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCCO1)[C@H](F)C(F)(F)F ZINC001686876174 1176239301 /nfs/dbraw/zinc/23/93/01/1176239301.db2.gz ALRYIEHJCMJFIQ-YUMQZZPRSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001689157841 1176716256 /nfs/dbraw/zinc/71/62/56/1176716256.db2.gz XQNIZISOAANLHE-BDAKNGLRSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CC=CC1)[C@H](F)C(F)(F)F ZINC001689157841 1176716263 /nfs/dbraw/zinc/71/62/63/1176716263.db2.gz XQNIZISOAANLHE-BDAKNGLRSA-N 0 2 312.263 0.446 20 0 DCADLN CC(C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)=C1CCC1 ZINC001698786070 1177654341 /nfs/dbraw/zinc/65/43/41/1177654341.db2.gz DZAIGFVLBZXUQC-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN CCc1noc(C[NH2+]CCCN(C)C(=O)c2n[nH]c(C)c2[O-])n1 ZINC001699496907 1178163663 /nfs/dbraw/zinc/16/36/63/1178163663.db2.gz BULYDXVPVWKWQQ-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN C[C@H](CNC(=O)c1ccc(F)nc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001700450973 1178618155 /nfs/dbraw/zinc/61/81/55/1178618155.db2.gz GGDNDKADPMSKJK-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](CNC(=O)c1ccc(F)nc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001700450973 1178618156 /nfs/dbraw/zinc/61/81/56/1178618156.db2.gz GGDNDKADPMSKJK-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN Cn1cccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1=O ZINC001720129754 1178619892 /nfs/dbraw/zinc/61/98/92/1178619892.db2.gz GVMLJFFNOKSBQB-MRVPVSSYSA-N 0 2 323.246 0.132 20 0 DCADLN Cn1cccc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1=O ZINC001720129754 1178619895 /nfs/dbraw/zinc/61/98/95/1178619895.db2.gz GVMLJFFNOKSBQB-MRVPVSSYSA-N 0 2 323.246 0.132 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCNC(=O)C[C@](C)(O)C1CC1 ZINC001703151948 1179344317 /nfs/dbraw/zinc/34/43/17/1179344317.db2.gz LLCAMSUFLXPLNS-HNNXBMFYSA-N 0 2 308.382 0.044 20 0 DCADLN CCn1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001703182743 1179376540 /nfs/dbraw/zinc/37/65/40/1179376540.db2.gz SRYOMVUVDWYPAZ-QMMMGPOBSA-N 0 2 310.251 0.649 20 0 DCADLN CCn1ccc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001703182743 1179376548 /nfs/dbraw/zinc/37/65/48/1179376548.db2.gz SRYOMVUVDWYPAZ-QMMMGPOBSA-N 0 2 310.251 0.649 20 0 DCADLN Cn1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cc1=O ZINC001703218269 1179400598 /nfs/dbraw/zinc/40/05/98/1179400598.db2.gz LOPNHQUGIKYOTE-VIFPVBQESA-N 0 2 323.246 0.132 20 0 DCADLN Cn1ccc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cc1=O ZINC001703218269 1179400602 /nfs/dbraw/zinc/40/06/02/1179400602.db2.gz LOPNHQUGIKYOTE-VIFPVBQESA-N 0 2 323.246 0.132 20 0 DCADLN C[N@H+](CCCNC(=O)c1[nH]nc2c1CCC2)[C@@H]1CCCNC1=O ZINC001707392103 1180478746 /nfs/dbraw/zinc/47/87/46/1180478746.db2.gz IUWAGIJSIGCZNK-CYBMUJFWSA-N 0 2 319.409 0.229 20 0 DCADLN CS(=O)(=O)N1CCN(C(=O)Cc2ccc(S)cc2)CC1 ZINC000235031651 1180565519 /nfs/dbraw/zinc/56/55/19/1180565519.db2.gz QNZFXDLKEWRPGW-UHFFFAOYSA-N 0 2 314.432 0.622 20 0 DCADLN O=C(C=Cc1ccco1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001713553340 1180918439 /nfs/dbraw/zinc/91/84/39/1180918439.db2.gz KWQFNYZIOJEGME-WAYWQWQTSA-N 0 2 317.349 0.897 20 0 DCADLN O=C(C=Cc1ccco1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001713553340 1180918447 /nfs/dbraw/zinc/91/84/47/1180918447.db2.gz KWQFNYZIOJEGME-WAYWQWQTSA-N 0 2 317.349 0.897 20 0 DCADLN CCOCC(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001721784448 1184033224 /nfs/dbraw/zinc/03/32/24/1184033224.db2.gz LDWGKPSEWJQSQF-ZBJFTSOASA-N 0 2 300.252 0.712 20 0 DCADLN CCOCC(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001721784448 1184033232 /nfs/dbraw/zinc/03/32/32/1184033232.db2.gz LDWGKPSEWJQSQF-ZBJFTSOASA-N 0 2 300.252 0.712 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001724764786 1184548079 /nfs/dbraw/zinc/54/80/79/1184548079.db2.gz FUHYCEPYCHVMCK-FGUAACIASA-N 0 2 309.370 0.184 20 0 DCADLN CC[C@@H](OC)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734622181 1186707990 /nfs/dbraw/zinc/70/79/90/1186707990.db2.gz WLBOEBSURXUULJ-LLVKDONJSA-N 0 2 309.370 0.184 20 0 DCADLN CC[C@@H](OC)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734622181 1186707995 /nfs/dbraw/zinc/70/79/95/1186707995.db2.gz WLBOEBSURXUULJ-LLVKDONJSA-N 0 2 309.370 0.184 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)c1cnn(C)c1 ZINC001736785199 1187104648 /nfs/dbraw/zinc/10/46/48/1187104648.db2.gz JUUYOMAQTJYXJJ-APPZFPTMSA-N 0 2 324.278 0.656 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1cnn(C)c1 ZINC001736785199 1187104651 /nfs/dbraw/zinc/10/46/51/1187104651.db2.gz JUUYOMAQTJYXJJ-APPZFPTMSA-N 0 2 324.278 0.656 20 0 DCADLN CCCc1cc(C(=O)N(C)CCCNC(=O)c2ncn[nH]2)[nH]n1 ZINC001743648713 1188151688 /nfs/dbraw/zinc/15/16/88/1188151688.db2.gz FEWJBIWJJVENQE-UHFFFAOYSA-N 0 2 319.369 0.372 20 0 DCADLN CN(C(=O)CCOCC1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753438121 1188596941 /nfs/dbraw/zinc/59/69/41/1188596941.db2.gz SLJWBXVWDWMACZ-LBPRGKRZSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)CCOCC1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753438121 1188596944 /nfs/dbraw/zinc/59/69/44/1188596944.db2.gz SLJWBXVWDWMACZ-LBPRGKRZSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1ccnn1CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758176927 1189769499 /nfs/dbraw/zinc/76/94/99/1189769499.db2.gz PARWYQHXODDWLW-JTQLQIEISA-N 0 2 324.278 0.714 20 0 DCADLN Cc1ccnn1CC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001758176927 1189769504 /nfs/dbraw/zinc/76/95/04/1189769504.db2.gz PARWYQHXODDWLW-JTQLQIEISA-N 0 2 324.278 0.714 20 0 DCADLN CN(CCCNC(=O)Cn1cc[nH+]c1)C(=O)[C@H]1CCCC[N@@H+]1C ZINC001758400421 1189870288 /nfs/dbraw/zinc/87/02/88/1189870288.db2.gz KBWAOHGPXGNPPJ-CQSZACIVSA-N 0 2 321.425 0.332 20 0 DCADLN CC(C)(CNC(=O)N1CC2CC1(C(=O)[O-])C2)[NH+]1CCOCC1 ZINC001771918318 1190555303 /nfs/dbraw/zinc/55/53/03/1190555303.db2.gz ILIOEGDIUFMTBA-UHFFFAOYSA-N 0 2 311.382 0.356 20 0 DCADLN COC[C@H](NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1)C(=O)[O-] ZINC001771920613 1190556251 /nfs/dbraw/zinc/55/62/51/1190556251.db2.gz AWRAOPGBRQVOGC-KBPBESRZSA-N 0 2 321.377 0.652 20 0 DCADLN COC[C@H](NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1)C(=O)[O-] ZINC001771920613 1190556256 /nfs/dbraw/zinc/55/62/56/1190556256.db2.gz AWRAOPGBRQVOGC-KBPBESRZSA-N 0 2 321.377 0.652 20 0 DCADLN C[C@H](C(=O)N[C@@H](C(=O)[O-])c1ccccn1)[NH+]1CCSCC1 ZINC001771921571 1190557525 /nfs/dbraw/zinc/55/75/25/1190557525.db2.gz OZBCYOJLHUFEKX-ZYHUDNBSSA-N 0 2 309.391 0.761 20 0 DCADLN CCC(=O)NC1CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC001772465516 1190692630 /nfs/dbraw/zinc/69/26/30/1190692630.db2.gz UJWKPFBSMISVNP-SECBINFHSA-N 0 2 312.395 0.060 20 0 DCADLN Cc1ccc(CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001042629969 751793148 /nfs/dbraw/zinc/79/31/48/751793148.db2.gz KYYBCJRDFYCLPE-UHFFFAOYSA-N 0 2 305.338 0.297 20 0 DCADLN CN(C(=O)[C@@]1(C)CC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042781247 751906814 /nfs/dbraw/zinc/90/68/14/751906814.db2.gz VRDNGZMFAWLVNK-HNNXBMFYSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)c1cc2occc2[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042822476 751933203 /nfs/dbraw/zinc/93/32/03/751933203.db2.gz WHERMLBMTVTFIF-UHFFFAOYSA-N 0 2 316.321 0.541 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nnc(C(C)C)o2)c1[O-] ZINC001125728806 747541909 /nfs/dbraw/zinc/54/19/09/747541909.db2.gz NRIKFCMMBONECR-UHFFFAOYSA-N 0 2 308.342 0.450 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001125734210 747542549 /nfs/dbraw/zinc/54/25/49/747542549.db2.gz KDJYXBNYFNPRIW-MRVPVSSYSA-N 0 2 307.358 0.185 20 0 DCADLN N=C(Nc1ccn2cc(N)[nH+]c2c1)SCCS(=O)(=O)[O-] ZINC001168091179 749387158 /nfs/dbraw/zinc/38/71/58/749387158.db2.gz VMMGYNHYOJFODN-UHFFFAOYSA-N 0 2 315.380 0.884 20 0 DCADLN Cc1cc(S(=O)(=O)NCC[NH+]2CCC2)sc1C(=O)[O-] ZINC000697368742 749547831 /nfs/dbraw/zinc/54/78/31/749547831.db2.gz PSQXYWZYWPPDOV-UHFFFAOYSA-N 0 2 304.393 0.739 20 0 DCADLN Cc1ccc(CC(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)o1 ZINC001088529824 749554273 /nfs/dbraw/zinc/55/42/73/749554273.db2.gz PPIGOLZVBHVUHO-PWSUYJOCSA-N 0 2 319.365 0.733 20 0 DCADLN Cc1ccc(CC(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)o1 ZINC001088529824 749554280 /nfs/dbraw/zinc/55/42/80/749554280.db2.gz PPIGOLZVBHVUHO-PWSUYJOCSA-N 0 2 319.365 0.733 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(F)CCCC2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088685724 749843619 /nfs/dbraw/zinc/84/36/19/749843619.db2.gz XWYZZPYMAALULV-ZJUUUORDSA-N 0 2 311.361 0.872 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C(F)=C(C)C ZINC001212127610 750234145 /nfs/dbraw/zinc/23/41/45/750234145.db2.gz SEYBOAYHLGNYFU-RKDXNWHRSA-N 0 2 313.333 0.089 20 0 DCADLN COCC[N@@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H]1C ZINC001089033468 750771087 /nfs/dbraw/zinc/77/10/87/750771087.db2.gz UTHRGAOFJJEUOA-PWSUYJOCSA-N 0 2 303.366 0.797 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CC[N@@H+]1CCc1ccnn1C ZINC001089074169 750785365 /nfs/dbraw/zinc/78/53/65/750785365.db2.gz KLIXCQRLPROTSO-HIFRSBDPSA-N 0 2 316.409 0.438 20 0 DCADLN Cc1ncccc1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043860903 752425788 /nfs/dbraw/zinc/42/57/88/752425788.db2.gz PAQHEDATMWBFFP-UHFFFAOYSA-N 0 2 316.365 0.099 20 0 DCADLN C[C@@]1(CNC(=O)C=C2CCC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107862483 752442364 /nfs/dbraw/zinc/44/23/64/752442364.db2.gz QHHCGTMNLQZLHD-OAHLLOKOSA-N 0 2 321.381 0.328 20 0 DCADLN CN(C(=O)[C@@H]1C[C@@H]1C1CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044115477 752576523 /nfs/dbraw/zinc/57/65/23/752576523.db2.gz REOSCKDUKLZSBB-CHWSQXEVSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)c1cc2c([nH]1)CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044173291 752608309 /nfs/dbraw/zinc/60/83/09/752608309.db2.gz CCMLIIPPFYDLGI-UHFFFAOYSA-N 0 2 316.365 0.284 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CCCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046231175 753573041 /nfs/dbraw/zinc/57/30/41/753573041.db2.gz AMYSYOULWAOCKP-HZMBPMFUSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CCCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046231175 753573044 /nfs/dbraw/zinc/57/30/44/753573044.db2.gz AMYSYOULWAOCKP-HZMBPMFUSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ncccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071920064 762228763 /nfs/dbraw/zinc/22/87/63/762228763.db2.gz LYPJKVVNZPWKHX-UWVGGRQHSA-N 0 2 317.353 0.083 20 0 DCADLN CC[NH+](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114996966 753693633 /nfs/dbraw/zinc/69/36/33/753693633.db2.gz GXLDAMPAGHDTFF-HALDLXJZSA-N 0 2 317.437 0.673 20 0 DCADLN Cc1conc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071970186 762264657 /nfs/dbraw/zinc/26/46/57/762264657.db2.gz DGBTVPWGFHNFPT-ZJUUUORDSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1cnon1)C2)c1ncccn1 ZINC001047164739 754114341 /nfs/dbraw/zinc/11/43/41/754114341.db2.gz BTKVASIYBUXIHD-LOWVWBTDSA-N 0 2 314.349 0.643 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C1CC1)C(F)C(F)(F)F ZINC001061639163 754170552 /nfs/dbraw/zinc/17/05/52/754170552.db2.gz MJCWCKXTYRVTNM-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C1CC1)[C@H](F)C(F)(F)F ZINC001061639163 754170557 /nfs/dbraw/zinc/17/05/57/754170557.db2.gz MJCWCKXTYRVTNM-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN CC(F)(F)C(=O)N1CCC[C@H]2[C@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049280594 755215065 /nfs/dbraw/zinc/21/50/65/755215065.db2.gz PAQSMGGERDLKRR-DTWKUNHWSA-N 0 2 315.324 0.731 20 0 DCADLN O=C(c1cn[nH]c1)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049355137 755231654 /nfs/dbraw/zinc/23/16/54/755231654.db2.gz WMMKBCPZCDMJOV-QWRGUYRKSA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1cn[nH]c1)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049355137 755231658 /nfs/dbraw/zinc/23/16/58/755231658.db2.gz WMMKBCPZCDMJOV-QWRGUYRKSA-N 0 2 317.353 0.113 20 0 DCADLN Cc1nccnc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn[nH]n2)C1 ZINC001080029601 756080699 /nfs/dbraw/zinc/08/06/99/756080699.db2.gz IFSIPNBAWAGRAW-BXKDBHETSA-N 0 2 301.354 0.153 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CC12CCC2 ZINC001080524446 756238345 /nfs/dbraw/zinc/23/83/45/756238345.db2.gz LSNADWJXANQAOQ-GMTAPVOTSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CC12CCC2 ZINC001080524446 756238350 /nfs/dbraw/zinc/23/83/50/756238350.db2.gz LSNADWJXANQAOQ-GMTAPVOTSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1CC12CC2 ZINC001097923817 756321391 /nfs/dbraw/zinc/32/13/91/756321391.db2.gz RUZOOJCYOWAALV-DBIOUOCHSA-N 0 2 303.366 0.532 20 0 DCADLN O=C([C@H]1CCCCO1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084282226 757771936 /nfs/dbraw/zinc/77/19/36/757771936.db2.gz OLMWTVJXKCQBGN-IJLUTSLNSA-N 0 2 321.381 0.112 20 0 DCADLN O=C(c1cc[nH]c1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084364808 757802361 /nfs/dbraw/zinc/80/23/61/757802361.db2.gz FYFBYBQRQAAHHH-GHMZBOCLSA-N 0 2 302.338 0.185 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001050890412 758215574 /nfs/dbraw/zinc/21/55/74/758215574.db2.gz GOCNMKHJDBNQKS-NSHDSACASA-N 0 2 321.337 0.043 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cncc(F)c1 ZINC001085542646 759018140 /nfs/dbraw/zinc/01/81/40/759018140.db2.gz CHRYSEUOVUOKFA-LLVKDONJSA-N 0 2 320.328 0.391 20 0 DCADLN Cc1[nH]ccc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085539217 759021843 /nfs/dbraw/zinc/02/18/43/759021843.db2.gz QYKYZKMGOOOTLE-SNVBAGLBSA-N 0 2 304.354 0.493 20 0 DCADLN CC[C@H](C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-])OC ZINC001085549893 759030036 /nfs/dbraw/zinc/03/00/36/759030036.db2.gz GUGUVIUSYXNMGI-VXGBXAGGSA-N 0 2 310.398 0.995 20 0 DCADLN CC[C@H](C[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-])OC ZINC001085549893 759030042 /nfs/dbraw/zinc/03/00/42/759030042.db2.gz GUGUVIUSYXNMGI-VXGBXAGGSA-N 0 2 310.398 0.995 20 0 DCADLN CCc1[nH]ccc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085579593 759087778 /nfs/dbraw/zinc/08/77/78/759087778.db2.gz QQMTUUXCDGAWKU-SNVBAGLBSA-N 0 2 318.381 0.747 20 0 DCADLN CCc1[nH]ccc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085579592 759088004 /nfs/dbraw/zinc/08/80/04/759088004.db2.gz QQMTUUXCDGAWKU-JTQLQIEISA-N 0 2 318.381 0.747 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccnc(Br)c1F ZINC001147934095 767875511 /nfs/dbraw/zinc/87/55/11/767875511.db2.gz NAHZPPGBFJZCDB-UHFFFAOYSA-N 0 2 316.090 0.737 20 0 DCADLN CC[C@H](F)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058388561 759948831 /nfs/dbraw/zinc/94/88/31/759948831.db2.gz ITNXIQAVLSAMGU-JGVFFNPUSA-N 0 2 320.258 0.572 20 0 DCADLN CC[C@H](F)C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001058388561 759948834 /nfs/dbraw/zinc/94/88/34/759948834.db2.gz ITNXIQAVLSAMGU-JGVFFNPUSA-N 0 2 320.258 0.572 20 0 DCADLN CCC(=O)N1CC2(C1)C[C@@H](NC(=O)C(F)C(F)(F)F)CO2 ZINC001068897090 760593867 /nfs/dbraw/zinc/59/38/67/760593867.db2.gz RWANIOGGVVQLBX-VXNVDRBHSA-N 0 2 312.263 0.783 20 0 DCADLN CCC(=O)N1CC2(C1)C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CO2 ZINC001068897090 760593870 /nfs/dbraw/zinc/59/38/70/760593870.db2.gz RWANIOGGVVQLBX-VXNVDRBHSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@H+](Cc1n[nH]c(=O)[n-]1)C2)c1cocn1 ZINC001098760024 762726569 /nfs/dbraw/zinc/72/65/69/762726569.db2.gz ZWTKEIFKTRUGOC-XPTSAGLGSA-N 0 2 318.337 0.283 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@@H+](Cc1n[nH]c(=O)[n-]1)C2)c1cocn1 ZINC001098760024 762726577 /nfs/dbraw/zinc/72/65/77/762726577.db2.gz ZWTKEIFKTRUGOC-XPTSAGLGSA-N 0 2 318.337 0.283 20 0 DCADLN CO[C@H](C(=O)N[C@H](C)c1nn(C)cc1O)C(=O)OC(C)(C)C ZINC001158307664 763724969 /nfs/dbraw/zinc/72/49/69/763724969.db2.gz QVUZNIMTIATTDI-LDYMZIIASA-N 0 2 313.354 0.660 20 0 DCADLN COc1cc(C(=O)Nc2ncn(Cc3cccnc3)n2)on1 ZINC001116639202 765890750 /nfs/dbraw/zinc/89/07/50/765890750.db2.gz QBOTZYXWDHBJTI-UHFFFAOYSA-N 0 2 300.278 0.970 20 0 DCADLN CC(C)OCCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001135737340 766075401 /nfs/dbraw/zinc/07/54/01/766075401.db2.gz MZNBLLPHZUTSML-UHFFFAOYSA-N 0 2 320.349 0.811 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2(Nc3cc[nH+]c(C)n3)CC2)c1[O-] ZINC001110107126 766509512 /nfs/dbraw/zinc/50/95/12/766509512.db2.gz VYESTRRBPPWIBW-UHFFFAOYSA-N 0 2 302.338 0.897 20 0 DCADLN CC(C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149108668 767390368 /nfs/dbraw/zinc/39/03/68/767390368.db2.gz CXUUCTGWWYOHDR-LLVKDONJSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(C=C1CCC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149560670 768782621 /nfs/dbraw/zinc/78/26/21/768782621.db2.gz VKFZMVJHYIZZBD-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149694757 768822473 /nfs/dbraw/zinc/82/24/73/768822473.db2.gz UDEMQZNTMAUMIZ-VNKGSWCUSA-N 0 2 323.397 0.574 20 0 DCADLN CC1(CC(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCCO2)CC1 ZINC001149992194 768922506 /nfs/dbraw/zinc/92/25/06/768922506.db2.gz LFMDALKSBNWNEQ-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CC[C@@H](C)C[NH+]1CC(n2cc(CNC(=O)c3nc[nH]n3)nn2)C1 ZINC001094327867 768987467 /nfs/dbraw/zinc/98/74/67/768987467.db2.gz RTPFDOWZFLBKFH-SNVBAGLBSA-N 0 2 318.385 0.229 20 0 DCADLN CCCCOCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231369549 769239864 /nfs/dbraw/zinc/23/98/64/769239864.db2.gz VBBPPBVFPCCEGD-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CN1CCN(C(=O)C2CC[NH+](Cc3cncc(O)c3)CC2)CC1 ZINC001232042633 769296754 /nfs/dbraw/zinc/29/67/54/769296754.db2.gz UUBAKSXWMNJVKF-UHFFFAOYSA-N 0 2 318.421 0.773 20 0 DCADLN O=C(NCCCNc1nccn2nnnc12)C(F)C(F)(F)F ZINC001094845814 769377994 /nfs/dbraw/zinc/37/79/94/769377994.db2.gz IMXYZIGCGUAZAX-LURJTMIESA-N 0 2 321.238 0.338 20 0 DCADLN O=C(NCCCNc1nccn2nnnc12)[C@H](F)C(F)(F)F ZINC001094845814 769378000 /nfs/dbraw/zinc/37/80/00/769378000.db2.gz IMXYZIGCGUAZAX-LURJTMIESA-N 0 2 321.238 0.338 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@H]1CCCCO1 ZINC001233664796 769422406 /nfs/dbraw/zinc/42/24/06/769422406.db2.gz WWYNNIRLEIWFRT-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN CO[C@@H](C)CCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233827719 769465899 /nfs/dbraw/zinc/46/58/99/769465899.db2.gz KTTVLDBCTWZVFJ-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CC[N@H+](Cc1cn(C)nn1)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152835678 769651627 /nfs/dbraw/zinc/65/16/27/769651627.db2.gz UIHQMMNMJBAGKM-SECBINFHSA-N 0 2 321.385 0.193 20 0 DCADLN CC[N@@H+](Cc1cn(C)nn1)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152835678 769651629 /nfs/dbraw/zinc/65/16/29/769651629.db2.gz UIHQMMNMJBAGKM-SECBINFHSA-N 0 2 321.385 0.193 20 0 DCADLN CC1(C)OCC(NC(=O)c2c[nH]c3cccnc3c2=O)CO1 ZINC001153861438 769968817 /nfs/dbraw/zinc/96/88/17/769968817.db2.gz CNBUMBVKGJBMIG-UHFFFAOYSA-N 0 2 303.318 0.804 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](NC(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153861422 769970156 /nfs/dbraw/zinc/97/01/56/769970156.db2.gz BUEDEAWLNLCDKS-VHSXEESVSA-N 0 2 315.329 0.995 20 0 DCADLN C/C=C\C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OCC ZINC001153942801 770012450 /nfs/dbraw/zinc/01/24/50/770012450.db2.gz FFUPPGPTKCSNIZ-ATBIPZOJSA-N 0 2 313.379 0.557 20 0 DCADLN CC(C)Cc1nc(C[NH2+]CCCNC(=O)C[NH+]2CCCC2)no1 ZINC001176118013 770037024 /nfs/dbraw/zinc/03/70/24/770037024.db2.gz HLGFESANJBDOOR-UHFFFAOYSA-N 0 2 323.441 0.960 20 0 DCADLN O=C(Nc1n[nH]c2nccnc12)c1ccc2c(=O)[nH][nH]c(=O)c2c1 ZINC001154310420 770135609 /nfs/dbraw/zinc/13/56/09/770135609.db2.gz KUHULZMBRJFJFC-UHFFFAOYSA-N 0 2 323.272 0.911 20 0 DCADLN O=C(Cc1c[nH]c[nH+]1)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001176831935 770181946 /nfs/dbraw/zinc/18/19/46/770181946.db2.gz FNNJESYIDOCDQL-UHFFFAOYSA-N 0 2 306.303 0.023 20 0 DCADLN COC(=O)c1cc2cc(NC(=O)Cc3nn[nH]n3)c[nH]c-2n1 ZINC001176837852 770184731 /nfs/dbraw/zinc/18/47/31/770184731.db2.gz KCGHEKRWJHJMMK-UHFFFAOYSA-N 0 2 301.266 0.044 20 0 DCADLN COC(=O)c1cccc2n[nH]c(C(=O)NCc3n[nH]c(=O)[nH]3)c21 ZINC001154608572 770240547 /nfs/dbraw/zinc/24/05/47/770240547.db2.gz DQBCBMCLPZJNST-UHFFFAOYSA-N 0 2 316.277 0.103 20 0 DCADLN Cc1cc(N2CCC(C(=O)NCc3n[nH]c(=O)[nH]3)CC2)ncn1 ZINC001177562316 770494998 /nfs/dbraw/zinc/49/49/98/770494998.db2.gz WRYSHFVLEYAUIX-UHFFFAOYSA-N 0 2 317.353 0.142 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H]1CCCN1Cc1ccco1 ZINC001177627595 770514933 /nfs/dbraw/zinc/51/49/33/770514933.db2.gz JJZLPBUSJAUVCT-SKDRFNHKSA-N 0 2 309.347 0.669 20 0 DCADLN O=C(Nc1cncc(N2CCOCC2)n1)C(CO)C(F)(F)F ZINC001183281303 771626847 /nfs/dbraw/zinc/62/68/47/771626847.db2.gz ZZZKBFNIXJJZLP-MRVPVSSYSA-N 0 2 320.271 0.423 20 0 DCADLN O=C(Nc1cncc(N2CCOCC2)n1)[C@@H](CO)C(F)(F)F ZINC001183281303 771626850 /nfs/dbraw/zinc/62/68/50/771626850.db2.gz ZZZKBFNIXJJZLP-MRVPVSSYSA-N 0 2 320.271 0.423 20 0 DCADLN COC(=O)c1ccc(OC)nc1NC(=O)C(CO)C(F)(F)F ZINC001183279703 771626929 /nfs/dbraw/zinc/62/69/29/771626929.db2.gz NDQKCWGSWXRAHM-ZETCQYMHSA-N 0 2 322.239 0.986 20 0 DCADLN COC(=O)c1ccc(OC)nc1NC(=O)[C@H](CO)C(F)(F)F ZINC001183279703 771626932 /nfs/dbraw/zinc/62/69/32/771626932.db2.gz NDQKCWGSWXRAHM-ZETCQYMHSA-N 0 2 322.239 0.986 20 0 DCADLN COCCn1nccc1NS(=O)(=O)c1cnc(Cl)nc1 ZINC001185004304 771850487 /nfs/dbraw/zinc/85/04/87/771850487.db2.gz NNDGUMZXPJUFQZ-UHFFFAOYSA-N 0 2 317.758 0.774 20 0 DCADLN COCc1[nH]nc2c1CN(C(=O)c1nc(SC)ncc1O)C2 ZINC001185168448 771872939 /nfs/dbraw/zinc/87/29/39/771872939.db2.gz SSWFTICGWQFUJU-UHFFFAOYSA-N 0 2 321.362 0.930 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc(Cl)nc2)S1 ZINC001185695078 771944049 /nfs/dbraw/zinc/94/40/49/771944049.db2.gz KRWDCYYENUXCEL-SSDOTTSWSA-N 0 2 307.740 0.322 20 0 DCADLN CCO[C@@H](CC)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187108738 772132374 /nfs/dbraw/zinc/13/23/74/772132374.db2.gz SOIRFTMWJGUKSB-TUAOUCFPSA-N 0 2 323.397 0.358 20 0 DCADLN CCO[C@@H](CC)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187108738 772132378 /nfs/dbraw/zinc/13/23/78/772132378.db2.gz SOIRFTMWJGUKSB-TUAOUCFPSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccccc1C(=O)N1CCCC1 ZINC001187144084 772140951 /nfs/dbraw/zinc/14/09/51/772140951.db2.gz AKWVHCRJVYYUSE-UHFFFAOYSA-N 0 2 315.333 0.676 20 0 DCADLN CC(C)(C)OC(=O)C[C@H]1C[C@@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC001187212579 772156208 /nfs/dbraw/zinc/15/62/08/772156208.db2.gz IDHUFUGJQNEHKQ-DTORHVGOSA-N 0 2 310.354 0.885 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001187291608 772161513 /nfs/dbraw/zinc/16/15/13/772161513.db2.gz WVASWVSAHUUQQB-CYBMUJFWSA-N 0 2 321.425 0.979 20 0 DCADLN CCOCCS(=O)(=O)Nc1cn(C)c(C(=O)OCC)n1 ZINC001187250971 772162293 /nfs/dbraw/zinc/16/22/93/772162293.db2.gz WBUIYBMEWGXAQQ-UHFFFAOYSA-N 0 2 305.356 0.375 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccc(N2CCOCC2)c1 ZINC001187411962 772181325 /nfs/dbraw/zinc/18/13/25/772181325.db2.gz UWDRJYGFLOAWMC-ZDUSSCGKSA-N 0 2 321.358 0.562 20 0 DCADLN Cn1ncc(Br)c1NS(=O)(=O)c1ncc[nH]1 ZINC001190686413 772664778 /nfs/dbraw/zinc/66/47/78/772664778.db2.gz JJEXQUQJUUMUAJ-UHFFFAOYSA-N 0 2 306.145 0.707 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]1c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001192208199 772884905 /nfs/dbraw/zinc/88/49/05/772884905.db2.gz CAUYVLMMGLVEEZ-GHMZBOCLSA-N 0 2 316.317 0.717 20 0 DCADLN C[C@]1(CO)CN(C(=O)c2c(F)ccc(F)c2O)CC[C@@H]1O ZINC001192693494 772948827 /nfs/dbraw/zinc/94/88/27/772948827.db2.gz KCUJLEQMKTVGIS-IINYFYTJSA-N 0 2 301.289 0.876 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2ccc(F)c(F)c2O)CCN1C ZINC001192806704 772962898 /nfs/dbraw/zinc/96/28/98/772962898.db2.gz IRJKRSSJWVEDES-SNVBAGLBSA-N 0 2 314.288 0.600 20 0 DCADLN O=C(NC1CC2(C1)CS(=O)(=O)C2)c1cc(F)c(O)cc1F ZINC001192877174 772967405 /nfs/dbraw/zinc/96/74/05/772967405.db2.gz REQBHIMMRWYLRT-UHFFFAOYSA-N 0 2 317.313 0.977 20 0 DCADLN O=C(N[C@@H]1COCCC12OCCO2)c1ccc(O)c(F)c1F ZINC001192843512 772968995 /nfs/dbraw/zinc/96/89/95/772968995.db2.gz XFFXBHSCWYQXQE-SNVBAGLBSA-N 0 2 315.272 0.932 20 0 DCADLN C[C@@]1(CO)CCN(C(=O)c2cc(F)c(O)cc2F)C[C@@H]1O ZINC001192860327 772971147 /nfs/dbraw/zinc/97/11/47/772971147.db2.gz RROOZADBFDOBMC-JSGCOSHPSA-N 0 2 301.289 0.876 20 0 DCADLN Nc1ccc(N)c(S(=O)(=O)Nc2cnn([C@@H]3CCOC3)c2)c1 ZINC001205221817 772983655 /nfs/dbraw/zinc/98/36/55/772983655.db2.gz FWTMGXPZERXNBU-LLVKDONJSA-N 0 2 323.378 0.810 20 0 DCADLN O=S(=O)(C[C@@H]1CCCO1)Nc1cc2n(n1)CC1(CC1)CO2 ZINC001193214270 773025887 /nfs/dbraw/zinc/02/58/87/773025887.db2.gz WIEPOISKSNMWLS-JTQLQIEISA-N 0 2 313.379 0.976 20 0 DCADLN Cc1nnc(CN2C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001207029680 773163699 /nfs/dbraw/zinc/16/36/99/773163699.db2.gz NOPFPUAAABFMSR-GTNGPMTGSA-N 0 2 323.294 0.950 20 0 DCADLN CC[NH+](C)C(C)(C)C(=O)N1CCC[N@@H+]([C@H]2CCN(C)C2=O)CC1 ZINC001196342199 773580795 /nfs/dbraw/zinc/58/07/95/773580795.db2.gz ZKPGTZISSZPBKW-AWEZNQCLSA-N 0 2 324.469 0.482 20 0 DCADLN COC(=O)c1cncc(NS(=O)(=O)Cc2ccccn2)n1 ZINC001197782181 773800305 /nfs/dbraw/zinc/80/03/05/773800305.db2.gz FXZRJCXLBHFTEP-UHFFFAOYSA-N 0 2 308.319 0.600 20 0 DCADLN C=C/C(C)=C\CC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001213294835 773830222 /nfs/dbraw/zinc/83/02/22/773830222.db2.gz HIBCQZTZSUGNOJ-XRHVUQDBSA-N 0 2 321.381 0.348 20 0 DCADLN C[C@H]1CCc2c(C(=O)Nc3[nH]c(=O)nc4[nH]c(=O)[nH]c43)n[nH]c21 ZINC001198461582 773927301 /nfs/dbraw/zinc/92/73/01/773927301.db2.gz DRIMPHYMGUVMEG-BYPYZUCNSA-N 0 2 315.293 0.741 20 0 DCADLN NC(=O)[N-]S(=O)(=O)c1ccc(Nc2ccc(N)[nH+]c2)cc1 ZINC001201292371 774477803 /nfs/dbraw/zinc/47/78/03/774477803.db2.gz WPFCQOQYGQODSS-UHFFFAOYSA-N 0 2 307.335 0.764 20 0 DCADLN Cc1nc(C)c(C[N@@H+]2C[C@@H](NC(=O)C[NH+](C)C(C)C)[C@@H](O)C2)o1 ZINC001221089727 774993179 /nfs/dbraw/zinc/99/31/79/774993179.db2.gz OYDLNNWYWHEMGX-KGLIPLIRSA-N 0 2 324.425 0.293 20 0 DCADLN CC[C@@H](C)CC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221510752 775133436 /nfs/dbraw/zinc/13/34/36/775133436.db2.gz FDLHROABIOVYIO-IJLUTSLNSA-N 0 2 307.398 0.979 20 0 DCADLN COCCCCO[C@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001224319654 775558522 /nfs/dbraw/zinc/55/85/22/775558522.db2.gz JRWPPMRNGAOFRI-GFCCVEGCSA-N 0 2 311.400 0.018 20 0 DCADLN CN(CCNC(=O)c1[nH]ncc1F)c1cc(Cl)c(=O)[nH]n1 ZINC001100310774 775666618 /nfs/dbraw/zinc/66/66/18/775666618.db2.gz JXCTXCIKNUHMHL-UHFFFAOYSA-N 0 2 314.708 0.564 20 0 DCADLN C[C@H](c1ccccn1)[N@@H+]1CCC[C@@H](Oc2c([O-])c(=O)c2=O)C1 ZINC001226607543 775845707 /nfs/dbraw/zinc/84/57/07/775845707.db2.gz RRSRDBGFRODTEH-GHMZBOCLSA-N 0 2 302.330 0.988 20 0 DCADLN C[C@H](c1ccccn1)[N@H+]1CCC[C@@H](Oc2c([O-])c(=O)c2=O)C1 ZINC001226607543 775845714 /nfs/dbraw/zinc/84/57/14/775845714.db2.gz RRSRDBGFRODTEH-GHMZBOCLSA-N 0 2 302.330 0.988 20 0 DCADLN CC[N@@H+]1CCC[C@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226887123 775890475 /nfs/dbraw/zinc/89/04/75/775890475.db2.gz ZTGPAEKSQFZFJL-ZETCQYMHSA-N 0 2 307.310 0.400 20 0 DCADLN CC[N@H+]1CCC[C@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226887123 775890483 /nfs/dbraw/zinc/89/04/83/775890483.db2.gz ZTGPAEKSQFZFJL-ZETCQYMHSA-N 0 2 307.310 0.400 20 0 DCADLN CC1=C[C@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)CC(=O)O1 ZINC001226888080 775891883 /nfs/dbraw/zinc/89/18/83/775891883.db2.gz OHNXOOMNDQHFMA-YFKPBYRVSA-N 0 2 306.234 0.135 20 0 DCADLN CC[C@H](SC)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226917758 775896789 /nfs/dbraw/zinc/89/67/89/775896789.db2.gz ZJLGNUPXLVDAAS-JTQLQIEISA-N 0 2 313.427 0.733 20 0 DCADLN Cn1ncc(CN2CCC(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001227474352 775976648 /nfs/dbraw/zinc/97/66/48/775976648.db2.gz PQDLPDSWCBTPNI-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CCC(NC(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001227474352 775976657 /nfs/dbraw/zinc/97/66/57/775976657.db2.gz PQDLPDSWCBTPNI-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN O=C([O-])[C@@]1(C(F)(F)F)CC[N@H+](CN2C(=O)[C@@H]3CC[C@@H]3C2=O)C1 ZINC001602663015 1169153441 /nfs/dbraw/zinc/15/34/41/1169153441.db2.gz KFUYTWJFHAJKKS-RGNHYFCHSA-N 0 2 320.267 0.678 20 0 DCADLN O=C([O-])[C@@]1(C(F)(F)F)CC[N@@H+](CN2C(=O)[C@@H]3CC[C@@H]3C2=O)C1 ZINC001602663015 1169153445 /nfs/dbraw/zinc/15/34/45/1169153445.db2.gz KFUYTWJFHAJKKS-RGNHYFCHSA-N 0 2 320.267 0.678 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc2cc[nH]c2n1)Cc1n[nH]c(=O)[n-]1 ZINC001480877200 939136674 /nfs/dbraw/zinc/13/66/74/939136674.db2.gz YPVAQMJMPUKGGL-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc2cc[nH]c2n1)Cc1n[nH]c(=O)[n-]1 ZINC001480877200 939136677 /nfs/dbraw/zinc/13/66/77/939136677.db2.gz YPVAQMJMPUKGGL-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)n1cccn1 ZINC001481160006 939589700 /nfs/dbraw/zinc/58/97/00/939589700.db2.gz SOJRNFZVLATEMO-JTQLQIEISA-N 0 2 319.369 0.049 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)n1cccn1 ZINC001481160006 939589702 /nfs/dbraw/zinc/58/97/02/939589702.db2.gz SOJRNFZVLATEMO-JTQLQIEISA-N 0 2 319.369 0.049 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1C[C@H]1C1CC1 ZINC001481189206 939602194 /nfs/dbraw/zinc/60/21/94/939602194.db2.gz DIPPNPLYUBOFOJ-NWDGAFQWSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1C[C@H]1C1CC1 ZINC001481189206 939602196 /nfs/dbraw/zinc/60/21/96/939602196.db2.gz DIPPNPLYUBOFOJ-NWDGAFQWSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001481648118 940007455 /nfs/dbraw/zinc/00/74/55/940007455.db2.gz NETWQUOXEQOAOS-SNVBAGLBSA-N 0 2 319.365 0.763 20 0 DCADLN CCCSCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001481666106 940022644 /nfs/dbraw/zinc/02/26/44/940022644.db2.gz CQIQMQLTAMZMOM-UWVGGRQHSA-N 0 2 311.411 0.439 20 0 DCADLN CC(=O)[N-]S(=O)(=O)c1ccc(-c2c[nH+]c(N)c(C)c2)nc1 ZINC001245538618 945291356 /nfs/dbraw/zinc/29/13/56/945291356.db2.gz QLMYFOCTYTYIOF-UHFFFAOYSA-N 0 2 306.347 0.859 20 0 DCADLN CCN(CC[NH2+]Cc1nocc1C)C(=O)c1n[nH]c(C)c1[O-] ZINC001268444155 940124423 /nfs/dbraw/zinc/12/44/23/940124423.db2.gz IPAFLCZMUSJHNF-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN C[NH+]1CCCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](CCOCC(F)F)C1 ZINC001269155838 940635149 /nfs/dbraw/zinc/63/51/49/940635149.db2.gz FNOCCKKQLOFIKE-OLZOCXBDSA-N 0 2 319.396 0.943 20 0 DCADLN C[N@@H+]1CCC12CN(C(=O)c1cc(S(=O)(=O)[O-])ccc1O)C2 ZINC001270200450 940855004 /nfs/dbraw/zinc/85/50/04/940855004.db2.gz PNTJTTFRSNELIV-UHFFFAOYSA-N 0 2 312.347 0.169 20 0 DCADLN C[N@H+]1CCC12CN(C(=O)c1cc(S(=O)(=O)[O-])ccc1O)C2 ZINC001270200450 940855007 /nfs/dbraw/zinc/85/50/07/940855007.db2.gz PNTJTTFRSNELIV-UHFFFAOYSA-N 0 2 312.347 0.169 20 0 DCADLN COCC[C@@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409061896 941301813 /nfs/dbraw/zinc/30/18/13/941301813.db2.gz XUYRJQUBRDBPBD-VXNVDRBHSA-N 0 2 314.279 0.886 20 0 DCADLN COCC[C@@H](C)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409061896 941301814 /nfs/dbraw/zinc/30/18/14/941301814.db2.gz XUYRJQUBRDBPBD-VXNVDRBHSA-N 0 2 314.279 0.886 20 0 DCADLN COCC[C@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409061895 941301876 /nfs/dbraw/zinc/30/18/76/941301876.db2.gz XUYRJQUBRDBPBD-IONNQARKSA-N 0 2 314.279 0.886 20 0 DCADLN COCC[C@H](C)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409061895 941301877 /nfs/dbraw/zinc/30/18/77/941301877.db2.gz XUYRJQUBRDBPBD-IONNQARKSA-N 0 2 314.279 0.886 20 0 DCADLN CN1CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C2(CCCCC2)C1 ZINC001610950524 970799857 /nfs/dbraw/zinc/79/98/57/970799857.db2.gz ARLDZYWMUXDOTM-UHFFFAOYSA-N 0 2 318.439 0.436 20 0 DCADLN CN1CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C2(CCCCC2)C1 ZINC001610950524 970799863 /nfs/dbraw/zinc/79/98/63/970799863.db2.gz ARLDZYWMUXDOTM-UHFFFAOYSA-N 0 2 318.439 0.436 20 0 DCADLN C[N@@H+]1CCN(CCS(=O)(=O)CC(=O)[O-])C2(CCCCC2)C1 ZINC001610950524 970799868 /nfs/dbraw/zinc/79/98/68/970799868.db2.gz ARLDZYWMUXDOTM-UHFFFAOYSA-N 0 2 318.439 0.436 20 0 DCADLN C[N@H+]1CCN(CCS(=O)(=O)CC(=O)[O-])C2(CCCCC2)C1 ZINC001610950524 970799873 /nfs/dbraw/zinc/79/98/73/970799873.db2.gz ARLDZYWMUXDOTM-UHFFFAOYSA-N 0 2 318.439 0.436 20 0 DCADLN C[C@H](CNC(=O)CCc1ccon1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409592938 942073716 /nfs/dbraw/zinc/07/37/16/942073716.db2.gz VEPDDVUMZGDUON-SECBINFHSA-N 0 2 308.342 0.068 20 0 DCADLN C[C@H](CNC(=O)CCc1ccon1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409592938 942073718 /nfs/dbraw/zinc/07/37/18/942073718.db2.gz VEPDDVUMZGDUON-SECBINFHSA-N 0 2 308.342 0.068 20 0 DCADLN COc1nc(NS(=O)(=O)c2c(C)noc2C)cc(=O)[nH]1 ZINC001258511377 942078309 /nfs/dbraw/zinc/07/83/09/942078309.db2.gz XIGRTTLQAZPHIC-UHFFFAOYSA-N 0 2 300.296 0.596 20 0 DCADLN Cc1ccccc1CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409674812 942138281 /nfs/dbraw/zinc/13/82/81/942138281.db2.gz FWGAMPPGVWUSLJ-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1ccccc1CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409674812 942138283 /nfs/dbraw/zinc/13/82/83/942138283.db2.gz FWGAMPPGVWUSLJ-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN CCn1nncc1C[N@H+](C)C[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001483325034 942267724 /nfs/dbraw/zinc/26/77/24/942267724.db2.gz DPZKKKWRLXRONM-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCn1nncc1C[N@@H+](C)C[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001483325034 942267728 /nfs/dbraw/zinc/26/77/28/942267728.db2.gz DPZKKKWRLXRONM-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCC[C@@H](OCC)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001483674078 942654001 /nfs/dbraw/zinc/65/40/01/942654001.db2.gz WRZXZOGOBCCPJL-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CSCc1nc(CNS(=O)(=O)c2conc2C)n[nH]1 ZINC001413443085 942980898 /nfs/dbraw/zinc/98/08/98/942980898.db2.gz BCTXNOJKDHRWLF-UHFFFAOYSA-N 0 2 303.369 0.443 20 0 DCADLN CC(=O)N1CCC[C@@](CO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001055976600 943157110 /nfs/dbraw/zinc/15/71/10/943157110.db2.gz JLTLGNSHWQMEKP-PSASIEDQSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N1CCC[C@@](CO)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001055976600 943157113 /nfs/dbraw/zinc/15/71/13/943157113.db2.gz JLTLGNSHWQMEKP-PSASIEDQSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(Cn1cc[nH+]c1)N(CCO)CC[NH2+]Cc1cncc(F)c1 ZINC001484501571 943432669 /nfs/dbraw/zinc/43/26/69/943432669.db2.gz AIMFIJDWYKXHSX-UHFFFAOYSA-N 0 2 321.356 0.028 20 0 DCADLN Cc1cc(C)nc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001413669707 943561375 /nfs/dbraw/zinc/56/13/75/943561375.db2.gz CZLNPKKGRKECSS-UHFFFAOYSA-N 0 2 323.378 0.291 20 0 DCADLN Cc1cc(C[N@@H+](C)[C@H](C)CNC(=O)CCc2cn[nH]n2)ncn1 ZINC001485351538 943866844 /nfs/dbraw/zinc/86/68/44/943866844.db2.gz FUGSZAKVUPZKSR-GFCCVEGCSA-N 0 2 317.397 0.472 20 0 DCADLN CC(C)COCC[NH+]1CC([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001485537900 943986017 /nfs/dbraw/zinc/98/60/17/943986017.db2.gz BDTIENZIDVDJGC-AWEZNQCLSA-N 0 2 308.426 0.992 20 0 DCADLN C[C@@H](NC(=O)Cc1ccc[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485536536 943993042 /nfs/dbraw/zinc/99/30/42/943993042.db2.gz AGCUWGICUIZAKE-SECBINFHSA-N 0 2 304.354 0.018 20 0 DCADLN C[C@H](C[S@](C)=O)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001413779479 944086570 /nfs/dbraw/zinc/08/65/70/944086570.db2.gz OOHVYXZAZMWITP-HORACTTISA-N 0 2 315.399 0.166 20 0 DCADLN NC(=O)c1cn2c(n1)CN(C(=O)c1ccc(F)c(F)c1O)CC2 ZINC001276859132 944332366 /nfs/dbraw/zinc/33/23/66/944332366.db2.gz YHJSLMUNVZKEFL-UHFFFAOYSA-N 0 2 322.271 0.622 20 0 DCADLN CO[C@H](C)c1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001320052729 945729608 /nfs/dbraw/zinc/72/96/08/945729608.db2.gz ROGSMRURKPECLJ-MRVPVSSYSA-N 0 2 319.321 0.473 20 0 DCADLN CCn1nccc1S(=O)(=O)NNc1nncc(N)c1Cl ZINC001320454261 945885209 /nfs/dbraw/zinc/88/52/09/945885209.db2.gz SRUXPZQSHJQCLS-UHFFFAOYSA-N 0 2 317.762 0.234 20 0 DCADLN Cc1cnn(C)c1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001410441606 946380564 /nfs/dbraw/zinc/38/05/64/946380564.db2.gz LLHAHBGYVZZRKN-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cnn(C)c1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001410441606 946380573 /nfs/dbraw/zinc/38/05/73/946380573.db2.gz LLHAHBGYVZZRKN-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN CC(=O)NCCCCCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001322791782 946807002 /nfs/dbraw/zinc/80/70/02/946807002.db2.gz RIZBNFXWCOWWOB-LBPRGKRZSA-N 0 2 323.397 0.913 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)CCc2ccccc2)S1 ZINC001259739621 946979325 /nfs/dbraw/zinc/97/93/25/946979325.db2.gz YYXAOQLIMHSVLV-SNVBAGLBSA-N 0 2 300.361 0.458 20 0 DCADLN O=S(=O)(Nc1ccnn1C1COC1)c1cccc2nonc21 ZINC001260435797 947102279 /nfs/dbraw/zinc/10/22/79/947102279.db2.gz UNJLDHZBUMQCST-UHFFFAOYSA-N 0 2 321.318 0.791 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cccc2c1OCC[C@H]2O ZINC001260593061 947122111 /nfs/dbraw/zinc/12/21/11/947122111.db2.gz ZVOOXPGFIZATGM-SNVBAGLBSA-N 0 2 321.376 0.246 20 0 DCADLN CC(=O)N1CCC[C@H](CNS(=O)(=O)CC(F)(F)F)C1 ZINC000360202299 947132298 /nfs/dbraw/zinc/13/22/98/947132298.db2.gz JKJHQENIEKYJCO-SECBINFHSA-N 0 2 302.318 0.727 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1n[nH]c(=O)c2ccccc21 ZINC001324179345 947343068 /nfs/dbraw/zinc/34/30/68/947343068.db2.gz SLCOZIRSGYUFAI-UHFFFAOYSA-N 0 2 317.330 0.980 20 0 DCADLN O=C(NCCNc1ccc2nccnc2n1)C(F)C(F)(F)F ZINC001094182574 947798333 /nfs/dbraw/zinc/79/83/33/947798333.db2.gz HZBMUNYPXYFSCY-VIFPVBQESA-N 0 2 317.246 0.827 20 0 DCADLN O=C(NCCNc1ccc2nccnc2n1)[C@H](F)C(F)(F)F ZINC001094182574 947798340 /nfs/dbraw/zinc/79/83/40/947798340.db2.gz HZBMUNYPXYFSCY-VIFPVBQESA-N 0 2 317.246 0.827 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2ccnc(C)n2)cc1 ZINC001191571721 947985182 /nfs/dbraw/zinc/98/51/82/947985182.db2.gz BQZIUPDENUERQD-UHFFFAOYSA-N 0 2 306.347 0.945 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N1CC[C@@H](CC[NH2+]Cc2cnon2)C1 ZINC001326320757 948129168 /nfs/dbraw/zinc/12/91/68/948129168.db2.gz YYIYLTOHECKYQP-CYBMUJFWSA-N 0 2 318.381 0.603 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CCCC1=O ZINC001570917875 948565065 /nfs/dbraw/zinc/56/50/65/948565065.db2.gz HGVOLMJTVCCXLW-MRVPVSSYSA-N 0 2 316.321 0.375 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nn[nH]n2)cc1)c1nn(C)cc1O ZINC001570920021 948594376 /nfs/dbraw/zinc/59/43/76/948594376.db2.gz FIBXNAZNTQERBF-QMMMGPOBSA-N 0 2 313.321 0.797 20 0 DCADLN CC[C@@H]1OCC[C@H]1NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001570922136 948634514 /nfs/dbraw/zinc/63/45/14/948634514.db2.gz VRYXYHNFGOZHNB-ZJUUUORDSA-N 0 2 318.337 0.573 20 0 DCADLN O=S(=O)(c1ccc(-c2nn[nH]n2)o1)N1CCCc2[nH]ncc21 ZINC001570922809 948647260 /nfs/dbraw/zinc/64/72/60/948647260.db2.gz MTQBSOCJHQNRBG-UHFFFAOYSA-N 0 2 321.322 0.324 20 0 DCADLN CC[C@H](C[C@@H](C)O)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001570922995 948653632 /nfs/dbraw/zinc/65/36/32/948653632.db2.gz RLMUKTUUXAGIIL-RKDXNWHRSA-N 0 2 320.353 0.555 20 0 DCADLN CC(C)NC(=O)N1CCC[C@H]1C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001364393731 949179561 /nfs/dbraw/zinc/17/95/61/949179561.db2.gz BKLMGGFCCDXCGM-QWRGUYRKSA-N 0 2 323.397 0.885 20 0 DCADLN Cc1nccn1CC(=O)NC[C@H]1C[C@H]([NH2+]Cc2cnn(C)n2)C1 ZINC001488878882 949338751 /nfs/dbraw/zinc/33/87/51/949338751.db2.gz SQIGCGPXMRZPTM-JOCQHMNTSA-N 0 2 317.397 0.005 20 0 DCADLN CCC(O)(CC)C(C)(C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001364547045 949534070 /nfs/dbraw/zinc/53/40/70/949534070.db2.gz ZOXDYHZBBKFGJY-MRVPVSSYSA-N 0 2 301.412 0.989 20 0 DCADLN CCOC(=O)c1nnc(C[NH2+]Cc2ccnn2CC2CC2)[n-]1 ZINC001364746898 949866591 /nfs/dbraw/zinc/86/65/91/949866591.db2.gz JKFMGWMNZHMYMB-UHFFFAOYSA-N 0 2 304.354 0.878 20 0 DCADLN COc1cc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001365068499 950452571 /nfs/dbraw/zinc/45/25/71/950452571.db2.gz DUTRFFBWAPBLLS-ZETCQYMHSA-N 0 2 307.314 0.654 20 0 DCADLN C[C@@H](NC(=O)c1cc(=O)[nH]c(C2CC2)n1)c1nn(C)cc1O ZINC001365121351 950556875 /nfs/dbraw/zinc/55/68/75/950556875.db2.gz SGONJRFIJKSGAR-SSDOTTSWSA-N 0 2 303.322 0.990 20 0 DCADLN C[S@](=O)Cc1cccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC001330806430 950676760 /nfs/dbraw/zinc/67/67/60/950676760.db2.gz JKMSNCGRZXYAIZ-QFIPXVFZSA-N 0 2 322.390 0.751 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1ccnn1C ZINC001365242522 950801908 /nfs/dbraw/zinc/80/19/08/950801908.db2.gz PADJHRGIHGUSEP-ZJUUUORDSA-N 0 2 319.369 0.894 20 0 DCADLN CC(C)(C)[C@@H](Cn1cc[nH+]c1)NC(=O)c1cn(CC(=O)[O-])nn1 ZINC001602853005 971695861 /nfs/dbraw/zinc/69/58/61/971695861.db2.gz IFEWFKBTFCJZIF-LLVKDONJSA-N 0 2 320.353 0.404 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1CCO)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365379036 951044831 /nfs/dbraw/zinc/04/48/31/951044831.db2.gz MCYRIFCVMDCARA-IJLUTSLNSA-N 0 2 323.397 0.950 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)N[C@@H](C)C(F)(F)F)n[nH]1 ZINC001365442154 951133337 /nfs/dbraw/zinc/13/33/37/951133337.db2.gz FMSPLIFVVQDQSL-BYPYZUCNSA-N 0 2 301.246 0.425 20 0 DCADLN Cc1cnc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001273957976 951139218 /nfs/dbraw/zinc/13/92/18/951139218.db2.gz RTMVBZAYFSLIEW-ONEGZZNKSA-N 0 2 305.338 0.796 20 0 DCADLN CC(C)(F)CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC001365451069 951143077 /nfs/dbraw/zinc/14/30/77/951143077.db2.gz RGDPHNYOWKBTIG-UHFFFAOYSA-N 0 2 316.314 0.462 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc2c1CCNC2=O ZINC001365510233 951202647 /nfs/dbraw/zinc/20/26/47/951202647.db2.gz OMUJRAKADACKSR-UHFFFAOYSA-N 0 2 302.294 0.118 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001281092102 951272339 /nfs/dbraw/zinc/27/23/39/951272339.db2.gz WHHSZNIVGYOVPH-BDAKNGLRSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CC=CC1)[C@H](F)C(F)(F)F ZINC001281092102 951272355 /nfs/dbraw/zinc/27/23/55/951272355.db2.gz WHHSZNIVGYOVPH-BDAKNGLRSA-N 0 2 312.263 0.446 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CC1(C)CCOCC1 ZINC001365745683 951499451 /nfs/dbraw/zinc/49/94/51/951499451.db2.gz YVEXTDMAZIUKBF-UHFFFAOYSA-N 0 2 315.338 0.531 20 0 DCADLN Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)cn1 ZINC001365781793 951531730 /nfs/dbraw/zinc/53/17/30/951531730.db2.gz ULLPUMMCOOIMED-DTWKUNHWSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001365781793 951531735 /nfs/dbraw/zinc/53/17/35/951531735.db2.gz ULLPUMMCOOIMED-DTWKUNHWSA-N 0 2 322.262 0.651 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1[O-] ZINC001411390383 952087448 /nfs/dbraw/zinc/08/74/48/952087448.db2.gz WHNNDIDORGMRRA-JGVFFNPUSA-N 0 2 320.353 0.013 20 0 DCADLN C[N@H+]1C[C@H]2CN(C(=O)c3cc(F)c(F)c([O-])c3F)C[C@@H](C1)O2 ZINC001275368573 952087559 /nfs/dbraw/zinc/08/75/59/952087559.db2.gz VYSUNHFIAWXKIX-OCAPTIKFSA-N 0 2 316.279 0.965 20 0 DCADLN C[N@@H+]1C[C@H]2CN(C(=O)c3cc(F)c(F)c([O-])c3F)C[C@@H](C1)O2 ZINC001275368573 952087565 /nfs/dbraw/zinc/08/75/65/952087565.db2.gz VYSUNHFIAWXKIX-OCAPTIKFSA-N 0 2 316.279 0.965 20 0 DCADLN O=C([O-])Cn1ccc(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)n1 ZINC001595151681 952138262 /nfs/dbraw/zinc/13/82/62/952138262.db2.gz IRCZQZWOYFJYPI-UHFFFAOYSA-N 0 2 312.289 0.801 20 0 DCADLN CC(=O)c1ccc(O)c(C(=O)N2C[C@@H]3OCCN(C)[C@H]3C2)c1 ZINC001275525883 952230398 /nfs/dbraw/zinc/23/03/98/952230398.db2.gz ZJIGLKNRFKGAAM-ZFWWWQNUSA-N 0 2 304.346 0.750 20 0 DCADLN Cc1[nH]ncc1CCCNC(=O)CCC[C@]1(C)NC(=O)NC1=O ZINC001334357558 952295757 /nfs/dbraw/zinc/29/57/57/952295757.db2.gz FXWJLHQLQIDHQJ-HNNXBMFYSA-N 0 2 321.381 0.535 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)ncn1 ZINC001415476504 952334449 /nfs/dbraw/zinc/33/44/49/952334449.db2.gz TXGXCFSUNPWJGP-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001415476504 952334456 /nfs/dbraw/zinc/33/44/56/952334456.db2.gz TXGXCFSUNPWJGP-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)no1 ZINC000382623138 971827652 /nfs/dbraw/zinc/82/76/52/971827652.db2.gz GKWMZUDYNZDRSO-JTQLQIEISA-N 0 2 309.322 0.310 20 0 DCADLN O=C(NC1CCSCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001411738161 952418898 /nfs/dbraw/zinc/41/88/98/952418898.db2.gz VYQRXZPNIMCRET-UHFFFAOYSA-N 0 2 320.374 0.892 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC[C@@H]2CNC(=O)C2)cn1 ZINC001412556020 952895919 /nfs/dbraw/zinc/89/59/19/952895919.db2.gz XHIRSVYPLIPEMI-VIFPVBQESA-N 0 2 302.338 0.066 20 0 DCADLN O=C([C@H]1COCC[N@@H+]1C1CCCC1)N1CC([NH2+][C@@H]2CCOC2)C1 ZINC001412704128 953007699 /nfs/dbraw/zinc/00/76/99/953007699.db2.gz VZMGDUPMUPQXAN-CZUORRHYSA-N 0 2 323.437 0.219 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H]([NH2+][C@@H](CCC(=O)[O-])C(=O)OC)C1 ZINC001257038799 953054401 /nfs/dbraw/zinc/05/44/01/953054401.db2.gz SQONYVFCPWKWOA-VWYCJHECSA-N 0 2 301.339 0.714 20 0 DCADLN CO[C@@H]1CC[C@@H]1N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412791787 953094967 /nfs/dbraw/zinc/09/49/67/953094967.db2.gz OVIXSSSCWONJIW-NWDGAFQWSA-N 0 2 318.333 0.516 20 0 DCADLN O=C([O-])c1cccc(CNC(=O)C(=O)NCCn2cc[nH+]c2)c1 ZINC001594766253 953533502 /nfs/dbraw/zinc/53/35/02/953533502.db2.gz NXZMIIBWFPHBKP-UHFFFAOYSA-N 0 2 316.317 0.014 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001589290781 953600069 /nfs/dbraw/zinc/60/00/69/953600069.db2.gz ZDTJEJIEGKLLLC-IGQOVBAYSA-N 0 2 310.394 0.809 20 0 DCADLN C[C@@H](NC(=O)C[NH2+][C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1)C1CC1 ZINC001366096216 953677856 /nfs/dbraw/zinc/67/78/56/953677856.db2.gz AVPITUBQNYFGLJ-YPMHNXCESA-N 0 2 319.409 0.057 20 0 DCADLN C[C@@H]1CC[C@@H](C(=O)[O-])CN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001589310008 953780604 /nfs/dbraw/zinc/78/06/04/953780604.db2.gz BESMGSGKNQIPDW-GHMZBOCLSA-N 0 2 301.368 0.398 20 0 DCADLN C[C@H]1CCCN(S(=O)(=O)CCn2cc[nH+]c2)[C@H]1C(=O)[O-] ZINC001589333188 953962473 /nfs/dbraw/zinc/96/24/73/953962473.db2.gz KXLDTVHRKNAGIM-WDEREUQCSA-N 0 2 301.368 0.398 20 0 DCADLN Cc1cnc(C[NH2+][C@H](C)CCNC(=O)Cc2c[nH+]cn2C)cn1 ZINC001366498612 954281176 /nfs/dbraw/zinc/28/11/76/954281176.db2.gz ONAJQNBBEXAYLX-GFCCVEGCSA-N 0 2 316.409 0.746 20 0 DCADLN C[N@H+](CC(F)F)C1CCN(C(=O)[C@]2(C(=O)[O-])CCCO2)CC1 ZINC001593727998 954296407 /nfs/dbraw/zinc/29/64/07/954296407.db2.gz XGWZNGLTNHNMET-AWEZNQCLSA-N 0 2 320.336 0.808 20 0 DCADLN C[N@@H+](CC(F)F)C1CCN(C(=O)[C@]2(C(=O)[O-])CCCO2)CC1 ZINC001593727998 954296419 /nfs/dbraw/zinc/29/64/19/954296419.db2.gz XGWZNGLTNHNMET-AWEZNQCLSA-N 0 2 320.336 0.808 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[N@H+](C)Cc1cccc(C(N)=O)c1 ZINC001593760984 954491739 /nfs/dbraw/zinc/49/17/39/954491739.db2.gz GOMQCUQIMQPFRA-UHFFFAOYSA-N 0 2 302.334 0.849 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[N@@H+](C)Cc1cccc(C(N)=O)c1 ZINC001593760984 954491747 /nfs/dbraw/zinc/49/17/47/954491747.db2.gz GOMQCUQIMQPFRA-UHFFFAOYSA-N 0 2 302.334 0.849 20 0 DCADLN CC[N@H+](CCNC(=O)C1(C)CCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001366633067 954553253 /nfs/dbraw/zinc/55/32/53/954553253.db2.gz IMPHJLZHTZALIN-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)C1(C)CCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001366633067 954553263 /nfs/dbraw/zinc/55/32/63/954553263.db2.gz IMPHJLZHTZALIN-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001366650130 954587887 /nfs/dbraw/zinc/58/78/87/954587887.db2.gz DSUCOTMOHHPCNX-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001366650130 954587898 /nfs/dbraw/zinc/58/78/98/954587898.db2.gz DSUCOTMOHHPCNX-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1ccn(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001349163317 954623811 /nfs/dbraw/zinc/62/38/11/954623811.db2.gz LAAHIAXOKWHEFV-VIFPVBQESA-N 0 2 310.251 0.324 20 0 DCADLN Cc1ccn(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001349163317 954623816 /nfs/dbraw/zinc/62/38/16/954623816.db2.gz LAAHIAXOKWHEFV-VIFPVBQESA-N 0 2 310.251 0.324 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@@H](C(=O)[O-])C1 ZINC001593788491 954743041 /nfs/dbraw/zinc/74/30/41/954743041.db2.gz URZMRKAJZKQOMC-UONOGXRCSA-N 0 2 319.361 0.668 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@@H](C(=O)[O-])C1 ZINC001593788491 954743048 /nfs/dbraw/zinc/74/30/48/954743048.db2.gz URZMRKAJZKQOMC-UONOGXRCSA-N 0 2 319.361 0.668 20 0 DCADLN COCC1(CC(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001366889119 954998684 /nfs/dbraw/zinc/99/86/84/954998684.db2.gz WEMMXQVQCGVSFV-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CCC(F)F)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366918716 955057733 /nfs/dbraw/zinc/05/77/33/955057733.db2.gz GSVLAMZILKYVAR-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C)C(=O)[O-] ZINC001589538567 955183278 /nfs/dbraw/zinc/18/32/78/955183278.db2.gz QQFOWBRVNFAJCE-QJPTWQEYSA-N 0 2 301.387 0.506 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C)C(=O)[O-] ZINC001589538567 955183281 /nfs/dbraw/zinc/18/32/81/955183281.db2.gz QQFOWBRVNFAJCE-QJPTWQEYSA-N 0 2 301.387 0.506 20 0 DCADLN Cc1noc([C@@H]2CCC[N@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC001594502659 955337259 /nfs/dbraw/zinc/33/72/59/955337259.db2.gz MQGLFPGFSJGQAV-MNOVXSKESA-N 0 2 308.338 0.448 20 0 DCADLN Cc1noc([C@@H]2CCC[N@@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC001594502659 955337274 /nfs/dbraw/zinc/33/72/74/955337274.db2.gz MQGLFPGFSJGQAV-MNOVXSKESA-N 0 2 308.338 0.448 20 0 DCADLN Cn1c(C2CC2)nn(C[N@@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c1=S ZINC001594518141 955436515 /nfs/dbraw/zinc/43/65/15/955436515.db2.gz NQFNDMOQVICLCS-QMTHXVAHSA-N 0 2 324.406 0.819 20 0 DCADLN Cn1c(C2CC2)nn(C[N@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c1=S ZINC001594518141 955436519 /nfs/dbraw/zinc/43/65/19/955436519.db2.gz NQFNDMOQVICLCS-QMTHXVAHSA-N 0 2 324.406 0.819 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(=O)NC ZINC001285063318 955445499 /nfs/dbraw/zinc/44/54/99/955445499.db2.gz RSHLKACSYNIZNX-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CC(=O)NC ZINC001285063318 955445505 /nfs/dbraw/zinc/44/55/05/955445505.db2.gz RSHLKACSYNIZNX-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN COC(=O)Cc1cc[nH+]c(N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c1 ZINC001593853637 955479391 /nfs/dbraw/zinc/47/93/91/955479391.db2.gz NAROWXBYYKYREE-IAQYHMDHSA-N 0 2 306.318 0.335 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@]1(C(=O)[O-])CC1(C)C ZINC001593863955 955567021 /nfs/dbraw/zinc/56/70/21/955567021.db2.gz SLLXVLQHYXRDJJ-LKFCYVNXSA-N 0 2 309.322 0.111 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@]1(C(=O)[O-])CC1(C)C ZINC001593863955 955567037 /nfs/dbraw/zinc/56/70/37/955567037.db2.gz SLLXVLQHYXRDJJ-LKFCYVNXSA-N 0 2 309.322 0.111 20 0 DCADLN CN1C(=O)CN(NC(=O)C(F)(F)C2(O)CCCCC2)C1=O ZINC001545869485 1013136165 /nfs/dbraw/zinc/13/61/65/1013136165.db2.gz OWFAAEYAIMQTMT-UHFFFAOYSA-N 0 2 305.281 0.242 20 0 DCADLN CCOCC(=O)N(C)C[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001414104938 955822095 /nfs/dbraw/zinc/82/20/95/955822095.db2.gz VQFFGQBVSSHOGE-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N(C)C[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001414104938 955822096 /nfs/dbraw/zinc/82/20/96/955822096.db2.gz VQFFGQBVSSHOGE-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN COCCOCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001285716234 955931301 /nfs/dbraw/zinc/93/13/01/955931301.db2.gz JZYSEDAFTGXCFO-CBAPKCEASA-N 0 2 318.267 0.171 20 0 DCADLN COCCOCC(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001285716234 955931313 /nfs/dbraw/zinc/93/13/13/955931313.db2.gz JZYSEDAFTGXCFO-CBAPKCEASA-N 0 2 318.267 0.171 20 0 DCADLN C[C@@H](NC(=O)C[NH2+][C@@](C)(C(=O)[O-])c1ccccc1)C(=O)N(C)C ZINC001589096465 955989501 /nfs/dbraw/zinc/98/95/01/955989501.db2.gz YJDXUXSSGSINMP-BDJLRTHQSA-N 0 2 321.377 0.169 20 0 DCADLN C[C@](O)(CNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1)C(=O)[O-] ZINC001589138615 956251300 /nfs/dbraw/zinc/25/13/00/956251300.db2.gz GYBSJNKFPUEZKX-CJNGLKHVSA-N 0 2 321.377 0.388 20 0 DCADLN C[C@](O)(CNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1)C(=O)[O-] ZINC001589138615 956251315 /nfs/dbraw/zinc/25/13/15/956251315.db2.gz GYBSJNKFPUEZKX-CJNGLKHVSA-N 0 2 321.377 0.388 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C(=O)[O-])nc1 ZINC000388547120 972176052 /nfs/dbraw/zinc/17/60/52/972176052.db2.gz VKTSUCGUWPNCKD-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CSc1ccncc1 ZINC000037432571 957287456 /nfs/dbraw/zinc/28/74/56/957287456.db2.gz HRFCFTLNZXROOJ-LLVKDONJSA-N 0 2 306.347 0.709 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CSc1ccncc1 ZINC000037432571 957287466 /nfs/dbraw/zinc/28/74/66/957287466.db2.gz HRFCFTLNZXROOJ-LLVKDONJSA-N 0 2 306.347 0.709 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cncnc1 ZINC001357753249 957374066 /nfs/dbraw/zinc/37/40/66/957374066.db2.gz SDESJVJXASOUAC-JKERVTFKSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1cncnc1 ZINC001357753249 957374077 /nfs/dbraw/zinc/37/40/77/957374077.db2.gz SDESJVJXASOUAC-JKERVTFKSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cnco1 ZINC001357887474 957397594 /nfs/dbraw/zinc/39/75/94/957397594.db2.gz KGJWDQXMYIADKG-CMLYIYFCSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1cnco1 ZINC001357887474 957397601 /nfs/dbraw/zinc/39/76/01/957397601.db2.gz KGJWDQXMYIADKG-CMLYIYFCSA-N 0 2 309.219 0.977 20 0 DCADLN CC(C)(C(=O)[O-])C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001331951747 957740517 /nfs/dbraw/zinc/74/05/17/957740517.db2.gz IKGPEHYSSIHMFF-UHFFFAOYSA-N 0 2 306.366 0.274 20 0 DCADLN CC[C@H](C)[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)OC ZINC001361458576 957984564 /nfs/dbraw/zinc/98/45/64/957984564.db2.gz TXWPQPMUYBVRSE-GXSJLCMTSA-N 0 2 307.354 0.249 20 0 DCADLN CN1CC[C@@](C)(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001297019423 958093388 /nfs/dbraw/zinc/09/33/88/958093388.db2.gz KQXZFXFDGRVTNA-YMTOWFKASA-N 0 2 307.354 0.085 20 0 DCADLN CC(=O)CCCCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001297858004 958354320 /nfs/dbraw/zinc/35/43/20/958354320.db2.gz YABJWBKQNSVYBJ-UHFFFAOYSA-N 0 2 305.338 0.337 20 0 DCADLN Cc1ncc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)cn1 ZINC001362034174 958634135 /nfs/dbraw/zinc/63/41/35/958634135.db2.gz YWXYPEVZLANULG-UHFFFAOYSA-N 0 2 308.319 0.390 20 0 DCADLN CC(=O)N1CCC(NC(=O)C[N@@H+]([C@H](C)C(=O)[O-])C2CC2)CC1 ZINC001588494099 958664047 /nfs/dbraw/zinc/66/40/47/958664047.db2.gz GGSHOULKOUXIDY-SNVBAGLBSA-N 0 2 311.382 0.051 20 0 DCADLN CC(=O)N1CCC(NC(=O)C[N@H+]([C@H](C)C(=O)[O-])C2CC2)CC1 ZINC001588494099 958664054 /nfs/dbraw/zinc/66/40/54/958664054.db2.gz GGSHOULKOUXIDY-SNVBAGLBSA-N 0 2 311.382 0.051 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(C(F)(F)F)c(=O)[nH]1 ZINC001362061178 958674258 /nfs/dbraw/zinc/67/42/58/958674258.db2.gz FLVPGKNNDMSNJH-UHFFFAOYSA-N 0 2 303.200 0.560 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cncs1 ZINC001367864551 958740937 /nfs/dbraw/zinc/74/09/37/958740937.db2.gz GLUKISMETRYTAJ-MRVPVSSYSA-N 0 2 310.383 0.146 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cncs1 ZINC001367864551 958740956 /nfs/dbraw/zinc/74/09/56/958740956.db2.gz GLUKISMETRYTAJ-MRVPVSSYSA-N 0 2 310.383 0.146 20 0 DCADLN CC(C)CO[C@H](C)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367931491 958893026 /nfs/dbraw/zinc/89/30/26/958893026.db2.gz MJUBPFUILBQNHM-MNOVXSKESA-N 0 2 311.386 0.262 20 0 DCADLN CSc1ncc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)c(=O)[nH]1 ZINC001362268131 959035509 /nfs/dbraw/zinc/03/55/09/959035509.db2.gz JRXFMZYBSLZDKA-SSDOTTSWSA-N 0 2 321.366 0.437 20 0 DCADLN CC(C)(C)C(=O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362293915 959086442 /nfs/dbraw/zinc/08/64/42/959086442.db2.gz PKGLEWCCZBNWRF-UHFFFAOYSA-N 0 2 318.333 0.611 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)NCc2cnc[nH]2)c(Cl)o1 ZINC001362317746 959133699 /nfs/dbraw/zinc/13/36/99/959133699.db2.gz CAZWAZGEJPYHBH-UHFFFAOYSA-N 0 2 304.715 0.234 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2cnnn2C)C1 ZINC001368076401 959190876 /nfs/dbraw/zinc/19/08/76/959190876.db2.gz JUZXQGZVFBODBY-SECBINFHSA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2cnnn2C)C1 ZINC001368076401 959190884 /nfs/dbraw/zinc/19/08/84/959190884.db2.gz JUZXQGZVFBODBY-SECBINFHSA-N 0 2 309.267 0.358 20 0 DCADLN CCCNC(=O)CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001368077354 959194735 /nfs/dbraw/zinc/19/47/35/959194735.db2.gz RDHURGZQIVRXEQ-SNVBAGLBSA-N 0 2 313.295 0.556 20 0 DCADLN CCCNC(=O)CN1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001368077354 959194745 /nfs/dbraw/zinc/19/47/45/959194745.db2.gz RDHURGZQIVRXEQ-SNVBAGLBSA-N 0 2 313.295 0.556 20 0 DCADLN O=C(N[C@@H]1COC2(CN(CCF)C2)C1)C(F)C(F)(F)F ZINC001377084077 959444660 /nfs/dbraw/zinc/44/46/60/959444660.db2.gz UYALHAKPZWOOET-JGVFFNPUSA-N 0 2 302.243 0.816 20 0 DCADLN O=C(N[C@@H]1COC2(CN(CCF)C2)C1)[C@@H](F)C(F)(F)F ZINC001377084077 959444673 /nfs/dbraw/zinc/44/46/73/959444673.db2.gz UYALHAKPZWOOET-JGVFFNPUSA-N 0 2 302.243 0.816 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)C1(C(=O)[O-])CC1)C2 ZINC001594463494 959480171 /nfs/dbraw/zinc/48/01/71/959480171.db2.gz CXFNHFDJAGYTNJ-SNVBAGLBSA-N 0 2 307.350 0.022 20 0 DCADLN CC(C)(C(=O)[O-])n1ccc(NC(=O)N[C@@H]2Cc3c[nH+]cn3C2)n1 ZINC001588626515 959581081 /nfs/dbraw/zinc/58/10/81/959581081.db2.gz QCHXLRXRBMMNAF-SECBINFHSA-N 0 2 318.337 0.646 20 0 DCADLN CC(C)(C)[C@@H]1CCN(C(=O)C[C@H]2SC(=N)NC2=O)C[C@H]1O ZINC001362572447 959623335 /nfs/dbraw/zinc/62/33/35/959623335.db2.gz VPYQXJPDBYZALP-OPRDCNLKSA-N 0 2 313.423 0.798 20 0 DCADLN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362584959 959646277 /nfs/dbraw/zinc/64/62/77/959646277.db2.gz PTCALUGCDGLTSA-ZSBIGDGJSA-N 0 2 300.318 0.795 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cncnc2-n2cccn2)S1 ZINC001362612176 959699400 /nfs/dbraw/zinc/69/94/00/959699400.db2.gz KMKWUGWHUVUPDD-MRVPVSSYSA-N 0 2 317.334 0.157 20 0 DCADLN Cc1noc([C@H](C)[NH+]2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)n1 ZINC001594495959 959757645 /nfs/dbraw/zinc/75/76/45/959757645.db2.gz OADMLSSWHVKVGJ-GXSJLCMTSA-N 0 2 322.365 0.837 20 0 DCADLN CC[N@H+](CCNC(=O)c1oc(C)cc1C)Cc1n[nH]c(=O)[n-]1 ZINC001377295002 959877157 /nfs/dbraw/zinc/87/71/57/959877157.db2.gz LRZSTQVYNAUUQU-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN CC[N@@H+](CCNC(=O)c1oc(C)cc1C)Cc1n[nH]c(=O)[n-]1 ZINC001377295002 959877174 /nfs/dbraw/zinc/87/71/74/959877174.db2.gz LRZSTQVYNAUUQU-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362722970 959891312 /nfs/dbraw/zinc/89/13/12/959891312.db2.gz MIUYUIQMGSMQCK-GARJFASQSA-N 0 2 322.365 0.806 20 0 DCADLN CC[N@H+](CCNC(=O)C1(C(C)(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377337200 959944740 /nfs/dbraw/zinc/94/47/40/959944740.db2.gz PJCYZURUTBQKAG-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN CC[N@@H+](CCNC(=O)C1(C(C)(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377337200 959944749 /nfs/dbraw/zinc/94/47/49/959944749.db2.gz PJCYZURUTBQKAG-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN Cc1ccc(F)c(C(=O)NCCN(C)Cc2n[nH]c(=O)[nH]2)c1 ZINC001492430740 959947299 /nfs/dbraw/zinc/94/72/99/959947299.db2.gz KCPJIPIAFGATQG-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1ccc(F)c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001492430740 959947306 /nfs/dbraw/zinc/94/73/06/959947306.db2.gz KCPJIPIAFGATQG-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1ccc(F)c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001492430740 959947313 /nfs/dbraw/zinc/94/73/13/959947313.db2.gz KCPJIPIAFGATQG-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN CC[N@H+](CCNC(=O)c1ncccc1OC)Cc1n[nH]c(=O)[n-]1 ZINC001377361303 959975034 /nfs/dbraw/zinc/97/50/34/959975034.db2.gz IYDBJARUINGMRE-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ncccc1OC)Cc1n[nH]c(=O)[n-]1 ZINC001377361303 959975037 /nfs/dbraw/zinc/97/50/37/959975037.db2.gz IYDBJARUINGMRE-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cccs1 ZINC001377510246 960205699 /nfs/dbraw/zinc/20/56/99/960205699.db2.gz WSFNZTHYDXYKPT-UHFFFAOYSA-N 0 2 307.379 0.966 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cccs1 ZINC001377510246 960205710 /nfs/dbraw/zinc/20/57/10/960205710.db2.gz WSFNZTHYDXYKPT-UHFFFAOYSA-N 0 2 307.379 0.966 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001378247880 960424801 /nfs/dbraw/zinc/42/48/01/960424801.db2.gz CIDXZSCRXHVPHZ-CAHLUQPWSA-N 0 2 313.255 0.395 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)NC(=O)C(F)C(F)(F)F ZINC001377690523 960442369 /nfs/dbraw/zinc/44/23/69/960442369.db2.gz WIQHTDWCQVZBGF-BQBZGAKWSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001377690523 960442376 /nfs/dbraw/zinc/44/23/76/960442376.db2.gz WIQHTDWCQVZBGF-BQBZGAKWSA-N 0 2 308.235 0.612 20 0 DCADLN COC(=O)c1coc(CNC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC001363160946 960507244 /nfs/dbraw/zinc/50/72/44/960507244.db2.gz KMZKYASBKPZBLA-MRVPVSSYSA-N 0 2 311.319 0.239 20 0 DCADLN NC(=O)C1CN(C(=O)c2ccc(CNC(=O)C(F)(F)F)o2)C1 ZINC001363202600 960594534 /nfs/dbraw/zinc/59/45/34/960594534.db2.gz QUODOZSGJLUBKA-UHFFFAOYSA-N 0 2 319.239 0.015 20 0 DCADLN C[C@@H](Oc1ccccn1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571085585 961055269 /nfs/dbraw/zinc/05/52/69/961055269.db2.gz RDQXTSUDQSBKTF-KOLCDFICSA-N 0 2 304.306 0.384 20 0 DCADLN C[C@@H](Oc1ccccn1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571085585 961055289 /nfs/dbraw/zinc/05/52/89/961055289.db2.gz RDQXTSUDQSBKTF-KOLCDFICSA-N 0 2 304.306 0.384 20 0 DCADLN Cc1ccc(C(N)=O)c(NC(=O)CC2SC(=N)NC2=O)c1 ZINC001363462910 961208103 /nfs/dbraw/zinc/20/81/03/961208103.db2.gz HJKQXWFZYWDOTC-VIFPVBQESA-N 0 2 306.347 0.589 20 0 DCADLN C[C@H](CC(=O)[O-])CC(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001571111642 961336572 /nfs/dbraw/zinc/33/65/72/961336572.db2.gz CVQRUZBGSVJTJO-JQWIXIFHSA-N 0 2 312.366 0.383 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)N2CCOc3cc[nH+]cc32)CC1 ZINC001571112772 961360090 /nfs/dbraw/zinc/36/00/90/961360090.db2.gz RHNJXXWGNXEFAJ-UHFFFAOYSA-N 0 2 312.347 0.865 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CCCN(C)C(=O)[O-] ZINC001571112983 961365379 /nfs/dbraw/zinc/36/53/79/961365379.db2.gz UZGBFGJUEPZDLW-SNVBAGLBSA-N 0 2 312.326 0.000 20 0 DCADLN COC(=O)[C@H](CC1OCCO1)NC(=O)C(F)C(F)(F)F ZINC001363537194 961390083 /nfs/dbraw/zinc/39/00/83/961390083.db2.gz ZPWJZISMTYMJRV-FSPLSTOPSA-N 0 2 303.208 0.308 20 0 DCADLN COC(=O)[C@H](CC1OCCO1)NC(=O)[C@H](F)C(F)(F)F ZINC001363537194 961390097 /nfs/dbraw/zinc/39/00/97/961390097.db2.gz ZPWJZISMTYMJRV-FSPLSTOPSA-N 0 2 303.208 0.308 20 0 DCADLN COC[C@@H](O)C[N@H+](C)[C@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001378085219 961408195 /nfs/dbraw/zinc/40/81/95/961408195.db2.gz RWNAIUOACYQLIC-OLZOCXBDSA-N 0 2 320.393 0.392 20 0 DCADLN CC(C)(O)[C@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001363563973 961452774 /nfs/dbraw/zinc/45/27/74/961452774.db2.gz DEYAEKXEVBSOJY-JTQLQIEISA-N 0 2 319.365 0.081 20 0 DCADLN CN(C(=O)C1([NH+](C)C)CCC1)[C@@H]1CC[N@H+](Cc2ccnn2C)C1 ZINC001378141180 961512647 /nfs/dbraw/zinc/51/26/47/961512647.db2.gz CKKCJUOUQRCOTR-CQSZACIVSA-N 0 2 319.453 0.937 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363632859 961590591 /nfs/dbraw/zinc/59/05/91/961590591.db2.gz MZPODAJDIIOTFA-VIFPVBQESA-N 0 2 318.399 0.027 20 0 DCADLN CCc1nc(C)c(C[N@H+](C)[C@@H](C)CNC(=O)Cc2nnc[nH]2)o1 ZINC001378184705 961615884 /nfs/dbraw/zinc/61/58/84/961615884.db2.gz URQQXOGGTWKXQI-JTQLQIEISA-N 0 2 320.397 0.843 20 0 DCADLN Cc1nnccc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001363661737 961648527 /nfs/dbraw/zinc/64/85/27/961648527.db2.gz OHIUIUYZDFPFAR-UHFFFAOYSA-N 0 2 303.322 0.609 20 0 DCADLN CCCCS(=O)(=O)NCC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001363666745 961655104 /nfs/dbraw/zinc/65/51/04/961655104.db2.gz NHGQCBBFPNJMSD-VIFPVBQESA-N 0 2 318.399 0.022 20 0 DCADLN Cc1ccccc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363669990 961663095 /nfs/dbraw/zinc/66/30/95/961663095.db2.gz ATMQSYOAOWKXPD-UHFFFAOYSA-N 0 2 308.363 0.588 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC001334026470 961750977 /nfs/dbraw/zinc/75/09/77/961750977.db2.gz WNRDIUUEWAXGCJ-USEMIUTHSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC001334026470 961750994 /nfs/dbraw/zinc/75/09/94/961750994.db2.gz WNRDIUUEWAXGCJ-USEMIUTHSA-N 0 2 308.334 0.828 20 0 DCADLN O=C(COCC(F)F)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001430249091 1013285660 /nfs/dbraw/zinc/28/56/60/1013285660.db2.gz WFCXQAWMRMZNCC-QMMMGPOBSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(COCC(F)F)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001430249091 1013285677 /nfs/dbraw/zinc/28/56/77/1013285677.db2.gz WFCXQAWMRMZNCC-QMMMGPOBSA-N 0 2 322.205 0.496 20 0 DCADLN CCC[N@H+](C)CC(=O)NCC1(NC(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001378996902 961834221 /nfs/dbraw/zinc/83/42/21/961834221.db2.gz NFBRDHXJUCSNBA-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CCC[N@@H+](C)CC(=O)NCC1(NC(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001378996902 961834235 /nfs/dbraw/zinc/83/42/35/961834235.db2.gz NFBRDHXJUCSNBA-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CO[C@]12CCC[C@@]1(C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])CCO2 ZINC001571158436 961893831 /nfs/dbraw/zinc/89/38/31/961893831.db2.gz MPHVVJSNCQYUKM-CQDKDKBSSA-N 0 2 323.349 0.455 20 0 DCADLN CO[C@]12CCC[C@@]1(C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])CCO2 ZINC001571158436 961893858 /nfs/dbraw/zinc/89/38/58/961893858.db2.gz MPHVVJSNCQYUKM-CQDKDKBSSA-N 0 2 323.349 0.455 20 0 DCADLN CC(C)C[C@@H](CN1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O)C(=O)[O-] ZINC001588847227 961895272 /nfs/dbraw/zinc/89/52/72/961895272.db2.gz ICGXVOPHIXTSBR-ONGXEEELSA-N 0 2 308.338 0.620 20 0 DCADLN CC(C)C[C@@H](CN1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O)C(=O)[O-] ZINC001588847227 961895297 /nfs/dbraw/zinc/89/52/97/961895297.db2.gz ICGXVOPHIXTSBR-ONGXEEELSA-N 0 2 308.338 0.620 20 0 DCADLN C[C@@H](c1nc(N)nc(Cl)n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363800009 961915693 /nfs/dbraw/zinc/91/56/93/961915693.db2.gz XJTGXZOKVFYCFS-NKWVEPMBSA-N 0 2 324.776 0.872 20 0 DCADLN CC(C)NC(=O)CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC001363937799 962159212 /nfs/dbraw/zinc/15/92/12/962159212.db2.gz SHQWKEGKOWASON-GOSISDBHSA-N 0 2 313.445 0.242 20 0 DCADLN O=C(Nc1ccncc1F)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364102010 962475313 /nfs/dbraw/zinc/47/53/13/962475313.db2.gz ZTNYNAORJSOUCE-QMMMGPOBSA-N 0 2 306.301 0.878 20 0 DCADLN Cc1cc(C(=O)N2CC(N(C)C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001379365280 962740423 /nfs/dbraw/zinc/74/04/23/962740423.db2.gz JYBTWZMOFSRQIS-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1cc(C(=O)N2CC(N(C)C(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC001379365280 962740436 /nfs/dbraw/zinc/74/04/36/962740436.db2.gz JYBTWZMOFSRQIS-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN CCC[C@@H](C)CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364283730 962816190 /nfs/dbraw/zinc/81/61/90/962816190.db2.gz IFCQKJIHDAWORA-SECBINFHSA-N 0 2 302.400 0.792 20 0 DCADLN CN(C(=O)C1(C(F)F)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380223133 962890404 /nfs/dbraw/zinc/89/04/04/962890404.db2.gz AJVMXDAIMXZRQL-UHFFFAOYSA-N 0 2 301.297 0.198 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379625993 963285516 /nfs/dbraw/zinc/28/55/16/963285516.db2.gz GECXEZAQLLIKDE-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379625993 963285524 /nfs/dbraw/zinc/28/55/24/963285524.db2.gz GECXEZAQLLIKDE-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccncn1 ZINC001380763688 963565905 /nfs/dbraw/zinc/56/59/05/963565905.db2.gz XGDBOBXVFKMXDJ-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ccncn1 ZINC001380763688 963565910 /nfs/dbraw/zinc/56/59/10/963565910.db2.gz XGDBOBXVFKMXDJ-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN Cc1cccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)n1 ZINC001381073692 963796625 /nfs/dbraw/zinc/79/66/25/963796625.db2.gz CZJDXCGWLHLFME-UHFFFAOYSA-N 0 2 302.338 0.172 20 0 DCADLN C[C@@H](C[NH+]1CCCCC1)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC000315911490 963950432 /nfs/dbraw/zinc/95/04/32/963950432.db2.gz FFXIJPJTYJNTQE-VIFPVBQESA-N 0 2 317.367 0.526 20 0 DCADLN O=C([O-])COCC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000316086842 963974933 /nfs/dbraw/zinc/97/49/33/963974933.db2.gz LKQOFLFMFWTEHC-UHFFFAOYSA-N 0 2 308.334 0.559 20 0 DCADLN CCc1noc(C)c1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375153540 964063986 /nfs/dbraw/zinc/06/39/86/964063986.db2.gz GEYHNSZGHVUSRU-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1noc(C)c1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375153540 964064001 /nfs/dbraw/zinc/06/40/01/964064001.db2.gz GEYHNSZGHVUSRU-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN CCC[N@H+](C)CC(=O)N1C[C@H]2CCC[C@@H](C1)[NH+]2[C@H](CC)C(N)=O ZINC001375622416 964620674 /nfs/dbraw/zinc/62/06/74/964620674.db2.gz KJTZDQOAXUQKGA-QLFBSQMISA-N 0 2 324.469 0.657 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](CO)[NH2+]C/C(Cl)=C\Cl)c1[O-] ZINC001369319529 964729154 /nfs/dbraw/zinc/72/91/54/964729154.db2.gz FOBUEBLRIGTNCK-LPJHWYKZSA-N 0 2 323.180 0.423 20 0 DCADLN CCOCC1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001376065404 965152517 /nfs/dbraw/zinc/15/25/17/965152517.db2.gz YHPQZFRVUIGUGI-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1cnn(C)n1 ZINC001374489862 965445657 /nfs/dbraw/zinc/44/56/57/965445657.db2.gz IJSFQWXZYCKXQB-SECBINFHSA-N 0 2 311.283 0.606 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)Cc1cnn(C)n1 ZINC001374489862 965445670 /nfs/dbraw/zinc/44/56/70/965445670.db2.gz IJSFQWXZYCKXQB-SECBINFHSA-N 0 2 311.283 0.606 20 0 DCADLN CCNC(=O)CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001369816900 965446854 /nfs/dbraw/zinc/44/68/54/965446854.db2.gz KNMVAFRPOODVPF-WPRPVWTQSA-N 0 2 313.295 0.604 20 0 DCADLN COc1ccnc(N(C)CCNC(=O)Cc2[nH]c[nH+]c2C)n1 ZINC001374796299 965936318 /nfs/dbraw/zinc/93/63/18/965936318.db2.gz ORHBLJJPSOASQF-UHFFFAOYSA-N 0 2 304.354 0.312 20 0 DCADLN CC(F)(F)C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370635437 966308682 /nfs/dbraw/zinc/30/86/82/966308682.db2.gz IINJARZGTDMQKV-CRCLSJGQSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001370635437 966308694 /nfs/dbraw/zinc/30/86/94/966308694.db2.gz IINJARZGTDMQKV-CRCLSJGQSA-N 0 2 310.194 0.135 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)[N@H+](C)CCn2cccn2)c1[O-] ZINC001381507837 966477161 /nfs/dbraw/zinc/47/71/61/966477161.db2.gz LLZNTWCEZUFJCK-SNVBAGLBSA-N 0 2 306.370 0.371 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)[N@@H+](C)CCn2cccn2)c1[O-] ZINC001381507837 966477164 /nfs/dbraw/zinc/47/71/64/966477164.db2.gz LLZNTWCEZUFJCK-SNVBAGLBSA-N 0 2 306.370 0.371 20 0 DCADLN CC1(CCC(=O)NC2(CCO)CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001381677450 966627730 /nfs/dbraw/zinc/62/77/30/966627730.db2.gz ALASKTQLOHDGDC-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2=CCCC2)C[C@H]1O)C(F)C(F)(F)F ZINC001371468150 967017797 /nfs/dbraw/zinc/01/77/97/967017797.db2.gz ZDHIJHPFKOTZLI-BBBLOLIVSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2=CCCC2)C[C@H]1O)[C@H](F)C(F)(F)F ZINC001371468150 967017804 /nfs/dbraw/zinc/01/78/04/967017804.db2.gz ZDHIJHPFKOTZLI-BBBLOLIVSA-N 0 2 324.274 0.685 20 0 DCADLN C[C@@H]1CN(C(=O)c2cn[nH]c2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001371501783 967048066 /nfs/dbraw/zinc/04/80/66/967048066.db2.gz PUVXIRLOUSUNEB-FTLITQJKSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)c2cn[nH]c2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001371501783 967048085 /nfs/dbraw/zinc/04/80/85/967048085.db2.gz PUVXIRLOUSUNEB-FTLITQJKSA-N 0 2 322.262 0.887 20 0 DCADLN C[N@H+](CCNC(=O)CCc1cccs1)Cc1n[nH]c(=O)[n-]1 ZINC001372222927 967757941 /nfs/dbraw/zinc/75/79/41/967757941.db2.gz ZGASNMJHKGFVBG-UHFFFAOYSA-N 0 2 309.395 0.753 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1cccs1)Cc1n[nH]c(=O)[n-]1 ZINC001372222927 967757947 /nfs/dbraw/zinc/75/79/47/967757947.db2.gz ZGASNMJHKGFVBG-UHFFFAOYSA-N 0 2 309.395 0.753 20 0 DCADLN CN(CCNC(=O)[C@H](F)Cc1ccccc1)Cc1n[nH]c(=O)[nH]1 ZINC001372243115 967785023 /nfs/dbraw/zinc/78/50/23/967785023.db2.gz RETLLCZSPXOFGV-GFCCVEGCSA-N 0 2 321.356 0.639 20 0 DCADLN C[N@H+](CCNC(=O)[C@H](F)Cc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001372243115 967785035 /nfs/dbraw/zinc/78/50/35/967785035.db2.gz RETLLCZSPXOFGV-GFCCVEGCSA-N 0 2 321.356 0.639 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H](F)Cc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001372243115 967785046 /nfs/dbraw/zinc/78/50/46/967785046.db2.gz RETLLCZSPXOFGV-GFCCVEGCSA-N 0 2 321.356 0.639 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@H](C)n1cccn1)Cc1n[nH]c(=O)[n-]1 ZINC001372449067 967998797 /nfs/dbraw/zinc/99/87/97/967998797.db2.gz OGFZWCSUHZGPFV-NSHDSACASA-N 0 2 321.385 0.296 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@H](C)n1cccn1)Cc1n[nH]c(=O)[n-]1 ZINC001372449067 967998800 /nfs/dbraw/zinc/99/88/00/967998800.db2.gz OGFZWCSUHZGPFV-NSHDSACASA-N 0 2 321.385 0.296 20 0 DCADLN CCc1noc(C)c1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448148015 1013787512 /nfs/dbraw/zinc/78/75/12/1013787512.db2.gz NEPVEYOXWRUSNC-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1noc(C)c1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448148015 1013787516 /nfs/dbraw/zinc/78/75/16/1013787516.db2.gz NEPVEYOXWRUSNC-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN C[C@@H]1CC[C@@H](C)N1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001372758125 968331056 /nfs/dbraw/zinc/33/10/56/968331056.db2.gz HASKVNZXTKYHCF-RKDXNWHRSA-N 0 2 315.399 0.432 20 0 DCADLN CC[N@H+](CCOC)CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001605450251 1169926136 /nfs/dbraw/zinc/92/61/36/1169926136.db2.gz VLMXSJQNHXGKOB-ZDUSSCGKSA-N 0 2 301.343 0.088 20 0 DCADLN CC[N@@H+](CCOC)CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001605450251 1169926142 /nfs/dbraw/zinc/92/61/42/1169926142.db2.gz VLMXSJQNHXGKOB-ZDUSSCGKSA-N 0 2 301.343 0.088 20 0 DCADLN CCNC(=O)CN1CC[NH+](Cc2csc(C(=O)[O-])c2)CC1 ZINC001605493361 1169939001 /nfs/dbraw/zinc/93/90/01/1169939001.db2.gz RQRAYKWYHKFWFZ-UHFFFAOYSA-N 0 2 311.407 0.700 20 0 DCADLN CN1C(=O)C(=O)N(C[N@H+]2Cc3ccccc3[C@@H](C(=O)[O-])C2)C1=O ZINC001604196081 972635847 /nfs/dbraw/zinc/63/58/47/972635847.db2.gz IETNWIFLHZLXFF-NSHDSACASA-N 0 2 317.301 0.049 20 0 DCADLN CN1C(=O)C(=O)N(C[N@@H+]2Cc3ccccc3[C@@H](C(=O)[O-])C2)C1=O ZINC001604196081 972635857 /nfs/dbraw/zinc/63/58/57/972635857.db2.gz IETNWIFLHZLXFF-NSHDSACASA-N 0 2 317.301 0.049 20 0 DCADLN C[C@@H]1CN(CC[N@H+](C)Cc2c(C(=O)[O-])cnn2C)C[C@H](C)O1 ZINC001605063609 972679109 /nfs/dbraw/zinc/67/91/09/972679109.db2.gz VGKRTKYQRNIIKQ-TXEJJXNPSA-N 0 2 310.398 0.659 20 0 DCADLN C[C@@H]1CN(CC[N@@H+](C)Cc2c(C(=O)[O-])cnn2C)C[C@H](C)O1 ZINC001605063609 972679110 /nfs/dbraw/zinc/67/91/10/972679110.db2.gz VGKRTKYQRNIIKQ-TXEJJXNPSA-N 0 2 310.398 0.659 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N(C)C[C@H](C)C(=O)[O-])cc(C)[nH+]1 ZINC001605173343 972883415 /nfs/dbraw/zinc/88/34/15/972883415.db2.gz YHOBGUCEAJSGGV-VIFPVBQESA-N 0 2 307.350 0.494 20 0 DCADLN Nc1nc(N2C[C@@H]3COC[C@@]3(C(=O)[O-])C2)[nH+]c2ccccc12 ZINC001549299522 1014208601 /nfs/dbraw/zinc/20/86/01/1014208601.db2.gz QXBHFZJOWYWTCZ-PSLIRLAXSA-N 0 2 300.318 0.749 20 0 DCADLN Cc1ncc(C(=O)N(C)C[C@H](O)C[N@H+](C)C/C=C\Cl)[nH]1 ZINC001449189193 1014282806 /nfs/dbraw/zinc/28/28/06/1014282806.db2.gz BYWXONFZLUYKNE-DOGVGXBMSA-N 0 2 300.790 0.835 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001522689304 1014300319 /nfs/dbraw/zinc/30/03/19/1014300319.db2.gz TXTRESPYLLKWEK-MRVPVSSYSA-N 0 2 310.251 0.773 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522689304 1014300331 /nfs/dbraw/zinc/30/03/31/1014300331.db2.gz TXTRESPYLLKWEK-MRVPVSSYSA-N 0 2 310.251 0.773 20 0 DCADLN CC(C)c1nnc(C[NH2+]C2(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)[nH]1 ZINC001592019347 976704381 /nfs/dbraw/zinc/70/43/81/976704381.db2.gz CFIFDPNZXJMHHG-ZJUUUORDSA-N 0 2 321.381 0.387 20 0 DCADLN C[C@H](/C=C\C(=O)[O-])NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC001592210844 977257582 /nfs/dbraw/zinc/25/75/82/977257582.db2.gz GZCDEBBOUNRWDH-JMEBYUIHSA-N 0 2 324.402 0.982 20 0 DCADLN C[C@H](/C=C\C(=O)[O-])NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC001592210844 977257592 /nfs/dbraw/zinc/25/75/92/977257592.db2.gz GZCDEBBOUNRWDH-JMEBYUIHSA-N 0 2 324.402 0.982 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](S(=O)(=O)N2CC[NH+](C3CCC3)CC2)C1 ZINC000723248704 978181006 /nfs/dbraw/zinc/18/10/06/978181006.db2.gz VERUOFIDKUZYQJ-KLPPZKSPSA-N 0 2 302.396 0.350 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)N[C@@H]1CC=C(C(=O)[O-])C1 ZINC001592625012 978602376 /nfs/dbraw/zinc/60/23/76/978602376.db2.gz UQVCMIXNYMJSDN-DGCLKSJQSA-N 0 2 311.382 0.522 20 0 DCADLN C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C(=O)[O-])cn1 ZINC001592654306 978635969 /nfs/dbraw/zinc/63/59/69/978635969.db2.gz GRKQENQJERKLOE-QMMMGPOBSA-N 0 2 304.310 0.057 20 0 DCADLN CC[C@](COC)(NC(=O)/C=C(/C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001595189248 979871175 /nfs/dbraw/zinc/87/11/75/979871175.db2.gz ZYANUQQMUXQFMA-HMVFGEFZSA-N 0 2 314.382 0.261 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1cccc(C(=O)[O-])n1 ZINC001592930145 979965683 /nfs/dbraw/zinc/96/56/83/979965683.db2.gz HONLGZYYBOBMJB-VIFPVBQESA-N 0 2 317.305 0.120 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccsc1C(=O)[O-] ZINC001592930932 979976156 /nfs/dbraw/zinc/97/61/56/979976156.db2.gz OGLAUNOZCBXIIT-QMMMGPOBSA-N 0 2 322.346 0.786 20 0 DCADLN CC[C@@H](C(=O)N[C@H]1CCO[C@H]1C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001595484567 981222791 /nfs/dbraw/zinc/22/27/91/981222791.db2.gz SSEMHOPGWFFUAX-MROQNXINSA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@@H](C(=O)N[C@H]1CCO[C@H]1C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001595484567 981222792 /nfs/dbraw/zinc/22/27/92/981222792.db2.gz SSEMHOPGWFFUAX-MROQNXINSA-N 0 2 314.382 0.234 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001530485104 1014766123 /nfs/dbraw/zinc/76/61/23/1014766123.db2.gz OMBITTOPQYQYCH-XPUUQOCRSA-N 0 2 300.252 0.639 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001530485104 1014766132 /nfs/dbraw/zinc/76/61/32/1014766132.db2.gz OMBITTOPQYQYCH-XPUUQOCRSA-N 0 2 300.252 0.639 20 0 DCADLN C[N@H+](CC(=O)NC1CN(C(=O)CCn2cc[nH+]c2)C1)C1CCC1 ZINC001530498856 1014775214 /nfs/dbraw/zinc/77/52/14/1014775214.db2.gz AHTSNVUXHZWJIM-UHFFFAOYSA-N 0 2 319.409 0.085 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)N(C)C(=O)C(F)C(F)(F)F ZINC001449909475 1014775313 /nfs/dbraw/zinc/77/53/13/1014775313.db2.gz XOKNQLAMRDHSHX-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001449909475 1014775323 /nfs/dbraw/zinc/77/53/23/1014775323.db2.gz XOKNQLAMRDHSHX-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@@H+]1CC[C@H](OC)C[C@H]1C(=O)[O-] ZINC001595760381 982469355 /nfs/dbraw/zinc/46/93/55/982469355.db2.gz YPLNTYUGZQTVQG-QWRGUYRKSA-N 0 2 315.370 0.176 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@H+]1CC[C@H](OC)C[C@H]1C(=O)[O-] ZINC001595760381 982469361 /nfs/dbraw/zinc/46/93/61/982469361.db2.gz YPLNTYUGZQTVQG-QWRGUYRKSA-N 0 2 315.370 0.176 20 0 DCADLN C[C@H](CNC(=O)Cc1ncc[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001450060321 1014880455 /nfs/dbraw/zinc/88/04/55/1014880455.db2.gz DMUITWRKSNVCEY-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN Cc1[nH]c(C(=O)C[NH2+][C@H]2C(=O)NCC2(C)C)c(C)c1C(=O)[O-] ZINC001574114510 983173303 /nfs/dbraw/zinc/17/33/03/983173303.db2.gz ZIQPRGUZSRQTMT-LBPRGKRZSA-N 0 2 307.350 0.627 20 0 DCADLN CCn1ccc(C[N@H+](CC)CCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001596332682 983770897 /nfs/dbraw/zinc/77/08/97/983770897.db2.gz KWNXMNARDIVSJC-STQMWFEESA-N 0 2 308.382 0.562 20 0 DCADLN CCn1ccc(C[N@@H+](CC)CCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001596332682 983770899 /nfs/dbraw/zinc/77/08/99/983770899.db2.gz KWNXMNARDIVSJC-STQMWFEESA-N 0 2 308.382 0.562 20 0 DCADLN CC(C)(CO)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001450258879 1014991518 /nfs/dbraw/zinc/99/15/18/1014991518.db2.gz MYAVKYNBPQCEIR-UHFFFAOYSA-N 0 2 320.349 0.405 20 0 DCADLN C/C(=C/C(=O)N[C@@H](CC(F)F)C(=O)[O-])C[NH+]1CCOCC1 ZINC001588938569 984623087 /nfs/dbraw/zinc/62/30/87/984623087.db2.gz HKCLIYOKFAHRCB-MBACFSSFSA-N 0 2 306.309 0.490 20 0 DCADLN C/C(=C/C[N@H+]1CCN(C(=O)c2cc(C)n[nH]2)C[C@H]1C)C(=O)[O-] ZINC001588961359 984738372 /nfs/dbraw/zinc/73/83/72/984738372.db2.gz SXQSWGQGXDDHGC-GFSSTFBJSA-N 0 2 306.366 0.895 20 0 DCADLN C/C(=C/C[N@@H+]1CCN(C(=O)c2cc(C)n[nH]2)C[C@H]1C)C(=O)[O-] ZINC001588961359 984738378 /nfs/dbraw/zinc/73/83/78/984738378.db2.gz SXQSWGQGXDDHGC-GFSSTFBJSA-N 0 2 306.366 0.895 20 0 DCADLN C/C(=C/C[N@H+]1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C)C(=O)[O-] ZINC001588961359 984738380 /nfs/dbraw/zinc/73/83/80/984738380.db2.gz SXQSWGQGXDDHGC-GFSSTFBJSA-N 0 2 306.366 0.895 20 0 DCADLN C/C(=C/C[N@@H+]1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C)C(=O)[O-] ZINC001588961359 984738386 /nfs/dbraw/zinc/73/83/86/984738386.db2.gz SXQSWGQGXDDHGC-GFSSTFBJSA-N 0 2 306.366 0.895 20 0 DCADLN C[C@H](NC(=O)[C@H](C)[NH2+]Cc1c(Cl)nc(Cl)n1C)C(=O)[O-] ZINC001599899188 984792189 /nfs/dbraw/zinc/79/21/89/984792189.db2.gz SMDYEEFKVHCECU-WDSKDSINSA-N 0 2 323.180 0.794 20 0 DCADLN CNC(=O)[C@@]12CN(C(=O)C(F)C(F)(F)F)C[C@@H]1COCC2 ZINC001551437254 1015078010 /nfs/dbraw/zinc/07/80/10/1015078010.db2.gz LHPMARLGIPVDTM-FYBVGQRMSA-N 0 2 312.263 0.498 20 0 DCADLN CNC(=O)[C@@]12CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1COCC2 ZINC001551437254 1015078017 /nfs/dbraw/zinc/07/80/17/1015078017.db2.gz LHPMARLGIPVDTM-FYBVGQRMSA-N 0 2 312.263 0.498 20 0 DCADLN CC(=O)N1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001383635463 984961432 /nfs/dbraw/zinc/96/14/32/984961432.db2.gz GYDGJCLFWZBIEO-RKDXNWHRSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)N1CCCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001383635463 984961437 /nfs/dbraw/zinc/96/14/37/984961437.db2.gz GYDGJCLFWZBIEO-RKDXNWHRSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)n1cccn1 ZINC001450376176 1015099875 /nfs/dbraw/zinc/09/98/75/1015099875.db2.gz MVZAWCSXXRTRQA-APPZFPTMSA-N 0 2 322.262 0.672 20 0 DCADLN C[C@H](C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1)n1cccn1 ZINC001450376176 1015099889 /nfs/dbraw/zinc/09/98/89/1015099889.db2.gz MVZAWCSXXRTRQA-APPZFPTMSA-N 0 2 322.262 0.672 20 0 DCADLN C[C@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)C1 ZINC001599933642 985356826 /nfs/dbraw/zinc/35/68/26/985356826.db2.gz KJVYQVYUYXBTTM-WCBMZHEXSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)C1 ZINC001599933642 985356838 /nfs/dbraw/zinc/35/68/38/985356838.db2.gz KJVYQVYUYXBTTM-WCBMZHEXSA-N 0 2 313.379 0.411 20 0 DCADLN CCO[C@H]1C[C@]([NH2+]Cc2nnc3n2CCOC3)(C(=O)[O-])C1(C)C ZINC001596836282 985480291 /nfs/dbraw/zinc/48/02/91/985480291.db2.gz AHAQZOOEUXIROW-BONVTDFDSA-N 0 2 324.381 0.556 20 0 DCADLN CC(C)[C@H](C(=O)N[C@H](C(=O)[O-])[C@@H](C)O)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001594503462 985698749 /nfs/dbraw/zinc/69/87/49/985698749.db2.gz YUOUZHZUYQHZIU-RXGFPQBGSA-N 0 2 316.398 0.070 20 0 DCADLN CC(C)[C@H](C(=O)N[C@H](C(=O)[O-])[C@@H](C)O)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001594503462 985698751 /nfs/dbraw/zinc/69/87/51/985698751.db2.gz YUOUZHZUYQHZIU-RXGFPQBGSA-N 0 2 316.398 0.070 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)Cc2ccn(C)n2)n[nH]1 ZINC001551663388 1015168173 /nfs/dbraw/zinc/16/81/73/1015168173.db2.gz YIDYJTCGUFABDN-CHWSQXEVSA-N 0 2 318.381 0.420 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2OCC[C@@H]2C)n[nH]1 ZINC001551662602 1015168999 /nfs/dbraw/zinc/16/89/99/1015168999.db2.gz WNBRGNKAICQKOX-SQNXGDPESA-N 0 2 308.382 0.869 20 0 DCADLN Cc1cn(C)nc1C(=O)N(C)C[C@@H]1OCC[C@@H]1c1n[nH]c(C)n1 ZINC001551663442 1015169268 /nfs/dbraw/zinc/16/92/68/1015169268.db2.gz YNHZHPCZHKMKEH-RYUDHWBXSA-N 0 2 318.381 0.800 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2ccnn2C)n[nH]1 ZINC001551667308 1015170306 /nfs/dbraw/zinc/17/03/06/1015170306.db2.gz PJMMUNXZXKDKOG-PWSUYJOCSA-N 0 2 304.354 0.491 20 0 DCADLN CCn1nccc1C(=O)N(C)C[C@H]1OCC[C@@H]1c1n[nH]c(C)n1 ZINC001551669785 1015174044 /nfs/dbraw/zinc/17/40/44/1015174044.db2.gz ISHDTRVKATUMPM-WCQYABFASA-N 0 2 318.381 0.974 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1ccccn1 ZINC001594524580 986023012 /nfs/dbraw/zinc/02/30/12/986023012.db2.gz ATARIZWJHKAHPL-NSHDSACASA-N 0 2 313.379 0.251 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1ccccn1 ZINC001594524580 986023018 /nfs/dbraw/zinc/02/30/18/986023018.db2.gz ATARIZWJHKAHPL-NSHDSACASA-N 0 2 313.379 0.251 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cc[nH]n2)C1 ZINC001385233941 986172546 /nfs/dbraw/zinc/17/25/46/986172546.db2.gz JMGJVIRRSVPRFW-APPZFPTMSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001385233941 986172559 /nfs/dbraw/zinc/17/25/59/986172559.db2.gz JMGJVIRRSVPRFW-APPZFPTMSA-N 0 2 322.262 0.983 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cccnc2C(=O)[O-])[C@@H](C)C[N@@H+]1C ZINC001594562550 986335695 /nfs/dbraw/zinc/33/56/95/986335695.db2.gz USIVYPKWCAVKSN-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cccnc2C(=O)[O-])[C@@H](C)C[N@H+]1C ZINC001594562550 986335704 /nfs/dbraw/zinc/33/57/04/986335704.db2.gz USIVYPKWCAVKSN-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN COCCC(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001385545374 986418237 /nfs/dbraw/zinc/41/82/37/986418237.db2.gz XRMKQCXTRAJDNP-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385545374 986418240 /nfs/dbraw/zinc/41/82/40/986418240.db2.gz XRMKQCXTRAJDNP-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(CC(=O)[O-])cc1)N1CC[NH2+]CC1=O ZINC001589435021 986740802 /nfs/dbraw/zinc/74/08/02/986740802.db2.gz DJAQXTKHHJNNII-JTQLQIEISA-N 0 2 305.334 0.073 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386162598 986903533 /nfs/dbraw/zinc/90/35/33/986903533.db2.gz FAIPLUCBEIIRHC-FNCVBFRFSA-N 0 2 314.279 0.933 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001386162598 986903540 /nfs/dbraw/zinc/90/35/40/986903540.db2.gz FAIPLUCBEIIRHC-FNCVBFRFSA-N 0 2 314.279 0.933 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001386298657 987052396 /nfs/dbraw/zinc/05/23/96/987052396.db2.gz RSSRLADHCHXFMA-ABXGFROZSA-N 0 2 312.263 0.400 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001386298657 987052403 /nfs/dbraw/zinc/05/24/03/987052403.db2.gz RSSRLADHCHXFMA-ABXGFROZSA-N 0 2 312.263 0.400 20 0 DCADLN CCOc1cncc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c1 ZINC001597189921 987066852 /nfs/dbraw/zinc/06/68/52/987066852.db2.gz TVPVZWRWZGIDPR-CYBMUJFWSA-N 0 2 307.350 0.646 20 0 DCADLN CCOc1cncc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c1 ZINC001597189921 987066864 /nfs/dbraw/zinc/06/68/64/987066864.db2.gz TVPVZWRWZGIDPR-CYBMUJFWSA-N 0 2 307.350 0.646 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1)CNC(=O)C(F)C(F)(F)F ZINC001532126728 1015278085 /nfs/dbraw/zinc/27/80/85/1015278085.db2.gz RURMPUNFLOTSQO-HTRCEHHLSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001532126728 1015278095 /nfs/dbraw/zinc/27/80/95/1015278095.db2.gz RURMPUNFLOTSQO-HTRCEHHLSA-N 0 2 310.251 0.792 20 0 DCADLN CCC(=O)N1CCCC[C@@H]1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001594701315 987141831 /nfs/dbraw/zinc/14/18/31/987141831.db2.gz JWDXHXJJMMKHSG-VXGBXAGGSA-N 0 2 322.365 0.313 20 0 DCADLN CCC(=O)N1CCCC[C@@H]1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001594701315 987141834 /nfs/dbraw/zinc/14/18/34/987141834.db2.gz JWDXHXJJMMKHSG-VXGBXAGGSA-N 0 2 322.365 0.313 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001386701913 987321422 /nfs/dbraw/zinc/32/14/22/987321422.db2.gz QNOLLGKDAZCMRI-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001386701913 987321424 /nfs/dbraw/zinc/32/14/24/987321424.db2.gz QNOLLGKDAZCMRI-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC(=O)N(C)CCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001387588880 987915727 /nfs/dbraw/zinc/91/57/27/987915727.db2.gz CKQNOGFVWDQEKO-SNVBAGLBSA-N 0 2 313.295 0.509 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)COC)C1 ZINC001450779765 1015350995 /nfs/dbraw/zinc/35/09/95/1015350995.db2.gz HWQBTYSVJCGYAT-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(C(=O)COC)C1 ZINC001450779765 1015350998 /nfs/dbraw/zinc/35/09/98/1015350998.db2.gz HWQBTYSVJCGYAT-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COC[C@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001387708192 988057134 /nfs/dbraw/zinc/05/71/34/988057134.db2.gz CJLFEKDAXJJHTM-POYBYMJQSA-N 0 2 300.252 0.496 20 0 DCADLN COC[C@H](C)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387708192 988057148 /nfs/dbraw/zinc/05/71/48/988057148.db2.gz CJLFEKDAXJJHTM-POYBYMJQSA-N 0 2 300.252 0.496 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387747138 988104015 /nfs/dbraw/zinc/10/40/15/988104015.db2.gz IBVNEROPFAAVFE-JGVFFNPUSA-N 0 2 300.252 0.639 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387747138 988104018 /nfs/dbraw/zinc/10/40/18/988104018.db2.gz IBVNEROPFAAVFE-JGVFFNPUSA-N 0 2 300.252 0.639 20 0 DCADLN Cc1cc(CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001387797804 988161853 /nfs/dbraw/zinc/16/18/53/988161853.db2.gz XZKAQRHWQIUWJO-JTQLQIEISA-N 0 2 324.278 0.736 20 0 DCADLN Cc1cc(CC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)[nH]n1 ZINC001387797804 988161857 /nfs/dbraw/zinc/16/18/57/988161857.db2.gz XZKAQRHWQIUWJO-JTQLQIEISA-N 0 2 324.278 0.736 20 0 DCADLN Cn1cncc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001387879668 988246678 /nfs/dbraw/zinc/24/66/78/988246678.db2.gz UDJWLHBHJJHGQP-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cncc1C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387879668 988246689 /nfs/dbraw/zinc/24/66/89/988246689.db2.gz UDJWLHBHJJHGQP-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN CC(C)(C)OC(=O)N1CC([NH2+]Cc2cn(CC(=O)[O-])nn2)C1 ZINC001589928651 988679268 /nfs/dbraw/zinc/67/92/68/988679268.db2.gz HVOPRNFXZZGWNS-UHFFFAOYSA-N 0 2 311.342 0.072 20 0 DCADLN CC(F)(F)C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001389034996 988746499 /nfs/dbraw/zinc/74/64/99/988746499.db2.gz CPKQCTGAFDLFOP-CRCLSJGQSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001389034996 988746501 /nfs/dbraw/zinc/74/65/01/988746501.db2.gz CPKQCTGAFDLFOP-CRCLSJGQSA-N 0 2 310.194 0.135 20 0 DCADLN CC1(C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001389112887 988807546 /nfs/dbraw/zinc/80/75/46/988807546.db2.gz XMMVNKUAKOBZAJ-RQJHMYQMSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001389112887 988807552 /nfs/dbraw/zinc/80/75/52/988807552.db2.gz XMMVNKUAKOBZAJ-RQJHMYQMSA-N 0 2 300.252 0.280 20 0 DCADLN COC[C@@H](C)C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001389806913 989599175 /nfs/dbraw/zinc/59/91/75/989599175.db2.gz FWMANZAYXFMCKX-HTQZYQBOSA-N 0 2 314.279 0.934 20 0 DCADLN COC[C@@H](C)C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001389806913 989599182 /nfs/dbraw/zinc/59/91/82/989599182.db2.gz FWMANZAYXFMCKX-HTQZYQBOSA-N 0 2 314.279 0.934 20 0 DCADLN CCNC(=O)C[NH2+]C[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001390148432 989867224 /nfs/dbraw/zinc/86/72/24/989867224.db2.gz FLEDORWUDQOSES-ZDUSSCGKSA-N 0 2 307.398 0.059 20 0 DCADLN COc1cncc(C[N@@H+](C)CCNC(=O)C[NH+](C)C2CCC2)n1 ZINC001390764255 990365224 /nfs/dbraw/zinc/36/52/24/990365224.db2.gz JMWHFHGLYUHIKT-UHFFFAOYSA-N 0 2 321.425 0.518 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ccncc1C)Cc1n[nH]c(=O)[n-]1 ZINC001390897199 990466237 /nfs/dbraw/zinc/46/62/37/990466237.db2.gz JJIPZUJVGYFOJK-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ccncc1C)Cc1n[nH]c(=O)[n-]1 ZINC001390897199 990466238 /nfs/dbraw/zinc/46/62/38/990466238.db2.gz JJIPZUJVGYFOJK-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN CS[C@@H](C)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391050591 990541274 /nfs/dbraw/zinc/54/12/74/990541274.db2.gz QSUGCOMKWJFAEH-UWVGGRQHSA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@@H](C)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391050591 990541280 /nfs/dbraw/zinc/54/12/80/990541280.db2.gz QSUGCOMKWJFAEH-UWVGGRQHSA-N 0 2 313.427 0.733 20 0 DCADLN CC[C@H](C)OCC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391612462 991014525 /nfs/dbraw/zinc/01/45/25/991014525.db2.gz FLUUJNFBWTVUPL-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@H](C)OCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391612462 991014529 /nfs/dbraw/zinc/01/45/29/991014529.db2.gz FLUUJNFBWTVUPL-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CCC(C)(C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001392114552 991509051 /nfs/dbraw/zinc/50/90/51/991509051.db2.gz LZVLDRKEAUATRR-HTQZYQBOSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001392114552 991509063 /nfs/dbraw/zinc/50/90/63/991509063.db2.gz LZVLDRKEAUATRR-HTQZYQBOSA-N 0 2 316.295 0.916 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+]1CC(=O)NC[C@H]1c1ccccc1 ZINC001598405216 991717823 /nfs/dbraw/zinc/71/78/23/991717823.db2.gz RYESWORQBFHIPI-ZDUSSCGKSA-N 0 2 319.361 0.093 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+]1CC(=O)NC[C@H]1c1ccccc1 ZINC001598405216 991717828 /nfs/dbraw/zinc/71/78/28/991717828.db2.gz RYESWORQBFHIPI-ZDUSSCGKSA-N 0 2 319.361 0.093 20 0 DCADLN C[C@@H](CN(C)Cc1nnn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001392650155 992205238 /nfs/dbraw/zinc/20/52/38/992205238.db2.gz XCNCAWGLFGTNMU-XPUUQOCRSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@@H](CN(C)Cc1nnn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001392650155 992205240 /nfs/dbraw/zinc/20/52/40/992205240.db2.gz XCNCAWGLFGTNMU-XPUUQOCRSA-N 0 2 312.271 0.047 20 0 DCADLN CNC(=O)C[NH+](C)C[C@H](C)NC(=O)[C@H]1CCCC[N@H+]1C(C)C ZINC001392701997 992285358 /nfs/dbraw/zinc/28/53/58/992285358.db2.gz GOVXVIOAWOHSEG-UONOGXRCSA-N 0 2 312.458 0.432 20 0 DCADLN CC(C)Cc1noc(C[NH2+]CCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001591497834 992635056 /nfs/dbraw/zinc/63/50/56/992635056.db2.gz KWUPOMVGTUQZDK-GHMZBOCLSA-N 0 2 324.381 0.537 20 0 DCADLN CC(C)CC(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001393650332 993156885 /nfs/dbraw/zinc/15/68/85/993156885.db2.gz PXJQWORBUIATHN-LLVKDONJSA-N 0 2 323.397 0.406 20 0 DCADLN CS[C@H](C)CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394052052 993437869 /nfs/dbraw/zinc/43/78/69/993437869.db2.gz OGCLHIQBOFDRSJ-DTWKUNHWSA-N 0 2 301.416 0.588 20 0 DCADLN CS[C@H](C)CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394052052 993437875 /nfs/dbraw/zinc/43/78/75/993437875.db2.gz OGCLHIQBOFDRSJ-DTWKUNHWSA-N 0 2 301.416 0.588 20 0 DCADLN C[C@H](CNC(=O)C(C)(C)C(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394110911 993485764 /nfs/dbraw/zinc/48/57/64/993485764.db2.gz PFJRUGOEJVNDIY-SSDOTTSWSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](CNC(=O)C(C)(C)C(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394110911 993485770 /nfs/dbraw/zinc/48/57/70/993485770.db2.gz PFJRUGOEJVNDIY-SSDOTTSWSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](NC(=O)[C@H](C)C(F)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394257658 993575136 /nfs/dbraw/zinc/57/51/36/993575136.db2.gz WQTHZDISQPLHHJ-BQBZGAKWSA-N 0 2 321.303 0.645 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1cccc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001399802945 993791665 /nfs/dbraw/zinc/79/16/65/993791665.db2.gz BRTNFKIVHZWVIX-UHFFFAOYSA-N 0 2 321.356 0.830 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1cccc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001399802945 993791675 /nfs/dbraw/zinc/79/16/75/993791675.db2.gz BRTNFKIVHZWVIX-UHFFFAOYSA-N 0 2 321.356 0.830 20 0 DCADLN Cc1cc(CC(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)no1 ZINC001399819175 993810237 /nfs/dbraw/zinc/81/02/37/993810237.db2.gz CCRSVRASNONRBE-NSHDSACASA-N 0 2 320.353 0.130 20 0 DCADLN Cc1cc(CC(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)no1 ZINC001399819175 993810245 /nfs/dbraw/zinc/81/02/45/993810245.db2.gz CCRSVRASNONRBE-NSHDSACASA-N 0 2 320.353 0.130 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cnoc1 ZINC001400056558 994113756 /nfs/dbraw/zinc/11/37/56/994113756.db2.gz LSNFFBTTZTYOTN-MUWHJKNJSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cnoc1 ZINC001400056558 994113759 /nfs/dbraw/zinc/11/37/59/994113759.db2.gz LSNFFBTTZTYOTN-MUWHJKNJSA-N 0 2 311.235 0.738 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccsn1 ZINC001394803404 994172405 /nfs/dbraw/zinc/17/24/05/994172405.db2.gz BBZBWDOEONUBNN-UHFFFAOYSA-N 0 2 324.410 0.949 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccsn1 ZINC001394803404 994172408 /nfs/dbraw/zinc/17/24/08/994172408.db2.gz BBZBWDOEONUBNN-UHFFFAOYSA-N 0 2 324.410 0.949 20 0 DCADLN C[C@H]([NH2+]C(c1nccn1C)c1nccn1C)[C@@H]1C[C@H]1C(=O)[O-] ZINC001593208708 994229717 /nfs/dbraw/zinc/22/97/17/994229717.db2.gz DZQFZYBSXVSDEU-GARJFASQSA-N 0 2 303.366 0.942 20 0 DCADLN CNC(=O)[C@@H]1C[N@H+](Cc2ccccc2O[C@@H](C)C(=O)[O-])CCO1 ZINC001598637162 994262264 /nfs/dbraw/zinc/26/22/64/994262264.db2.gz HCAVYMJAFSALDJ-FZMZJTMJSA-N 0 2 322.361 0.485 20 0 DCADLN CNC(=O)[C@@H]1C[N@@H+](Cc2ccccc2O[C@@H](C)C(=O)[O-])CCO1 ZINC001598637162 994262271 /nfs/dbraw/zinc/26/22/71/994262271.db2.gz HCAVYMJAFSALDJ-FZMZJTMJSA-N 0 2 322.361 0.485 20 0 DCADLN CCOCC(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400369400 994446249 /nfs/dbraw/zinc/44/62/49/994446249.db2.gz PITMQYHHEHFQAD-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001400369400 994446254 /nfs/dbraw/zinc/44/62/54/994446254.db2.gz PITMQYHHEHFQAD-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN Cc1nn(C)c(NC(=O)c2cc(S(N)(=O)=O)ccc2O)c1C ZINC001464221081 1015892013 /nfs/dbraw/zinc/89/20/13/1015892013.db2.gz WXWFFHQJTBYLMS-UHFFFAOYSA-N 0 2 324.362 0.642 20 0 DCADLN CC(C)(C)CC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395692231 994890234 /nfs/dbraw/zinc/89/02/34/994890234.db2.gz NNXYUKJKGDYOLT-CBAPKCEASA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001395692231 994890243 /nfs/dbraw/zinc/89/02/43/994890243.db2.gz NNXYUKJKGDYOLT-CBAPKCEASA-N 0 2 316.295 0.916 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1cc(C(=O)[O-])oc1C ZINC001598702882 995246122 /nfs/dbraw/zinc/24/61/22/995246122.db2.gz QKXFTEGERHPBTK-JTQLQIEISA-N 0 2 321.289 0.454 20 0 DCADLN COC(=O)C1(NC(=O)[C@@H](C)n2cc[nH+]c2)CCC(C(=O)[O-])CC1 ZINC001598722317 995494024 /nfs/dbraw/zinc/49/40/24/995494024.db2.gz SKXZUUUFAGVSDK-RWWNRMGGSA-N 0 2 323.349 0.747 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001396743757 995577666 /nfs/dbraw/zinc/57/76/66/995577666.db2.gz QZVXHVWNDDHJJX-RNFRBKRXSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001396743757 995577676 /nfs/dbraw/zinc/57/76/76/995577676.db2.gz QZVXHVWNDDHJJX-RNFRBKRXSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397164846 995835968 /nfs/dbraw/zinc/83/59/68/995835968.db2.gz AFURRBUKLGUWNP-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397164846 995835975 /nfs/dbraw/zinc/83/59/75/995835975.db2.gz AFURRBUKLGUWNP-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])C[N@@H+]1Cc1cccc(C(N)=O)c1 ZINC001598776801 995938030 /nfs/dbraw/zinc/93/80/30/995938030.db2.gz KNQOLWKPJHDIQD-QWHCGFSZSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cc1cccc(C(N)=O)c1 ZINC001598776801 995938035 /nfs/dbraw/zinc/93/80/35/995938035.db2.gz KNQOLWKPJHDIQD-QWHCGFSZSA-N 0 2 320.345 0.624 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)cnn1 ZINC001397419267 995983725 /nfs/dbraw/zinc/98/37/25/995983725.db2.gz WMEVELMTOHRSIS-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)cnn1 ZINC001397419267 995983729 /nfs/dbraw/zinc/98/37/29/995983729.db2.gz WMEVELMTOHRSIS-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@H](CCNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001397774106 996167701 /nfs/dbraw/zinc/16/77/01/996167701.db2.gz OQDKVFUDMMWWKW-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CCNC(=O)Cc1cc[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001397774106 996167705 /nfs/dbraw/zinc/16/77/05/996167705.db2.gz OQDKVFUDMMWWKW-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[N@@H+]1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001593724979 996271575 /nfs/dbraw/zinc/27/15/75/996271575.db2.gz KNIHPRNWMDWPDJ-IUCAKERBSA-N 0 2 317.367 0.305 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[N@H+]1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001593724979 996271581 /nfs/dbraw/zinc/27/15/81/996271581.db2.gz KNIHPRNWMDWPDJ-IUCAKERBSA-N 0 2 317.367 0.305 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](CC(=O)c2ccccc2F)C[C@@H]1C(=O)[O-] ZINC001598819754 996311667 /nfs/dbraw/zinc/31/16/67/996311667.db2.gz FZXCRMWEJBSPOX-WDEREUQCSA-N 0 2 309.293 0.814 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](CC(=O)c2ccccc2F)C[C@@H]1C(=O)[O-] ZINC001598819754 996311673 /nfs/dbraw/zinc/31/16/73/996311673.db2.gz FZXCRMWEJBSPOX-WDEREUQCSA-N 0 2 309.293 0.814 20 0 DCADLN CC(C)(C)c1cc(C(=O)NCc2n[nH]c(=O)[nH]2)nc2ncnn21 ZINC001465725400 1016022375 /nfs/dbraw/zinc/02/23/75/1016022375.db2.gz PQWDUKNQURTURW-UHFFFAOYSA-N 0 2 316.325 0.176 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2nc(C(C)(C)C)no2)C[C@H]1C(=O)[O-] ZINC001598824593 996368005 /nfs/dbraw/zinc/36/80/05/996368005.db2.gz HREQWJJLEMHXAF-RKDXNWHRSA-N 0 2 311.338 0.673 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2nc(C(C)(C)C)no2)C[C@H]1C(=O)[O-] ZINC001598824593 996368011 /nfs/dbraw/zinc/36/80/11/996368011.db2.gz HREQWJJLEMHXAF-RKDXNWHRSA-N 0 2 311.338 0.673 20 0 DCADLN CC1(C)C(=O)N[C@@H]1C1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001593822691 996562964 /nfs/dbraw/zinc/56/29/64/996562964.db2.gz NZFLLHIMWJCYEP-CYBMUJFWSA-N 0 2 321.381 0.099 20 0 DCADLN O=C(NC1CN(C(=O)c2cnns2)C1)C(F)C(F)(F)F ZINC001398600498 996597750 /nfs/dbraw/zinc/59/77/50/996597750.db2.gz AUKSXUWQGOCRRF-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)c2cnns2)C1)[C@@H](F)C(F)(F)F ZINC001398600498 996597756 /nfs/dbraw/zinc/59/77/56/996597756.db2.gz AUKSXUWQGOCRRF-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN CC1(C)C[C@H](O)C[N@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001593861706 996655607 /nfs/dbraw/zinc/65/56/07/996655607.db2.gz FTLYDRMQYFTEQQ-JTQLQIEISA-N 0 2 307.350 0.811 20 0 DCADLN CC1(C)C[C@H](O)C[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001593861706 996655610 /nfs/dbraw/zinc/65/56/10/996655610.db2.gz FTLYDRMQYFTEQQ-JTQLQIEISA-N 0 2 307.350 0.811 20 0 DCADLN CCc1n[nH]cc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404249043 996860551 /nfs/dbraw/zinc/86/05/51/996860551.db2.gz FYDQCDYFAFESHI-UHFFFAOYSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1n[nH]cc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404249043 996860558 /nfs/dbraw/zinc/86/05/58/996860558.db2.gz FYDQCDYFAFESHI-UHFFFAOYSA-N 0 2 319.369 0.190 20 0 DCADLN O=C(N[C@@H](CO)[C@H]1CCOC1)C1=NN(c2ccccc2)CC1=O ZINC001466515098 1016084535 /nfs/dbraw/zinc/08/45/35/1016084535.db2.gz IBZKKYKTOLOKFV-AAEUAGOBSA-N 0 2 317.345 0.705 20 0 DCADLN CC(C)c1[nH]cc(CNS(=O)(=O)C2(CC(=O)[O-])CC2)[nH+]1 ZINC001573532977 1163459662 /nfs/dbraw/zinc/45/96/62/1163459662.db2.gz IABFFRPAIZONSR-UHFFFAOYSA-N 0 2 301.368 0.960 20 0 DCADLN CC(C)c1[nH]c(CNS(=O)(=O)C2(CC(=O)[O-])CC2)c[nH+]1 ZINC001573532977 1163459667 /nfs/dbraw/zinc/45/96/67/1163459667.db2.gz IABFFRPAIZONSR-UHFFFAOYSA-N 0 2 301.368 0.960 20 0 DCADLN COCc1noc(C[NH2+][C@H](C(=O)[O-])[C@@H]2CCC[C@@H](OC)C2)n1 ZINC001599308985 997379207 /nfs/dbraw/zinc/37/92/07/997379207.db2.gz ZFOVCSAJVFJOKG-BREBYQMCSA-N 0 2 313.354 0.964 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001399449373 997400032 /nfs/dbraw/zinc/40/00/32/997400032.db2.gz COPAYJHBUOKXDX-SECBINFHSA-N 0 2 311.386 0.000 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001399449373 997400044 /nfs/dbraw/zinc/40/00/44/997400044.db2.gz COPAYJHBUOKXDX-SECBINFHSA-N 0 2 311.386 0.000 20 0 DCADLN CO[C@@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001404836997 997510809 /nfs/dbraw/zinc/51/08/09/997510809.db2.gz FRDPVJUNPZLKCD-GFCCVEGCSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC001594046691 997705942 /nfs/dbraw/zinc/70/59/42/997705942.db2.gz CDLFDHIJNHHOTI-ZDUSSCGKSA-N 0 2 316.354 0.707 20 0 DCADLN COc1c(C)[nH]cc(C[N@H+](C)Cc2cnc(C(=O)[O-])cn2)c1=O ZINC001599331548 997757455 /nfs/dbraw/zinc/75/74/55/997757455.db2.gz MSJCDSHOOGBGMQ-UHFFFAOYSA-N 0 2 318.333 0.812 20 0 DCADLN COc1c(C)[nH]cc(C[N@@H+](C)Cc2cnc(C(=O)[O-])cn2)c1=O ZINC001599331548 997757467 /nfs/dbraw/zinc/75/74/67/997757467.db2.gz MSJCDSHOOGBGMQ-UHFFFAOYSA-N 0 2 318.333 0.812 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cnc2c(c1)NC(=O)CO2 ZINC001467311249 1016156144 /nfs/dbraw/zinc/15/61/44/1016156144.db2.gz YJQVBFNBEUOJAY-UHFFFAOYSA-N 0 2 321.318 0.057 20 0 DCADLN CO[C@@H]1CC[N@H+](CC(=O)NCc2ccccc2)[C@@H](C(=O)[O-])C1 ZINC001599124647 997818032 /nfs/dbraw/zinc/81/80/32/997818032.db2.gz FPPGYFLONFQMIS-ZIAGYGMSSA-N 0 2 306.362 0.867 20 0 DCADLN CO[C@@H]1CC[N@@H+](CC(=O)NCc2ccccc2)[C@@H](C(=O)[O-])C1 ZINC001599124647 997818045 /nfs/dbraw/zinc/81/80/45/997818045.db2.gz FPPGYFLONFQMIS-ZIAGYGMSSA-N 0 2 306.362 0.867 20 0 DCADLN COCC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)Cc1ccccn1 ZINC001599233138 997899053 /nfs/dbraw/zinc/89/90/53/997899053.db2.gz DCDTZWJBFWETHP-HNNXBMFYSA-N 0 2 323.393 0.755 20 0 DCADLN COCC[N@@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)Cc1ccccn1 ZINC001599233138 997899060 /nfs/dbraw/zinc/89/90/60/997899060.db2.gz DCDTZWJBFWETHP-HNNXBMFYSA-N 0 2 323.393 0.755 20 0 DCADLN COCC[N@H+](CC(=O)N(C)C)Cc1csc(C(=O)[O-])c1 ZINC001599233342 997901052 /nfs/dbraw/zinc/90/10/52/997901052.db2.gz KFLBBTCWVJVJTP-UHFFFAOYSA-N 0 2 300.380 0.983 20 0 DCADLN COCC[N@@H+](CC(=O)N(C)C)Cc1csc(C(=O)[O-])c1 ZINC001599233342 997901065 /nfs/dbraw/zinc/90/10/65/997901065.db2.gz KFLBBTCWVJVJTP-UHFFFAOYSA-N 0 2 300.380 0.983 20 0 DCADLN CC(C)(C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001405734009 998515158 /nfs/dbraw/zinc/51/51/58/998515158.db2.gz WHHLICSDBDQHLW-NSHDSACASA-N 0 2 323.397 0.264 20 0 DCADLN COC(=O)c1ccc(C[NH2+]Cc2cn(CC(=O)[O-])nn2)cc1 ZINC001598975797 998598162 /nfs/dbraw/zinc/59/81/62/998598162.db2.gz PPXQYYLUGBZPOB-UHFFFAOYSA-N 0 2 304.306 0.439 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CCN1c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC001594170967 998656792 /nfs/dbraw/zinc/65/67/92/998656792.db2.gz NQGDTCWBLPCUSW-WDEREUQCSA-N 0 2 319.365 0.054 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CCN1c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC001594170967 998656797 /nfs/dbraw/zinc/65/67/97/998656797.db2.gz NQGDTCWBLPCUSW-WDEREUQCSA-N 0 2 319.365 0.054 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)CCSCC(=O)[O-] ZINC001594249479 998954170 /nfs/dbraw/zinc/95/41/70/998954170.db2.gz IFVDJNSTRPNKAP-NEPJUHHUSA-N 0 2 316.423 0.516 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCCC1(O)CCC1 ZINC001469117545 1016311704 /nfs/dbraw/zinc/31/17/04/1016311704.db2.gz TVRPASRPYUHDNJ-UHFFFAOYSA-N 0 2 318.377 0.685 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NCC(=O)OC(C)(C)C)[C@H](C(=O)[O-])C1 ZINC001594414610 999548141 /nfs/dbraw/zinc/54/81/41/999548141.db2.gz LCOAWMHHGWOWRV-QWRGUYRKSA-N 0 2 314.382 0.629 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NCC(=O)OC(C)(C)C)[C@H](C(=O)[O-])C1 ZINC001594414610 999548143 /nfs/dbraw/zinc/54/81/43/999548143.db2.gz LCOAWMHHGWOWRV-QWRGUYRKSA-N 0 2 314.382 0.629 20 0 DCADLN CN(CC1(C)COC1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001470258319 1016405104 /nfs/dbraw/zinc/40/51/04/1016405104.db2.gz SGIAIGDXLUEFAQ-UHFFFAOYSA-N 0 2 318.333 0.375 20 0 DCADLN O=C(CC[C@H]1CCCO1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418748243 1000491024 /nfs/dbraw/zinc/49/10/24/1000491024.db2.gz MXGHBSONIAXIPS-GFCCVEGCSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(CC[C@H]1CCCO1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418748243 1000491026 /nfs/dbraw/zinc/49/10/26/1000491026.db2.gz MXGHBSONIAXIPS-GFCCVEGCSA-N 0 2 323.397 0.550 20 0 DCADLN CCc1c(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001401766404 1000704308 /nfs/dbraw/zinc/70/43/08/1000704308.db2.gz YVDVVMSSDINMGJ-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CCc1c(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001401766404 1000704314 /nfs/dbraw/zinc/70/43/14/1000704314.db2.gz YVDVVMSSDINMGJ-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN COC(=O)c1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)s1 ZINC001470582950 1016461757 /nfs/dbraw/zinc/46/17/57/1016461757.db2.gz IWANESDSCNVFHI-UHFFFAOYSA-N 0 2 309.303 0.666 20 0 DCADLN COC(=O)c1ccc(C(=O)NCC2=NC(=O)CC(=O)N2)s1 ZINC001470582950 1016461764 /nfs/dbraw/zinc/46/17/64/1016461764.db2.gz IWANESDSCNVFHI-UHFFFAOYSA-N 0 2 309.303 0.666 20 0 DCADLN CC[C@H](C)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001402711922 1001478204 /nfs/dbraw/zinc/47/82/04/1001478204.db2.gz WIRUFRAXDMOYHZ-VHSXEESVSA-N 0 2 309.370 0.016 20 0 DCADLN CCO[C@H](C)C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001402729371 1001482796 /nfs/dbraw/zinc/48/27/96/1001482796.db2.gz KFIZIXBPMOYQDN-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCn1nncc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001470879240 1016498239 /nfs/dbraw/zinc/49/82/39/1016498239.db2.gz ISUIUUCKUFRJEO-VIFPVBQESA-N 0 2 320.357 0.211 20 0 DCADLN CC[C@H](OC)C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001402741122 1001486354 /nfs/dbraw/zinc/48/63/54/1001486354.db2.gz WFCSNFYDYLILKZ-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C)CC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402742222 1001487502 /nfs/dbraw/zinc/48/75/02/1001487502.db2.gz XKNOLYCNDVVUCG-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C)CC(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402742222 1001487504 /nfs/dbraw/zinc/48/75/04/1001487504.db2.gz XKNOLYCNDVVUCG-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@]12C[C@@H]1CCCC2 ZINC001420009491 1001761251 /nfs/dbraw/zinc/76/12/51/1001761251.db2.gz HUMZVKRFZPYWAE-NHYWBVRUSA-N 0 2 307.398 0.981 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@]12C[C@@H]1CCCC2 ZINC001420009491 1001761255 /nfs/dbraw/zinc/76/12/55/1001761255.db2.gz HUMZVKRFZPYWAE-NHYWBVRUSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1nocc1C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507831154 1016582022 /nfs/dbraw/zinc/58/20/22/1016582022.db2.gz CSDCXZURZHPYHH-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN Cc1nocc1C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507831154 1016582036 /nfs/dbraw/zinc/58/20/36/1016582036.db2.gz CSDCXZURZHPYHH-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN C[C@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001420335558 1002242501 /nfs/dbraw/zinc/24/25/01/1002242501.db2.gz WAJRYHXRUOUWLU-SNVBAGLBSA-N 0 2 307.354 0.845 20 0 DCADLN C[C@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001420335558 1002242507 /nfs/dbraw/zinc/24/25/07/1002242507.db2.gz WAJRYHXRUOUWLU-SNVBAGLBSA-N 0 2 307.354 0.845 20 0 DCADLN C[N@H+](CCNC(=O)c1c[nH]cc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001403719820 1002417266 /nfs/dbraw/zinc/41/72/66/1002417266.db2.gz QHGPLAGHINSTPM-UHFFFAOYSA-N 0 2 304.354 0.578 20 0 DCADLN C[N@@H+](CCNC(=O)c1c[nH]cc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001403719820 1002417279 /nfs/dbraw/zinc/41/72/79/1002417279.db2.gz QHGPLAGHINSTPM-UHFFFAOYSA-N 0 2 304.354 0.578 20 0 DCADLN CO[C@@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001403748980 1002461557 /nfs/dbraw/zinc/46/15/57/1002461557.db2.gz JCFLPVRXQUIUTH-CYBMUJFWSA-N 0 2 319.365 0.446 20 0 DCADLN CO[C@@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001403748980 1002461566 /nfs/dbraw/zinc/46/15/66/1002461566.db2.gz JCFLPVRXQUIUTH-CYBMUJFWSA-N 0 2 319.365 0.446 20 0 DCADLN CC[N@H+](CCNC(=O)c1n[nH]c(C)c1C)Cc1n[nH]c(=O)[n-]1 ZINC001403825481 1002581762 /nfs/dbraw/zinc/58/17/62/1002581762.db2.gz NTLBQVZNQBIIIN-UHFFFAOYSA-N 0 2 307.358 0.102 20 0 DCADLN CC[N@@H+](CCNC(=O)c1n[nH]c(C)c1C)Cc1n[nH]c(=O)[n-]1 ZINC001403825481 1002581769 /nfs/dbraw/zinc/58/17/69/1002581769.db2.gz NTLBQVZNQBIIIN-UHFFFAOYSA-N 0 2 307.358 0.102 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1(CCF)CC1 ZINC001420668878 1002776663 /nfs/dbraw/zinc/77/66/63/1002776663.db2.gz NBPXHTLNUQNBMY-UHFFFAOYSA-N 0 2 311.361 0.731 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1(CCF)CC1 ZINC001420668878 1002776670 /nfs/dbraw/zinc/77/66/70/1002776670.db2.gz NBPXHTLNUQNBMY-UHFFFAOYSA-N 0 2 311.361 0.731 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](CO)CCc2ccccc2)S1 ZINC001471927841 1016689363 /nfs/dbraw/zinc/68/93/63/1016689363.db2.gz KNUSZXIYJADIMA-VXGBXAGGSA-N 0 2 321.402 0.653 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001404058526 1002953627 /nfs/dbraw/zinc/95/36/27/1002953627.db2.gz ZYKXUYXMOLISIT-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCCN1C(=O)[C@H](F)C(F)(F)F ZINC001404058526 1002953639 /nfs/dbraw/zinc/95/36/39/1002953639.db2.gz ZYKXUYXMOLISIT-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CCn1cccc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472085747 1016723921 /nfs/dbraw/zinc/72/39/21/1016723921.db2.gz VJEBPGTYJVSWJS-UHFFFAOYSA-N 0 2 311.367 0.101 20 0 DCADLN COC(=O)Cc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1F ZINC001425928520 1003262194 /nfs/dbraw/zinc/26/21/94/1003262194.db2.gz SIPDWYQYZKFVLF-UHFFFAOYSA-N 0 2 323.284 0.687 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001421133734 1003368266 /nfs/dbraw/zinc/36/82/66/1003368266.db2.gz OEWPGBIIAPKMKD-IONNQARKSA-N 0 2 323.294 0.825 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001421133734 1003368272 /nfs/dbraw/zinc/36/82/72/1003368272.db2.gz OEWPGBIIAPKMKD-IONNQARKSA-N 0 2 323.294 0.825 20 0 DCADLN C[C@@]1(NC(=O)C[C@@H]2CCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421183022 1003410739 /nfs/dbraw/zinc/41/07/39/1003410739.db2.gz JCHNHQNOTLETIK-IINYFYTJSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(NC(=O)C[C@@H]2CCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421183022 1003410744 /nfs/dbraw/zinc/41/07/44/1003410744.db2.gz JCHNHQNOTLETIK-IINYFYTJSA-N 0 2 309.370 0.018 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2cc(C3CC3)ncn2)[nH]1 ZINC001421276903 1003497146 /nfs/dbraw/zinc/49/71/46/1003497146.db2.gz CBVYHGCDCJWHNQ-UHFFFAOYSA-N 0 2 308.367 0.629 20 0 DCADLN O=C(CCCF)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001513026158 1016786141 /nfs/dbraw/zinc/78/61/41/1016786141.db2.gz GTLCQYOKQZEGHA-ZXFLCMHBSA-N 0 2 318.242 0.324 20 0 DCADLN O=C(CCCF)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001513026158 1016786152 /nfs/dbraw/zinc/78/61/52/1016786152.db2.gz GTLCQYOKQZEGHA-ZXFLCMHBSA-N 0 2 318.242 0.324 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)NC[C@H](C)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001426678746 1003960917 /nfs/dbraw/zinc/96/09/17/1003960917.db2.gz MQTZIAVFDGKZMK-ZDUSSCGKSA-N 0 2 323.441 0.646 20 0 DCADLN O=C(CCC(F)(F)F)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001406843158 1004143387 /nfs/dbraw/zinc/14/33/87/1004143387.db2.gz OCFDBVCSWMQVFE-ZETCQYMHSA-N 0 2 307.276 0.543 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001429067182 1004364301 /nfs/dbraw/zinc/36/43/01/1004364301.db2.gz QHCKGHPJKKKNMI-BWVDBABLSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001429067182 1004364307 /nfs/dbraw/zinc/36/43/07/1004364307.db2.gz QHCKGHPJKKKNMI-BWVDBABLSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001427582156 1004473658 /nfs/dbraw/zinc/47/36/58/1004473658.db2.gz VFBSKXMIWIFBIX-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001427582156 1004473662 /nfs/dbraw/zinc/47/36/62/1004473662.db2.gz VFBSKXMIWIFBIX-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@H](C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001429305725 1004520460 /nfs/dbraw/zinc/52/04/60/1004520460.db2.gz WNPOHRVOUVGMCK-RKDXNWHRSA-N 0 2 324.278 0.919 20 0 DCADLN C[C@H](C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)n1cccn1 ZINC001429305725 1004520463 /nfs/dbraw/zinc/52/04/63/1004520463.db2.gz WNPOHRVOUVGMCK-RKDXNWHRSA-N 0 2 324.278 0.919 20 0 DCADLN COC[C@@H](OC)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001429480701 1004624025 /nfs/dbraw/zinc/62/40/25/1004624025.db2.gz UKZPYJUERQIWOZ-HTQZYQBOSA-N 0 2 318.267 0.123 20 0 DCADLN COC[C@@H](OC)C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001429480701 1004624027 /nfs/dbraw/zinc/62/40/27/1004624027.db2.gz UKZPYJUERQIWOZ-HTQZYQBOSA-N 0 2 318.267 0.123 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001429519287 1004645626 /nfs/dbraw/zinc/64/56/26/1004645626.db2.gz SQONNPSRHXSRTP-IWSPIJDZSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001429519287 1004645629 /nfs/dbraw/zinc/64/56/29/1004645629.db2.gz SQONNPSRHXSRTP-IWSPIJDZSA-N 0 2 314.279 0.621 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)NCC1(O)C[NH+](CC2CCC2)C1 ZINC001407428357 1004646008 /nfs/dbraw/zinc/64/60/08/1004646008.db2.gz FQAHJHYIDCNSPL-UHFFFAOYSA-N 0 2 320.437 0.935 20 0 DCADLN CC(C)(F)CCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001427947124 1004698549 /nfs/dbraw/zinc/69/85/49/1004698549.db2.gz WOVVYDFLGYVPBR-UHFFFAOYSA-N 0 2 321.378 0.287 20 0 DCADLN CC(C)c1ocnc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506035001 1016925429 /nfs/dbraw/zinc/92/54/29/1016925429.db2.gz ZYPDKOQJDGVKMB-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1ocnc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506035001 1016925440 /nfs/dbraw/zinc/92/54/40/1016925440.db2.gz ZYPDKOQJDGVKMB-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC[C@@H](C)CC(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001407969887 1004965238 /nfs/dbraw/zinc/96/52/38/1004965238.db2.gz PFNBRGKTNWSOMJ-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001414784898 1005402073 /nfs/dbraw/zinc/40/20/73/1005402073.db2.gz YMCZYOFLBCVRTN-CAHLUQPWSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cn[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001414784898 1005402074 /nfs/dbraw/zinc/40/20/74/1005402074.db2.gz YMCZYOFLBCVRTN-CAHLUQPWSA-N 0 2 309.223 0.036 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001415003248 1005440394 /nfs/dbraw/zinc/44/03/94/1005440394.db2.gz WBGNOJIICSDZHM-DSYKOEDSSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001415003248 1005440395 /nfs/dbraw/zinc/44/03/95/1005440395.db2.gz WBGNOJIICSDZHM-DSYKOEDSSA-N 0 2 323.250 0.093 20 0 DCADLN CCOCC(=O)N1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001415289742 1005493762 /nfs/dbraw/zinc/49/37/62/1005493762.db2.gz UIGKQWGSKWLFLI-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN CCOCC(=O)N1CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001415289742 1005493763 /nfs/dbraw/zinc/49/37/63/1005493763.db2.gz UIGKQWGSKWLFLI-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416033674 1005652844 /nfs/dbraw/zinc/65/28/44/1005652844.db2.gz VBKOJVVRHVFIRE-HTRCEHHLSA-N 0 2 300.252 0.496 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416033674 1005652846 /nfs/dbraw/zinc/65/28/46/1005652846.db2.gz VBKOJVVRHVFIRE-HTRCEHHLSA-N 0 2 300.252 0.496 20 0 DCADLN C[C@H](CNC(=O)CCc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001416819039 1005741371 /nfs/dbraw/zinc/74/13/71/1005741371.db2.gz KJRGSZASKKOXIS-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H]1[C@H](C)OCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417687014 1005868441 /nfs/dbraw/zinc/86/84/41/1005868441.db2.gz AZGZWKOQGUYHSB-ZJUUUORDSA-N 0 2 318.333 0.516 20 0 DCADLN O=C(c1ccc(CO)nc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001417727764 1005877679 /nfs/dbraw/zinc/87/76/79/1005877679.db2.gz YQXJHPGAWPLSDX-JTQLQIEISA-N 0 2 303.322 0.417 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@]1(C)CCCOC1 ZINC001436332982 1006125232 /nfs/dbraw/zinc/12/52/32/1006125232.db2.gz ZLPGDHZIHGINRZ-XHDPSFHLSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(CCCn1c(=O)[n-][nH]c1=O)N[C@@H]1CCC[N@@H+](CCF)C1 ZINC001436688344 1006261611 /nfs/dbraw/zinc/26/16/11/1006261611.db2.gz ZHWBLBUTLGQOBA-SNVBAGLBSA-N 0 2 315.349 0.020 20 0 DCADLN O=C(CCCn1c(=O)[n-][nH]c1=O)N[C@@H]1CCC[N@H+](CCF)C1 ZINC001436688344 1006261624 /nfs/dbraw/zinc/26/16/24/1006261624.db2.gz ZHWBLBUTLGQOBA-SNVBAGLBSA-N 0 2 315.349 0.020 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001439105372 1006473560 /nfs/dbraw/zinc/47/35/60/1006473560.db2.gz BTQNUZPWJYLKCD-VXNVDRBHSA-N 0 2 322.369 0.838 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCC(C)(F)F ZINC001439337695 1006722797 /nfs/dbraw/zinc/72/27/97/1006722797.db2.gz HQLDEPUIFUBADI-MRVPVSSYSA-N 0 2 305.329 0.882 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCC(C)(F)F ZINC001439337695 1006722806 /nfs/dbraw/zinc/72/28/06/1006722806.db2.gz HQLDEPUIFUBADI-MRVPVSSYSA-N 0 2 305.329 0.882 20 0 DCADLN COc1nc(C)ccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437520611 1007087214 /nfs/dbraw/zinc/08/72/14/1007087214.db2.gz AYYRAYAHUZFZFL-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN COc1nc(C)ccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437520611 1007087226 /nfs/dbraw/zinc/08/72/26/1007087226.db2.gz AYYRAYAHUZFZFL-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001453161810 1007281138 /nfs/dbraw/zinc/28/11/38/1007281138.db2.gz DOFYZDHGJJFPOK-SVRRBLITSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001453161810 1007281142 /nfs/dbraw/zinc/28/11/42/1007281142.db2.gz DOFYZDHGJJFPOK-SVRRBLITSA-N 0 2 323.250 0.344 20 0 DCADLN Cn1nnc(CN2CC[C@@H](CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001437711290 1007300279 /nfs/dbraw/zinc/30/02/79/1007300279.db2.gz ZJPWNXMYFQTUBR-IONNQARKSA-N 0 2 324.282 0.049 20 0 DCADLN Cn1nnc(CN2CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001437711290 1007300290 /nfs/dbraw/zinc/30/02/90/1007300290.db2.gz ZJPWNXMYFQTUBR-IONNQARKSA-N 0 2 324.282 0.049 20 0 DCADLN CCc1cc(=O)n2nc(NC(=O)c3ccc(OC)o3)[nH]c2n1 ZINC001438061372 1007613392 /nfs/dbraw/zinc/61/33/92/1007613392.db2.gz HQLXVZYICCYCCW-UHFFFAOYSA-N 0 2 303.278 0.834 20 0 DCADLN COCC1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001438169457 1007685166 /nfs/dbraw/zinc/68/51/66/1007685166.db2.gz RMAPGLSCEQEJIW-QWRGUYRKSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(F)cc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440897582 1008093749 /nfs/dbraw/zinc/09/37/49/1008093749.db2.gz LDNWSKZONVBXSE-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(F)cc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440897582 1008093757 /nfs/dbraw/zinc/09/37/57/1008093757.db2.gz LDNWSKZONVBXSE-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN C[C@H](NC(=O)C(C1CC1)C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441220053 1008276431 /nfs/dbraw/zinc/27/64/31/1008276431.db2.gz WYKMPTBHIBOBNG-VIFPVBQESA-N 0 2 319.409 0.883 20 0 DCADLN Cc1cnc([C@@H](C)[NH2+][C@H](CO)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001441684819 1008458362 /nfs/dbraw/zinc/45/83/62/1008458362.db2.gz NNRLLQNQLSDJQN-ZJUUUORDSA-N 0 2 323.353 0.162 20 0 DCADLN O=C(CC1CC1)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433229121 1008620443 /nfs/dbraw/zinc/62/04/43/1008620443.db2.gz XETURPUGVNWMCU-CBAPKCEASA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001433229121 1008620449 /nfs/dbraw/zinc/62/04/49/1008620449.db2.gz XETURPUGVNWMCU-CBAPKCEASA-N 0 2 300.252 0.280 20 0 DCADLN Cc1nc(N(C)C)sc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001454192353 1008992331 /nfs/dbraw/zinc/99/23/31/1008992331.db2.gz YNGPMTFJDWBYFZ-UHFFFAOYSA-N 0 2 309.351 0.649 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1c(F)cccc1-n1cccn1 ZINC001454277652 1009034068 /nfs/dbraw/zinc/03/40/68/1009034068.db2.gz MNERVJRRNWAEOM-UHFFFAOYSA-N 0 2 315.264 0.658 20 0 DCADLN CC(C)(C)[C@@H](Cn1cc[nH+]c1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001442213609 1009104395 /nfs/dbraw/zinc/10/43/95/1009104395.db2.gz MZCGWOOLBMUIKU-MRVPVSSYSA-N 0 2 306.326 0.324 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccccc2-c2nn[nH]n2)S1 ZINC001454415524 1009126404 /nfs/dbraw/zinc/12/64/04/1009126404.db2.gz HYUSBWBRKQRPGB-MRVPVSSYSA-N 0 2 317.334 0.362 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)N1CCO[C@@H](C[NH2+]Cc2ncccn2)C1 ZINC001421818057 1009130091 /nfs/dbraw/zinc/13/00/91/1009130091.db2.gz AKNMHMDUTYGHIN-AWEZNQCLSA-N 0 2 321.425 0.134 20 0 DCADLN CC(C)C[C@H](NC(=O)C(C)(C)C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001433767902 1009161827 /nfs/dbraw/zinc/16/18/27/1009161827.db2.gz AMMGAKRKTXBPFO-VIFPVBQESA-N 0 2 311.386 0.704 20 0 DCADLN CCOC(=O)c1noc(CN2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001421850761 1009163469 /nfs/dbraw/zinc/16/34/69/1009163469.db2.gz MHKCTLYNRSBDJX-MRVPVSSYSA-N 0 2 322.325 0.450 20 0 DCADLN CCOC1CC2(C[C@H]2C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001442522722 1009439881 /nfs/dbraw/zinc/43/98/81/1009439881.db2.gz VFLIMQOMKRSUML-VTWZXRTESA-N 0 2 323.397 0.264 20 0 DCADLN CCOC1CC2(C[C@H]2C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001442522722 1009439888 /nfs/dbraw/zinc/43/98/88/1009439888.db2.gz VFLIMQOMKRSUML-VTWZXRTESA-N 0 2 323.397 0.264 20 0 DCADLN NC(=O)Cn1cc(NC(=O)c2cc(F)c(O)c(F)c2)ccc1=O ZINC001434160238 1009623409 /nfs/dbraw/zinc/62/34/09/1009623409.db2.gz SIWULILLRYMQTM-UHFFFAOYSA-N 0 2 323.255 0.570 20 0 DCADLN C[C@H](NC(=O)c1cn(C[C@H]2CCOC2)nn1)c1nn(C)cc1O ZINC001434405668 1009913212 /nfs/dbraw/zinc/91/32/12/1009913212.db2.gz BDRILPSWTCBKLT-VHSXEESVSA-N 0 2 320.353 0.245 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CCC1(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422516672 1010226325 /nfs/dbraw/zinc/22/63/25/1010226325.db2.gz BUHRYZRRNNFLHK-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CCC1(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422516672 1010226331 /nfs/dbraw/zinc/22/63/31/1010226331.db2.gz BUHRYZRRNNFLHK-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cncn1C ZINC001508898929 1017091804 /nfs/dbraw/zinc/09/18/04/1017091804.db2.gz IPJHPNBHHPQTBJ-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cncn1C ZINC001508898929 1017091818 /nfs/dbraw/zinc/09/18/18/1017091818.db2.gz IPJHPNBHHPQTBJ-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@H]1CCCC2(CCC2)O1 ZINC001475349333 1017095989 /nfs/dbraw/zinc/09/59/89/1017095989.db2.gz KPFUHBMCZSDWFC-MNOVXSKESA-N 0 2 323.386 0.909 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)C2CC2)C1)[NH+](C)C ZINC001443773441 1010645214 /nfs/dbraw/zinc/64/52/14/1010645214.db2.gz ZOGHFXOHBNPDPA-YUELXQCFSA-N 0 2 324.469 0.432 20 0 DCADLN CCN1CCN(C2CN(C(=O)C(=O)c3ccc(O)cc3)C2)CC1 ZINC001520751984 1017306723 /nfs/dbraw/zinc/30/67/23/1017306723.db2.gz NFZPYKBMHZQRLZ-UHFFFAOYSA-N 0 2 317.389 0.423 20 0 DCADLN CCN(C(=O)COCC1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443832354 1010691507 /nfs/dbraw/zinc/69/15/07/1010691507.db2.gz GEGKJCXMNDMQDB-GFCCVEGCSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)COCC1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443832354 1010691511 /nfs/dbraw/zinc/69/15/11/1010691511.db2.gz GEGKJCXMNDMQDB-GFCCVEGCSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cccc(CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001423108036 1010894311 /nfs/dbraw/zinc/89/43/11/1010894311.db2.gz HGNSYHZPZWQUNA-ZDUSSCGKSA-N 0 2 315.377 0.752 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001444205274 1010904363 /nfs/dbraw/zinc/90/43/63/1010904363.db2.gz CUVGVJRCSPPKCH-YUMQZZPRSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001444205274 1010904368 /nfs/dbraw/zinc/90/43/68/1010904368.db2.gz CUVGVJRCSPPKCH-YUMQZZPRSA-N 0 2 311.235 0.122 20 0 DCADLN CC1(C(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001444212042 1010907446 /nfs/dbraw/zinc/90/74/46/1010907446.db2.gz HTVZEFHBCCFKAA-NKWVEPMBSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001444212042 1010907443 /nfs/dbraw/zinc/90/74/43/1010907443.db2.gz HTVZEFHBCCFKAA-NKWVEPMBSA-N 0 2 300.252 0.280 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001423135054 1010916869 /nfs/dbraw/zinc/91/68/69/1010916869.db2.gz WMYSRAPMZJZSBF-NXEZZACHSA-N 0 2 305.338 0.598 20 0 DCADLN O=C(CCc1nccs1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423169346 1010938112 /nfs/dbraw/zinc/93/81/12/1010938112.db2.gz DYOIIMBHCFFXPN-VIFPVBQESA-N 0 2 322.394 0.290 20 0 DCADLN CCNC(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C[NH+](C)C(C)C ZINC001423330151 1011079455 /nfs/dbraw/zinc/07/94/55/1011079455.db2.gz ZIXXISWQTNJLSK-CABCVRRESA-N 0 2 324.469 0.528 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)Cc2cnoc2C)c1[O-] ZINC001444971471 1011265302 /nfs/dbraw/zinc/26/53/02/1011265302.db2.gz LHYDIIWPIOOKLH-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)Cc2cnoc2C)c1[O-] ZINC001444971471 1011265315 /nfs/dbraw/zinc/26/53/15/1011265315.db2.gz LHYDIIWPIOOKLH-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN C[C@H](NC(=O)Cn1nnc2c1CCCC2)c1nn(C)cc1O ZINC001456786580 1011461337 /nfs/dbraw/zinc/46/13/37/1011461337.db2.gz GDXVNICLKZISCA-VIFPVBQESA-N 0 2 304.354 0.473 20 0 DCADLN C[C@H](CNC(=O)CC1(O)CCC1)NC(=O)C(F)C(F)(F)F ZINC001431655868 1011508442 /nfs/dbraw/zinc/50/84/42/1011508442.db2.gz LEBKEKDKFOQJQC-APPZFPTMSA-N 0 2 314.279 0.813 20 0 DCADLN C[C@H](CNC(=O)CC1(O)CCC1)NC(=O)[C@H](F)C(F)(F)F ZINC001431655868 1011508453 /nfs/dbraw/zinc/50/84/53/1011508453.db2.gz LEBKEKDKFOQJQC-APPZFPTMSA-N 0 2 314.279 0.813 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCC(OC)OC)=N2)cc1 ZINC001457267872 1011635568 /nfs/dbraw/zinc/63/55/68/1011635568.db2.gz PBRMYPDSXGRTTI-UHFFFAOYSA-N 0 2 321.333 0.935 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1coc2c1C(=O)NCCC2 ZINC001457672343 1011799799 /nfs/dbraw/zinc/79/97/99/1011799799.db2.gz UYZVZMNZXQFKEI-UHFFFAOYSA-N 0 2 319.321 0.142 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cnccn1 ZINC001535098839 1011800602 /nfs/dbraw/zinc/80/06/02/1011800602.db2.gz NRSBPUVTBZTWJS-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1cnccn1 ZINC001535098839 1011800620 /nfs/dbraw/zinc/80/06/20/1011800620.db2.gz NRSBPUVTBZTWJS-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cocn1 ZINC001535172550 1011840211 /nfs/dbraw/zinc/84/02/11/1011840211.db2.gz UUTIVAWCWONIKI-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1cocn1 ZINC001535172550 1011840227 /nfs/dbraw/zinc/84/02/27/1011840227.db2.gz UUTIVAWCWONIKI-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN Cc1c[nH]nc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001535251866 1011888235 /nfs/dbraw/zinc/88/82/35/1011888235.db2.gz ULXQSUNTPMKNPU-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1c[nH]nc1C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001535251866 1011888251 /nfs/dbraw/zinc/88/82/51/1011888251.db2.gz ULXQSUNTPMKNPU-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN O=c1[nH]nc(CCCNc2ccnc(-c3nccs3)n2)[nH]1 ZINC001458208808 1012104477 /nfs/dbraw/zinc/10/44/77/1012104477.db2.gz MTCHOYKRXYKAIC-UHFFFAOYSA-N 0 2 303.351 0.890 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ncccn1 ZINC001424185596 1012140414 /nfs/dbraw/zinc/14/04/14/1012140414.db2.gz VZEPNJDBLIJVLR-YUMQZZPRSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ncccn1 ZINC001424185596 1012140422 /nfs/dbraw/zinc/14/04/22/1012140422.db2.gz VZEPNJDBLIJVLR-YUMQZZPRSA-N 0 2 322.262 0.954 20 0 DCADLN CCn1ncn(NC(=O)c2cnnn2-c2cccc(F)c2)c1=O ZINC001556825924 1012235680 /nfs/dbraw/zinc/23/56/80/1012235680.db2.gz SIUYDBKQIQRQSM-UHFFFAOYSA-N 0 2 317.284 0.168 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)C(F)(F)F ZINC001445779401 1012253289 /nfs/dbraw/zinc/25/32/89/1012253289.db2.gz BNRJGXKFXPPNRZ-BQBZGAKWSA-N 0 2 309.292 0.645 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)C(F)(F)F ZINC001445779401 1012253311 /nfs/dbraw/zinc/25/33/11/1012253311.db2.gz BNRJGXKFXPPNRZ-BQBZGAKWSA-N 0 2 309.292 0.645 20 0 DCADLN CCn1cc(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)cn1 ZINC001540726290 1012459974 /nfs/dbraw/zinc/45/99/74/1012459974.db2.gz FKJBQWPQMGKCAA-UHFFFAOYSA-N 0 2 314.305 0.735 20 0 DCADLN Cc1nc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC001432426784 1012471698 /nfs/dbraw/zinc/47/16/98/1012471698.db2.gz FCJOAGVLXGWEES-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1nc(C(=O)N2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)c[nH]1 ZINC001432426784 1012471712 /nfs/dbraw/zinc/47/17/12/1012471712.db2.gz FCJOAGVLXGWEES-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN CCOCC(=O)N[C@@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001424461037 1012519514 /nfs/dbraw/zinc/51/95/14/1012519514.db2.gz IEFMWTAQVXBHBL-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N[C@@H](C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001424461037 1012519533 /nfs/dbraw/zinc/51/95/33/1012519533.db2.gz IEFMWTAQVXBHBL-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446118831 1012610895 /nfs/dbraw/zinc/61/08/95/1012610895.db2.gz VNXILESZASLKQT-QWRGUYRKSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2cnon2)C1 ZINC001432555958 1012618793 /nfs/dbraw/zinc/61/87/93/1012618793.db2.gz AFCOUKQSOUOXSD-XCBNKYQSSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@]1(NC(=O)[C@@H](F)C(F)(F)F)CCN(C(=O)c2cnon2)C1 ZINC001432555958 1012618805 /nfs/dbraw/zinc/61/88/05/1012618805.db2.gz AFCOUKQSOUOXSD-XCBNKYQSSA-N 0 2 324.234 0.691 20 0 DCADLN CC(=O)N1CC(C(=O)Nc2nccc(Br)c2O)C1 ZINC001548480374 1012650339 /nfs/dbraw/zinc/65/03/39/1012650339.db2.gz TWLKLHUGVXRGTN-UHFFFAOYSA-N 0 2 314.139 0.967 20 0 DCADLN Cc1nc([C@@H]2CCC[N@@H+](CCN3C(=O)N[C@@H](C)C3=O)C2)n[nH]1 ZINC001459843594 1012831588 /nfs/dbraw/zinc/83/15/88/1012831588.db2.gz XCZQDMMEGUQMQC-GXSJLCMTSA-N 0 2 306.370 0.233 20 0 DCADLN Cc1nc([C@@H]2CCC[N@H+](CCN3C(=O)N[C@@H](C)C3=O)C2)n[nH]1 ZINC001459843594 1012831600 /nfs/dbraw/zinc/83/16/00/1012831600.db2.gz XCZQDMMEGUQMQC-GXSJLCMTSA-N 0 2 306.370 0.233 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001429841235 1012849517 /nfs/dbraw/zinc/84/95/17/1012849517.db2.gz UFXOJODRLWYKMR-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001429841235 1012849540 /nfs/dbraw/zinc/84/95/40/1012849540.db2.gz UFXOJODRLWYKMR-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001461020007 1013006574 /nfs/dbraw/zinc/00/65/74/1013006574.db2.gz FTEJNPWNUCXQJD-HTRCEHHLSA-N 0 2 314.235 0.167 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001461020007 1013006587 /nfs/dbraw/zinc/00/65/87/1013006587.db2.gz FTEJNPWNUCXQJD-HTRCEHHLSA-N 0 2 314.235 0.167 20 0 DCADLN CCCC[C@H](C[NH2+]Cc1nnc(C)[nH]1)NC(=O)[C@@H]1CCC[N@@H+]1C ZINC001506546172 1017351877 /nfs/dbraw/zinc/35/18/77/1017351877.db2.gz YHNZVYDONUPYLL-KGLIPLIRSA-N 0 2 322.457 0.972 20 0 DCADLN NC(=O)[C@H]1CC[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001478805080 1017391849 /nfs/dbraw/zinc/39/18/49/1017391849.db2.gz FEFHMSOQLAZRHE-IVZWLZJFSA-N 0 2 322.369 0.053 20 0 DCADLN C[C@H](NC(=O)C(C)(C)C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506645676 1017434435 /nfs/dbraw/zinc/43/44/35/1017434435.db2.gz SUAYCNJSGOPCMZ-VIFPVBQESA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](NC(=O)C[N@@H+]1CC[C@@H](C)C1)C1C[NH+](Cc2cncn2C)C1 ZINC001506656360 1017439779 /nfs/dbraw/zinc/43/97/79/1017439779.db2.gz SBZKHNPJUSQVJO-ZIAGYGMSSA-N 0 2 319.453 0.698 20 0 DCADLN CCCOCC(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]c[nH+]c1C ZINC001497127563 1017898389 /nfs/dbraw/zinc/89/83/89/1017898389.db2.gz UQWRENFPNFMNAG-CYBMUJFWSA-N 0 2 322.409 0.794 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001498006857 1018578206 /nfs/dbraw/zinc/57/82/06/1018578206.db2.gz BZBARYAMXPZYHM-POYBYMJQSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2cn[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001498006857 1018578214 /nfs/dbraw/zinc/57/82/14/1018578214.db2.gz BZBARYAMXPZYHM-POYBYMJQSA-N 0 2 323.250 0.426 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H](C)c1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001493045704 1019039338 /nfs/dbraw/zinc/03/93/38/1019039338.db2.gz XGNRFQNQUMZYAV-NSHDSACASA-N 0 2 318.381 0.647 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H](C)c1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001493045704 1019039351 /nfs/dbraw/zinc/03/93/51/1019039351.db2.gz XGNRFQNQUMZYAV-NSHDSACASA-N 0 2 318.381 0.647 20 0 DCADLN COCC1(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)CC1 ZINC001496274815 1019208807 /nfs/dbraw/zinc/20/88/07/1019208807.db2.gz MGDPKXRFHVIZLX-MRVPVSSYSA-N 0 2 314.279 0.888 20 0 DCADLN COCC1(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001496274815 1019208814 /nfs/dbraw/zinc/20/88/14/1019208814.db2.gz MGDPKXRFHVIZLX-MRVPVSSYSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cnon1 ZINC001493663683 1019605237 /nfs/dbraw/zinc/60/52/37/1019605237.db2.gz KAGLLCUSKFGLMX-POYBYMJQSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1cnon1 ZINC001493663683 1019605250 /nfs/dbraw/zinc/60/52/50/1019605250.db2.gz KAGLLCUSKFGLMX-POYBYMJQSA-N 0 2 324.234 0.595 20 0 DCADLN COC(=O)c1ccc(C[NH2+][C@](C)(Cn2cccn2)C(=O)[O-])o1 ZINC001606588716 1126231905 /nfs/dbraw/zinc/23/19/05/1126231905.db2.gz DOUICTSAWTYTQI-CQSZACIVSA-N 0 2 307.306 0.896 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1CCO[C@@H]([C@H](C)O)C1 ZINC001610570655 1171256991 /nfs/dbraw/zinc/25/69/91/1171256991.db2.gz GXUAVTQRQGVDMJ-GXTWGEPZSA-N 0 2 311.382 0.556 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1CCO[C@@H]([C@H](C)O)C1 ZINC001610570655 1171257000 /nfs/dbraw/zinc/25/70/00/1171257000.db2.gz GXUAVTQRQGVDMJ-GXTWGEPZSA-N 0 2 311.382 0.556 20 0 DCADLN O=C(c1ccc(F)cc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092618607 185329377 /nfs/dbraw/zinc/32/93/77/185329377.db2.gz GWNUQIXLGLEZKA-UHFFFAOYSA-N 0 2 319.340 0.585 20 0 DCADLN CO[C@H](C)CN(C)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000286650999 219103499 /nfs/dbraw/zinc/10/34/99/219103499.db2.gz WJJHYQFHIZXFFB-MRVPVSSYSA-N 0 2 306.322 0.851 20 0 DCADLN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1ccc2nn[nH]c2c1 ZINC000272684360 210087525 /nfs/dbraw/zinc/08/75/25/210087525.db2.gz SNPIKDRMLSBYMS-MADCSZMMSA-N 0 2 303.322 0.172 20 0 DCADLN CCOC1CC(C(=O)Nc2nnc(NS(C)(=O)=O)s2)C1 ZINC000287167601 219355743 /nfs/dbraw/zinc/35/57/43/219355743.db2.gz MSMNDSCZQPYJEG-UHFFFAOYSA-N 0 2 320.396 0.663 20 0 DCADLN COC[C@@H](CO)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000280097385 215373201 /nfs/dbraw/zinc/37/32/01/215373201.db2.gz UHSAFQYHKNCMRP-SNVBAGLBSA-N 0 2 309.297 0.454 20 0 DCADLN CC[C@H]1C(=O)NCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000173166849 198190938 /nfs/dbraw/zinc/19/09/38/198190938.db2.gz YYKAUZSNGRNDPZ-LBPRGKRZSA-N 0 2 314.345 0.929 20 0 DCADLN CO[C@@]1(C)CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000280154346 215415835 /nfs/dbraw/zinc/41/58/35/215415835.db2.gz YDSZMECMUBOCNL-LBPRGKRZSA-N 0 2 300.384 0.608 20 0 DCADLN CCc1cnc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)s1 ZINC000174019521 198281274 /nfs/dbraw/zinc/28/12/74/198281274.db2.gz MSWXJGBVJKRVPZ-UHFFFAOYSA-N 0 2 314.392 0.944 20 0 DCADLN NS(=O)(=O)CCC[S@](=O)c1ccc(C(F)(F)F)cn1 ZINC000178546045 198886692 /nfs/dbraw/zinc/88/66/92/198886692.db2.gz AXTHYVYPPHHVIV-SFHVURJKSA-N 0 2 316.326 0.887 20 0 DCADLN CCc1nc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)no1 ZINC000180301222 199142976 /nfs/dbraw/zinc/14/29/76/199142976.db2.gz NCOPHXDKXSOXDY-UHFFFAOYSA-N 0 2 322.346 0.719 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N(CCc1ccccc1)[C@H]1CCOC1 ZINC000162900364 291245054 /nfs/dbraw/zinc/24/50/54/291245054.db2.gz OKSUUFUUWUBGAI-LBPRGKRZSA-N 0 2 302.334 0.572 20 0 DCADLN Cc1ccc(CNC(=O)c2nc(=O)[nH][nH]2)c(O[C@@H]2CCOC2)c1 ZINC000163167614 291245860 /nfs/dbraw/zinc/24/58/60/291245860.db2.gz GFTKTQHXAIROFA-LLVKDONJSA-N 0 2 318.333 0.504 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000566416011 291298908 /nfs/dbraw/zinc/29/89/08/291298908.db2.gz CYQAPFLTBDXDNB-GOEBONIOSA-N 0 2 316.442 0.195 20 0 DCADLN CCCc1nc(=NC(=O)NCC[N@@H+]2CCOC[C@@H]2C)s[n-]1 ZINC000566707684 291319439 /nfs/dbraw/zinc/31/94/39/291319439.db2.gz UZGNMHINPXAICK-JTQLQIEISA-N 0 2 313.427 0.755 20 0 DCADLN CCCc1nc(=NC(=O)NCC[N@H+]2CCOC[C@@H]2C)s[n-]1 ZINC000566707684 291319441 /nfs/dbraw/zinc/31/94/41/291319441.db2.gz UZGNMHINPXAICK-JTQLQIEISA-N 0 2 313.427 0.755 20 0 DCADLN NC(=O)[C@@H]1CCC[C@@H]1NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000422022331 240123470 /nfs/dbraw/zinc/12/34/70/240123470.db2.gz XAGUROLDVULJFC-NEPJUHHUSA-N 0 2 314.345 0.962 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000422694320 240179165 /nfs/dbraw/zinc/17/91/65/240179165.db2.gz SRUNLRWKRWSGSA-ZJUUUORDSA-N 0 2 321.333 0.598 20 0 DCADLN O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1cccc2[nH]nnc21 ZINC000567325131 291348594 /nfs/dbraw/zinc/34/85/94/291348594.db2.gz QAFQCCSKULQCLM-VIFPVBQESA-N 0 2 308.363 0.513 20 0 DCADLN C[C@H](NC(=O)C=Cc1ccccc1)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000493767952 241152630 /nfs/dbraw/zinc/15/26/30/241152630.db2.gz CCMTUWPLOMQXKV-DMEOUFDRSA-N 0 2 316.317 0.772 20 0 DCADLN C[C@H](C[C@H](O)c1ccccc1)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274991909 212061596 /nfs/dbraw/zinc/06/15/96/212061596.db2.gz RTFKMSPQSCZPFB-KOLCDFICSA-N 0 2 322.390 0.819 20 0 DCADLN CS(=O)(=O)[C@H]1CCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000282594632 217113918 /nfs/dbraw/zinc/11/39/18/217113918.db2.gz UEXRNDIHSFCTCS-QMMMGPOBSA-N 0 2 305.302 0.930 20 0 DCADLN COC(=O)[C@@]1(NC(=O)c2cc(F)c(O)c(F)c2)CCOC1 ZINC000273900958 211157928 /nfs/dbraw/zinc/15/79/28/211157928.db2.gz XDZCDTKDTGAJEP-CYBMUJFWSA-N 0 2 301.245 0.732 20 0 DCADLN COC[C@@H](CCO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000339448768 253086278 /nfs/dbraw/zinc/08/62/78/253086278.db2.gz QYWLJBACWDCQJF-LLVKDONJSA-N 0 2 305.334 0.705 20 0 DCADLN Cc1cc(C(=O)NCC2(O)CCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000346612918 254074950 /nfs/dbraw/zinc/07/49/50/254074950.db2.gz GCCMSCYMGZDOEP-UHFFFAOYSA-N 0 2 304.306 0.389 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2CCC(O)CC2)s[nH]1 ZINC000347653683 254175936 /nfs/dbraw/zinc/17/59/36/254175936.db2.gz OMWYHDNNSRTITD-UHFFFAOYSA-N 0 2 312.395 0.179 20 0 DCADLN Cc1[nH+]ccn1CCC[N@H+]1CCC[C@@H](N2CC[NH2+]CC2=O)C1 ZINC000348756100 254252513 /nfs/dbraw/zinc/25/25/13/254252513.db2.gz LXZOSHGALQXLKO-OAHLLOKOSA-N 0 2 305.426 0.478 20 0 DCADLN CNC(=O)c1ccc(OC)c(NS(=O)(=O)CCOC)c1 ZINC000348738905 254251127 /nfs/dbraw/zinc/25/11/27/254251127.db2.gz IPAFPAYLSDGZHP-UHFFFAOYSA-N 0 2 302.352 0.443 20 0 DCADLN CC(C)C[C@H]1C[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)CC(=O)N1 ZINC000350864633 254327335 /nfs/dbraw/zinc/32/73/35/254327335.db2.gz IXNKLRAHHPCVGB-QWRGUYRKSA-N 0 2 323.397 0.500 20 0 DCADLN O=C([O-])c1cccc(N2CCN(CC(F)(F)F)C(=O)C2)[nH+]1 ZINC000263431563 304262178 /nfs/dbraw/zinc/26/21/78/304262178.db2.gz TUEUJSZYEKBOKD-UHFFFAOYSA-N 0 2 303.240 0.991 20 0 DCADLN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC000275602809 291957925 /nfs/dbraw/zinc/95/79/25/291957925.db2.gz PVHFMENHNAGLCW-GHMZBOCLSA-N 0 2 315.272 0.809 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(-c2cccnc2)n[nH]1 ZINC000285249241 131150880 /nfs/dbraw/zinc/15/08/80/131150880.db2.gz OHOGXJQTTLDJHI-VIFPVBQESA-N 0 2 323.378 0.690 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(-c2cccnc2)[nH]n1 ZINC000285249241 131150882 /nfs/dbraw/zinc/15/08/82/131150882.db2.gz OHOGXJQTTLDJHI-VIFPVBQESA-N 0 2 323.378 0.690 20 0 DCADLN Cc1ccc(-n2nnnc2SCc2n[nH]c(=O)[nH]2)c(C)c1 ZINC000091557386 395725857 /nfs/dbraw/zinc/72/58/57/395725857.db2.gz GRHGCZSLYSVPDL-UHFFFAOYSA-N 0 2 303.351 0.983 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000037730914 395728229 /nfs/dbraw/zinc/72/82/29/395728229.db2.gz FOBQMHQIHQIZDE-UHFFFAOYSA-N 0 2 316.364 0.166 20 0 DCADLN COC(=O)Cn1cc(NS(=O)(=O)c2c(C)n[nH]c2C)cn1 ZINC000038030630 395730868 /nfs/dbraw/zinc/73/08/68/395730868.db2.gz URFBNLRCDYCBOG-UHFFFAOYSA-N 0 2 313.339 0.197 20 0 DCADLN C[C@H]1CCNC(=O)[C@@H]1NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000195162331 395818573 /nfs/dbraw/zinc/81/85/73/395818573.db2.gz KTSPCJLLWRFABJ-GXFFZTMASA-N 0 2 314.345 0.832 20 0 DCADLN CCn1cc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)cn1 ZINC000116158892 395842117 /nfs/dbraw/zinc/84/21/17/395842117.db2.gz GNSFABGXUPTUBE-UHFFFAOYSA-N 0 2 320.374 0.990 20 0 DCADLN CCCCOc1ccc(C(=O)NCC(=O)NOCC(N)=O)cc1 ZINC000271363254 395861211 /nfs/dbraw/zinc/86/12/11/395861211.db2.gz GRAJGNBNVQACGM-UHFFFAOYSA-N 0 2 323.349 0.128 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@@H](C)c1nc[nH]n1 ZINC000276455401 395999359 /nfs/dbraw/zinc/99/93/59/395999359.db2.gz NYGWXTIPXURVSM-BQBZGAKWSA-N 0 2 307.276 0.685 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@@H](C)c1nnc[nH]1 ZINC000276455401 395999360 /nfs/dbraw/zinc/99/93/60/395999360.db2.gz NYGWXTIPXURVSM-BQBZGAKWSA-N 0 2 307.276 0.685 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N(Cc1cccnc1)C1CC1 ZINC000274868002 395983921 /nfs/dbraw/zinc/98/39/21/395983921.db2.gz CVADLCADSBIPTQ-UHFFFAOYSA-N 0 2 305.363 0.776 20 0 DCADLN CCN(C)C(=O)[C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000276584841 396012438 /nfs/dbraw/zinc/01/24/38/396012438.db2.gz KEDJOBMPSMQSOB-ZETCQYMHSA-N 0 2 319.321 0.033 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)N(C)CC3)c1O ZINC000277970754 396097857 /nfs/dbraw/zinc/09/78/57/396097857.db2.gz DMJQNSHUTXHAFA-LBPRGKRZSA-N 0 2 303.318 0.667 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc([S@](C)=O)c2)c1O ZINC000278005386 396100086 /nfs/dbraw/zinc/10/00/86/396100086.db2.gz GDILBPPNNQXBOO-UZJPJQLHSA-N 0 2 310.331 0.412 20 0 DCADLN CO[C@H]1C[C@H](C(=O)Nc2ccc3nn[nH]c3c2)N(C(C)=O)C1 ZINC000278127473 396107719 /nfs/dbraw/zinc/10/77/19/396107719.db2.gz MJQZHRQYBLSIKX-GXFFZTMASA-N 0 2 303.322 0.532 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+](Cc1cccs1)C[C@H]1CCCO1 ZINC000262216222 396112293 /nfs/dbraw/zinc/11/22/93/396112293.db2.gz KPMVXBPNXXTXKC-LLVKDONJSA-N 0 2 312.391 0.930 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+](Cc1cccs1)C[C@H]1CCCO1 ZINC000262216222 396112294 /nfs/dbraw/zinc/11/22/94/396112294.db2.gz KPMVXBPNXXTXKC-LLVKDONJSA-N 0 2 312.391 0.930 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])[C@@H]1CCCN(c2ccccc2)C1=O ZINC000262292799 396119198 /nfs/dbraw/zinc/11/91/98/396119198.db2.gz YYMMIEOKTDSHEJ-CYBMUJFWSA-N 0 2 319.361 0.315 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])[C@@H]1CCCN(c2ccccc2)C1=O ZINC000262292799 396119200 /nfs/dbraw/zinc/11/92/00/396119200.db2.gz YYMMIEOKTDSHEJ-CYBMUJFWSA-N 0 2 319.361 0.315 20 0 DCADLN CN1CC[NH+]([C@H](C(=O)[N-]OCC(C)(C)O)c2ccccc2)CC1 ZINC000278527677 396129729 /nfs/dbraw/zinc/12/97/29/396129729.db2.gz DJHVTUBTZFOIIB-HNNXBMFYSA-N 0 2 321.421 0.794 20 0 DCADLN Cn1cc(N2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2=O)cn1 ZINC000277603278 396077153 /nfs/dbraw/zinc/07/71/53/396077153.db2.gz HEZJLZNMVIZRBP-HTQZYQBOSA-N 0 2 308.235 0.542 20 0 DCADLN Cn1cc(N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2=O)cn1 ZINC000277603278 396077156 /nfs/dbraw/zinc/07/71/56/396077156.db2.gz HEZJLZNMVIZRBP-HTQZYQBOSA-N 0 2 308.235 0.542 20 0 DCADLN COc1cc(N2CCN(c3cccc(C(=O)[O-])[nH+]3)CC2)ncn1 ZINC000263443871 396217326 /nfs/dbraw/zinc/21/73/26/396217326.db2.gz XYDLDXJYEQWFLZ-UHFFFAOYSA-N 0 2 315.333 0.905 20 0 DCADLN COc1ccc2cc(C(=O)NCCCc3n[nH]c(=O)[nH]3)[nH]c2c1 ZINC000080974448 396289641 /nfs/dbraw/zinc/28/96/41/396289641.db2.gz HURYHDXUMGFLKT-UHFFFAOYSA-N 0 2 315.333 0.951 20 0 DCADLN CC(C)Oc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cn1 ZINC000080975267 396290794 /nfs/dbraw/zinc/29/07/94/396290794.db2.gz POUGZDODRWXTOL-UHFFFAOYSA-N 0 2 305.338 0.643 20 0 DCADLN COc1cccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1O ZINC000282972776 396297147 /nfs/dbraw/zinc/29/71/47/396297147.db2.gz SIKDGHWFDNFKMW-VIFPVBQESA-N 0 2 318.333 0.832 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccccc1-n1cccn1 ZINC000081815312 396308509 /nfs/dbraw/zinc/30/85/09/396308509.db2.gz DAWCJGQZNZXIOA-UHFFFAOYSA-N 0 2 312.333 0.646 20 0 DCADLN O=C(N[C@H]1CCC(=O)NC1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000081959541 396310982 /nfs/dbraw/zinc/31/09/82/396310982.db2.gz QKYJYUOYZAUGFK-JTQLQIEISA-N 0 2 318.308 0.725 20 0 DCADLN CSC[C@@](C)(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000265716543 396339088 /nfs/dbraw/zinc/33/90/88/396339088.db2.gz JDNAPPUFNOOFIU-ZDUSSCGKSA-N 0 2 324.362 0.280 20 0 DCADLN COCCS(=O)(=O)Nc1ccc(OC)cc1C(=O)OC ZINC000265664800 396334902 /nfs/dbraw/zinc/33/49/02/396334902.db2.gz ZEGKMVUTGUWDKS-UHFFFAOYSA-N 0 2 303.336 0.870 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000186721849 396356048 /nfs/dbraw/zinc/35/60/48/396356048.db2.gz ZNQVDEPJXUQLCV-JTQLQIEISA-N 0 2 310.256 0.690 20 0 DCADLN CC(C)Cc1nnc(NC(=O)CSc2n[nH]c(=O)[nH]2)s1 ZINC000186854927 396359165 /nfs/dbraw/zinc/35/91/65/396359165.db2.gz KFCXTAPICNFLTM-UHFFFAOYSA-N 0 2 314.396 0.879 20 0 DCADLN C[C@@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)N(C)c1ccccc1 ZINC000186936416 396360601 /nfs/dbraw/zinc/36/06/01/396360601.db2.gz HNSXFZVJMYFDMX-JTQLQIEISA-N 0 2 321.406 0.831 20 0 DCADLN CC(C)[C@@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)CCS1 ZINC000187150519 396364478 /nfs/dbraw/zinc/36/44/78/396364478.db2.gz XRHKTUXHZCAEQF-QMMMGPOBSA-N 0 2 302.425 0.790 20 0 DCADLN CCOc1ccc(C[NH+]2CCN(C(=O)CNC(=O)[O-])CC2)cc1 ZINC000285740378 396352449 /nfs/dbraw/zinc/35/24/49/396352449.db2.gz RHPBUBFKDAHEBV-UHFFFAOYSA-N 0 2 321.377 0.997 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N(C)CCC(N)=O)=N1 ZINC000286308749 396386990 /nfs/dbraw/zinc/38/69/90/396386990.db2.gz WRUKOBSFCXYLOS-UHFFFAOYSA-N 0 2 320.324 0.973 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2ccc(F)nc2)cn1 ZINC000269657130 396433518 /nfs/dbraw/zinc/43/35/18/396433518.db2.gz VMHIHHXOIUFOJP-UHFFFAOYSA-N 0 2 300.315 0.864 20 0 DCADLN CN(C)c1[nH+]cc(CN2CC[C@@H](NC(=O)NC3CC3)C2)n1C ZINC000515167122 396500612 /nfs/dbraw/zinc/50/06/12/396500612.db2.gz KOURUNCOVNGRQN-GFCCVEGCSA-N 0 2 306.414 0.522 20 0 DCADLN COC(=O)NC(C)(C)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288986405 396451585 /nfs/dbraw/zinc/45/15/85/396451585.db2.gz GWJROPTYXVWDRY-UHFFFAOYSA-N 0 2 319.321 0.838 20 0 DCADLN CC[C@H](C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)C(=O)OC ZINC000289006158 396452277 /nfs/dbraw/zinc/45/22/77/396452277.db2.gz IRDHOKRPWRYWNR-SNVBAGLBSA-N 0 2 304.306 0.903 20 0 DCADLN COC(=O)CCCONC(=O)CNc1ccccc1C(N)=O ZINC000290589096 396467335 /nfs/dbraw/zinc/46/73/35/396467335.db2.gz JZDZOQWTJBRKLT-UHFFFAOYSA-N 0 2 309.322 0.198 20 0 DCADLN Cn1ccc(N2CCC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2=O)n1 ZINC000290763349 396471980 /nfs/dbraw/zinc/47/19/80/396471980.db2.gz IFEWEMIZKHJJGH-VXNVDRBHSA-N 0 2 322.262 0.932 20 0 DCADLN Cn1ccc(N2CCC[C@@H](NC(=O)C(F)C(F)(F)F)C2=O)n1 ZINC000290763349 396471982 /nfs/dbraw/zinc/47/19/82/396471982.db2.gz IFEWEMIZKHJJGH-VXNVDRBHSA-N 0 2 322.262 0.932 20 0 DCADLN C[C@@H]1OC(=O)N[C@H]1C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000497047256 396486978 /nfs/dbraw/zinc/48/69/78/396486978.db2.gz QQSXUTYQZUJDBS-IMTBSYHQSA-N 0 2 322.346 0.852 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCOCC12CCC2 ZINC000372126783 396491309 /nfs/dbraw/zinc/49/13/09/396491309.db2.gz QQQXPEWDJFNYEB-UHFFFAOYSA-N 0 2 316.317 0.831 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cc3c(cc2C)OCO3)nn1C ZINC000292437007 396550445 /nfs/dbraw/zinc/55/04/45/396550445.db2.gz JKXDNQKBVYKELW-UHFFFAOYSA-N 0 2 310.335 0.961 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](C)[C@@H](C)C(=O)[O-] ZINC000581432365 396554711 /nfs/dbraw/zinc/55/47/11/396554711.db2.gz UEFKIXPZGQNDBO-QWRGUYRKSA-N 0 2 300.355 0.336 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-] ZINC000581432365 396554714 /nfs/dbraw/zinc/55/47/14/396554714.db2.gz UEFKIXPZGQNDBO-QWRGUYRKSA-N 0 2 300.355 0.336 20 0 DCADLN CSC[C@@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000294479593 396676388 /nfs/dbraw/zinc/67/63/88/396676388.db2.gz BLZKWHKJXAXWOT-MRVPVSSYSA-N 0 2 302.425 0.792 20 0 DCADLN CC(=O)NC(C)(C)C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000589027735 396702972 /nfs/dbraw/zinc/70/29/72/396702972.db2.gz FAUXODDHCFTZKW-UHFFFAOYSA-N 0 2 304.306 0.883 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@H]2CCc3nncn3C2)s[nH]1 ZINC000634150000 396796376 /nfs/dbraw/zinc/79/63/76/396796376.db2.gz TUCUKHVJPSABAR-MRVPVSSYSA-N 0 2 322.394 0.634 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCN(S(=O)(=O)C2CC2)CC1 ZINC000629767388 396827078 /nfs/dbraw/zinc/82/70/78/396827078.db2.gz KSDZUGQXYKSEAM-UHFFFAOYSA-N 0 2 311.363 0.394 20 0 DCADLN C[C@@H]1OCC[C@@H]1S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328810700 396832811 /nfs/dbraw/zinc/83/28/11/396832811.db2.gz FEKVQQUPNHTJLT-GUBZILKMSA-N 0 2 316.383 0.197 20 0 DCADLN CCOC(=O)CCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000596946289 396859648 /nfs/dbraw/zinc/85/96/48/396859648.db2.gz YCASAHDBBIYXHD-UHFFFAOYSA-N 0 2 305.290 0.713 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@@H]1CCC(=O)NC1 ZINC000590961274 396859952 /nfs/dbraw/zinc/85/99/52/396859952.db2.gz MFYIASSPPVLHES-SECBINFHSA-N 0 2 302.290 0.495 20 0 DCADLN CC(=O)N[C@H]1C(C)=NN(c2ccc(C(F)(F)F)nn2)C1=O ZINC000634611984 396891475 /nfs/dbraw/zinc/89/14/75/396891475.db2.gz KKEVQYFDDMVORA-VIFPVBQESA-N 0 2 301.228 0.723 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1C[C@@H]2COC[C@@H]2C1 ZINC000634777946 396915936 /nfs/dbraw/zinc/91/59/36/396915936.db2.gz FWQPJBPZKKDZQT-TXEJJXNPSA-N 0 2 301.302 0.748 20 0 DCADLN CCn1cc(NS(=O)(=O)c2ccc3c(c2)nnn3C)cn1 ZINC000634786834 396918668 /nfs/dbraw/zinc/91/86/68/396918668.db2.gz XPENGTYRBYGQNF-UHFFFAOYSA-N 0 2 306.351 0.986 20 0 DCADLN O=C([C@@H]1C[C@H]2CC(=O)[C@@H]1C2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000569929995 396927048 /nfs/dbraw/zinc/92/70/48/396927048.db2.gz HCEKDWXXFHODSZ-LNFKQOIKSA-N 0 2 304.350 0.832 20 0 DCADLN Cn1ncc(C2CC2)c1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000618552897 396991213 /nfs/dbraw/zinc/99/12/13/396991213.db2.gz SMQYENIWAOPITO-UHFFFAOYSA-N 0 2 308.367 0.530 20 0 DCADLN O=C(NC[C@H](CO)CC1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000619045009 397070246 /nfs/dbraw/zinc/07/02/46/397070246.db2.gz PTKXOLRHTGNNGY-SECBINFHSA-N 0 2 318.333 0.574 20 0 DCADLN CCOC(=O)c1nc(NC(=O)CSc2nc(C)n[nH]2)c[nH]1 ZINC000619446499 397130568 /nfs/dbraw/zinc/13/05/68/397130568.db2.gz MBXOYEMRDGJFPB-UHFFFAOYSA-N 0 2 310.339 0.744 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCOC[C@H]2C(=O)OC(C)(C)C)c1O ZINC000597847901 397121782 /nfs/dbraw/zinc/12/17/82/397121782.db2.gz UENHRCMXJWXPAP-VIFPVBQESA-N 0 2 311.338 0.606 20 0 DCADLN CC(C)C[C@H](CNC(=O)NC[C@@H]1COCC[N@@H+]1C)CC(=O)[O-] ZINC000626772079 397237008 /nfs/dbraw/zinc/23/70/08/397237008.db2.gz FNBOCZVBKHUYQJ-QWHCGFSZSA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)C[C@H](CNC(=O)NC[C@@H]1COCC[N@H+]1C)CC(=O)[O-] ZINC000626772079 397237011 /nfs/dbraw/zinc/23/70/11/397237011.db2.gz FNBOCZVBKHUYQJ-QWHCGFSZSA-N 0 2 315.414 0.753 20 0 DCADLN O=C(NC[C@@H]1COC2(CCOCC2)O1)[C@@H](F)C(F)(F)F ZINC000365596169 397299315 /nfs/dbraw/zinc/29/93/15/397299315.db2.gz RXTQMKBHULKYCR-HTQZYQBOSA-N 0 2 301.236 0.925 20 0 DCADLN O=C(NC[C@@H]1COC2(CCOCC2)O1)C(F)C(F)(F)F ZINC000365596169 397299318 /nfs/dbraw/zinc/29/93/18/397299318.db2.gz RXTQMKBHULKYCR-HTQZYQBOSA-N 0 2 301.236 0.925 20 0 DCADLN O=C([O-])C(=O)N1CCN(Cc2[nH+]ccn2CC(F)(F)F)CC1 ZINC000577955960 397374900 /nfs/dbraw/zinc/37/49/00/397374900.db2.gz NDUISLMQTJRESY-UHFFFAOYSA-N 0 2 320.271 0.174 20 0 DCADLN C[C@@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@H](C)S1(=O)=O ZINC000578178221 397400555 /nfs/dbraw/zinc/40/05/55/397400555.db2.gz ICLZZTLZHOMOBO-AOOOYVTPSA-N 0 2 310.379 0.030 20 0 DCADLN NC(=O)Nc1ccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000478686042 397454083 /nfs/dbraw/zinc/45/40/83/397454083.db2.gz IEOXPSWLPBVJSQ-UHFFFAOYSA-N 0 2 322.350 0.409 20 0 DCADLN CN(C)C(=O)OC[C@@H]1CCCC[N@H+]1C[C@@H](O)C[NH+](C)C1CC1 ZINC000495849584 397560529 /nfs/dbraw/zinc/56/05/29/397560529.db2.gz KNPNWPAJJFINNS-GJZGRUSLSA-N 0 2 313.442 0.994 20 0 DCADLN CN(CC(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1)C(=O)C1CC1 ZINC000603230856 397586331 /nfs/dbraw/zinc/58/63/31/397586331.db2.gz JNJRVFCVSTYAJJ-UHFFFAOYSA-N 0 2 316.317 0.837 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(N2CCOC2=O)cc1 ZINC000494695169 397532377 /nfs/dbraw/zinc/53/23/77/397532377.db2.gz VUKKZXCVKYABCS-UHFFFAOYSA-N 0 2 304.262 0.824 20 0 DCADLN CC(C)(C)OC(=O)NCC1(C(=O)OCc2n[nH]c(=O)[nH]2)CC1 ZINC000495387544 397540397 /nfs/dbraw/zinc/54/03/97/397540397.db2.gz IKXISYDKWWOZNL-UHFFFAOYSA-N 0 2 312.326 0.858 20 0 DCADLN CCNC(=O)CN(C)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000608354015 397727138 /nfs/dbraw/zinc/72/71/38/397727138.db2.gz AHKJHUSEBTYQGK-UHFFFAOYSA-N 0 2 302.334 0.786 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCC[C@]1(C)CO)c2=O ZINC000119350324 158186142 /nfs/dbraw/zinc/18/61/42/158186142.db2.gz HKZYUUPIFDDHSB-XHDPSFHLSA-N 0 2 304.350 0.955 20 0 DCADLN CCS(=O)(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000130025674 158293260 /nfs/dbraw/zinc/29/32/60/158293260.db2.gz KGXJMSICFNAGDS-UHFFFAOYSA-N 0 2 315.399 0.442 20 0 DCADLN CCCNC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000137161560 158345374 /nfs/dbraw/zinc/34/53/74/158345374.db2.gz OUCXRYJRSKXRIM-UHFFFAOYSA-N 0 2 318.337 0.560 20 0 DCADLN Cc1c(NS(=O)(=O)c2cnn(CC(F)F)c2)cnn1C ZINC000330537298 159188743 /nfs/dbraw/zinc/18/87/43/159188743.db2.gz WBOROCYAUQSFAU-UHFFFAOYSA-N 0 2 305.310 0.991 20 0 DCADLN CC(C)(NC(=O)c1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000359267488 159258168 /nfs/dbraw/zinc/25/81/68/159258168.db2.gz TXCGWNYUKQABFD-UHFFFAOYSA-N 0 2 303.322 0.335 20 0 DCADLN O=C([C@@H]1C[N@@H+]2CCN1CCC2)N1CCN(c2cccc[nH+]2)CC1 ZINC000367162235 159318344 /nfs/dbraw/zinc/31/83/44/159318344.db2.gz YSGPNBJRJZDFCW-HNNXBMFYSA-N 0 2 315.421 0.120 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1cnc2c(c1)COCC2 ZINC000367559836 159344321 /nfs/dbraw/zinc/34/43/21/159344321.db2.gz QKLSOOPQUKHSNN-UHFFFAOYSA-N 0 2 308.363 0.997 20 0 DCADLN CCCCNC(=O)NC(=O)CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000344102406 287155841 /nfs/dbraw/zinc/15/58/41/287155841.db2.gz OGENYLKRIUEQTP-JTQLQIEISA-N 0 2 324.385 0.316 20 0 DCADLN CCCCNC(=O)NC(=O)CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000344102407 287156011 /nfs/dbraw/zinc/15/60/11/287156011.db2.gz OGENYLKRIUEQTP-SNVBAGLBSA-N 0 2 324.385 0.316 20 0 DCADLN CN(Cc1nccn1C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355693920 287187279 /nfs/dbraw/zinc/18/72/79/287187279.db2.gz CGAFWHVORIWAQV-UHFFFAOYSA-N 0 2 300.322 0.680 20 0 DCADLN C[C@@H](CO[C@H]1CCOC1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358401289 287209212 /nfs/dbraw/zinc/20/92/12/287209212.db2.gz RJVIUWRDAPEKKG-UWVGGRQHSA-N 0 2 320.349 0.598 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C1CC1 ZINC000358404470 287209566 /nfs/dbraw/zinc/20/95/66/287209566.db2.gz XEHXBWKTDYRDCV-JTQLQIEISA-N 0 2 304.306 0.355 20 0 DCADLN C[C@H](CNc1cc[nH+]c(N2CCCCC2)n1)N1CC[NH+](C)CC1 ZINC000352364874 415151389 /nfs/dbraw/zinc/15/13/89/415151389.db2.gz JUWNEOBFAWXGRY-OAHLLOKOSA-N 0 2 318.469 1.515 20 0 DCADLN CO[C@@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)C(C)C ZINC000352986710 415392433 /nfs/dbraw/zinc/39/24/33/415392433.db2.gz NJRPLTKQJPVAST-ZCFIWIBFSA-N 0 2 308.385 0.519 20 0 DCADLN COC(=O)c1cnc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000343158454 415478012 /nfs/dbraw/zinc/47/80/12/415478012.db2.gz KVAPAXJICJMTQT-MRVPVSSYSA-N 0 2 304.310 0.471 20 0 DCADLN CCCC[NH+]1CCOC[C@@H]1C(=O)N[C@@H]1CC[N@@H+](CCOC)C1 ZINC000353438052 415540021 /nfs/dbraw/zinc/54/00/21/415540021.db2.gz BFYZUBGGAIWIDD-HUUCEWRRSA-N 0 2 313.442 0.324 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1ncnn1C(C)(C)C ZINC000285141773 415607349 /nfs/dbraw/zinc/60/73/49/415607349.db2.gz JRFJDFHXIOVHHN-MRVPVSSYSA-N 0 2 303.388 0.252 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)CC(=O)N2 ZINC000353637986 415610381 /nfs/dbraw/zinc/61/03/81/415610381.db2.gz MIJRCSNKQBTGOF-UHFFFAOYSA-N 0 2 305.319 0.736 20 0 DCADLN Cc1n[nH]c(NC(=O)CCN2C(=O)[C@@H]3CCCC[C@H]3C2=O)n1 ZINC000103253313 415613658 /nfs/dbraw/zinc/61/36/58/415613658.db2.gz XPUWZSILFQTICF-NXEZZACHSA-N 0 2 305.338 0.617 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCN(C(C)=O)C2)c1 ZINC000424734161 287313210 /nfs/dbraw/zinc/31/32/10/287313210.db2.gz TYLDQQATZSWTII-IPQOISQHSA-N 0 2 324.402 0.540 20 0 DCADLN Cc1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)n(-c2ccccn2)n1 ZINC000353734717 415640536 /nfs/dbraw/zinc/64/05/36/415640536.db2.gz GAUJZBXVXZEJCY-UHFFFAOYSA-N 0 2 314.309 0.721 20 0 DCADLN C[C@H]1C[C@H](NC(=O)NCC2([NH+]3CCOCC3)CC2)CC[N@@H+]1C ZINC000333447892 415653375 /nfs/dbraw/zinc/65/33/75/415653375.db2.gz NBKAJMUSFWQLFV-UONOGXRCSA-N 0 2 310.442 0.633 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCC(=O)N2CCCC2)c1 ZINC000108061509 415675210 /nfs/dbraw/zinc/67/52/10/415675210.db2.gz COICPKYHYCDQOK-JOCHJYFZSA-N 0 2 324.402 0.541 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)NCC[NH+]2C[C@H]3CC[C@@H](C2)O3)CC[N@@H+]1C ZINC000333551481 415679886 /nfs/dbraw/zinc/67/98/86/415679886.db2.gz FTJXULUZYMTBQO-BARDWOONSA-N 0 2 310.442 0.632 20 0 DCADLN Cn1c(CCNC(=O)c2nc(=O)[nH][nH]2)nc2ccc(F)cc21 ZINC000117978528 415730421 /nfs/dbraw/zinc/73/04/21/415730421.db2.gz WASWKZKGXMSMBO-UHFFFAOYSA-N 0 2 304.285 0.096 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NC(C)(CO)CO)=N1 ZINC000120699417 415777841 /nfs/dbraw/zinc/77/78/41/415777841.db2.gz USIKMGUUONGOFQ-UHFFFAOYSA-N 0 2 323.324 0.499 20 0 DCADLN CO[C@H](C)c1noc(CN2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000344074709 415834228 /nfs/dbraw/zinc/83/42/28/415834228.db2.gz UOLDLVFSJXYKKS-RKDXNWHRSA-N 0 2 308.342 0.980 20 0 DCADLN Cc1n[nH]c(C)c1NC(=O)CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000344115831 415842249 /nfs/dbraw/zinc/84/22/49/415842249.db2.gz SPCFSXIXFVBLRB-JTQLQIEISA-N 0 2 319.369 0.668 20 0 DCADLN Cc1cc(C[C@H](C)NC(=O)N[C@H](C)CN2CC[NH+](C)CC2)n[nH]1 ZINC000121554510 415808721 /nfs/dbraw/zinc/80/87/21/415808721.db2.gz BJXRSHKGVVFZEA-GXTWGEPZSA-N 0 2 322.457 0.584 20 0 DCADLN CSCCO[N-]C(=O)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000290532214 415818740 /nfs/dbraw/zinc/81/87/40/415818740.db2.gz OEYADWURSBJFFM-LLVKDONJSA-N 0 2 324.406 0.187 20 0 DCADLN C[C@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H]1C ZINC000131534385 415931343 /nfs/dbraw/zinc/93/13/43/415931343.db2.gz IVBUFSHDHOPANW-DTWKUNHWSA-N 0 2 302.334 0.730 20 0 DCADLN NC(=O)C(=O)Nc1cccc(NC(=O)c2ccc3[nH]nnc3c2)c1 ZINC000338235120 416062979 /nfs/dbraw/zinc/06/29/79/416062979.db2.gz NXZXQYLOLYMKSH-UHFFFAOYSA-N 0 2 324.300 0.634 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)cc1C ZINC000344935763 416011392 /nfs/dbraw/zinc/01/13/92/416011392.db2.gz QNTZTRBWXRHHMY-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000356982837 416016266 /nfs/dbraw/zinc/01/62/66/416016266.db2.gz NXFIVKMATKGMFD-RNFRBKRXSA-N 0 2 300.340 0.012 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cccc(N2CCC2=O)c1 ZINC000357504675 416090447 /nfs/dbraw/zinc/09/04/47/416090447.db2.gz WEJDLFUYKNSFSA-UHFFFAOYSA-N 0 2 319.346 0.978 20 0 DCADLN CCCCCNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000175323185 416102073 /nfs/dbraw/zinc/10/20/73/416102073.db2.gz YOVOUHMOWPWGMN-UHFFFAOYSA-N 0 2 312.351 0.080 20 0 DCADLN Cc1cc(S(=O)(=O)NCCc2cn(C)c[nH+]2)ccc1C(=O)[O-] ZINC000313190801 416107353 /nfs/dbraw/zinc/10/73/53/416107353.db2.gz LUCUSTAXSMLBIU-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN CC(C)[C@H]1C(=O)NCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179477405 416189792 /nfs/dbraw/zinc/18/97/92/416189792.db2.gz RNYWIDOODRGHGZ-NSHDSACASA-N 0 2 317.349 0.271 20 0 DCADLN CCc1nnc([C@H](C)NC(=O)c2c[nH]c3c(cnn3C)c2=O)[nH]1 ZINC000358349001 416225958 /nfs/dbraw/zinc/22/59/58/416225958.db2.gz GXEIDFBFGWGDIC-ZETCQYMHSA-N 0 2 315.337 0.845 20 0 DCADLN CN(C(=O)c1c[nH]c2c(cnn2C)c1=O)C1(C(N)=O)CCCC1 ZINC000358352285 416227019 /nfs/dbraw/zinc/22/70/19/416227019.db2.gz KZSXJMULXCGVGK-UHFFFAOYSA-N 0 2 317.349 0.544 20 0 DCADLN C[C@@H]1COCCN1CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358345160 416227357 /nfs/dbraw/zinc/22/73/57/416227357.db2.gz YHBYEPCNICZFDY-SNVBAGLBSA-N 0 2 319.365 0.124 20 0 DCADLN CCS(=O)(=O)NCCCNC(=O)c1cc(F)c(O)c(F)c1 ZINC000183518485 416244162 /nfs/dbraw/zinc/24/41/62/416244162.db2.gz UQMHPSSGNYATJP-UHFFFAOYSA-N 0 2 322.333 0.730 20 0 DCADLN Cc1cc(N(C)C)ccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000342042295 416272603 /nfs/dbraw/zinc/27/26/03/416272603.db2.gz IIIHNRZWJJBNKA-UHFFFAOYSA-N 0 2 318.337 0.140 20 0 DCADLN CC(C)(C(=O)NCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)OCO2 ZINC000341797054 416235178 /nfs/dbraw/zinc/23/51/78/416235178.db2.gz FWYGDEPITZYBRY-UHFFFAOYSA-N 0 2 304.306 0.833 20 0 DCADLN CC[C@@]1(C)CCCN(c2nc(NC(C)C)nc(NCCO)[nH+]2)C1 ZINC000342262451 416302563 /nfs/dbraw/zinc/30/25/63/416302563.db2.gz DILNHWKXJRAUBH-INIZCTEOSA-N 0 2 322.457 0.956 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2cccc(-c3nnnn3C)c2)n1 ZINC000358840695 416282411 /nfs/dbraw/zinc/28/24/11/416282411.db2.gz OIVFWDLJXZRBDE-UHFFFAOYSA-N 0 2 319.350 0.411 20 0 DCADLN CCOC(=O)CC[C@@H](C)NS(=O)(=O)NCC(F)(F)F ZINC000195438286 416326198 /nfs/dbraw/zinc/32/61/98/416326198.db2.gz UTTKVGVRUJCWLE-SSDOTTSWSA-N 0 2 306.306 0.705 20 0 DCADLN CCCc1nsc(NC2CCN(c3nnnn3C)CC2)n1 ZINC000359883101 416364518 /nfs/dbraw/zinc/36/45/18/416364518.db2.gz TYMPKJKLCLAPJL-UHFFFAOYSA-N 0 2 308.415 0.517 20 0 DCADLN CO[C@@H]1CCN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000360978976 416417808 /nfs/dbraw/zinc/41/78/08/416417808.db2.gz IPPARPPNMDVZOL-MRVPVSSYSA-N 0 2 304.306 0.605 20 0 DCADLN CN(Cc1nncn1C)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000360465534 416391752 /nfs/dbraw/zinc/39/17/52/416391752.db2.gz YAOBKCYAYVDHSV-UHFFFAOYSA-N 0 2 312.333 0.979 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2nncs2)cn1 ZINC000360767857 416409242 /nfs/dbraw/zinc/40/92/42/416409242.db2.gz VRJUVBRXMNNEAB-UHFFFAOYSA-N 0 2 320.377 0.137 20 0 DCADLN CCN(C)C(=O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000361793206 416497612 /nfs/dbraw/zinc/49/76/12/416497612.db2.gz VYDNRUXPSQGWRW-UHFFFAOYSA-N 0 2 304.306 0.238 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000534597715 416506787 /nfs/dbraw/zinc/50/67/87/416506787.db2.gz MPLJKNZDKJXTIM-UHFFFAOYSA-N 0 2 317.348 0.431 20 0 DCADLN CC(C)(C)c1cc(NC[C@@H](O)[C@H]2CCOC2)nc(C(=O)[O-])[nH+]1 ZINC000584234598 416510967 /nfs/dbraw/zinc/51/09/67/416510967.db2.gz KZBXZIAXHANGAJ-VHSXEESVSA-N 0 2 309.366 0.704 20 0 DCADLN C[C@@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)n1nnnc1C(C)(C)C ZINC000540886507 416597326 /nfs/dbraw/zinc/59/73/26/416597326.db2.gz GWAXOZOBDUHRAE-QMMMGPOBSA-N 0 2 322.373 0.104 20 0 DCADLN COC(=O)C(C)(C)n1ccc(NC(=O)C(N)C(F)(F)F)n1 ZINC000595971877 416574179 /nfs/dbraw/zinc/57/41/79/416574179.db2.gz GVWPNMNROFZCNC-SSDOTTSWSA-N 0 2 308.260 0.619 20 0 DCADLN C[C@@H](NC(=O)NCCC(C)(C)C(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000424417821 416641134 /nfs/dbraw/zinc/64/11/34/416641134.db2.gz WAZYDULVLRYPOP-VXGBXAGGSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2CC[C@@H](C(C)(C)C)C2)n1 ZINC000557631056 416741466 /nfs/dbraw/zinc/74/14/66/416741466.db2.gz BYJZDKWNKJLZHV-GFCCVEGCSA-N 0 2 322.457 0.812 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@@H](n2cncn2)C1 ZINC000442945161 416723033 /nfs/dbraw/zinc/72/30/33/416723033.db2.gz PVGATQDVFSJOLC-MRVPVSSYSA-N 0 2 313.305 0.312 20 0 DCADLN O=S(=O)(NCCc1cnc(C2CC2)nc1)NCC(F)(F)F ZINC000443017908 416725408 /nfs/dbraw/zinc/72/54/08/416725408.db2.gz BULPBEVKOCYXSA-UHFFFAOYSA-N 0 2 324.328 0.883 20 0 DCADLN C/C=C/C[C@H](NS(=O)(=O)NCC(F)(F)F)C(=O)OCC ZINC000443040390 416727240 /nfs/dbraw/zinc/72/72/40/416727240.db2.gz JUKYKNFQRSTSCX-WGAJWPLOSA-N 0 2 318.317 0.871 20 0 DCADLN C[C@H]1CC[C@H](NS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000444226291 416821045 /nfs/dbraw/zinc/82/10/45/416821045.db2.gz QYFRKEMSRSBSNS-YUMQZZPRSA-N 0 2 324.362 0.903 20 0 DCADLN CNC(=O)Cn1nc(Nc2nc(CCOC)ns2)cc1C ZINC000444831344 416874649 /nfs/dbraw/zinc/87/46/49/416874649.db2.gz CBDVOBVZBJHGDT-UHFFFAOYSA-N 0 2 310.383 0.722 20 0 DCADLN COc1cc(OC)c2[nH+]cc(C(=O)[O-])c(NC[C@H](O)CO)c2c1 ZINC000518985044 416886172 /nfs/dbraw/zinc/88/61/72/416886172.db2.gz MCUBLFWLEVLUFU-QMMMGPOBSA-N 0 2 322.317 0.715 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)c1ncn(C)n1 ZINC000444436495 416843239 /nfs/dbraw/zinc/84/32/39/416843239.db2.gz XTKKBCTVGHCZNA-ZETCQYMHSA-N 0 2 301.310 0.293 20 0 DCADLN NC(=O)[C@@H]1CCC[N@@H+]1CCC[NH2+]Cc1cnc2ccccn12 ZINC000623618253 416854418 /nfs/dbraw/zinc/85/44/18/416854418.db2.gz KFLQYFQZXDZEQZ-AWEZNQCLSA-N 0 2 301.394 0.764 20 0 DCADLN Cc1ccc(C(=O)N(C)C)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000444647943 416857160 /nfs/dbraw/zinc/85/71/60/416857160.db2.gz GFMSYDHVLMHPJX-UHFFFAOYSA-N 0 2 318.337 0.842 20 0 DCADLN C[N@@H+]1CCN(C(=O)N[C@H]2COc3ccccc3C2)[C@@H](C[NH3+])C1 ZINC000565764837 417012976 /nfs/dbraw/zinc/01/29/76/417012976.db2.gz SQTDUIROQJNEKV-KGLIPLIRSA-N 0 2 304.394 0.274 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](C[C@@H](O)COc2ccccc2Cl)CCO1 ZINC000568066708 417074767 /nfs/dbraw/zinc/07/47/67/417074767.db2.gz ABJHWRGRCFQOCW-MFKMUULPSA-N 0 2 315.753 0.865 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](C[C@@H](O)COc2ccccc2Cl)CCO1 ZINC000568066708 417074776 /nfs/dbraw/zinc/07/47/76/417074776.db2.gz ABJHWRGRCFQOCW-MFKMUULPSA-N 0 2 315.753 0.865 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](c2[nH+]ccn2C)C(C)(C)CO)c1[O-] ZINC000616864413 417123972 /nfs/dbraw/zinc/12/39/72/417123972.db2.gz CCRORPSPOGKVCW-LLVKDONJSA-N 0 2 307.354 0.647 20 0 DCADLN COC(=O)CC1(NC(=O)c2cccc3[nH]nnc32)CCOCC1 ZINC000525865882 417158995 /nfs/dbraw/zinc/15/89/95/417158995.db2.gz RBRUSJKXRZCOLV-UHFFFAOYSA-N 0 2 318.333 0.800 20 0 DCADLN CS(=O)(=O)CCCCCNC(=O)c1cccc2[nH]nnc21 ZINC000525873253 417159076 /nfs/dbraw/zinc/15/90/76/417159076.db2.gz WUATYBIPLMCUCD-UHFFFAOYSA-N 0 2 310.379 0.903 20 0 DCADLN O=C(NCCC1CC(O)C1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000432483506 417183835 /nfs/dbraw/zinc/18/38/35/417183835.db2.gz PFTABYMFAANXMS-UHFFFAOYSA-N 0 2 304.306 0.327 20 0 DCADLN COCCO[C@@H]1COCC[C@H]1NC(=O)c1cccc2[nH]nnc21 ZINC000526122101 417185365 /nfs/dbraw/zinc/18/53/65/417185365.db2.gz AJVWTIKSIMDQFU-DGCLKSJQSA-N 0 2 320.349 0.508 20 0 DCADLN C[C@@H]1C[C@@H]1c1nnc2ccc(NCCCc3n[nH]c(=O)[nH]3)nn21 ZINC000450361481 417221954 /nfs/dbraw/zinc/22/19/54/417221954.db2.gz FLHAROZURKUJES-BDAKNGLRSA-N 0 2 314.353 1.116 20 0 DCADLN Cc1nc(NCC23CC(C2)CO3)nc(NCC23CC(C2)CO3)[nH+]1 ZINC000528184672 417314796 /nfs/dbraw/zinc/31/47/96/417314796.db2.gz PMICKXIZEGWJQZ-UHFFFAOYSA-N 0 2 317.393 0.206 20 0 DCADLN Cc1oc(C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1C(=O)[O-] ZINC000570218937 417327485 /nfs/dbraw/zinc/32/74/85/417327485.db2.gz FHYWWHKKIXYHRY-VIFPVBQESA-N 0 2 316.379 0.752 20 0 DCADLN Cc1oc(C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1C(=O)[O-] ZINC000570218937 417327490 /nfs/dbraw/zinc/32/74/90/417327490.db2.gz FHYWWHKKIXYHRY-VIFPVBQESA-N 0 2 316.379 0.752 20 0 DCADLN C[C@H]1C[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@@]2(CCOC2)O1 ZINC000570379016 417346845 /nfs/dbraw/zinc/34/68/45/417346845.db2.gz ZQAMJYPEBCCMPM-XHDPSFHLSA-N 0 2 304.350 0.402 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@@]2(CCOC2)O1 ZINC000570379016 417346852 /nfs/dbraw/zinc/34/68/52/417346852.db2.gz ZQAMJYPEBCCMPM-XHDPSFHLSA-N 0 2 304.350 0.402 20 0 DCADLN COC(=O)[C@@H](CC(C)C)N(C)S(=O)(=O)NCC(F)(F)F ZINC000451732814 417387785 /nfs/dbraw/zinc/38/77/85/417387785.db2.gz ZPZPBVPJTLIFJF-MRVPVSSYSA-N 0 2 320.333 0.903 20 0 DCADLN CN(C[C@@H]1COCCO1)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000622162620 417409046 /nfs/dbraw/zinc/40/90/46/417409046.db2.gz SCCXTDNBAMUMPR-GFCCVEGCSA-N 0 2 319.317 0.517 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)Nc1cc(C)nn1C ZINC000643878327 417409359 /nfs/dbraw/zinc/40/93/59/417409359.db2.gz PSCIPLHNGBCFNC-AWEZNQCLSA-N 0 2 310.354 0.233 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@H+]1CC(=O)Nc1cc(C)nn1C ZINC000643878327 417409364 /nfs/dbraw/zinc/40/93/64/417409364.db2.gz PSCIPLHNGBCFNC-AWEZNQCLSA-N 0 2 310.354 0.233 20 0 DCADLN O=C(c1cc(F)c[nH]c1=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000622019350 417367015 /nfs/dbraw/zinc/36/70/15/417367015.db2.gz UTEDOQKIWWSVMF-ZETCQYMHSA-N 0 2 307.285 0.770 20 0 DCADLN CC[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)[C@@H](O)C(F)F ZINC000452481000 417487047 /nfs/dbraw/zinc/48/70/47/417487047.db2.gz MVXSVFBAJKCQOE-PSASIEDQSA-N 0 2 314.292 0.808 20 0 DCADLN Cc1[nH]c(C(=O)N[C@@H]2CCN([C@@H](C(=O)[O-])C(C)C)C2=O)c[nH+]1 ZINC000455796693 417616932 /nfs/dbraw/zinc/61/69/32/417616932.db2.gz QJDIYCJJKLSNFG-MWLCHTKSSA-N 0 2 308.338 0.158 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N2C[C@H](O)[C@@H](O)C2)=N1 ZINC000628528330 417635121 /nfs/dbraw/zinc/63/51/21/417635121.db2.gz HBNCJNUQPRRLSM-STQMWFEESA-N 0 2 317.345 0.318 20 0 DCADLN C[C@@H]1CCN(C(=O)NCCOCC(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000635523009 417687482 /nfs/dbraw/zinc/68/74/82/417687482.db2.gz IOBJCLHHYFMCQL-NEPJUHHUSA-N 0 2 310.354 0.577 20 0 DCADLN C[C@]1(CNC(=O)C2=NN(c3ccccc3)CC2=O)CCC(=O)N1 ZINC000457214646 417697625 /nfs/dbraw/zinc/69/76/25/417697625.db2.gz MWFOWTVMNSAPGO-MRXNPFEDSA-N 0 2 314.345 0.976 20 0 DCADLN Cc1nc(SCC(=O)N2CCC[C@H](C3N=NC(=O)O3)C2)n[nH]1 ZINC000644883995 417674535 /nfs/dbraw/zinc/67/45/35/417674535.db2.gz LNLNGDIFFNKRLU-QMMMGPOBSA-N 0 2 324.366 0.700 20 0 DCADLN O=C([C@@H]1C[C@H]1c1c[nH+]c[nH]1)N1CC[NH+](C[C@@H]2CCOC2)CC1 ZINC000635654927 417732807 /nfs/dbraw/zinc/73/28/07/417732807.db2.gz IDXHTDJLFDRZIH-BFHYXJOUSA-N 0 2 304.394 0.694 20 0 DCADLN O=C([C@@H]1C[C@H]1c1c[nH]c[nH+]1)N1CC[NH+](C[C@@H]2CCOC2)CC1 ZINC000635654927 417732808 /nfs/dbraw/zinc/73/28/08/417732808.db2.gz IDXHTDJLFDRZIH-BFHYXJOUSA-N 0 2 304.394 0.694 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(N2CCC2=O)cc1 ZINC000629299591 417774452 /nfs/dbraw/zinc/77/44/52/417774452.db2.gz UTDZZGDPNXNYAN-UHFFFAOYSA-N 0 2 307.335 0.353 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1nnc(CC(C)(C)C)o1 ZINC000629350637 417785133 /nfs/dbraw/zinc/78/51/33/417785133.db2.gz XHEKVJVSZVSPCX-UHFFFAOYSA-N 0 2 300.344 0.588 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@H]2CCCSC2)[nH]1 ZINC000651805191 417787098 /nfs/dbraw/zinc/78/70/98/417787098.db2.gz JIFGLQVUWBOLHR-JTQLQIEISA-N 0 2 311.411 0.479 20 0 DCADLN COc1cccnc1CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000646131070 417787316 /nfs/dbraw/zinc/78/73/16/417787316.db2.gz FFGJPFQLLSLBLM-JTQLQIEISA-N 0 2 317.349 0.863 20 0 DCADLN CC(C)[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCCO1 ZINC000651830102 417791371 /nfs/dbraw/zinc/79/13/71/417791371.db2.gz ZZHNVLFOBULJDV-GFCCVEGCSA-N 0 2 323.397 0.493 20 0 DCADLN C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)C(=O)Nc1ncccc1O ZINC000646202133 417805446 /nfs/dbraw/zinc/80/54/46/417805446.db2.gz IXPPBZJIIBXRRO-YUMQZZPRSA-N 0 2 304.310 0.298 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2c(C)cccc2F)[nH]1 ZINC000657104404 417811919 /nfs/dbraw/zinc/81/19/19/417811919.db2.gz PCHDLBXWFXWVNQ-UHFFFAOYSA-N 0 2 314.342 0.877 20 0 DCADLN C[C@H](CCO)N(C)C(=O)C(=O)N=c1ncn(C2CCCCC2)[nH]1 ZINC000652254542 417872354 /nfs/dbraw/zinc/87/23/54/417872354.db2.gz SYQCLGKIFAHTCJ-LLVKDONJSA-N 0 2 323.397 0.373 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)nc[nH+]1 ZINC000652538436 417929135 /nfs/dbraw/zinc/92/91/35/417929135.db2.gz YHXYDIUROOAHPM-ZMLRMANQSA-N 0 2 306.366 0.816 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)[nH+]cn1 ZINC000652538436 417929143 /nfs/dbraw/zinc/92/91/43/417929143.db2.gz YHXYDIUROOAHPM-ZMLRMANQSA-N 0 2 306.366 0.816 20 0 DCADLN O=C([O-])c1ccc(NCCS(=O)(=O)NCC2CCC2)[nH+]c1 ZINC000647450423 418010366 /nfs/dbraw/zinc/01/03/66/418010366.db2.gz OLRXOGUWAINXEU-UHFFFAOYSA-N 0 2 313.379 0.333 20 0 DCADLN O=C([O-])c1cnc(NCCC[N@@H+]2CCNC(=O)C2)c(Cl)c1 ZINC000647452071 418012333 /nfs/dbraw/zinc/01/23/33/418012333.db2.gz QMOPSPDSWOTXGP-UHFFFAOYSA-N 0 2 312.757 0.667 20 0 DCADLN O=C([O-])c1cnc(NCCC[N@H+]2CCNC(=O)C2)c(Cl)c1 ZINC000647452071 418012334 /nfs/dbraw/zinc/01/23/34/418012334.db2.gz QMOPSPDSWOTXGP-UHFFFAOYSA-N 0 2 312.757 0.667 20 0 DCADLN C[C@@]1(C[C@@H]2CCCN2c2ccc(C(=O)[O-])c[nH+]2)NC(=O)NC1=O ZINC000647454803 418012627 /nfs/dbraw/zinc/01/26/27/418012627.db2.gz AGFGCRVZNRRFSV-BONVTDFDSA-N 0 2 318.333 0.737 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000647455728 418012696 /nfs/dbraw/zinc/01/26/96/418012696.db2.gz LTBSFVFLHYCMNR-LLVKDONJSA-N 0 2 303.322 0.705 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC000663108902 417991047 /nfs/dbraw/zinc/99/10/47/417991047.db2.gz ALUNYRICVVIBMT-MRXNPFEDSA-N 0 2 306.362 0.821 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000663108387 417991293 /nfs/dbraw/zinc/99/12/93/417991293.db2.gz FQCXXNGFOPIKJW-HNNXBMFYSA-N 0 2 307.350 0.788 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)N(C)CC[NH+]1CCCCC1 ZINC000653375771 418063781 /nfs/dbraw/zinc/06/37/81/418063781.db2.gz MRKHEOHUICAEIK-AWEZNQCLSA-N 0 2 321.425 0.332 20 0 DCADLN CCN(C(=O)NCC[N@@H+]1CCOC[C@H]1C)[C@H]1CC[NH+](CC)C1 ZINC000663990768 418072312 /nfs/dbraw/zinc/07/23/12/418072312.db2.gz KNCJYMNWANSRLO-CABCVRRESA-N 0 2 312.458 0.833 20 0 DCADLN O=C(NC1CC1)C1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC000648893527 418171468 /nfs/dbraw/zinc/17/14/68/418171468.db2.gz BNODPHXHOVRLCV-SECBINFHSA-N 0 2 319.365 0.275 20 0 DCADLN COCC[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000649211621 418208682 /nfs/dbraw/zinc/20/86/82/418208682.db2.gz XUWZFYFBCYXFSM-KGLIPLIRSA-N 0 2 306.410 0.673 20 0 DCADLN COCCO[C@H]1C[C@H](CO)CN(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000650768624 418323082 /nfs/dbraw/zinc/32/30/82/418323082.db2.gz AZHDZEDTBZYCOS-AAEUAGOBSA-N 0 2 310.350 0.630 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccc2c(c1)CCNC2=O ZINC000656632918 418367965 /nfs/dbraw/zinc/36/79/65/418367965.db2.gz MPHBGYPBGGBPMF-UHFFFAOYSA-N 0 2 320.374 0.990 20 0 DCADLN NC(=O)c1cc(=NC(=O)[C@H](C[C@@H]2CCCO2)C(F)(F)F)[nH][nH]1 ZINC000651534541 418388091 /nfs/dbraw/zinc/38/80/91/418388091.db2.gz OHWMADLXHMPRFH-BQBZGAKWSA-N 0 2 320.271 0.617 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCO[C@@H](Cc3ccccc3)C2)C1=O ZINC000662211824 418389104 /nfs/dbraw/zinc/38/91/04/418389104.db2.gz SFFHIKVMCRZRAU-GJZGRUSLSA-N 0 2 318.373 0.615 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCO[C@@H](Cc3ccccc3)C2)C1=O ZINC000662211824 418389106 /nfs/dbraw/zinc/38/91/06/418389106.db2.gz SFFHIKVMCRZRAU-GJZGRUSLSA-N 0 2 318.373 0.615 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCc2ccc(F)cc2F)[nH]1 ZINC000651541990 418389224 /nfs/dbraw/zinc/38/92/24/418389224.db2.gz PWAJFDYVQWAKQK-UHFFFAOYSA-N 0 2 323.303 0.814 20 0 DCADLN CC[N@H+](CC(=O)N[C@@H](C(=O)NC)c1ccccc1)[C@@H](C)C(=O)[O-] ZINC000662214368 418390724 /nfs/dbraw/zinc/39/07/24/418390724.db2.gz FWLCPEBEKVSXGB-SMDDNHRTSA-N 0 2 321.377 0.385 20 0 DCADLN CC[N@@H+](CC(=O)N[C@@H](C(=O)NC)c1ccccc1)[C@@H](C)C(=O)[O-] ZINC000662214368 418390725 /nfs/dbraw/zinc/39/07/25/418390725.db2.gz FWLCPEBEKVSXGB-SMDDNHRTSA-N 0 2 321.377 0.385 20 0 DCADLN COC[C@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651567836 418391830 /nfs/dbraw/zinc/39/18/30/418391830.db2.gz WAHAFYSYQZSGJH-NSHDSACASA-N 0 2 309.370 0.104 20 0 DCADLN CCCC[C@@H](COC)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651576534 418392793 /nfs/dbraw/zinc/39/27/93/418392793.db2.gz JVUPHSSWCPWSHI-NSHDSACASA-N 0 2 311.386 0.541 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCc2cccc(O)c2)[nH]1 ZINC000651578762 418394038 /nfs/dbraw/zinc/39/40/38/418394038.db2.gz BWIUWAOOEUUXFG-UHFFFAOYSA-N 0 2 317.349 0.284 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCS1 ZINC000651594103 418395469 /nfs/dbraw/zinc/39/54/69/418395469.db2.gz JUKFYZOMPGLJFR-SNVBAGLBSA-N 0 2 311.411 0.573 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H](CO)c2ccccc2)[nH]1 ZINC000651600744 418396634 /nfs/dbraw/zinc/39/66/34/418396634.db2.gz GWUNQYOXNXOUGG-LBPRGKRZSA-N 0 2 317.349 0.069 20 0 DCADLN COCC1(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCC1 ZINC000651773029 418410041 /nfs/dbraw/zinc/41/00/41/418410041.db2.gz SAMHHGUGMQXYCQ-UHFFFAOYSA-N 0 2 309.370 0.152 20 0 DCADLN CCC(CC)(CO)CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651635737 418399371 /nfs/dbraw/zinc/39/93/71/418399371.db2.gz IATUMFXJJRPQMY-UHFFFAOYSA-N 0 2 311.386 0.134 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC[C@@H]2CCO[C@@H](C)C2)[nH]1 ZINC000651660918 418401827 /nfs/dbraw/zinc/40/18/27/418401827.db2.gz FOYNCDMAJDCEOI-NWDGAFQWSA-N 0 2 323.397 0.541 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1CCC[C@@H](C2CC2)C1 ZINC000651708712 418405461 /nfs/dbraw/zinc/40/54/61/418405461.db2.gz AARYZGKUVRFLOC-GFCCVEGCSA-N 0 2 317.393 0.623 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1CCC2(CCC2)CC1 ZINC000651706400 418405500 /nfs/dbraw/zinc/40/55/00/418405500.db2.gz RSUYWXQDZMOTQI-UHFFFAOYSA-N 0 2 317.393 0.768 20 0 DCADLN Cn1c2ccc(S(=O)(=O)Nc3cccnn3)cc2n(C)c1=O ZINC000191042674 261136353 /nfs/dbraw/zinc/13/63/53/261136353.db2.gz KZWUVPQZRUCKIA-UHFFFAOYSA-N 0 2 319.346 0.468 20 0 DCADLN O=C(NCCOC1CCCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000194313839 261146124 /nfs/dbraw/zinc/14/61/24/261146124.db2.gz NZVDGVZXDDJCNN-UHFFFAOYSA-N 0 2 318.333 0.300 20 0 DCADLN CN(CC(=O)NC(C)(C)C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355698860 261357113 /nfs/dbraw/zinc/35/71/13/261357113.db2.gz CNXPPUANPAEUKQ-UHFFFAOYSA-N 0 2 319.365 0.661 20 0 DCADLN CN1C(=O)c2ccc(S(=O)(=O)Nc3cncnc3)cc2C1=O ZINC000362215893 262010978 /nfs/dbraw/zinc/01/09/78/262010978.db2.gz NYRWBDFAZHSZIY-UHFFFAOYSA-N 0 2 318.314 0.503 20 0 DCADLN CCCc1nc(=NC(=O)C(=O)N2CC[NH+](C3CC3)CC2)s[n-]1 ZINC000411190060 262166453 /nfs/dbraw/zinc/16/64/53/262166453.db2.gz HFMFLILGUKRPGT-UHFFFAOYSA-N 0 2 323.422 0.158 20 0 DCADLN CC[C@@H](C)CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000420702604 262381383 /nfs/dbraw/zinc/38/13/83/262381383.db2.gz GGCCPXGOIICGJW-SSDOTTSWSA-N 0 2 312.351 0.760 20 0 DCADLN CC[C@H](CS(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)OC ZINC000420721559 262383001 /nfs/dbraw/zinc/38/30/01/262383001.db2.gz ILVABPNNNWQQTE-VHSXEESVSA-N 0 2 318.399 0.445 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(C(F)(F)F)cc[nH+]2)CCS1(=O)=O ZINC000424479961 262502480 /nfs/dbraw/zinc/50/24/80/262502480.db2.gz YAIOOSUJYDDIAM-MRVPVSSYSA-N 0 2 324.280 0.788 20 0 DCADLN CNC(=O)[C@H]1CCCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355751717 271025585 /nfs/dbraw/zinc/02/55/85/271025585.db2.gz YTYXZVFBNHOBLV-LLVKDONJSA-N 0 2 317.349 0.415 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000358553806 271056793 /nfs/dbraw/zinc/05/67/93/271056793.db2.gz GTCWNDWGKORMTN-UHFFFAOYSA-N 0 2 312.458 0.341 20 0 DCADLN COC[C@H](CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)OC ZINC000358652575 271063435 /nfs/dbraw/zinc/06/34/35/271063435.db2.gz QPVHEZLGEKCILN-NSHDSACASA-N 0 2 307.306 0.421 20 0 DCADLN CC1(CNS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000437300598 271620106 /nfs/dbraw/zinc/62/01/06/271620106.db2.gz DMRHJSUGRJUYHK-UHFFFAOYSA-N 0 2 310.335 0.514 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)N1CCCCC1 ZINC000492550250 272134471 /nfs/dbraw/zinc/13/44/71/272134471.db2.gz MJNAXWMMLMMREO-SREVYHEPSA-N 0 2 312.395 0.763 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)N1CC[C@@H]([N@@H+](C)CCO)[C@H]1C ZINC000492925432 272158756 /nfs/dbraw/zinc/15/87/56/272158756.db2.gz SDSIWVQOBPNTQE-HLMASZERSA-N 0 2 306.410 0.830 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C\c1cnn(C)c1C ZINC000493611040 272199491 /nfs/dbraw/zinc/19/94/91/272199491.db2.gz BJYVISSPWLDVBW-WAYWQWQTSA-N 0 2 323.378 0.289 20 0 DCADLN O=c1cc(CN2CCN3C(=O)OCC[C@H]3C2)nc2cc[nH]n21 ZINC000494134711 272211938 /nfs/dbraw/zinc/21/19/38/272211938.db2.gz QOEFFOVHLRHHOC-NSHDSACASA-N 0 2 303.322 0.049 20 0 DCADLN CCOC(=O)NCC(=O)NOC[C@@H](C)NC(=O)OC(C)(C)C ZINC000495237312 272242220 /nfs/dbraw/zinc/24/22/20/272242220.db2.gz KPMZDLRNYQSVJE-SECBINFHSA-N 0 2 319.358 0.694 20 0 DCADLN CNS(=O)(=O)[C@H]1CCN(C(=O)CCc2cncc(F)c2)C1 ZINC000539324079 287890291 /nfs/dbraw/zinc/89/02/91/287890291.db2.gz BFSOCLGTRSZZEG-LBPRGKRZSA-N 0 2 315.370 0.303 20 0 DCADLN O=C([O-])[C@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000546621351 288022415 /nfs/dbraw/zinc/02/24/15/288022415.db2.gz WLXWREFWHIHTFO-JTQLQIEISA-N 0 2 301.306 0.599 20 0 DCADLN C[C@H](C(F)(F)F)S(=O)(=O)NCCN1CCO[C@H](C)C1 ZINC000548040908 288104776 /nfs/dbraw/zinc/10/47/76/288104776.db2.gz IYQCSGUXJIGPDE-RKDXNWHRSA-N 0 2 304.334 0.577 20 0 DCADLN C[NH+]1CCO[C@H](C(=O)Nc2cccc(C[N@H+]3CC[C@@H](O)C3)c2)C1 ZINC000552198889 288268717 /nfs/dbraw/zinc/26/87/17/288268717.db2.gz IQODOGQVYVVAIV-CVEARBPZSA-N 0 2 319.405 0.522 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)NCc1cn[nH]c1C ZINC000553799947 288307354 /nfs/dbraw/zinc/30/73/54/288307354.db2.gz UHHRCQMTNVPAAA-NEPJUHHUSA-N 0 2 315.395 0.869 20 0 DCADLN CC1(C)CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000076969753 281226324 /nfs/dbraw/zinc/22/63/24/281226324.db2.gz ROENZFSACCTLPJ-UHFFFAOYSA-N 0 2 304.306 0.275 20 0 DCADLN CC1(C)CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCS1 ZINC000176453731 281226448 /nfs/dbraw/zinc/22/64/48/281226448.db2.gz NKQFEGAZZMIKBS-UHFFFAOYSA-N 0 2 320.374 0.579 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCO[C@H]2CCC[C@@H]21 ZINC000299116925 304594249 /nfs/dbraw/zinc/59/42/49/304594249.db2.gz DDSSXTBKMNVPOY-QWRGUYRKSA-N 0 2 316.317 0.830 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266848790 301138217 /nfs/dbraw/zinc/13/82/17/301138217.db2.gz QCEUTAOLCQWTTK-MRVPVSSYSA-N 0 2 304.306 0.653 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCOC[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000278396586 301139965 /nfs/dbraw/zinc/13/99/65/301139965.db2.gz DCXDXFHIQBVWIZ-DTWKUNHWSA-N 0 2 318.333 0.899 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCOC[C@H]1[C@@H]1CCCO1 ZINC000375652624 304759739 /nfs/dbraw/zinc/75/97/39/304759739.db2.gz LYZOSJJCUFIVED-IUCAKERBSA-N 0 2 318.317 0.263 20 0 DCADLN C[NH+](C)C1CC[NH+](CCNC(=O)c2cccc3n[nH]nc32)CC1 ZINC000188295686 333042731 /nfs/dbraw/zinc/04/27/31/333042731.db2.gz UFXCKZZCAOGFSI-UHFFFAOYSA-N 0 2 316.409 0.714 20 0 DCADLN CCn1cc(CN(C)S(=O)(=O)NCC(F)(F)F)cn1 ZINC000583242192 337285712 /nfs/dbraw/zinc/28/57/12/337285712.db2.gz IHJSDZRHAXVHKQ-UHFFFAOYSA-N 0 2 300.306 0.732 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2c(C)nn(C)c2C)c[nH]1 ZINC000266441711 521916002 /nfs/dbraw/zinc/91/60/02/521916002.db2.gz MTZGCZFXPLMPKI-UHFFFAOYSA-N 0 2 312.351 0.952 20 0 DCADLN COC(=O)CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000267843447 523139119 /nfs/dbraw/zinc/13/91/19/523139119.db2.gz OJKRZZWTWMVQNY-UHFFFAOYSA-N 0 2 318.289 0.214 20 0 DCADLN CO[C@@H](C)CCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266794729 523399369 /nfs/dbraw/zinc/39/93/69/523399369.db2.gz DCIVDJZVQFBLGY-QMMMGPOBSA-N 0 2 306.322 0.899 20 0 DCADLN O=C(NC[C@H](O)C(F)(F)F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267095904 526570109 /nfs/dbraw/zinc/57/01/09/526570109.db2.gz JQFDIRNZGLEAAS-LURJTMIESA-N 0 2 318.211 0.089 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1cc(F)c(O)c(Cl)c1)[C@H](C)O ZINC000278702570 536452047 /nfs/dbraw/zinc/45/20/47/536452047.db2.gz QGSAZMXJDGVSPY-CDUCUWFYSA-N 0 2 305.689 0.837 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(OC3COC3)cc2)cn1 ZINC000188595565 527290081 /nfs/dbraw/zinc/29/00/81/527290081.db2.gz ZWGKGMHYNDFVJN-UHFFFAOYSA-N 0 2 309.347 0.999 20 0 DCADLN CC(C)[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000332539225 527843814 /nfs/dbraw/zinc/84/38/14/527843814.db2.gz WOLFEBZMWGRTER-LLVKDONJSA-N 0 2 318.333 0.933 20 0 DCADLN CCOC[C@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000330988017 529016177 /nfs/dbraw/zinc/01/61/77/529016177.db2.gz GOGPVPUTYVFLIX-VIFPVBQESA-N 0 2 300.384 0.878 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@]2(C)CCC(=O)N2)c1 ZINC000424861072 536918660 /nfs/dbraw/zinc/91/86/60/536918660.db2.gz CYGJVCHDEPSECE-QRQCRPRQSA-N 0 2 324.402 0.588 20 0 DCADLN Cc1cc(F)cc2c(O)c(C(=O)NCc3n[nH]c(=O)[nH]3)cnc12 ZINC000156055412 545846470 /nfs/dbraw/zinc/84/64/70/545846470.db2.gz HTKWBFYLURQZBW-UHFFFAOYSA-N 0 2 317.280 0.317 20 0 DCADLN CCn1cc(S(=O)(=O)NCc2c[nH+]cn2C)cc1C(=O)[O-] ZINC000290700400 546009072 /nfs/dbraw/zinc/00/90/72/546009072.db2.gz SCJJQLWOFBQMJK-UHFFFAOYSA-N 0 2 312.351 0.418 20 0 DCADLN Cc1cc(=NC(=O)N2CCC3(CNC(=O)C3)CC2)[nH]nc1C ZINC000532070848 546289681 /nfs/dbraw/zinc/28/96/81/546289681.db2.gz OQEKXIDZOYYIIB-UHFFFAOYSA-N 0 2 303.366 0.649 20 0 DCADLN O=c1cc(CN2CCO[C@H]([C@H]3CCCO3)C2)nc2cc[nH]n21 ZINC000588150245 546304112 /nfs/dbraw/zinc/30/41/12/546304112.db2.gz GEGFABNLLDTKAV-OLZOCXBDSA-N 0 2 304.350 0.402 20 0 DCADLN CN1C(=O)CC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000671854853 547300011 /nfs/dbraw/zinc/30/00/11/547300011.db2.gz CXQGKOCLHCLBMV-JTQLQIEISA-N 0 2 301.306 0.737 20 0 DCADLN Cc1ccccc1C[C@@H](C)NC(=O)C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231659 547746935 /nfs/dbraw/zinc/74/69/35/547746935.db2.gz KDGYCBIOYPVAMT-UKRRQHHQSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1ccccc1C[C@@H](C)NC(=O)C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231659 547746936 /nfs/dbraw/zinc/74/69/36/547746936.db2.gz KDGYCBIOYPVAMT-UKRRQHHQSA-N 0 2 320.389 0.828 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N[C@H]1CCOc2ccccc21 ZINC000676230189 547747051 /nfs/dbraw/zinc/74/70/51/547747051.db2.gz QJWNMNVQLSBNGB-ZDUSSCGKSA-N 0 2 322.361 0.659 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N[C@H]1CCOc2ccccc21 ZINC000676230189 547747052 /nfs/dbraw/zinc/74/70/52/547747052.db2.gz QJWNMNVQLSBNGB-ZDUSSCGKSA-N 0 2 322.361 0.659 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)Nc1ccnn1C1CCCC1 ZINC000676229951 547747112 /nfs/dbraw/zinc/74/71/12/547747112.db2.gz NXGFQMINTPZRIP-UHFFFAOYSA-N 0 2 324.381 0.970 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)Nc1ccnn1C1CCCC1 ZINC000676229951 547747115 /nfs/dbraw/zinc/74/71/15/547747115.db2.gz NXGFQMINTPZRIP-UHFFFAOYSA-N 0 2 324.381 0.970 20 0 DCADLN C[C@@H](C(=O)NCCc1ccc(F)cc1)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231145 547747357 /nfs/dbraw/zinc/74/73/57/547747357.db2.gz HXKKALYRTYPINZ-FZMZJTMJSA-N 0 2 324.352 0.658 20 0 DCADLN C[C@@H](C(=O)NCCc1ccc(F)cc1)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231145 547747362 /nfs/dbraw/zinc/74/73/62/547747362.db2.gz HXKKALYRTYPINZ-FZMZJTMJSA-N 0 2 324.352 0.658 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231804 547748178 /nfs/dbraw/zinc/74/81/78/547748178.db2.gz PPKSRMUTBIYNRJ-CQSZACIVSA-N 0 2 306.362 0.439 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231804 547748180 /nfs/dbraw/zinc/74/81/80/547748180.db2.gz PPKSRMUTBIYNRJ-CQSZACIVSA-N 0 2 306.362 0.439 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231803 547748422 /nfs/dbraw/zinc/74/84/22/547748422.db2.gz PPKSRMUTBIYNRJ-AWEZNQCLSA-N 0 2 306.362 0.439 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231803 547748425 /nfs/dbraw/zinc/74/84/25/547748425.db2.gz PPKSRMUTBIYNRJ-AWEZNQCLSA-N 0 2 306.362 0.439 20 0 DCADLN CCC1(CC)CN(C(=O)c2n[nH]c(C)c2O)CCS1(=O)=O ZINC000681764875 548291997 /nfs/dbraw/zinc/29/19/97/548291997.db2.gz IFEBTYMKQIDERG-UHFFFAOYSA-N 0 2 315.395 0.853 20 0 DCADLN O=C(NC[C@H]1CCCC(=O)N1)C1=NN(c2ccccc2)CC1=O ZINC000684080328 548564501 /nfs/dbraw/zinc/56/45/01/548564501.db2.gz QHHZAPQKADIUFJ-LLVKDONJSA-N 0 2 314.345 0.976 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CCC(F)F)s1 ZINC000684404062 548602382 /nfs/dbraw/zinc/60/23/82/548602382.db2.gz PBYPAJNFCKYCIL-UHFFFAOYSA-N 0 2 300.312 0.893 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)OCC(=O)N3C)cn1 ZINC000685002607 548671959 /nfs/dbraw/zinc/67/19/59/548671959.db2.gz CFBHHVJBNKGZHV-UHFFFAOYSA-N 0 2 322.346 0.576 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000737990404 597300030 /nfs/dbraw/zinc/30/00/30/597300030.db2.gz PZHLULUMWLXQJP-UHFFFAOYSA-N 0 2 321.377 0.858 20 0 DCADLN C[N@H+](CC(F)F)C1CCN(C(=O)CSCC(=O)[O-])CC1 ZINC000818413299 597360702 /nfs/dbraw/zinc/36/07/02/597360702.db2.gz LFPZJAKABDNHHR-UHFFFAOYSA-N 0 2 310.366 0.992 20 0 DCADLN C[N@@H+](CC(F)F)C1CCN(C(=O)CSCC(=O)[O-])CC1 ZINC000818413299 597360703 /nfs/dbraw/zinc/36/07/03/597360703.db2.gz LFPZJAKABDNHHR-UHFFFAOYSA-N 0 2 310.366 0.992 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)CSCC(=O)[O-])CC1 ZINC000817919242 597362871 /nfs/dbraw/zinc/36/28/71/597362871.db2.gz RUDBUGBBZFWPDB-UHFFFAOYSA-N 0 2 304.412 0.373 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@H]1CC(=O)c1cccs1 ZINC000821530523 597797784 /nfs/dbraw/zinc/79/77/84/597797784.db2.gz HKAMTVVQPDILGA-JTQLQIEISA-N 0 2 310.375 0.986 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@H]1CC(=O)c1cccs1 ZINC000821530523 597797787 /nfs/dbraw/zinc/79/77/87/597797787.db2.gz HKAMTVVQPDILGA-JTQLQIEISA-N 0 2 310.375 0.986 20 0 DCADLN CCc1nn(CC(=O)NC(C)C)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705327 598377787 /nfs/dbraw/zinc/37/77/87/598377787.db2.gz GKOIGNBEVLYWQH-UHFFFAOYSA-N 0 2 319.369 0.073 20 0 DCADLN CC(C)[C@@H](C)NC(=O)C1CC[NH+](Cn2cnc(C(=O)[O-])n2)CC1 ZINC000736352398 599705051 /nfs/dbraw/zinc/70/50/51/599705051.db2.gz CGYUDIZLCJNOAX-LLVKDONJSA-N 0 2 323.397 0.807 20 0 DCADLN COc1ccc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000314701395 599777274 /nfs/dbraw/zinc/77/72/74/599777274.db2.gz GYDJPHHWDLTRHV-ZDUSSCGKSA-N 0 2 303.318 0.773 20 0 DCADLN COc1ccc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000314701395 599777277 /nfs/dbraw/zinc/77/72/77/599777277.db2.gz GYDJPHHWDLTRHV-ZDUSSCGKSA-N 0 2 303.318 0.773 20 0 DCADLN COc1ccccc1C[NH+]1CCN(CC(=O)NCC(=O)[O-])CC1 ZINC000262168982 599818141 /nfs/dbraw/zinc/81/81/41/599818141.db2.gz CZSGWFNXEYWXCN-UHFFFAOYSA-N 0 2 321.377 0.014 20 0 DCADLN Cc1c(C(=O)[O-])cccc1S(=O)(=O)NCCn1cc[nH+]c1 ZINC000107885905 599930510 /nfs/dbraw/zinc/93/05/10/599930510.db2.gz UOOFJVWTICNKHA-UHFFFAOYSA-N 0 2 309.347 0.868 20 0 DCADLN Cc1cc(C)cc(OCC[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC000387337709 599981555 /nfs/dbraw/zinc/98/15/55/599981555.db2.gz VWFKWHZZLBLCDO-CQSZACIVSA-N 0 2 306.362 0.957 20 0 DCADLN Cc1cc(C)cc(OCC[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC000387337709 599981558 /nfs/dbraw/zinc/98/15/58/599981558.db2.gz VWFKWHZZLBLCDO-CQSZACIVSA-N 0 2 306.362 0.957 20 0 DCADLN CC(C)c1[nH+]c2c(n1C)CCN([C@@H](C)C(=O)NCC(=O)[O-])C2 ZINC000736525584 600001186 /nfs/dbraw/zinc/00/11/86/600001186.db2.gz YPUWIRRPTAKBOU-JTQLQIEISA-N 0 2 308.382 0.491 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)NCCc2cn3c([nH+]2)CCCC3)CCO1 ZINC000738549015 600036771 /nfs/dbraw/zinc/03/67/71/600036771.db2.gz UTPJVISRYMSMCU-GFCCVEGCSA-N 0 2 322.365 0.257 20 0 DCADLN Cn1c(CC[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])nc2ccccc21 ZINC000739012620 600208057 /nfs/dbraw/zinc/20/80/57/600208057.db2.gz LBYQBNGEQZFIQO-CYBMUJFWSA-N 0 2 316.361 0.391 20 0 DCADLN Cn1c(CC[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])nc2ccccc21 ZINC000739012620 600208059 /nfs/dbraw/zinc/20/80/59/600208059.db2.gz LBYQBNGEQZFIQO-CYBMUJFWSA-N 0 2 316.361 0.391 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000737572662 600233659 /nfs/dbraw/zinc/23/36/59/600233659.db2.gz DTHJWQAGLPAAFO-QWRGUYRKSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000737572662 600233660 /nfs/dbraw/zinc/23/36/60/600233660.db2.gz DTHJWQAGLPAAFO-QWRGUYRKSA-N 0 2 300.355 0.239 20 0 DCADLN C[C@H](C[N@H+](C)CC1=Nc2ccccc2S(=O)(=O)N1)C(=O)[O-] ZINC000736573452 600365836 /nfs/dbraw/zinc/36/58/36/600365836.db2.gz VZWUHRUSCJBUFV-SECBINFHSA-N 0 2 311.363 0.661 20 0 DCADLN C[C@H](C[N@@H+](C)CC1=Nc2ccccc2S(=O)(=O)N1)C(=O)[O-] ZINC000736573452 600365839 /nfs/dbraw/zinc/36/58/39/600365839.db2.gz VZWUHRUSCJBUFV-SECBINFHSA-N 0 2 311.363 0.661 20 0 DCADLN CC(C)(CNC(=O)N1CCC[C@@H](C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000736321910 600486020 /nfs/dbraw/zinc/48/60/20/600486020.db2.gz MRGHAGUROUDJEU-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C(=O)[O-])o1 ZINC000316690149 600527756 /nfs/dbraw/zinc/52/77/56/600527756.db2.gz GISPLBDCBYWANU-JTQLQIEISA-N 0 2 311.338 0.498 20 0 DCADLN C[C@H](CNC(=O)NCc1ccc(C(=O)[O-])o1)[NH+]1CCOCC1 ZINC000736597869 600527992 /nfs/dbraw/zinc/52/79/92/600527992.db2.gz BXWQAUNQKGBVSL-SNVBAGLBSA-N 0 2 311.338 0.498 20 0 DCADLN CC1(C)CC(=O)N(C[N@@H+]2CCSC[C@H]2CC(=O)[O-])C1=O ZINC000736726919 600703579 /nfs/dbraw/zinc/70/35/79/600703579.db2.gz SVISJHSQRXTCJR-SECBINFHSA-N 0 2 300.380 0.621 20 0 DCADLN CC1(C)CC(=O)N(C[N@H+]2CCSC[C@H]2CC(=O)[O-])C1=O ZINC000736726919 600703580 /nfs/dbraw/zinc/70/35/80/600703580.db2.gz SVISJHSQRXTCJR-SECBINFHSA-N 0 2 300.380 0.621 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000827654243 600746441 /nfs/dbraw/zinc/74/64/41/600746441.db2.gz ZRKLALHTIMWBFX-AGIUHOORSA-N 0 2 313.398 0.602 20 0 DCADLN CS(=O)(=O)CCCO[NH+]=C(N)c1cccc(C(=O)[O-])c1 ZINC000832670558 600805858 /nfs/dbraw/zinc/80/58/58/600805858.db2.gz WKQOYSAFYOZUDL-UHFFFAOYSA-N 0 2 300.336 0.666 20 0 DCADLN C[C@H]1CN(C(=O)CO[NH+]=C(N)c2cccc(C(=O)[O-])c2)CCO1 ZINC000828340691 600806598 /nfs/dbraw/zinc/80/65/98/600806598.db2.gz KDKLPASCIVVXIP-JTQLQIEISA-N 0 2 321.333 0.479 20 0 DCADLN C[C@H](CNS(=O)(=O)c1ccc(C(=O)[O-])o1)Cn1cc[nH+]c1 ZINC000827507632 601128156 /nfs/dbraw/zinc/12/81/56/601128156.db2.gz MVVLTRGJBDPEDE-SECBINFHSA-N 0 2 313.335 0.789 20 0 DCADLN O=C([O-])c1cn(C[NH+]2CCC(OC[C@H]3CCCO3)CC2)nn1 ZINC000833337626 601342734 /nfs/dbraw/zinc/34/27/34/601342734.db2.gz FMZQRMCDGDWSHU-GFCCVEGCSA-N 0 2 310.354 0.594 20 0 DCADLN CC(C)CNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000826891126 601438410 /nfs/dbraw/zinc/43/84/10/601438410.db2.gz IAHIHDRVPFIXSR-NOZJJQNGSA-N 0 2 303.334 0.355 20 0 DCADLN CC(C)CNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000826891126 601438411 /nfs/dbraw/zinc/43/84/11/601438411.db2.gz IAHIHDRVPFIXSR-NOZJJQNGSA-N 0 2 303.334 0.355 20 0 DCADLN CC[C@@H]1CN(C(C)=O)[C@@H](CC)C[N@@H+]1CC(=O)NCCC(=O)[O-] ZINC000829139940 601449517 /nfs/dbraw/zinc/44/95/17/601449517.db2.gz BASRHQSOBJPCRL-OLZOCXBDSA-N 0 2 313.398 0.299 20 0 DCADLN CC[C@@H]1CN(C(C)=O)[C@@H](CC)C[N@H+]1CC(=O)NCCC(=O)[O-] ZINC000829139940 601449519 /nfs/dbraw/zinc/44/95/19/601449519.db2.gz BASRHQSOBJPCRL-OLZOCXBDSA-N 0 2 313.398 0.299 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NC[C@@H]1COc2ccccc2O1)C1CC1 ZINC000833247839 601466106 /nfs/dbraw/zinc/46/61/06/601466106.db2.gz WXYFOIDSQVGKJG-GFCCVEGCSA-N 0 2 320.345 0.492 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NC[C@@H]1COc2ccccc2O1)C1CC1 ZINC000833247839 601466107 /nfs/dbraw/zinc/46/61/07/601466107.db2.gz WXYFOIDSQVGKJG-GFCCVEGCSA-N 0 2 320.345 0.492 20 0 DCADLN CN(C)C(=O)C[NH+]1CCN(Cc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000830551600 601615083 /nfs/dbraw/zinc/61/50/83/601615083.db2.gz DPUGZRFTKJFTBH-UHFFFAOYSA-N 0 2 323.368 0.730 20 0 DCADLN C[C@@H](NC(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1)[C@@H](C)[NH+]1CCOCC1 ZINC000828347189 601663556 /nfs/dbraw/zinc/66/35/56/601663556.db2.gz DEAWJOQAGVJHQG-FDYHWXHSSA-N 0 2 313.398 0.458 20 0 DCADLN O=C([O-])C[C@@H]1CN(C(=O)NCCCCn2cc[nH+]c2)CCO1 ZINC000316660506 601768859 /nfs/dbraw/zinc/76/88/59/601768859.db2.gz BASPQNXIGFJEDB-GFCCVEGCSA-N 0 2 310.354 0.548 20 0 DCADLN C[C@H](CS(=O)(=O)N1CCC(n2cc[nH+]c2)CC1)C(=O)[O-] ZINC000827540183 601784017 /nfs/dbraw/zinc/78/40/17/601784017.db2.gz AGTWTYTWODULRP-SNVBAGLBSA-N 0 2 301.368 0.571 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000827541985 601787269 /nfs/dbraw/zinc/78/72/69/601787269.db2.gz QPUORGNHXWXMGL-WDEREUQCSA-N 0 2 301.368 0.571 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C(=O)[O-] ZINC000827541749 601800368 /nfs/dbraw/zinc/80/03/68/601800368.db2.gz ONFPLRKAXFVCAA-VIFPVBQESA-N 0 2 301.368 0.640 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2cc(C(=O)[O-])co2)CC1 ZINC000832729280 601830457 /nfs/dbraw/zinc/83/04/57/601830457.db2.gz DCJUTPMRAHFRLS-FQEVSTJZSA-N 0 2 300.380 0.474 20 0 DCADLN Cc1n[nH]c(C)c1NC(=O)C[N@@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000091132114 601892081 /nfs/dbraw/zinc/89/20/81/601892081.db2.gz HEJJVOMQNLUIBX-JTQLQIEISA-N 0 2 312.395 0.857 20 0 DCADLN Cc1n[nH]c(C)c1NC(=O)C[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000091132114 601892082 /nfs/dbraw/zinc/89/20/82/601892082.db2.gz HEJJVOMQNLUIBX-JTQLQIEISA-N 0 2 312.395 0.857 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)NCC[N@@H+]2CCOCC2(C)C)C1 ZINC000828002960 602067091 /nfs/dbraw/zinc/06/70/91/602067091.db2.gz QZGGVFDIBZXDAU-HNNXBMFYSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)NCC[N@H+]2CCOCC2(C)C)C1 ZINC000828002960 602067096 /nfs/dbraw/zinc/06/70/96/602067096.db2.gz QZGGVFDIBZXDAU-HNNXBMFYSA-N 0 2 313.398 0.603 20 0 DCADLN CN1CCO[C@H]2C[N@H+](Cn3nc(C(=O)[O-])c4ccccc43)C[C@@H]21 ZINC000830964910 602124519 /nfs/dbraw/zinc/12/45/19/602124519.db2.gz NIVCQALBWUOLKN-KBPBESRZSA-N 0 2 316.361 0.707 20 0 DCADLN CN1CCO[C@H]2C[N@@H+](Cn3nc(C(=O)[O-])c4ccccc43)C[C@@H]21 ZINC000830964910 602124522 /nfs/dbraw/zinc/12/45/22/602124522.db2.gz NIVCQALBWUOLKN-KBPBESRZSA-N 0 2 316.361 0.707 20 0 DCADLN C[N@@H+]1CCO[C@H]2CN(Cn3nc(C(=O)[O-])c4ccccc43)C[C@@H]21 ZINC000830964910 602124525 /nfs/dbraw/zinc/12/45/25/602124525.db2.gz NIVCQALBWUOLKN-KBPBESRZSA-N 0 2 316.361 0.707 20 0 DCADLN C[N@H+]1CCO[C@H]2CN(Cn3nc(C(=O)[O-])c4ccccc43)C[C@@H]21 ZINC000830964910 602124526 /nfs/dbraw/zinc/12/45/26/602124526.db2.gz NIVCQALBWUOLKN-KBPBESRZSA-N 0 2 316.361 0.707 20 0 DCADLN C[C@H](CC(=O)[O-])C(=O)N1CC[NH+](C[C@H](O)c2ccccc2)CC1 ZINC000827262092 602150446 /nfs/dbraw/zinc/15/04/46/602150446.db2.gz FFEXSIVTSAJDFE-HIFRSBDPSA-N 0 2 320.389 0.975 20 0 DCADLN Cn1c2ccncc2n(C[NH+]2CCC3(C[C@H]3C(=O)[O-])CC2)c1=O ZINC000833038908 602193473 /nfs/dbraw/zinc/19/34/73/602193473.db2.gz MGMAYCDARZXWLM-NSHDSACASA-N 0 2 316.361 0.879 20 0 DCADLN Cc1cc[nH+]c(N2CCN(Cn3cnc(C(=O)[O-])n3)CC2)c1 ZINC000832983595 602204910 /nfs/dbraw/zinc/20/49/10/602204910.db2.gz AWRYEIPMPBKZMS-UHFFFAOYSA-N 0 2 302.338 0.459 20 0 DCADLN C[C@@H]1CN(c2nc[nH+]c(N3CCO[C@H](C)C3)c2C(=O)[O-])CCO1 ZINC000828500237 602293132 /nfs/dbraw/zinc/29/31/32/602293132.db2.gz OMZKWUDEYLJKJO-GHMZBOCLSA-N 0 2 322.365 0.625 20 0 DCADLN C[C@H]([C@H](C)NC(=O)Nc1cc(C(=O)[O-])n(C)c1)[NH+]1CCOCC1 ZINC000827671463 602373422 /nfs/dbraw/zinc/37/34/22/602373422.db2.gz IEPZTFZERNYMSC-WDEREUQCSA-N 0 2 324.381 0.954 20 0 DCADLN Cc1cncc(C(=O)N(C)CC[NH+]2CCN(C(=O)[O-])CC2)c1 ZINC000830634277 602858123 /nfs/dbraw/zinc/85/81/23/602858123.db2.gz PUBQMNFBKZVTDN-UHFFFAOYSA-N 0 2 306.366 0.758 20 0 DCADLN CN(CCNc1cc(N2CCC[C@H](CO)C2)nc[nH+]1)C(=O)[O-] ZINC000828332362 603186432 /nfs/dbraw/zinc/18/64/32/603186432.db2.gz LYVCNBFGJUGXHI-NSHDSACASA-N 0 2 309.370 0.707 20 0 DCADLN CN(CCNc1cc(N2CCC[C@H](CO)C2)[nH+]cn1)C(=O)[O-] ZINC000828332362 603186438 /nfs/dbraw/zinc/18/64/38/603186438.db2.gz LYVCNBFGJUGXHI-NSHDSACASA-N 0 2 309.370 0.707 20 0 DCADLN O=C(CN(C[C@H]1CCCO1)C(=O)[O-])NCCNc1cccc[nH+]1 ZINC000831475033 603453304 /nfs/dbraw/zinc/45/33/04/603453304.db2.gz VSWABAUQJZULFQ-GFCCVEGCSA-N 0 2 322.365 0.769 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)cc(F)c2)[C@H](CNC(=O)[O-])C1 ZINC000828506148 603513516 /nfs/dbraw/zinc/51/35/16/603513516.db2.gz FDVMLHYZFNLYTI-GFCCVEGCSA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)cc(F)c2)[C@H](CNC(=O)[O-])C1 ZINC000828506148 603513519 /nfs/dbraw/zinc/51/35/19/603513519.db2.gz FDVMLHYZFNLYTI-GFCCVEGCSA-N 0 2 313.304 0.989 20 0 DCADLN COCCN(CC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)C(=O)[O-] ZINC000828971098 603548851 /nfs/dbraw/zinc/54/88/51/603548851.db2.gz OGCXROBAYJVRJQ-UHFFFAOYSA-N 0 2 310.354 0.742 20 0 DCADLN COCCN(CC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)C(=O)[O-] ZINC000828971098 603548854 /nfs/dbraw/zinc/54/88/54/603548854.db2.gz OGCXROBAYJVRJQ-UHFFFAOYSA-N 0 2 310.354 0.742 20 0 DCADLN Cc1cc(N2CC[C@H]([NH+]3CCC(NC(=O)[O-])CC3)C2=O)n(C)n1 ZINC000830072326 603551716 /nfs/dbraw/zinc/55/17/16/603551716.db2.gz CVWFTKSZSDYDMN-LBPRGKRZSA-N 0 2 321.381 0.566 20 0 DCADLN C[N@H+](CN1C(=O)[C@@H]2CC=CC[C@H]2C1=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828345669 603597580 /nfs/dbraw/zinc/59/75/80/603597580.db2.gz GTRCHLPUADFXCC-IJLUTSLNSA-N 0 2 307.350 0.579 20 0 DCADLN C[N@@H+](CN1C(=O)[C@@H]2CC=CC[C@H]2C1=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828345669 603597584 /nfs/dbraw/zinc/59/75/84/603597584.db2.gz GTRCHLPUADFXCC-IJLUTSLNSA-N 0 2 307.350 0.579 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000824908494 603621983 /nfs/dbraw/zinc/62/19/83/603621983.db2.gz VPMBEZPPJYKIPV-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN CN(Cc1cn[nH]c1)C(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000828411223 603797576 /nfs/dbraw/zinc/79/75/76/603797576.db2.gz OXGRUUJQOIKULA-UHFFFAOYSA-N 0 2 309.370 0.442 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@@H+]([C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000827882945 603806636 /nfs/dbraw/zinc/80/66/36/603806636.db2.gz UYLGYDDGOHFSDV-CMPLNLGQSA-N 0 2 307.354 0.210 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@H+]([C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000827882945 603806639 /nfs/dbraw/zinc/80/66/39/603806639.db2.gz UYLGYDDGOHFSDV-CMPLNLGQSA-N 0 2 307.354 0.210 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+](CC(=O)Nc2ccccc2C(N)=O)C1 ZINC000827882847 603849318 /nfs/dbraw/zinc/84/93/18/603849318.db2.gz SMFCPTMHOITPJH-SNVBAGLBSA-N 0 2 320.349 0.408 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+](CC(=O)Nc2ccccc2C(N)=O)C1 ZINC000827882847 603849319 /nfs/dbraw/zinc/84/93/19/603849319.db2.gz SMFCPTMHOITPJH-SNVBAGLBSA-N 0 2 320.349 0.408 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)[C@]2(F)CCN(C(=O)[O-])C2)CCO1 ZINC000825734928 603870071 /nfs/dbraw/zinc/87/00/71/603870071.db2.gz SEXZANZYBHXUGP-AWEZNQCLSA-N 0 2 317.361 0.305 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)[C@]2(F)CCN(C(=O)[O-])C2)CCO1 ZINC000825734928 603870077 /nfs/dbraw/zinc/87/00/77/603870077.db2.gz SEXZANZYBHXUGP-AWEZNQCLSA-N 0 2 317.361 0.305 20 0 DCADLN C[C@H](NC(=O)[C@]1(F)CCN(C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000825077081 603881565 /nfs/dbraw/zinc/88/15/65/603881565.db2.gz VRJTWOXVMYJUGS-MJVIPROJSA-N 0 2 317.361 0.304 20 0 DCADLN C[C@@]1(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)CCN(C(=O)[O-])C1 ZINC000825735151 603905475 /nfs/dbraw/zinc/90/54/75/603905475.db2.gz WAECBNBIEARNRK-OAHLLOKOSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@]1(C(=O)NCC[N@H+]2CCOC(C)(C)C2)CCN(C(=O)[O-])C1 ZINC000825735151 603905479 /nfs/dbraw/zinc/90/54/79/603905479.db2.gz WAECBNBIEARNRK-OAHLLOKOSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CCC[C@@H]2CNC(=O)[O-])CCO1 ZINC000826057663 604083075 /nfs/dbraw/zinc/08/30/75/604083075.db2.gz JGYAEXRTAVWNIC-NWDGAFQWSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CCC[C@@H]2CNC(=O)[O-])CCO1 ZINC000826057663 604083079 /nfs/dbraw/zinc/08/30/79/604083079.db2.gz JGYAEXRTAVWNIC-NWDGAFQWSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CCN(C(=O)[O-])[C@@H](C)C1 ZINC000826093847 604112075 /nfs/dbraw/zinc/11/20/75/604112075.db2.gz MVNIGIQOUGRUIT-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CCN(C(=O)[O-])[C@@H](C)C1 ZINC000826093847 604112081 /nfs/dbraw/zinc/11/20/81/604112081.db2.gz MVNIGIQOUGRUIT-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(Cl)o2)[C@H](CNC(=O)[O-])C1 ZINC000828513614 604394981 /nfs/dbraw/zinc/39/49/81/604394981.db2.gz NFSISPRXAIVFNB-MRVPVSSYSA-N 0 2 301.730 0.957 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(Cl)o2)[C@H](CNC(=O)[O-])C1 ZINC000828513614 604394983 /nfs/dbraw/zinc/39/49/83/604394983.db2.gz NFSISPRXAIVFNB-MRVPVSSYSA-N 0 2 301.730 0.957 20 0 DCADLN O=C([O-])COC(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000819532939 604408590 /nfs/dbraw/zinc/40/85/90/604408590.db2.gz VCTPPRUJYNYUPV-UHFFFAOYSA-N 0 2 321.333 0.138 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC[C@@H]1CC(=O)[O-] ZINC000827460919 604529671 /nfs/dbraw/zinc/52/96/71/604529671.db2.gz GROSRFYBRSPWJA-CHWSQXEVSA-N 0 2 312.414 0.271 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1C[N@H+](Cc2ccc(C(N)=O)cc2)CCO1 ZINC000825351204 604628384 /nfs/dbraw/zinc/62/83/84/604628384.db2.gz NCAGGSOIERSQHZ-MFKMUULPSA-N 0 2 307.350 0.642 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1C[N@@H+](Cc2ccc(C(N)=O)cc2)CCO1 ZINC000825351204 604628386 /nfs/dbraw/zinc/62/83/86/604628386.db2.gz NCAGGSOIERSQHZ-MFKMUULPSA-N 0 2 307.350 0.642 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cc2ccccc2O)[C@H](CNC(=O)[O-])C1 ZINC000828508243 604632202 /nfs/dbraw/zinc/63/22/02/604632202.db2.gz ZIYQXHIZEDVXRL-GFCCVEGCSA-N 0 2 307.350 0.345 20 0 DCADLN C[N@H+]1CCN(C(=O)Cc2ccccc2O)[C@H](CNC(=O)[O-])C1 ZINC000828508243 604632206 /nfs/dbraw/zinc/63/22/06/604632206.db2.gz ZIYQXHIZEDVXRL-GFCCVEGCSA-N 0 2 307.350 0.345 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833589703 604801232 /nfs/dbraw/zinc/80/12/32/604801232.db2.gz XCSMIBNKHJLGJI-IUCAKERBSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833589703 604801236 /nfs/dbraw/zinc/80/12/36/604801236.db2.gz XCSMIBNKHJLGJI-IUCAKERBSA-N 0 2 302.352 0.691 20 0 DCADLN O=C([O-])N1CC[C@@H](NC(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000831725757 604837349 /nfs/dbraw/zinc/83/73/49/604837349.db2.gz KBIRFWVPWCWZSR-LLVKDONJSA-N 0 2 303.322 0.886 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1COc2ccccc2C1 ZINC000320656638 604895230 /nfs/dbraw/zinc/89/52/30/604895230.db2.gz KXQYHMVCLYNFTN-AAEUAGOBSA-N 0 2 315.329 0.773 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1COc2ccccc2C1 ZINC000320656638 604895232 /nfs/dbraw/zinc/89/52/32/604895232.db2.gz KXQYHMVCLYNFTN-AAEUAGOBSA-N 0 2 315.329 0.773 20 0 DCADLN O=C(Nc1nc(-c2ccco2)n[nH]1)c1ccc(-c2nn[nH]n2)[nH]1 ZINC000826460903 607724755 /nfs/dbraw/zinc/72/47/55/607724755.db2.gz XKKSETNBNWMNCF-UHFFFAOYSA-N 0 2 311.265 0.825 20 0 DCADLN CC(C)CN1C[C@@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1=O ZINC000320544921 605079114 /nfs/dbraw/zinc/07/91/14/605079114.db2.gz CFVXOKYCPLQCJB-JQWIXIFHSA-N 0 2 322.365 0.026 20 0 DCADLN CC(C)CN1C[C@@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1=O ZINC000320544921 605079121 /nfs/dbraw/zinc/07/91/21/605079121.db2.gz CFVXOKYCPLQCJB-JQWIXIFHSA-N 0 2 322.365 0.026 20 0 DCADLN O=C([O-])N[C@H]1CCN(C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000832376120 605111295 /nfs/dbraw/zinc/11/12/95/605111295.db2.gz QLPFCKTYKYOYRN-NSHDSACASA-N 0 2 303.322 0.886 20 0 DCADLN C[C@@H]1CN(C(=O)c2cncc(C(=O)[O-])c2)C[C@H]1[NH+]1CCOCC1 ZINC000833622946 605114353 /nfs/dbraw/zinc/11/43/53/605114353.db2.gz NACPMZILWCFXPA-BXUZGUMPSA-N 0 2 319.361 0.573 20 0 DCADLN Cc1cncc(/C=C/C(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)c1 ZINC000830640042 605429536 /nfs/dbraw/zinc/42/95/36/605429536.db2.gz RYNUIWPJPILXMR-NSCUHMNNSA-N 0 2 318.377 0.815 20 0 DCADLN COc1ccc(NC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000829458955 605453909 /nfs/dbraw/zinc/45/39/09/605453909.db2.gz BQTWEMCKZPETMZ-LLVKDONJSA-N 0 2 323.353 0.506 20 0 DCADLN COc1ccc(NC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000829458955 605453910 /nfs/dbraw/zinc/45/39/10/605453910.db2.gz BQTWEMCKZPETMZ-LLVKDONJSA-N 0 2 323.353 0.506 20 0 DCADLN C[C@@H]1COCCCN1C(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000826087510 605544878 /nfs/dbraw/zinc/54/48/78/605544878.db2.gz OJOBZMZDYJYVTH-GFCCVEGCSA-N 0 2 313.398 0.698 20 0 DCADLN O=C([O-])N1CC[C@H](N2CC[NH+](CCn3ccccc3=O)CC2)C1 ZINC000834081836 605590500 /nfs/dbraw/zinc/59/05/00/605590500.db2.gz BWCBBECLLQGSNB-AWEZNQCLSA-N 0 2 320.393 0.218 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)[C@@H](NC(=O)[O-])C(C)(C)C)CCO1 ZINC000826059307 605686575 /nfs/dbraw/zinc/68/65/75/605686575.db2.gz SVZMBGDUAGGDER-GHMZBOCLSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)[C@@H](NC(=O)[O-])C(C)(C)C)CCO1 ZINC000826059307 605686576 /nfs/dbraw/zinc/68/65/76/605686576.db2.gz SVZMBGDUAGGDER-GHMZBOCLSA-N 0 2 301.387 0.506 20 0 DCADLN CC[C@@](C)(CNC(=O)[O-])C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000833840287 605692964 /nfs/dbraw/zinc/69/29/64/605692964.db2.gz JPPNPPRKHVOUQX-RISCZKNCSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@@](C)(CNC(=O)[O-])C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000833840287 605692967 /nfs/dbraw/zinc/69/29/67/605692967.db2.gz JPPNPPRKHVOUQX-RISCZKNCSA-N 0 2 301.387 0.507 20 0 DCADLN C[C@@H](NC(=O)[C@@H](NC(=O)[O-])C(C)(C)C)[C@H](C)[NH+]1CCOCC1 ZINC000825452784 605702924 /nfs/dbraw/zinc/70/29/24/605702924.db2.gz FYMCPJKUURKPGS-GRYCIOLGSA-N 0 2 315.414 0.894 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCC2CN(C(=O)[O-])C2)C1 ZINC000833955828 605737628 /nfs/dbraw/zinc/73/76/28/605737628.db2.gz UMAVZCAROSEGLL-NSHDSACASA-N 0 2 307.354 0.529 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)C1 ZINC000834068402 605770472 /nfs/dbraw/zinc/77/04/72/605770472.db2.gz VENRZWHTBKKEQS-GFCCVEGCSA-N 0 2 307.354 0.839 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](CC2CCS(=O)(=O)CC2)CCN1C(=O)[O-] ZINC000833825520 606035576 /nfs/dbraw/zinc/03/55/76/606035576.db2.gz BTKSNRPUEBSPEK-WDEREUQCSA-N 0 2 304.412 0.884 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](CC2CCS(=O)(=O)CC2)CCN1C(=O)[O-] ZINC000833825520 606035580 /nfs/dbraw/zinc/03/55/80/606035580.db2.gz BTKSNRPUEBSPEK-WDEREUQCSA-N 0 2 304.412 0.884 20 0 DCADLN O=C([O-])NCc1cccc(OC[C@H](O)C[NH+]2CCOCC2)c1 ZINC000834247880 606038384 /nfs/dbraw/zinc/03/83/84/606038384.db2.gz WWZJHXJTKIQNRM-CYBMUJFWSA-N 0 2 310.350 0.526 20 0 DCADLN CCC[C@@H](NC(=O)c1cc(-c2nn[nH]n2)cs1)c1nn[nH]n1 ZINC000821023376 606912866 /nfs/dbraw/zinc/91/28/66/606912866.db2.gz YURSUXFTEUGXMZ-SSDOTTSWSA-N 0 2 319.354 0.713 20 0 DCADLN CC[C@@H]1CC[C@@H](C)N1c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000825195851 608237171 /nfs/dbraw/zinc/23/71/71/608237171.db2.gz ZFBOTFSWGZVIEY-RKDXNWHRSA-N 0 2 319.369 0.031 20 0 DCADLN c1csc(-c2n[nH]c(Cn3cnc(-c4nn[nH]n4)n3)n2)c1 ZINC000826526074 608756066 /nfs/dbraw/zinc/75/60/66/608756066.db2.gz JZXPMGBIFPTBPB-UHFFFAOYSA-N 0 2 300.311 0.353 20 0 DCADLN CCc1nnc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)s1 ZINC000825767079 609485001 /nfs/dbraw/zinc/48/50/01/609485001.db2.gz HBCAMNZCMNZJBF-UHFFFAOYSA-N 0 2 318.366 0.502 20 0 DCADLN CN(C(=O)c1nc[nH]n1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646605 665406345 /nfs/dbraw/zinc/40/63/45/665406345.db2.gz IKSCWXRQCSVXBH-NKWVEPMBSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)c1nc[nH]n1)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938646605 665406347 /nfs/dbraw/zinc/40/63/47/665406347.db2.gz IKSCWXRQCSVXBH-NKWVEPMBSA-N 0 2 323.250 0.378 20 0 DCADLN O=C([O-])[C@@]1(NC(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CCOC1 ZINC000909378515 660416011 /nfs/dbraw/zinc/41/60/11/660416011.db2.gz GIISYVXJOKLEIK-MRXNPFEDSA-N 0 2 315.329 0.775 20 0 DCADLN O=C(Cc1cccs1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009935520 660692345 /nfs/dbraw/zinc/69/23/45/660692345.db2.gz RZWDFQSUMQWQQZ-SECBINFHSA-N 0 2 307.379 0.505 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC(F)(F)C1 ZINC001027817740 660781789 /nfs/dbraw/zinc/78/17/89/660781789.db2.gz XHIYKMIQWJTBDE-SECBINFHSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC(F)(F)C1 ZINC001027817740 660781792 /nfs/dbraw/zinc/78/17/92/660781792.db2.gz XHIYKMIQWJTBDE-SECBINFHSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[C@@H]3C[N@H+](CC(N)=O)C[C@H]3C2)c1C ZINC000979602848 660786452 /nfs/dbraw/zinc/78/64/52/660786452.db2.gz PJCSMGCZOGPQTR-JHJVBQTASA-N 0 2 319.409 0.342 20 0 DCADLN Cc1ccnc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001027854772 660843886 /nfs/dbraw/zinc/84/38/86/660843886.db2.gz UTYNLSPBCMXJAD-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(CCNC(=O)C(F)(F)F)COC(=O)c1cnsn1 ZINC000869360328 667650489 /nfs/dbraw/zinc/65/04/89/667650489.db2.gz NWWJEFAIMSFPGN-UHFFFAOYSA-N 0 2 311.241 0.333 20 0 DCADLN CSCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010193192 660965434 /nfs/dbraw/zinc/96/54/34/660965434.db2.gz STTCCAHLJRWKDR-QMMMGPOBSA-N 0 2 302.293 0.921 20 0 DCADLN CSCC(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010193192 660965436 /nfs/dbraw/zinc/96/54/36/660965436.db2.gz STTCCAHLJRWKDR-QMMMGPOBSA-N 0 2 302.293 0.921 20 0 DCADLN CCn1ccnc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981064629 661072319 /nfs/dbraw/zinc/07/23/19/661072319.db2.gz PBXWPCSHMWRRRE-UHFFFAOYSA-N 0 2 319.369 0.075 20 0 DCADLN C[C@@H]1OCC[C@@]1(C)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981677595 661192360 /nfs/dbraw/zinc/19/23/60/661192360.db2.gz YWRFHLUWIDNKPV-XHDPSFHLSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(c1cc[nH]c1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981784758 661216495 /nfs/dbraw/zinc/21/64/95/661216495.db2.gz QKMOAJBGNGMMAC-UHFFFAOYSA-N 0 2 316.365 0.577 20 0 DCADLN O=C(c1cc[nH]c1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981784758 661216496 /nfs/dbraw/zinc/21/64/96/661216496.db2.gz QKMOAJBGNGMMAC-UHFFFAOYSA-N 0 2 316.365 0.577 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)N2CCC[NH+](Cc3cncn3C)CC2)C1 ZINC000981955835 661253994 /nfs/dbraw/zinc/25/39/94/661253994.db2.gz WIJSPUZDTPMAOX-HNNXBMFYSA-N 0 2 319.453 0.796 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)N2CCC[NH+](CC(=O)NC3CC3)CC2)C1 ZINC000981955764 661254012 /nfs/dbraw/zinc/25/40/12/661254012.db2.gz UIISIPLIGMRYTR-CQSZACIVSA-N 0 2 322.453 0.141 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC12CCC2 ZINC001028174609 661258352 /nfs/dbraw/zinc/25/83/52/661258352.db2.gz ZUANIANYCOEYCL-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC12CCC2 ZINC001028174609 661258354 /nfs/dbraw/zinc/25/83/54/661258354.db2.gz ZUANIANYCOEYCL-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1ncc(C[N@H+]2CCC[C@@H]2CNC(=O)c2ncn[nH]2)s1 ZINC001028211395 661279505 /nfs/dbraw/zinc/27/95/05/661279505.db2.gz PMARJQBGNQWMBV-SNVBAGLBSA-N 0 2 306.395 0.964 20 0 DCADLN C[C@H]1CC[C@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000982079017 661288400 /nfs/dbraw/zinc/28/84/00/661288400.db2.gz ZYWBROSOQQQQNN-RYUDHWBXSA-N 0 2 307.398 0.981 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCC[N@@H+](CCF)CC1 ZINC000982111456 661292503 /nfs/dbraw/zinc/29/25/03/661292503.db2.gz SITPXDPANKFTON-UHFFFAOYSA-N 0 2 307.329 0.140 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCC[N@H+](CCF)CC1 ZINC000982111456 661292506 /nfs/dbraw/zinc/29/25/06/661292506.db2.gz SITPXDPANKFTON-UHFFFAOYSA-N 0 2 307.329 0.140 20 0 DCADLN CC(C)[C@H](C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCCNC1=O)[NH+](C)C ZINC001032611255 665421064 /nfs/dbraw/zinc/42/10/64/665421064.db2.gz FTQCNVDYJGBNPZ-ZQDZILKHSA-N 0 2 322.453 0.136 20 0 DCADLN O=C(Cn1cc[nH+]c1)N1CC[C@@H](C(F)(F)F)[C@H]([NH2+]CCO)C1 ZINC000998323208 665436411 /nfs/dbraw/zinc/43/64/11/665436411.db2.gz HZIUPIIZBYBEPP-GHMZBOCLSA-N 0 2 320.315 0.244 20 0 DCADLN CCc1oncc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970514720 657589985 /nfs/dbraw/zinc/58/99/85/657589985.db2.gz CJOOQXFIQXLTCG-MRVPVSSYSA-N 0 2 320.353 0.311 20 0 DCADLN C[C@H](NC(=O)c1ccnc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969379452 657779003 /nfs/dbraw/zinc/77/90/03/657779003.db2.gz JTZJHWZGMJDDQB-QMMMGPOBSA-N 0 2 320.328 0.295 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000742650117 657854636 /nfs/dbraw/zinc/85/46/36/657854636.db2.gz LKVKHRPOMRUTIR-RQJHMYQMSA-N 0 2 301.368 0.389 20 0 DCADLN CCN(C(=O)c1ncoc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949750641 657854560 /nfs/dbraw/zinc/85/45/60/657854560.db2.gz DQEXYLDIZDVSNT-UHFFFAOYSA-N 0 2 306.326 0.153 20 0 DCADLN C[C@@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969460684 657907665 /nfs/dbraw/zinc/90/76/65/657907665.db2.gz WYTIYMDOEHODQZ-GOONRYMUSA-N 0 2 305.382 0.493 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969482262 657919398 /nfs/dbraw/zinc/91/93/98/657919398.db2.gz CLIIMHKXTLRBMN-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(C[C@@H]1CCCO1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006828077 657966108 /nfs/dbraw/zinc/96/61/08/657966108.db2.gz XBILVFRCHFPUSO-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN O=C(c1ccon1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010506657 658023403 /nfs/dbraw/zinc/02/34/03/658023403.db2.gz RPUHBAFMECVOTG-MRVPVSSYSA-N 0 2 309.219 0.859 20 0 DCADLN O=C(c1ccon1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010506657 658023408 /nfs/dbraw/zinc/02/34/08/658023408.db2.gz RPUHBAFMECVOTG-MRVPVSSYSA-N 0 2 309.219 0.859 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3cn[nH]n3)C[C@@H]2C)on1 ZINC000947484336 658024738 /nfs/dbraw/zinc/02/47/38/658024738.db2.gz KMLICPRATWHMOG-QWRGUYRKSA-N 0 2 304.354 0.884 20 0 DCADLN Cn1nccc1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001001148408 666005267 /nfs/dbraw/zinc/00/52/67/666005267.db2.gz WXUKUGZTEHUVMU-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cn1nccc1C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001001148408 666005270 /nfs/dbraw/zinc/00/52/70/666005270.db2.gz WXUKUGZTEHUVMU-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cc1nccnc1C[N@H+]1CCC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001023656178 658105150 /nfs/dbraw/zinc/10/51/50/658105150.db2.gz SEFHTCVLLRHUFQ-GFCCVEGCSA-N 0 2 315.381 0.545 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@@H](O)[C@@H](F)C1 ZINC000890688134 658282400 /nfs/dbraw/zinc/28/24/00/658282400.db2.gz PZSCOYCXIYTMKY-NKWVEPMBSA-N 0 2 300.252 0.375 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CCN(C(=O)CCc3cnn[nH]3)C2)on1 ZINC000970006837 658348312 /nfs/dbraw/zinc/34/83/12/658348312.db2.gz IAKLGYNOHDISNG-GFCCVEGCSA-N 0 2 304.354 0.424 20 0 DCADLN CCc1noc([C@H](C)[NH+]2CC([C@@H](C)NC(=O)c3cnn[n-]3)C2)n1 ZINC000970035003 658385604 /nfs/dbraw/zinc/38/56/04/658385604.db2.gz LFCZMQUDFHFJJJ-BDAKNGLRSA-N 0 2 319.369 0.561 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccnc2)c1[O-] ZINC001038174196 658424075 /nfs/dbraw/zinc/42/40/75/658424075.db2.gz XRBSZKZNZVMEGL-GFCCVEGCSA-N 0 2 301.350 0.823 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@H+]2Cc2cccnc2)c1[O-] ZINC001038174196 658424080 /nfs/dbraw/zinc/42/40/80/658424080.db2.gz XRBSZKZNZVMEGL-GFCCVEGCSA-N 0 2 301.350 0.823 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@H]1CCN(C(=O)C(=O)C(C)(C)C)C1 ZINC000971225377 658424798 /nfs/dbraw/zinc/42/47/98/658424798.db2.gz IYCOWGLFAXSHEP-VIFPVBQESA-N 0 2 309.370 0.158 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)c1cc[nH]c1 ZINC001024107848 658441908 /nfs/dbraw/zinc/44/19/08/658441908.db2.gz NUOPRMHRPUZAJR-IWSPIJDZSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O)c1cc[nH]c1 ZINC001024107848 658441912 /nfs/dbraw/zinc/44/19/12/658441912.db2.gz NUOPRMHRPUZAJR-IWSPIJDZSA-N 0 2 323.246 0.217 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cscn1 ZINC000972848345 658479606 /nfs/dbraw/zinc/47/96/06/658479606.db2.gz GIPUXYJOBOKFBD-VIFPVBQESA-N 0 2 322.394 0.607 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cn[nH]c1-c1ccccc1F ZINC000176339258 658515967 /nfs/dbraw/zinc/51/59/67/658515967.db2.gz YAOMJAHLVBFDAD-UHFFFAOYSA-N 0 2 303.253 0.412 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cn[nH]c1 ZINC001024344484 658577855 /nfs/dbraw/zinc/57/78/55/658577855.db2.gz BBVXJRPNCUVNKG-JTQLQIEISA-N 0 2 305.342 0.018 20 0 DCADLN Cc1ncncc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006812877 658721284 /nfs/dbraw/zinc/72/12/84/658721284.db2.gz GCBDTIKDTOQGEX-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN Cn1nncc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949683605 658734065 /nfs/dbraw/zinc/73/40/65/658734065.db2.gz KCBLRWBKOUSOIS-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000949683605 658734071 /nfs/dbraw/zinc/73/40/71/658734071.db2.gz KCBLRWBKOUSOIS-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN CN(Cc1cnn(C)n1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971577377 658825114 /nfs/dbraw/zinc/82/51/14/658825114.db2.gz YJDGKYMKRWNLCH-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1cnn(C)n1)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000971577377 658825120 /nfs/dbraw/zinc/82/51/20/658825120.db2.gz YJDGKYMKRWNLCH-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(CCn1cc([N+](=O)[O-])cn1)NNC(=O)c1ccccn1 ZINC000065625149 658915613 /nfs/dbraw/zinc/91/56/13/658915613.db2.gz UWXJKRMKCUTHPN-UHFFFAOYSA-N 0 2 304.266 0.038 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCCN(Cc2cn(C)nn2)C1 ZINC001009344141 659166924 /nfs/dbraw/zinc/16/69/24/659166924.db2.gz AXKVWJJFSLZRAG-LBPRGKRZSA-N 0 2 317.397 0.170 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H]([N@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001027318881 659229175 /nfs/dbraw/zinc/22/91/75/659229175.db2.gz YWCSQNNSQFIBSO-JTQLQIEISA-N 0 2 319.369 0.278 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H]([N@@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001027318881 659229179 /nfs/dbraw/zinc/22/91/79/659229179.db2.gz YWCSQNNSQFIBSO-JTQLQIEISA-N 0 2 319.369 0.278 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H]([N@H+](C)Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001027318881 659229183 /nfs/dbraw/zinc/22/91/83/659229183.db2.gz YWCSQNNSQFIBSO-JTQLQIEISA-N 0 2 319.369 0.278 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H]([N@@H+](C)Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001027318881 659229185 /nfs/dbraw/zinc/22/91/85/659229185.db2.gz YWCSQNNSQFIBSO-JTQLQIEISA-N 0 2 319.369 0.278 20 0 DCADLN CO[C@@H]1CCC[C@@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949935631 659283640 /nfs/dbraw/zinc/28/36/40/659283640.db2.gz TUCOPJABSNWVRN-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@@H](NC(=O)c2csnn2)C1 ZINC000974331633 659327434 /nfs/dbraw/zinc/32/74/34/659327434.db2.gz AQDGHQBVKAOMTM-DTORHVGOSA-N 0 2 320.378 0.189 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@@H](C)C3CC3)C[C@H]21 ZINC000976928521 659498377 /nfs/dbraw/zinc/49/83/77/659498377.db2.gz VOTHSBVNOYVPOY-WSNQVKOXSA-N 0 2 316.405 0.880 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)C[C@H]3C[C@H]3C)C[C@H]21 ZINC000976928280 659498469 /nfs/dbraw/zinc/49/84/69/659498469.db2.gz RWKVDCJLBPLFHW-XMTPETNJSA-N 0 2 316.405 0.880 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1cnon1 ZINC000977037340 659536240 /nfs/dbraw/zinc/53/62/40/659536240.db2.gz SSSSLGONYJZWHY-LAHCRNKXSA-N 0 2 322.218 0.157 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)c1cnon1 ZINC000977037340 659536244 /nfs/dbraw/zinc/53/62/44/659536244.db2.gz SSSSLGONYJZWHY-LAHCRNKXSA-N 0 2 322.218 0.157 20 0 DCADLN COc1ccsc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038941421 661301466 /nfs/dbraw/zinc/30/14/66/661301466.db2.gz YQGJWXXQKJHPCL-QMMMGPOBSA-N 0 2 323.378 0.585 20 0 DCADLN Cc1cc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)ccn1 ZINC000982298288 661344340 /nfs/dbraw/zinc/34/43/40/661344340.db2.gz YEGTXDLDGFMGPF-UHFFFAOYSA-N 0 2 316.365 0.562 20 0 DCADLN Cc1ncoc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029257584 662070359 /nfs/dbraw/zinc/07/03/59/662070359.db2.gz MZKNDDVIFZGKNU-AOOOYVTPSA-N 0 2 318.337 0.296 20 0 DCADLN CC(C)=C(F)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029270578 662074564 /nfs/dbraw/zinc/07/45/64/662074564.db2.gz RSKZEXUGESJQAH-AOOOYVTPSA-N 0 2 309.345 0.949 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCN=c1nn[n-]n1Cc1ccccc1 ZINC000895089529 662082316 /nfs/dbraw/zinc/08/23/16/662082316.db2.gz BFGPNNFHMHLRMX-HNNXBMFYSA-N 0 2 316.409 0.666 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCN=c1nn[n-]n1Cc1ccccc1 ZINC000895089529 662082318 /nfs/dbraw/zinc/08/23/18/662082318.db2.gz BFGPNNFHMHLRMX-HNNXBMFYSA-N 0 2 316.409 0.666 20 0 DCADLN CC[C@H](C(N)=O)[N@H+](C)C1CCN(C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000985383886 662423562 /nfs/dbraw/zinc/42/35/62/662423562.db2.gz FVMKMMVPWYHFLD-LLVKDONJSA-N 0 2 323.397 0.224 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+](C)C1CCN(C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000985383886 662423563 /nfs/dbraw/zinc/42/35/63/662423563.db2.gz FVMKMMVPWYHFLD-LLVKDONJSA-N 0 2 323.397 0.224 20 0 DCADLN C[C@@H]1[C@H]([NH2+]CC(=O)N2CCC2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC000986209068 662569834 /nfs/dbraw/zinc/56/98/34/662569834.db2.gz CDLRDGGDGHZQMK-CHWSQXEVSA-N 0 2 319.409 0.154 20 0 DCADLN Cc1nc(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001000613284 665894815 /nfs/dbraw/zinc/89/48/15/665894815.db2.gz CSKGXMHVPMRICS-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN Cc1nc(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001000613284 665894817 /nfs/dbraw/zinc/89/48/17/665894817.db2.gz CSKGXMHVPMRICS-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN CC(C)(F)C(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC000989901272 662986706 /nfs/dbraw/zinc/98/67/06/662986706.db2.gz YBSWBKPHSIFZRV-LKFCYVNXSA-N 0 2 311.361 0.872 20 0 DCADLN CC(C)(F)C(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC000989901272 662986708 /nfs/dbraw/zinc/98/67/08/662986708.db2.gz YBSWBKPHSIFZRV-LKFCYVNXSA-N 0 2 311.361 0.872 20 0 DCADLN Cc1cnc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000990124584 663006288 /nfs/dbraw/zinc/00/62/88/663006288.db2.gz OMNZIFAOUZZQNJ-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cnc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC000990124584 663006290 /nfs/dbraw/zinc/00/62/90/663006290.db2.gz OMNZIFAOUZZQNJ-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990318845 663031302 /nfs/dbraw/zinc/03/13/02/663031302.db2.gz PHXLXBUZVYAOBL-WCBMZHEXSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990318845 663031303 /nfs/dbraw/zinc/03/13/03/663031303.db2.gz PHXLXBUZVYAOBL-WCBMZHEXSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cncs1 ZINC000990371631 663039484 /nfs/dbraw/zinc/03/94/84/663039484.db2.gz KQXLOWCJQUXHKR-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cncs1 ZINC000990371631 663039485 /nfs/dbraw/zinc/03/94/85/663039485.db2.gz KQXLOWCJQUXHKR-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)OCc1ncnn1C ZINC000801330234 663053175 /nfs/dbraw/zinc/05/31/75/663053175.db2.gz ZSXCWQXVIMHUQO-QMMMGPOBSA-N 0 2 308.260 0.561 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000938241405 663360659 /nfs/dbraw/zinc/36/06/59/663360659.db2.gz JIJRYRIQGBKYAB-FSPLSTOPSA-N 0 2 309.223 0.036 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC000901668103 663361490 /nfs/dbraw/zinc/36/14/90/663361490.db2.gz WZYRRQLOFCFARF-UEKVPHQBSA-N 0 2 307.350 0.616 20 0 DCADLN C[C@@H]1CN(CN2CCC3(CCC3)C2=O)C[C@H]1CS(N)(=O)=O ZINC000902614746 663422255 /nfs/dbraw/zinc/42/22/55/663422255.db2.gz LLQOOAMMUCZFRW-NEPJUHHUSA-N 0 2 315.439 0.203 20 0 DCADLN Cc1cccc(OCCNC(=O)[C@@H](C)ON=C(N)CN(C)C)c1 ZINC000902613829 663422314 /nfs/dbraw/zinc/42/23/14/663422314.db2.gz XKBORQSAOFPSGU-CYBMUJFWSA-N 0 2 322.409 0.939 20 0 DCADLN CCC[C@@H]1CC(=O)N(CN2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)C1 ZINC000902614816 663422337 /nfs/dbraw/zinc/42/23/37/663422337.db2.gz NWCOAMPMBMDFMJ-UPJWGTAASA-N 0 2 317.455 0.449 20 0 DCADLN CC(C)C[C@@H](C(=O)N1CCN(C(=O)C(=O)[O-])CC1)n1cc[nH+]c1 ZINC000902773706 663433305 /nfs/dbraw/zinc/43/33/05/663433305.db2.gz WVKYOCGURMIPSO-LBPRGKRZSA-N 0 2 322.365 0.226 20 0 DCADLN O=C(c1cn[nH]n1)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000941291830 665964937 /nfs/dbraw/zinc/96/49/37/665964937.db2.gz XVHRXPHCRXROPB-MRVPVSSYSA-N 0 2 323.250 0.380 20 0 DCADLN O=C(c1cn[nH]n1)N1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000941291830 665964940 /nfs/dbraw/zinc/96/49/40/665964940.db2.gz XVHRXPHCRXROPB-MRVPVSSYSA-N 0 2 323.250 0.380 20 0 DCADLN C[C@H]([C@@H]1C[N@H+](C)CCO1)N1C(=O)N[C@H](C[NH+]2CCCCC2)C1=O ZINC000924804479 663581577 /nfs/dbraw/zinc/58/15/77/663581577.db2.gz GIMDFIXVZJHDEF-MCIONIFRSA-N 0 2 324.425 0.112 20 0 DCADLN C[C@H]1CCC[C@H](C)N1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000907987335 663726919 /nfs/dbraw/zinc/72/69/19/663726919.db2.gz BNDCWXCOESPQHO-UWVGGRQHSA-N 0 2 304.354 0.365 20 0 DCADLN CCCN(C(=O)NCC(C)(C)[NH+]1CCOCC1)[C@@H](C)C(=O)[O-] ZINC000908746676 663767672 /nfs/dbraw/zinc/76/76/72/663767672.db2.gz CQANLNKAVQQRDK-LBPRGKRZSA-N 0 2 315.414 0.992 20 0 DCADLN O=C([O-])c1csc(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)n1 ZINC000909260636 663789712 /nfs/dbraw/zinc/78/97/12/663789712.db2.gz ZNCDFFTVMAIZLI-QMMMGPOBSA-N 0 2 306.347 0.917 20 0 DCADLN C/C(=C/C(=O)N1CCC[C@@](C)(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC000909839872 663811542 /nfs/dbraw/zinc/81/15/42/663811542.db2.gz HABYQIALBDGWNH-IVOILVROSA-N 0 2 310.394 0.978 20 0 DCADLN CO[C@@H]1CCC[C@H]([C@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])C1 ZINC000909910343 663820122 /nfs/dbraw/zinc/82/01/22/663820122.db2.gz YOIFHIUGZNYVHS-SUHUHFCYSA-N 0 2 309.366 0.737 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@H](C[C@@H]1CCCOC1)C(=O)[O-] ZINC000910238221 663858200 /nfs/dbraw/zinc/85/82/00/663858200.db2.gz YWAJCNIHCYEJJO-WCQYABFASA-N 0 2 309.366 0.739 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000910396232 663879266 /nfs/dbraw/zinc/87/92/66/663879266.db2.gz VMXADHNHOKYFAC-SDDRHHMPSA-N 0 2 306.366 0.737 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000910396232 663879268 /nfs/dbraw/zinc/87/92/68/663879268.db2.gz VMXADHNHOKYFAC-SDDRHHMPSA-N 0 2 306.366 0.737 20 0 DCADLN CC[N@H+]1CC[C@H]1CNC(=O)C(=O)N1C[C@@H](C)[NH+](CC)C[C@@H]1C ZINC000910652024 663917245 /nfs/dbraw/zinc/91/72/45/663917245.db2.gz HOFLQQYIDMIORN-RDBSUJKOSA-N 0 2 310.442 0.138 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)[C@@H]3COCC[N@@H+]3C3CCCC3)C[C@@H]21 ZINC000910802007 663942469 /nfs/dbraw/zinc/94/24/69/663942469.db2.gz OXNBZEHETZNZJQ-RFQIPJPRSA-N 0 2 308.378 0.419 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)[C@@H]3COCC[N@H+]3C3CCCC3)C[C@@H]21 ZINC000910802007 663942471 /nfs/dbraw/zinc/94/24/71/663942471.db2.gz OXNBZEHETZNZJQ-RFQIPJPRSA-N 0 2 308.378 0.419 20 0 DCADLN CC[C@@H](OC)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171179 664000820 /nfs/dbraw/zinc/00/08/20/664000820.db2.gz DHDMSRVRKJGONF-GFCCVEGCSA-N 0 2 307.350 0.853 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)nc1 ZINC000911730818 664106239 /nfs/dbraw/zinc/10/62/39/664106239.db2.gz GPHIINQIFKSGEW-SNVBAGLBSA-N 0 2 300.318 0.855 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2c3ccccc3C[C@@H]2CO)S1 ZINC000912315656 664161689 /nfs/dbraw/zinc/16/16/89/664161689.db2.gz BZGZMXJOODRSSW-MWLCHTKSSA-N 0 2 305.359 0.493 20 0 DCADLN CN1C[C@H](C(=O)Nc2nccc(Br)c2O)NC1=O ZINC000913025674 664255906 /nfs/dbraw/zinc/25/59/06/664255906.db2.gz XDKNMSPTSPMDRA-ZCFIWIBFSA-N 0 2 315.127 0.512 20 0 DCADLN CCn1ccc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991434254 664291326 /nfs/dbraw/zinc/29/13/26/664291326.db2.gz OIWZKJSXMBBRHR-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1ccc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000991434254 664291328 /nfs/dbraw/zinc/29/13/28/664291328.db2.gz OIWZKJSXMBBRHR-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN O=C(NNC(=O)c1ccccn1)c1ccnc(-n2cncn2)c1 ZINC000054704476 664297792 /nfs/dbraw/zinc/29/77/92/664297792.db2.gz UYHDJICTXKUWHD-UHFFFAOYSA-N 0 2 309.289 0.132 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3oc(=S)[n-]c3c2)C[C@@H]1CO ZINC000913543386 664346573 /nfs/dbraw/zinc/34/65/73/664346573.db2.gz HGDKXNFIYOGYSW-SNVBAGLBSA-N 0 2 307.375 0.865 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3oc(=S)[n-]c3c2)C[C@@H]1CO ZINC000913543386 664346577 /nfs/dbraw/zinc/34/65/77/664346577.db2.gz HGDKXNFIYOGYSW-SNVBAGLBSA-N 0 2 307.375 0.865 20 0 DCADLN Cc1nnc(CN2CC[C@@]3(NC(=O)c4cnn[nH]4)CCC[C@H]23)[nH]1 ZINC000991570639 664423358 /nfs/dbraw/zinc/42/33/58/664423358.db2.gz RPBQZNSLOHUNQI-FZMZJTMJSA-N 0 2 316.369 0.158 20 0 DCADLN O=C(C[C@@H]1CC[C@@H](C2CC2)O1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030713902 664644807 /nfs/dbraw/zinc/64/48/07/664644807.db2.gz PQSGLLWDKPRLMU-RYUDHWBXSA-N 0 2 321.381 0.158 20 0 DCADLN COc1ccc(F)cc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030764564 664659885 /nfs/dbraw/zinc/65/98/85/664659885.db2.gz WEDVSWOWJAOUJY-UHFFFAOYSA-N 0 2 321.312 0.272 20 0 DCADLN Cc1ccc(C)c(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030891621 664695826 /nfs/dbraw/zinc/69/58/26/664695826.db2.gz HMVPCJKICMGDLQ-UHFFFAOYSA-N 0 2 315.377 0.670 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(Cc1ccccn1)C1CC1 ZINC000730516034 664784315 /nfs/dbraw/zinc/78/43/15/664784315.db2.gz GLBKXHUXQMEWFS-UHFFFAOYSA-N 0 2 324.344 0.657 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1CCCc2occc21 ZINC000730522988 664785151 /nfs/dbraw/zinc/78/51/51/664785151.db2.gz CDXFCMVETYUWHQ-NSHDSACASA-N 0 2 313.317 0.858 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C2CCOCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000992971964 664787783 /nfs/dbraw/zinc/78/77/83/664787783.db2.gz UNYQOJGAWLBCSZ-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C2CCOCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000992971964 664787784 /nfs/dbraw/zinc/78/77/84/664787784.db2.gz UNYQOJGAWLBCSZ-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCc1cccc(O)c1 ZINC000730587769 664795382 /nfs/dbraw/zinc/79/53/82/664795382.db2.gz CGLBSBTXFQWBTF-UHFFFAOYSA-N 0 2 313.317 0.136 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2cccnc2c1 ZINC001031120379 664796884 /nfs/dbraw/zinc/79/68/84/664796884.db2.gz DPWXRSQRLBYCOM-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1cn2ccccc2n1 ZINC000730658888 664799655 /nfs/dbraw/zinc/79/96/55/664799655.db2.gz XGIUFDVCZUZIKN-UHFFFAOYSA-N 0 2 324.300 0.462 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1coc(CC2CC2)n1 ZINC001031167903 664822657 /nfs/dbraw/zinc/82/26/57/664822657.db2.gz NKNMCVHPQWEZGK-UHFFFAOYSA-N 0 2 318.337 0.065 20 0 DCADLN CC(C)c1ocnc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031210628 664884919 /nfs/dbraw/zinc/88/49/19/664884919.db2.gz VJKZOAXSBIYWKK-UHFFFAOYSA-N 0 2 306.326 0.236 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000993223094 664910248 /nfs/dbraw/zinc/91/02/48/664910248.db2.gz WZERPJSRAICHQO-PWSUYJOCSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000993223094 664910249 /nfs/dbraw/zinc/91/02/49/664910249.db2.gz WZERPJSRAICHQO-PWSUYJOCSA-N 0 2 318.381 0.930 20 0 DCADLN CCc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)oc1CC ZINC001031263739 664958829 /nfs/dbraw/zinc/95/88/29/664958829.db2.gz YHMCLORCPACQIY-UHFFFAOYSA-N 0 2 319.365 0.842 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000993641407 664987454 /nfs/dbraw/zinc/98/74/54/664987454.db2.gz FGHRCSQNENIDBJ-ONGXEEELSA-N 0 2 319.369 0.325 20 0 DCADLN C[C@H]1C[C@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C[C@@H](C)C1 ZINC001031454104 665159245 /nfs/dbraw/zinc/15/92/45/665159245.db2.gz KDQFVCFFRLSIHA-URLYPYJESA-N 0 2 307.398 0.883 20 0 DCADLN O=C1CNC(=O)N1c1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000919957552 665247507 /nfs/dbraw/zinc/24/75/07/665247507.db2.gz OSBLDJFPXZTCEX-UHFFFAOYSA-N 0 2 321.318 0.267 20 0 DCADLN CNC(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000920953483 665285154 /nfs/dbraw/zinc/28/51/54/665285154.db2.gz KEBZYTXWVZGKTE-VIFPVBQESA-N 0 2 306.347 0.541 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000997946763 665376458 /nfs/dbraw/zinc/37/64/58/665376458.db2.gz GKEHVWLQKVODMX-RYPBNFRJSA-N 0 2 310.247 0.106 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000997946763 665376460 /nfs/dbraw/zinc/37/64/60/665376460.db2.gz GKEHVWLQKVODMX-RYPBNFRJSA-N 0 2 310.247 0.106 20 0 DCADLN CC1(C)CC[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031961271 666025053 /nfs/dbraw/zinc/02/50/53/666025053.db2.gz UKWQJVNVVRZVKH-NSHDSACASA-N 0 2 307.398 0.885 20 0 DCADLN Cn1cncc1C[NH+]1CCC(CNC(=O)c2ncn[nH]2)CC1 ZINC001001952452 666114601 /nfs/dbraw/zinc/11/46/01/666114601.db2.gz DGUDDODBQADXIK-UHFFFAOYSA-N 0 2 303.370 0.180 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1cccnc1 ZINC001002245183 666146230 /nfs/dbraw/zinc/14/62/30/666146230.db2.gz AZMUKWQJPZFZGP-UHFFFAOYSA-N 0 2 302.338 0.300 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC=CCC1 ZINC001002330307 666156086 /nfs/dbraw/zinc/15/60/86/666156086.db2.gz XQLFAEMTJLRDIP-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC000942502601 666180419 /nfs/dbraw/zinc/18/04/19/666180419.db2.gz VVHMSLHAEKOGBN-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC000942502601 666180420 /nfs/dbraw/zinc/18/04/20/666180420.db2.gz VVHMSLHAEKOGBN-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN C[C@@H]1OCCC[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002690068 666206489 /nfs/dbraw/zinc/20/64/89/666206489.db2.gz PULNYWUHAVBZTG-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC1(F)F ZINC001002758971 666215961 /nfs/dbraw/zinc/21/59/61/666215961.db2.gz HTIGZDWLEQDYSC-MRVPVSSYSA-N 0 2 301.297 0.246 20 0 DCADLN Cc1nnc(C[NH+]2CCC(NC(=O)c3cnn[n-]3)CC2)s1 ZINC001003231441 666286194 /nfs/dbraw/zinc/28/61/94/666286194.db2.gz NXBQWKYLTRFDKU-UHFFFAOYSA-N 0 2 307.383 0.359 20 0 DCADLN CC1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CC=CC1 ZINC001003561168 666327905 /nfs/dbraw/zinc/32/79/05/666327905.db2.gz KGVGRLBZPOYQDL-UHFFFAOYSA-N 0 2 305.382 0.947 20 0 DCADLN CN(C(=O)c1ccccn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032861406 666361948 /nfs/dbraw/zinc/36/19/48/666361948.db2.gz KPODKVUDMJHPCU-JTQLQIEISA-N 0 2 302.338 0.252 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1c(F)cccc1F ZINC001032149811 666373231 /nfs/dbraw/zinc/37/32/31/666373231.db2.gz REQMDDSOFRDJHV-UHFFFAOYSA-N 0 2 323.303 0.650 20 0 DCADLN Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001032899944 666380111 /nfs/dbraw/zinc/38/01/11/666380111.db2.gz OMVFJRNFOIJPFG-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN Cc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)c1 ZINC001032166322 666381348 /nfs/dbraw/zinc/38/13/48/666381348.db2.gz RYUVUVFOISBNDE-UHFFFAOYSA-N 0 2 315.377 0.989 20 0 DCADLN CN(C(=O)c1cscn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032955241 666404516 /nfs/dbraw/zinc/40/45/16/666404516.db2.gz VRSDNFYIWQAEPP-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1C[C@@]12CCOC2 ZINC001003926179 666407583 /nfs/dbraw/zinc/40/75/83/666407583.db2.gz HTQUFZUNIYTJNN-XHDPSFHLSA-N 0 2 321.381 0.018 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2CC[N@H+](CCn3cccn3)C2)c1[O-] ZINC001033008469 666424597 /nfs/dbraw/zinc/42/45/97/666424597.db2.gz GINOGDWOWUTHQD-LBPRGKRZSA-N 0 2 318.381 0.467 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2CC[N@@H+](CCn3cccn3)C2)c1[O-] ZINC001033008469 666424598 /nfs/dbraw/zinc/42/45/98/666424598.db2.gz GINOGDWOWUTHQD-LBPRGKRZSA-N 0 2 318.381 0.467 20 0 DCADLN Cc1nccc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001004166767 666467123 /nfs/dbraw/zinc/46/71/23/666467123.db2.gz LOFILSWKYPSBCX-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN CN(C(=O)Cc1cn2c([nH+]1)CCCC2)[C@H]1CC[N@H+](CCCO)C1 ZINC001033096477 666503431 /nfs/dbraw/zinc/50/34/31/666503431.db2.gz LSZHHIFZWQHLKE-HNNXBMFYSA-N 0 2 320.437 0.677 20 0 DCADLN CN(C(=O)c1ccns1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033123403 666542628 /nfs/dbraw/zinc/54/26/28/666542628.db2.gz AFKORMZKUPSUEB-MRVPVSSYSA-N 0 2 308.367 0.313 20 0 DCADLN O=C(c1cccnc1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032251584 666595514 /nfs/dbraw/zinc/59/55/14/666595514.db2.gz TYEWAOVZEBFFHB-QWRGUYRKSA-N 0 2 300.322 0.004 20 0 DCADLN O=C(C[C@H]1C=CCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032271445 666641954 /nfs/dbraw/zinc/64/19/54/666641954.db2.gz MCWOSHFPJIZUKK-SRVKXCTJSA-N 0 2 303.366 0.652 20 0 DCADLN Cc1cc(C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)on1 ZINC001005101519 666678938 /nfs/dbraw/zinc/67/89/38/666678938.db2.gz YHAXEBWQPHVGCM-UHFFFAOYSA-N 0 2 320.353 0.543 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(C)C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033348198 666686139 /nfs/dbraw/zinc/68/61/39/666686139.db2.gz DLRGCKIZJDJHQH-QWRGUYRKSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(C)C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033348198 666686140 /nfs/dbraw/zinc/68/61/40/666686140.db2.gz DLRGCKIZJDJHQH-QWRGUYRKSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N(C)[C@@H]2CC[NH+](CC(=O)NC3CC3)C2)C1 ZINC001033420472 666710440 /nfs/dbraw/zinc/71/04/40/666710440.db2.gz PNGKPYLZGCISJP-UKRRQHHQSA-N 0 2 322.453 0.140 20 0 DCADLN CN(C(=O)C1=CCOCC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005308898 666807495 /nfs/dbraw/zinc/80/74/95/666807495.db2.gz RIRUWMMIZXYZOD-UHFFFAOYSA-N 0 2 321.381 0.280 20 0 DCADLN CN(C(=O)[C@@H]1CC1(F)F)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005363822 666830744 /nfs/dbraw/zinc/83/07/44/666830744.db2.gz ZCQWLVBANATSIB-VIFPVBQESA-N 0 2 315.324 0.588 20 0 DCADLN CCN(C(=O)[C@H]1CCc2[nH+]ccn2C1)[C@H]1CC[N@H+](CCO)C1 ZINC001033881333 666855486 /nfs/dbraw/zinc/85/54/86/666855486.db2.gz PROPVQYDOLZPBE-KBPBESRZSA-N 0 2 306.410 0.361 20 0 DCADLN CCN(C(=O)c1n[nH]cc1F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033952083 666876116 /nfs/dbraw/zinc/87/61/16/666876116.db2.gz YQVNNHXFJFTFDE-QMMMGPOBSA-N 0 2 323.332 0.109 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001034168391 666942360 /nfs/dbraw/zinc/94/23/60/666942360.db2.gz IOOQSDZCCHYPCQ-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001034168391 666942362 /nfs/dbraw/zinc/94/23/62/666942362.db2.gz IOOQSDZCCHYPCQ-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN Cc1cnc(C[N@@H+]2CCCC[C@@H](NC(=O)c3cnn[n-]3)C2)nc1 ZINC001034286022 666973315 /nfs/dbraw/zinc/97/33/15/666973315.db2.gz OZDRNAKVMNNODW-GFCCVEGCSA-N 0 2 315.381 0.688 20 0 DCADLN Cc1cnc(C[N@H+]2CCCC[C@@H](NC(=O)c3cnn[n-]3)C2)nc1 ZINC001034286022 666973318 /nfs/dbraw/zinc/97/33/18/666973318.db2.gz OZDRNAKVMNNODW-GFCCVEGCSA-N 0 2 315.381 0.688 20 0 DCADLN O=C(NC[C@@H]1COCO1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000861971732 667003954 /nfs/dbraw/zinc/00/39/54/667003954.db2.gz NKTYZQQXTXYJTD-LLVKDONJSA-N 0 2 307.281 0.820 20 0 DCADLN O=C(c1cncc(F)c1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032350105 667007434 /nfs/dbraw/zinc/00/74/34/667007434.db2.gz FBKGERXVJYHARM-QWRGUYRKSA-N 0 2 318.312 0.143 20 0 DCADLN CNC(=O)C[NH+]1CCCC[C@H](NC(=O)C[N@@H+]2CCC[C@@H]2C)C1 ZINC001034398167 667013401 /nfs/dbraw/zinc/01/34/01/667013401.db2.gz MZBVYKJRYWEEPY-KBPBESRZSA-N 0 2 310.442 0.187 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncccn1 ZINC001034452097 667033888 /nfs/dbraw/zinc/03/38/88/667033888.db2.gz ANOXIUHMYOQPSY-SNVBAGLBSA-N 0 2 317.353 0.085 20 0 DCADLN CN(OCC(F)(F)F)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000863849501 667190097 /nfs/dbraw/zinc/19/00/97/667190097.db2.gz IRYDQHRWXSGGQV-UHFFFAOYSA-N 0 2 305.216 0.024 20 0 DCADLN Cn1ncnc1CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001032416457 667217051 /nfs/dbraw/zinc/21/70/51/667217051.db2.gz GHWAMHZXXNWQGP-NRPADANISA-N 0 2 321.278 0.501 20 0 DCADLN Cn1ncnc1CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)C(F)(F)F ZINC001032416457 667217056 /nfs/dbraw/zinc/21/70/56/667217056.db2.gz GHWAMHZXXNWQGP-NRPADANISA-N 0 2 321.278 0.501 20 0 DCADLN O=C(CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F)NC1CC1 ZINC001032416910 667217309 /nfs/dbraw/zinc/21/73/09/667217309.db2.gz PKWHRAMPDDOPPL-ATZCPNFKSA-N 0 2 323.290 0.451 20 0 DCADLN O=C(CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)C(F)(F)F)NC1CC1 ZINC001032416910 667217311 /nfs/dbraw/zinc/21/73/11/667217311.db2.gz PKWHRAMPDDOPPL-ATZCPNFKSA-N 0 2 323.290 0.451 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032455467 667275542 /nfs/dbraw/zinc/27/55/42/667275542.db2.gz MSPUNDGUAHWRJW-BLFANLJRSA-N 0 2 321.381 0.111 20 0 DCADLN C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001032471341 667295844 /nfs/dbraw/zinc/29/58/44/667295844.db2.gz SSKQVTWXHWTUOY-DLOVCJGASA-N 0 2 305.382 0.732 20 0 DCADLN CC[C@H](OC)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035663664 667396353 /nfs/dbraw/zinc/39/63/53/667396353.db2.gz DDNFMDLLGDLSGF-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN Cc1conc1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006253756 667592401 /nfs/dbraw/zinc/59/24/01/667592401.db2.gz CGSCXYOVBXNVBB-UHFFFAOYSA-N 0 2 320.353 0.543 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]2CCC[C@H]21 ZINC000741986208 667779124 /nfs/dbraw/zinc/77/91/24/667779124.db2.gz YYNWZZXDVXMVSM-CMPLNLGQSA-N 0 2 301.350 0.862 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)Nc1ccccc1 ZINC000742661939 667796339 /nfs/dbraw/zinc/79/63/39/667796339.db2.gz AKFLJGIBEVOSRG-WCBMZHEXSA-N 0 2 320.374 0.686 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2OCCc3ccccc32)S1 ZINC000742673288 667797014 /nfs/dbraw/zinc/79/70/14/667797014.db2.gz XJAMUMLBGVZNPZ-NEPJUHHUSA-N 0 2 319.386 0.973 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCCC[C@H]2c2ncon2)S1 ZINC000742692484 667797338 /nfs/dbraw/zinc/79/73/38/667797338.db2.gz LUWOFQNZEOOOMP-YUMQZZPRSA-N 0 2 309.351 0.680 20 0 DCADLN Cc1noc(C)c1C[C@@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000742696272 667797365 /nfs/dbraw/zinc/79/73/65/667797365.db2.gz ZOESCLCZCAYFSJ-LHLIQPBNSA-N 0 2 310.379 0.895 20 0 DCADLN COC(=O)C1CC2(C1)CN(c1cc[nH+]c(C)n1)C[C@@H]2C(=O)[O-] ZINC000886919301 667819859 /nfs/dbraw/zinc/81/98/59/667819859.db2.gz HATNIUZZVODSFX-LOIXOFCESA-N 0 2 305.334 0.875 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2ncc3c(n2)COC3)c1Cl ZINC000872005914 667866234 /nfs/dbraw/zinc/86/62/34/667866234.db2.gz CCMOLKYLDGRGSQ-UHFFFAOYSA-N 0 2 315.742 0.695 20 0 DCADLN CN1CCN(C(=O)C[C@H]2SC(=N)NC2=O)Cc2cccnc21 ZINC000872305125 667888726 /nfs/dbraw/zinc/88/87/26/667888726.db2.gz HFJRBKDEMGBUNT-SNVBAGLBSA-N 0 2 319.390 0.416 20 0 DCADLN COC(=O)C(C)(C)CS(=O)(=O)Nc1ccn(CCF)n1 ZINC000872404707 667893952 /nfs/dbraw/zinc/89/39/52/667893952.db2.gz CNJFQDVGQIJOMZ-UHFFFAOYSA-N 0 2 307.347 0.794 20 0 DCADLN C[C@H](CN(C)C(=O)OC(C)(C)C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748418294 667927954 /nfs/dbraw/zinc/92/79/54/667927954.db2.gz HBZWTOOMQSQNJN-MRVPVSSYSA-N 0 2 313.358 0.630 20 0 DCADLN CNC(=O)NC(=O)[C@@H](C)OC(=O)c1cc(F)c(O)c(F)c1 ZINC000748483398 667930259 /nfs/dbraw/zinc/93/02/59/667930259.db2.gz SDAQLUJWWSLATN-RXMQYKEDSA-N 0 2 302.233 0.671 20 0 DCADLN C[C@@H](O)c1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000748558142 667932643 /nfs/dbraw/zinc/93/26/43/667932643.db2.gz YMJHUTSHLODXFE-KCJUWKMLSA-N 0 2 307.375 0.913 20 0 DCADLN CCS[C@@H]1CCC[C@@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000748577461 667932973 /nfs/dbraw/zinc/93/29/73/667932973.db2.gz RTUQVHRVKGPZHO-WDEREUQCSA-N 0 2 321.406 0.862 20 0 DCADLN COc1ccc(CN(C)C(=O)C[C@@H]2SC(=N)NC2=O)cn1 ZINC000749041547 667944811 /nfs/dbraw/zinc/94/48/11/667944811.db2.gz DSJFAOGQIJXPSA-VIFPVBQESA-N 0 2 308.363 0.605 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(NC3CC(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873075893 667969848 /nfs/dbraw/zinc/96/98/48/667969848.db2.gz JHOIWAISEGTCHS-QKEWWQLBSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(NC3CC(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873075893 667969850 /nfs/dbraw/zinc/96/98/50/667969850.db2.gz JHOIWAISEGTCHS-QKEWWQLBSA-N 0 2 306.366 0.959 20 0 DCADLN CCCCC[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000752761638 668021808 /nfs/dbraw/zinc/02/18/08/668021808.db2.gz KBLMYQBSCHYEIH-RKDXNWHRSA-N 0 2 315.395 0.781 20 0 DCADLN C[C@@H]1CSCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000754499818 668046851 /nfs/dbraw/zinc/04/68/51/668046851.db2.gz UWNUUNXIEVJHEE-VIFPVBQESA-N 0 2 307.379 0.283 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)CN1CCOC1=O ZINC000873904130 668127038 /nfs/dbraw/zinc/12/70/38/668127038.db2.gz GUGJUMHFGJJCBE-UHFFFAOYSA-N 0 2 322.346 0.808 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1ccc2nnnn2c1 ZINC000759039199 668204856 /nfs/dbraw/zinc/20/48/56/668204856.db2.gz HPFDXCCMCFYWJQ-UHFFFAOYSA-N 0 2 308.257 0.065 20 0 DCADLN COC(=O)CNC(=O)[C@H](C)OC(=O)c1cc(F)c(O)c(F)c1 ZINC000759544787 668225061 /nfs/dbraw/zinc/22/50/61/668225061.db2.gz DSTCRZYPWHEDJN-LURJTMIESA-N 0 2 317.244 0.505 20 0 DCADLN CS(=O)(=O)N1CCC[C@H](C(=O)NOc2ccccc2F)C1 ZINC000874910754 668234993 /nfs/dbraw/zinc/23/49/93/668234993.db2.gz HQIBTDGZFGOMSS-JTQLQIEISA-N 0 2 316.354 0.907 20 0 DCADLN CCN(CC)C(=O)C[NH2+]Cc1cnc(N2CC[NH+](C)CC2)nc1 ZINC000761956681 668342273 /nfs/dbraw/zinc/34/22/73/668342273.db2.gz DYHWZDRZIMIFJW-UHFFFAOYSA-N 0 2 320.441 0.186 20 0 DCADLN CCOC(=O)CC[C@@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000762257718 668353596 /nfs/dbraw/zinc/35/35/96/668353596.db2.gz OLDLHYCAXNHULQ-HTQZYQBOSA-N 0 2 301.368 0.391 20 0 DCADLN CCOC(=O)C[C@@H](C)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000762246300 668353757 /nfs/dbraw/zinc/35/37/57/668353757.db2.gz WRDFKLQGLRNXCW-HTQZYQBOSA-N 0 2 301.368 0.248 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc(O)c1F ZINC000762320760 668356390 /nfs/dbraw/zinc/35/63/90/668356390.db2.gz JTRVPKOJNQEYOR-UHFFFAOYSA-N 0 2 303.253 0.555 20 0 DCADLN CC[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000763812382 668423618 /nfs/dbraw/zinc/42/36/18/668423618.db2.gz APYWMHBBTWILJD-HTQZYQBOSA-N 0 2 315.395 0.779 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](CO)Cc2ccccc2)S1 ZINC000767101779 668559296 /nfs/dbraw/zinc/55/92/96/668559296.db2.gz MOGZUSSBSJQHKL-GHMZBOCLSA-N 0 2 307.375 0.263 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](CO)c2ccccc2)S1 ZINC000769270672 668640964 /nfs/dbraw/zinc/64/09/64/668640964.db2.gz BJKXKZPWHJTLGB-WDEREUQCSA-N 0 2 307.375 0.435 20 0 DCADLN O=C(CCN1C(=O)c2ccccc2C1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774872556 668849295 /nfs/dbraw/zinc/84/92/95/668849295.db2.gz DJEQYTLOQSNESK-UHFFFAOYSA-N 0 2 316.273 0.240 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cccc(N2C(=O)CCC2=O)c1 ZINC000774874815 668849393 /nfs/dbraw/zinc/84/93/93/668849393.db2.gz FNPXNWCAYVMRJF-UHFFFAOYSA-N 0 2 316.273 0.521 20 0 DCADLN O=C(Cn1cnc2sccc2c1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774879897 668849891 /nfs/dbraw/zinc/84/98/91/668849891.db2.gz VXVUCFWCPDMSJW-UHFFFAOYSA-N 0 2 307.291 0.025 20 0 DCADLN O=C(CCCn1c(=O)oc2ccccc21)OCc1n[nH]c(=O)[nH]1 ZINC000774910053 668850785 /nfs/dbraw/zinc/85/07/85/668850785.db2.gz TZVRNYUJTYDPPR-UHFFFAOYSA-N 0 2 318.289 0.942 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000774912115 668851020 /nfs/dbraw/zinc/85/10/20/668851020.db2.gz CAJZZZNIBVIRBW-JTQLQIEISA-N 0 2 323.353 0.481 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000774920167 668851623 /nfs/dbraw/zinc/85/16/23/668851623.db2.gz UDZCLSSHMQIVGC-VIFPVBQESA-N 0 2 308.338 0.735 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C)CCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000775361732 668861285 /nfs/dbraw/zinc/86/12/85/668861285.db2.gz FLJGHHLKDDCSFW-XHNCKOQMSA-N 0 2 313.379 0.343 20 0 DCADLN NS(=O)(=O)[C@@H]1CCCN(C(=O)c2cccc(Cl)c2O)C1 ZINC000775750849 668867254 /nfs/dbraw/zinc/86/72/54/668867254.db2.gz VBUAPCZYDAHCBU-MRVPVSSYSA-N 0 2 318.782 0.939 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cnc(-c3ccccn3)s2)C1=O ZINC000776249720 668874138 /nfs/dbraw/zinc/87/41/38/668874138.db2.gz VWVKCBBLYUFFCQ-UHFFFAOYSA-N 0 2 317.330 0.744 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC000776537711 668877337 /nfs/dbraw/zinc/87/73/37/668877337.db2.gz QYZFQVCPOZGUOZ-UHFFFAOYSA-N 0 2 306.347 0.964 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H]2C2CCOCC2)S1 ZINC000777493014 668887917 /nfs/dbraw/zinc/88/79/17/668887917.db2.gz CELHNQSPCWKSJQ-GHMZBOCLSA-N 0 2 311.407 0.960 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnc3c(c2)COCC3)S1 ZINC000777516501 668888289 /nfs/dbraw/zinc/88/82/89/668888289.db2.gz LIAWBANYXXWETD-JTQLQIEISA-N 0 2 306.347 0.649 20 0 DCADLN COC(=O)[C@@H]1CCCCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000781375498 669039999 /nfs/dbraw/zinc/03/99/99/669039999.db2.gz LBCKKAFQWJDSQN-IUCAKERBSA-N 0 2 313.379 0.487 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H](C)[C@H](C)O1 ZINC000782905384 669131591 /nfs/dbraw/zinc/13/15/91/669131591.db2.gz JFFTWIWTUOYHAG-BBBLOLIVSA-N 0 2 305.338 0.096 20 0 DCADLN C[C@H]1C[C@@H](CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000784379931 669201483 /nfs/dbraw/zinc/20/14/83/669201483.db2.gz NKQUZXMHWXUMIV-QWRGUYRKSA-N 0 2 319.365 0.393 20 0 DCADLN Cc1noc([C@@H](C)n2cc(CNC(=O)C(F)(F)F)nn2)n1 ZINC000881189298 669302554 /nfs/dbraw/zinc/30/25/54/669302554.db2.gz JOXVZVCVNWSYMN-RXMQYKEDSA-N 0 2 304.232 0.757 20 0 DCADLN CC(C)[C@@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccnn1C ZINC000788536473 669446014 /nfs/dbraw/zinc/44/60/14/669446014.db2.gz BQZRUOREKQGZMM-KOLCDFICSA-N 0 2 323.422 0.832 20 0 DCADLN CCC(O)(CC)CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000788571582 669448560 /nfs/dbraw/zinc/44/85/60/669448560.db2.gz OVDANAHAICBOLJ-UHFFFAOYSA-N 0 2 307.354 0.129 20 0 DCADLN CN(C)[S@@](C)(=O)=NS(=O)(=O)NC1(C(F)F)CCCC1 ZINC000882200190 669474422 /nfs/dbraw/zinc/47/44/22/669474422.db2.gz DHHRAYJLVVVZDN-SFHVURJKSA-N 0 2 319.399 0.973 20 0 DCADLN O=C(NCc1ccc(C(=O)N2C[C@@H]3C[C@]3(O)C2)o1)C(F)(F)F ZINC000882347707 669506473 /nfs/dbraw/zinc/50/64/73/669506473.db2.gz DQDHWMSFMSOVDA-MADCSZMMSA-N 0 2 318.251 0.665 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)Nc1cc(C(N)=O)[nH]n1 ZINC000789989480 669527428 /nfs/dbraw/zinc/52/74/28/669527428.db2.gz DFCCCQVMFHQFHU-ZETCQYMHSA-N 0 2 321.259 0.150 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCc1cn(C)nn1 ZINC000790020103 669530250 /nfs/dbraw/zinc/53/02/50/669530250.db2.gz ICNWJCMLCZXFJB-QMMMGPOBSA-N 0 2 307.276 0.134 20 0 DCADLN Cc1cccc(NS(=O)(=O)N=[S@](C)(=O)N(C)C)c1O ZINC000882708886 669571291 /nfs/dbraw/zinc/57/12/91/669571291.db2.gz JWDIBCAKBRYGLD-SFHVURJKSA-N 0 2 307.397 0.932 20 0 DCADLN CN(C)[S@](C)(=O)=NS(=O)(=O)Nc1c(O)cccc1F ZINC000882706703 669571464 /nfs/dbraw/zinc/57/14/64/669571464.db2.gz XIBPMEBVQIDGJV-GOSISDBHSA-N 0 2 311.360 0.762 20 0 DCADLN C[C@@H](O)C[C@@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000791157059 669595206 /nfs/dbraw/zinc/59/52/06/669595206.db2.gz GNIGFYMTXLFQMJ-MNOVXSKESA-N 0 2 319.365 0.223 20 0 DCADLN COc1cc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)n(C)n1 ZINC000882943653 669628395 /nfs/dbraw/zinc/62/83/95/669628395.db2.gz BQKAVUCAGCAXCW-UHFFFAOYSA-N 0 2 308.260 0.824 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H](C)C(=O)N1CCC(C)CC1 ZINC000883414172 669681554 /nfs/dbraw/zinc/68/15/54/669681554.db2.gz NXMMSUUUYYYXNN-GFCCVEGCSA-N 0 2 314.382 0.583 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)N1CCC(C)CC1 ZINC000883414172 669681556 /nfs/dbraw/zinc/68/15/56/669681556.db2.gz NXMMSUUUYYYXNN-GFCCVEGCSA-N 0 2 314.382 0.583 20 0 DCADLN Cc1ccncc1CN(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000794199242 669807055 /nfs/dbraw/zinc/80/70/55/669807055.db2.gz XJUWOTKPZXYANL-UHFFFAOYSA-N 0 2 312.333 0.433 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(=O)c2ccc(F)cc2o1 ZINC000796903964 669947387 /nfs/dbraw/zinc/94/73/87/669947387.db2.gz LOOGYTKENVQOIS-UHFFFAOYSA-N 0 2 304.237 0.686 20 0 DCADLN C[C@@H]1CCC[C@]1(C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950332102 670004094 /nfs/dbraw/zinc/00/40/94/670004094.db2.gz FNOAHWHOZJXLSU-ABAIWWIYSA-N 0 2 307.398 0.981 20 0 DCADLN CO[C@H](C)CS(=O)(=O)NNc1c(F)c(F)nc(F)c1F ZINC000800830845 670101523 /nfs/dbraw/zinc/10/15/23/670101523.db2.gz KXGLQZIXNXEKND-SCSAIBSYSA-N 0 2 317.264 0.919 20 0 DCADLN Cn1nnnc1COC(=O)c1nn(-c2ccccc2F)cc1O ZINC000801379099 670126867 /nfs/dbraw/zinc/12/68/67/670126867.db2.gz ZBZIKBPYLBNNDF-UHFFFAOYSA-N 0 2 318.268 0.598 20 0 DCADLN CCC[C@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(=O)OCC ZINC000801838225 670142941 /nfs/dbraw/zinc/14/29/41/670142941.db2.gz RXLLJMOVVBGMDO-JTQLQIEISA-N 0 2 322.321 0.346 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC000951005843 670173548 /nfs/dbraw/zinc/17/35/48/670173548.db2.gz VSVHPZPFXPRRRA-VDTYLAMSSA-N 0 2 309.223 0.036 20 0 DCADLN COCCO[N-]C(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000803344486 670244437 /nfs/dbraw/zinc/24/44/37/670244437.db2.gz CUYKEHXGGMIIJU-UHFFFAOYSA-N 0 2 318.333 0.183 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@@H](F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951387156 670260112 /nfs/dbraw/zinc/26/01/12/670260112.db2.gz PSCLLGLVNATDHX-VHSXEESVSA-N 0 2 311.361 0.681 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOc3cc(O)ccc3C2)S1 ZINC000804571177 670300367 /nfs/dbraw/zinc/30/03/67/670300367.db2.gz FDDAPPOLTQTQMV-LLVKDONJSA-N 0 2 321.358 0.670 20 0 DCADLN Cn1nncc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949683603 670403487 /nfs/dbraw/zinc/40/34/87/670403487.db2.gz KCBLRWBKOUSOIS-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000949683603 670403490 /nfs/dbraw/zinc/40/34/90/670403490.db2.gz KCBLRWBKOUSOIS-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN CCC(C)(C)C(=O)COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000811117716 670507016 /nfs/dbraw/zinc/50/70/16/670507016.db2.gz ZJKNRJMDFPJINL-UHFFFAOYSA-N 0 2 306.322 0.620 20 0 DCADLN CC1(C)CCC[C@@H](OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1=O ZINC000840227159 670514320 /nfs/dbraw/zinc/51/43/20/670514320.db2.gz FYBIFNNIQNIRLP-SNVBAGLBSA-N 0 2 318.333 0.762 20 0 DCADLN O=C(Cc1[nH]nc2ccccc21)NOCCN1CCCC1=O ZINC000856039489 670779368 /nfs/dbraw/zinc/77/93/68/670779368.db2.gz WUEYYJLMBUCAPP-UHFFFAOYSA-N 0 2 302.334 0.776 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCc2nccnc2C1 ZINC000856241351 670797559 /nfs/dbraw/zinc/79/75/59/670797559.db2.gz QNQQADGDFBSDTQ-UHFFFAOYSA-N 0 2 316.283 0.818 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCC[C@H]1CCOC1 ZINC000816439076 670810182 /nfs/dbraw/zinc/81/01/82/670810182.db2.gz SKFQBJRGUAOOOV-LLVKDONJSA-N 0 2 305.334 0.843 20 0 DCADLN CO[C@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCCC1 ZINC000849726491 670843612 /nfs/dbraw/zinc/84/36/12/670843612.db2.gz LOIFZGWOUDWOFE-GFCCVEGCSA-N 0 2 320.349 0.820 20 0 DCADLN CCn1c(NC(=O)CC2SC(=N)NC2=O)nc2cccnc21 ZINC000817186291 670905391 /nfs/dbraw/zinc/90/53/91/670905391.db2.gz XYZRWUDJFWXGMP-MRVPVSSYSA-N 0 2 318.362 0.946 20 0 DCADLN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)NOCCN2CCCC2=O)C1 ZINC000857869979 670956689 /nfs/dbraw/zinc/95/66/89/670956689.db2.gz CNZDXFWOGCSFFV-ZYHUDNBSSA-N 0 2 306.366 0.746 20 0 DCADLN Nc1ncc2c(n1)C[N@@H+](CC(=O)[N-]OCc1ccccc1)CC2 ZINC000857923640 670961173 /nfs/dbraw/zinc/96/11/73/670961173.db2.gz JBASRNKYOHDJQW-UHFFFAOYSA-N 0 2 313.361 0.665 20 0 DCADLN Nc1ncc2c(n1)C[N@H+](CC(=O)[N-]OCc1ccccc1)CC2 ZINC000857923640 670961175 /nfs/dbraw/zinc/96/11/75/670961175.db2.gz JBASRNKYOHDJQW-UHFFFAOYSA-N 0 2 313.361 0.665 20 0 DCADLN COCC1(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC000818020317 670962523 /nfs/dbraw/zinc/96/25/23/670962523.db2.gz JHLYKFFVDJQYDR-UHFFFAOYSA-N 0 2 319.365 0.394 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CCc2cccnc21 ZINC000857970041 670965049 /nfs/dbraw/zinc/96/50/49/670965049.db2.gz CWDSUQICPTYIRU-NSHDSACASA-N 0 2 324.344 0.312 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000818155900 670983080 /nfs/dbraw/zinc/98/30/80/670983080.db2.gz LKOBHTFPXJYRQQ-IUCAKERBSA-N 0 2 310.251 0.834 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000818155900 670983083 /nfs/dbraw/zinc/98/30/83/670983083.db2.gz LKOBHTFPXJYRQQ-IUCAKERBSA-N 0 2 310.251 0.834 20 0 DCADLN COc1cccc(S([O-])=CC(=O)N2CC[N@H+](C)[C@@H](C)C2)c1 ZINC000819319874 671111847 /nfs/dbraw/zinc/11/18/47/671111847.db2.gz VMNQJVLGYGYXNI-QKVFXAPYSA-N 0 2 310.419 0.965 20 0 DCADLN COc1cccc(S([O-])=CC(=O)N2CC[N@@H+](C)[C@@H](C)C2)c1 ZINC000819319874 671111850 /nfs/dbraw/zinc/11/18/50/671111850.db2.gz VMNQJVLGYGYXNI-QKVFXAPYSA-N 0 2 310.419 0.965 20 0 DCADLN CS(C)(=O)=Nc1cccc(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000859833027 671149525 /nfs/dbraw/zinc/14/95/25/671149525.db2.gz PSRVLDSPJFIKMH-UHFFFAOYSA-N 0 2 309.351 0.799 20 0 DCADLN CC[N@H+](Cc1ccc(S(=O)(=O)N(C)C)o1)[C@H](C)C(=O)[O-] ZINC000852737320 671154500 /nfs/dbraw/zinc/15/45/00/671154500.db2.gz WLQLLRQYENETPU-SECBINFHSA-N 0 2 304.368 0.825 20 0 DCADLN CC[N@@H+](Cc1ccc(S(=O)(=O)N(C)C)o1)[C@H](C)C(=O)[O-] ZINC000852737320 671154502 /nfs/dbraw/zinc/15/45/02/671154502.db2.gz WLQLLRQYENETPU-SECBINFHSA-N 0 2 304.368 0.825 20 0 DCADLN C[C@@H](OC(=O)c1ccc(CNC(=O)C(F)(F)F)o1)C(N)=O ZINC000860308576 671198245 /nfs/dbraw/zinc/19/82/45/671198245.db2.gz TYPJKDFUIGGTLY-RXMQYKEDSA-N 0 2 308.212 0.489 20 0 DCADLN Cc1noc(C)c1CNS(=O)(=O)N=S1(=O)CCCC1 ZINC000820787937 671227738 /nfs/dbraw/zinc/22/77/38/671227738.db2.gz QDPVYTYLEZPYTH-UHFFFAOYSA-N 0 2 307.397 0.888 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H]1CCOC1 ZINC000827989483 671541925 /nfs/dbraw/zinc/54/19/25/671541925.db2.gz ZNYGXKWAHJKVGZ-VXGBXAGGSA-N 0 2 302.334 0.947 20 0 DCADLN CN1CC(C(=O)N2CC[C@](C)(NC(=O)C(F)(F)F)C2)=NC1=O ZINC000830794696 671640859 /nfs/dbraw/zinc/64/08/59/671640859.db2.gz AAJBHVUTNHDXCM-NSHDSACASA-N 0 2 320.271 0.409 20 0 DCADLN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2cc(CO)on2)C1 ZINC000830802569 671641397 /nfs/dbraw/zinc/64/13/97/671641397.db2.gz XJHHLWYFBOANAL-LLVKDONJSA-N 0 2 321.255 0.450 20 0 DCADLN COc1cc(C(=O)N2CC[C@](C)(NC(=O)C(F)(F)F)C2)on1 ZINC000830807491 671642214 /nfs/dbraw/zinc/64/22/14/671642214.db2.gz KUNPCGXRQHDEIL-NSHDSACASA-N 0 2 321.255 0.966 20 0 DCADLN C[C@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000834679193 671775967 /nfs/dbraw/zinc/77/59/67/671775967.db2.gz XQJSPKSXUCLHGV-DTWKUNHWSA-N 0 2 307.379 0.472 20 0 DCADLN CN(C(=O)C[C@H]1SC(=N)NC1=O)[C@H](CO)c1ccccc1 ZINC000836798514 671832338 /nfs/dbraw/zinc/83/23/38/671832338.db2.gz APXSHRZXGNIPFN-GHMZBOCLSA-N 0 2 307.375 0.735 20 0 DCADLN O=C([O-])c1n[nH+]c2ccccc2c1N1CC[C@@H](CO)[C@@H](O)C1 ZINC000844211314 672163992 /nfs/dbraw/zinc/16/39/92/672163992.db2.gz YQZJEDLWLPQXOE-CABZTGNLSA-N 0 2 303.318 0.508 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@H+](C)Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000844839708 672206244 /nfs/dbraw/zinc/20/62/44/672206244.db2.gz SNQRQEBYYBGFSH-JTQLQIEISA-N 0 2 304.368 0.825 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000844839708 672206246 /nfs/dbraw/zinc/20/62/46/672206246.db2.gz SNQRQEBYYBGFSH-JTQLQIEISA-N 0 2 304.368 0.825 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)[N-]S(=O)(=O)c1cnccn1 ZINC000845487412 672252485 /nfs/dbraw/zinc/25/24/85/672252485.db2.gz LGONNELDXNZIAU-UHFFFAOYSA-N 0 2 321.362 0.057 20 0 DCADLN COCCCONC(=O)[C@@H](CS(C)(=O)=O)c1ccccc1 ZINC000854449377 685371767 /nfs/dbraw/zinc/37/17/67/685371767.db2.gz FYMWWCCSZDSFPB-ZDUSSCGKSA-N 0 2 315.391 0.899 20 0 DCADLN NS(=O)(=O)c1cnc(NC[C@H]2CC2(Cl)Cl)s1 ZINC000381581744 685239844 /nfs/dbraw/zinc/23/98/44/685239844.db2.gz FNWACAZQLBHGSY-SCSAIBSYSA-N 0 2 302.208 0.818 20 0 DCADLN CN(C(=O)[C@H]1CCOC1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953853825 685873910 /nfs/dbraw/zinc/87/39/10/685873910.db2.gz UTULJBSGWICHND-IONNQARKSA-N 0 2 312.263 0.593 20 0 DCADLN CN(C(=O)[C@H]1CCOC1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953853825 685873913 /nfs/dbraw/zinc/87/39/13/685873913.db2.gz UTULJBSGWICHND-IONNQARKSA-N 0 2 312.263 0.593 20 0 DCADLN CN(C(=O)Cc1cc[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953948176 685910579 /nfs/dbraw/zinc/91/05/79/685910579.db2.gz TXTJHWMLQGZVGI-SNVBAGLBSA-N 0 2 322.262 0.522 20 0 DCADLN Cc1ccccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038031956 693994252 /nfs/dbraw/zinc/99/42/52/693994252.db2.gz XHKUKAQQUVHYDF-NSHDSACASA-N 0 2 301.350 0.823 20 0 DCADLN Cc1cscc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038147521 693997725 /nfs/dbraw/zinc/99/77/25/693997725.db2.gz XLVVIXSUYYPZHA-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)oc1C ZINC001038165831 694002529 /nfs/dbraw/zinc/00/25/29/694002529.db2.gz FDGLAXIPSIXBJZ-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN O=C([C@@H]1CC12CCCC2)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957079777 686954626 /nfs/dbraw/zinc/95/46/26/686954626.db2.gz UJNXVLZQCYKIDH-NSHDSACASA-N 0 2 305.382 0.735 20 0 DCADLN O=C(COc1ccccc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957437358 687061186 /nfs/dbraw/zinc/06/11/86/687061186.db2.gz MLMPHPNCAMJFLC-UHFFFAOYSA-N 0 2 317.349 0.234 20 0 DCADLN CC(C)c1n[nH]cc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038449288 694085303 /nfs/dbraw/zinc/08/53/03/694085303.db2.gz QTRRUWRVDXXISY-VIFPVBQESA-N 0 2 319.369 0.361 20 0 DCADLN C[C@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCOCC1 ZINC000957951169 687274778 /nfs/dbraw/zinc/27/47/78/687274778.db2.gz XPHPGARPVJXTDU-NSHDSACASA-N 0 2 323.397 0.217 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001038592648 694124849 /nfs/dbraw/zinc/12/48/49/694124849.db2.gz OPPBAMGFOIYKBU-RAIGVLPGSA-N 0 2 315.377 0.369 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cncc(Cl)c1 ZINC001038808327 694198158 /nfs/dbraw/zinc/19/81/58/694198158.db2.gz JDSRPPPBUXVQLE-SNVBAGLBSA-N 0 2 322.756 0.563 20 0 DCADLN CCCc1nc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001038918680 694239445 /nfs/dbraw/zinc/23/94/45/694239445.db2.gz XVDLCJDCRPYENF-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN Cc1cc(F)ccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038946426 694251340 /nfs/dbraw/zinc/25/13/40/694251340.db2.gz BWQXMWRHUAVPFV-NSHDSACASA-N 0 2 319.340 0.962 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CCOCC1 ZINC000964629305 689273377 /nfs/dbraw/zinc/27/33/77/689273377.db2.gz YHMCQVFKTMNJTD-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CCOCC1 ZINC000964629305 689273379 /nfs/dbraw/zinc/27/33/79/689273379.db2.gz YHMCQVFKTMNJTD-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@H]2C)no1 ZINC000964869060 689316155 /nfs/dbraw/zinc/31/61/55/689316155.db2.gz CKCGJHBGACHDSD-LDYMZIIASA-N 0 2 320.353 0.447 20 0 DCADLN CC(C)n1cccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039023673 694276553 /nfs/dbraw/zinc/27/65/53/694276553.db2.gz CUEKBPVMGZBYGG-LLVKDONJSA-N 0 2 318.381 0.897 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cc[nH]c1 ZINC000966235630 689697125 /nfs/dbraw/zinc/69/71/25/689697125.db2.gz OYWNVDMJIWFUAO-GXSJLCMTSA-N 0 2 304.354 0.479 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cc[nH]c1 ZINC000966235630 689697129 /nfs/dbraw/zinc/69/71/29/689697129.db2.gz OYWNVDMJIWFUAO-GXSJLCMTSA-N 0 2 304.354 0.479 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cc(F)c[nH]1 ZINC000967407866 690002597 /nfs/dbraw/zinc/00/25/97/690002597.db2.gz HPOZDZTUDGVLGE-GZMMTYOYSA-N 0 2 322.344 0.618 20 0 DCADLN CCn1cc(C[NH2+][C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)nn1 ZINC000968418878 690259027 /nfs/dbraw/zinc/25/90/27/690259027.db2.gz BSTIINFNLZFYTD-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN Cc1conc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000968513676 690298339 /nfs/dbraw/zinc/29/83/39/690298339.db2.gz OJHDHGRSQLQQDO-WPRPVWTQSA-N 0 2 320.353 0.447 20 0 DCADLN CC(C)(F)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039497659 694374407 /nfs/dbraw/zinc/37/44/07/694374407.db2.gz LFCDNUSGHIVIMU-AOOOYVTPSA-N 0 2 311.361 0.824 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001007216615 690643699 /nfs/dbraw/zinc/64/36/99/690643699.db2.gz USOSROCIYCHHQM-LLVKDONJSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@]12C[C@H]1CCC2 ZINC001008000084 690785542 /nfs/dbraw/zinc/78/55/42/690785542.db2.gz UKDKBPBAEGBKEO-JRPNMDOOSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@]1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCCOC1 ZINC001008993218 690969973 /nfs/dbraw/zinc/96/99/73/690969973.db2.gz KHUSNNLEOYUQDX-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCOCC1 ZINC001010808728 691387787 /nfs/dbraw/zinc/38/77/87/691387787.db2.gz OYQVWIQXCPYCCH-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@]12CCC[C@@H]1N(C(=O)c1ccn[nH]1)CC2)c1cn[nH]n1 ZINC001014333787 691993548 /nfs/dbraw/zinc/99/35/48/691993548.db2.gz SENFCMNLPWXEQL-FZMZJTMJSA-N 0 2 315.337 0.095 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1sccc1F ZINC001014357173 691996390 /nfs/dbraw/zinc/99/63/90/691996390.db2.gz NJDAWROTSSSVIA-ZETCQYMHSA-N 0 2 311.342 0.715 20 0 DCADLN O=C(Cc1ccccc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014968279 692145999 /nfs/dbraw/zinc/14/59/99/692145999.db2.gz MKEUKYFNJHDMAV-GFCCVEGCSA-N 0 2 301.350 0.444 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)[nH]1 ZINC001015764834 692432641 /nfs/dbraw/zinc/43/26/41/692432641.db2.gz DPIXZRLRKURGQG-JTQLQIEISA-N 0 2 304.354 0.460 20 0 DCADLN COc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015893029 692491555 /nfs/dbraw/zinc/49/15/55/692491555.db2.gz HNGBJNQSPKKBIQ-QMMMGPOBSA-N 0 2 307.310 0.116 20 0 DCADLN CCc1oc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001015985422 692534371 /nfs/dbraw/zinc/53/43/71/692534371.db2.gz VVBNONLSUPCXOA-SNVBAGLBSA-N 0 2 319.365 0.978 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC001016426297 692685149 /nfs/dbraw/zinc/68/51/49/692685149.db2.gz ILSLKSDNWHGRIH-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1CCN(C(=O)c2ccn[nH]2)C[C@H]1CNC(=O)c1cn[nH]n1 ZINC001018523685 693136005 /nfs/dbraw/zinc/13/60/05/693136005.db2.gz UWCRFRUACCBWTK-VHSXEESVSA-N 0 2 317.353 0.056 20 0 DCADLN C[C@H]1CCN(C(=O)c2cc[nH]n2)C[C@H]1CNC(=O)c1cnn[nH]1 ZINC001018523685 693136007 /nfs/dbraw/zinc/13/60/07/693136007.db2.gz UWCRFRUACCBWTK-VHSXEESVSA-N 0 2 317.353 0.056 20 0 DCADLN CC(=O)NCC1(O)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001074613263 694912234 /nfs/dbraw/zinc/91/22/34/694912234.db2.gz MWXWOZCZWXEAAV-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)NCC1(O)CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001074613263 694912236 /nfs/dbraw/zinc/91/22/36/694912236.db2.gz MWXWOZCZWXEAAV-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(/C=C\C1CC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075647701 694966158 /nfs/dbraw/zinc/96/61/58/694966158.db2.gz PMGICNIERYEXPR-RRNNCXACSA-N 0 2 303.366 0.509 20 0 DCADLN O=C(/C=C\C1CC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075647701 694966159 /nfs/dbraw/zinc/96/61/59/694966159.db2.gz PMGICNIERYEXPR-RRNNCXACSA-N 0 2 303.366 0.509 20 0 DCADLN CO[C@H](C)C(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001075802329 694977836 /nfs/dbraw/zinc/97/78/36/694977836.db2.gz YQTURWIFNXGJTQ-RNJXMRFFSA-N 0 2 302.268 0.933 20 0 DCADLN CO[C@H](C)C(=O)NCC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001075802329 694977837 /nfs/dbraw/zinc/97/78/37/694977837.db2.gz YQTURWIFNXGJTQ-RNJXMRFFSA-N 0 2 302.268 0.933 20 0 DCADLN C[C@@H](CCNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001076015495 694993957 /nfs/dbraw/zinc/99/39/57/694993957.db2.gz OQDKVFUDMMWWKW-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CCNC(=O)Cc1cc[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001076015495 694993958 /nfs/dbraw/zinc/99/39/58/694993958.db2.gz OQDKVFUDMMWWKW-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN CC(F)(F)CC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076210868 695005937 /nfs/dbraw/zinc/00/59/37/695005937.db2.gz FBMDZEQXICGUGV-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN CC(F)(F)CC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076210868 695005938 /nfs/dbraw/zinc/00/59/38/695005938.db2.gz FBMDZEQXICGUGV-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCNC(=O)c1ncc[nH]1 ZINC001076405095 695019939 /nfs/dbraw/zinc/01/99/39/695019939.db2.gz ZWUTVWCPPMSTIS-VIFPVBQESA-N 0 2 304.354 0.309 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076856584 695077747 /nfs/dbraw/zinc/07/77/47/695077747.db2.gz AJEUDVXBUDCMLF-BIIVOSGPSA-N 0 2 302.268 0.933 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001076856584 695077751 /nfs/dbraw/zinc/07/77/51/695077751.db2.gz AJEUDVXBUDCMLF-BIIVOSGPSA-N 0 2 302.268 0.933 20 0 DCADLN COCCCC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001745142153 1157814210 /nfs/dbraw/zinc/81/42/10/1157814210.db2.gz VFKSXJACCHWVPP-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN COCCCC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001745142153 1157814215 /nfs/dbraw/zinc/81/42/15/1157814215.db2.gz VFKSXJACCHWVPP-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN O=C(CO[C@@H]1CCOC1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001771703003 1157893709 /nfs/dbraw/zinc/89/37/09/1157893709.db2.gz CTIHKIRLUKBBHY-LLVKDONJSA-N 0 2 304.306 0.921 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ncc[nH]1 ZINC001687499668 1158693877 /nfs/dbraw/zinc/69/38/77/1158693877.db2.gz BLYFNPUMJZAKTD-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cc1ncc[nH]1 ZINC001687499668 1158693881 /nfs/dbraw/zinc/69/38/81/1158693881.db2.gz BLYFNPUMJZAKTD-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN C/C=C(/C)C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001720057248 1158734040 /nfs/dbraw/zinc/73/40/40/1158734040.db2.gz QQCVKPOSBVVHSG-WMZJFQQLSA-N 0 2 316.365 0.622 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001397584937 1159222570 /nfs/dbraw/zinc/22/25/70/1159222570.db2.gz XPKNJZHTVBWFQK-MNOVXSKESA-N 0 2 319.365 0.940 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@@H+](C)Cc2ncc(C)cn2)c1[O-] ZINC001566445350 1159980419 /nfs/dbraw/zinc/98/04/19/1159980419.db2.gz YVNXRQYIZPHTGY-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@H+](C)Cc2ncc(C)cn2)c1[O-] ZINC001566445350 1159980431 /nfs/dbraw/zinc/98/04/31/1159980431.db2.gz YVNXRQYIZPHTGY-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN CN(C(=O)C=Cc1ccco1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567902518 1160524197 /nfs/dbraw/zinc/52/41/97/1160524197.db2.gz KXGZIIYBRSWMDK-SGUJLRQBSA-N 0 2 317.349 0.849 20 0 DCADLN CN(C(=O)C=Cc1ccco1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567902518 1160524201 /nfs/dbraw/zinc/52/42/01/1160524201.db2.gz KXGZIIYBRSWMDK-SGUJLRQBSA-N 0 2 317.349 0.849 20 0 DCADLN CN(C(=O)Cc1c[nH+]c[nH]1)[C@H]1CC[N@H+](CCOCC2CC2)C1 ZINC001567922094 1160535430 /nfs/dbraw/zinc/53/54/30/1160535430.db2.gz MBVNHEKQSMSBBT-HNNXBMFYSA-N 0 2 306.410 0.912 20 0 DCADLN O=C([O-])c1ccc(N2CC(NC(=O)N[C@H]3CCC[C@@H]3O)C2)[nH+]c1 ZINC001574311304 1163694901 /nfs/dbraw/zinc/69/49/01/1163694901.db2.gz GOQAXZLTBZJPNE-RYUDHWBXSA-N 0 2 320.349 0.181 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nn[nH]n2)n1C)c1nn(C)cc1O ZINC001575326072 1163976609 /nfs/dbraw/zinc/97/66/09/1163976609.db2.gz HWPWXAXSADZYEQ-ZETCQYMHSA-N 0 2 316.325 0.135 20 0 DCADLN CC1(C)OC[C@@H](Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)O1 ZINC001575752005 1164134947 /nfs/dbraw/zinc/13/49/47/1164134947.db2.gz MEASVNGKSGMIGL-SNVBAGLBSA-N 0 2 317.349 0.669 20 0 DCADLN CC[C@@H](C)[C@H](O)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001576609731 1164411466 /nfs/dbraw/zinc/41/14/66/1164411466.db2.gz DQJBTHXNJBNLLS-GMSGAONNSA-N 0 2 320.353 0.413 20 0 DCADLN CCO[C@@H]1CCC[C@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001578380191 1164992871 /nfs/dbraw/zinc/99/28/71/1164992871.db2.gz BVVJCNYQIOHXQW-HTQZYQBOSA-N 0 2 321.341 0.036 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)CC1(CO)CC1 ZINC001580596317 1165649903 /nfs/dbraw/zinc/64/99/03/1165649903.db2.gz RWDLKKSFISFBIJ-UHFFFAOYSA-N 0 2 318.337 0.120 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@@H](O)C(C)(C)C1 ZINC001580597252 1165650070 /nfs/dbraw/zinc/65/00/70/1165650070.db2.gz FPEAEKISGKMCBU-SECBINFHSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@H](O)CC[C@H]1C ZINC001580599799 1165651708 /nfs/dbraw/zinc/65/17/08/1165651708.db2.gz GIHCQPXAINUNMG-RKDXNWHRSA-N 0 2 318.337 0.261 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1Cc2c[nH]nc2C1 ZINC001580599645 1165651918 /nfs/dbraw/zinc/65/19/18/1165651918.db2.gz DBRQSGQFEWZDSW-UHFFFAOYSA-N 0 2 312.293 0.155 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CCOC[C@@H]1C ZINC001580600801 1165651985 /nfs/dbraw/zinc/65/19/85/1165651985.db2.gz IODVDMVIPNQSAO-WPRPVWTQSA-N 0 2 318.337 0.431 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCCOCC1 ZINC001580604019 1165652579 /nfs/dbraw/zinc/65/25/79/1165652579.db2.gz ZDKZVQJSDFFVQC-SECBINFHSA-N 0 2 318.337 0.575 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1csc(-c2nn[nH]n2)c1 ZINC001582560474 1166046191 /nfs/dbraw/zinc/04/61/91/1166046191.db2.gz FBEGLOAMSIJWIC-UHFFFAOYSA-N 0 2 319.306 0.059 20 0 DCADLN CN(C(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O)c1nn[nH]n1 ZINC001585911310 1166468034 /nfs/dbraw/zinc/46/80/34/1166468034.db2.gz YVFHWNDNSKHZAG-UHFFFAOYSA-N 0 2 317.334 0.012 20 0 DCADLN O=C(NCC[NH+]1CC=CC1)c1nc[n-]c(=O)c1Br ZINC001586631089 1166497102 /nfs/dbraw/zinc/49/71/02/1166497102.db2.gz CTEMHOBZUGWHMQ-UHFFFAOYSA-N 0 2 313.155 0.546 20 0 DCADLN CC(C)(CCn1cnc2ncc(-c3nn[nH]n3)c(=O)n21)C1CC1 ZINC001589278152 1166642041 /nfs/dbraw/zinc/64/20/41/1166642041.db2.gz KKMRQGHGAPGVMO-UHFFFAOYSA-N 0 2 314.353 0.897 20 0 DCADLN C[C@@H]1CC[C@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C[C@H]1C ZINC001590239289 1166824592 /nfs/dbraw/zinc/82/45/92/1166824592.db2.gz XYHVBRFLRXFPCG-HLTSFMKQSA-N 0 2 319.369 0.903 20 0 DCADLN CCC(C)(C)NC(=O)Cn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001590376455 1166892085 /nfs/dbraw/zinc/89/20/85/1166892085.db2.gz CIOVHAQOZCYQCX-UHFFFAOYSA-N 0 2 304.354 0.642 20 0 DCADLN CCOC[C@H]1CC[N@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001590801904 1167025707 /nfs/dbraw/zinc/02/57/07/1167025707.db2.gz VHFYEWRSPMJSMF-LBPRGKRZSA-N 0 2 318.381 0.653 20 0 DCADLN CCOC[C@H]1CC[N@@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001590801904 1167025713 /nfs/dbraw/zinc/02/57/13/1167025713.db2.gz VHFYEWRSPMJSMF-LBPRGKRZSA-N 0 2 318.381 0.653 20 0 DCADLN CCc1nn(CCC2(O)CC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929839 1167053346 /nfs/dbraw/zinc/05/33/46/1167053346.db2.gz YTOLJLDSAWVKIL-UHFFFAOYSA-N 0 2 304.354 0.463 20 0 DCADLN CCc1nn(C[C@H](O)CSC)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929440 1167055024 /nfs/dbraw/zinc/05/50/24/1167055024.db2.gz QTCXEZFBZISGST-QMMMGPOBSA-N 0 2 324.410 0.272 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CC(=O)CC(C)(C)C)c1=O ZINC001590949154 1167056579 /nfs/dbraw/zinc/05/65/79/1167056579.db2.gz ABXCUJQGAGZJBI-UHFFFAOYSA-N 0 2 320.353 0.215 20 0 DCADLN CN(C)C(=O)OCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001591004456 1167073999 /nfs/dbraw/zinc/07/39/99/1167073999.db2.gz DGWPTTAMGJRUEN-UHFFFAOYSA-N 0 2 304.310 0.173 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n([C@@H]2COC(C)(C)C2)c1 ZINC001591287157 1167202006 /nfs/dbraw/zinc/20/20/06/1167202006.db2.gz WYWATFLRIQDMQK-VIFPVBQESA-N 0 2 319.321 0.555 20 0 DCADLN CO[C@@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)CC[C@@H]1C ZINC001591363077 1167236575 /nfs/dbraw/zinc/23/65/75/1167236575.db2.gz SINMBZXFTHPXRE-CMPLNLGQSA-N 0 2 318.381 0.651 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NOC/C=C/Cl ZINC001591835498 1167390622 /nfs/dbraw/zinc/39/06/22/1167390622.db2.gz IWOBTNWWZCDLNZ-NSCUHMNNSA-N 0 2 310.701 0.690 20 0 DCADLN Cc1cc[nH+]c(NCC2(C(=O)[O-])CCOCC2)c1-c1nn[nH]n1 ZINC001592275730 1167482275 /nfs/dbraw/zinc/48/22/75/1167482275.db2.gz OTLOPNPVXFWSFS-UHFFFAOYSA-N 0 2 318.337 0.863 20 0 DCADLN Cc1nc(NCc2n[nH]c(C(C)(C)C)n2)cc(-c2nn[nH]n2)n1 ZINC001592393716 1167513391 /nfs/dbraw/zinc/51/33/91/1167513391.db2.gz IJZUPSKIXYHIOY-UHFFFAOYSA-N 0 2 314.357 0.993 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC001592498729 1167539561 /nfs/dbraw/zinc/53/95/61/1167539561.db2.gz DWDLIBKGLTYSDK-VXNVDRBHSA-N 0 2 303.278 0.013 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1coc(-c2nn[nH]n2)c1 ZINC001593153761 1167730027 /nfs/dbraw/zinc/73/00/27/1167730027.db2.gz VFOROGZYWVOJGR-UHFFFAOYSA-N 0 2 324.256 0.401 20 0 DCADLN O=C([O-])[C@]1([NH2+]Cc2nc(-c3nn[nH]n3)cs2)CCSC1 ZINC001593273404 1167749272 /nfs/dbraw/zinc/74/92/72/1167749272.db2.gz GPDYJXXPGPFIGL-JTQLQIEISA-N 0 2 312.380 0.373 20 0 DCADLN O=C(Nn1cnc2ccccc2c1=O)c1coc(-c2nn[nH]n2)c1 ZINC001593276080 1167752747 /nfs/dbraw/zinc/75/27/47/1167752747.db2.gz KIJYJTSKHLLKPH-UHFFFAOYSA-N 0 2 323.272 0.554 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCCOc1ccccc1 ZINC001593489485 1167874219 /nfs/dbraw/zinc/87/42/19/1167874219.db2.gz PQKFRRSLFYSWSN-UHFFFAOYSA-N 0 2 314.305 0.598 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1CCOc1cccc(F)c1 ZINC001593494252 1167877065 /nfs/dbraw/zinc/87/70/65/1167877065.db2.gz PRPJODMCQXUWCS-UHFFFAOYSA-N 0 2 302.269 0.642 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CC[C@]2(CC2(F)F)C1 ZINC001593492540 1167877863 /nfs/dbraw/zinc/87/78/63/1167877863.db2.gz JUQMKYQVNQOPSP-LBPRGKRZSA-N 0 2 308.292 0.717 20 0 DCADLN O=c1ccccn1CCn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001593497827 1167881177 /nfs/dbraw/zinc/88/11/77/1167881177.db2.gz PKLJOZTVQMSIPF-UHFFFAOYSA-N 0 2 324.344 0.379 20 0 DCADLN Cn1cccc1C(=O)C(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001598161303 1168018179 /nfs/dbraw/zinc/01/81/79/1168018179.db2.gz VEEXBWTUMMVAKK-UHFFFAOYSA-N 0 2 323.330 0.200 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cc(C(=O)[O-])c(C)[nH]1 ZINC001598864140 1168053144 /nfs/dbraw/zinc/05/31/44/1168053144.db2.gz BVCNJSZMLGHPHY-LBPRGKRZSA-N 0 2 323.349 0.275 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1cc(C(=O)[O-])c(C)[nH]1 ZINC001598864140 1168053148 /nfs/dbraw/zinc/05/31/48/1168053148.db2.gz BVCNJSZMLGHPHY-LBPRGKRZSA-N 0 2 323.349 0.275 20 0 DCADLN COC(=O)CNC(=O)[C@@H](C)[NH2+][C@H](C)c1ncc(C(=O)[O-])s1 ZINC001598865029 1168053538 /nfs/dbraw/zinc/05/35/38/1168053538.db2.gz OCWJADSUFWUXEY-RNFRBKRXSA-N 0 2 315.351 0.170 20 0 DCADLN Cc1cc(CN2C(=O)N[C@@H]([C@@H]3C[C@H]3C(=O)[O-])C2=O)cc(C)[nH+]1 ZINC001600191372 1168133806 /nfs/dbraw/zinc/13/38/06/1168133806.db2.gz HIQBMAVOOJLXBE-UTUOFQBUSA-N 0 2 303.318 0.840 20 0 DCADLN Cc1ccc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)[nH+]c1C(=O)[O-] ZINC001600437533 1168182872 /nfs/dbraw/zinc/18/28/72/1168182872.db2.gz NQYHXWBZWHDYSL-JTQLQIEISA-N 0 2 313.379 0.558 20 0 DCADLN Cc1ccc(NC(=O)Cn2cc(C(=O)[O-])cn2)c(N(C)C)[nH+]1 ZINC001600450742 1168187060 /nfs/dbraw/zinc/18/70/60/1168187060.db2.gz SPDKJPJATMXZIA-UHFFFAOYSA-N 0 2 303.322 0.989 20 0 DCADLN Cc1ccccc1OCC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600584835 1168203991 /nfs/dbraw/zinc/20/39/91/1168203991.db2.gz GAJHPCMBTQRPOX-GFCCVEGCSA-N 0 2 303.318 0.840 20 0 DCADLN Cc1cccn2cc(CCNC(=O)NCCOCC(=O)[O-])[nH+]c12 ZINC001600589528 1168205797 /nfs/dbraw/zinc/20/57/97/1168205797.db2.gz WQOSIHDWTGYBIS-UHFFFAOYSA-N 0 2 320.349 0.586 20 0 DCADLN Cc1ccnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001600600253 1168208304 /nfs/dbraw/zinc/20/83/04/1168208304.db2.gz ZUTGRMXECOIEED-SSKLVLDBSA-N 0 2 316.361 0.052 20 0 DCADLN Cc1ccnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001600600253 1168208310 /nfs/dbraw/zinc/20/83/10/1168208310.db2.gz ZUTGRMXECOIEED-SSKLVLDBSA-N 0 2 316.361 0.052 20 0 DCADLN Cc1nc(C[NH2+]C2(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)oc1C ZINC001600702121 1168258913 /nfs/dbraw/zinc/25/89/13/1168258913.db2.gz JZXFGSWNTNUINN-WDEREUQCSA-N 0 2 307.350 0.751 20 0 DCADLN Cc1nn(CC(=O)[O-])c(C)c1CNC(=O)Cc1c[nH+]cn1C ZINC001600779682 1168292965 /nfs/dbraw/zinc/29/29/65/1168292965.db2.gz JWGUUXMPIFSHHN-UHFFFAOYSA-N 0 2 305.338 0.177 20 0 DCADLN Cn1cc(N2CC[C@@H](Nc3cc(CC(=O)[O-])cc[nH+]3)C2=O)cn1 ZINC001600958325 1168531313 /nfs/dbraw/zinc/53/13/13/1168531313.db2.gz JKQRDHSMHQXRSK-GFCCVEGCSA-N 0 2 315.333 0.660 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001600993726 1168550796 /nfs/dbraw/zinc/55/07/96/1168550796.db2.gz XBZSJFKYLLZIKF-UHFFFAOYSA-N 0 2 302.290 0.373 20 0 DCADLN Cn1nc2c(c1C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-])CCCC2 ZINC001601018127 1168566017 /nfs/dbraw/zinc/56/60/17/1168566017.db2.gz ZVIYYVLJZMNARF-CYBMUJFWSA-N 0 2 320.393 0.464 20 0 DCADLN Cn1nc2c(c1C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-])CCCC2 ZINC001601018127 1168566024 /nfs/dbraw/zinc/56/60/24/1168566024.db2.gz ZVIYYVLJZMNARF-CYBMUJFWSA-N 0 2 320.393 0.464 20 0 DCADLN NC(=O)NC1CC[NH+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)CC1 ZINC001601157796 1168610966 /nfs/dbraw/zinc/61/09/66/1168610966.db2.gz OHGWNLHUHAHOQT-UHFFFAOYSA-N 0 2 317.349 0.894 20 0 DCADLN NS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(/C=C/C(=O)[O-])o2)C1 ZINC001601192209 1168645661 /nfs/dbraw/zinc/64/56/61/1168645661.db2.gz IXHXJIWCDXGFSE-FYJFLYSWSA-N 0 2 314.363 0.630 20 0 DCADLN NS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(/C=C/C(=O)[O-])o2)C1 ZINC001601192209 1168645683 /nfs/dbraw/zinc/64/56/83/1168645683.db2.gz IXHXJIWCDXGFSE-FYJFLYSWSA-N 0 2 314.363 0.630 20 0 DCADLN O=C([O-])c1ccc(/C=C\C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)o1 ZINC001601237924 1168672709 /nfs/dbraw/zinc/67/27/09/1168672709.db2.gz WLCPNXZUDNZUCI-RWBPYIHSSA-N 0 2 320.345 0.970 20 0 DCADLN O=C([O-])c1ccc(/C=C\C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)o1 ZINC001601237924 1168672712 /nfs/dbraw/zinc/67/27/12/1168672712.db2.gz WLCPNXZUDNZUCI-RWBPYIHSSA-N 0 2 320.345 0.970 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)CCCc1ccccn1 ZINC001601271042 1168685206 /nfs/dbraw/zinc/68/52/06/1168685206.db2.gz KPMFRHLJUDDHAK-ZDUSSCGKSA-N 0 2 302.334 0.870 20 0 DCADLN O=C([O-])c1ccc(CCC(=O)NC[C@H]2C[NH+]3CCN2CC3)cc1 ZINC001601287591 1168691852 /nfs/dbraw/zinc/69/18/52/1168691852.db2.gz TZTAYJSBOSOKQP-HNNXBMFYSA-N 0 2 317.389 0.433 20 0 DCADLN O=C([O-])C1(O)CC[NH+](CC(=O)Nc2ccc(Cl)cn2)CC1 ZINC001601303935 1168695884 /nfs/dbraw/zinc/69/58/84/1168695884.db2.gz IHZXXSQSZAUKAT-UHFFFAOYSA-N 0 2 313.741 0.585 20 0 DCADLN O=C([O-])c1cccc(CC(=O)NC[C@@H]2C[NH+]3CCN2CC3)c1 ZINC001601363985 1168719979 /nfs/dbraw/zinc/71/99/79/1168719979.db2.gz SUBMXKGMXCNBAM-CQSZACIVSA-N 0 2 303.362 0.043 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1ccc2c[nH]nc2c1 ZINC001601363213 1168720179 /nfs/dbraw/zinc/72/01/79/1168720179.db2.gz VQHUJVNTVFNZHK-ZDUSSCGKSA-N 0 2 313.317 0.641 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2c(c1)CCOC2 ZINC001601426068 1168741952 /nfs/dbraw/zinc/74/19/52/1168741952.db2.gz QOMWAYKCEBWTAF-AWEZNQCLSA-N 0 2 315.329 0.839 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1nnc2ccccc2n1 ZINC001601425899 1168743035 /nfs/dbraw/zinc/74/30/35/1168743035.db2.gz MVEOUBTXZCSNTR-NSHDSACASA-N 0 2 312.289 0.105 20 0 DCADLN O=C([O-])c1ccc(NC(=O)C(=O)NCCn2cc[nH+]c2)cc1 ZINC001601517943 1168771787 /nfs/dbraw/zinc/77/17/87/1168771787.db2.gz IYLZZBFVFXXAKS-UHFFFAOYSA-N 0 2 302.290 0.336 20 0 DCADLN O=C([O-])C1(O)C[NH+](C[C@@H](O)COc2cccc3ccccc32)C1 ZINC001601723080 1168831453 /nfs/dbraw/zinc/83/14/53/1168831453.db2.gz VIXOLOSTGQGLNY-CYBMUJFWSA-N 0 2 317.341 0.711 20 0 DCADLN O=C([O-])C1(O)C[NH+](C[C@H](O)c2ccc(Br)cc2)C1 ZINC001601726640 1168835287 /nfs/dbraw/zinc/83/52/87/1168835287.db2.gz UTRKLRMQVVYUKH-JTQLQIEISA-N 0 2 316.151 0.614 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@@H+]2CC[C@@H](Oc3ccncc3)C2)C1 ZINC001601759744 1168859436 /nfs/dbraw/zinc/85/94/36/1168859436.db2.gz YDKXRWBAHRBAEN-DGCLKSJQSA-N 0 2 305.334 0.425 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@H+]2CC[C@@H](Oc3ccncc3)C2)C1 ZINC001601759744 1168859450 /nfs/dbraw/zinc/85/94/50/1168859450.db2.gz YDKXRWBAHRBAEN-DGCLKSJQSA-N 0 2 305.334 0.425 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCC1(O)C[NH+](CC2=CCCCC2)C1 ZINC001601778742 1168882027 /nfs/dbraw/zinc/88/20/27/1168882027.db2.gz JSPPEAMFBIJAOY-QWHCGFSZSA-N 0 2 308.378 0.370 20 0 DCADLN O=C([O-])[C@H]1CCCC[C@H]1S(=O)(=O)NCCn1cc[nH+]c1 ZINC001601810076 1168902871 /nfs/dbraw/zinc/90/28/71/1168902871.db2.gz KHADXLRAYDTURT-WDEREUQCSA-N 0 2 301.368 0.446 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)N3CCn4c[nH+]cc4C3)[C@@H]2C1 ZINC001601862697 1168920335 /nfs/dbraw/zinc/92/03/35/1168920335.db2.gz OCPMTPOFBIUTDN-DNIRFERGSA-N 0 2 304.350 0.908 20 0 DCADLN O=C([O-])CC1CCN(C(=O)C(=O)NCCCn2cc[nH+]c2)CC1 ZINC001601954332 1168945847 /nfs/dbraw/zinc/94/58/47/1168945847.db2.gz WLGQEZRPGHPMQG-UHFFFAOYSA-N 0 2 322.365 0.103 20 0 DCADLN O=C([O-])CCCC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001601978489 1168952392 /nfs/dbraw/zinc/95/23/92/1168952392.db2.gz DSEVPMUZEHWLQN-UHFFFAOYSA-N 0 2 310.354 0.409 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1CC(=O)NC1CCCCC1 ZINC001602066199 1168982487 /nfs/dbraw/zinc/98/24/87/1168982487.db2.gz KPVMCZNGWWPLQK-LBPRGKRZSA-N 0 2 311.382 0.101 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1CC(=O)NC1CCCCC1 ZINC001602066199 1168982482 /nfs/dbraw/zinc/98/24/82/1168982482.db2.gz KPVMCZNGWWPLQK-LBPRGKRZSA-N 0 2 311.382 0.101 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(NCC2(O)CCS(=O)(=O)CC2)c1 ZINC001602151425 1169002642 /nfs/dbraw/zinc/00/26/42/1169002642.db2.gz ZAJLCJQEGXRUAB-UHFFFAOYSA-N 0 2 314.363 0.060 20 0 DCADLN O=C([O-])Cn1cc(CN[C@@H]2CCC[N@@H+]3CCSC[C@@H]23)nn1 ZINC001602162525 1169010484 /nfs/dbraw/zinc/01/04/84/1169010484.db2.gz YUBACINGVMEWNF-NEPJUHHUSA-N 0 2 311.411 0.032 20 0 DCADLN O=C([O-])Cn1cc(CN[C@@H]2CCC[N@H+]3CCSC[C@@H]23)nn1 ZINC001602162525 1169010492 /nfs/dbraw/zinc/01/04/92/1169010492.db2.gz YUBACINGVMEWNF-NEPJUHHUSA-N 0 2 311.411 0.032 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)nc1 ZINC001602319063 1169057026 /nfs/dbraw/zinc/05/70/26/1169057026.db2.gz CLRMUXLCPFQTAJ-CYBMUJFWSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCC[C@@H](n3cc(CO)nn3)C2)s1 ZINC001602520058 1169104333 /nfs/dbraw/zinc/10/43/33/1169104333.db2.gz IDDUMNZLXFPTLK-SNVBAGLBSA-N 0 2 323.378 0.762 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCC[C@@H](n3cc(CO)nn3)C2)s1 ZINC001602520058 1169104352 /nfs/dbraw/zinc/10/43/52/1169104352.db2.gz IDDUMNZLXFPTLK-SNVBAGLBSA-N 0 2 323.378 0.762 20 0 DCADLN O=C([O-])c1cnn(CC(=O)N2CCC(Cc3c[nH]c[nH+]3)CC2)c1 ZINC001602544289 1169113091 /nfs/dbraw/zinc/11/30/91/1169113091.db2.gz JSVNYWJJSKWOQR-UHFFFAOYSA-N 0 2 317.349 0.786 20 0 DCADLN CC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001603039478 1169237069 /nfs/dbraw/zinc/23/70/69/1169237069.db2.gz ATZSXDVSLBXLQS-CYBMUJFWSA-N 0 2 305.334 0.534 20 0 DCADLN CC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC001603039478 1169237075 /nfs/dbraw/zinc/23/70/75/1169237075.db2.gz ATZSXDVSLBXLQS-CYBMUJFWSA-N 0 2 305.334 0.534 20 0 DCADLN CC(=O)NC1(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCCCC1 ZINC001603041318 1169239650 /nfs/dbraw/zinc/23/96/50/1169239650.db2.gz ZQWHDXPBSXSUHV-LBPRGKRZSA-N 0 2 322.365 0.361 20 0 DCADLN CC(=O)NC1(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCCCC1 ZINC001603041318 1169239658 /nfs/dbraw/zinc/23/96/58/1169239658.db2.gz ZQWHDXPBSXSUHV-LBPRGKRZSA-N 0 2 322.365 0.361 20 0 DCADLN CC(C)(C)c1coc(Cn2cnc(-c3nn[nH]n3)cc2=O)n1 ZINC001603120594 1169248343 /nfs/dbraw/zinc/24/83/43/1169248343.db2.gz IJHSTGYUUOGPJH-UHFFFAOYSA-N 0 2 301.310 0.757 20 0 DCADLN CC(C)(CNC(=O)c1ccoc1CC(=O)[O-])[NH+]1CCOCC1 ZINC001603639842 1169338541 /nfs/dbraw/zinc/33/85/41/1169338541.db2.gz RKOFFGSXUDMOBT-UHFFFAOYSA-N 0 2 310.350 0.747 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1cccc(-c2nn[nH]n2)n1)OC ZINC001604039606 1169476568 /nfs/dbraw/zinc/47/65/68/1169476568.db2.gz MKVYFFSXHWLFQP-QMMMGPOBSA-N 0 2 312.355 0.428 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC001604166446 1169511233 /nfs/dbraw/zinc/51/12/33/1169511233.db2.gz BSHJQBXJGXEABI-FRRDWIJNSA-N 0 2 313.398 0.459 20 0 DCADLN CCCCCNC(=O)Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001604180278 1169515971 /nfs/dbraw/zinc/51/59/71/1169515971.db2.gz OAQIRWGQZMBCGF-UHFFFAOYSA-N 0 2 319.369 0.347 20 0 DCADLN C[C@@H](NC(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)[C@H](C)[NH+]1CCOCC1 ZINC001604285286 1169548193 /nfs/dbraw/zinc/54/81/93/1169548193.db2.gz BELUHWKEFGYNPX-FHUSYTEZSA-N 0 2 311.382 0.068 20 0 DCADLN CN(C(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2)C1CC1 ZINC001604642599 1169641436 /nfs/dbraw/zinc/64/14/36/1169641436.db2.gz VAWUUWFDYABKRS-UHFFFAOYSA-N 0 2 314.349 0.138 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H](CF)C(=O)[O-])[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001604784460 1169681362 /nfs/dbraw/zinc/68/13/62/1169681362.db2.gz XXDXEALUBFLHDA-USZNOCQGSA-N 0 2 304.362 0.659 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H](CF)C(=O)[O-])[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001604784460 1169681366 /nfs/dbraw/zinc/68/13/66/1169681366.db2.gz XXDXEALUBFLHDA-USZNOCQGSA-N 0 2 304.362 0.659 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(C(=O)[O-])n2)CCO1 ZINC001604796552 1169688279 /nfs/dbraw/zinc/68/82/79/1169688279.db2.gz PMWPRHSNEZTKOA-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(C(=O)[O-])n2)CCO1 ZINC001604796552 1169688284 /nfs/dbraw/zinc/68/82/84/1169688284.db2.gz PMWPRHSNEZTKOA-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H]1CO[C@H](C(F)(F)F)C[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001604818788 1169695863 /nfs/dbraw/zinc/69/58/63/1169695863.db2.gz YZVZSVYPAIKWBK-IUCAKERBSA-N 0 2 312.288 0.571 20 0 DCADLN C[C@H]1CO[C@H](C(F)(F)F)C[N@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001604818788 1169695866 /nfs/dbraw/zinc/69/58/66/1169695866.db2.gz YZVZSVYPAIKWBK-IUCAKERBSA-N 0 2 312.288 0.571 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCC(F)(F)[C@@H](CO)C1)C(=O)[O-] ZINC001604929340 1169749421 /nfs/dbraw/zinc/74/94/21/1169749421.db2.gz VXUDXJDIZHSXRC-HOSYDEDBSA-N 0 2 322.352 0.551 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCC(F)(F)[C@@H](CO)C1)C(=O)[O-] ZINC001604929340 1169749425 /nfs/dbraw/zinc/74/94/25/1169749425.db2.gz VXUDXJDIZHSXRC-HOSYDEDBSA-N 0 2 322.352 0.551 20 0 DCADLN COC(=O)c1ccccc1Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001604986941 1169771296 /nfs/dbraw/zinc/77/12/96/1169771296.db2.gz JAEDPYWAXSVMLU-UHFFFAOYSA-N 0 2 312.289 0.258 20 0 DCADLN CCCCCc1cc(C(=O)N2CC[NH+](CC(=O)[O-])CC2)n[nH]1 ZINC001605271960 1169882887 /nfs/dbraw/zinc/88/28/87/1169882887.db2.gz JLMRYQLZNUVDEA-UHFFFAOYSA-N 0 2 308.382 0.985 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](C)c1cn[nH]c1 ZINC001605506533 1169941525 /nfs/dbraw/zinc/94/15/25/1169941525.db2.gz CPMSKIHWSGLIJX-ZETCQYMHSA-N 0 2 314.309 0.490 20 0 DCADLN CCn1cc(CC[NH+]2CC(N(C)C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)cn1 ZINC001605920352 1170027003 /nfs/dbraw/zinc/02/70/03/1170027003.db2.gz KZSBTEDXDKJLSJ-KGLIPLIRSA-N 0 2 320.393 0.309 20 0 DCADLN Cc1ccn(CC(=O)c2nccs2)c(=O)c1-c1nn[nH]n1 ZINC001605949789 1170032821 /nfs/dbraw/zinc/03/28/21/1170032821.db2.gz SQEFACOARRWVCQ-UHFFFAOYSA-N 0 2 302.319 0.676 20 0 DCADLN Cc1ccn(CN2CCOC[C@@H](C)C2)c(=O)c1-c1nn[nH]n1 ZINC001605953068 1170033937 /nfs/dbraw/zinc/03/39/37/1170033937.db2.gz XEVWKGONZOLHFY-JTQLQIEISA-N 0 2 304.354 0.263 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C(=O)N1CCC[C@H]1CC(=O)[O-] ZINC001606125490 1170084615 /nfs/dbraw/zinc/08/46/15/1170084615.db2.gz CMEURZQEBWPPCX-LBPRGKRZSA-N 0 2 322.365 0.197 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)NC1(c2nn[nH]n2)CCC1 ZINC001606166609 1170101152 /nfs/dbraw/zinc/10/11/52/1170101152.db2.gz DXPXCCCMGPWVLD-UHFFFAOYSA-N 0 2 315.297 0.090 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])N(C(=O)CCCn2cc[nH+]c2)C1 ZINC001606386132 1170177525 /nfs/dbraw/zinc/17/75/25/1170177525.db2.gz AAKLCWXLNOOVBZ-VXGBXAGGSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2nccn2CC(F)F)C[C@H]1C(=O)[O-] ZINC001606431457 1170198485 /nfs/dbraw/zinc/19/84/85/1170198485.db2.gz KSQIUKSNQXKQIA-BDAKNGLRSA-N 0 2 317.292 0.454 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2nccn2CC(F)F)C[C@H]1C(=O)[O-] ZINC001606431457 1170198487 /nfs/dbraw/zinc/19/84/87/1170198487.db2.gz KSQIUKSNQXKQIA-BDAKNGLRSA-N 0 2 317.292 0.454 20 0 DCADLN CO[C@@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])c1ccc(F)cc1 ZINC001606648988 1170250740 /nfs/dbraw/zinc/25/07/40/1170250740.db2.gz OLOYTCJEWWHSAF-CHWSQXEVSA-N 0 2 321.308 0.979 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@@H+]2CCO[C@@H](c3ccnn3C)C2)CCC1 ZINC001606709484 1170271205 /nfs/dbraw/zinc/27/12/05/1170271205.db2.gz YZGVEHXQFXFSPE-CHWSQXEVSA-N 0 2 309.366 0.816 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@H+]2CCO[C@@H](c3ccnn3C)C2)CCC1 ZINC001606709484 1170271211 /nfs/dbraw/zinc/27/12/11/1170271211.db2.gz YZGVEHXQFXFSPE-CHWSQXEVSA-N 0 2 309.366 0.816 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N2CC[C@@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001607641503 1170488621 /nfs/dbraw/zinc/48/86/21/1170488621.db2.gz IHESQTWJGBHMLI-GFCCVEGCSA-N 0 2 305.334 0.248 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@H](O)[C@H](CO)C1 ZINC001607741883 1170502031 /nfs/dbraw/zinc/50/20/31/1170502031.db2.gz YFEXBCRJEBKOTF-JSGCOSHPSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@H](O)[C@H](CO)C1 ZINC001607741883 1170502033 /nfs/dbraw/zinc/50/20/33/1170502033.db2.gz YFEXBCRJEBKOTF-JSGCOSHPSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(F)cc1C(=O)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001607791084 1170506206 /nfs/dbraw/zinc/50/62/06/1170506206.db2.gz YJUYUDCYYZYCNJ-LBPRGKRZSA-N 0 2 319.292 0.852 20 0 DCADLN Cc1ccc(F)cc1C(=O)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001607791084 1170506211 /nfs/dbraw/zinc/50/62/11/1170506211.db2.gz YJUYUDCYYZYCNJ-LBPRGKRZSA-N 0 2 319.292 0.852 20 0 DCADLN Cc1ccc(N2CCC(c3nnnn3C)CC2)[nH+]c1C(=O)[O-] ZINC001607794193 1170507456 /nfs/dbraw/zinc/50/74/56/1170507456.db2.gz ZTZXMRCIFMECBA-UHFFFAOYSA-N 0 2 302.338 0.996 20 0 DCADLN Cc1nc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])cc[nH+]1 ZINC001607988177 1170540630 /nfs/dbraw/zinc/54/06/30/1170540630.db2.gz GIBNZDPCCXEKFC-OQEOFVATSA-N 0 2 302.334 0.057 20 0 DCADLN Cn1cc(C[NH+]2CCN(Cc3cnc(C(=O)[O-])cn3)CC2)cn1 ZINC001608111921 1170576017 /nfs/dbraw/zinc/57/60/17/1170576017.db2.gz PNYHQIAMUZLZIG-UHFFFAOYSA-N 0 2 316.365 0.226 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](C(=O)[O-])c1cccnc1 ZINC001608136976 1170590228 /nfs/dbraw/zinc/59/02/28/1170590228.db2.gz LQRHFMRCGACBPG-NQBHXWOUSA-N 0 2 300.318 0.861 20 0 DCADLN NC(=O)[C@@H](c1ccccc1)[N@@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001608227828 1170620971 /nfs/dbraw/zinc/62/09/71/1170620971.db2.gz FSPAOERGSUNVTN-DOMZBBRYSA-N 0 2 303.318 0.518 20 0 DCADLN NC(=O)[C@@H](c1ccccc1)[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001608227828 1170620975 /nfs/dbraw/zinc/62/09/75/1170620975.db2.gz FSPAOERGSUNVTN-DOMZBBRYSA-N 0 2 303.318 0.518 20 0 DCADLN [NH3+]CC(F)(F)CNS(=O)(=O)[C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC001608252368 1170632089 /nfs/dbraw/zinc/63/20/89/1170632089.db2.gz LPXMWJTZXOBEFG-JGVFFNPUSA-N 0 2 300.327 0.143 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc(NC2CC2)nc1 ZINC001608403388 1170670033 /nfs/dbraw/zinc/67/00/33/1170670033.db2.gz PMFQUYJXKYPQFV-GFCCVEGCSA-N 0 2 315.333 0.736 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](Cc2nncn2-c2ccccc2)C1 ZINC001608601780 1170713287 /nfs/dbraw/zinc/71/32/87/1170713287.db2.gz NAOKQIGWTAOFFO-DGCLKSJQSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](Cc2nncn2-c2ccccc2)C1 ZINC001608601780 1170713291 /nfs/dbraw/zinc/71/32/91/1170713291.db2.gz NAOKQIGWTAOFFO-DGCLKSJQSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001608610832 1170719640 /nfs/dbraw/zinc/71/96/40/1170719640.db2.gz LAFCGIVDEZYSJE-MNOVXSKESA-N 0 2 322.390 0.293 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001608610832 1170719643 /nfs/dbraw/zinc/71/96/43/1170719643.db2.gz LAFCGIVDEZYSJE-MNOVXSKESA-N 0 2 322.390 0.293 20 0 DCADLN O=C([O-])[C@@H]1CC[C@@H]2C(=O)N([C@H]3CCn4cc[nH+]c4C3)C(=O)N2C1 ZINC001608621063 1170723700 /nfs/dbraw/zinc/72/37/00/1170723700.db2.gz YPLZPMDHIAUHKS-OUAUKWLOSA-N 0 2 318.333 0.325 20 0 DCADLN O=C([O-])CCCc1nc(C[NH2+][C@H](CO)[C@@H]2CCCOC2)no1 ZINC001608719383 1170742846 /nfs/dbraw/zinc/74/28/46/1170742846.db2.gz PUERSSRWCUDPFW-GHMZBOCLSA-N 0 2 313.354 0.354 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([NH+]2CCC(O)(C(F)(F)F)CC2)C1=O ZINC001608723412 1170744179 /nfs/dbraw/zinc/74/41/79/1170744179.db2.gz OQSRIRGSJQWOIW-SECBINFHSA-N 0 2 324.299 0.451 20 0 DCADLN O=C([O-])Cn1cc(CC[N@@H+]2CCO[C@@H](c3ccco3)C2)nn1 ZINC001608796853 1170755619 /nfs/dbraw/zinc/75/56/19/1170755619.db2.gz XKTZYCABGHMBIP-CYBMUJFWSA-N 0 2 306.322 0.572 20 0 DCADLN O=C([O-])Cn1cc(CC[N@H+]2CCO[C@@H](c3ccco3)C2)nn1 ZINC001608796853 1170755624 /nfs/dbraw/zinc/75/56/24/1170755624.db2.gz XKTZYCABGHMBIP-CYBMUJFWSA-N 0 2 306.322 0.572 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@@H+]2CCS[C@@H]3COCC[C@@H]32)C(=O)O1 ZINC001608809024 1170758287 /nfs/dbraw/zinc/75/82/87/1170758287.db2.gz VCNOWTALAIFVFU-LPEHRKFASA-N 0 2 302.352 0.056 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@H+]2CCS[C@@H]3COCC[C@@H]32)C(=O)O1 ZINC001608809024 1170758289 /nfs/dbraw/zinc/75/82/89/1170758289.db2.gz VCNOWTALAIFVFU-LPEHRKFASA-N 0 2 302.352 0.056 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1CNC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC001608811591 1170758877 /nfs/dbraw/zinc/75/88/77/1170758877.db2.gz ZKYCACBVEXHUOG-RAIGVLPGSA-N 0 2 305.334 0.965 20 0 DCADLN O=C([O-])c1ccc(C[NH2+]Cc2nc3c(c(=O)[nH]2)COCC3)[nH]1 ZINC001608887161 1170769113 /nfs/dbraw/zinc/76/91/13/1170769113.db2.gz FGLQDIXALRNPOA-UHFFFAOYSA-N 0 2 304.306 0.571 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)N2CCn3c[nH+]cc3C2)s1 ZINC001608972298 1170778435 /nfs/dbraw/zinc/77/84/35/1170778435.db2.gz SCCACLUNZXGAGY-UHFFFAOYSA-N 0 2 307.335 0.763 20 0 DCADLN O=C([O-])c1nc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cs1 ZINC001608995092 1170782451 /nfs/dbraw/zinc/78/24/51/1170782451.db2.gz GESBMUQHDZAGFO-VIFPVBQESA-N 0 2 311.363 0.388 20 0 DCADLN CC[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCS1 ZINC001609202605 1170868900 /nfs/dbraw/zinc/86/89/00/1170868900.db2.gz MEGIFTDGWLUNLK-JTQLQIEISA-N 0 2 306.395 0.813 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccccc2F)c1=O ZINC001609258083 1170899527 /nfs/dbraw/zinc/89/95/27/1170899527.db2.gz QWRIZGVFSMXSMT-UHFFFAOYSA-N 0 2 316.296 0.397 20 0 DCADLN CCc1nn(C[C@@H](O)C(C)C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001609255593 1170899787 /nfs/dbraw/zinc/89/97/87/1170899787.db2.gz LIPYBCUJCCQHGX-LLVKDONJSA-N 0 2 306.370 0.565 20 0 DCADLN CO[C@H]1CCCN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)C1 ZINC001609314320 1170929391 /nfs/dbraw/zinc/92/93/91/1170929391.db2.gz ILDXFEZOULLREW-NSHDSACASA-N 0 2 304.354 0.405 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1ncn(-c2ccccc2)n1 ZINC001609604946 1171039742 /nfs/dbraw/zinc/03/97/42/1171039742.db2.gz YTKJIKIJYLHMKG-UHFFFAOYSA-N 0 2 321.304 0.052 20 0 DCADLN CC(C)(C)OC(=O)CCC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001609659335 1171062818 /nfs/dbraw/zinc/06/28/18/1171062818.db2.gz MEYDKDCDMKDCLY-JTQLQIEISA-N 0 2 311.338 0.574 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)Cn1cc(C(=O)[O-])[nH+]c1C ZINC001609996525 1171133475 /nfs/dbraw/zinc/13/34/75/1171133475.db2.gz XTXHQTQOGRRMBP-UHFFFAOYSA-N 0 2 310.354 0.263 20 0 DCADLN CCOC(=O)N1CCN(C(=O)Cn2cc(C(=O)[O-])[nH+]c2C)CC1 ZINC001610023036 1171141624 /nfs/dbraw/zinc/14/16/24/1171141624.db2.gz ZCHIZBPRVWRUAY-UHFFFAOYSA-N 0 2 324.337 0.190 20 0 DCADLN CN(C)C(=O)CC1CC[NH+](CN2C[C@@H](C(=O)[O-])OC2=O)CC1 ZINC001610095103 1171154839 /nfs/dbraw/zinc/15/48/39/1171154839.db2.gz VRQMTSAVMGZWGW-NSHDSACASA-N 0 2 313.354 0.040 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1C[C@H](O)CC(F)(F)F ZINC001610170810 1171185421 /nfs/dbraw/zinc/18/54/21/1171185421.db2.gz XYLBDGONMCUUPC-YIZRAAEISA-N 0 2 313.272 0.638 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1C[C@H](O)CC(F)(F)F ZINC001610170810 1171185422 /nfs/dbraw/zinc/18/54/22/1171185422.db2.gz XYLBDGONMCUUPC-YIZRAAEISA-N 0 2 313.272 0.638 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@@H+]1CC[C@@H](C)C[C@@H]1C(=O)[O-])C(C)C ZINC001610192458 1171193968 /nfs/dbraw/zinc/19/39/68/1171193968.db2.gz LHQGLMXVANLMHE-WZRBSPASSA-N 0 2 314.382 0.485 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@H+]1CC[C@@H](C)C[C@@H]1C(=O)[O-])C(C)C ZINC001610192458 1171193976 /nfs/dbraw/zinc/19/39/76/1171193976.db2.gz LHQGLMXVANLMHE-WZRBSPASSA-N 0 2 314.382 0.485 20 0 DCADLN COc1ccc([C@H](NC(=O)CCc2c[nH]c[nH+]2)C(=O)[O-])cc1O ZINC001610322439 1171220487 /nfs/dbraw/zinc/22/04/87/1171220487.db2.gz RURPPMHQIFKZQP-AWEZNQCLSA-N 0 2 319.317 0.999 20 0 DCADLN COc1ccc([C@H](NC(=O)CCc2c[nH+]c[nH]2)C(=O)[O-])cc1O ZINC001610322439 1171220493 /nfs/dbraw/zinc/22/04/93/1171220493.db2.gz RURPPMHQIFKZQP-AWEZNQCLSA-N 0 2 319.317 0.999 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+](CCO)[C@@H]2CCO[C@H]2C)c(C)c1C(=O)[O-] ZINC001610396682 1171230874 /nfs/dbraw/zinc/23/08/74/1171230874.db2.gz CFKBQLCUJIDZOK-NWDGAFQWSA-N 0 2 324.377 0.984 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+](CCO)[C@@H]2CCO[C@H]2C)c(C)c1C(=O)[O-] ZINC001610396682 1171230881 /nfs/dbraw/zinc/23/08/81/1171230881.db2.gz CFKBQLCUJIDZOK-NWDGAFQWSA-N 0 2 324.377 0.984 20 0 DCADLN Cc1nc(C2CC2)oc1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001610553779 1171253189 /nfs/dbraw/zinc/25/31/89/1171253189.db2.gz DWRCBSIXXWRONO-JTQLQIEISA-N 0 2 304.306 0.940 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001610611724 1171267705 /nfs/dbraw/zinc/26/77/05/1171267705.db2.gz UXTDQMBSPBZJOQ-LLVKDONJSA-N 0 2 318.337 0.116 20 0 DCADLN Cn1nc2c(c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])CCCC2 ZINC001610612660 1171268678 /nfs/dbraw/zinc/26/86/78/1171268678.db2.gz PZRJOOOHXGLFIQ-LBPRGKRZSA-N 0 2 317.349 0.448 20 0 DCADLN Cn1nc2c(c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])CCCC2 ZINC001610612660 1171268683 /nfs/dbraw/zinc/26/86/83/1171268683.db2.gz PZRJOOOHXGLFIQ-LBPRGKRZSA-N 0 2 317.349 0.448 20 0 DCADLN O=C([O-])c1cc(F)ccc1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC001610695600 1171294254 /nfs/dbraw/zinc/29/42/54/1171294254.db2.gz LSUXKQBIWFRQQA-UHFFFAOYSA-N 0 2 320.280 0.475 20 0 DCADLN O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001610702623 1171295450 /nfs/dbraw/zinc/29/54/50/1171295450.db2.gz PMZZHMNXDAMQMF-GARJFASQSA-N 0 2 320.349 0.095 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)CN(C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC001610736286 1171303705 /nfs/dbraw/zinc/30/37/05/1171303705.db2.gz GHPQXFSZJNCDEM-VXGBXAGGSA-N 0 2 318.333 0.311 20 0 DCADLN O=C([O-])CCNC(=O)C[N@@H+]1CCNC(=O)C[C@@H]1c1ccccc1 ZINC001610774292 1171320319 /nfs/dbraw/zinc/32/03/19/1171320319.db2.gz OBQDEUDVVNJECS-CYBMUJFWSA-N 0 2 319.361 0.141 20 0 DCADLN O=C([O-])CCNC(=O)C[N@H+]1CCNC(=O)C[C@@H]1c1ccccc1 ZINC001610774292 1171320328 /nfs/dbraw/zinc/32/03/28/1171320328.db2.gz OBQDEUDVVNJECS-CYBMUJFWSA-N 0 2 319.361 0.141 20 0 DCADLN COC(=O)CC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001616860487 1171381724 /nfs/dbraw/zinc/38/17/24/1171381724.db2.gz MHMRYGWFQXWPJG-UHFFFAOYSA-N 0 2 304.306 0.563 20 0 DCADLN Cc1cc(=O)[nH]c(CNC(=O)c2cccc3nc(CO)[nH]c32)n1 ZINC001628267896 1171523333 /nfs/dbraw/zinc/52/33/33/1171523333.db2.gz HRZDQZIYRSDSMU-UHFFFAOYSA-N 0 2 313.317 0.789 20 0 DCADLN O=C(N[C@H](c1nn[nH]n1)c1ccccc1)c1cccc2n[nH]nc21 ZINC001634275009 1171667606 /nfs/dbraw/zinc/66/76/06/1171667606.db2.gz KNBZNXDKIXABSP-LBPRGKRZSA-N 0 2 320.316 0.990 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)C1C[C@@H]2COC[C@H](C1)C2=O ZINC001634590982 1171677701 /nfs/dbraw/zinc/67/77/01/1171677701.db2.gz MPBIDWMGHVUNRH-CBLAIPOGSA-N 0 2 311.363 0.259 20 0 DCADLN CNS(=O)(=O)c1cc(NC(=O)c2[nH]cnc2C)ccc1O ZINC001635089324 1171692792 /nfs/dbraw/zinc/69/27/92/1171692792.db2.gz YLEOUMFLRIVJQO-UHFFFAOYSA-N 0 2 310.335 0.584 20 0 DCADLN O=C(c1ccc(Cl)nn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001638685485 1171804972 /nfs/dbraw/zinc/80/49/72/1171804972.db2.gz QRMBAFUYGGPGGY-ZETCQYMHSA-N 0 2 308.729 0.974 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2ccc3c(n2)CCCN3)C1=O ZINC001641058250 1171904456 /nfs/dbraw/zinc/90/44/56/1171904456.db2.gz CUYOAWGDDZZENM-HNNXBMFYSA-N 0 2 317.349 0.805 20 0 DCADLN NS(=O)(=O)C1CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC001645705135 1172108679 /nfs/dbraw/zinc/10/86/79/1172108679.db2.gz SOXOLUMYEJGHSW-UHFFFAOYSA-N 0 2 320.317 0.564 20 0 DCADLN O=c1nc(CN(CCO)CCOCCO)[nH]c2ccsc21 ZINC001646107403 1172256314 /nfs/dbraw/zinc/25/63/14/1172256314.db2.gz SUJZZERLOOXTGM-UHFFFAOYSA-N 0 2 313.379 0.200 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H](C[N@@H+]2C[C@@H](F)C[C@H]2C(=O)[O-])C1 ZINC001646231698 1172297266 /nfs/dbraw/zinc/29/72/66/1172297266.db2.gz QSZKBDJFUVZTIV-DCAQKATOSA-N 0 2 308.375 0.155 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H](C[N@H+]2C[C@@H](F)C[C@H]2C(=O)[O-])C1 ZINC001646231698 1172297270 /nfs/dbraw/zinc/29/72/70/1172297270.db2.gz QSZKBDJFUVZTIV-DCAQKATOSA-N 0 2 308.375 0.155 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1cc2n(n1)CCC[C@H]2[NH3+] ZINC001646742016 1172503060 /nfs/dbraw/zinc/50/30/60/1172503060.db2.gz ABFZWFXQPRMNBO-GFCCVEGCSA-N 0 2 321.425 0.517 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H]1[C@H]1CCCOC1 ZINC001649360733 1173129404 /nfs/dbraw/zinc/12/94/04/1173129404.db2.gz FNGWJFLFTVNDQF-CMPLNLGQSA-N 0 2 317.349 0.099 20 0 DCADLN CCC(CC)[C@@H](C(=O)NCc1nc(=O)o[n-]1)[NH+]1CCOCC1 ZINC001649733885 1173190853 /nfs/dbraw/zinc/19/08/53/1173190853.db2.gz MRWFUHQEKVVLHD-LBPRGKRZSA-N 0 2 312.370 0.116 20 0 DCADLN C[C@@H](NC(=O)c1cccc(-n2cnnn2)c1)c1nn(C)cc1O ZINC001653244645 1173784572 /nfs/dbraw/zinc/78/45/72/1173784572.db2.gz GRCSOVOLSDCEJV-SECBINFHSA-N 0 2 313.321 0.592 20 0 DCADLN CCOCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(C)c1[O-] ZINC001661244505 1174109854 /nfs/dbraw/zinc/10/98/54/1174109854.db2.gz GOFOCOCGNGZTKB-TXEJJXNPSA-N 0 2 308.382 0.749 20 0 DCADLN CCOCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(C)c1[O-] ZINC001661244505 1174109856 /nfs/dbraw/zinc/10/98/56/1174109856.db2.gz GOFOCOCGNGZTKB-TXEJJXNPSA-N 0 2 308.382 0.749 20 0 DCADLN C[N@H+](CCNC(=O)c1cccc2[nH]ccc21)Cc1n[nH]c(=O)[n-]1 ZINC001663280898 1174283092 /nfs/dbraw/zinc/28/30/92/1174283092.db2.gz DJGHCFAXTKXGFG-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN C[N@@H+](CCNC(=O)c1cccc2[nH]ccc21)Cc1n[nH]c(=O)[n-]1 ZINC001663280898 1174283096 /nfs/dbraw/zinc/28/30/96/1174283096.db2.gz DJGHCFAXTKXGFG-UHFFFAOYSA-N 0 2 314.349 0.853 20 0 DCADLN C=C/C=C/CCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001685038388 1176009457 /nfs/dbraw/zinc/00/94/57/1176009457.db2.gz DBWBJUXKELHGFU-SNAWJCMRSA-N 0 2 313.383 0.281 20 0 DCADLN Cc1c(C(=O)NCCNC(=O)C(F)C(F)(F)F)cnn1C ZINC001686438230 1176093751 /nfs/dbraw/zinc/09/37/51/1176093751.db2.gz VPMDLHKODAOISC-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1c(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cnn1C ZINC001686438230 1176093760 /nfs/dbraw/zinc/09/37/60/1176093760.db2.gz VPMDLHKODAOISC-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1cc(=O)[nH]cc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001686689373 1176192664 /nfs/dbraw/zinc/19/26/64/1176192664.db2.gz OZNGWWBLXCYQQM-VIFPVBQESA-N 0 2 323.246 0.842 20 0 DCADLN Cc1cc(=O)[nH]cc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001686689373 1176192669 /nfs/dbraw/zinc/19/26/69/1176192669.db2.gz OZNGWWBLXCYQQM-VIFPVBQESA-N 0 2 323.246 0.842 20 0 DCADLN Cn1cc(CCC(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001686689559 1176192752 /nfs/dbraw/zinc/19/27/52/1176192752.db2.gz RVASOGIJZKNQFS-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN Cn1cc(CCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001686689559 1176192754 /nfs/dbraw/zinc/19/27/54/1176192754.db2.gz RVASOGIJZKNQFS-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN Cc1cn(C(C)(C)C(=O)NCCNC(=O)C[N@H+](C)C(C)C)c[nH+]1 ZINC001686702070 1176196145 /nfs/dbraw/zinc/19/61/45/1176196145.db2.gz SBVCKIJNGJUAKA-UHFFFAOYSA-N 0 2 323.441 0.499 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001686988651 1176261151 /nfs/dbraw/zinc/26/11/51/1176261151.db2.gz HHFLMOZZJYXGRL-SFYZADRCSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001686988651 1176261156 /nfs/dbraw/zinc/26/11/56/1176261156.db2.gz HHFLMOZZJYXGRL-SFYZADRCSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001689318508 1176822708 /nfs/dbraw/zinc/82/27/08/1176822708.db2.gz YHYFWNJJUFZZPF-BKIAHZASSA-N 0 2 314.279 0.692 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001689318508 1176822718 /nfs/dbraw/zinc/82/27/18/1176822718.db2.gz YHYFWNJJUFZZPF-BKIAHZASSA-N 0 2 314.279 0.692 20 0 DCADLN CCn1ncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1C ZINC001720129993 1178656109 /nfs/dbraw/zinc/65/61/09/1178656109.db2.gz LHRFLDQQRQCUEW-VIFPVBQESA-N 0 2 324.278 0.958 20 0 DCADLN CCn1ncc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1C ZINC001720129993 1178656110 /nfs/dbraw/zinc/65/61/10/1178656110.db2.gz LHRFLDQQRQCUEW-VIFPVBQESA-N 0 2 324.278 0.958 20 0 DCADLN CCOCC1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CC1 ZINC001720130550 1178700642 /nfs/dbraw/zinc/70/06/42/1178700642.db2.gz VBKLWCXVVDYLDV-MRVPVSSYSA-N 0 2 314.279 0.936 20 0 DCADLN CCOCC1(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001720130550 1178700645 /nfs/dbraw/zinc/70/06/45/1178700645.db2.gz VBKLWCXVVDYLDV-MRVPVSSYSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@H](CC(=O)NCCNC(=O)C[N@H+](C)C1CCC1)n1cc[nH+]c1 ZINC001703188517 1179380964 /nfs/dbraw/zinc/38/09/64/1179380964.db2.gz MGPXYBRXPRRXEY-CYBMUJFWSA-N 0 2 321.425 0.551 20 0 DCADLN Cc1c(C(=O)NCCCNC(=O)C(F)C(F)(F)F)cnn1C ZINC001703316013 1179463154 /nfs/dbraw/zinc/46/31/54/1179463154.db2.gz UGADBPFFHKNGKA-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN Cc1c(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)cnn1C ZINC001703316013 1179463158 /nfs/dbraw/zinc/46/31/58/1179463158.db2.gz UGADBPFFHKNGKA-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1cc(F)ccc1C)C(=O)OC ZINC000144830047 1180399220 /nfs/dbraw/zinc/39/92/20/1180399220.db2.gz VWANNKJOIPLCLW-UHFFFAOYSA-N 0 2 319.310 0.127 20 0 DCADLN CCOCCN1CCOC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001717134723 1182688974 /nfs/dbraw/zinc/68/89/74/1182688974.db2.gz GFJVPZJKWXNAPT-ZJUUUORDSA-N 0 2 316.295 0.740 20 0 DCADLN CCOCCN1CCOC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001717134723 1182688979 /nfs/dbraw/zinc/68/89/79/1182688979.db2.gz GFJVPZJKWXNAPT-ZJUUUORDSA-N 0 2 316.295 0.740 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1ccncn1 ZINC001721870633 1184086715 /nfs/dbraw/zinc/08/67/15/1184086715.db2.gz FLXZCQDAZTVXOI-JKERVTFKSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1ccncn1 ZINC001721870633 1184086717 /nfs/dbraw/zinc/08/67/17/1184086717.db2.gz FLXZCQDAZTVXOI-JKERVTFKSA-N 0 2 320.246 0.779 20 0 DCADLN COCCCCC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001724142219 1184385694 /nfs/dbraw/zinc/38/56/94/1184385694.db2.gz XCTCDPRAQPEPRA-CQSZACIVSA-N 0 2 311.386 0.408 20 0 DCADLN COCCCCC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001724142219 1184385695 /nfs/dbraw/zinc/38/56/95/1184385695.db2.gz XCTCDPRAQPEPRA-CQSZACIVSA-N 0 2 311.386 0.408 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)NCCC[N@@H+](C)[C@H]1CCN(C)C1=O ZINC001731280558 1185240548 /nfs/dbraw/zinc/24/05/48/1185240548.db2.gz PCMKZLPFLNASGX-AWEZNQCLSA-N 0 2 321.425 0.022 20 0 DCADLN CCOCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001732023835 1185638223 /nfs/dbraw/zinc/63/82/23/1185638223.db2.gz IZDINEIONMWJJF-TXEJJXNPSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@]12C[C@H]1COC2)C(F)C(F)(F)F ZINC001738525172 1187582640 /nfs/dbraw/zinc/58/26/40/1187582640.db2.gz JDWQJPLGALAGTE-JRALRAOXSA-N 0 2 324.274 0.712 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@]12C[C@H]1COC2)[C@H](F)C(F)(F)F ZINC001738525172 1187582643 /nfs/dbraw/zinc/58/26/43/1187582643.db2.gz JDWQJPLGALAGTE-JRALRAOXSA-N 0 2 324.274 0.712 20 0 DCADLN Cc1ncc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)o1 ZINC001758028004 1189686894 /nfs/dbraw/zinc/68/68/94/1189686894.db2.gz RKTIZPRHMHWVPC-VIFPVBQESA-N 0 2 311.235 0.658 20 0 DCADLN Cc1ncc(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)o1 ZINC001758028004 1189686898 /nfs/dbraw/zinc/68/68/98/1189686898.db2.gz RKTIZPRHMHWVPC-VIFPVBQESA-N 0 2 311.235 0.658 20 0 DCADLN CCC(=O)N[C@@H](C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001758027855 1189687396 /nfs/dbraw/zinc/68/73/96/1189687396.db2.gz NPELWVHIRQWPAA-XPUUQOCRSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)N[C@@H](C)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001758027855 1189687410 /nfs/dbraw/zinc/68/74/10/1189687410.db2.gz NPELWVHIRQWPAA-XPUUQOCRSA-N 0 2 315.267 0.034 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)NCCCNC(=O)c1[nH]nc(C)c1C ZINC001758145146 1189752143 /nfs/dbraw/zinc/75/21/43/1189752143.db2.gz JCJKOSGLJYAYQC-UHFFFAOYSA-N 0 2 323.441 0.993 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCCC(C)(C)C(=O)[O-] ZINC001771739540 1190485656 /nfs/dbraw/zinc/48/56/56/1190485656.db2.gz XFHGDWSTGMRTBP-GFCCVEGCSA-N 0 2 315.414 0.849 20 0 DCADLN C[N@@H+]1CCCC[C@H]1CNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001772293957 1190655611 /nfs/dbraw/zinc/65/56/11/1190655611.db2.gz BIGQIIOSXICZEL-GJZGRUSLSA-N 0 2 310.442 0.587 20 0 DCADLN CCc1[nH]ccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042681389 751833213 /nfs/dbraw/zinc/83/32/13/751833213.db2.gz QRIROZAIARBQPM-UHFFFAOYSA-N 0 2 304.354 0.357 20 0 DCADLN Cc1coc(C)c1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042680598 751833426 /nfs/dbraw/zinc/83/34/26/751833426.db2.gz ZJYQVKBANIPVBQ-UHFFFAOYSA-N 0 2 305.338 0.677 20 0 DCADLN CC(C)n1nccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042789480 751910922 /nfs/dbraw/zinc/91/09/22/751910922.db2.gz LFSNIJNXCPHBPO-UHFFFAOYSA-N 0 2 319.369 0.244 20 0 DCADLN CCC[N@H+](C)CC(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107279929 751983762 /nfs/dbraw/zinc/98/37/62/751983762.db2.gz FXFHHTJQHZAISR-SNAWJCMRSA-N 0 2 317.397 0.731 20 0 DCADLN CN(C(=O)Cc1ccccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043114134 752082095 /nfs/dbraw/zinc/08/20/95/752082095.db2.gz WPUXDLNUWACDDM-UHFFFAOYSA-N 0 2 301.350 0.396 20 0 DCADLN CC(C)c1n[nH]cc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043200045 752128427 /nfs/dbraw/zinc/12/84/27/752128427.db2.gz JWKZOMASZBXWPJ-UHFFFAOYSA-N 0 2 319.369 0.313 20 0 DCADLN CC1(C(=O)NCC2(O)CN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC001043384444 752207540 /nfs/dbraw/zinc/20/75/40/752207540.db2.gz HJBZDXKHOXXRFR-ZETCQYMHSA-N 0 2 312.263 0.376 20 0 DCADLN CC1(C(=O)NCC2(O)CN(C(=O)[C@H](F)C(F)(F)F)C2)CC1 ZINC001043384444 752207549 /nfs/dbraw/zinc/20/75/49/752207549.db2.gz HJBZDXKHOXXRFR-ZETCQYMHSA-N 0 2 312.263 0.376 20 0 DCADLN CC(C)=CC[NH+]1CC(N(C)C(=O)[C@H]2C[N@@H+]3CC4CC3(C4)CO2)C1 ZINC001043387968 752210432 /nfs/dbraw/zinc/21/04/32/752210432.db2.gz AIKYEWPHVUAWHD-BFHRDPOASA-N 0 2 319.449 0.958 20 0 DCADLN CN(C(=O)C[C@@H]1CC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043547062 752283787 /nfs/dbraw/zinc/28/37/87/752283787.db2.gz TVYKXQDCIBTGCZ-LLVKDONJSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1oc(C)c(S(=O)(=O)NCC[NH+]2CCC2)c1C(=O)[O-] ZINC000697376435 749548660 /nfs/dbraw/zinc/54/86/60/749548660.db2.gz QGOHNOSNHMNDBB-UHFFFAOYSA-N 0 2 302.352 0.579 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccsn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071603618 761986332 /nfs/dbraw/zinc/98/63/32/761986332.db2.gz RYDAVJAXDPKJGK-RKDXNWHRSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cncn2C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071655484 762029713 /nfs/dbraw/zinc/02/97/13/762029713.db2.gz NCBIYQYKIILDPW-ZJUUUORDSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@]2(C)CCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088942765 750739942 /nfs/dbraw/zinc/73/99/42/750739942.db2.gz GELXIRLJMCESQW-ISTVAULSSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@]2(C)CCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088942765 750739947 /nfs/dbraw/zinc/73/99/47/750739947.db2.gz GELXIRLJMCESQW-ISTVAULSSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@H]2CCCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001089044707 750776426 /nfs/dbraw/zinc/77/64/26/750776426.db2.gz LOYNAHSVNRLFOG-UTUOFQBUSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@H]2CCCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001089044707 750776433 /nfs/dbraw/zinc/77/64/33/750776433.db2.gz LOYNAHSVNRLFOG-UTUOFQBUSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc(NCC[C@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)cc[nH+]1 ZINC001106644042 751214681 /nfs/dbraw/zinc/21/46/81/751214681.db2.gz KBELKGBNALFENO-ZETCQYMHSA-N 0 2 319.325 0.002 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)C[C@@]23CC[N@H+](C2)CCC3)[C@@H](C)C1 ZINC000915551068 751249453 /nfs/dbraw/zinc/24/94/53/751249453.db2.gz OAGLEYVMYGCBHQ-GJZGRUSLSA-N 0 2 315.483 0.828 20 0 DCADLN C[C@H]1CC[C@H](CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001043751655 752374539 /nfs/dbraw/zinc/37/45/39/752374539.db2.gz IZUJOGSMCCXDQQ-GXSJLCMTSA-N 0 2 309.370 0.111 20 0 DCADLN CCc1ccoc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043764961 752380058 /nfs/dbraw/zinc/38/00/58/752380058.db2.gz OTQDGSAMNPUCMY-UHFFFAOYSA-N 0 2 305.338 0.622 20 0 DCADLN C/C=C(\C)C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107852139 752419706 /nfs/dbraw/zinc/41/97/06/752419706.db2.gz FLZQPYMSCWMGDT-LZTOIUFZSA-N 0 2 309.370 0.184 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107925757 752545603 /nfs/dbraw/zinc/54/56/03/752545603.db2.gz VESBTLMRKUTCAE-XKOZXHHJSA-N 0 2 323.397 0.574 20 0 DCADLN CCc1oc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001044177765 752610452 /nfs/dbraw/zinc/61/04/52/752610452.db2.gz ZEHUMMRXCWZIQD-UHFFFAOYSA-N 0 2 319.365 0.931 20 0 DCADLN CN(C(=O)Cc1ccc(F)cc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044251071 752647336 /nfs/dbraw/zinc/64/73/36/752647336.db2.gz VOIFQBLXPDERIO-UHFFFAOYSA-N 0 2 319.340 0.535 20 0 DCADLN C[C@]1(NC(=O)C2CC(F)(F)C2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046233715 753575159 /nfs/dbraw/zinc/57/51/59/753575159.db2.gz SAASLBNNYROATB-LBPRGKRZSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@]1(NC(=O)C2CC(F)(F)C2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046233715 753575166 /nfs/dbraw/zinc/57/51/66/753575166.db2.gz SAASLBNNYROATB-LBPRGKRZSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1nc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001046254908 753590872 /nfs/dbraw/zinc/59/08/72/753590872.db2.gz OAEFWWUCOZEFNR-CYBMUJFWSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1noc([C@H](C)N2CC[C@@](C)(NC(=O)c3cnn[nH]3)C2)n1 ZINC001046441468 753720844 /nfs/dbraw/zinc/72/08/44/753720844.db2.gz KJIHXQHLPINVEJ-ISVAXAHUSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@H](C)[N@@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046441468 753720850 /nfs/dbraw/zinc/72/08/50/753720850.db2.gz KJIHXQHLPINVEJ-ISVAXAHUSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@H](C)[N@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046441468 753720856 /nfs/dbraw/zinc/72/08/56/753720856.db2.gz KJIHXQHLPINVEJ-ISVAXAHUSA-N 0 2 305.342 0.452 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001046633949 753843195 /nfs/dbraw/zinc/84/31/95/753843195.db2.gz AZABCMWDICRHDZ-GPCCPHFNSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001046633949 753843199 /nfs/dbraw/zinc/84/31/99/753843199.db2.gz AZABCMWDICRHDZ-GPCCPHFNSA-N 0 2 309.370 0.158 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c(C)[nH]1 ZINC001046660356 753855425 /nfs/dbraw/zinc/85/54/25/753855425.db2.gz IVZWKWPINYLHLR-OAHLLOKOSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c(C)[nH]1 ZINC001046660356 753855433 /nfs/dbraw/zinc/85/54/33/753855433.db2.gz IVZWKWPINYLHLR-OAHLLOKOSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071961749 762257280 /nfs/dbraw/zinc/25/72/80/762257280.db2.gz VNGAREGKUWRBFU-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN CN(C(=O)C1(C)CCCC1)[C@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001047475690 754314684 /nfs/dbraw/zinc/31/46/84/754314684.db2.gz LUSIWXPMCWDMIN-QWRGUYRKSA-N 0 2 323.397 0.094 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccn(C)n2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001072072406 762340026 /nfs/dbraw/zinc/34/00/26/762340026.db2.gz JWAKISBWDLIQNQ-ZJUUUORDSA-N 0 2 319.369 0.027 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1=COCCC1 ZINC001096877087 755538743 /nfs/dbraw/zinc/53/87/43/755538743.db2.gz JJRJAPHHZQQJFZ-UTUOFQBUSA-N 0 2 319.365 0.426 20 0 DCADLN Cc1coc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)c1 ZINC001097683511 755704517 /nfs/dbraw/zinc/70/45/17/755704517.db2.gz XQJQKNLRJZXOQS-MXWKQRLJSA-N 0 2 317.349 0.947 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cccn1C ZINC001079431510 755840601 /nfs/dbraw/zinc/84/06/01/755840601.db2.gz RWGZMNWCTCEGON-NXEZZACHSA-N 0 2 304.354 0.099 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cccn1C ZINC001079431510 755840608 /nfs/dbraw/zinc/84/06/08/755840608.db2.gz RWGZMNWCTCEGON-NXEZZACHSA-N 0 2 304.354 0.099 20 0 DCADLN C[C@@H]1CN(Cc2nnc(C3CC3)[nH]2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC001080028786 756079703 /nfs/dbraw/zinc/07/97/03/756079703.db2.gz SDUSGIBQYBDCHI-LDYMZIIASA-N 0 2 316.369 0.051 20 0 DCADLN CC(C)=CC(=O)NC1CC[NH+](CCNC(=O)c2ncn[nH]2)CC1 ZINC001055613927 756834722 /nfs/dbraw/zinc/83/47/22/756834722.db2.gz NCUWQPLWRBVZAX-UHFFFAOYSA-N 0 2 320.397 0.081 20 0 DCADLN CC(C)=CC(=O)NC1CC[NH+](CCNC(=O)c2nc[nH]n2)CC1 ZINC001055613927 756834725 /nfs/dbraw/zinc/83/47/25/756834725.db2.gz NCUWQPLWRBVZAX-UHFFFAOYSA-N 0 2 320.397 0.081 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NCc1nnn(C)n1 ZINC001082524035 757175101 /nfs/dbraw/zinc/17/51/01/757175101.db2.gz CHSPSJXXMQGDCL-ZXFLCMHBSA-N 0 2 324.282 0.047 20 0 DCADLN CC1(C)CC[C@@H]1C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001051067213 758410692 /nfs/dbraw/zinc/41/06/92/758410692.db2.gz DYZIXMUKOJLPLB-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccc(F)cn1 ZINC001085557049 759045989 /nfs/dbraw/zinc/04/59/89/759045989.db2.gz IKGQDZKWOHRXMV-SNVBAGLBSA-N 0 2 320.328 0.391 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1CC2(CC2)C1 ZINC001085809415 759337556 /nfs/dbraw/zinc/33/75/56/759337556.db2.gz CXZUJTZDKWSOAU-NSHDSACASA-N 0 2 305.382 0.733 20 0 DCADLN CCn1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001085856208 759395828 /nfs/dbraw/zinc/39/58/28/759395828.db2.gz UCJFSCLVRVWEIL-JTQLQIEISA-N 0 2 319.369 0.073 20 0 DCADLN O=C(NC[C@@H](CO)Nc1cnc(F)cn1)C(F)C(F)(F)F ZINC001122761367 767871275 /nfs/dbraw/zinc/87/12/75/767871275.db2.gz RFDBJRBGDRYPSY-YLWLKBPMSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](CO)Nc1cnc(F)cn1)[C@@H](F)C(F)(F)F ZINC001122761367 767871282 /nfs/dbraw/zinc/87/12/82/767871282.db2.gz RFDBJRBGDRYPSY-YLWLKBPMSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(Cc1ccco1)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001098079547 760516543 /nfs/dbraw/zinc/51/65/43/760516543.db2.gz KVFYIVIIHYQRFP-JLLWLGSASA-N 0 2 317.349 0.568 20 0 DCADLN CC1(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3Cc2n[nH]c(=O)[n-]2)CC1 ZINC001109539717 761453801 /nfs/dbraw/zinc/45/38/01/761453801.db2.gz ORNNKEQOMGSERO-VWYCJHECSA-N 0 2 305.382 0.922 20 0 DCADLN CC1(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3Cc2n[nH]c(=O)[n-]2)CC1 ZINC001109539717 761453808 /nfs/dbraw/zinc/45/38/08/761453808.db2.gz ORNNKEQOMGSERO-VWYCJHECSA-N 0 2 305.382 0.922 20 0 DCADLN CC[NH+](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnn1C ZINC001109864600 761584589 /nfs/dbraw/zinc/58/45/89/761584589.db2.gz KYABNEPJYMWSFO-KBMXLJTQSA-N 0 2 319.453 0.983 20 0 DCADLN O=C(NCc1c[nH]nn1)c1cc(O)c(Br)c(O)c1 ZINC001148997179 768063841 /nfs/dbraw/zinc/06/38/41/768063841.db2.gz WPQQUWNVGWFAND-UHFFFAOYSA-N 0 2 313.111 0.908 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccc[nH]2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071342306 761786892 /nfs/dbraw/zinc/78/68/92/761786892.db2.gz GQNGPNBMBMSOQH-NXEZZACHSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccc[nH]2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071342306 761786899 /nfs/dbraw/zinc/78/68/99/761786899.db2.gz GQNGPNBMBMSOQH-NXEZZACHSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccn[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071369635 761801043 /nfs/dbraw/zinc/80/10/43/761801043.db2.gz UVRUGVGJJAZJEV-IUCAKERBSA-N 0 2 305.342 0.016 20 0 DCADLN C[C@@H]1CCC[N@@H+]1CC(=O)NC[C@@H]1CCC(C)(C)C[NH+]1CC(N)=O ZINC001099233667 762840690 /nfs/dbraw/zinc/84/06/90/762840690.db2.gz AXVNKVJBRUBWLU-KGLIPLIRSA-N 0 2 324.469 0.563 20 0 DCADLN CCCCCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099676032 762957608 /nfs/dbraw/zinc/95/76/08/762957608.db2.gz ULVMFRZVJHWBSF-QWRGUYRKSA-N 0 2 311.386 0.142 20 0 DCADLN COC(=O)c1nc2ccc(N[C@]3(C)CCS(=O)(=O)C3)nc2[nH]1 ZINC001170556956 763772793 /nfs/dbraw/zinc/77/27/93/763772793.db2.gz HPRPXPPKDPGXKG-CYBMUJFWSA-N 0 2 324.362 0.734 20 0 DCADLN COC(=O)c1nc2ccc(N[C@]3(C)CCS(=O)(=O)C3)[nH]c-2n1 ZINC001170556956 763772802 /nfs/dbraw/zinc/77/28/02/763772802.db2.gz HPRPXPPKDPGXKG-CYBMUJFWSA-N 0 2 324.362 0.734 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)COCC2CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131864361 764092700 /nfs/dbraw/zinc/09/27/00/764092700.db2.gz BBOHCRBDCLWIMD-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)COCC2CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131864361 764092712 /nfs/dbraw/zinc/09/27/12/764092712.db2.gz BBOHCRBDCLWIMD-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)[N@@H+]1CCCC[C@@H]1C(=O)NCC[NH2+]Cc1ncccn1 ZINC001134762769 765658480 /nfs/dbraw/zinc/65/84/80/765658480.db2.gz FVEDBRKDUHWNPT-CQSZACIVSA-N 0 2 305.426 0.945 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCCCCC(=O)[O-])[C@@H](C)CO1 ZINC001121841972 767647770 /nfs/dbraw/zinc/64/77/70/767647770.db2.gz ZVGQARABGJLWGT-NWDGAFQWSA-N 0 2 301.387 0.650 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCCCCC(=O)[O-])[C@@H](C)CO1 ZINC001121841972 767647773 /nfs/dbraw/zinc/64/77/73/767647773.db2.gz ZVGQARABGJLWGT-NWDGAFQWSA-N 0 2 301.387 0.650 20 0 DCADLN O=C(Nc1nc(-c2ccncc2)n[nH]1)c1cc2n(n1)CCNC2=O ZINC001147536895 767782637 /nfs/dbraw/zinc/78/26/37/767782637.db2.gz OAQJGLRLDTZELD-UHFFFAOYSA-N 0 2 324.304 0.059 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2ccccc2C(=O)[O-])CCN1C(C)=O ZINC001140504458 768533997 /nfs/dbraw/zinc/53/39/97/768533997.db2.gz SZPBXNFJJFZFFN-AWEZNQCLSA-N 0 2 320.345 0.591 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2ccccc2C(=O)[O-])CCN1C(C)=O ZINC001140504458 768533999 /nfs/dbraw/zinc/53/39/99/768533999.db2.gz SZPBXNFJJFZFFN-AWEZNQCLSA-N 0 2 320.345 0.591 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(Cc2cccs2)C1 ZINC001142363061 768642118 /nfs/dbraw/zinc/64/21/18/768642118.db2.gz VQYCFGKVYZDWAG-MRVPVSSYSA-N 0 2 321.362 0.237 20 0 DCADLN C[N@@H+](CCN1CC[NH+](C)CC1)Cc1cc2c(cn1)OCCO2 ZINC001143066842 768685779 /nfs/dbraw/zinc/68/57/79/768685779.db2.gz INQUNPMWMYZZIA-UHFFFAOYSA-N 0 2 306.410 0.532 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149694755 768822736 /nfs/dbraw/zinc/82/27/36/768822736.db2.gz UDEMQZNTMAUMIZ-SEVUFMINSA-N 0 2 323.397 0.574 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001150634713 769146443 /nfs/dbraw/zinc/14/64/43/769146443.db2.gz SXFIJWRZAPWMIP-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COc1ccc(C[N@@H+]2CCN3C(=O)COC[C@H]3C2)cc1C(=O)[O-] ZINC001231754461 769281959 /nfs/dbraw/zinc/28/19/59/769281959.db2.gz YZKJKQCMOCVDBO-GFCCVEGCSA-N 0 2 320.345 0.436 20 0 DCADLN COc1ccc(C[N@H+]2CCN3C(=O)COC[C@H]3C2)cc1C(=O)[O-] ZINC001231754461 769281965 /nfs/dbraw/zinc/28/19/65/769281965.db2.gz YZKJKQCMOCVDBO-GFCCVEGCSA-N 0 2 320.345 0.436 20 0 DCADLN O=C(Cn1cccn1)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001151357826 769320293 /nfs/dbraw/zinc/32/02/93/769320293.db2.gz CXOMCCAILJQROJ-GFCCVEGCSA-N 0 2 312.333 0.807 20 0 DCADLN Cc1occc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095154864 769620460 /nfs/dbraw/zinc/62/04/60/769620460.db2.gz VJFGUMVOFBRPPY-USWWRNFRSA-N 0 2 317.349 0.947 20 0 DCADLN Cn1cc2c(n1)CCN(C(=O)c1c[nH]c3cccnc3c1=O)C2 ZINC001153855482 769966062 /nfs/dbraw/zinc/96/60/62/769966062.db2.gz DALRPOBSVFFBTO-UHFFFAOYSA-N 0 2 309.329 0.855 20 0 DCADLN CC(C)(C)NC(=O)CCNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153861604 769969679 /nfs/dbraw/zinc/96/96/79/769969679.db2.gz GCDCQRVDUOPCKO-UHFFFAOYSA-N 0 2 316.361 0.958 20 0 DCADLN COC(=O)c1nc2ccc(-c3cnc(NCCO)nc3)[nH]c-2n1 ZINC001242835700 770294434 /nfs/dbraw/zinc/29/44/34/770294434.db2.gz HQZLHDUCHIRFAI-UHFFFAOYSA-N 0 2 314.305 0.606 20 0 DCADLN O=Cc1cncc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001155755850 770610587 /nfs/dbraw/zinc/61/05/87/770610587.db2.gz VCKVTCHZQFJXKE-SNVBAGLBSA-N 0 2 301.306 0.738 20 0 DCADLN O=C(Cc1cn(Cc2ccccc2)nn1)NCc1n[nH]c(=O)[nH]1 ZINC001178324579 770719361 /nfs/dbraw/zinc/71/93/61/770719361.db2.gz NHXUBYFSLPSXKP-UHFFFAOYSA-N 0 2 313.321 0.009 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc2cc3c(cc2[nH]1)OCO3 ZINC001156324015 770784482 /nfs/dbraw/zinc/78/44/82/770784482.db2.gz ZOVQANQLDFSXQG-LBPRGKRZSA-N 0 2 319.298 0.936 20 0 DCADLN C[C@@H](NC(=O)c1cn(-c2cccnc2)nn1)c1nn(C)cc1O ZINC001179926174 771034669 /nfs/dbraw/zinc/03/46/69/771034669.db2.gz CIWRJCRLZGXLSL-SECBINFHSA-N 0 2 313.321 0.592 20 0 DCADLN CCCOc1ccc([C@@H](O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001180839051 771184865 /nfs/dbraw/zinc/18/48/65/771184865.db2.gz HDPDSDHAKZPSRW-GFCCVEGCSA-N 0 2 306.322 0.649 20 0 DCADLN Cn1nc(C(F)(F)F)cc1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001182832817 771562707 /nfs/dbraw/zinc/56/27/07/771562707.db2.gz UFRGHBYEZIDYHL-UHFFFAOYSA-N 0 2 318.259 0.625 20 0 DCADLN COC(=O)c1ccc(OC)nc1NC(=O)C(CO)C(F)(F)F ZINC001183279702 771626896 /nfs/dbraw/zinc/62/68/96/771626896.db2.gz NDQKCWGSWXRAHM-SSDOTTSWSA-N 0 2 322.239 0.986 20 0 DCADLN COC(=O)c1ccc(OC)nc1NC(=O)[C@@H](CO)C(F)(F)F ZINC001183279702 771626901 /nfs/dbraw/zinc/62/69/01/771626901.db2.gz NDQKCWGSWXRAHM-SSDOTTSWSA-N 0 2 322.239 0.986 20 0 DCADLN C[C@@H](NC(=O)C1(C(=O)NC2CC2)CCC1)c1nn(C)cc1O ZINC001158307952 771756733 /nfs/dbraw/zinc/75/67/33/771756733.db2.gz TVSXMTCSRCRVTF-SECBINFHSA-N 0 2 306.366 0.752 20 0 DCADLN C[N@H+](Cc1ccccc1)[C@@H](CO)C(=O)NCC(=O)CCC(=O)[O-] ZINC001185241009 771884182 /nfs/dbraw/zinc/88/41/82/771884182.db2.gz PCXFTRZFBVMALS-AWEZNQCLSA-N 0 2 322.361 0.029 20 0 DCADLN C[N@@H+](Cc1ccccc1)[C@@H](CO)C(=O)NCC(=O)CCC(=O)[O-] ZINC001185241009 771884187 /nfs/dbraw/zinc/88/41/87/771884187.db2.gz PCXFTRZFBVMALS-AWEZNQCLSA-N 0 2 322.361 0.029 20 0 DCADLN Cc1nn(C)cc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185565485 771929158 /nfs/dbraw/zinc/92/91/58/771929158.db2.gz BBQJITRGGXFCFF-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN CCO[C@@H]1COCC[C@@H]1CC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001160879644 772131743 /nfs/dbraw/zinc/13/17/43/772131743.db2.gz QGYPGQGPSCCGID-GHMZBOCLSA-N 0 2 312.370 0.391 20 0 DCADLN CCOCCS(=O)(=O)Nc1nc(N(C)C)[nH]c(=O)c1N=O ZINC001187250038 772162269 /nfs/dbraw/zinc/16/22/69/772162269.db2.gz KWJPKWYWVKZLEV-UHFFFAOYSA-N 0 2 319.343 0.424 20 0 DCADLN COC(=O)c1cccc2[nH+]cc([N-]S(=O)(=O)c3c[nH]cn3)n21 ZINC001187730674 772216123 /nfs/dbraw/zinc/21/61/23/772216123.db2.gz MLEFBCBVSONJAZ-UHFFFAOYSA-N 0 2 321.318 0.645 20 0 DCADLN Cc1nc(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2F)n[nH]1 ZINC001161425566 772222155 /nfs/dbraw/zinc/22/21/55/772222155.db2.gz BSWCYROUSASSKY-UHFFFAOYSA-N 0 2 302.273 0.614 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cccc(C(=O)OC)c1O ZINC001188392312 772296470 /nfs/dbraw/zinc/29/64/70/772296470.db2.gz FCHRVVXXSSPRBS-UHFFFAOYSA-N 0 2 317.319 0.484 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccccc1-n1ccnc1 ZINC001190100950 772582781 /nfs/dbraw/zinc/58/27/81/772582781.db2.gz YSDFHXWPNADIQV-LBPRGKRZSA-N 0 2 302.315 0.911 20 0 DCADLN O=S(=O)(NC[C@@H](O)C(F)(F)F)c1ncc(F)cc1F ZINC001190207369 772607433 /nfs/dbraw/zinc/60/74/33/772607433.db2.gz LSUAIGVJDKFEBQ-ZCFIWIBFSA-N 0 2 306.212 0.561 20 0 DCADLN O=C(N[C@H]1COCCC12OCCO2)c1c(F)ccc(F)c1O ZINC001192721079 772953112 /nfs/dbraw/zinc/95/31/12/772953112.db2.gz XVCIIAAEARNDPN-JTQLQIEISA-N 0 2 315.272 0.932 20 0 DCADLN CCOC(=O)C(C)(C)S(=O)(=O)Nc1ccc(C(N)=O)nc1 ZINC001193016680 772996521 /nfs/dbraw/zinc/99/65/21/772996521.db2.gz CGRLSMMRXJINKO-UHFFFAOYSA-N 0 2 315.351 0.264 20 0 DCADLN Cn1c(NS(=O)(=O)C[C@@H]2CCCO2)nnc1C(F)(F)F ZINC001193200430 773023142 /nfs/dbraw/zinc/02/31/42/773023142.db2.gz ZXOZZINCCJXSHZ-LURJTMIESA-N 0 2 314.289 0.755 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110456166 773032075 /nfs/dbraw/zinc/03/20/75/773032075.db2.gz SFNMZHUDLSRZDI-KKOKHZNYSA-N 0 2 323.397 0.547 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnnn1-c1ccccc1 ZINC001193430213 773064712 /nfs/dbraw/zinc/06/47/12/773064712.db2.gz ZOLUHWGCXVYEKS-NSHDSACASA-N 0 2 303.303 0.306 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206472774 773079787 /nfs/dbraw/zinc/07/97/87/773079787.db2.gz NMSSCIKEJQXXTP-CKYFFXLPSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206472774 773079792 /nfs/dbraw/zinc/07/97/92/773079792.db2.gz NMSSCIKEJQXXTP-CKYFFXLPSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCC(C)(F)F ZINC001207743366 773290949 /nfs/dbraw/zinc/29/09/49/773290949.db2.gz RIXPYOQEILAWOJ-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCC(C)(F)F ZINC001207743366 773290954 /nfs/dbraw/zinc/29/09/54/773290954.db2.gz RIXPYOQEILAWOJ-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN CC[C@@H](C)OCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195523662 773426746 /nfs/dbraw/zinc/42/67/46/773426746.db2.gz HNINEMKPYLSNRG-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCO[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC001195879891 773496159 /nfs/dbraw/zinc/49/61/59/773496159.db2.gz LGSVCSGLKZBAHJ-ZDUSSCGKSA-N 0 2 323.397 0.360 20 0 DCADLN COCCCN1CCn2cc(CN3CC[NH+](C)CC3)nc2C1 ZINC001209050080 773557058 /nfs/dbraw/zinc/55/70/58/773557058.db2.gz NZVIHWPYDDKFIO-UHFFFAOYSA-N 0 2 307.442 0.483 20 0 DCADLN Cc1c(Br)ccnc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001196283364 773574614 /nfs/dbraw/zinc/57/46/14/773574614.db2.gz YZRMDFUVVWCADJ-UHFFFAOYSA-N 0 2 312.127 0.906 20 0 DCADLN C[C@H](CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001211387407 773799846 /nfs/dbraw/zinc/79/98/46/773799846.db2.gz RIFQKIKRLNRTJE-CKYFFXLPSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001211387407 773799851 /nfs/dbraw/zinc/79/98/51/773799851.db2.gz RIFQKIKRLNRTJE-CKYFFXLPSA-N 0 2 307.398 0.883 20 0 DCADLN CNC(=O)Cc1ccccc1NS(=O)(=O)c1cnc(C)n1C ZINC001198399574 773916177 /nfs/dbraw/zinc/91/61/77/773916177.db2.gz GFWLJWVYXANJEM-UHFFFAOYSA-N 0 2 322.390 0.818 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cn(Cc2ccncc2)cn1 ZINC001199419256 774101888 /nfs/dbraw/zinc/10/18/88/774101888.db2.gz IUWCLOXAPAFEJH-GFCCVEGCSA-N 0 2 317.330 0.365 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(cc[nH]c2=O)c1 ZINC001219415279 774482647 /nfs/dbraw/zinc/48/26/47/774482647.db2.gz YIZPISWQAACQSN-UHFFFAOYSA-N 0 2 300.278 0.674 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ncccn2)C[C@@H]1O)C(F)C(F)(F)F ZINC001219667959 774576197 /nfs/dbraw/zinc/57/61/97/774576197.db2.gz OFWRLGMWVXXACT-KHQFGBGNSA-N 0 2 322.262 0.038 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ncccn2)C[C@@H]1O)[C@@H](F)C(F)(F)F ZINC001219667959 774576199 /nfs/dbraw/zinc/57/61/99/774576199.db2.gz OFWRLGMWVXXACT-KHQFGBGNSA-N 0 2 322.262 0.038 20 0 DCADLN CC/C=C\CC[N@H+]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](O)C1 ZINC001220852630 774935421 /nfs/dbraw/zinc/93/54/21/774935421.db2.gz PYVYUCIQSBDDDV-NWRQEBOHSA-N 0 2 306.410 0.778 20 0 DCADLN CC(C)[C@H](F)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110918709 775346244 /nfs/dbraw/zinc/34/62/44/775346244.db2.gz SNNZCFFTESWDSL-SVDPJWKOSA-N 0 2 311.361 0.726 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCc1ccsc1 ZINC001223339184 775483499 /nfs/dbraw/zinc/48/34/99/775483499.db2.gz SFRMFJNEHXOFNN-GFCCVEGCSA-N 0 2 321.420 0.853 20 0 DCADLN CN1CC[C@H]([NH+]2CCC(CNC(=O)Cc3c[nH]c[nH+]3)CC2)C1=O ZINC001224672217 775595102 /nfs/dbraw/zinc/59/51/02/775595102.db2.gz DZWQYNFAIODVBH-AWEZNQCLSA-N 0 2 319.409 0.011 20 0 DCADLN Cc1cncc(O[C@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])c1 ZINC001225479791 775684952 /nfs/dbraw/zinc/68/49/52/775684952.db2.gz AQXTYAHKANRGDD-CYBMUJFWSA-N 0 2 316.379 0.357 20 0 DCADLN CC[C@@H](O)C(=O)Oc1ncnc2[nH]nc(Br)c21 ZINC001226667045 775857147 /nfs/dbraw/zinc/85/71/47/775857147.db2.gz RBTOHRDNFSZSNM-SCSAIBSYSA-N 0 2 301.100 0.792 20 0 DCADLN O=c1nc2c(=O)[nH]c(=O)[nH]c2c(O[C@@H]2CCc3cccnc32)[nH]1 ZINC001226888827 775893854 /nfs/dbraw/zinc/89/38/54/775893854.db2.gz VPGMORXSMBTCEK-SSDOTTSWSA-N 0 2 313.273 0.998 20 0 DCADLN CCCCC(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041524732 777336004 /nfs/dbraw/zinc/33/60/04/777336004.db2.gz UPSQTSDNVYXFNQ-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CCCCC(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001041524732 777336008 /nfs/dbraw/zinc/33/60/08/777336008.db2.gz UPSQTSDNVYXFNQ-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CCOCCn1cc(Br)cc(-c2nn[nH]n2)c1=O ZINC001590817324 1167032067 /nfs/dbraw/zinc/03/20/67/1167032067.db2.gz PPSOPPPVJHUTNK-UHFFFAOYSA-N 0 2 314.143 0.827 20 0 DCADLN C[C@H](c1nnnn1C)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001480867198 939054662 /nfs/dbraw/zinc/05/46/62/939054662.db2.gz ZWSVQCDFHJRDCL-RQJHMYQMSA-N 0 2 312.271 0.220 20 0 DCADLN C[C@H](c1nnnn1C)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001480867198 939054665 /nfs/dbraw/zinc/05/46/65/939054665.db2.gz ZWSVQCDFHJRDCL-RQJHMYQMSA-N 0 2 312.271 0.220 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001480981738 939510360 /nfs/dbraw/zinc/51/03/60/939510360.db2.gz BVMNLUMAPHVXMQ-MRVPVSSYSA-N 0 2 303.313 0.494 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001480981738 939510361 /nfs/dbraw/zinc/51/03/61/939510361.db2.gz BVMNLUMAPHVXMQ-MRVPVSSYSA-N 0 2 303.313 0.494 20 0 DCADLN CCCNC(=O)CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001481625756 939979224 /nfs/dbraw/zinc/97/92/24/939979224.db2.gz AIVFNCRCBBICOY-JTQLQIEISA-N 0 2 313.295 0.461 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cnc(-c2ccccn2)s1 ZINC001318221036 945294152 /nfs/dbraw/zinc/29/41/52/945294152.db2.gz SEUQEVSAOLQBQV-UHFFFAOYSA-N 0 2 303.303 0.402 20 0 DCADLN CCN(C(=O)[C@H](F)C(C)C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481773052 940133373 /nfs/dbraw/zinc/13/33/73/940133373.db2.gz NXTZWCDSJUFNTM-CMPLNLGQSA-N 0 2 313.377 0.927 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2ncccn2)c1[O-] ZINC001268527803 940217927 /nfs/dbraw/zinc/21/79/27/940217927.db2.gz BIFMVTJXRPIASC-NSCUHMNNSA-N 0 2 302.338 0.290 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001482184315 940312217 /nfs/dbraw/zinc/31/22/17/940312217.db2.gz XQFRTVTUIQSFDO-LLMHMKPQSA-N 0 2 309.370 0.184 20 0 DCADLN CCC(C)(C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001482198229 940408768 /nfs/dbraw/zinc/40/87/68/940408768.db2.gz JJWUCXBVZDQFSM-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001269221657 940652368 /nfs/dbraw/zinc/65/23/68/940652368.db2.gz ASTIPBJPIVHRNC-DLRQAJBASA-N 0 2 302.338 0.242 20 0 DCADLN CCOCCC[NH+]1CCO[C@H](CNC(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001270629331 940947635 /nfs/dbraw/zinc/94/76/35/940947635.db2.gz OBKRDQRKXRBPAF-HUUCEWRRSA-N 0 2 313.442 0.324 20 0 DCADLN NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1csc2c1[nH]cnc2=O ZINC001270703384 940969747 /nfs/dbraw/zinc/96/97/47/940969747.db2.gz KTCKMGGMIBPLLH-DAZKTRTLSA-N 0 2 304.331 0.487 20 0 DCADLN CN1C[C@]2(CCN(C(=O)C=C(O)c3ccccc3)C2)OCC1=O ZINC001271008036 941239628 /nfs/dbraw/zinc/23/96/28/941239628.db2.gz HDKIAKZBIPGILT-KRWDZBQOSA-N 0 2 316.357 0.719 20 0 DCADLN O=C(CCc1cn[nH]c1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409061211 941301219 /nfs/dbraw/zinc/30/12/19/941301219.db2.gz DVEWWTAMPLPBNR-JTQLQIEISA-N 0 2 322.262 0.570 20 0 DCADLN O=C(CCc1cn[nH]c1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409061211 941301223 /nfs/dbraw/zinc/30/12/23/941301223.db2.gz DVEWWTAMPLPBNR-JTQLQIEISA-N 0 2 322.262 0.570 20 0 DCADLN COCCC1(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001482602113 941580424 /nfs/dbraw/zinc/58/04/24/941580424.db2.gz RPDAUQQCPSGBAT-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN COCCC1(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001482602113 941580427 /nfs/dbraw/zinc/58/04/27/941580427.db2.gz RPDAUQQCPSGBAT-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN CCc1nnc(C[NH2+]C/C=C\CNC(=O)[C@@H]2CCC[N@H+]2C)s1 ZINC001482990624 941760990 /nfs/dbraw/zinc/76/09/90/941760990.db2.gz FNQDFTLKMAIMLH-RXNFCKPNSA-N 0 2 323.466 0.957 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cn(C)nn1 ZINC001409565372 942057837 /nfs/dbraw/zinc/05/78/37/942057837.db2.gz HEUHMXHBEBDBRU-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1cn(C)nn1 ZINC001409565372 942057842 /nfs/dbraw/zinc/05/78/42/942057842.db2.gz HEUHMXHBEBDBRU-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN Cc1ccncc1CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409571204 942062122 /nfs/dbraw/zinc/06/21/22/942062122.db2.gz VNGVXKMCZXTEHT-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ccncc1CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409571204 942062124 /nfs/dbraw/zinc/06/21/24/942062124.db2.gz VNGVXKMCZXTEHT-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN CCc1c[nH]c(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001412886324 942124558 /nfs/dbraw/zinc/12/45/58/942124558.db2.gz QLDVNVKHGJSCER-VIFPVBQESA-N 0 2 304.354 0.745 20 0 DCADLN C[C@@H]1[C@H](CO)CCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412894309 942128154 /nfs/dbraw/zinc/12/81/54/942128154.db2.gz SWOKZSCDOPCOFV-KOLCDFICSA-N 0 2 318.333 0.109 20 0 DCADLN O=C(NC[C@H]1CCSC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412915419 942137468 /nfs/dbraw/zinc/13/74/68/942137468.db2.gz KVDDSCQJMRRDCL-SECBINFHSA-N 0 2 320.374 0.749 20 0 DCADLN CC[N@H+]1C[C@@H](NC(=O)c2ccn(-c3cc[nH+]cc3)n2)[C@@H](O)C1 ZINC001083633457 942287863 /nfs/dbraw/zinc/28/78/63/942287863.db2.gz YZSDWIYYAHIQQP-KGLIPLIRSA-N 0 2 301.350 0.062 20 0 DCADLN Cc1cc(NC[C@H](O)CNC(=O)[C@H]2CCC[N@@H+]2C)nc(C)[nH+]1 ZINC001105751266 942530970 /nfs/dbraw/zinc/53/09/70/942530970.db2.gz YXTFKWARLMTXBF-QWHCGFSZSA-N 0 2 307.398 0.077 20 0 DCADLN Cn1nc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c2ccccc21 ZINC000322684427 970919044 /nfs/dbraw/zinc/91/90/44/970919044.db2.gz IZNNKOONDUZRTJ-LLVKDONJSA-N 0 2 313.317 0.722 20 0 DCADLN Cn1nc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c2ccccc21 ZINC000322684427 970919048 /nfs/dbraw/zinc/91/90/48/970919048.db2.gz IZNNKOONDUZRTJ-LLVKDONJSA-N 0 2 313.317 0.722 20 0 DCADLN Cc1nonc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001483651164 942626345 /nfs/dbraw/zinc/62/63/45/942626345.db2.gz MJTUBRGSNWGSSV-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nonc1CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001483651164 942626349 /nfs/dbraw/zinc/62/63/49/942626349.db2.gz MJTUBRGSNWGSSV-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN CCCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C[NH+](C)C1CCC1 ZINC001483655814 942630343 /nfs/dbraw/zinc/63/03/43/942630343.db2.gz TWYPSTQDMCZUBD-DZGCQCFKSA-N 0 2 324.469 0.576 20 0 DCADLN CC[C@@](COC)(NS(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC001365412288 942783725 /nfs/dbraw/zinc/78/37/25/942783725.db2.gz FGBSGLIVQUKCNI-LBPRGKRZSA-N 0 2 320.367 0.371 20 0 DCADLN COCC[C@@H](CO)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001413355165 942920449 /nfs/dbraw/zinc/92/04/49/942920449.db2.gz MTKWGOALKINJIP-QMMMGPOBSA-N 0 2 324.786 0.881 20 0 DCADLN C[C@H](NC(=O)CCn1cccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409915942 943323533 /nfs/dbraw/zinc/32/35/33/943323533.db2.gz CCFYZCRZYOFZEG-NSHDSACASA-N 0 2 318.381 0.339 20 0 DCADLN CN(C(=O)c1cnon1)C1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001413588886 943505550 /nfs/dbraw/zinc/50/55/50/943505550.db2.gz QMZSRFAEBZCXKA-NPWHJSNTSA-N 0 2 324.234 0.689 20 0 DCADLN CN(C(=O)c1cnon1)C1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001413588886 943505555 /nfs/dbraw/zinc/50/55/55/943505555.db2.gz QMZSRFAEBZCXKA-NPWHJSNTSA-N 0 2 324.234 0.689 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491184861 943813299 /nfs/dbraw/zinc/81/32/99/943813299.db2.gz NSJHDXGXLRWDPJ-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491184861 943813305 /nfs/dbraw/zinc/81/33/05/943813305.db2.gz NSJHDXGXLRWDPJ-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN C[C@@H](NC(=O)C=Cc1ccc[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485503726 943971152 /nfs/dbraw/zinc/97/11/52/943971152.db2.gz KFIJQKAVRDUAQW-UMCURTJPSA-N 0 2 316.365 0.488 20 0 DCADLN CN(C[C@@H](O)C[N@H+](C)Cc1ccsc1)C(=O)Cc1ncn[nH]1 ZINC001486356813 944815740 /nfs/dbraw/zinc/81/57/40/944815740.db2.gz SSOXGOCNECISMK-LBPRGKRZSA-N 0 2 323.422 0.360 20 0 DCADLN CN(C[C@@H](O)C[N@@H+](C)Cc1ccsc1)C(=O)Cc1ncn[nH]1 ZINC001486356813 944815745 /nfs/dbraw/zinc/81/57/45/944815745.db2.gz SSOXGOCNECISMK-LBPRGKRZSA-N 0 2 323.422 0.360 20 0 DCADLN COC[C@@H](O)C[N@H+]1CC=C(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001486519139 944953558 /nfs/dbraw/zinc/95/35/58/944953558.db2.gz ILARNWDXUHGXBR-AWEZNQCLSA-N 0 2 322.409 0.016 20 0 DCADLN CCn1cnnc1CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001320514865 945907698 /nfs/dbraw/zinc/90/76/98/945907698.db2.gz BDSBBKVCJPPXMP-UHFFFAOYSA-N 0 2 314.353 0.394 20 0 DCADLN CC(C)CCn1nccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001320775298 946014056 /nfs/dbraw/zinc/01/40/56/946014056.db2.gz DRFSXMSDEHHNKL-UHFFFAOYSA-N 0 2 321.341 0.008 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2nc(C3CC3)nn2c1 ZINC001259020244 946894258 /nfs/dbraw/zinc/89/42/58/946894258.db2.gz LPTKBNJJDFSKQC-UHFFFAOYSA-N 0 2 310.335 0.521 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cccc2c1CN(C)C(=O)N2 ZINC001259028296 946901743 /nfs/dbraw/zinc/90/17/43/946901743.db2.gz GHYDXTJLQLZQSP-UHFFFAOYSA-N 0 2 313.335 0.579 20 0 DCADLN COC(=O)C1(NS(=O)(=O)CCc2ccccc2F)COC1 ZINC001259788633 946983871 /nfs/dbraw/zinc/98/38/71/946983871.db2.gz JZIVZLPDEJKJJR-UHFFFAOYSA-N 0 2 317.338 0.230 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cnc3c(cnn3C)c2)cn1 ZINC001259832581 946994841 /nfs/dbraw/zinc/99/48/41/946994841.db2.gz LQYVGIGSXBAGHW-UHFFFAOYSA-N 0 2 306.351 0.986 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001410891672 947091113 /nfs/dbraw/zinc/09/11/13/947091113.db2.gz JJVWVWCTZAFILB-GMSGAONNSA-N 0 2 314.279 0.981 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001410891672 947091120 /nfs/dbraw/zinc/09/11/20/947091120.db2.gz JJVWVWCTZAFILB-GMSGAONNSA-N 0 2 314.279 0.981 20 0 DCADLN CC(=O)N1CCc2cc(S(=O)(=O)Nc3ncn(C)n3)ccc21 ZINC001260791418 947148506 /nfs/dbraw/zinc/14/85/06/947148506.db2.gz NHZZNOHIITXDPX-UHFFFAOYSA-N 0 2 321.362 0.525 20 0 DCADLN CC(=O)N1CCc2cc(S(=O)(=O)Nc3nnco3)ccc21 ZINC001260791171 947148542 /nfs/dbraw/zinc/14/85/42/947148542.db2.gz FNQYFSHHLAWPOO-UHFFFAOYSA-N 0 2 308.319 0.779 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2c(C)n[nH]c2C)n(C)n1 ZINC001261024180 947167051 /nfs/dbraw/zinc/16/70/51/947167051.db2.gz QRTRJJNDAKEAPX-UHFFFAOYSA-N 0 2 313.339 0.347 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1ccc2c(c1)NC(=O)C2=O ZINC001261030430 947167177 /nfs/dbraw/zinc/16/71/77/947167177.db2.gz TXJMWZJZMZGWLZ-UHFFFAOYSA-N 0 2 320.330 0.962 20 0 DCADLN C/C(=C\C(=O)N1CCC[C@H](C)[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001602734906 971413768 /nfs/dbraw/zinc/41/37/68/971413768.db2.gz BHXFNRNZGGSUHW-PYHCZJRBSA-N 0 2 310.394 0.977 20 0 DCADLN CC1(C(=O)[O-])CCN(C(=O)C(=O)N2CC[NH+](C3CC3)CC2)CC1 ZINC001326501629 948181719 /nfs/dbraw/zinc/18/17/19/948181719.db2.gz HFCDFLOFKHTJOI-UHFFFAOYSA-N 0 2 323.393 0.006 20 0 DCADLN Cc1ncsc1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001570923094 948655025 /nfs/dbraw/zinc/65/50/25/948655025.db2.gz UKZOATFQOVGKOQ-UHFFFAOYSA-N 0 2 320.338 0.043 20 0 DCADLN Cc1occc1C(=O)NCC(=O)N[C@H](C)c1nn(C)cc1O ZINC001364397135 949189227 /nfs/dbraw/zinc/18/92/27/949189227.db2.gz JHNBKWYTWDYTIW-MRVPVSSYSA-N 0 2 306.322 0.634 20 0 DCADLN CS(C)(=O)=NC(=O)CN1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC001364406934 949208173 /nfs/dbraw/zinc/20/81/73/949208173.db2.gz HGLJJGUKXQKPFB-MRVPVSSYSA-N 0 2 302.356 0.209 20 0 DCADLN COC(=O)c1cnc([C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)s1 ZINC001364515726 949464449 /nfs/dbraw/zinc/46/44/49/949464449.db2.gz KIMOQPZUILXBNF-SSDOTTSWSA-N 0 2 309.351 0.743 20 0 DCADLN COC(=O)c1cnc([C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)s1 ZINC001364515726 949464458 /nfs/dbraw/zinc/46/44/58/949464458.db2.gz KIMOQPZUILXBNF-SSDOTTSWSA-N 0 2 309.351 0.743 20 0 DCADLN CCO[C@@H](C(=O)NCCc1n[nH]c(=S)o1)[C@@H]1CCOC1 ZINC001329421944 949575550 /nfs/dbraw/zinc/57/55/50/949575550.db2.gz DSNWWBNRJMDXLO-PSASIEDQSA-N 0 2 301.368 0.459 20 0 DCADLN COCCCCC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001489254002 949630086 /nfs/dbraw/zinc/63/00/86/949630086.db2.gz PVOUKBYNBRFQOD-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN COCCCCC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001489254002 949630101 /nfs/dbraw/zinc/63/01/01/949630101.db2.gz PVOUKBYNBRFQOD-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN O=C([C@H]1CCCc2[nH]ncc21)N1CCC(Cc2nn[nH]n2)CC1 ZINC001364668853 949728184 /nfs/dbraw/zinc/72/81/84/949728184.db2.gz MCJHYJSOFSUUCX-NSHDSACASA-N 0 2 315.381 0.824 20 0 DCADLN COCC(=O)N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001489826070 950131660 /nfs/dbraw/zinc/13/16/60/950131660.db2.gz YQKCWKNNZOKPJO-BKPPORCPSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)N[C@H]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001489826070 950131673 /nfs/dbraw/zinc/13/16/73/950131673.db2.gz YQKCWKNNZOKPJO-BKPPORCPSA-N 0 2 300.252 0.544 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)OC2CCCC2)[nH]1 ZINC001364945354 950236343 /nfs/dbraw/zinc/23/63/43/950236343.db2.gz AYMWDMJGPYJMJL-UHFFFAOYSA-N 0 2 302.356 0.518 20 0 DCADLN Cc1cc(C)c(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)[nH]1 ZINC001364998237 950324255 /nfs/dbraw/zinc/32/42/55/950324255.db2.gz RPUBHCUAJCLVFG-UHFFFAOYSA-N 0 2 311.367 0.224 20 0 DCADLN Cc1nn(C)c(C)c1OCC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001365119230 950552967 /nfs/dbraw/zinc/55/29/67/950552967.db2.gz ITZAJKZMKOGHIK-QMMMGPOBSA-N 0 2 307.354 0.732 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1nc2c(o1)CCCC2 ZINC001365159265 950634805 /nfs/dbraw/zinc/63/48/05/950634805.db2.gz ZNWKKWBIWMDJFS-UHFFFAOYSA-N 0 2 314.305 0.577 20 0 DCADLN Cc1noc([C@@H](NC(=O)C[C@@H]2SC(=N)NC2=O)C(C)C)n1 ZINC001365185834 950692662 /nfs/dbraw/zinc/69/26/62/950692662.db2.gz BLPJIEXCGGTTTR-CBAPKCEASA-N 0 2 311.367 0.748 20 0 DCADLN C[C@@H](Cn1cncn1)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365553241 951256121 /nfs/dbraw/zinc/25/61/21/951256121.db2.gz CEUKHPWCVIEKRF-VHSXEESVSA-N 0 2 320.357 0.080 20 0 DCADLN Cn1cncc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001365633446 951353798 /nfs/dbraw/zinc/35/37/98/951353798.db2.gz YLPCVKFBFLQSFT-JTQLQIEISA-N 0 2 308.279 0.965 20 0 DCADLN Cc1cc(C(N)=O)cc(S(=O)(=O)Nc2nnn(C(C)C)n2)c1 ZINC001365739105 951494090 /nfs/dbraw/zinc/49/40/90/951494090.db2.gz AURCMJBBRPZODA-UHFFFAOYSA-N 0 2 324.366 0.462 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@@H]2[N@@H+]1CC(=O)NCC(F)(F)F ZINC001604711928 1169660866 /nfs/dbraw/zinc/66/08/66/1169660866.db2.gz QFUXPSLFOOCUGW-KATARQTJSA-N 0 2 324.299 0.760 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@@H]2[N@H+]1CC(=O)NCC(F)(F)F ZINC001604711928 1169660871 /nfs/dbraw/zinc/66/08/71/1169660871.db2.gz QFUXPSLFOOCUGW-KATARQTJSA-N 0 2 324.299 0.760 20 0 DCADLN CCS(=O)(=O)[N-]c1ccccc1C(=O)N1CC2(CC[N@@H+]2C)C1 ZINC001274993978 951922736 /nfs/dbraw/zinc/92/27/36/951922736.db2.gz OEQFPSOJQPORAY-UHFFFAOYSA-N 0 2 323.418 0.978 20 0 DCADLN CCS(=O)(=O)[N-]c1ccccc1C(=O)N1CC2(CC[N@H+]2C)C1 ZINC001274993978 951922744 /nfs/dbraw/zinc/92/27/44/951922744.db2.gz OEQFPSOJQPORAY-UHFFFAOYSA-N 0 2 323.418 0.978 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](C[C@@H]3CCCOC3)C2=O)[nH]1 ZINC001333584218 952109108 /nfs/dbraw/zinc/10/91/08/952109108.db2.gz XGXPHZCKBPHMAK-VHSXEESVSA-N 0 2 323.353 0.188 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccnnc1 ZINC001411573236 952285058 /nfs/dbraw/zinc/28/50/58/952285058.db2.gz QQYGJOFQULQUAA-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ccnnc1 ZINC001411573236 952285062 /nfs/dbraw/zinc/28/50/62/952285062.db2.gz QQYGJOFQULQUAA-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN CSc1nc(NC(=O)Cc2cc(=O)n(CC(C)C)[nH]2)n[nH]1 ZINC001411704388 952396165 /nfs/dbraw/zinc/39/61/65/952396165.db2.gz DKBHIKLUEKDASO-UHFFFAOYSA-N 0 2 310.383 0.854 20 0 DCADLN O=C(c1ccc(Cl)cc1O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001412057897 952624556 /nfs/dbraw/zinc/62/45/56/952624556.db2.gz PZTGAZUUZFDEML-UHFFFAOYSA-N 0 2 323.740 0.683 20 0 DCADLN COc1nccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001412070148 952631187 /nfs/dbraw/zinc/63/11/87/952631187.db2.gz WKWYLDWTBSOXCS-MRVPVSSYSA-N 0 2 304.310 0.329 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@@H]1CCCOC1 ZINC001412277053 952729684 /nfs/dbraw/zinc/72/96/84/952729684.db2.gz YSODHQVYOMMCKW-ZYHUDNBSSA-N 0 2 319.365 0.393 20 0 DCADLN CONC(=O)CNc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC001336725326 952757782 /nfs/dbraw/zinc/75/77/82/952757782.db2.gz FKUDOBCTOKLWNA-UHFFFAOYSA-N 0 2 301.368 0.463 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(C)Cc3cnccn3)n2C)c1=O ZINC001338645298 953039662 /nfs/dbraw/zinc/03/96/62/953039662.db2.gz NXFAARCMGNHCTC-UHFFFAOYSA-N 0 2 314.353 0.831 20 0 DCADLN CC[C@H](SC)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001365942064 953439440 /nfs/dbraw/zinc/43/94/40/953439440.db2.gz JGGDGERPVWHICE-UWVGGRQHSA-N 0 2 313.427 0.733 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)C1 ZINC001594758202 953482295 /nfs/dbraw/zinc/48/22/95/953482295.db2.gz JRYODWZYOMMWSP-NWDGAFQWSA-N 0 2 312.366 0.052 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)NCC[N@H+]2CCC3(C2)OCCO3)C1 ZINC001594758202 953482305 /nfs/dbraw/zinc/48/23/05/953482305.db2.gz JRYODWZYOMMWSP-NWDGAFQWSA-N 0 2 312.366 0.052 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001589290779 953599821 /nfs/dbraw/zinc/59/98/21/953599821.db2.gz ZDTJEJIEGKLLLC-FQUUOJAGSA-N 0 2 310.394 0.809 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)[C@@]2(C(=O)[O-])CCCO2)n1 ZINC001593704367 954147587 /nfs/dbraw/zinc/14/75/87/954147587.db2.gz SPXQCYBIQMFMOJ-CYBMUJFWSA-N 0 2 313.379 0.455 20 0 DCADLN O=C([O-])[C@]1(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)CC=CCC1 ZINC001594860907 954274807 /nfs/dbraw/zinc/27/48/07/954274807.db2.gz FVXQDSNOYDFSOH-OAHLLOKOSA-N 0 2 324.377 0.363 20 0 DCADLN O=C([O-])[C@]1(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)CC=CCC1 ZINC001594860907 954274828 /nfs/dbraw/zinc/27/48/28/954274828.db2.gz FVXQDSNOYDFSOH-OAHLLOKOSA-N 0 2 324.377 0.363 20 0 DCADLN COc1ccc(C[N@H+](C)CCNC(=O)[C@H](C(C)C)[NH+](C)C)nn1 ZINC001366542390 954383853 /nfs/dbraw/zinc/38/38/53/954383853.db2.gz XNZAAASVUFPFHP-HNNXBMFYSA-N 0 2 323.441 0.619 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])CCO1 ZINC001589398990 954394053 /nfs/dbraw/zinc/39/40/53/954394053.db2.gz BEIOPXCOEDHKMC-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])CCO1 ZINC001589398990 954394065 /nfs/dbraw/zinc/39/40/65/954394065.db2.gz BEIOPXCOEDHKMC-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN C[N@H+](CCNC(=O)c1ccnc(C2CC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001366570791 954439099 /nfs/dbraw/zinc/43/90/99/954439099.db2.gz LKBVWYUMRVCYDU-UHFFFAOYSA-N 0 2 317.353 0.040 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccnc(C2CC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001366570791 954439103 /nfs/dbraw/zinc/43/91/03/954439103.db2.gz LKBVWYUMRVCYDU-UHFFFAOYSA-N 0 2 317.353 0.040 20 0 DCADLN O=C([O-])C1(NS(=O)(=O)CCn2cc[nH+]c2)CCCCCC1 ZINC001594883379 954447372 /nfs/dbraw/zinc/44/73/72/954447372.db2.gz PIDHPZAPFIZTKH-UHFFFAOYSA-N 0 2 315.395 0.980 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1ccn(C)n1 ZINC001366661683 954605420 /nfs/dbraw/zinc/60/54/20/954605420.db2.gz AWLCTTCOHQPWLS-HNNXBMFYSA-N 0 2 307.442 0.843 20 0 DCADLN CCC[N@H+](CCNC(=O)[C@@H]1CCC[NH+]1C)Cc1cnnn1CC ZINC001366709773 954691794 /nfs/dbraw/zinc/69/17/94/954691794.db2.gz VLNFOLBHEZAUJN-HNNXBMFYSA-N 0 2 322.457 0.720 20 0 DCADLN O=C(Cc1ccsc1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366734068 954738839 /nfs/dbraw/zinc/73/88/39/954738839.db2.gz KLBYMAOUBUFPSB-UHFFFAOYSA-N 0 2 321.406 0.895 20 0 DCADLN O=C(Cc1ccsc1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366734068 954738853 /nfs/dbraw/zinc/73/88/53/954738853.db2.gz KLBYMAOUBUFPSB-UHFFFAOYSA-N 0 2 321.406 0.895 20 0 DCADLN CNC(=O)Cc1nc(C[N@H+](CCOC)CCC(=O)[O-])cs1 ZINC001593793704 954787022 /nfs/dbraw/zinc/78/70/22/954787022.db2.gz UVKHUMCSGWPFON-UHFFFAOYSA-N 0 2 315.395 0.355 20 0 DCADLN CNC(=O)Cc1nc(C[N@@H+](CCOC)CCC(=O)[O-])cs1 ZINC001593793704 954787041 /nfs/dbraw/zinc/78/70/41/954787041.db2.gz UVKHUMCSGWPFON-UHFFFAOYSA-N 0 2 315.395 0.355 20 0 DCADLN COC(=O)[C@@H](C)Oc1ccccc1C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC001593805512 954897058 /nfs/dbraw/zinc/89/70/58/954897058.db2.gz NANWLNCNHDRZTD-BXUZGUMPSA-N 0 2 323.345 0.912 20 0 DCADLN COC(=O)[C@@H](C)Oc1ccccc1C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC001593805512 954897068 /nfs/dbraw/zinc/89/70/68/954897068.db2.gz NANWLNCNHDRZTD-BXUZGUMPSA-N 0 2 323.345 0.912 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001593810511 954932393 /nfs/dbraw/zinc/93/23/93/954932393.db2.gz IUZRTZDNVCJFQR-VWYCJHECSA-N 0 2 309.322 0.042 20 0 DCADLN O=C(NCCCNC(=O)[C@@]1(F)CCOC1)C(F)C(F)(F)F ZINC001350152765 955000865 /nfs/dbraw/zinc/00/08/65/955000865.db2.gz YIDLRDXMIKSPBS-GMSGAONNSA-N 0 2 318.242 0.638 20 0 DCADLN O=C(NCCCNC(=O)[C@@]1(F)CCOC1)[C@@H](F)C(F)(F)F ZINC001350152765 955000874 /nfs/dbraw/zinc/00/08/74/955000874.db2.gz YIDLRDXMIKSPBS-GMSGAONNSA-N 0 2 318.242 0.638 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@H](OC2CCC2)C1 ZINC000709333863 955009302 /nfs/dbraw/zinc/00/93/02/955009302.db2.gz KPCRKPGLQKTEHT-LBPRGKRZSA-N 0 2 305.396 0.519 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@H](OC2CCC2)C1 ZINC000709333863 955009312 /nfs/dbraw/zinc/00/93/12/955009312.db2.gz KPCRKPGLQKTEHT-LBPRGKRZSA-N 0 2 305.396 0.519 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1c2nncn2ccc1C ZINC001350635331 955152095 /nfs/dbraw/zinc/15/20/95/955152095.db2.gz BBZCFHVZADREPD-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN CN(CCC(=O)[O-])C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000722405735 955196306 /nfs/dbraw/zinc/19/63/06/955196306.db2.gz AAFYLGMSNWWAIA-UHFFFAOYSA-N 0 2 300.384 0.821 20 0 DCADLN CCN(CCCNC(=O)[C@H]1CCC[N@@H+]1C)C(=O)Cn1cc[nH+]c1 ZINC001352282639 955567487 /nfs/dbraw/zinc/56/74/87/955567487.db2.gz FFBPNRGOPRUIOC-CQSZACIVSA-N 0 2 321.425 0.332 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001593864174 955569253 /nfs/dbraw/zinc/56/92/53/955569253.db2.gz ZIEWDKSVVMQIDR-YWVKMMECSA-N 0 2 309.322 0.111 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001593864174 955569261 /nfs/dbraw/zinc/56/92/61/955569261.db2.gz ZIEWDKSVVMQIDR-YWVKMMECSA-N 0 2 309.322 0.111 20 0 DCADLN Cn1cc([C@H]2C[C@H](C(=O)[O-])CN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001594541527 955655158 /nfs/dbraw/zinc/65/51/58/955655158.db2.gz ZWRQFINQKXPIAQ-QWRGUYRKSA-N 0 2 317.349 0.403 20 0 DCADLN Cn1cnn(C[N@@H+]2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)c1=O ZINC001594558551 955786894 /nfs/dbraw/zinc/78/68/94/955786894.db2.gz KSLRZJXDTVECOH-OLZOCXBDSA-N 0 2 302.334 0.340 20 0 DCADLN Cn1cnn(C[N@H+]2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)c1=O ZINC001594558551 955786905 /nfs/dbraw/zinc/78/69/05/955786905.db2.gz KSLRZJXDTVECOH-OLZOCXBDSA-N 0 2 302.334 0.340 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594558633 955789244 /nfs/dbraw/zinc/78/92/44/955789244.db2.gz QHVDRNGTHCNEJY-RYCVTPFZSA-N 0 2 321.377 0.881 20 0 DCADLN C[C@H](NC(=O)Nc1ccnc(C(=O)[O-])c1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001589108062 956039563 /nfs/dbraw/zinc/03/95/63/956039563.db2.gz CWVXEFNBWBNTBM-GWCFXTLKSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@H](NC(=O)Nc1ccnc(C(=O)[O-])c1)[C@@H]1CN(C)CC[N@H+]1C ZINC001589108062 956039565 /nfs/dbraw/zinc/03/95/65/956039565.db2.gz CWVXEFNBWBNTBM-GWCFXTLKSA-N 0 2 321.381 0.536 20 0 DCADLN CCCC(=O)Nc1cccc(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001472099419 956232775 /nfs/dbraw/zinc/23/27/75/956232775.db2.gz XHQKSWIWQDLPSR-UHFFFAOYSA-N 0 2 324.366 0.750 20 0 DCADLN C/C(=C\C(=O)N[C@H](CC(=O)[O-])C(F)(F)F)C[NH+]1CCOCC1 ZINC001354071370 956274645 /nfs/dbraw/zinc/27/46/45/956274645.db2.gz KDHZYBXPEBCNMS-OLKPEBQYSA-N 0 2 324.299 0.787 20 0 DCADLN Cn1c[nH+]cc1CNc1ccc(S(N)(=O)=O)cc1C(=O)[O-] ZINC000388568207 972178717 /nfs/dbraw/zinc/17/87/17/972178717.db2.gz XDTSFPRYFSHYOD-UHFFFAOYSA-N 0 2 310.335 0.378 20 0 DCADLN C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001589263206 957060568 /nfs/dbraw/zinc/06/05/68/957060568.db2.gz YVPRODGRHTZRHU-JGVFFNPUSA-N 0 2 303.302 0.759 20 0 DCADLN C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001589263206 957060582 /nfs/dbraw/zinc/06/05/82/957060582.db2.gz YVPRODGRHTZRHU-JGVFFNPUSA-N 0 2 303.302 0.759 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCOCC1)C(F)C(F)(F)F ZINC001292684873 957108473 /nfs/dbraw/zinc/10/84/73/957108473.db2.gz FVSJGWCVEIMBJV-DTWKUNHWSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCNC(=O)[C@H]1CCCOCC1)[C@@H](F)C(F)(F)F ZINC001292684873 957108479 /nfs/dbraw/zinc/10/84/79/957108479.db2.gz FVSJGWCVEIMBJV-DTWKUNHWSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1n[nH]cc1F ZINC001292843736 957171310 /nfs/dbraw/zinc/17/13/10/957171310.db2.gz KTGYJBJJLUSNBS-ZCFIWIBFSA-N 0 2 300.187 0.295 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1n[nH]cc1F ZINC001292843736 957171314 /nfs/dbraw/zinc/17/13/14/957171314.db2.gz KTGYJBJJLUSNBS-ZCFIWIBFSA-N 0 2 300.187 0.295 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001357388790 957268048 /nfs/dbraw/zinc/26/80/48/957268048.db2.gz RCCSPSCBDZGQFG-UFVYQPJESA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001357388790 957268056 /nfs/dbraw/zinc/26/80/56/957268056.db2.gz RCCSPSCBDZGQFG-UFVYQPJESA-N 0 2 314.279 0.836 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCOC1)C(F)C(F)(F)F ZINC001293188802 957304283 /nfs/dbraw/zinc/30/42/83/957304283.db2.gz KWCWYYDWWPFSMV-HTQZYQBOSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCOC1)[C@@H](F)C(F)(F)F ZINC001293188802 957304289 /nfs/dbraw/zinc/30/42/89/957304289.db2.gz KWCWYYDWWPFSMV-HTQZYQBOSA-N 0 2 300.252 0.546 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)C1(CC(=O)[O-])CCOCC1 ZINC001603158657 972257939 /nfs/dbraw/zinc/25/79/39/972257939.db2.gz CMCWLXHDUPREMI-LBPRGKRZSA-N 0 2 314.382 0.095 20 0 DCADLN COc1ccc(OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1F ZINC001358104271 957425474 /nfs/dbraw/zinc/42/54/74/957425474.db2.gz MVDPRUVJWKQTSP-UHFFFAOYSA-N 0 2 318.264 0.825 20 0 DCADLN COc1cc(C)[nH+]c(Cn2cc(CN(C)CC(=O)[O-])nn2)c1 ZINC001594057282 958046204 /nfs/dbraw/zinc/04/62/04/958046204.db2.gz ABTQEKGJUUJXSF-UHFFFAOYSA-N 0 2 305.338 0.555 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC(=O)C[C@@H]1C1CC1 ZINC001361522167 958049689 /nfs/dbraw/zinc/04/96/89/958049689.db2.gz PJPBGBHUJDPFBZ-GFCCVEGCSA-N 0 2 315.333 0.041 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCOC12CCCC2 ZINC001361558451 958083392 /nfs/dbraw/zinc/08/33/92/958083392.db2.gz CLNWDAHFNBIINQ-LLVKDONJSA-N 0 2 317.349 0.289 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1CCOC12CCCC2 ZINC001361558453 958084655 /nfs/dbraw/zinc/08/46/55/958084655.db2.gz CLNWDAHFNBIINQ-NSHDSACASA-N 0 2 317.349 0.289 20 0 DCADLN O=C(c1ccn2nccc2n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001297019231 958094453 /nfs/dbraw/zinc/09/44/53/958094453.db2.gz IKERZZISDSEKCW-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN Cc1ccncc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001361799160 958345294 /nfs/dbraw/zinc/34/52/94/958345294.db2.gz PGMXQMWPZYPSRC-UHFFFAOYSA-N 0 2 307.331 0.995 20 0 DCADLN CC1(C)CC[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)OC1 ZINC001361875862 958435157 /nfs/dbraw/zinc/43/51/57/958435157.db2.gz VPGFRFJYKONLJY-SNVBAGLBSA-N 0 2 319.365 0.393 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCCOCC1 ZINC001361972928 958559563 /nfs/dbraw/zinc/55/95/63/958559563.db2.gz HZORNYSPFDJHGJ-UHFFFAOYSA-N 0 2 304.306 0.129 20 0 DCADLN COc1cccc([C@H]2CN(C(=O)[C@H](OC)C(=O)[O-])CC[NH2+]2)c1 ZINC001594125436 958635316 /nfs/dbraw/zinc/63/53/16/958635316.db2.gz VMYHZRRVHVJMPL-OLZOCXBDSA-N 0 2 308.334 0.268 20 0 DCADLN CN(C)c1[nH+]cnc2c1cc(C(=O)N1CC[NH2+]C(C)(C)C1)n2C ZINC001362062393 958674525 /nfs/dbraw/zinc/67/45/25/958674525.db2.gz IJKVZZVQRDGXDN-UHFFFAOYSA-N 0 2 316.409 0.858 20 0 DCADLN CS(=O)(=O)[C@@H]1CC[N@H+](CCOc2ccccc2C(=O)[O-])C1 ZINC001594164155 958934947 /nfs/dbraw/zinc/93/49/47/958934947.db2.gz MABXBQZJKWJTEI-LLVKDONJSA-N 0 2 313.375 0.883 20 0 DCADLN CS(=O)(=O)[C@@H]1CC[N@@H+](CCOc2ccccc2C(=O)[O-])C1 ZINC001594164155 958934955 /nfs/dbraw/zinc/93/49/55/958934955.db2.gz MABXBQZJKWJTEI-LLVKDONJSA-N 0 2 313.375 0.883 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1)n1cc[nH+]c1 ZINC001588554021 959043818 /nfs/dbraw/zinc/04/38/18/959043818.db2.gz KMPCGCJTHXWEKO-AKUZOMFGSA-N 0 2 307.350 0.973 20 0 DCADLN CCc1nn(C)cc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001362426652 959332706 /nfs/dbraw/zinc/33/27/06/959332706.db2.gz SNJVCWWQBMDQMA-UHFFFAOYSA-N 0 2 324.362 0.588 20 0 DCADLN CC1(C)OC(=O)c2c1cccc2C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362427218 959336639 /nfs/dbraw/zinc/33/66/39/959336639.db2.gz SHMKZHYQQZDEJV-UHFFFAOYSA-N 0 2 302.290 0.846 20 0 DCADLN CC[C@H](O)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362431055 959339216 /nfs/dbraw/zinc/33/92/16/959339216.db2.gz VNGDVIIPKJTGOW-NSHDSACASA-N 0 2 306.322 0.157 20 0 DCADLN Cn1ncnc1CN1CC[C@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001368151283 959341204 /nfs/dbraw/zinc/34/12/04/959341204.db2.gz IURIKABIPSPPAU-ONGXEEELSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CC[C@](C)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001368151283 959341222 /nfs/dbraw/zinc/34/12/22/959341222.db2.gz IURIKABIPSPPAU-ONGXEEELSA-N 0 2 323.294 0.796 20 0 DCADLN CS(=O)(=O)N1CC[NH+](Cc2ccccc2CC(=O)[O-])CC1 ZINC000167731608 959358262 /nfs/dbraw/zinc/35/82/62/959358262.db2.gz KVKMGNOEWLGKSQ-UHFFFAOYSA-N 0 2 312.391 0.391 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H]1C[C@H](C)Cc2cn[nH]c21)c1nn[nH]n1 ZINC001362449515 959377925 /nfs/dbraw/zinc/37/79/25/959377925.db2.gz CBMZSNPDUMWCMJ-FXPVBKGRSA-N 0 2 303.370 0.851 20 0 DCADLN Cc1nc([C@@H]2CCC[N@@H+](Cc3c(C(=O)[O-])cnn3C)C2)n[nH]1 ZINC001594452242 959388427 /nfs/dbraw/zinc/38/84/27/959388427.db2.gz ZEDAKOIXXLVDHB-SNVBAGLBSA-N 0 2 304.354 0.924 20 0 DCADLN Cc1nc([C@@H]2CCC[N@H+](Cc3c(C(=O)[O-])cnn3C)C2)n[nH]1 ZINC001594452242 959388441 /nfs/dbraw/zinc/38/84/41/959388441.db2.gz ZEDAKOIXXLVDHB-SNVBAGLBSA-N 0 2 304.354 0.924 20 0 DCADLN Cc1nc(C[N@H+]2C[C@H](C(=O)[O-])C[C@H](c3cnn(C)c3)C2)no1 ZINC001594452614 959392726 /nfs/dbraw/zinc/39/27/26/959392726.db2.gz TVJIPEPGUQBOKG-WDEREUQCSA-N 0 2 305.338 0.802 20 0 DCADLN Cc1nc(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](c3cnn(C)c3)C2)no1 ZINC001594452614 959392743 /nfs/dbraw/zinc/39/27/43/959392743.db2.gz TVJIPEPGUQBOKG-WDEREUQCSA-N 0 2 305.338 0.802 20 0 DCADLN Cc1nc(N2CC[NH+](CC[S@](C)=O)CC2)sc1C(=O)[O-] ZINC001594456994 959426688 /nfs/dbraw/zinc/42/66/88/959426688.db2.gz TUOSBFJAQFITJL-FQEVSTJZSA-N 0 2 317.436 0.650 20 0 DCADLN O=C(CN1CCOC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001362520328 959515305 /nfs/dbraw/zinc/51/53/05/959515305.db2.gz OMKJQOZOBJOTCU-UHFFFAOYSA-N 0 2 303.278 0.568 20 0 DCADLN CSc1cccnc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001362640351 959751111 /nfs/dbraw/zinc/75/11/11/959751111.db2.gz FIRNKUVSBBRLMS-UHFFFAOYSA-N 0 2 316.346 0.827 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(NCCOCC(=O)[O-])[nH+]cn2)C1 ZINC001603266472 972460396 /nfs/dbraw/zinc/46/03/96/972460396.db2.gz WYURGDLQMQRTKJ-MNOVXSKESA-N 0 2 310.354 0.197 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(NCCOCC(=O)[O-])nc[nH+]2)C1 ZINC001603266472 972460402 /nfs/dbraw/zinc/46/04/02/972460402.db2.gz WYURGDLQMQRTKJ-MNOVXSKESA-N 0 2 310.354 0.197 20 0 DCADLN Cc1cccc(OCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001377269220 959831981 /nfs/dbraw/zinc/83/19/81/959831981.db2.gz ZDFFHGPZVLXMNR-UHFFFAOYSA-N 0 2 319.365 0.446 20 0 DCADLN Cc1cccc(OCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001377269220 959831990 /nfs/dbraw/zinc/83/19/90/959831990.db2.gz ZDFFHGPZVLXMNR-UHFFFAOYSA-N 0 2 319.365 0.446 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCC1=O ZINC001362688604 959833486 /nfs/dbraw/zinc/83/34/86/959833486.db2.gz PEEPKPHELFZJRL-VIFPVBQESA-N 0 2 316.317 0.317 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCCN(C)C(=O)C(F)C(F)(F)F ZINC001492340813 959849975 /nfs/dbraw/zinc/84/99/75/959849975.db2.gz WCVHUOKKZIWUFC-RKDXNWHRSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001492340813 959849987 /nfs/dbraw/zinc/84/99/87/959849987.db2.gz WCVHUOKKZIWUFC-RKDXNWHRSA-N 0 2 316.295 0.868 20 0 DCADLN CN(CCNC(=O)C[C@@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001495926233 959873382 /nfs/dbraw/zinc/87/33/82/959873382.db2.gz KZTTXYCNJQQZNY-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)C[C@@H]1CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001495926233 959873405 /nfs/dbraw/zinc/87/34/05/959873405.db2.gz KZTTXYCNJQQZNY-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H](C)Cc1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001377352822 959965417 /nfs/dbraw/zinc/96/54/17/959965417.db2.gz XRBJHUDDVWTCGW-NSHDSACASA-N 0 2 321.381 0.920 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H](C)Cc1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001377352822 959965428 /nfs/dbraw/zinc/96/54/28/959965428.db2.gz XRBJHUDDVWTCGW-NSHDSACASA-N 0 2 321.381 0.920 20 0 DCADLN C[C@](O)(CC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377379140 959997772 /nfs/dbraw/zinc/99/77/72/959997772.db2.gz GYUOXFXQMLHZCK-ABAIWWIYSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@](O)(CC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377379140 959997786 /nfs/dbraw/zinc/99/77/86/959997786.db2.gz GYUOXFXQMLHZCK-ABAIWWIYSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@H]1CC[C@H](O)CN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362819000 960038378 /nfs/dbraw/zinc/03/83/78/960038378.db2.gz MODCLUJOBXOEEL-SKDRFNHKSA-N 0 2 318.333 0.252 20 0 DCADLN CN(C[C@H](O)CNC(=O)OC(C)(C)C)C(=O)Cc1c[nH+]c[nH]1 ZINC001362869772 960112554 /nfs/dbraw/zinc/11/25/54/960112554.db2.gz PEUSBVUMUJJXCA-LLVKDONJSA-N 0 2 312.370 0.296 20 0 DCADLN O=C(COC1CCCC1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377519519 960219226 /nfs/dbraw/zinc/21/92/26/960219226.db2.gz VOSUQPUMMRLIDG-UHFFFAOYSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(COC1CCCC1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377519519 960219235 /nfs/dbraw/zinc/21/92/35/960219235.db2.gz VOSUQPUMMRLIDG-UHFFFAOYSA-N 0 2 323.397 0.550 20 0 DCADLN Cn1cc(Cl)c(CNC(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC001362985149 960257261 /nfs/dbraw/zinc/25/72/61/960257261.db2.gz RCBXLTVEJZVGRC-SSDOTTSWSA-N 0 2 301.759 0.246 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@@H](CO)c1ncc[nH]1 ZINC001362991351 960267730 /nfs/dbraw/zinc/26/77/30/960267730.db2.gz NBNYNJRKYWVDJU-LURJTMIESA-N 0 2 308.260 0.016 20 0 DCADLN O=C(NCCS(=O)(=O)C1CCOCC1)C(F)C(F)(F)F ZINC001363267669 960742027 /nfs/dbraw/zinc/74/20/27/960742027.db2.gz JNJUYFBWWRLPQN-MRVPVSSYSA-N 0 2 321.292 0.597 20 0 DCADLN O=C(NCCS(=O)(=O)C1CCOCC1)[C@@H](F)C(F)(F)F ZINC001363267669 960742047 /nfs/dbraw/zinc/74/20/47/960742047.db2.gz JNJUYFBWWRLPQN-MRVPVSSYSA-N 0 2 321.292 0.597 20 0 DCADLN CN1CCC[C@@H](N2CC(NC(=O)C(F)C(F)(F)F)C2)C1=O ZINC001377893211 960918459 /nfs/dbraw/zinc/91/84/59/960918459.db2.gz ZANULFLOKDVBBZ-BDAKNGLRSA-N 0 2 311.279 0.308 20 0 DCADLN CN1CCC[C@@H](N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)C1=O ZINC001377893211 960918479 /nfs/dbraw/zinc/91/84/79/960918479.db2.gz ZANULFLOKDVBBZ-BDAKNGLRSA-N 0 2 311.279 0.308 20 0 DCADLN CCn1nncc1C[NH+]1CC([C@H](C)NC(=O)C[N@H+](C)C(C)C)C1 ZINC001378592222 961090707 /nfs/dbraw/zinc/09/07/07/961090707.db2.gz YMMVDTIKLFBUCK-ZDUSSCGKSA-N 0 2 322.457 0.575 20 0 DCADLN NS(=O)(=O)C1(CNC(=O)c2cccc(Cl)c2O)CCC1 ZINC001363438309 961155447 /nfs/dbraw/zinc/15/54/47/961155447.db2.gz SKWSWYYWNQOOLD-UHFFFAOYSA-N 0 2 318.782 0.987 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CS1 ZINC001363443310 961163752 /nfs/dbraw/zinc/16/37/52/961163752.db2.gz DYJBFXRMWRHUNA-SCZZXKLOSA-N 0 2 320.374 0.890 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1ccc(C(F)(F)F)[nH]c1=O ZINC001363483589 961250690 /nfs/dbraw/zinc/25/06/90/961250690.db2.gz NBWPKVAVJLNWKS-UHFFFAOYSA-N 0 2 317.227 0.346 20 0 DCADLN CC(C)(O)[C@@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001363563976 961453053 /nfs/dbraw/zinc/45/30/53/961453053.db2.gz DEYAEKXEVBSOJY-SNVBAGLBSA-N 0 2 319.365 0.081 20 0 DCADLN C[C@@H](C[NH2+]Cc1csnn1)N(C)C(=O)[C@H]1CCCC[N@H+]1C ZINC001378781507 961465987 /nfs/dbraw/zinc/46/59/87/961465987.db2.gz BZDWLVAPNKIJOB-WCQYABFASA-N 0 2 311.455 0.959 20 0 DCADLN C[C@@H](C[NH2+]Cc1csnn1)N(C)C(=O)[C@@H]1CCCC[N@H+]1C ZINC001378781484 961467418 /nfs/dbraw/zinc/46/74/18/961467418.db2.gz BZDWLVAPNKIJOB-AAEUAGOBSA-N 0 2 311.455 0.959 20 0 DCADLN CO[C@@H](C)CCC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378158506 961551381 /nfs/dbraw/zinc/55/13/81/961551381.db2.gz YCQLFHNVIHHVTB-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN CO[C@@H](C)CCC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378158506 961551391 /nfs/dbraw/zinc/55/13/91/961551391.db2.gz YCQLFHNVIHHVTB-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2NC(=O)CC23CCCCCC3)S1 ZINC001363638355 961601413 /nfs/dbraw/zinc/60/14/13/961601413.db2.gz BNPHBMQOKFMHHG-VIFPVBQESA-N 0 2 324.406 0.899 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2NC(=O)CC23CCCCCC3)S1 ZINC001363638355 961601423 /nfs/dbraw/zinc/60/14/23/961601423.db2.gz BNPHBMQOKFMHHG-VIFPVBQESA-N 0 2 324.406 0.899 20 0 DCADLN CN(C(=O)[C@H]1C[C@@H]1C(=O)[O-])C1C[NH+](CCOc2ccccc2)C1 ZINC001571137374 961611228 /nfs/dbraw/zinc/61/12/28/961611228.db2.gz DGTIDSAGVRAJJI-GJZGRUSLSA-N 0 2 318.373 0.929 20 0 DCADLN Cc1ncncc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001363650262 961627806 /nfs/dbraw/zinc/62/78/06/961627806.db2.gz HHQMQOONIBVAGW-UHFFFAOYSA-N 0 2 303.322 0.609 20 0 DCADLN COCCC(C)(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363670335 961664707 /nfs/dbraw/zinc/66/47/07/961664707.db2.gz CQWOZBXSAJLRPP-UHFFFAOYSA-N 0 2 318.399 0.028 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2cccc(F)c2)[nH]1 ZINC001363671206 961667280 /nfs/dbraw/zinc/66/72/80/961667280.db2.gz IOTBYOBUVAIPOU-UHFFFAOYSA-N 0 2 312.326 0.418 20 0 DCADLN Cc1cc(C(=O)[O-])nc(NC2CC[NH+]([C@@H]3CCOC3=O)CC2)n1 ZINC001571145813 961742039 /nfs/dbraw/zinc/74/20/39/961742039.db2.gz KWQZMOMAIKJWLY-GFCCVEGCSA-N 0 2 320.349 0.675 20 0 DCADLN C/C(=C/C(=O)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1)C[NH+]1CCOCC1 ZINC001334199510 961777784 /nfs/dbraw/zinc/77/77/84/961777784.db2.gz VECZKAJMODMDIJ-USJBSMOHSA-N 0 2 322.405 0.834 20 0 DCADLN CC(C)c1cc(NCc2nnc(CS(C)(=O)=O)[nH]2)ncn1 ZINC001363758131 961819307 /nfs/dbraw/zinc/81/93/07/961819307.db2.gz FGHLMRTZTBCZLG-UHFFFAOYSA-N 0 2 310.383 0.875 20 0 DCADLN CC(=O)N[C@@H](C(=O)N[C@H](C)c1nn(C)cc1O)C1CCCC1 ZINC001363828834 961975242 /nfs/dbraw/zinc/97/52/42/961975242.db2.gz IFYGMSRLRNCEID-YMTOWFKASA-N 0 2 308.382 0.998 20 0 DCADLN C[C@@H](NC(=O)c1coc2c1C(=O)NCCC2)c1nn(C)cc1O ZINC001363830034 961977960 /nfs/dbraw/zinc/97/79/60/961977960.db2.gz CAUMPSGATRUDQI-MRVPVSSYSA-N 0 2 318.333 0.886 20 0 DCADLN CSCC[C@H](NC(N)=O)C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001363840833 962000377 /nfs/dbraw/zinc/00/03/77/962000377.db2.gz QEGUFOBUNPPGOR-YUMQZZPRSA-N 0 2 315.399 0.093 20 0 DCADLN COc1cnc([C@H]2CCCN2C(=O)c2[nH]nnc2C)[nH]c1=O ZINC001363849352 962020761 /nfs/dbraw/zinc/02/07/61/962020761.db2.gz OIOXVBFGVMQISC-MRVPVSSYSA-N 0 2 304.310 0.595 20 0 DCADLN COc1ccnc(C[N@H+](C)C[C@@H](C)NC(=O)Cc2nnc[nH]2)c1 ZINC001379889455 962220557 /nfs/dbraw/zinc/22/05/57/962220557.db2.gz WIEUHVINIFFONH-LLVKDONJSA-N 0 2 318.381 0.388 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)c1csnc1OC ZINC001364122436 962513642 /nfs/dbraw/zinc/51/36/42/962513642.db2.gz FRIKKPAHCXJDCV-UHFFFAOYSA-N 0 2 316.307 0.238 20 0 DCADLN Cn1cnc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1=O ZINC001364142394 962546391 /nfs/dbraw/zinc/54/63/91/962546391.db2.gz USGQCCJGJJILAB-QMMMGPOBSA-N 0 2 319.325 0.016 20 0 DCADLN CC(=O)c1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1O ZINC001364204097 962667180 /nfs/dbraw/zinc/66/71/80/962667180.db2.gz JIBDYYHJQXBNNI-UHFFFAOYSA-N 0 2 303.274 0.726 20 0 DCADLN COCCN(CCNC(=O)C1(C)CCC1)Cc1n[nH]c(=O)[nH]1 ZINC001380104777 962681476 /nfs/dbraw/zinc/68/14/76/962681476.db2.gz KJSKZIRYMVAKTM-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001379365118 962738940 /nfs/dbraw/zinc/73/89/40/962738940.db2.gz JPZWIFQJVCTTFD-VXNVDRBHSA-N 0 2 314.279 0.839 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001379365118 962738947 /nfs/dbraw/zinc/73/89/47/962738947.db2.gz JPZWIFQJVCTTFD-VXNVDRBHSA-N 0 2 314.279 0.839 20 0 DCADLN C[C@@H]1[C@H](NC(=O)COCC2CC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001379641357 963313295 /nfs/dbraw/zinc/31/32/95/963313295.db2.gz VCZFXRTZFJEPOO-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@H](NC(=O)COCC2CC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001379641357 963313307 /nfs/dbraw/zinc/31/33/07/963313307.db2.gz VCZFXRTZFJEPOO-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN CCC[C@@H](C)C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001380816095 963604263 /nfs/dbraw/zinc/60/42/63/963604263.db2.gz QMDSTCBSABIAPO-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CC(=O)Nc1nc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cs1 ZINC000314167319 963720668 /nfs/dbraw/zinc/72/06/68/963720668.db2.gz UNXKQEWSLJOHKR-MRVPVSSYSA-N 0 2 323.334 0.250 20 0 DCADLN CC(=O)Nc1nc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cs1 ZINC000314167319 963720679 /nfs/dbraw/zinc/72/06/79/963720679.db2.gz UNXKQEWSLJOHKR-MRVPVSSYSA-N 0 2 323.334 0.250 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@H]1CCCOC1 ZINC001375477076 964454538 /nfs/dbraw/zinc/45/45/38/964454538.db2.gz QJPKVVQRCMJXCV-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001373871017 964736001 /nfs/dbraw/zinc/73/60/01/964736001.db2.gz SXRUTIQCKXLIQS-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001373871017 964736010 /nfs/dbraw/zinc/73/60/10/964736010.db2.gz SXRUTIQCKXLIQS-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001414116550 964753839 /nfs/dbraw/zinc/75/38/39/964753839.db2.gz BHYGETJQNQDAID-HTQZYQBOSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1ncc[nH]1)C(=O)[C@@H](F)C(F)(F)F ZINC001414116550 964753846 /nfs/dbraw/zinc/75/38/46/964753846.db2.gz BHYGETJQNQDAID-HTQZYQBOSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1cc(C[NH2+]C[C@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])no1 ZINC001374217054 965145285 /nfs/dbraw/zinc/14/52/85/965145285.db2.gz HHCUJVYAJRSZGI-QMMMGPOBSA-N 0 2 307.354 0.970 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCC[C@H](F)C1 ZINC001374492595 965447854 /nfs/dbraw/zinc/44/78/54/965447854.db2.gz LAIKFTWLOJMYDJ-QWRGUYRKSA-N 0 2 313.377 0.929 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCC[C@H](F)C1 ZINC001374492595 965447861 /nfs/dbraw/zinc/44/78/61/965447861.db2.gz LAIKFTWLOJMYDJ-QWRGUYRKSA-N 0 2 313.377 0.929 20 0 DCADLN CO[C@@H]1CCC[C@@H]1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374510858 965468050 /nfs/dbraw/zinc/46/80/50/965468050.db2.gz WKWJZYAHRQGNTA-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN CO[C@@H]1CCC[C@@H]1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374510858 965468058 /nfs/dbraw/zinc/46/80/58/965468058.db2.gz WKWJZYAHRQGNTA-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C[NH2+]Cc2nnc(C3CC3)o2)c1[O-] ZINC001374746417 965856687 /nfs/dbraw/zinc/85/66/87/965856687.db2.gz ZYQLEMDPUSSBDC-SSDOTTSWSA-N 0 2 320.353 0.592 20 0 DCADLN CC(F)(F)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370635528 966308940 /nfs/dbraw/zinc/30/89/40/966308940.db2.gz IINJARZGTDMQKV-UHNVWZDZSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001370635528 966308945 /nfs/dbraw/zinc/30/89/45/966308945.db2.gz IINJARZGTDMQKV-UHNVWZDZSA-N 0 2 310.194 0.135 20 0 DCADLN C[C@H](CNC(=O)c1cc(C2CC2)no1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381589624 966558678 /nfs/dbraw/zinc/55/86/78/966558678.db2.gz RNLNJCKJAFYWMF-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](CNC(=O)c1cc(C2CC2)no1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381589624 966558686 /nfs/dbraw/zinc/55/86/86/966558686.db2.gz RNLNJCKJAFYWMF-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001382063158 966907225 /nfs/dbraw/zinc/90/72/25/966907225.db2.gz CCZZPUOIFCWLAW-CAHLUQPWSA-N 0 2 313.255 0.347 20 0 DCADLN COC1(CC(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001448009022 1013700868 /nfs/dbraw/zinc/70/08/68/1013700868.db2.gz VBTDEXIHLVWEKG-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN COC1(CC(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001448009022 1013700873 /nfs/dbraw/zinc/70/08/73/1013700873.db2.gz VBTDEXIHLVWEKG-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN CC1(C)[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@@H]1O ZINC001448022063 1013715914 /nfs/dbraw/zinc/71/59/14/1013715914.db2.gz DERAVQAICVUEGE-MNOVXSKESA-N 0 2 318.333 0.155 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(F)c[nH]1 ZINC001382493484 967442332 /nfs/dbraw/zinc/44/23/32/967442332.db2.gz ZKKKYJPIIDVOIJ-UHFFFAOYSA-N 0 2 324.360 0.960 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(F)c[nH]1 ZINC001382493484 967442335 /nfs/dbraw/zinc/44/23/35/967442335.db2.gz ZKKKYJPIIDVOIJ-UHFFFAOYSA-N 0 2 324.360 0.960 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nnnn1C ZINC001448034434 1013726242 /nfs/dbraw/zinc/72/62/42/1013726242.db2.gz IJGSQBMTVRLEQM-XPUUQOCRSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1nnnn1C ZINC001448034434 1013726248 /nfs/dbraw/zinc/72/62/48/1013726248.db2.gz IJGSQBMTVRLEQM-XPUUQOCRSA-N 0 2 312.271 0.047 20 0 DCADLN CCC(C)(C)C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001382593967 967527205 /nfs/dbraw/zinc/52/72/05/967527205.db2.gz MPAXSONMLXZAHE-JGVFFNPUSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001382593967 967527216 /nfs/dbraw/zinc/52/72/16/967527216.db2.gz MPAXSONMLXZAHE-JGVFFNPUSA-N 0 2 316.295 0.916 20 0 DCADLN CN(CCNC(=O)C1(C(C)(F)F)CC1)Cc1n[nH]c(=O)[nH]1 ZINC001372111277 967654993 /nfs/dbraw/zinc/65/49/93/967654993.db2.gz TXWHBUKBGFGNGB-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN C[N@H+](CCNC(=O)C1(C(C)(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001372111277 967655000 /nfs/dbraw/zinc/65/50/00/967655000.db2.gz TXWHBUKBGFGNGB-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN C[N@@H+](CCNC(=O)C1(C(C)(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001372111277 967655004 /nfs/dbraw/zinc/65/50/04/967655004.db2.gz TXWHBUKBGFGNGB-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372154301 967688509 /nfs/dbraw/zinc/68/85/09/967688509.db2.gz BQOUNFKNMOMLFQ-QMMMGPOBSA-N 0 2 322.369 0.467 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372154301 967688513 /nfs/dbraw/zinc/68/85/13/967688513.db2.gz BQOUNFKNMOMLFQ-QMMMGPOBSA-N 0 2 322.369 0.467 20 0 DCADLN Cc1ccc(C[N@H+](C)CCNC(=O)C2=NC(=O)N(C)C2)c(C)n1 ZINC001372162227 967696638 /nfs/dbraw/zinc/69/66/38/967696638.db2.gz UGDVZMKVVONNGT-UHFFFAOYSA-N 0 2 317.393 0.999 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H](C)[C@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001372406003 967967804 /nfs/dbraw/zinc/96/78/04/967967804.db2.gz OEELKTQYEXWOTC-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H](C)[C@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001372406003 967967808 /nfs/dbraw/zinc/96/78/08/967967808.db2.gz OEELKTQYEXWOTC-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CCc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001448126169 1013768471 /nfs/dbraw/zinc/76/84/71/1013768471.db2.gz WKXNPAUXBBWFBU-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CCc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001448126169 1013768479 /nfs/dbraw/zinc/76/84/79/1013768479.db2.gz WKXNPAUXBBWFBU-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1)[NH+](C)C ZINC001372571965 968132551 /nfs/dbraw/zinc/13/25/51/968132551.db2.gz ZQZFXCDVJICUMV-YDHLFZDLSA-N 0 2 321.425 0.233 20 0 DCADLN CCOCC(=O)NC[C@@H](CC)NC(=O)[C@@H](F)C(F)(F)F ZINC001498891314 1019502835 /nfs/dbraw/zinc/50/28/35/1019502835.db2.gz DCPVVTUHROVFLM-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)NC[C@@H](CC)NC(=O)C(F)C(F)(F)F ZINC001498891314 1019502818 /nfs/dbraw/zinc/50/28/18/1019502818.db2.gz DCPVVTUHROVFLM-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](C(=O)N1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1)[NH+](C)C ZINC001430928776 1013798358 /nfs/dbraw/zinc/79/83/58/1013798358.db2.gz VNEQIHUHIOIIGK-KGLIPLIRSA-N 0 2 321.425 0.400 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnns1 ZINC001372913739 968525073 /nfs/dbraw/zinc/52/50/73/968525073.db2.gz YQQXTKJXDDDOQL-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnns1 ZINC001372913739 968525076 /nfs/dbraw/zinc/52/50/76/968525076.db2.gz YQQXTKJXDDDOQL-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN CO[C@H](C)C(=O)NC[C@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001373018303 968632494 /nfs/dbraw/zinc/63/24/94/968632494.db2.gz CEYBKDNOPHFRAW-PWSUYJOCSA-N 0 2 319.365 0.492 20 0 DCADLN Cn1nccc1C[N@H+]1CC[C@@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001373236017 968835724 /nfs/dbraw/zinc/83/57/24/968835724.db2.gz CYEGUKNLZISKMN-CYBMUJFWSA-N 0 2 316.409 0.714 20 0 DCADLN C[C@H](CCNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001373326862 968943333 /nfs/dbraw/zinc/94/33/33/968943333.db2.gz GGWUHYOTXKQFBG-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN CO[C@@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCCC1 ZINC001373485929 969145670 /nfs/dbraw/zinc/14/56/70/969145670.db2.gz PSABSCFRBKHEDZ-CYBMUJFWSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)CNC(=O)C(F)C(F)(F)F ZINC001431029491 1013875126 /nfs/dbraw/zinc/87/51/26/1013875126.db2.gz CLEPLGJJXPQVBQ-CBAPKCEASA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001431029491 1013875128 /nfs/dbraw/zinc/87/51/28/1013875128.db2.gz CLEPLGJJXPQVBQ-CBAPKCEASA-N 0 2 322.262 0.859 20 0 DCADLN C[C@]1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCNC(=O)C1 ZINC001448457602 1013918224 /nfs/dbraw/zinc/91/82/24/1013918224.db2.gz QIGCLIBPEPKLPZ-OTYXRUKQSA-N 0 2 307.354 0.133 20 0 DCADLN C[N@H+](CCCC(=O)OC(C)(C)C)CCS(=O)(=O)CC(=O)[O-] ZINC001593747716 970139620 /nfs/dbraw/zinc/13/96/20/970139620.db2.gz BZRFSFXBFPSUPN-UHFFFAOYSA-N 0 2 323.411 0.540 20 0 DCADLN C[N@@H+](CCCC(=O)OC(C)(C)C)CCS(=O)(=O)CC(=O)[O-] ZINC001593747716 970139621 /nfs/dbraw/zinc/13/96/21/970139621.db2.gz BZRFSFXBFPSUPN-UHFFFAOYSA-N 0 2 323.411 0.540 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CCCC(N)=O ZINC001431302746 1013986773 /nfs/dbraw/zinc/98/67/73/1013986773.db2.gz MGIMLASVXGTVQJ-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)CCCC(N)=O ZINC001431302746 1013986778 /nfs/dbraw/zinc/98/67/78/1013986778.db2.gz MGIMLASVXGTVQJ-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@@H+]3C[C@H](O)C[C@@H]3C(=O)[O-])nc12 ZINC000318341519 970426518 /nfs/dbraw/zinc/42/65/18/970426518.db2.gz UTUSWWVAOUQERT-VXGBXAGGSA-N 0 2 303.318 0.023 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@H+]3C[C@H](O)C[C@@H]3C(=O)[O-])nc12 ZINC000318341519 970426524 /nfs/dbraw/zinc/42/65/24/970426524.db2.gz UTUSWWVAOUQERT-VXGBXAGGSA-N 0 2 303.318 0.023 20 0 DCADLN CC(C)CN1C[C@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1=O ZINC000320544923 970676397 /nfs/dbraw/zinc/67/63/97/970676397.db2.gz CFVXOKYCPLQCJB-ZYHUDNBSSA-N 0 2 322.365 0.026 20 0 DCADLN CC(C)CN1C[C@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1=O ZINC000320544923 970676405 /nfs/dbraw/zinc/67/64/05/970676405.db2.gz CFVXOKYCPLQCJB-ZYHUDNBSSA-N 0 2 322.365 0.026 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C(=O)N2CCn3c[nH+]cc3C2)c1 ZINC001605857562 972609155 /nfs/dbraw/zinc/60/91/55/972609155.db2.gz RZKKKMNZWFPBHB-UHFFFAOYSA-N 0 2 314.301 0.562 20 0 DCADLN O=C([O-])c1ccnc(NC[C@H]2C[NH+]3CCN2CC3)c1[N+](=O)[O-] ZINC000394701610 972742821 /nfs/dbraw/zinc/74/28/21/972742821.db2.gz QKWPSXVAFDCGOE-VIFPVBQESA-N 0 2 307.310 0.100 20 0 DCADLN O=C(NC[C@@H](CO)NCc1cnsn1)C(F)C(F)(F)F ZINC001448826014 1014059891 /nfs/dbraw/zinc/05/98/91/1014059891.db2.gz RRINATQPNGGHMR-BQBZGAKWSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@@H](CO)NCc1cnsn1)[C@H](F)C(F)(F)F ZINC001448826014 1014059894 /nfs/dbraw/zinc/05/98/94/1014059894.db2.gz RRINATQPNGGHMR-BQBZGAKWSA-N 0 2 316.280 0.005 20 0 DCADLN Cc1cc(C2CC[NH+](CCS(=O)(=O)CC(=O)[O-])CC2)n[nH]1 ZINC001605163990 972855117 /nfs/dbraw/zinc/85/51/17/972855117.db2.gz JARBGQAXESMSLB-UHFFFAOYSA-N 0 2 315.395 0.397 20 0 DCADLN O=C([O-])CC1(NC(=O)/C=C/C[NH+]2CCOCC2)CCOCC1 ZINC001606077875 973235718 /nfs/dbraw/zinc/23/57/18/973235718.db2.gz MNBUHADOKPRJBC-OWOJBTEDSA-N 0 2 312.366 0.015 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(C(=O)[O-])ccn1 ZINC001603474421 973357595 /nfs/dbraw/zinc/35/75/95/973357595.db2.gz VRWZAGPCKPEYIH-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)Nc1cc(C(=O)[O-])ccn1 ZINC001603474421 973357603 /nfs/dbraw/zinc/35/76/03/973357603.db2.gz VRWZAGPCKPEYIH-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCO[C@@H](C(F)(F)F)CC2)C1=O ZINC001606232683 973500431 /nfs/dbraw/zinc/50/04/31/973500431.db2.gz XATNCHFWZDHNSY-RKDXNWHRSA-N 0 2 310.272 0.325 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCO[C@@H](C(F)(F)F)CC2)C1=O ZINC001606232683 973500442 /nfs/dbraw/zinc/50/04/42/973500442.db2.gz XATNCHFWZDHNSY-RKDXNWHRSA-N 0 2 310.272 0.325 20 0 DCADLN O=C([O-])CN1CCC[C@@H](C(=O)Nc2ccn3cc[nH+]c3c2)C1=O ZINC001606253195 973571824 /nfs/dbraw/zinc/57/18/24/973571824.db2.gz LTEFWKGKBHWGSO-NSHDSACASA-N 0 2 316.317 0.596 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)NC[C@H](CC)C(=O)[O-] ZINC001603548520 973606440 /nfs/dbraw/zinc/60/64/40/973606440.db2.gz HIZVOBHXLGBXDI-RYUDHWBXSA-N 0 2 301.387 0.507 20 0 DCADLN COc1cccc(O)c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000404671558 973741831 /nfs/dbraw/zinc/74/18/31/973741831.db2.gz YFVGGUOEFPQKPV-SECBINFHSA-N 0 2 305.290 0.550 20 0 DCADLN Cn1ncc(C(=O)[O-])c1CN1CC[NH+](Cc2cccnc2)CC1 ZINC001605550092 973764329 /nfs/dbraw/zinc/76/43/29/973764329.db2.gz KZFVRUAJWMJISK-UHFFFAOYSA-N 0 2 315.377 0.831 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)NC[C@@H](C(=O)[O-])C(C)C ZINC001603628739 973951329 /nfs/dbraw/zinc/95/13/29/973951329.db2.gz WORHDLMENONQEZ-QWHCGFSZSA-N 0 2 315.414 0.753 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)NC[C@@H](C(=O)[O-])C(C)C ZINC001603628739 973951340 /nfs/dbraw/zinc/95/13/40/973951340.db2.gz WORHDLMENONQEZ-QWHCGFSZSA-N 0 2 315.414 0.753 20 0 DCADLN NC(=O)C[N@@H+]1CCCN(C(=O)/C=C\c2ccc(C(=O)[O-])o2)CC1 ZINC001605631750 973976581 /nfs/dbraw/zinc/97/65/81/973976581.db2.gz GDQPVDMZEZXWLV-HYXAFXHYSA-N 0 2 321.333 0.011 20 0 DCADLN NC(=O)C[N@H+]1CCCN(C(=O)/C=C\c2ccc(C(=O)[O-])o2)CC1 ZINC001605631750 973976588 /nfs/dbraw/zinc/97/65/88/973976588.db2.gz GDQPVDMZEZXWLV-HYXAFXHYSA-N 0 2 321.333 0.011 20 0 DCADLN CN(CCNC(=O)c1csnn1)C(=O)C(F)C(F)(F)F ZINC001528448420 1014298659 /nfs/dbraw/zinc/29/86/59/1014298659.db2.gz TYBSLQNHWZFACQ-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)c1csnn1)C(=O)[C@H](F)C(F)(F)F ZINC001528448420 1014298668 /nfs/dbraw/zinc/29/86/68/1014298668.db2.gz TYBSLQNHWZFACQ-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN CCc1[nH]c(CNS(=O)(=O)N2CCC(C(=O)[O-])CC2)c[nH+]1 ZINC001605850586 1170012533 /nfs/dbraw/zinc/01/25/33/1170012533.db2.gz QTQPWAWRSLQUDL-UHFFFAOYSA-N 0 2 316.383 0.103 20 0 DCADLN CCc1[nH]cc(CNS(=O)(=O)N2CCC(C(=O)[O-])CC2)[nH+]1 ZINC001605850586 1170012537 /nfs/dbraw/zinc/01/25/37/1170012537.db2.gz QTQPWAWRSLQUDL-UHFFFAOYSA-N 0 2 316.383 0.103 20 0 DCADLN CCN(CCNC(=O)[C@@H]1CCC[N@@H+]1C)C(=O)CCn1cc[nH+]c1 ZINC001528786082 1014418579 /nfs/dbraw/zinc/41/85/79/1014418579.db2.gz WJTOEDKHSBBWMM-AWEZNQCLSA-N 0 2 321.425 0.332 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)CCCn1ccc(C(=O)[O-])n1 ZINC001592624467 978599125 /nfs/dbraw/zinc/59/91/25/978599125.db2.gz PDCIRQFUBOQJKN-LBPRGKRZSA-N 0 2 324.381 0.198 20 0 DCADLN COCC(=O)N1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001523188244 1014600953 /nfs/dbraw/zinc/60/09/53/1014600953.db2.gz SVIILRZFQMEHOV-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001523188244 1014600956 /nfs/dbraw/zinc/60/09/56/1014600956.db2.gz SVIILRZFQMEHOV-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001595500569 981274229 /nfs/dbraw/zinc/27/42/29/981274229.db2.gz PXFSRSQMKIPMPL-YNEHKIRRSA-N 0 2 313.398 0.650 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001595500569 981274232 /nfs/dbraw/zinc/27/42/32/981274232.db2.gz PXFSRSQMKIPMPL-YNEHKIRRSA-N 0 2 313.398 0.650 20 0 DCADLN CCCC(=O)NC1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001595527677 981358945 /nfs/dbraw/zinc/35/89/45/981358945.db2.gz NUIOPYTUJKNXMR-UHFFFAOYSA-N 0 2 309.370 0.243 20 0 DCADLN Cn1nccc1CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001530485749 1014769291 /nfs/dbraw/zinc/76/92/91/1014769291.db2.gz SZQXJIJKYFGSDQ-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN Cn1nccc1CC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530485749 1014769303 /nfs/dbraw/zinc/76/93/03/1014769303.db2.gz SZQXJIJKYFGSDQ-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN CCN(CCCNC(=O)C(F)C(F)(F)F)C(=O)COC ZINC001523889975 1014792023 /nfs/dbraw/zinc/79/20/23/1014792023.db2.gz PJHFVCLJZMWEFN-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)COC ZINC001523889975 1014792042 /nfs/dbraw/zinc/79/20/42/1014792042.db2.gz PJHFVCLJZMWEFN-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001594887656 982274019 /nfs/dbraw/zinc/27/40/19/982274019.db2.gz SIWYEWLUSBFVJZ-QMTHXVAHSA-N 0 2 309.366 0.835 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001594887656 982274022 /nfs/dbraw/zinc/27/40/22/982274022.db2.gz SIWYEWLUSBFVJZ-QMTHXVAHSA-N 0 2 309.366 0.835 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CN1C[C@H](C(=O)OC(C)(C)C)CC1=O ZINC001595855318 982784772 /nfs/dbraw/zinc/78/47/72/982784772.db2.gz WALBRQMMIXPDLT-LLVKDONJSA-N 0 2 314.382 0.931 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CN1C[C@H](C(=O)OC(C)(C)C)CC1=O ZINC001595855318 982784778 /nfs/dbraw/zinc/78/47/78/982784778.db2.gz WALBRQMMIXPDLT-LLVKDONJSA-N 0 2 314.382 0.931 20 0 DCADLN CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])[nH]n2)CC1 ZINC001594986170 982809855 /nfs/dbraw/zinc/80/98/55/982809855.db2.gz AROZPWZRSZDHDV-VIFPVBQESA-N 0 2 316.383 0.213 20 0 DCADLN Cc1[nH]c(C(=O)C[NH2+][C@@H]2C(=O)NCC2(C)C)c(C)c1C(=O)[O-] ZINC001574114502 983173097 /nfs/dbraw/zinc/17/30/97/983173097.db2.gz ZIQPRGUZSRQTMT-GFCCVEGCSA-N 0 2 307.350 0.627 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])o2)[C@H](C)C1 ZINC001596438645 984128542 /nfs/dbraw/zinc/12/85/42/984128542.db2.gz PSWRNUKPGNRNGC-SECBINFHSA-N 0 2 302.352 0.693 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])o2)[C@H](C)C1 ZINC001596438645 984128545 /nfs/dbraw/zinc/12/85/45/984128545.db2.gz PSWRNUKPGNRNGC-SECBINFHSA-N 0 2 302.352 0.693 20 0 DCADLN CC(=O)N1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001383635455 984960939 /nfs/dbraw/zinc/96/09/39/984960939.db2.gz GYDGJCLFWZBIEO-BDAKNGLRSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)N1CCCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001383635455 984960948 /nfs/dbraw/zinc/96/09/48/984960948.db2.gz GYDGJCLFWZBIEO-BDAKNGLRSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001525013976 1015088044 /nfs/dbraw/zinc/08/80/44/1015088044.db2.gz CFTVQNZIHSBQPW-ZKWXMUAHSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001525013976 1015088053 /nfs/dbraw/zinc/08/80/53/1015088053.db2.gz CFTVQNZIHSBQPW-ZKWXMUAHSA-N 0 2 322.262 0.996 20 0 DCADLN C[C@@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)n1cccn1 ZINC001450376193 1015098529 /nfs/dbraw/zinc/09/85/29/1015098529.db2.gz MVZAWCSXXRTRQA-CBAPKCEASA-N 0 2 322.262 0.672 20 0 DCADLN C[C@@H](C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1)n1cccn1 ZINC001450376193 1015098538 /nfs/dbraw/zinc/09/85/38/1015098538.db2.gz MVZAWCSXXRTRQA-CBAPKCEASA-N 0 2 322.262 0.672 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001525071996 1015096880 /nfs/dbraw/zinc/09/68/80/1015096880.db2.gz YKKVCWGLPYCPHM-HAFWLYHUSA-N 0 2 323.250 0.391 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001525071996 1015096884 /nfs/dbraw/zinc/09/68/84/1015096884.db2.gz YKKVCWGLPYCPHM-HAFWLYHUSA-N 0 2 323.250 0.391 20 0 DCADLN CSCCC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001383992262 985257701 /nfs/dbraw/zinc/25/77/01/985257701.db2.gz GLOPIZXDIQVAFR-XPUUQOCRSA-N 0 2 320.308 0.233 20 0 DCADLN CSCCC(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001383992262 985257711 /nfs/dbraw/zinc/25/77/11/985257711.db2.gz GLOPIZXDIQVAFR-XPUUQOCRSA-N 0 2 320.308 0.233 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CCC2)C[C@H]1O)C(F)C(F)(F)F ZINC001384727405 985770792 /nfs/dbraw/zinc/77/07/92/985770792.db2.gz KWLWZIWVGFDSMN-HLTSFMKQSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CCC2)C[C@H]1O)[C@H](F)C(F)(F)F ZINC001384727405 985770802 /nfs/dbraw/zinc/77/08/02/985770802.db2.gz KWLWZIWVGFDSMN-HLTSFMKQSA-N 0 2 312.263 0.375 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2cnsn2)n[nH]1 ZINC001551661853 1015169335 /nfs/dbraw/zinc/16/93/35/1015169335.db2.gz DFNUBEIKZGWFSY-SCZZXKLOSA-N 0 2 308.367 0.609 20 0 DCADLN COCC(=O)N1CCC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001525933851 1015181646 /nfs/dbraw/zinc/18/16/46/1015181646.db2.gz ILTUEEFFHXQQML-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CCC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001525933851 1015181648 /nfs/dbraw/zinc/18/16/48/1015181648.db2.gz ILTUEEFFHXQQML-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN CC(=O)c1cccc(NC(=O)[C@H](C)[N@@H+]2CC[C@](O)(C(=O)[O-])C2)c1 ZINC001589308962 986075611 /nfs/dbraw/zinc/07/56/11/986075611.db2.gz CDBXVCKRXJDBBH-MGPLVRAMSA-N 0 2 320.345 0.738 20 0 DCADLN CC(=O)c1cccc(NC(=O)[C@H](C)[N@H+]2CC[C@](O)(C(=O)[O-])C2)c1 ZINC001589308962 986075627 /nfs/dbraw/zinc/07/56/27/986075627.db2.gz CDBXVCKRXJDBBH-MGPLVRAMSA-N 0 2 320.345 0.738 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cccnn2)C1)C(F)C(F)(F)F ZINC001385198231 986151705 /nfs/dbraw/zinc/15/17/05/986151705.db2.gz RLYKESYOLGZXSH-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cccnn2)C1)[C@@H](F)C(F)(F)F ZINC001385198231 986151707 /nfs/dbraw/zinc/15/17/07/986151707.db2.gz RLYKESYOLGZXSH-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnccn2)C1)C(F)C(F)(F)F ZINC001385582217 986448158 /nfs/dbraw/zinc/44/81/58/986448158.db2.gz RNURNCAGXRGERQ-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnccn2)C1)[C@H](F)C(F)(F)F ZINC001385582217 986448160 /nfs/dbraw/zinc/44/81/60/986448160.db2.gz RNURNCAGXRGERQ-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385652416 986496216 /nfs/dbraw/zinc/49/62/16/986496216.db2.gz KFSCJMPDQAGMAK-SVRRBLITSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385652416 986496220 /nfs/dbraw/zinc/49/62/20/986496220.db2.gz KFSCJMPDQAGMAK-SVRRBLITSA-N 0 2 324.234 0.609 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001594608313 986592707 /nfs/dbraw/zinc/59/27/07/986592707.db2.gz XFJODVTUDSKDMD-FJJYHAOUSA-N 0 2 311.382 0.260 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001594608313 986592713 /nfs/dbraw/zinc/59/27/13/986592713.db2.gz XFJODVTUDSKDMD-FJJYHAOUSA-N 0 2 311.382 0.260 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cc[nH]n3)C[C@H]21)C(F)C(F)(F)F ZINC001386103817 986833215 /nfs/dbraw/zinc/83/32/15/986833215.db2.gz QPKJYBYTXRQKCJ-LWIVVEGESA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3ccn[nH]3)C[C@H]21)C(F)C(F)(F)F ZINC001386103817 986833226 /nfs/dbraw/zinc/83/32/26/986833226.db2.gz QPKJYBYTXRQKCJ-LWIVVEGESA-N 0 2 320.246 0.497 20 0 DCADLN CCOc1cccc(CC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001597150124 986924203 /nfs/dbraw/zinc/92/42/03/986924203.db2.gz PGCZTCHXWMZRNH-GFCCVEGCSA-N 0 2 318.333 0.489 20 0 DCADLN COCC1(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)CC1 ZINC001386370126 987111417 /nfs/dbraw/zinc/11/14/17/987111417.db2.gz HIHAKBZYTYPSFG-HTQZYQBOSA-N 0 2 314.279 0.934 20 0 DCADLN COCC1(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001386370126 987111421 /nfs/dbraw/zinc/11/14/21/987111421.db2.gz HIHAKBZYTYPSFG-HTQZYQBOSA-N 0 2 314.279 0.934 20 0 DCADLN CCS(=O)(=O)CC[N@@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001597209550 987123853 /nfs/dbraw/zinc/12/38/53/987123853.db2.gz KFDSDCXBABWHLH-SNVBAGLBSA-N 0 2 316.383 0.048 20 0 DCADLN CCS(=O)(=O)CC[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001597209550 987123858 /nfs/dbraw/zinc/12/38/58/987123858.db2.gz KFDSDCXBABWHLH-SNVBAGLBSA-N 0 2 316.383 0.048 20 0 DCADLN CCC(=O)N1CCCC[C@H]1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001594701314 987141809 /nfs/dbraw/zinc/14/18/09/987141809.db2.gz JWDXHXJJMMKHSG-RYUDHWBXSA-N 0 2 322.365 0.313 20 0 DCADLN CCC(=O)N1CCCC[C@H]1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001594701314 987141812 /nfs/dbraw/zinc/14/18/12/987141812.db2.gz JWDXHXJJMMKHSG-RYUDHWBXSA-N 0 2 322.365 0.313 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C)C(=O)[C@@H](C(C)C)[NH+](C)C ZINC001387026759 987575772 /nfs/dbraw/zinc/57/57/72/987575772.db2.gz BSSPYBKEFCALLU-OAHLLOKOSA-N 0 2 323.441 0.421 20 0 DCADLN CCN(CCNC(=O)CCc1c[nH]c[nH+]1)C(=O)[C@H]1CCC[N@H+]1C ZINC001388366541 988235850 /nfs/dbraw/zinc/23/58/50/988235850.db2.gz VDMYBHQDQYVGAK-CQSZACIVSA-N 0 2 321.425 0.401 20 0 DCADLN O=C(NCC1CN(C(=O)c2cocn2)C1)C(F)C(F)(F)F ZINC001387879116 988246024 /nfs/dbraw/zinc/24/60/24/988246024.db2.gz QTHDCEXRNJJPBD-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)c2cocn2)C1)[C@H](F)C(F)(F)F ZINC001387879116 988246032 /nfs/dbraw/zinc/24/60/32/988246032.db2.gz QTHDCEXRNJJPBD-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN C[C@@H](CNC(=O)c1cnon1)CNC(=O)C(F)C(F)(F)F ZINC001388458830 988330229 /nfs/dbraw/zinc/33/02/29/988330229.db2.gz UKUOOPNKPAFOGC-CAHLUQPWSA-N 0 2 312.223 0.452 20 0 DCADLN C[C@@H](CNC(=O)c1cnon1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001388458830 988330244 /nfs/dbraw/zinc/33/02/44/988330244.db2.gz UKUOOPNKPAFOGC-CAHLUQPWSA-N 0 2 312.223 0.452 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1ccc[nH]1 ZINC001388665498 988492199 /nfs/dbraw/zinc/49/21/99/988492199.db2.gz MMFHUXOYCGJQTN-LLVKDONJSA-N 0 2 304.354 0.114 20 0 DCADLN CC(C)(C)OC(=O)C[NH+]1CCC(NC(=O)CCC(=O)[O-])CC1 ZINC001589890891 988574718 /nfs/dbraw/zinc/57/47/18/988574718.db2.gz HRLRWWHHTJTDBN-UHFFFAOYSA-N 0 2 314.382 0.774 20 0 DCADLN CSc1nccnc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001599830211 988660453 /nfs/dbraw/zinc/66/04/53/988660453.db2.gz ZUNKSDJVRHBKSC-MRVPVSSYSA-N 0 2 307.335 0.347 20 0 DCADLN CSc1nccnc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001599830211 988660455 /nfs/dbraw/zinc/66/04/55/988660455.db2.gz ZUNKSDJVRHBKSC-MRVPVSSYSA-N 0 2 307.335 0.347 20 0 DCADLN CCC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001389196633 988974290 /nfs/dbraw/zinc/97/42/90/988974290.db2.gz MTLUJHWTUXAXNU-OCCSQVGLSA-N 0 2 321.425 0.448 20 0 DCADLN Cn1cc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001389452021 989267599 /nfs/dbraw/zinc/26/75/99/989267599.db2.gz AAMSIGUATWZBJC-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cc(CN2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001389452021 989267620 /nfs/dbraw/zinc/26/76/20/989267620.db2.gz AAMSIGUATWZBJC-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cncnc1 ZINC001532952876 1015477403 /nfs/dbraw/zinc/47/74/03/1015477403.db2.gz QJQIAYFEWFQLKP-SECBINFHSA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cncnc1 ZINC001532952876 1015477407 /nfs/dbraw/zinc/47/74/07/1015477407.db2.gz QJQIAYFEWFQLKP-SECBINFHSA-N 0 2 322.262 0.907 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C1=CCOCC1)C(F)C(F)(F)F ZINC001389659583 989470599 /nfs/dbraw/zinc/47/05/99/989470599.db2.gz FJTPXNIDLBMPEO-ZJUUUORDSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C1=CCOCC1)[C@H](F)C(F)(F)F ZINC001389659583 989470604 /nfs/dbraw/zinc/47/06/04/989470604.db2.gz FJTPXNIDLBMPEO-ZJUUUORDSA-N 0 2 324.274 0.951 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnco2)C1 ZINC001390075265 989797735 /nfs/dbraw/zinc/79/77/35/989797735.db2.gz LTANLGPJTDDHEI-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cnco2)C1 ZINC001390075265 989797738 /nfs/dbraw/zinc/79/77/38/989797738.db2.gz LTANLGPJTDDHEI-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001451066681 1015569981 /nfs/dbraw/zinc/56/99/81/1015569981.db2.gz NXYDPTWQFYCZND-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001451066681 1015569992 /nfs/dbraw/zinc/56/99/92/1015569992.db2.gz NXYDPTWQFYCZND-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN O=C(CCc1ccoc1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001391155107 990625079 /nfs/dbraw/zinc/62/50/79/990625079.db2.gz VRTHOJTULZCIJQ-UHFFFAOYSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(CCc1ccoc1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001391155107 990625082 /nfs/dbraw/zinc/62/50/82/990625082.db2.gz VRTHOJTULZCIJQ-UHFFFAOYSA-N 0 2 319.365 0.817 20 0 DCADLN CN(C)[C@H](C(=O)Nc1cccc(C(=O)[O-])c1N)c1c[nH+]cn1C ZINC001598164651 990675684 /nfs/dbraw/zinc/67/56/84/990675684.db2.gz BQWZXCKTACEBOR-ZDUSSCGKSA-N 0 2 317.349 0.942 20 0 DCADLN CS(=O)(=O)CCN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001391578707 990976034 /nfs/dbraw/zinc/97/60/34/990976034.db2.gz RVZCVFQIYGMXPX-SFYZADRCSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCN1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001391578707 990976040 /nfs/dbraw/zinc/97/60/40/990976040.db2.gz RVZCVFQIYGMXPX-SFYZADRCSA-N 0 2 320.308 0.122 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001391782748 991180458 /nfs/dbraw/zinc/18/04/58/991180458.db2.gz ZOUQETYJEAXVTP-JTQLQIEISA-N 0 2 324.278 0.769 20 0 DCADLN C[N@H+](CC(=O)Nc1ccsc1C(=O)[O-])[C@]1(CO)CCOC1 ZINC001598399954 991688828 /nfs/dbraw/zinc/68/88/28/991688828.db2.gz RXNZAXRZIYGEBQ-ZDUSSCGKSA-N 0 2 314.363 0.468 20 0 DCADLN C[N@@H+](CC(=O)Nc1ccsc1C(=O)[O-])[C@]1(CO)CCOC1 ZINC001598399954 991688832 /nfs/dbraw/zinc/68/88/32/991688832.db2.gz RXNZAXRZIYGEBQ-ZDUSSCGKSA-N 0 2 314.363 0.468 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CCC(F)(F)[C@@H](CO)C1)C(=O)[O-] ZINC001591167809 991939706 /nfs/dbraw/zinc/93/97/06/991939706.db2.gz NQWFKWSCUIQVNU-MNOVXSKESA-N 0 2 322.352 0.551 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CCC(F)(F)[C@@H](CO)C1)C(=O)[O-] ZINC001591167809 991939715 /nfs/dbraw/zinc/93/97/15/991939715.db2.gz NQWFKWSCUIQVNU-MNOVXSKESA-N 0 2 322.352 0.551 20 0 DCADLN CS(=O)(=O)c1cc(NC(=O)Cc2c[nH]c[nH+]2)cc(C(=O)[O-])c1 ZINC001599765314 992176027 /nfs/dbraw/zinc/17/60/27/992176027.db2.gz PBEGTENFPBMRFN-UHFFFAOYSA-N 0 2 323.330 0.693 20 0 DCADLN C[N@H+](CCN1CCCS1(=O)=O)Cc1cccc(C(=O)[O-])n1 ZINC001598487977 992234710 /nfs/dbraw/zinc/23/47/10/992234710.db2.gz BDKPPJITZFUISS-UHFFFAOYSA-N 0 2 313.379 0.247 20 0 DCADLN C[N@@H+](CCN1CCCS1(=O)=O)Cc1cccc(C(=O)[O-])n1 ZINC001598487977 992234723 /nfs/dbraw/zinc/23/47/23/992234723.db2.gz BDKPPJITZFUISS-UHFFFAOYSA-N 0 2 313.379 0.247 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(c2ncc(C(=O)[O-])s2)CC1 ZINC001599777101 992316832 /nfs/dbraw/zinc/31/68/32/992316832.db2.gz PGTJWANJTMPFPU-LJQANCHMSA-N 0 2 303.409 0.342 20 0 DCADLN CC(C)C[N@@H+](Cc1cnn(C)c1)CN1C[C@@H](C(=O)[O-])OC1=O ZINC001591349102 992332152 /nfs/dbraw/zinc/33/21/52/992332152.db2.gz BXGZRWRMZSILAO-LBPRGKRZSA-N 0 2 310.354 0.741 20 0 DCADLN CC(C)C[N@H+](Cc1cnn(C)c1)CN1C[C@@H](C(=O)[O-])OC1=O ZINC001591349102 992332163 /nfs/dbraw/zinc/33/21/63/992332163.db2.gz BXGZRWRMZSILAO-LBPRGKRZSA-N 0 2 310.354 0.741 20 0 DCADLN CN(CC[NH2+]Cc1nc(C(F)F)no1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001598495039 992348543 /nfs/dbraw/zinc/34/85/43/992348543.db2.gz GFERLBKOKHXGKB-RNFRBKRXSA-N 0 2 318.280 0.276 20 0 DCADLN C[N@H+](CCOc1ccc(F)cc1)CN1C[C@@H](C(=O)[O-])OC1=O ZINC001598499742 992417944 /nfs/dbraw/zinc/41/79/44/992417944.db2.gz VSXDJEOTUWRKNN-LBPRGKRZSA-N 0 2 312.297 0.999 20 0 DCADLN C[N@@H+](CCOc1ccc(F)cc1)CN1C[C@@H](C(=O)[O-])OC1=O ZINC001598499742 992417947 /nfs/dbraw/zinc/41/79/47/992417947.db2.gz VSXDJEOTUWRKNN-LBPRGKRZSA-N 0 2 312.297 0.999 20 0 DCADLN O=C(CCc1ccoc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001392826195 992432453 /nfs/dbraw/zinc/43/24/53/992432453.db2.gz PATCXKRUUUYNMY-LLVKDONJSA-N 0 2 305.338 0.427 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001392992945 992609370 /nfs/dbraw/zinc/60/93/70/992609370.db2.gz DTAMOLDORSTVOF-SECBINFHSA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001392992945 992609372 /nfs/dbraw/zinc/60/93/72/992609372.db2.gz DTAMOLDORSTVOF-SECBINFHSA-N 0 2 309.267 0.358 20 0 DCADLN C[C@@H](c1nnnn1C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001392995744 992611118 /nfs/dbraw/zinc/61/11/18/992611118.db2.gz OJMKZLAFIWWQAE-XPUUQOCRSA-N 0 2 324.282 0.314 20 0 DCADLN C[C@@H](c1nnnn1C)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001392995744 992611120 /nfs/dbraw/zinc/61/11/20/992611120.db2.gz OJMKZLAFIWWQAE-XPUUQOCRSA-N 0 2 324.282 0.314 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1cnnn1C)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001591594024 992812469 /nfs/dbraw/zinc/81/24/69/992812469.db2.gz MUNNDDCZHWEHHX-STQMWFEESA-N 0 2 323.397 0.205 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1cnnn1C)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001591594024 992812473 /nfs/dbraw/zinc/81/24/73/992812473.db2.gz MUNNDDCZHWEHHX-STQMWFEESA-N 0 2 323.397 0.205 20 0 DCADLN CC1(CCC(=O)NC[C@@]2(O)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001393273178 992821045 /nfs/dbraw/zinc/82/10/45/992821045.db2.gz VPMNCFOZHWOUIU-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN CC1(CCC(=O)NC[C@@]2(O)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001393273178 992821055 /nfs/dbraw/zinc/82/10/55/992821055.db2.gz VPMNCFOZHWOUIU-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2cc(C(N)=O)cs2)C[C@]1(C)C(=O)[O-] ZINC001598571508 993202705 /nfs/dbraw/zinc/20/27/05/993202705.db2.gz CXOBURHXWWAAOM-ISVAXAHUSA-N 0 2 312.347 0.551 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2cc(C(N)=O)cs2)C[C@]1(C)C(=O)[O-] ZINC001598571508 993202711 /nfs/dbraw/zinc/20/27/11/993202711.db2.gz CXOBURHXWWAAOM-ISVAXAHUSA-N 0 2 312.347 0.551 20 0 DCADLN CNC(=O)CN1C[C@H](NC(=O)C(F)C(F)(F)F)C(C)(C)C1 ZINC001394289034 993599406 /nfs/dbraw/zinc/59/94/06/993599406.db2.gz QMKSOWKHRMWMHX-IONNQARKSA-N 0 2 313.295 0.459 20 0 DCADLN COCC(=O)N1CC[C@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001394297455 993605537 /nfs/dbraw/zinc/60/55/37/993605537.db2.gz WINZFKDNRTZFMJ-SCZZXKLOSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CC[C@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001394297455 993605542 /nfs/dbraw/zinc/60/55/42/993605542.db2.gz WINZFKDNRTZFMJ-SCZZXKLOSA-N 0 2 300.252 0.640 20 0 DCADLN CCOc1ccccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399645309 993630995 /nfs/dbraw/zinc/63/09/95/993630995.db2.gz GNLZLIZASXZQKN-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN CCOc1ccccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399645309 993631000 /nfs/dbraw/zinc/63/10/00/993631000.db2.gz GNLZLIZASXZQKN-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN Cc1cc(C[N@@H+]2CCC[C@H]2CNC(=O)Cc2nnc[nH]2)on1 ZINC001399874733 993866598 /nfs/dbraw/zinc/86/65/98/993866598.db2.gz PPWMOMRHOVFXBZ-NSHDSACASA-N 0 2 304.354 0.424 20 0 DCADLN CNC(=O)[C@@H]1C[N@H+](CCOc2cccc(C(=O)[O-])c2)CCO1 ZINC001598636754 994258267 /nfs/dbraw/zinc/25/82/67/994258267.db2.gz BMZUUNSBCPJYKJ-ZDUSSCGKSA-N 0 2 308.334 0.210 20 0 DCADLN CNC(=O)[C@@H]1C[N@@H+](CCOc2cccc(C(=O)[O-])c2)CCO1 ZINC001598636754 994258276 /nfs/dbraw/zinc/25/82/76/994258276.db2.gz BMZUUNSBCPJYKJ-ZDUSSCGKSA-N 0 2 308.334 0.210 20 0 DCADLN O=C(CC[C@@H]1CCOC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400276657 994371270 /nfs/dbraw/zinc/37/12/70/994371270.db2.gz QIYHCIPSLRPJJG-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnon1)C(F)C(F)(F)F ZINC001400430753 994506711 /nfs/dbraw/zinc/50/67/11/994506711.db2.gz POTLSAAOAZABQC-VDTYLAMSSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnon1)[C@H](F)C(F)(F)F ZINC001400430753 994506721 /nfs/dbraw/zinc/50/67/21/994506721.db2.gz POTLSAAOAZABQC-VDTYLAMSSA-N 0 2 310.207 0.301 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001395589914 994782779 /nfs/dbraw/zinc/78/27/79/994782779.db2.gz JHTCFFAATDKGQO-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001395589914 994782794 /nfs/dbraw/zinc/78/27/94/994782794.db2.gz JHTCFFAATDKGQO-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN COc1cccc(C[C@H]([NH2+]Cc2nnnn2C2CC2)C(=O)[O-])c1 ZINC001599670253 994799381 /nfs/dbraw/zinc/79/93/81/994799381.db2.gz SUIITDCCWBZOOX-ZDUSSCGKSA-N 0 2 317.349 0.802 20 0 DCADLN O=C(CCC(F)F)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395737528 994950493 /nfs/dbraw/zinc/95/04/93/994950493.db2.gz AUEBSFPBEGQZNU-SVGQVSJJSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001395737528 994950502 /nfs/dbraw/zinc/95/05/02/994950502.db2.gz AUEBSFPBEGQZNU-SVGQVSJJSA-N 0 2 324.221 0.525 20 0 DCADLN COC(=O)C(C)(C)N1CC[NH+](Cc2ccc(C(=O)[O-])n2C)CC1 ZINC001598683609 994971460 /nfs/dbraw/zinc/97/14/60/994971460.db2.gz BPFMXNSRJSMTRD-UHFFFAOYSA-N 0 2 323.393 0.793 20 0 DCADLN C[C@@](O)(CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1)C(=O)[O-] ZINC001593399268 995154184 /nfs/dbraw/zinc/15/41/84/995154184.db2.gz PDXCHOBCYXGYOQ-CZUORRHYSA-N 0 2 321.377 0.388 20 0 DCADLN C[C@@](O)(CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1)C(=O)[O-] ZINC001593399268 995154187 /nfs/dbraw/zinc/15/41/87/995154187.db2.gz PDXCHOBCYXGYOQ-CZUORRHYSA-N 0 2 321.377 0.388 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1CCC[C@@H](c2nc(C)no2)C1 ZINC001598698095 995174948 /nfs/dbraw/zinc/17/49/48/995174948.db2.gz JBBNXYJNZDPDIK-MNOVXSKESA-N 0 2 311.338 0.964 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1CCC[C@@H](c2nc(C)no2)C1 ZINC001598698095 995174953 /nfs/dbraw/zinc/17/49/53/995174953.db2.gz JBBNXYJNZDPDIK-MNOVXSKESA-N 0 2 311.338 0.964 20 0 DCADLN CCC(=O)N(C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001396066558 995188849 /nfs/dbraw/zinc/18/88/49/995188849.db2.gz YBHMCHLXVIGCTC-APPZFPTMSA-N 0 2 302.268 0.575 20 0 DCADLN CCC(=O)N(C)C[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001396066558 995188855 /nfs/dbraw/zinc/18/88/55/995188855.db2.gz YBHMCHLXVIGCTC-APPZFPTMSA-N 0 2 302.268 0.575 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CNc1cccc[nH+]1 ZINC001598743025 995571524 /nfs/dbraw/zinc/57/15/24/995571524.db2.gz DCRWTWGPIPHLLZ-WDEREUQCSA-N 0 2 321.333 0.358 20 0 DCADLN O=C(N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001397016082 995743984 /nfs/dbraw/zinc/74/39/84/995743984.db2.gz VLOIRCOIZMHAGU-RKDXNWHRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001397016082 995743987 /nfs/dbraw/zinc/74/39/87/995743987.db2.gz VLOIRCOIZMHAGU-RKDXNWHRSA-N 0 2 322.262 0.935 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)CNc1cccc[nH+]1 ZINC001598764875 995819270 /nfs/dbraw/zinc/81/92/70/995819270.db2.gz FGRMBATTWYSJME-MNOVXSKESA-N 0 2 321.333 0.358 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnco2)C1)C(F)C(F)(F)F ZINC001397154978 995830440 /nfs/dbraw/zinc/83/04/40/995830440.db2.gz AZJCZUNJJXVIFE-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnco2)C1)[C@@H](F)C(F)(F)F ZINC001397154978 995830443 /nfs/dbraw/zinc/83/04/43/995830443.db2.gz AZJCZUNJJXVIFE-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN C[C@H](Oc1ccccc1C[N@@H+]1CCN2C(=O)NC[C@H]2C1)C(=O)[O-] ZINC001593646190 995981212 /nfs/dbraw/zinc/98/12/12/995981212.db2.gz PIJFCLRHCFQEIH-AAEUAGOBSA-N 0 2 319.361 0.748 20 0 DCADLN C[C@H](Oc1ccccc1C[N@H+]1CCN2C(=O)NC[C@H]2C1)C(=O)[O-] ZINC001593646190 995981219 /nfs/dbraw/zinc/98/12/19/995981219.db2.gz PIJFCLRHCFQEIH-AAEUAGOBSA-N 0 2 319.361 0.748 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C)C(C)(F)F ZINC001397798301 996182573 /nfs/dbraw/zinc/18/25/73/996182573.db2.gz QEBCWLFLKSCMNB-YIZRAAEISA-N 0 2 317.340 0.881 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)(F)F ZINC001397798301 996182575 /nfs/dbraw/zinc/18/25/75/996182575.db2.gz QEBCWLFLKSCMNB-YIZRAAEISA-N 0 2 317.340 0.881 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)(F)F ZINC001397798301 996182580 /nfs/dbraw/zinc/18/25/80/996182580.db2.gz QEBCWLFLKSCMNB-YIZRAAEISA-N 0 2 317.340 0.881 20 0 DCADLN C[C@]1(Br)C[C@H]1C[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001593740341 996356676 /nfs/dbraw/zinc/35/66/76/996356676.db2.gz RIPNXJWXOYVJRG-LAEOZQHASA-N 0 2 305.172 0.435 20 0 DCADLN C[C@]1(Br)C[C@H]1C[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001593740341 996356683 /nfs/dbraw/zinc/35/66/83/996356683.db2.gz RIPNXJWXOYVJRG-LAEOZQHASA-N 0 2 305.172 0.435 20 0 DCADLN C[C@H](c1nnnn1C)[N@@H+]1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001593737928 996341160 /nfs/dbraw/zinc/34/11/60/996341160.db2.gz NHRZEVYFZNFHOG-HTQZYQBOSA-N 0 2 308.367 0.915 20 0 DCADLN C[C@H](c1nnnn1C)[N@H+]1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001593737928 996341165 /nfs/dbraw/zinc/34/11/65/996341165.db2.gz NHRZEVYFZNFHOG-HTQZYQBOSA-N 0 2 308.367 0.915 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2nc(C(C)(C)C)no2)C[C@H]1C(=O)[O-] ZINC001598824588 996368311 /nfs/dbraw/zinc/36/83/11/996368311.db2.gz HREQWJJLEMHXAF-BDAKNGLRSA-N 0 2 311.338 0.673 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2nc(C(C)(C)C)no2)C[C@H]1C(=O)[O-] ZINC001598824588 996368316 /nfs/dbraw/zinc/36/83/16/996368316.db2.gz HREQWJJLEMHXAF-BDAKNGLRSA-N 0 2 311.338 0.673 20 0 DCADLN CO[C@H](C)CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398586090 996589818 /nfs/dbraw/zinc/58/98/18/996589818.db2.gz VFUWOFMXPQWSBZ-HZGVNTEJSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)CC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398586090 996589819 /nfs/dbraw/zinc/58/98/19/996589819.db2.gz VFUWOFMXPQWSBZ-HZGVNTEJSA-N 0 2 300.252 0.639 20 0 DCADLN CC(F)(F)C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001398732134 996661994 /nfs/dbraw/zinc/66/19/94/996661994.db2.gz RCQOEQXTHZNQFM-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN CC1(C)C[NH+](CC(=O)Nc2ccc(C(=O)NCC(=O)[O-])cc2)C1 ZINC001593940148 996943985 /nfs/dbraw/zinc/94/39/85/996943985.db2.gz FYXGNPNLXZWKAB-UHFFFAOYSA-N 0 2 319.361 0.781 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)Cc1n[nH]c(=O)[n-]1 ZINC001399540102 997488826 /nfs/dbraw/zinc/48/88/26/997488826.db2.gz LNYLSYNCPJPQQS-ZSBIGDGJSA-N 0 2 307.398 0.885 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)Cc1n[nH]c(=O)[n-]1 ZINC001399540102 997488830 /nfs/dbraw/zinc/48/88/30/997488830.db2.gz LNYLSYNCPJPQQS-ZSBIGDGJSA-N 0 2 307.398 0.885 20 0 DCADLN CO[C@@H]1C[N@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C[C@@H]1OC ZINC001599325500 997656554 /nfs/dbraw/zinc/65/65/54/997656554.db2.gz GQWRYKAQDDEVOJ-PHIMTYICSA-N 0 2 309.322 0.064 20 0 DCADLN CO[C@@H]1C[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C[C@@H]1OC ZINC001599325500 997656566 /nfs/dbraw/zinc/65/65/66/997656566.db2.gz GQWRYKAQDDEVOJ-PHIMTYICSA-N 0 2 309.322 0.064 20 0 DCADLN COC(=O)c1cc(C(=O)O[C@@H]2C[C@H](C(=O)[O-])[N@H+](C)C2)c(C)o1 ZINC001598926706 997660875 /nfs/dbraw/zinc/66/08/75/997660875.db2.gz RHQONVDMOZHPRQ-PSASIEDQSA-N 0 2 311.290 0.689 20 0 DCADLN COC(=O)c1cc(C(=O)O[C@@H]2C[C@H](C(=O)[O-])[N@@H+](C)C2)c(C)o1 ZINC001598926706 997660877 /nfs/dbraw/zinc/66/08/77/997660877.db2.gz RHQONVDMOZHPRQ-PSASIEDQSA-N 0 2 311.290 0.689 20 0 DCADLN COc1cc(OC)cc([C@H]([NH2+][C@H]2COC[C@H]2OC)C(=O)[O-])c1 ZINC001599452543 998129121 /nfs/dbraw/zinc/12/91/21/998129121.db2.gz DAOUBZDDCDIQMD-MJBXVCDLSA-N 0 2 311.334 0.833 20 0 DCADLN CC(C)C(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001405574536 998343406 /nfs/dbraw/zinc/34/34/06/998343406.db2.gz PRZYESFHTOZQMJ-HTQZYQBOSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)C(=O)N(C)C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001405574536 998343414 /nfs/dbraw/zinc/34/34/14/998343414.db2.gz PRZYESFHTOZQMJ-HTQZYQBOSA-N 0 2 302.268 0.478 20 0 DCADLN COC[C@@H](C[N@@H+]1CCO[C@H](C)C1)OC(=O)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001599163902 998506835 /nfs/dbraw/zinc/50/68/35/998506835.db2.gz XOFNTKRTETUYJW-FVCCEPFGSA-N 0 2 315.366 0.376 20 0 DCADLN COC[C@@H](C[N@H+]1CCO[C@H](C)C1)OC(=O)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001599163902 998506850 /nfs/dbraw/zinc/50/68/50/998506850.db2.gz XOFNTKRTETUYJW-FVCCEPFGSA-N 0 2 315.366 0.376 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@H](C)CCCO ZINC001468439657 1016254812 /nfs/dbraw/zinc/25/48/12/1016254812.db2.gz IKKYOYWKJCUOSO-SNVBAGLBSA-N 0 2 306.366 0.398 20 0 DCADLN COC(=O)c1cncc(C[N@H+]2C[C@@H](OC)C[C@]2(C)C(=O)[O-])c1 ZINC001599011324 998901024 /nfs/dbraw/zinc/90/10/24/998901024.db2.gz UIYDNDSJGAENMY-SWLSCSKDSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cncc(C[N@@H+]2C[C@@H](OC)C[C@]2(C)C(=O)[O-])c1 ZINC001599011324 998901027 /nfs/dbraw/zinc/90/10/27/998901027.db2.gz UIYDNDSJGAENMY-SWLSCSKDSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1coc(C(=O)O[C@@H]2C[N@H+](C)[C@@](C)(C(=O)[O-])C2)c1 ZINC001599013907 998922603 /nfs/dbraw/zinc/92/26/03/998922603.db2.gz GMIWCHXQFSKZDM-LKFCYVNXSA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1coc(C(=O)O[C@@H]2C[N@@H+](C)[C@@](C)(C(=O)[O-])C2)c1 ZINC001599013907 998922606 /nfs/dbraw/zinc/92/26/06/998922606.db2.gz GMIWCHXQFSKZDM-LKFCYVNXSA-N 0 2 311.290 0.770 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC001594249929 998956986 /nfs/dbraw/zinc/95/69/86/998956986.db2.gz KJKSXSZASHLLIO-WCQYABFASA-N 0 2 319.361 0.715 20 0 DCADLN COC(=O)c1oc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1C ZINC001599018594 998959976 /nfs/dbraw/zinc/95/99/76/998959976.db2.gz XFOKOUFYGNQRFK-SECBINFHSA-N 0 2 321.289 0.523 20 0 DCADLN COC(=O)c1oc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1C ZINC001599018594 998959978 /nfs/dbraw/zinc/95/99/78/998959978.db2.gz XFOKOUFYGNQRFK-SECBINFHSA-N 0 2 321.289 0.523 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cncc(C(=O)[O-])c1 ZINC001594251343 998967308 /nfs/dbraw/zinc/96/73/08/998967308.db2.gz UXJXEAOUBBOEDU-BXUZGUMPSA-N 0 2 319.361 0.715 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)C(=O)[O-] ZINC001594251350 998967519 /nfs/dbraw/zinc/96/75/19/998967519.db2.gz UXKOMGFUWVLMDJ-VXGBXAGGSA-N 0 2 313.398 0.602 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418607891 1000387828 /nfs/dbraw/zinc/38/78/28/1000387828.db2.gz GZKJIJSRKXBCQJ-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418607891 1000387835 /nfs/dbraw/zinc/38/78/35/1000387835.db2.gz GZKJIJSRKXBCQJ-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](n3ccnn3)CC2)S1 ZINC001418621646 1000400213 /nfs/dbraw/zinc/40/02/13/1000400213.db2.gz KGJSBAOXMYUHQX-UWVGGRQHSA-N 0 2 322.394 0.388 20 0 DCADLN CC[C@H](C)NC(=O)C[NH+]1CC(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001419014631 1000690708 /nfs/dbraw/zinc/69/07/08/1000690708.db2.gz GFDMQVUAFRQFNT-VIFPVBQESA-N 0 2 323.397 0.000 20 0 DCADLN O=C(CCn1cccc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401933610 1000872891 /nfs/dbraw/zinc/87/28/91/1000872891.db2.gz ZYQBWQWLGRRKHY-NSHDSACASA-N 0 2 304.354 0.093 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)[nH]1 ZINC001401935239 1000874923 /nfs/dbraw/zinc/87/49/23/1000874923.db2.gz KIEQRBLBLHROPN-QMMMGPOBSA-N 0 2 319.369 0.463 20 0 DCADLN Cn1cncc1C[N@@H+]1CC[C@](C)(NC(=O)CCc2nnc[nH]2)C1 ZINC001402146626 1001060248 /nfs/dbraw/zinc/06/02/48/1001060248.db2.gz XOWXDGDZNGMSCS-HNNXBMFYSA-N 0 2 317.397 0.252 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001402187186 1001096392 /nfs/dbraw/zinc/09/63/92/1001096392.db2.gz KMMRMFQWYPJNJO-ZYHUDNBSSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@H](C)CC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001419639119 1001185374 /nfs/dbraw/zinc/18/53/74/1001185374.db2.gz ZAEDAUYSWLJECG-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN COC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@H]1CCCOC1 ZINC001419726443 1001254422 /nfs/dbraw/zinc/25/44/22/1001254422.db2.gz HKOCBKXVCZBRDH-GUBZILKMSA-N 0 2 315.395 0.101 20 0 DCADLN CCn1nncc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001470879236 1016498684 /nfs/dbraw/zinc/49/86/84/1016498684.db2.gz ISUIUUCKUFRJEO-SECBINFHSA-N 0 2 320.357 0.211 20 0 DCADLN CCc1ocnc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403118243 1001681846 /nfs/dbraw/zinc/68/18/46/1001681846.db2.gz MGGRXQFKFSUBBH-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1ocnc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403118243 1001681849 /nfs/dbraw/zinc/68/18/49/1001681849.db2.gz MGGRXQFKFSUBBH-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1ncc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001403194669 1001721302 /nfs/dbraw/zinc/72/13/02/1001721302.db2.gz AMJBBORIDZMGIK-QMMMGPOBSA-N 0 2 324.410 0.780 20 0 DCADLN CCc1ncc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001403194669 1001721308 /nfs/dbraw/zinc/72/13/08/1001721308.db2.gz AMJBBORIDZMGIK-QMMMGPOBSA-N 0 2 324.410 0.780 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001419956044 1001721577 /nfs/dbraw/zinc/72/15/77/1001721577.db2.gz KLNSNMJPPNZCAR-LLVKDONJSA-N 0 2 306.370 0.463 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001419956044 1001721580 /nfs/dbraw/zinc/72/15/80/1001721580.db2.gz KLNSNMJPPNZCAR-LLVKDONJSA-N 0 2 306.370 0.463 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H](O)C1CC1 ZINC001471066651 1016518733 /nfs/dbraw/zinc/51/87/33/1016518733.db2.gz IWPLDWIAWUPFLE-NWDGAFQWSA-N 0 2 302.334 0.681 20 0 DCADLN C[C@@H]1COCC[C@@H]1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420012874 1001764563 /nfs/dbraw/zinc/76/45/63/1001764563.db2.gz PCLHZWZCILTEGN-MNOVXSKESA-N 0 2 311.386 0.073 20 0 DCADLN C[C@@H]1COCC[C@@H]1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420012874 1001764569 /nfs/dbraw/zinc/76/45/69/1001764569.db2.gz PCLHZWZCILTEGN-MNOVXSKESA-N 0 2 311.386 0.073 20 0 DCADLN CC(C)[C@@H](F)C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001403381965 1001910268 /nfs/dbraw/zinc/91/02/68/1001910268.db2.gz MDKOSNUVRHEVBS-GXSJLCMTSA-N 0 2 313.377 0.831 20 0 DCADLN COC(=O)C[C@@](C)(NC(=O)C[C@H]1SC(=N)NC1=O)C1CC1 ZINC001471280358 1016550269 /nfs/dbraw/zinc/55/02/69/1016550269.db2.gz PHPKHDMCWWNXLS-AMIZOPFISA-N 0 2 313.379 0.391 20 0 DCADLN Cc1cc(C(=O)N(CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C(C)C)on1 ZINC001507756449 1016560997 /nfs/dbraw/zinc/56/09/97/1016560997.db2.gz BXTOFKVOPSYQKQ-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN Cc1cc(C(=O)N(CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C(C)C)on1 ZINC001507756449 1016561014 /nfs/dbraw/zinc/56/10/14/1016561014.db2.gz BXTOFKVOPSYQKQ-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN CCN(CC[NH2+][C@@H](C)c1nnc(C)[nH]1)C(=O)c1n[nH]c(C)c1[O-] ZINC001420246379 1002108031 /nfs/dbraw/zinc/10/80/31/1002108031.db2.gz OUMQTZTWPGSEHJ-VIFPVBQESA-N 0 2 321.385 0.663 20 0 DCADLN NS(=O)(=O)C1(CNC(=O)c2cccc(Cl)c2O)CC1 ZINC001471517081 1016595651 /nfs/dbraw/zinc/59/56/51/1016595651.db2.gz KNYKOKHFSRTVGN-UHFFFAOYSA-N 0 2 304.755 0.597 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1cnnn1C ZINC001420386160 1002324993 /nfs/dbraw/zinc/32/49/93/1002324993.db2.gz HEGGLVRDKLLEIL-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN C[C@@H](NC(=O)C1CCCC1)C(=O)N[C@H](C)c1nn(C)cc1O ZINC001420412372 1002379492 /nfs/dbraw/zinc/37/94/92/1002379492.db2.gz BMIFTYFDYXCLKL-NXEZZACHSA-N 0 2 308.382 0.998 20 0 DCADLN CCOC(=O)[C@H](C)C1CN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC001471732659 1016645020 /nfs/dbraw/zinc/64/50/20/1016645020.db2.gz HEGMBMKWLFTVFH-VXNVDRBHSA-N 0 2 313.379 0.200 20 0 DCADLN CCO[C@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420602106 1002677287 /nfs/dbraw/zinc/67/72/87/1002677287.db2.gz UNWAFZTZVDZOLY-YPMHNXCESA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420602106 1002677294 /nfs/dbraw/zinc/67/72/94/1002677294.db2.gz UNWAFZTZVDZOLY-YPMHNXCESA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccns1 ZINC001403938828 1002773738 /nfs/dbraw/zinc/77/37/38/1002773738.db2.gz ZUYUBRFAWFTBKW-UHFFFAOYSA-N 0 2 324.410 0.949 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccns1 ZINC001403938828 1002773746 /nfs/dbraw/zinc/77/37/46/1002773746.db2.gz ZUYUBRFAWFTBKW-UHFFFAOYSA-N 0 2 324.410 0.949 20 0 DCADLN COc1cnc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)nc1 ZINC001472061433 1016717972 /nfs/dbraw/zinc/71/79/72/1016717972.db2.gz LSSHQBPJBDYCGL-UHFFFAOYSA-N 0 2 319.321 0.310 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@H]1O)C(F)C(F)(F)F ZINC001406250448 1003525962 /nfs/dbraw/zinc/52/59/62/1003525962.db2.gz HJCQZMKFSYPDNT-HLTSFMKQSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@H]1O)[C@H](F)C(F)(F)F ZINC001406250448 1003525972 /nfs/dbraw/zinc/52/59/72/1003525972.db2.gz HJCQZMKFSYPDNT-HLTSFMKQSA-N 0 2 323.246 0.217 20 0 DCADLN C[C@H](CNC(=O)C1=CCOCC1)NC(=O)C(F)C(F)(F)F ZINC001428603287 1004051701 /nfs/dbraw/zinc/05/17/01/1004051701.db2.gz ZBRQSHVWFGOEMQ-APPZFPTMSA-N 0 2 312.263 0.854 20 0 DCADLN C[C@H](CNC(=O)C1=CCOCC1)NC(=O)[C@H](F)C(F)(F)F ZINC001428603287 1004051710 /nfs/dbraw/zinc/05/17/10/1004051710.db2.gz ZBRQSHVWFGOEMQ-APPZFPTMSA-N 0 2 312.263 0.854 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cncnc1 ZINC001428654929 1004091384 /nfs/dbraw/zinc/09/13/84/1004091384.db2.gz OTRGPYILTOOGFK-OIBJUYFYSA-N 0 2 322.262 0.540 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cncnc1 ZINC001428654929 1004091390 /nfs/dbraw/zinc/09/13/90/1004091390.db2.gz OTRGPYILTOOGFK-OIBJUYFYSA-N 0 2 322.262 0.540 20 0 DCADLN Cc1nc(C[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)co1 ZINC001406903818 1004201913 /nfs/dbraw/zinc/20/19/13/1004201913.db2.gz GAAYMJVVQOXIDL-UHFFFAOYSA-N 0 2 305.338 0.772 20 0 DCADLN Cc1nonc1C[NH2+]C1(CNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001406908290 1004210114 /nfs/dbraw/zinc/21/01/14/1004210114.db2.gz OFZBKVMZQKCCQC-UHFFFAOYSA-N 0 2 306.326 0.167 20 0 DCADLN Cc1nnccc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001429395152 1004572059 /nfs/dbraw/zinc/57/20/59/1004572059.db2.gz ISKCVHLRVLZQOO-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1nnccc1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001429395152 1004572067 /nfs/dbraw/zinc/57/20/67/1004572067.db2.gz ISKCVHLRVLZQOO-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001427821086 1004629614 /nfs/dbraw/zinc/62/96/14/1004629614.db2.gz DBJUCQNXVKBREG-APPZFPTMSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccccn1 ZINC001427821086 1004629615 /nfs/dbraw/zinc/62/96/15/1004629615.db2.gz DBJUCQNXVKBREG-APPZFPTMSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC001407835304 1004892224 /nfs/dbraw/zinc/89/22/24/1004892224.db2.gz RACNOYUJDUSALG-BWZBUEFSSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ncccn1 ZINC001407835304 1004892226 /nfs/dbraw/zinc/89/22/26/1004892226.db2.gz RACNOYUJDUSALG-BWZBUEFSSA-N 0 2 320.246 0.754 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)NC(=O)C(F)C(F)(F)F ZINC001408203651 1005106419 /nfs/dbraw/zinc/10/64/19/1005106419.db2.gz VBMHPOHVGZVNEC-YLWLKBPMSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001408203651 1005106422 /nfs/dbraw/zinc/10/64/22/1005106422.db2.gz VBMHPOHVGZVNEC-YLWLKBPMSA-N 0 2 324.234 0.317 20 0 DCADLN Cc1nnccc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001408250407 1005126444 /nfs/dbraw/zinc/12/64/44/1005126444.db2.gz DZZUCRAGVBHZHD-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nnccc1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001408250407 1005126445 /nfs/dbraw/zinc/12/64/45/1005126445.db2.gz DZZUCRAGVBHZHD-MUWHJKNJSA-N 0 2 322.262 0.920 20 0 DCADLN CC[N@@H+](C)C(C)(C)C(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001415233832 1005486126 /nfs/dbraw/zinc/48/61/26/1005486126.db2.gz SFDJUFAMAVHKJQ-LLVKDONJSA-N 0 2 323.441 0.612 20 0 DCADLN CCOCC(=O)N1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001415289747 1005493728 /nfs/dbraw/zinc/49/37/28/1005493728.db2.gz UIGKQWGSKWLFLI-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN CCOCC(=O)N1CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001415289747 1005493729 /nfs/dbraw/zinc/49/37/29/1005493729.db2.gz UIGKQWGSKWLFLI-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN CO[C@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001416092822 1005660147 /nfs/dbraw/zinc/66/01/47/1005660147.db2.gz IETCCCVNNIQCCQ-SVRRBLITSA-N 0 2 300.252 0.496 20 0 DCADLN CO[C@H](C)C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001416092822 1005660148 /nfs/dbraw/zinc/66/01/48/1005660148.db2.gz IETCCCVNNIQCCQ-SVRRBLITSA-N 0 2 300.252 0.496 20 0 DCADLN CC[C@@H](CNC(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001416218963 1005672973 /nfs/dbraw/zinc/67/29/73/1005672973.db2.gz OMQNDMJVQMNQNP-BQBZGAKWSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)c1ncc[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001416218963 1005672974 /nfs/dbraw/zinc/67/29/74/1005672974.db2.gz OMQNDMJVQMNQNP-BQBZGAKWSA-N 0 2 310.251 0.935 20 0 DCADLN Cn1ccc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001417147968 1005793308 /nfs/dbraw/zinc/79/33/08/1005793308.db2.gz XRMVKAUQISHFBS-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1ccc(C(=O)NC2(CNC(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001417147968 1005793309 /nfs/dbraw/zinc/79/33/09/1005793309.db2.gz XRMVKAUQISHFBS-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN O=C(c1cccc(O)c1F)N1CCSC[C@H]1c1nn[nH]n1 ZINC001417720540 1005875808 /nfs/dbraw/zinc/87/58/08/1005875808.db2.gz XDAFWTLXJBYBGB-QMMMGPOBSA-N 0 2 309.326 0.975 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](CC(=O)NC(C)(C)C)C2)c1[O-] ZINC001417933507 1005930587 /nfs/dbraw/zinc/93/05/87/1005930587.db2.gz NIZREQOTOLXTQK-SNVBAGLBSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NC(C)(C)C)C2)c1[O-] ZINC001417933507 1005930588 /nfs/dbraw/zinc/93/05/88/1005930588.db2.gz NIZREQOTOLXTQK-SNVBAGLBSA-N 0 2 323.397 0.143 20 0 DCADLN Cn1ccc(CCNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001434789726 1005977079 /nfs/dbraw/zinc/97/70/79/1005977079.db2.gz PTNWNLULLGVWJJ-JTQLQIEISA-N 0 2 319.369 0.376 20 0 DCADLN C[C@H]1CCCN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001434835355 1006026258 /nfs/dbraw/zinc/02/62/58/1006026258.db2.gz RZFQHVDEMAPOEU-VIFPVBQESA-N 0 2 315.399 0.291 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001451411908 1006157013 /nfs/dbraw/zinc/15/70/13/1006157013.db2.gz DTSQLMOTZXIGEE-YUMQZZPRSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccoc1 ZINC001451411908 1006157022 /nfs/dbraw/zinc/15/70/22/1006157022.db2.gz DTSQLMOTZXIGEE-YUMQZZPRSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(CCCF)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001451416854 1006160091 /nfs/dbraw/zinc/16/00/91/1006160091.db2.gz NELFWYTVTDQLBT-SVRRBLITSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001451416854 1006160099 /nfs/dbraw/zinc/16/00/99/1006160099.db2.gz NELFWYTVTDQLBT-SVRRBLITSA-N 0 2 306.231 0.230 20 0 DCADLN CC(F)(F)CC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451532778 1006245764 /nfs/dbraw/zinc/24/57/64/1006245764.db2.gz JVOBIYKBESTQSK-IYSWYEEDSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001451532778 1006245776 /nfs/dbraw/zinc/24/57/76/1006245776.db2.gz JVOBIYKBESTQSK-IYSWYEEDSA-N 0 2 324.221 0.525 20 0 DCADLN CCc1nnc([C@H](C)NS(=O)(=O)N=S2(=O)CCCC2)[nH]1 ZINC001475158922 1017067571 /nfs/dbraw/zinc/06/75/71/1017067571.db2.gz VGHLSVPSCOVASS-QMMMGPOBSA-N 0 2 321.428 0.524 20 0 DCADLN CCc1nc([C@H](C)NS(=O)(=O)N=S2(=O)CCCC2)n[nH]1 ZINC001475158922 1017067582 /nfs/dbraw/zinc/06/75/82/1017067582.db2.gz VGHLSVPSCOVASS-QMMMGPOBSA-N 0 2 321.428 0.524 20 0 DCADLN O=C(CC1CCOCC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437656285 1007222015 /nfs/dbraw/zinc/22/20/15/1007222015.db2.gz GGAVGYRCEMJEDQ-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC1CCOCC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437656285 1007222027 /nfs/dbraw/zinc/22/20/27/1007222027.db2.gz GGAVGYRCEMJEDQ-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CSC[C@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001439831433 1007227756 /nfs/dbraw/zinc/22/77/56/1007227756.db2.gz KCUUEJDBABPBPH-TVQRCGJNSA-N 0 2 313.427 0.590 20 0 DCADLN CSC[C@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001439831433 1007227764 /nfs/dbraw/zinc/22/77/64/1007227764.db2.gz KCUUEJDBABPBPH-TVQRCGJNSA-N 0 2 313.427 0.590 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NC[C@@H]1CCC[N@@H+]1[C@H](C)C(=O)N(C)C ZINC001437667712 1007236335 /nfs/dbraw/zinc/23/63/35/1007236335.db2.gz HHSJRQMVKLFDKS-KGLIPLIRSA-N 0 2 321.425 0.249 20 0 DCADLN CCOCC1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001437675180 1007244831 /nfs/dbraw/zinc/24/48/31/1007244831.db2.gz RHNKMVQPNOEHCK-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CCOCC1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001437675180 1007244836 /nfs/dbraw/zinc/24/48/36/1007244836.db2.gz RHNKMVQPNOEHCK-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1occc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001437781053 1007395771 /nfs/dbraw/zinc/39/57/71/1007395771.db2.gz RVARFQGGGYDQJC-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1occc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001437781053 1007395779 /nfs/dbraw/zinc/39/57/79/1007395779.db2.gz RVARFQGGGYDQJC-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cn[nH]n1 ZINC001453417515 1007462001 /nfs/dbraw/zinc/46/20/01/1007462001.db2.gz UMTLFOJOZZFPIG-LURJTMIESA-N 0 2 309.223 0.084 20 0 DCADLN CCn1cc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001438052894 1007605527 /nfs/dbraw/zinc/60/55/27/1007605527.db2.gz NRXOFHWLCAOJEA-VIFPVBQESA-N 0 2 309.267 0.499 20 0 DCADLN CCn1cc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001438052894 1007605540 /nfs/dbraw/zinc/60/55/40/1007605540.db2.gz NRXOFHWLCAOJEA-VIFPVBQESA-N 0 2 309.267 0.499 20 0 DCADLN Cc1nccn1CC(=O)N1CCCC[C@H]1C[N@H+](C)[C@H](C)C(N)=O ZINC001440516882 1007857934 /nfs/dbraw/zinc/85/79/34/1007857934.db2.gz FJSCCYWEGMYJDH-OCCSQVGLSA-N 0 2 321.425 0.378 20 0 DCADLN COc1ccccc1C(F)(F)C(=O)NCc1nnc(CO)[nH]1 ZINC001453800757 1008725656 /nfs/dbraw/zinc/72/56/56/1008725656.db2.gz LUHWSEJQLDSHPE-UHFFFAOYSA-N 0 2 312.276 0.714 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001454028459 1008862958 /nfs/dbraw/zinc/86/29/58/1008862958.db2.gz RYTKLHUEKQXFEF-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001454028459 1008862973 /nfs/dbraw/zinc/86/29/73/1008862973.db2.gz RYTKLHUEKQXFEF-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cnc(C(F)(F)F)nc1 ZINC001454192281 1008992534 /nfs/dbraw/zinc/99/25/34/1008992534.db2.gz XITLRKWDTIBOQE-UHFFFAOYSA-N 0 2 315.211 0.627 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cnc(C(F)(F)F)nc1 ZINC001454192281 1008992540 /nfs/dbraw/zinc/99/25/40/1008992540.db2.gz XITLRKWDTIBOQE-UHFFFAOYSA-N 0 2 315.211 0.627 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001454311176 1009060003 /nfs/dbraw/zinc/06/00/03/1009060003.db2.gz ISWARHNNIOIZRR-BWZBUEFSSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001454311176 1009060010 /nfs/dbraw/zinc/06/00/10/1009060010.db2.gz ISWARHNNIOIZRR-BWZBUEFSSA-N 0 2 308.235 0.687 20 0 DCADLN CC[C@@H](C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001421779975 1009080919 /nfs/dbraw/zinc/08/09/19/1009080919.db2.gz SJRGMKPXZCQBCR-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CCCCC(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001421914623 1009254404 /nfs/dbraw/zinc/25/44/04/1009254404.db2.gz HFUGLTJSAWHKJX-NSHDSACASA-N 0 2 323.397 0.550 20 0 DCADLN Cc1cccc(C(=O)NCCN(C)Cc2n[nH]c(=O)[nH]2)c1F ZINC001442413512 1009291647 /nfs/dbraw/zinc/29/16/47/1009291647.db2.gz MQBOKOKUYIXIQH-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1cccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1F ZINC001442413512 1009291658 /nfs/dbraw/zinc/29/16/58/1009291658.db2.gz MQBOKOKUYIXIQH-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1cccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1F ZINC001442413512 1009291664 /nfs/dbraw/zinc/29/16/64/1009291664.db2.gz MQBOKOKUYIXIQH-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@H]2CCO[C@@H]2C)CC1 ZINC001433955527 1009396398 /nfs/dbraw/zinc/39/63/98/1009396398.db2.gz ZHPLHFRPVANQRJ-NEPJUHHUSA-N 0 2 320.393 0.451 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@@H]1CSCC(=O)N1 ZINC001433968500 1009413300 /nfs/dbraw/zinc/41/33/00/1009413300.db2.gz JLRPYMKAGOKNIA-VIFPVBQESA-N 0 2 319.346 0.347 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1C[C@@H]1C(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001442656227 1009588926 /nfs/dbraw/zinc/58/89/26/1009588926.db2.gz BONVDSLKFFOJSR-YUMQZZPRSA-N 0 2 303.313 0.350 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1C[C@@H]1C(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001442656227 1009588932 /nfs/dbraw/zinc/58/89/32/1009588932.db2.gz BONVDSLKFFOJSR-YUMQZZPRSA-N 0 2 303.313 0.350 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCc2nnn(C)c2C1)c1nn(C)cc1O ZINC001434405626 1009912515 /nfs/dbraw/zinc/91/25/15/1009912515.db2.gz BAOKLAGEQBLACE-RKDXNWHRSA-N 0 2 304.354 0.237 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1CCS(=O)(=O)C1)c1nn(C)cc1O ZINC001434407981 1009915970 /nfs/dbraw/zinc/91/59/70/1009915970.db2.gz RZANDUDNTQTEMX-BDAKNGLRSA-N 0 2 301.368 0.128 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NCc1cnccn1 ZINC001434655150 1010161314 /nfs/dbraw/zinc/16/13/14/1010161314.db2.gz GNBPVAGIPSIHGC-GOSISDBHSA-N 0 2 306.413 0.707 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C[C@@H]2C[C@H]2C2CC2)[nH]1 ZINC001456308658 1010185030 /nfs/dbraw/zinc/18/50/30/1010185030.db2.gz SNLMIZNHIFYTGR-UWVGGRQHSA-N 0 2 312.395 0.402 20 0 DCADLN CC(C)n1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001422582649 1010348415 /nfs/dbraw/zinc/34/84/15/1010348415.db2.gz YOKUXNMZIOSKEU-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001422582649 1010348422 /nfs/dbraw/zinc/34/84/22/1010348422.db2.gz YOKUXNMZIOSKEU-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN C[C@H](CNC(=O)c1ccc(Cl)cn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422588916 1010363475 /nfs/dbraw/zinc/36/34/75/1010363475.db2.gz ICYVPGGTSFZXRS-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@H](CNC(=O)c1ccc(Cl)cn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422588916 1010363485 /nfs/dbraw/zinc/36/34/85/1010363485.db2.gz ICYVPGGTSFZXRS-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN CSCc1nnc(CNC(=O)NCCn2cc[nH+]c2C)[nH]1 ZINC001477727058 1017302230 /nfs/dbraw/zinc/30/22/30/1017302230.db2.gz MDJVDULMLJMFPN-UHFFFAOYSA-N 0 2 309.399 0.672 20 0 DCADLN C[C@H](CC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001443847038 1010700514 /nfs/dbraw/zinc/70/05/14/1010700514.db2.gz QELNTBXUHHYVPY-PWSUYJOCSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@H](CC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001443847038 1010700523 /nfs/dbraw/zinc/70/05/23/1010700523.db2.gz QELNTBXUHHYVPY-PWSUYJOCSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cncc(Cl)c1 ZINC001422897880 1010716973 /nfs/dbraw/zinc/71/69/73/1010716973.db2.gz IZPHDFFBVDDTBG-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cncc(Cl)c1 ZINC001422897880 1010716976 /nfs/dbraw/zinc/71/69/76/1010716976.db2.gz IZPHDFFBVDDTBG-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN CCC1(C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001444214950 1010908109 /nfs/dbraw/zinc/90/81/09/1010908109.db2.gz QUUZRMUICYCJER-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001444214950 1010908116 /nfs/dbraw/zinc/90/81/16/1010908116.db2.gz QUUZRMUICYCJER-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN COC1(C(F)(F)F)CCN(Cc2cn(CC(N)=O)nn2)CC1 ZINC001423282736 1011045613 /nfs/dbraw/zinc/04/56/13/1011045613.db2.gz DLGLSVKKISSOGT-UHFFFAOYSA-N 0 2 321.303 0.307 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1nc[nH]n1)C(F)C(F)(F)F ZINC001431752872 1011614327 /nfs/dbraw/zinc/61/43/27/1011614327.db2.gz INNLZNAOELTYSI-BQBZGAKWSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)c1nc[nH]n1)[C@H](F)C(F)(F)F ZINC001431752872 1011614334 /nfs/dbraw/zinc/61/43/34/1011614334.db2.gz INNLZNAOELTYSI-BQBZGAKWSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001424043512 1011905507 /nfs/dbraw/zinc/90/55/07/1011905507.db2.gz AWNIYBKOVJRLNV-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1cnccn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001424043512 1011905516 /nfs/dbraw/zinc/90/55/16/1011905516.db2.gz AWNIYBKOVJRLNV-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C[C@H]1C1CC1 ZINC001553471582 1012006415 /nfs/dbraw/zinc/00/64/15/1012006415.db2.gz KEPOYMWKZXDOBR-RWMBFGLXSA-N 0 2 318.377 0.950 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cncn2C)C1 ZINC001536395812 1012112472 /nfs/dbraw/zinc/11/24/72/1012112472.db2.gz VHHCIYKUAPXOKC-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cncn2C)C1 ZINC001536395812 1012112483 /nfs/dbraw/zinc/11/24/83/1012112483.db2.gz VHHCIYKUAPXOKC-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(Cc1nc(=O)o[n-]1)C(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC001557204772 1012266439 /nfs/dbraw/zinc/26/64/39/1012266439.db2.gz KCCXBZWJDRNOQB-UHFFFAOYSA-N 0 2 313.317 0.880 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001424276490 1012285827 /nfs/dbraw/zinc/28/58/27/1012285827.db2.gz JCYOGICKAABJRY-JGVFFNPUSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001424276490 1012285843 /nfs/dbraw/zinc/28/58/43/1012285843.db2.gz JCYOGICKAABJRY-JGVFFNPUSA-N 0 2 322.262 0.954 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccncn1)C(F)C(F)(F)F ZINC001458725166 1012327366 /nfs/dbraw/zinc/32/73/66/1012327366.db2.gz KUZGANPPESSVNX-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccncn1)[C@H](F)C(F)(F)F ZINC001458725166 1012327379 /nfs/dbraw/zinc/32/73/79/1012327379.db2.gz KUZGANPPESSVNX-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN C[C@@H](NC(=O)c1ccccc1-n1cnnn1)c1nn(C)cc1O ZINC001558682718 1012376775 /nfs/dbraw/zinc/37/67/75/1012376775.db2.gz KNTSUYATQMJKRC-SECBINFHSA-N 0 2 313.321 0.592 20 0 DCADLN O=C(Cc1cccs1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446009901 1012515687 /nfs/dbraw/zinc/51/56/87/1012515687.db2.gz IIQSKKXUDARUCR-VIFPVBQESA-N 0 2 307.379 0.505 20 0 DCADLN O=C(Cc1cccc(F)c1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446131979 1012627264 /nfs/dbraw/zinc/62/72/64/1012627264.db2.gz QFJXKTWYSGEYJJ-LBPRGKRZSA-N 0 2 319.340 0.583 20 0 DCADLN CC[C@H](CNC(=O)Cc1[nH]cc[nH+]1)NC(=O)c1[nH]nc(C)c1[O-] ZINC001432799793 1012908520 /nfs/dbraw/zinc/90/85/20/1012908520.db2.gz DNJCBUDRILLASS-SECBINFHSA-N 0 2 320.353 0.014 20 0 DCADLN O=C(NCC1(O)CN(Cc2ccccn2)C1)C(F)C(F)(F)F ZINC001446832396 1013005261 /nfs/dbraw/zinc/00/52/61/1013005261.db2.gz ALBXVRXCQYDAPX-SNVBAGLBSA-N 0 2 321.274 0.645 20 0 DCADLN O=C(NCC1(O)CN(Cc2ccccn2)C1)[C@@H](F)C(F)(F)F ZINC001446832396 1013005272 /nfs/dbraw/zinc/00/52/72/1013005272.db2.gz ALBXVRXCQYDAPX-SNVBAGLBSA-N 0 2 321.274 0.645 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)NCCCCC(=O)[O-] ZINC001521217460 1017336811 /nfs/dbraw/zinc/33/68/11/1017336811.db2.gz RJGDYOQVEXQHKR-GFCCVEGCSA-N 0 2 301.387 0.651 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)NCCCCC(=O)[O-] ZINC001521217460 1017336819 /nfs/dbraw/zinc/33/68/19/1017336819.db2.gz RJGDYOQVEXQHKR-GFCCVEGCSA-N 0 2 301.387 0.651 20 0 DCADLN Cc1c(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cnn1C ZINC001478359920 1017344595 /nfs/dbraw/zinc/34/45/95/1017344595.db2.gz WTLWPDKPINAWRO-JTQLQIEISA-N 0 2 319.369 0.641 20 0 DCADLN CCCC[C@@H](C[NH2+]Cc1nnc(C)[nH]1)NC(=O)[C@@H]1CCC[N@@H+]1C ZINC001506546170 1017354203 /nfs/dbraw/zinc/35/42/03/1017354203.db2.gz YHNZVYDONUPYLL-KBPBESRZSA-N 0 2 322.457 0.972 20 0 DCADLN C[C@@H](NC(=O)Cc1ccoc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506622585 1017416776 /nfs/dbraw/zinc/41/67/76/1017416776.db2.gz UOAWXMDIEQRXLW-SECBINFHSA-N 0 2 305.338 0.283 20 0 DCADLN CCOCCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001506724543 1017477888 /nfs/dbraw/zinc/47/78/88/1017477888.db2.gz AIXHKMYKFZYJAK-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CCOCCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001506724543 1017477895 /nfs/dbraw/zinc/47/78/95/1017477895.db2.gz AIXHKMYKFZYJAK-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1nnc(C)o1 ZINC001479842089 1017860332 /nfs/dbraw/zinc/86/03/32/1017860332.db2.gz POQPDSOMXGQYDG-UHFFFAOYSA-N 0 2 322.369 0.757 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1nnc(C)o1 ZINC001479842089 1017860351 /nfs/dbraw/zinc/86/03/51/1017860351.db2.gz POQPDSOMXGQYDG-UHFFFAOYSA-N 0 2 322.369 0.757 20 0 DCADLN CCN(CC[NH2+][C@H]1CCCNC1=O)C(=O)CCc1c[nH]c[nH+]1 ZINC001497404899 1018117312 /nfs/dbraw/zinc/11/73/12/1018117312.db2.gz PUCGOUMUCJIMMM-ZDUSSCGKSA-N 0 2 307.398 0.059 20 0 DCADLN Cc1noc([C@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001497565954 1018228888 /nfs/dbraw/zinc/22/88/88/1018228888.db2.gz WHATZRFZFSPSKI-MOVJSRMASA-N 0 2 320.353 0.752 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001496124427 1019092636 /nfs/dbraw/zinc/09/26/36/1019092636.db2.gz ZZBZSPZCJRZJHW-RYPBNFRJSA-N 0 2 312.263 0.354 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001496124427 1019092706 /nfs/dbraw/zinc/09/27/06/1019092706.db2.gz ZZBZSPZCJRZJHW-RYPBNFRJSA-N 0 2 312.263 0.354 20 0 DCADLN Cc1nc(CC(=O)NC[C@H]2CCC[N@H+]2Cc2ocnc2C)n[nH]1 ZINC001493095881 1019094750 /nfs/dbraw/zinc/09/47/50/1019094750.db2.gz FKLGBEHWXMJPTQ-GFCCVEGCSA-N 0 2 318.381 0.733 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CCC[C@H]1CNC(=O)Cn1cc[nH+]c1C ZINC001493162305 1019155266 /nfs/dbraw/zinc/15/52/66/1019155266.db2.gz YOWHVFUZTMFHDH-STQMWFEESA-N 0 2 307.398 0.036 20 0 DCADLN COCCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001493907732 1019805945 /nfs/dbraw/zinc/80/59/45/1019805945.db2.gz DXDYTLBUFSYMSB-TXEJJXNPSA-N 0 2 323.397 0.502 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CCn1cc(Cl)cn1 ZINC001608324321 1170649475 /nfs/dbraw/zinc/64/94/75/1170649475.db2.gz YFGKAINURDYGIE-JTQLQIEISA-N 0 2 311.729 0.462 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)N2CCOc3cc[nH+]cc32)CCC1 ZINC001608572947 1170704270 /nfs/dbraw/zinc/70/42/70/1170704270.db2.gz XXGBCEABQNRFES-UHFFFAOYSA-N 0 2 312.347 0.865 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001608610217 1170717924 /nfs/dbraw/zinc/71/79/24/1170717924.db2.gz HBZDDHABIOHGPS-RYUDHWBXSA-N 0 2 309.391 0.898 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001608610217 1170717926 /nfs/dbraw/zinc/71/79/26/1170717926.db2.gz HBZDDHABIOHGPS-RYUDHWBXSA-N 0 2 309.391 0.898 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1C[C@@H](O)CC(F)(F)F ZINC001610170809 1171185359 /nfs/dbraw/zinc/18/53/59/1171185359.db2.gz XYLBDGONMCUUPC-VGMNWLOBSA-N 0 2 313.272 0.638 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1C[C@@H](O)CC(F)(F)F ZINC001610170809 1171185363 /nfs/dbraw/zinc/18/53/63/1171185363.db2.gz XYLBDGONMCUUPC-VGMNWLOBSA-N 0 2 313.272 0.638 20 0 DCADLN CC(C)(C)[C@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000278736321 214366169 /nfs/dbraw/zinc/36/61/69/214366169.db2.gz LKBJZJGINFPDJZ-VIFPVBQESA-N 0 2 306.322 0.573 20 0 DCADLN COC[C@@H](NC(=O)NCc1ccc(C(N)=O)cc1)[C@@H]1CCCO1 ZINC000279182415 214684750 /nfs/dbraw/zinc/68/47/50/214684750.db2.gz GXVUTFOSQJISMS-KGLIPLIRSA-N 0 2 321.377 0.779 20 0 DCADLN CCc1csc(CC(=O)NCCCNS(=O)(=O)CC)n1 ZINC000279183202 214685255 /nfs/dbraw/zinc/68/52/55/214685255.db2.gz IPPNIUGTNAILOQ-UHFFFAOYSA-N 0 2 319.452 0.694 20 0 DCADLN NC(=O)CCn1ccc(NS(=O)(=O)C[C@H]2CCCO2)n1 ZINC000330821735 232093404 /nfs/dbraw/zinc/09/34/04/232093404.db2.gz NKWOQAWFAQPKCZ-SECBINFHSA-N 0 2 302.356 0.729 20 0 DCADLN C[C@@H](O)C[C@@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000279819826 215174232 /nfs/dbraw/zinc/17/42/32/215174232.db2.gz QLIAGMHEGWOHAJ-BDAKNGLRSA-N 0 2 300.384 0.342 20 0 DCADLN CC(C)(C)[C@@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)CCO1 ZINC000279917154 215234550 /nfs/dbraw/zinc/23/45/50/215234550.db2.gz VTYZIQUXBZPSFF-QMMMGPOBSA-N 0 2 300.384 0.464 20 0 DCADLN Cc1[nH+]ccn1-c1ccc(CNC(=O)[C@@H]2C[N@H+](C)CCO2)cn1 ZINC000174716555 198374400 /nfs/dbraw/zinc/37/44/00/198374400.db2.gz OTLXBELPJHKLDS-AWEZNQCLSA-N 0 2 315.377 0.523 20 0 DCADLN CCc1[nH]nc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)c1C ZINC000153853043 291208664 /nfs/dbraw/zinc/20/86/64/291208664.db2.gz BWHFDJAYKWYEDN-UHFFFAOYSA-N 0 2 314.305 0.458 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1cccc2c1OCCO2 ZINC000281250761 216185907 /nfs/dbraw/zinc/18/59/07/216185907.db2.gz URZISWZNUJICCH-UHFFFAOYSA-N 0 2 309.347 0.968 20 0 DCADLN O=C(NCCCc1nc(=O)[nH][nH]1)c1cccc(-c2nnc[nH]2)c1 ZINC000158302447 291230876 /nfs/dbraw/zinc/23/08/76/291230876.db2.gz XFASWTHOAWCEBA-UHFFFAOYSA-N 0 2 313.321 0.246 20 0 DCADLN Cc1cccc(CCNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)c1 ZINC000158929397 291232694 /nfs/dbraw/zinc/23/26/94/291232694.db2.gz RAKOGJUSAVRXSO-UHFFFAOYSA-N 0 2 324.340 0.892 20 0 DCADLN CC(C)(C)N1CC[C@@H](NS(=O)(=O)NCC(F)(F)F)C1=O ZINC000566166422 291276116 /nfs/dbraw/zinc/27/61/16/291276116.db2.gz GEYDNDWAQYSILX-SSDOTTSWSA-N 0 2 317.333 0.372 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N2CC[C@](C)(C(=O)[O-])C2)c(C)[nH+]1 ZINC000422686006 240176490 /nfs/dbraw/zinc/17/64/90/240176490.db2.gz SHVICNLCASWYCR-HNNXBMFYSA-N 0 2 305.334 0.960 20 0 DCADLN COC(=O)c1ccsc1N1CC[C@H]([N@H+](C)CC(=O)[O-])C1=O ZINC000567392523 291353442 /nfs/dbraw/zinc/35/34/42/291353442.db2.gz USVSUUJYXJAFSD-VIFPVBQESA-N 0 2 312.347 0.656 20 0 DCADLN COC(=O)c1ccsc1N1CC[C@H]([N@@H+](C)CC(=O)[O-])C1=O ZINC000567392523 291353444 /nfs/dbraw/zinc/35/34/44/291353444.db2.gz USVSUUJYXJAFSD-VIFPVBQESA-N 0 2 312.347 0.656 20 0 DCADLN C[C@@H](CONC(=O)CN1CCCC1=O)NC(=O)OC(C)(C)C ZINC000495138811 241209284 /nfs/dbraw/zinc/20/92/84/241209284.db2.gz KJQXGVOJENRJFB-JTQLQIEISA-N 0 2 315.370 0.570 20 0 DCADLN C[C@](O)(CNC(=O)CSc1n[nH]c(=O)[nH]1)c1cccs1 ZINC000275122004 212134064 /nfs/dbraw/zinc/13/40/64/212134064.db2.gz MIKXADFNESYNNJ-NSHDSACASA-N 0 2 314.392 0.276 20 0 DCADLN COC(=O)C1(NC(=O)CSc2n[nH]c(=O)[nH]2)CCCCC1 ZINC000275063512 212100773 /nfs/dbraw/zinc/10/07/73/212100773.db2.gz ZHTKCTMMWVUQEE-UHFFFAOYSA-N 0 2 314.367 0.182 20 0 DCADLN CCCC1N=NC(=S)N1CC(=O)N(C)CCS(C)(=O)=O ZINC000263925764 203639759 /nfs/dbraw/zinc/63/97/59/203639759.db2.gz AOUWUSSVYKJGFA-UHFFFAOYSA-N 0 2 320.440 0.022 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1cc(F)c(F)c(O)c1F ZINC000273504737 291521190 /nfs/dbraw/zinc/52/11/90/291521190.db2.gz RNKKHGDWKDDOJP-XRGYYRRGSA-N 0 2 319.235 0.558 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)Cc1ccccc1 ZINC000021954775 182300385 /nfs/dbraw/zinc/30/03/85/182300385.db2.gz PGFXRVUPSCVXSZ-LBPRGKRZSA-N 0 2 309.347 0.525 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)Cc1ccccc1 ZINC000021954775 182300389 /nfs/dbraw/zinc/30/03/89/182300389.db2.gz PGFXRVUPSCVXSZ-LBPRGKRZSA-N 0 2 309.347 0.525 20 0 DCADLN CC(C)(C)c1cc(N2CC[C@@H](CO)[C@H](O)C2)nc(C(=O)[O-])[nH+]1 ZINC000573613522 291644061 /nfs/dbraw/zinc/64/40/61/291644061.db2.gz BBDCLHNWHMWLBA-VHSXEESVSA-N 0 2 309.366 0.652 20 0 DCADLN COC(=O)CN1CCN(C(=O)CCc2c(C)nn(C)c2C)CC1 ZINC000330969842 252648500 /nfs/dbraw/zinc/64/85/00/252648500.db2.gz AYGRDFALNPEXDE-UHFFFAOYSA-N 0 2 322.409 0.287 20 0 DCADLN CCOC(=O)c1ccc(C(=O)N2C[C@H](C)O[C@H](CO)C2)nc1C ZINC000330969157 252648539 /nfs/dbraw/zinc/64/85/39/252648539.db2.gz MBTMIUPQRNOUJS-JQWIXIFHSA-N 0 2 322.361 0.789 20 0 DCADLN C[C@H](CO)N(CC1CCC1)S(=O)(=O)NCC(F)(F)F ZINC000337779601 252893361 /nfs/dbraw/zinc/89/33/61/252893361.db2.gz SBEUOHHXCVUJGI-MRVPVSSYSA-N 0 2 304.334 0.866 20 0 DCADLN COCCOCCS(=O)(=O)Nc1cc(OC)ccc1O ZINC000339073425 253028208 /nfs/dbraw/zinc/02/82/08/253028208.db2.gz YEIZYIPYUXVFES-UHFFFAOYSA-N 0 2 305.352 0.806 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(C(=O)NC(N)=O)c1 ZINC000339096116 253030591 /nfs/dbraw/zinc/03/05/91/253030591.db2.gz NEDIAHAPKGPBBZ-UHFFFAOYSA-N 0 2 323.334 0.029 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1nc(-c2cccnc2)ns1 ZINC000339414444 253081893 /nfs/dbraw/zinc/08/18/93/253081893.db2.gz YHXCWFOYDAKCNV-UHFFFAOYSA-N 0 2 322.375 0.556 20 0 DCADLN CNC(=O)Nc1cccc(NS(=O)(=O)c2ccnn2C)c1 ZINC000340077565 253196559 /nfs/dbraw/zinc/19/65/59/253196559.db2.gz XQVBGOLOPZXXJH-UHFFFAOYSA-N 0 2 309.351 0.972 20 0 DCADLN NC(=O)Cn1ccc(NS(=O)(=O)c2ccc3c(c2)COC3)n1 ZINC000340580142 253278981 /nfs/dbraw/zinc/27/89/81/253278981.db2.gz YRASBSUCLCIGKH-UHFFFAOYSA-N 0 2 322.346 0.199 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)COCC2CC2)s1 ZINC000340939137 253324858 /nfs/dbraw/zinc/32/48/58/253324858.db2.gz XCIIMSQNNNPBAZ-UHFFFAOYSA-N 0 2 306.369 0.275 20 0 DCADLN Cc1c[nH]cc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000340936137 253324995 /nfs/dbraw/zinc/32/49/95/253324995.db2.gz SWUDKSSMRRUCPP-UHFFFAOYSA-N 0 2 301.353 0.798 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H](CO)c1ccc(F)cc1 ZINC000288624963 220299306 /nfs/dbraw/zinc/29/93/06/220299306.db2.gz QMUVILUQPBBJRI-SECBINFHSA-N 0 2 312.326 0.179 20 0 DCADLN COc1cc[nH+]cc1CN1[N-]S(=O)(=O)c2ccccc2C1=O ZINC000341388976 253374326 /nfs/dbraw/zinc/37/43/26/253374326.db2.gz KFOOBRDRQFUHKF-UHFFFAOYSA-N 0 2 319.342 0.940 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)cn1 ZINC000341344830 253369196 /nfs/dbraw/zinc/36/91/96/253369196.db2.gz BMEXHKFOAZMMDB-UHFFFAOYSA-N 0 2 318.358 0.872 20 0 DCADLN Cc1cc(C(=O)NCC[C@H](O)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000346383130 254044345 /nfs/dbraw/zinc/04/43/45/254044345.db2.gz QOFJMPWRTRTRLN-JTQLQIEISA-N 0 2 320.349 0.881 20 0 DCADLN O=C([O-])c1cccc(N2CCC[C@](O)(Cn3ccnn3)C2)[nH+]1 ZINC000263404871 304261742 /nfs/dbraw/zinc/26/17/42/304261742.db2.gz KEXFXHFHZVBQTL-CQSZACIVSA-N 0 2 303.322 0.403 20 0 DCADLN C[C@@H](CC(=O)OC(C)(C)C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001647093389 1172670282 /nfs/dbraw/zinc/67/02/82/1172670282.db2.gz UPENRPPDMXUVIK-JGVFFNPUSA-N 0 2 315.395 0.779 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCNC(=O)C(C)(C)C)cn1 ZINC000275645490 130354238 /nfs/dbraw/zinc/35/42/38/130354238.db2.gz VSVBHLWFMZDAHG-UHFFFAOYSA-N 0 2 318.381 0.702 20 0 DCADLN O=c1[nH]nc(CN2CCCC[C@H]2c2nnc3n2CCCC3)[nH]1 ZINC000091553183 395725934 /nfs/dbraw/zinc/72/59/34/395725934.db2.gz OQQJUJYZMRXXTH-JTQLQIEISA-N 0 2 303.370 0.753 20 0 DCADLN O=S(=O)(Cc1nnnn1C1CC1)c1nc2ccccc2[nH]1 ZINC000047939911 395803962 /nfs/dbraw/zinc/80/39/62/395803962.db2.gz CPIFWKJJMGPGCS-UHFFFAOYSA-N 0 2 304.335 0.858 20 0 DCADLN COC(=O)NCC(=O)NOC[C@H](C)NC(=O)OC(C)(C)C ZINC000270895991 395820591 /nfs/dbraw/zinc/82/05/91/395820591.db2.gz DOQHOFQSUOFFNJ-QMMMGPOBSA-N 0 2 305.331 0.303 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Oc2c(C)nn(C)c2C)c[nH]1 ZINC000271069246 395834973 /nfs/dbraw/zinc/83/49/73/395834973.db2.gz LRWCNRUNAALPJF-UHFFFAOYSA-N 0 2 313.335 0.919 20 0 DCADLN COc1cc(F)c([C@@H](C)NC(=O)c2nc(=O)[nH][nH]2)cc1OC ZINC000114539760 395835763 /nfs/dbraw/zinc/83/57/63/395835763.db2.gz XUECCUJPTSRTBS-ZCFIWIBFSA-N 0 2 310.285 0.745 20 0 DCADLN COC(=O)C[C@@H]1CN(CC(=O)NOCc2ccccc2)CCO1 ZINC000271393138 395863433 /nfs/dbraw/zinc/86/34/33/395863433.db2.gz RQXSMKLYQXQUHM-CQSZACIVSA-N 0 2 322.361 0.498 20 0 DCADLN COCCOCC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000274539688 395956458 /nfs/dbraw/zinc/95/64/58/395956458.db2.gz DPDOKMHYCAQGFG-UHFFFAOYSA-N 0 2 320.349 0.663 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@@H]1COCCO1 ZINC000274556879 395958802 /nfs/dbraw/zinc/95/88/02/395958802.db2.gz JEWRFBGMYIQLEO-LBPRGKRZSA-N 0 2 318.333 0.415 20 0 DCADLN Cc1ccnc2nc(C(=O)Nc3nc(-c4ccccn4)n[nH]3)nn21 ZINC000149936103 395970138 /nfs/dbraw/zinc/97/01/38/395970138.db2.gz HENPRSNBCJFTQR-UHFFFAOYSA-N 0 2 321.304 0.865 20 0 DCADLN O=C1CNC(=O)N1CCCS(=O)(=O)c1nc2ccccc2[nH]1 ZINC000068142157 396047804 /nfs/dbraw/zinc/04/78/04/396047804.db2.gz XGPXJAKBJFAOHY-UHFFFAOYSA-N 0 2 322.346 0.279 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc3c(c2)C(=O)NC3=O)cn1 ZINC000068216100 396049306 /nfs/dbraw/zinc/04/93/06/396049306.db2.gz RIOJYEPHEHIMHK-UHFFFAOYSA-N 0 2 306.303 0.105 20 0 DCADLN CC1(C)[C@H](NS(=O)(=O)NCC(F)(F)F)[C@@H]2CCO[C@H]21 ZINC000249362217 396006018 /nfs/dbraw/zinc/00/60/18/396006018.db2.gz AHNZRONLOZTQIW-XLPZGREQSA-N 0 2 302.318 0.786 20 0 DCADLN NS(=O)(=O)CCCS(=O)(=O)c1nc2ccccc2[nH]1 ZINC000071857363 396119526 /nfs/dbraw/zinc/11/95/26/396119526.db2.gz SZVMKBXVNKGVDG-UHFFFAOYSA-N 0 2 303.365 0.015 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cccc3[nH]c(=O)oc32)C1=O ZINC000278954095 396143744 /nfs/dbraw/zinc/14/37/44/396143744.db2.gz ZANXXCQDBSHSPL-AWEZNQCLSA-N 0 2 318.289 0.486 20 0 DCADLN CN1CCN(C(=O)C2=NN(c3ccc(F)cc3)CC2=O)CC1=O ZINC000176303924 396154095 /nfs/dbraw/zinc/15/40/95/396154095.db2.gz OLYRVANKYAQPHE-UHFFFAOYSA-N 0 2 318.308 0.631 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NCCN1CCOCC1)c2=O ZINC000078752759 396252620 /nfs/dbraw/zinc/25/26/20/396252620.db2.gz NIZMRIQADAVNRB-UHFFFAOYSA-N 0 2 316.361 0.706 20 0 DCADLN CCCN(CC(=O)OC)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000264183725 396260764 /nfs/dbraw/zinc/26/07/64/396260764.db2.gz MRRNQULPEZQCTN-UHFFFAOYSA-N 0 2 320.305 0.461 20 0 DCADLN CO[C@H](C)CS(=O)(=O)Nc1cccc2c1oc(=O)n2C ZINC000282279225 396277236 /nfs/dbraw/zinc/27/72/36/396277236.db2.gz USLYJJSOLSSUGQ-MRVPVSSYSA-N 0 2 300.336 0.908 20 0 DCADLN O=C([O-])[C@H]1CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000263525773 396219915 /nfs/dbraw/zinc/21/99/15/396219915.db2.gz TXQYRWJLNQNQOQ-NWDGAFQWSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@H]1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000263525773 396219919 /nfs/dbraw/zinc/21/99/19/396219919.db2.gz TXQYRWJLNQNQOQ-NWDGAFQWSA-N 0 2 306.366 0.739 20 0 DCADLN COc1ccc(C)cc1CCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000186795526 396358334 /nfs/dbraw/zinc/35/83/34/396358334.db2.gz RNAHFYDAFFEMEM-UHFFFAOYSA-N 0 2 322.390 0.866 20 0 DCADLN CC(=O)NC[C@@H]1CN(C(=O)c2cc(F)c(O)c(F)c2)CCO1 ZINC000186817885 396358982 /nfs/dbraw/zinc/35/89/82/396358982.db2.gz FXYZIACQWQSYME-SNVBAGLBSA-N 0 2 314.288 0.648 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)Nc1nc(N(C)C)n[nH]1 ZINC000286048290 396371694 /nfs/dbraw/zinc/37/16/94/396371694.db2.gz QFQXJHUNXKULOX-LURJTMIESA-N 0 2 322.291 0.512 20 0 DCADLN COCCC[N@H+](C)CCNC(=O)N(C)CCCn1cc[nH+]c1 ZINC000269029421 396381559 /nfs/dbraw/zinc/38/15/59/396381559.db2.gz RYEPSHWQCWREJC-UHFFFAOYSA-N 0 2 311.430 0.883 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(N3CCC3=O)c2)c1O ZINC000286298738 396386274 /nfs/dbraw/zinc/38/62/74/396386274.db2.gz GHCINDKJQMPKTD-GFCCVEGCSA-N 0 2 317.301 0.411 20 0 DCADLN COCCCONC(=O)CNC(=O)c1cc2ccccc2[nH]1 ZINC000287991657 396435988 /nfs/dbraw/zinc/43/59/88/396435988.db2.gz ZHFYBYYIHSLNIP-UHFFFAOYSA-N 0 2 305.334 0.982 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)N[C@@H]2CCCc3cn[nH]c32)co1 ZINC000270177122 396462405 /nfs/dbraw/zinc/46/24/05/396462405.db2.gz DZALKEILMYDWDU-SNVBAGLBSA-N 0 2 324.362 0.718 20 0 DCADLN COC(=O)CCCONC(=O)CNC(=O)c1cccc(O)c1 ZINC000290694436 396470383 /nfs/dbraw/zinc/47/03/83/396470383.db2.gz QATCLBXZCKXQES-UHFFFAOYSA-N 0 2 310.306 0.123 20 0 DCADLN COC(=O)c1coc(S(=O)(=O)Nc2nc(C)n(C)n2)c1 ZINC000292511333 396554209 /nfs/dbraw/zinc/55/42/09/396554209.db2.gz PWJKSXNAQRKDMI-UHFFFAOYSA-N 0 2 300.296 0.304 20 0 DCADLN C[C@H]1CCC[C@]1(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000293965831 396645178 /nfs/dbraw/zinc/64/51/78/396645178.db2.gz BCVJHQAILONWEL-AYVTZFPOSA-N 0 2 318.333 0.717 20 0 DCADLN CC[C@@H](C)[C@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000581941310 396595125 /nfs/dbraw/zinc/59/51/25/396595125.db2.gz AXKMSDIYLAYJFU-NEPJUHHUSA-N 0 2 322.427 0.127 20 0 DCADLN C[C@@H]1CCNC(=O)[C@H]1NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000562466506 396666017 /nfs/dbraw/zinc/66/60/17/396666017.db2.gz DWZFUZHPPMGLDN-KCJUWKMLSA-N 0 2 316.317 0.284 20 0 DCADLN CN1CC[NH2+]C[C@@H]1C[NH2+]Cc1ccc(-n2cncn2)c(F)c1 ZINC000562748076 396677582 /nfs/dbraw/zinc/67/75/82/396677582.db2.gz MAAZCOTXMQPKFC-CYBMUJFWSA-N 0 2 304.373 0.400 20 0 DCADLN CC(=O)NC[C@H]1C[N@H+](CCOc2cccc(C(=O)[O-])c2)CCO1 ZINC000563277211 396719803 /nfs/dbraw/zinc/71/98/03/396719803.db2.gz KWAHHAGROSKKMN-HNNXBMFYSA-N 0 2 322.361 0.601 20 0 DCADLN CC(=O)NC[C@H]1C[N@@H+](CCOc2cccc(C(=O)[O-])c2)CCO1 ZINC000563277211 396719806 /nfs/dbraw/zinc/71/98/06/396719806.db2.gz KWAHHAGROSKKMN-HNNXBMFYSA-N 0 2 322.361 0.601 20 0 DCADLN C[N@@H+]1CCN(C(=O)Nc2ccc(-n3cccn3)cc2)[C@@H](C[NH3+])C1 ZINC000563429535 396733032 /nfs/dbraw/zinc/73/30/32/396733032.db2.gz GMWRWNDRSHKKMS-HNNXBMFYSA-N 0 2 314.393 0.979 20 0 DCADLN Cc1nn(C)c2ncc(C[N@@H+]3CCN4C(=O)[C@H]([NH3+])C[C@@H]4C3)cc12 ZINC000563431143 396733297 /nfs/dbraw/zinc/73/32/97/396733297.db2.gz GERGXQGFXFUTRR-TZMCWYRMSA-N 0 2 314.393 0.021 20 0 DCADLN CCOC(=O)c1nccc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000596225720 396736638 /nfs/dbraw/zinc/73/66/38/396736638.db2.gz GEYCVPCKVKIJDU-SECBINFHSA-N 0 2 318.337 0.861 20 0 DCADLN O=C(NCC1(OCCO)CCOCC1)c1cccc2[nH]nnc21 ZINC000564345530 396826971 /nfs/dbraw/zinc/82/69/71/396826971.db2.gz IQLTWVQGBIITDE-UHFFFAOYSA-N 0 2 320.349 0.246 20 0 DCADLN COCCS(=O)(=O)N1CCCC[C@@H]1c1nnc(COC)[nH]1 ZINC000330898962 396865289 /nfs/dbraw/zinc/86/52/89/396865289.db2.gz VJBKLJKCHVMSOR-SNVBAGLBSA-N 0 2 318.399 0.454 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N(C3CC3)C3CC3)cc-2c(=O)[nH]1 ZINC000625441467 396875046 /nfs/dbraw/zinc/87/50/46/396875046.db2.gz SSAXCQKNXVLAIR-UHFFFAOYSA-N 0 2 322.346 0.752 20 0 DCADLN COc1ccccc1C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)C1 ZINC000634584675 396887990 /nfs/dbraw/zinc/88/79/90/396887990.db2.gz JXTQAKMAZZZHHN-JTQLQIEISA-N 0 2 308.359 0.819 20 0 DCADLN COc1ccccc1-c1cc(=O)n([C@H]2CCS(=O)(=O)C2)[nH]1 ZINC000634584675 396887999 /nfs/dbraw/zinc/88/79/99/396887999.db2.gz JXTQAKMAZZZHHN-JTQLQIEISA-N 0 2 308.359 0.819 20 0 DCADLN COc1ccc(-c2cc(=O)n([C@H]3CCS(=O)(=O)C3)[nH]2)cc1 ZINC000634584862 396888450 /nfs/dbraw/zinc/88/84/50/396888450.db2.gz PMSLJCPWTBGQRD-NSHDSACASA-N 0 2 308.359 0.819 20 0 DCADLN C[C@H](C(F)(F)F)S(=O)(=O)N[C@@H](CO)[C@H]1CCCOC1 ZINC000571582263 396967029 /nfs/dbraw/zinc/96/70/29/396967029.db2.gz NSQLCJNLCCUWOY-VGMNWLOBSA-N 0 2 305.318 0.644 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)NCCc1ccc(C(=O)[O-])cc1 ZINC000630097625 396940445 /nfs/dbraw/zinc/94/04/45/396940445.db2.gz KOKXWURCKPKPGZ-CQSZACIVSA-N 0 2 306.362 0.764 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)NCCc1ccc(C(=O)[O-])cc1 ZINC000630097625 396940449 /nfs/dbraw/zinc/94/04/49/396940449.db2.gz KOKXWURCKPKPGZ-CQSZACIVSA-N 0 2 306.362 0.764 20 0 DCADLN CC(C)(C)OC(=O)[C@]1(C(=O)NCc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000597334993 396944357 /nfs/dbraw/zinc/94/43/57/396944357.db2.gz CSWRINMRBCIPQK-CQSZACIVSA-N 0 2 310.354 0.885 20 0 DCADLN CNC(=O)[C@@H](C)CN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000611904880 396946629 /nfs/dbraw/zinc/94/66/29/396946629.db2.gz SBCGBUDOLMZPKW-VIFPVBQESA-N 0 2 318.333 0.484 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)NC[C@](C)(C(=O)[O-])c1ccccc1 ZINC000630170904 396952801 /nfs/dbraw/zinc/95/28/01/396952801.db2.gz PZGKXAZMRRYEIB-PBHICJAKSA-N 0 2 320.389 0.866 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)NC[C@](C)(C(=O)[O-])c1ccccc1 ZINC000630170904 396952806 /nfs/dbraw/zinc/95/28/06/396952806.db2.gz PZGKXAZMRRYEIB-PBHICJAKSA-N 0 2 320.389 0.866 20 0 DCADLN C[C@](O)(CNC(=O)Cc1c[nH+]cn1Cc1ccccc1)C(=O)[O-] ZINC000571799139 396989061 /nfs/dbraw/zinc/98/90/61/396989061.db2.gz AHXDQCBGLJJGPZ-INIZCTEOSA-N 0 2 317.345 0.426 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCN(C)C(=O)C2)c1 ZINC000591779727 397001144 /nfs/dbraw/zinc/00/11/44/397001144.db2.gz NYQSYSMBKPTWIM-SAHAZLINSA-N 0 2 324.402 0.540 20 0 DCADLN COC(=O)c1cc(C(=O)N2CCC[C@@H](C3N=NC(=O)O3)C2)on1 ZINC000591831392 397007364 /nfs/dbraw/zinc/00/73/64/397007364.db2.gz RYAXKYRVXZWOAR-SSDOTTSWSA-N 0 2 322.277 0.570 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H]1COc2ccccc2C1 ZINC000376791429 396979299 /nfs/dbraw/zinc/97/92/99/396979299.db2.gz GHSVKLQHNYVTKT-SECBINFHSA-N 0 2 320.374 0.970 20 0 DCADLN Cc1cnc(NS(=O)(=O)CCCCS(N)(=O)=O)s1 ZINC000349615082 397074792 /nfs/dbraw/zinc/07/47/92/397074792.db2.gz ZHZHQLCZMUFXGR-UHFFFAOYSA-N 0 2 313.426 0.262 20 0 DCADLN Cc1cnn([C@H]2[C@H](NC(=O)c3cccc4[nH]nnc43)C[C@@H]2O)c1 ZINC000619307511 397115738 /nfs/dbraw/zinc/11/57/38/397115738.db2.gz HNTZTYBWYHIDAM-DYEKYZERSA-N 0 2 312.333 0.567 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC(F)(F)C2)on1 ZINC000599261357 397380511 /nfs/dbraw/zinc/38/05/11/397380511.db2.gz OUOAFEOKTICUPL-ZCFIWIBFSA-N 0 2 310.278 0.927 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000614179684 397393842 /nfs/dbraw/zinc/39/38/42/397393842.db2.gz SRTZWHXOEYVTBC-SNVBAGLBSA-N 0 2 320.349 0.721 20 0 DCADLN O=C(C1CC1)C1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC000578655211 397467243 /nfs/dbraw/zinc/46/72/43/397467243.db2.gz WPZKXPFPMVPCHW-SNVBAGLBSA-N 0 2 304.350 0.976 20 0 DCADLN COc1cc(F)cc(CNC(=O)N2CC[N@H+](C)C[C@@H]2C[NH3+])c1 ZINC000578729924 397474244 /nfs/dbraw/zinc/47/42/44/397474244.db2.gz AOJZFSWMOCKOBQ-ZDUSSCGKSA-N 0 2 310.373 0.619 20 0 DCADLN O=C(COCC(F)(F)F)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000578773438 397482890 /nfs/dbraw/zinc/48/28/90/397482890.db2.gz MJHJHTCAGPYTDK-SSDOTTSWSA-N 0 2 308.260 0.795 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+](CC(=O)[O-])C1CCC1)C(=O)OC ZINC000594524917 397564758 /nfs/dbraw/zinc/56/47/58/397564758.db2.gz NHBHLORZUVPQEA-IINYFYTJSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+](CC(=O)[O-])C1CCC1)C(=O)OC ZINC000594524917 397564760 /nfs/dbraw/zinc/56/47/60/397564760.db2.gz NHBHLORZUVPQEA-IINYFYTJSA-N 0 2 314.382 0.629 20 0 DCADLN CC[NH+]1CCN(C[C@H](C)CNC(=O)[C@H]2CCCC[N@@H+]2C)CC1 ZINC000606371699 397679581 /nfs/dbraw/zinc/67/95/81/397679581.db2.gz QLXBATAUCAPYES-HZPDHXFCSA-N 0 2 310.486 0.861 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CC[C@H](CO)C2)o1)C(F)(F)F ZINC001647319537 1172809538 /nfs/dbraw/zinc/80/95/38/1172809538.db2.gz PIVVWILTQOTWKN-QMMMGPOBSA-N 0 2 320.267 0.913 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCC[C@H](C(N)=O)C1)c2=O ZINC000119276204 158185744 /nfs/dbraw/zinc/18/57/44/158185744.db2.gz PLLXQMZRUNMPQC-IUCAKERBSA-N 0 2 317.349 0.448 20 0 DCADLN CC(C)c1cc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)[nH]n1 ZINC000135032117 158332677 /nfs/dbraw/zinc/33/26/77/158332677.db2.gz ZWODZCHLGOPBPG-UHFFFAOYSA-N 0 2 311.367 0.771 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CCCN(C(=O)N3CCCC3)C2)n1 ZINC000171549458 158384177 /nfs/dbraw/zinc/38/41/77/158384177.db2.gz WUFWAWLCZXVJOU-NSHDSACASA-N 0 2 306.370 0.979 20 0 DCADLN O=C(c1cnn2ccncc12)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329089931 159059946 /nfs/dbraw/zinc/05/99/46/159059946.db2.gz WJCLDBKTPALDJL-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCS[C@H]2COCC[C@@H]21 ZINC000329541493 159100893 /nfs/dbraw/zinc/10/08/93/159100893.db2.gz NJAUKCNYBURAIE-YUMQZZPRSA-N 0 2 316.408 0.335 20 0 DCADLN Cn1c(CN2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nnc1C1CC1 ZINC000329684889 159112337 /nfs/dbraw/zinc/11/23/37/159112337.db2.gz ZSAXOZICUQIFFP-SNVBAGLBSA-N 0 2 303.370 0.896 20 0 DCADLN CN1C(=O)c2ccc(S(=O)(=O)Nc3cn[nH]c3)cc2C1=O ZINC000341724067 159225119 /nfs/dbraw/zinc/22/51/19/159225119.db2.gz WNYOEQISPZRXDE-UHFFFAOYSA-N 0 2 306.303 0.436 20 0 DCADLN O=S(=O)(NCC(F)(F)F)NCC1(O)Cc2ccccc2C1 ZINC000367029789 159306444 /nfs/dbraw/zinc/30/64/44/159306444.db2.gz LCTSXNRUZWHRAJ-UHFFFAOYSA-N 0 2 324.324 0.503 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)c1ccccn1 ZINC000047477715 286887586 /nfs/dbraw/zinc/88/75/86/286887586.db2.gz BRMUUGVPMNSXKT-QMMMGPOBSA-N 0 2 311.301 0.910 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)OCCO2 ZINC000080975122 286927902 /nfs/dbraw/zinc/92/79/02/286927902.db2.gz RYWDKTWCKZGZIR-UHFFFAOYSA-N 0 2 304.306 0.232 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC(CO)CO)=N1 ZINC000135219238 287020715 /nfs/dbraw/zinc/02/07/15/287020715.db2.gz WXCSNPWTKJEJCW-UHFFFAOYSA-N 0 2 305.334 0.223 20 0 DCADLN CC(C)[C@H](C(=O)[O-])N1CC[C@H](NC(=O)CCn2cc[nH+]c2)C1=O ZINC000358718425 287212198 /nfs/dbraw/zinc/21/21/98/287212198.db2.gz YCKDEXBNBZGTDP-WCQYABFASA-N 0 2 322.365 0.100 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2nc3ncccn3n2)nc1C ZINC000535056849 412462887 /nfs/dbraw/zinc/46/28/87/412462887.db2.gz OHSLYFSWEFTDCA-UHFFFAOYSA-N 0 2 307.339 0.450 20 0 DCADLN CN(C(=O)C1=NN(c2ccccc2)CC1=O)[C@H]1COC[C@H]1O ZINC000342344869 415143161 /nfs/dbraw/zinc/14/31/61/415143161.db2.gz KHXKYJVGGKUCGM-WCQYABFASA-N 0 2 303.318 0.410 20 0 DCADLN Cc1cc(F)ccc1S(=O)(=O)Nc1ccn(CC(N)=O)n1 ZINC000342791551 415298759 /nfs/dbraw/zinc/29/87/59/415298759.db2.gz NUUWSNRRKNKHGM-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN COc1cc(C[NH+]2CCN(C(=O)C(=O)[O-])CC2)cc(OC)c1 ZINC000274193148 415335170 /nfs/dbraw/zinc/33/51/70/415335170.db2.gz RLOIWGXVYPBVJV-UHFFFAOYSA-N 0 2 308.334 0.433 20 0 DCADLN CC(C)c1noc(=NCC[NH+]2CCN(C(=O)C3CCC3)CC2)[n-]1 ZINC000343023948 415407451 /nfs/dbraw/zinc/40/74/51/415407451.db2.gz MLORKUXXGNKEFF-UHFFFAOYSA-N 0 2 321.425 0.971 20 0 DCADLN COC(=O)[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)CCO1 ZINC000103149215 415609128 /nfs/dbraw/zinc/60/91/28/415609128.db2.gz SNAWHXYNARQDEY-VIFPVBQESA-N 0 2 309.347 0.358 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)NCC2([NH+]3CCOCC3)CC2)CC[N@@H+]1C ZINC000333447891 415653765 /nfs/dbraw/zinc/65/37/65/415653765.db2.gz NBKAJMUSFWQLFV-KGLIPLIRSA-N 0 2 310.442 0.633 20 0 DCADLN COCc1nc(S(=O)(=O)[C@H](C)C(=O)NCCC(C)C)n[nH]1 ZINC000353885067 415684093 /nfs/dbraw/zinc/68/40/93/415684093.db2.gz BJVHXBKZNJYMIJ-SECBINFHSA-N 0 2 318.399 0.276 20 0 DCADLN C[C@H]1C[C@H](NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C[NH+]1C1CC1 ZINC000333674579 415713240 /nfs/dbraw/zinc/71/32/40/415713240.db2.gz YTCZTXKYMQFAOU-DARAHFNDSA-N 0 2 322.453 0.774 20 0 DCADLN COCc1noc([C@@H](C)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000344123385 415842700 /nfs/dbraw/zinc/84/27/00/415842700.db2.gz VQRKWHQBKCHRFB-BDAKNGLRSA-N 0 2 308.342 0.980 20 0 DCADLN Cc1nnc([C@H]2CN([C@@H](C)C(=O)NC(=O)NC(C)C)CCO2)[nH]1 ZINC000334035556 415789231 /nfs/dbraw/zinc/78/92/31/415789231.db2.gz PUXVCMYPFMFLOG-GXSJLCMTSA-N 0 2 324.385 0.109 20 0 DCADLN Cc1nc([C@H]2CN([C@@H](C)C(=O)NC(=O)NC(C)C)CCO2)n[nH]1 ZINC000334035556 415789236 /nfs/dbraw/zinc/78/92/36/415789236.db2.gz PUXVCMYPFMFLOG-GXSJLCMTSA-N 0 2 324.385 0.109 20 0 DCADLN COc1ccc(C(=O)C(=O)Nc2nccn(C)c2=O)cc1Cl ZINC000354794070 415820786 /nfs/dbraw/zinc/82/07/86/415820786.db2.gz GVSMVLHYPHAWBV-UHFFFAOYSA-N 0 2 321.720 1.264 20 0 DCADLN C[C@H]1CCC[N@H+](CCNC(=O)N(C)CC[NH+]2CCOCC2)C1 ZINC000356228543 415887740 /nfs/dbraw/zinc/88/77/40/415887740.db2.gz XTOXPLCWLMSWJU-HNNXBMFYSA-N 0 2 312.458 0.692 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)Nc2ncn(C)n2)=N1 ZINC000356434927 415921988 /nfs/dbraw/zinc/92/19/88/415921988.db2.gz FFAXSRMIJBXIPN-UHFFFAOYSA-N 0 2 312.333 1.521 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@@H]3CC[C@@H](CO)O3)c[nH]c2n1 ZINC000356562077 415951482 /nfs/dbraw/zinc/95/14/82/415951482.db2.gz ZLQQVLRJWAEHFX-QWRGUYRKSA-N 0 2 317.345 0.914 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000344684101 415970606 /nfs/dbraw/zinc/97/06/06/415970606.db2.gz FSECTSIRLLSIJI-QMMMGPOBSA-N 0 2 304.306 0.341 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)c1ncnn1C ZINC000356727604 415978086 /nfs/dbraw/zinc/97/80/86/415978086.db2.gz CIYKZQRXKISPEQ-ZETCQYMHSA-N 0 2 301.310 0.293 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cccc2c1OCC(=O)N2 ZINC000356859280 415996426 /nfs/dbraw/zinc/99/64/26/415996426.db2.gz KDQSLJZYYHKTGZ-UHFFFAOYSA-N 0 2 321.318 0.572 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000298916503 416037862 /nfs/dbraw/zinc/03/78/62/416037862.db2.gz GGXGHFNADAZIHQ-RITPCOANSA-N 0 2 306.369 0.273 20 0 DCADLN CN(C)c1cc[nH+]c(N2CCN([C@@H]3CCC[N@@H+](C)C3)CC2)n1 ZINC000301740488 416089766 /nfs/dbraw/zinc/08/97/66/416089766.db2.gz UIBYQSJOKKFZKI-CQSZACIVSA-N 0 2 304.442 0.759 20 0 DCADLN O=C(NCCCn1cc[nH+]c1)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000357612704 416105536 /nfs/dbraw/zinc/10/55/36/416105536.db2.gz KAOYSQSPMOCSFK-UHFFFAOYSA-N 0 2 314.305 0.453 20 0 DCADLN C[N@H+](CC(=O)NCc1ccccc1)C1CC[NH+](CCO)CC1 ZINC000357650335 416111363 /nfs/dbraw/zinc/11/13/63/416111363.db2.gz QNFFTIXUENXLCL-UHFFFAOYSA-N 0 2 305.422 0.691 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)Cc2ccccc2C)cn1 ZINC000345687180 416133181 /nfs/dbraw/zinc/13/31/81/416133181.db2.gz ZOGPHMLGTHQHHK-UHFFFAOYSA-N 0 2 321.358 1.514 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)[C@@H]2CCCC(=O)N2)[nH]1 ZINC000357999266 416169879 /nfs/dbraw/zinc/16/98/79/416169879.db2.gz GENDXSURAMFKRR-WDEREUQCSA-N 0 2 321.381 0.673 20 0 DCADLN CC(C)[C@H](CO)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000346022136 416184359 /nfs/dbraw/zinc/18/43/59/416184359.db2.gz UTKUPQSLZATXMM-VIFPVBQESA-N 0 2 306.322 0.430 20 0 DCADLN C[C@H](NS(=O)(=O)CC1CCS(=O)(=O)CC1)C(F)(F)F ZINC000341668748 416221156 /nfs/dbraw/zinc/22/11/56/416221156.db2.gz YCJOMORVHVOGGR-ZETCQYMHSA-N 0 2 323.358 0.681 20 0 DCADLN CC(C)n1cc(C[NH2+]CCC[N@@H+]2CCC[C@H]2C(=O)N(C)C)nn1 ZINC000342015746 416266259 /nfs/dbraw/zinc/26/62/59/416266259.db2.gz BSYALQYSFZGOBF-HNNXBMFYSA-N 0 2 322.457 0.891 20 0 DCADLN C[C@@H](NS(=O)(=O)NCC(F)(F)F)C(=O)NC(C)(C)C ZINC000192017843 416297516 /nfs/dbraw/zinc/29/75/16/416297516.db2.gz KZRNRXQUQYKXHO-ZCFIWIBFSA-N 0 2 305.322 0.276 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+]2CCCC[C@@H]2C(=O)[O-])C1 ZINC000262276788 416336587 /nfs/dbraw/zinc/33/65/87/416336587.db2.gz JRWBHEXFEAQOHI-CHWSQXEVSA-N 0 2 311.382 0.053 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+]2CCCC[C@@H]2C(=O)[O-])C1 ZINC000262276788 416336588 /nfs/dbraw/zinc/33/65/88/416336588.db2.gz JRWBHEXFEAQOHI-CHWSQXEVSA-N 0 2 311.382 0.053 20 0 DCADLN Nc1noc(CCNC(=O)C2=NN(c3ccccc3)CC2=O)n1 ZINC000359577969 416351578 /nfs/dbraw/zinc/35/15/78/416351578.db2.gz GRJMEEPRABZLSH-UHFFFAOYSA-N 0 2 314.305 0.516 20 0 DCADLN COC(=O)[C@H](C)N(C1CCC1)S(=O)(=O)NCC(F)(F)F ZINC000195470039 416326621 /nfs/dbraw/zinc/32/66/21/416326621.db2.gz WSCTZHXEQSIDAZ-ZETCQYMHSA-N 0 2 318.317 0.799 20 0 DCADLN CCCc1nsc(NCC2CN(S(=O)(=O)CC)C2)n1 ZINC000360047451 416368134 /nfs/dbraw/zinc/36/81/34/416368134.db2.gz LOJUWQNMIWRRJJ-UHFFFAOYSA-N 0 2 304.441 0.606 20 0 DCADLN CC(C)[C@H](C(=O)[O-])N1CC[C@H](Nc2cc[nH+]c(C3CC3)n2)C1=O ZINC000583920478 416483533 /nfs/dbraw/zinc/48/35/33/416483533.db2.gz VXTDRSRCVSXWPR-WCQYABFASA-N 0 2 318.377 1.476 20 0 DCADLN Cc1cc(C(=O)N(CCO)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000532680657 416450058 /nfs/dbraw/zinc/45/00/58/416450058.db2.gz UCAVTTOJPUEIHR-UHFFFAOYSA-N 0 2 304.306 0.341 20 0 DCADLN COC(=O)c1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c(F)c1 ZINC000361599368 416458417 /nfs/dbraw/zinc/45/84/17/416458417.db2.gz CRRCIZLKYFECMG-UHFFFAOYSA-N 0 2 322.296 0.799 20 0 DCADLN CO[C@H]1CCN(C(=O)Cc2cn3ccccc3[nH+]2)[C@@H](C(=O)[O-])C1 ZINC000584064815 416497482 /nfs/dbraw/zinc/49/74/82/416497482.db2.gz OOXODHWSRBQISJ-QWHCGFSZSA-N 0 2 317.345 0.967 20 0 DCADLN CO[C@@H]1CCN(C(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)C1 ZINC000361876847 416515639 /nfs/dbraw/zinc/51/56/39/416515639.db2.gz HKUKROMLIFGNDC-MRVPVSSYSA-N 0 2 312.395 0.443 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)N(C)Cc1nnc[nH]1 ZINC000439524089 416615594 /nfs/dbraw/zinc/61/55/94/416615594.db2.gz YJBBGSGICNVDBZ-UHFFFAOYSA-N 0 2 315.337 0.371 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N(CCO)C1CCC1 ZINC000440167699 416628838 /nfs/dbraw/zinc/62/88/38/416628838.db2.gz CITSPBRPBPJOQN-UHFFFAOYSA-N 0 2 304.306 0.423 20 0 DCADLN CCCC[C@H](NC(N)=O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000514937421 416683645 /nfs/dbraw/zinc/68/36/45/416683645.db2.gz AYSAEUQRMMEIRA-ZJUUUORDSA-N 0 2 324.385 0.443 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H](CO)c1ccc(F)cc1 ZINC000442951929 416723785 /nfs/dbraw/zinc/72/37/85/416723785.db2.gz PVKUWXGBIRMVFK-SECBINFHSA-N 0 2 316.276 0.845 20 0 DCADLN O=C1[C@@H](NS(=O)(=O)NCC(F)(F)F)CN1c1ccccc1 ZINC000369111486 416725728 /nfs/dbraw/zinc/72/57/28/416725728.db2.gz HFXVIABCRCOJOO-VIFPVBQESA-N 0 2 323.296 0.388 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1CCO[C@]2(CCOC2)C1 ZINC000443044545 416727698 /nfs/dbraw/zinc/72/76/98/416727698.db2.gz PRTRXHKLPWVOFX-RKDXNWHRSA-N 0 2 318.317 0.311 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H]1CCO[C@@]2(CCOC2)C1 ZINC000443044542 416728055 /nfs/dbraw/zinc/72/80/55/416728055.db2.gz PRTRXHKLPWVOFX-IUCAKERBSA-N 0 2 318.317 0.311 20 0 DCADLN COC(=O)CCSCCNC(=O)c1cccc2[nH]nnc21 ZINC000615134625 416766948 /nfs/dbraw/zinc/76/69/48/416766948.db2.gz SBZSZBWBISGCDH-UHFFFAOYSA-N 0 2 308.363 0.984 20 0 DCADLN Cc1nn(C)cc1CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000517570997 416811398 /nfs/dbraw/zinc/81/13/98/416811398.db2.gz KHUVUJWWSIBVQI-SNVBAGLBSA-N 0 2 304.354 0.501 20 0 DCADLN CC(C)(C)c1cc(NC[C@H](CO)[C@H]2CCOC2)nc(C(=O)[O-])[nH+]1 ZINC000518776703 416877475 /nfs/dbraw/zinc/87/74/75/416877475.db2.gz IWDLHCVODKBCPZ-WDEREUQCSA-N 0 2 323.393 0.951 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2CCC(=O)C2)s1 ZINC000565014870 416906656 /nfs/dbraw/zinc/90/66/56/416906656.db2.gz IEIYLNVLJNIHES-YFKPBYRVSA-N 0 2 304.353 0.217 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1nnc(C)s1 ZINC000446724880 416940844 /nfs/dbraw/zinc/94/08/44/416940844.db2.gz CLKJIKOPUTYEQQ-UHFFFAOYSA-N 0 2 320.377 0.890 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@H]2C[C@@]2(O)C1 ZINC000641606057 416942231 /nfs/dbraw/zinc/94/22/31/416942231.db2.gz RDKRZWOAZVFPEB-RFAUZJTJSA-N 0 2 303.293 0.924 20 0 DCADLN CC[C@@H]1C[NH+](CCNC(=O)N[C@@H]2CC[N@@H+](C3CC3)C2)CCO1 ZINC000565751535 417015155 /nfs/dbraw/zinc/01/51/55/417015155.db2.gz BHDSZVHZMSBIGW-UKRRQHHQSA-N 0 2 310.442 0.633 20 0 DCADLN CCS(=O)(=O)NC1CCN(c2[nH+]cccc2C(=O)[O-])CC1 ZINC000642186723 417031982 /nfs/dbraw/zinc/03/19/82/417031982.db2.gz MKNVUEFLIRBERD-UHFFFAOYSA-N 0 2 313.379 0.688 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)N[C@H]1CNC(=O)C1 ZINC000429453429 416975536 /nfs/dbraw/zinc/97/55/36/416975536.db2.gz RSCYGFXIOXUZEU-SECBINFHSA-N 0 2 303.347 0.637 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cncc(C)c2)cn1C ZINC000432376107 417175981 /nfs/dbraw/zinc/17/59/81/417175981.db2.gz VHNQPXRCVLTYKQ-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN COCCn1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000570760370 417389994 /nfs/dbraw/zinc/38/99/94/417389994.db2.gz GIMSIUXRDOBXAB-SNVBAGLBSA-N 0 2 320.353 0.373 20 0 DCADLN COc1ccc(OC)c(C[N@@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@@H]3C2)c1 ZINC000570827923 417398332 /nfs/dbraw/zinc/39/83/32/417398332.db2.gz QPFOPHQSBPIQGA-OCCSQVGLSA-N 0 2 305.378 0.448 20 0 DCADLN CO[C@@H]1COCC[C@H]1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452642340 417495136 /nfs/dbraw/zinc/49/51/36/417495136.db2.gz USKCGGWGDBEOPI-JOYOIKCWSA-N 0 2 320.349 0.455 20 0 DCADLN CN(Cc1ncon1)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000622488337 417452868 /nfs/dbraw/zinc/45/28/68/417452868.db2.gz PZKDVCDERJLYAG-UHFFFAOYSA-N 0 2 301.262 0.685 20 0 DCADLN CN(C)c1n[nH]c(NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)n1 ZINC000622594991 417464863 /nfs/dbraw/zinc/46/48/63/417464863.db2.gz HLZDNJPOCMBRHP-UHFFFAOYSA-N 0 2 315.293 0.466 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2CC[C@H](F)C2)s[nH]1 ZINC000453809779 417532564 /nfs/dbraw/zinc/53/25/64/417532564.db2.gz FIEMSBBYQMOYEJ-ZETCQYMHSA-N 0 2 300.359 0.766 20 0 DCADLN CC(C)C[C@@](C)(CC(=O)[O-])C(=O)N1CC[NH+](CCO)CC1 ZINC000634939429 417549522 /nfs/dbraw/zinc/54/95/22/417549522.db2.gz ITUHVGUJRKWDAU-HNNXBMFYSA-N 0 2 300.399 0.650 20 0 DCADLN CCN1C[C@@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)CC1=O ZINC000452970149 417512736 /nfs/dbraw/zinc/51/27/36/417512736.db2.gz WZYYWGBPTCEGRS-QMMMGPOBSA-N 0 2 303.322 0.025 20 0 DCADLN CC[C@H](NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)[C@@H](C)O ZINC000456206185 417633642 /nfs/dbraw/zinc/63/36/42/417633642.db2.gz GKTPXCMRYWUTFG-SFYZADRCSA-N 0 2 306.322 0.634 20 0 DCADLN CS(=O)(=O)NC1CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000628799021 417697339 /nfs/dbraw/zinc/69/73/39/417697339.db2.gz VUXNKUXLNMNIJZ-UHFFFAOYSA-N 0 2 306.290 0.044 20 0 DCADLN CO[C@H]([C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000456570039 417654527 /nfs/dbraw/zinc/65/45/27/417654527.db2.gz FKDWVKSMGSGDQX-WRWORJQWSA-N 0 2 318.333 0.979 20 0 DCADLN C[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)[C@@H](O)C(F)(F)F ZINC000644754725 417654890 /nfs/dbraw/zinc/65/48/90/417654890.db2.gz SWRXMIACQBCSOL-NQXXGFSBSA-N 0 2 300.262 0.030 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1ccc2c(c1)nnn2C ZINC000645492182 417747238 /nfs/dbraw/zinc/74/72/38/417747238.db2.gz WETFAVORXVTJFT-UHFFFAOYSA-N 0 2 306.351 0.478 20 0 DCADLN CO[C@H](CS(=O)(=O)NCc1cn[nH]c1C)C1CCOCC1 ZINC000645490768 417747371 /nfs/dbraw/zinc/74/73/71/417747371.db2.gz AKQPCTMDVYNQJC-CYBMUJFWSA-N 0 2 317.411 0.579 20 0 DCADLN CCN(C(=O)C(=O)N=c1ccc(C2CC2)n[nH]1)[C@H]1CCCOC1 ZINC000652026243 417837513 /nfs/dbraw/zinc/83/75/13/417837513.db2.gz HMUVKEUEEVAWEK-LBPRGKRZSA-N 0 2 318.377 0.742 20 0 DCADLN Cc1cc(NC(=O)c2nc([C@@H]3CC(=O)N(C(C)C)C3)no2)no1 ZINC000645650987 417771967 /nfs/dbraw/zinc/77/19/67/417771967.db2.gz MVICVORILOYDAP-SECBINFHSA-N 0 2 319.321 1.343 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)CC(=O)N2C ZINC000629297716 417773596 /nfs/dbraw/zinc/77/35/96/417773596.db2.gz JGICNTZSZXSPRA-UHFFFAOYSA-N 0 2 307.335 0.135 20 0 DCADLN CC(C)(CNC(=O)c1ccc(C(=O)[O-])nc1)[NH+]1CCOCC1 ZINC000639991330 417773993 /nfs/dbraw/zinc/77/39/93/417773993.db2.gz JIBDZMXMDBFOML-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC(C)CCc1nnc(NS(=O)(=O)c2cnnn2C)o1 ZINC000629349947 417785220 /nfs/dbraw/zinc/78/52/20/417785220.db2.gz ANELNYLFHUCJDU-UHFFFAOYSA-N 0 2 300.344 0.588 20 0 DCADLN CO[C@@](C)(CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CC1 ZINC000651816071 417789682 /nfs/dbraw/zinc/78/96/82/417789682.db2.gz APRKUICRSWSJPP-AWEZNQCLSA-N 0 2 309.370 0.151 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@@H]3CCCC[C@@H]3C2)[nH]1 ZINC000651836695 417792001 /nfs/dbraw/zinc/79/20/01/417792001.db2.gz BGFURSCAOXBJFS-TXEJJXNPSA-N 0 2 305.382 0.868 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)NCC1(C(=O)[O-])CCOCC1 ZINC000646169847 417794025 /nfs/dbraw/zinc/79/40/25/417794025.db2.gz PLFRHMHUXLNXCD-UHFFFAOYSA-N 0 2 324.381 0.796 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cc(F)cc(F)c2)[nH]1 ZINC000657103973 417812345 /nfs/dbraw/zinc/81/23/45/417812345.db2.gz LQLVYZWOVWPYJZ-UHFFFAOYSA-N 0 2 318.305 0.708 20 0 DCADLN Cc1c[nH]c(C[NH2+]CCS(=O)(=O)NC[C@@H]2CCCCO2)n1 ZINC000657355985 417850897 /nfs/dbraw/zinc/85/08/97/417850897.db2.gz GZKJPQBCQXZYIS-LBPRGKRZSA-N 0 2 316.427 0.296 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)[C@H](NS(C)(=O)=O)C(C)(C)C ZINC000636068324 417854822 /nfs/dbraw/zinc/85/48/22/417854822.db2.gz WWZUCCQJLCTADX-NSHDSACASA-N 0 2 316.427 0.640 20 0 DCADLN COC1(OC)CCN(C(=O)c2cc(F)c(O)c(F)c2)C[C@H]1O ZINC000636084535 417863861 /nfs/dbraw/zinc/86/38/61/417863861.db2.gz YPWSEFLAJDCLBN-LLVKDONJSA-N 0 2 317.288 0.866 20 0 DCADLN O=C(N=c1ccc(C2CC2)n[nH]1)C(=O)N1CC[C@]2(CC2(F)F)C1 ZINC000652315707 417880926 /nfs/dbraw/zinc/88/09/26/417880926.db2.gz BINWHZRIGAVPFO-AWEZNQCLSA-N 0 2 322.315 0.972 20 0 DCADLN CO[C@@H]1C[C@H](CC(=O)[O-])N(C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000652072245 417843973 /nfs/dbraw/zinc/84/39/73/417843973.db2.gz REDPKWNJVWZIHS-CHWSQXEVSA-N 0 2 321.377 0.853 20 0 DCADLN CCC[C@H](C(=O)Nc1cccc(-c2nnc[nH]2)c1)S(N)(=O)=O ZINC000646814613 417921157 /nfs/dbraw/zinc/92/11/57/417921157.db2.gz HMNYBMIVBRXSOT-LLVKDONJSA-N 0 2 323.378 0.867 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)Cc3nnn(C)n3)n[nH]2)cc1 ZINC000663247454 418005061 /nfs/dbraw/zinc/00/50/61/418005061.db2.gz GMQMXIBFYSZWKA-UHFFFAOYSA-N 0 2 319.350 0.278 20 0 DCADLN COc1ncc(S(=O)(=O)Nc2nc(C)n(C)n2)cc1Cl ZINC000647276765 418006385 /nfs/dbraw/zinc/00/63/85/418006385.db2.gz GMQDEJJXNCGZPJ-UHFFFAOYSA-N 0 2 317.758 0.981 20 0 DCADLN O=C([O-])c1ccc[nH+]c1NCC1(O)CCS(=O)(=O)CC1 ZINC000647454559 418011982 /nfs/dbraw/zinc/01/19/82/418011982.db2.gz VDNRUDOQOVMNRF-UHFFFAOYSA-N 0 2 300.336 0.131 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000663620470 418038175 /nfs/dbraw/zinc/03/81/75/418038175.db2.gz KQORLOVLRCXBPJ-JQWIXIFHSA-N 0 2 322.365 0.005 20 0 DCADLN O=C([O-])CN(CC1CC1)C(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000653324277 418058631 /nfs/dbraw/zinc/05/86/31/418058631.db2.gz NYHKHUDTFLZMJN-AWEZNQCLSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])CN(CC1CC1)C(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000653324277 418058633 /nfs/dbraw/zinc/05/86/33/418058633.db2.gz NYHKHUDTFLZMJN-AWEZNQCLSA-N 0 2 310.394 0.953 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CC[NH+](C3CC3)C2)[C@@H](C)CO1 ZINC000664130868 418089328 /nfs/dbraw/zinc/08/93/28/418089328.db2.gz XJUSZZSDZNOVMI-MJBXVCDLSA-N 0 2 310.442 0.632 20 0 DCADLN Cn1cc[nH+]c1CNC(=O)C(=O)N1CCC(CCC(=O)[O-])CC1 ZINC000659650889 418137136 /nfs/dbraw/zinc/13/71/36/418137136.db2.gz PGQZSYNOBRPMGZ-UHFFFAOYSA-N 0 2 322.365 0.140 20 0 DCADLN CC(C)(C)n1cnc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000648893017 418171223 /nfs/dbraw/zinc/17/12/23/418171223.db2.gz BSNNGVGVYOAOIO-VIFPVBQESA-N 0 2 319.369 0.877 20 0 DCADLN O=C(NC1CC1)C1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC000648893528 418171553 /nfs/dbraw/zinc/17/15/53/418171553.db2.gz BNODPHXHOVRLCV-VIFPVBQESA-N 0 2 319.365 0.275 20 0 DCADLN CCn1cc[nH+]c1CN1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC000649453577 418254444 /nfs/dbraw/zinc/25/44/44/418254444.db2.gz RRYIIDZQSQJWRG-VXGBXAGGSA-N 0 2 306.366 0.268 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C[C@@H](C)n2nc(C)cc2C)[nH]n1 ZINC000650815879 418326614 /nfs/dbraw/zinc/32/66/14/418326614.db2.gz CXSJQQGZFYMSKM-LLVKDONJSA-N 0 2 316.365 0.661 20 0 DCADLN C[N@@H+]1CCC[C@@H](CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000660846823 418295658 /nfs/dbraw/zinc/29/56/58/418295658.db2.gz JHIJXPRLMMTEDL-GJZGRUSLSA-N 0 2 310.442 0.444 20 0 DCADLN C[C@H](C(=O)NC1CC1)N1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650766119 418323391 /nfs/dbraw/zinc/32/33/91/418323391.db2.gz UWQHLHCTWYVCMO-LLVKDONJSA-N 0 2 318.377 0.569 20 0 DCADLN COc1cccc(S(=O)(=O)Nc2cnn(CCO)c2)c1F ZINC000655560904 418275375 /nfs/dbraw/zinc/27/53/75/418275375.db2.gz HYLPKQKZSIMBHM-UHFFFAOYSA-N 0 2 315.326 0.824 20 0 DCADLN COC1CCN(S(=O)(=O)Nc2ccccc2C(N)=O)CC1 ZINC000656614000 418366798 /nfs/dbraw/zinc/36/67/98/418366798.db2.gz UKDRMZYPPFEMBQ-UHFFFAOYSA-N 0 2 313.379 0.553 20 0 DCADLN COCc1nccc(NS(=O)(=O)c2cncc(OC)c2)n1 ZINC000656742457 418375235 /nfs/dbraw/zinc/37/52/35/418375235.db2.gz QSTUAFOLJWDMHZ-UHFFFAOYSA-N 0 2 310.335 0.827 20 0 DCADLN COc1cncnc1NS(=O)(=O)C[C@@H](OC)[C@H]1CCOC1 ZINC000656736538 418375329 /nfs/dbraw/zinc/37/53/29/418375329.db2.gz BZLRVAZVSQNESR-GXSJLCMTSA-N 0 2 317.367 0.278 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCC[C@@H](OCC(F)(F)F)C2)C1=O ZINC000662201677 418387639 /nfs/dbraw/zinc/38/76/39/418387639.db2.gz KFSMNSWPOQXOEQ-NXEZZACHSA-N 0 2 324.299 0.715 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCC[C@@H](OCC(F)(F)F)C2)C1=O ZINC000662201677 418387640 /nfs/dbraw/zinc/38/76/40/418387640.db2.gz KFSMNSWPOQXOEQ-NXEZZACHSA-N 0 2 324.299 0.715 20 0 DCADLN COc1ccc2c(c1)C[N@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)CC2 ZINC000662204343 418388635 /nfs/dbraw/zinc/38/86/35/418388635.db2.gz UKIKBOANQBERML-CQSZACIVSA-N 0 2 304.346 0.739 20 0 DCADLN COc1ccc2c(c1)C[N@@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)CC2 ZINC000662204343 418388636 /nfs/dbraw/zinc/38/86/36/418388636.db2.gz UKIKBOANQBERML-CQSZACIVSA-N 0 2 304.346 0.739 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCN(c3ncccc3F)CC2)C1=O ZINC000662210733 418389478 /nfs/dbraw/zinc/38/94/78/418389478.db2.gz JPTOVQDKFYBGGA-LBPRGKRZSA-N 0 2 322.340 0.028 20 0 DCADLN CC[N@H+](CC(=O)N[C@H](C(=O)NC)c1ccccc1)[C@@H](C)C(=O)[O-] ZINC000662214365 418390850 /nfs/dbraw/zinc/39/08/50/418390850.db2.gz FWLCPEBEKVSXGB-FZMZJTMJSA-N 0 2 321.377 0.385 20 0 DCADLN CC[N@@H+](CC(=O)N[C@H](C(=O)NC)c1ccccc1)[C@@H](C)C(=O)[O-] ZINC000662214365 418390852 /nfs/dbraw/zinc/39/08/52/418390852.db2.gz FWLCPEBEKVSXGB-FZMZJTMJSA-N 0 2 321.377 0.385 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCc2ccccc2O)[nH]1 ZINC000651577088 418393931 /nfs/dbraw/zinc/39/39/31/418393931.db2.gz AJIKXQNGQZAYCW-UHFFFAOYSA-N 0 2 317.349 0.284 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCC[C@H]2C[C@H](C)O)[nH]1 ZINC000651762002 418408962 /nfs/dbraw/zinc/40/89/62/418408962.db2.gz URWZGAABNJNVFS-RYUDHWBXSA-N 0 2 323.397 0.371 20 0 DCADLN CO[C@@H]1[C@H](C)[C@@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651654087 418401167 /nfs/dbraw/zinc/40/11/67/418401167.db2.gz BMVNKSBYVIPZQL-GMTAPVOTSA-N 0 2 323.397 0.395 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCOCC3(CCC3)C2)[nH]1 ZINC000651664037 418402514 /nfs/dbraw/zinc/40/25/14/418402514.db2.gz AVPXBRFJVBJJQJ-UHFFFAOYSA-N 0 2 321.381 0.249 20 0 DCADLN COC1(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCCCC1 ZINC000651678104 418403051 /nfs/dbraw/zinc/40/30/51/418403051.db2.gz BWRAYQOEVITODO-UHFFFAOYSA-N 0 2 323.397 0.685 20 0 DCADLN CCS(=O)(=O)N(C)CC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000487285242 287533837 /nfs/dbraw/zinc/53/38/37/287533837.db2.gz BETGDAZGOLYDSK-UHFFFAOYSA-N 0 2 320.440 0.478 20 0 DCADLN CNC(=O)C1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000186192739 261121374 /nfs/dbraw/zinc/12/13/74/261121374.db2.gz DGLARBMUYPLXEK-UHFFFAOYSA-N 0 2 300.318 0.396 20 0 DCADLN CN1C[C@@H](NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)CC1=O ZINC000354512106 261229946 /nfs/dbraw/zinc/22/99/46/261229946.db2.gz NMKRKBIFJFYSII-JTQLQIEISA-N 0 2 318.308 0.678 20 0 DCADLN COC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1F ZINC000354803075 261273481 /nfs/dbraw/zinc/27/34/81/261273481.db2.gz CHPWUQOZWPKMML-UHFFFAOYSA-N 0 2 309.257 0.758 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCCC[C@H]1CC(N)=O)c2=O ZINC000355580556 261349189 /nfs/dbraw/zinc/34/91/89/261349189.db2.gz PRKIGMUNQDLTSA-VIFPVBQESA-N 0 2 317.349 0.544 20 0 DCADLN COC(=O)CCCCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355616320 261353903 /nfs/dbraw/zinc/35/39/03/261353903.db2.gz YUFCGWOBVYQHJN-UHFFFAOYSA-N 0 2 306.322 0.747 20 0 DCADLN COC(=O)[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(C)C ZINC000355863491 261371546 /nfs/dbraw/zinc/37/15/46/261371546.db2.gz XORLAIXJVKOYRQ-SNVBAGLBSA-N 0 2 306.322 0.601 20 0 DCADLN COc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c(C)c1 ZINC000355979112 261380984 /nfs/dbraw/zinc/38/09/84/261380984.db2.gz MCZSKWOQZHEDQI-UHFFFAOYSA-N 0 2 305.294 0.082 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H]1Cc2cccc(O)c2C1 ZINC000357207402 261514723 /nfs/dbraw/zinc/51/47/23/261514723.db2.gz WGKTZDURFYYYLT-QMMMGPOBSA-N 0 2 306.347 0.592 20 0 DCADLN C[C@H](CC[S@@](C)=O)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000359451314 261745942 /nfs/dbraw/zinc/74/59/42/261745942.db2.gz YSYJSLLSYJGXAZ-PVBMHHQDSA-N 0 2 323.374 0.917 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cncnc2)cc1C(N)=O ZINC000362222942 262011516 /nfs/dbraw/zinc/01/15/16/262011516.db2.gz OBIVUXNHAKLHOF-UHFFFAOYSA-N 0 2 308.319 0.385 20 0 DCADLN Cc1ccc2[nH+]c(CN3CCN(C(=O)C(=O)[O-])CC3)cn2c1 ZINC000396698117 262163197 /nfs/dbraw/zinc/16/31/97/262163197.db2.gz SDSNAMZRHXQSIZ-UHFFFAOYSA-N 0 2 302.334 0.372 20 0 DCADLN CCCc1nc(=NC(=O)C(=O)N2CC[N@H+](C)C[C@H]2C)s[n-]1 ZINC000411407845 262170437 /nfs/dbraw/zinc/17/04/37/262170437.db2.gz NCSDKLDSRCPOMK-SECBINFHSA-N 0 2 311.411 0.014 20 0 DCADLN CCCc1nc(=NC(=O)C(=O)N2CC[N@@H+](C)C[C@H]2C)s[n-]1 ZINC000411407845 262170438 /nfs/dbraw/zinc/17/04/38/262170438.db2.gz NCSDKLDSRCPOMK-SECBINFHSA-N 0 2 311.411 0.014 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@H](CO)C2CC2)c1 ZINC000424827711 262508602 /nfs/dbraw/zinc/50/86/02/262508602.db2.gz ICICADSFPNBBOR-QKVFXAPYSA-N 0 2 311.403 0.938 20 0 DCADLN NC(=O)N1CCC[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000355854568 271027899 /nfs/dbraw/zinc/02/78/99/271027899.db2.gz QLURLGAMVPGSKO-SNVBAGLBSA-N 0 2 316.321 0.659 20 0 DCADLN O=C(N[C@@H](CO)C1CCCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000359613933 271133308 /nfs/dbraw/zinc/13/33/08/271133308.db2.gz SNMKTCHPZIMXIT-NSHDSACASA-N 0 2 318.333 0.717 20 0 DCADLN COCC[C@](C)(O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000359660425 271138924 /nfs/dbraw/zinc/13/89/24/271138924.db2.gz KIFGMTZIBIJOMA-HNNXBMFYSA-N 0 2 321.333 0.547 20 0 DCADLN CC(=O)c1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1O ZINC000412153736 271237215 /nfs/dbraw/zinc/23/72/15/271237215.db2.gz HWEKWHCWDPWGPX-UHFFFAOYSA-N 0 2 304.306 0.781 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(-n3ccnn3)c2)c1O ZINC000445939515 271751911 /nfs/dbraw/zinc/75/19/11/271751911.db2.gz VOOFCCJQKMUZNU-LLVKDONJSA-N 0 2 315.289 0.255 20 0 DCADLN CCCN(CC(N)=O)c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000488779304 272050369 /nfs/dbraw/zinc/05/03/69/272050369.db2.gz FYNSXPLLXMYSLT-GFCCVEGCSA-N 0 2 307.398 0.387 20 0 DCADLN C[C@@H]1[C@H](NC(=O)NC[C@@H]2C[NH+](C3CC3)CCO2)CCC[N@@H+]1C ZINC000489979439 272067651 /nfs/dbraw/zinc/06/76/51/272067651.db2.gz HMBPJDBUTUJLOM-BPLDGKMQSA-N 0 2 310.442 0.632 20 0 DCADLN COC(=O)N1CC(NC(=O)c2c[nH]c3nc(C)ccc3c2=O)C1 ZINC000492411913 272129059 /nfs/dbraw/zinc/12/90/59/272129059.db2.gz LASUMGQYNPHNTG-UHFFFAOYSA-N 0 2 316.317 0.824 20 0 DCADLN CN(C)C(=O)[C@H]1CCC[N@H+]1CCCNC(=O)C=Cc1c[nH]c[nH+]1 ZINC000493088075 272167750 /nfs/dbraw/zinc/16/77/50/272167750.db2.gz UCMJMCVUGDFMJV-LMVHVUTASA-N 0 2 319.409 0.482 20 0 DCADLN NC(=O)OCCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000495193456 272240884 /nfs/dbraw/zinc/24/08/84/272240884.db2.gz WFXSUEJTJLENTR-UHFFFAOYSA-N 0 2 308.269 0.956 20 0 DCADLN CC(C)(C)OC(=O)NCCNS(=O)(=O)NCC(F)(F)F ZINC000496389510 272310353 /nfs/dbraw/zinc/31/03/53/272310353.db2.gz ZIWJUGBPOGXVST-UHFFFAOYSA-N 0 2 321.321 0.497 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2CC3(C2)CNC(=O)O3)s[nH]1 ZINC000496566812 272325952 /nfs/dbraw/zinc/32/59/52/272325952.db2.gz RSQCSMYVNIAVLR-UHFFFAOYSA-N 0 2 311.367 0.584 20 0 DCADLN CCc1nsc(NC[C@H](O)CNC(=O)OC(C)(C)C)n1 ZINC000496911710 272353287 /nfs/dbraw/zinc/35/32/87/272353287.db2.gz RZKVSCFGPALNIP-QMMMGPOBSA-N 0 2 302.400 0.820 20 0 DCADLN COC[C@](C)(O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000531119018 287767704 /nfs/dbraw/zinc/76/77/04/287767704.db2.gz UHMLZUFHTKPEIP-CQSZACIVSA-N 0 2 307.306 0.157 20 0 DCADLN CC(=O)N1CCC[C@@H](C(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)C1 ZINC000329158326 278004269 /nfs/dbraw/zinc/00/42/69/278004269.db2.gz DLTSMZKUTHTZPV-VXGBXAGGSA-N 0 2 321.381 0.822 20 0 DCADLN CC(C)(C)c1ncc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cn1 ZINC000554589038 288331488 /nfs/dbraw/zinc/33/14/88/288331488.db2.gz SVOUYHZPFCQLGA-UHFFFAOYSA-N 0 2 304.354 0.960 20 0 DCADLN Cc1cc(C(=O)N2CCCO[C@@H](C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000345865909 301093815 /nfs/dbraw/zinc/09/38/15/301093815.db2.gz BZQVUAQCDVAEJE-VIFPVBQESA-N 0 2 318.333 0.995 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000344684100 301094940 /nfs/dbraw/zinc/09/49/40/301094940.db2.gz FSECTSIRLLSIJI-MRVPVSSYSA-N 0 2 304.306 0.341 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](O)C[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000351819161 301125164 /nfs/dbraw/zinc/12/51/64/301125164.db2.gz ZFYMAKKZYWOVGE-HTQZYQBOSA-N 0 2 304.306 0.340 20 0 DCADLN O=C([O-])C[C@H]1CCCN1c1cc(N2CCC[C@@H]2CO)nc[nH+]1 ZINC000580201641 333002322 /nfs/dbraw/zinc/00/23/22/333002322.db2.gz XSQMVXZWTANTOY-VXGBXAGGSA-N 0 2 306.366 0.881 20 0 DCADLN O=C([O-])C[C@H]1CCCN1c1cc(N2CCC[C@@H]2CO)[nH+]cn1 ZINC000580201641 333002323 /nfs/dbraw/zinc/00/23/23/333002323.db2.gz XSQMVXZWTANTOY-VXGBXAGGSA-N 0 2 306.366 0.881 20 0 DCADLN O=C(N[C@H]1CC[S@](=O)C1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000576563879 341852589 /nfs/dbraw/zinc/85/25/89/341852589.db2.gz JXSYXILJOGROFP-CWKPULSASA-N 0 2 307.331 0.281 20 0 DCADLN CC(C)(C)c1cc(NCCCn2ccnn2)nc(C(=O)[O-])[nH+]1 ZINC000576758068 341861892 /nfs/dbraw/zinc/86/18/92/341861892.db2.gz FVXLGGMCFJJGCI-UHFFFAOYSA-N 0 2 304.354 0.988 20 0 DCADLN Cc1nc(NS(=O)(=O)CCCS(N)(=O)=O)sc1C ZINC000349462573 341976022 /nfs/dbraw/zinc/97/60/22/341976022.db2.gz YFSUFYJGMFAREI-UHFFFAOYSA-N 0 2 313.426 0.180 20 0 DCADLN CCC(=O)NCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001720245352 1183526981 /nfs/dbraw/zinc/52/69/81/1183526981.db2.gz VXVSIGVETUKHDP-SECBINFHSA-N 0 2 315.267 0.036 20 0 DCADLN CCC(=O)NCC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720245352 1183526988 /nfs/dbraw/zinc/52/69/88/1183526988.db2.gz VXVSIGVETUKHDP-SECBINFHSA-N 0 2 315.267 0.036 20 0 DCADLN CC(=O)N1CC(C(=O)N(C)Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000190923230 519483616 /nfs/dbraw/zinc/48/36/16/519483616.db2.gz PCUBEXVGRJWXTI-UHFFFAOYSA-N 0 2 320.374 0.421 20 0 DCADLN CO[C@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000188252073 523938596 /nfs/dbraw/zinc/93/85/96/523938596.db2.gz RRPGPDJIISPXCW-SNVBAGLBSA-N 0 2 308.363 0.694 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NCCN1CCc2ccccc21 ZINC000262680417 524294318 /nfs/dbraw/zinc/29/43/18/524294318.db2.gz VSTXOGHORISPLD-LBPRGKRZSA-N 0 2 305.378 0.570 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NCCN1CCc2ccccc21 ZINC000262680417 524294325 /nfs/dbraw/zinc/29/43/25/524294325.db2.gz VSTXOGHORISPLD-LBPRGKRZSA-N 0 2 305.378 0.570 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)n1 ZINC000189705821 526987523 /nfs/dbraw/zinc/98/75/23/526987523.db2.gz SADQSXIIXZBWJL-NEPJUHHUSA-N 0 2 319.365 0.493 20 0 DCADLN CON1CCC(NC(=O)c2c[nH]c3c(cnn3C)c2=O)CC1 ZINC000422046554 536846997 /nfs/dbraw/zinc/84/69/97/536846997.db2.gz UFBBKSAMTVKWMN-UHFFFAOYSA-N 0 2 305.338 0.430 20 0 DCADLN C[C@H](c1ccccc1)N(C)C(=O)C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000386746326 546217608 /nfs/dbraw/zinc/21/76/08/546217608.db2.gz AQVPSRSSFIBNQS-TZMCWYRMSA-N 0 2 306.362 0.991 20 0 DCADLN C[C@H](c1ccccc1)N(C)C(=O)C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000386746326 546217610 /nfs/dbraw/zinc/21/76/10/546217610.db2.gz AQVPSRSSFIBNQS-TZMCWYRMSA-N 0 2 306.362 0.991 20 0 DCADLN CC(C)CCN(C(=O)N=c1ncn(CC(=O)N(C)C)[nH]1)C1CC1 ZINC000530631392 546288358 /nfs/dbraw/zinc/28/83/58/546288358.db2.gz LSSXEMGPHMSHOS-UHFFFAOYSA-N 0 2 322.413 0.831 20 0 DCADLN Cn1cc[nH+]c1N1CC[NH+](C[C@@H]2CNc3ccnn3C2)CC1 ZINC000668793578 546847106 /nfs/dbraw/zinc/84/71/06/546847106.db2.gz GXACCYWONFNZSF-ZDUSSCGKSA-N 0 2 301.398 0.481 20 0 DCADLN Cc1cn2cc(NC(=O)N3CCO[C@H](C(=O)[O-])C3)ccc2[nH+]1 ZINC000669498056 546980676 /nfs/dbraw/zinc/98/06/76/546980676.db2.gz XRMWSXCYUGKPIF-NSHDSACASA-N 0 2 304.306 0.960 20 0 DCADLN CNS(=O)(=O)c1ccccc1S(=O)(=O)Nc1cnoc1 ZINC000669593853 546995467 /nfs/dbraw/zinc/99/54/67/546995467.db2.gz QLAVPIGLBDIUIP-UHFFFAOYSA-N 0 2 317.348 0.384 20 0 DCADLN CCOc1cccc(CCNC(=O)CSc2n[nH]c(=O)[nH]2)n1 ZINC000673000624 547433854 /nfs/dbraw/zinc/43/38/54/547433854.db2.gz RUDKLPINKGGTBG-UHFFFAOYSA-N 0 2 323.378 0.755 20 0 DCADLN C[C@H](CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000674487829 547599217 /nfs/dbraw/zinc/59/92/17/547599217.db2.gz WYXVITZANKULKF-SSDOTTSWSA-N 0 2 324.362 0.760 20 0 DCADLN COC[C@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000674592333 547610380 /nfs/dbraw/zinc/61/03/80/547610380.db2.gz ZJOXITIFKREICP-SECBINFHSA-N 0 2 304.306 0.687 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)NC(=O)CS2 ZINC000675566296 547693014 /nfs/dbraw/zinc/69/30/14/547693014.db2.gz FNIRTPRUGBVOIP-UHFFFAOYSA-N 0 2 320.334 0.876 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NC[C@H]1Cc2ccccc2O1 ZINC000676230308 547747374 /nfs/dbraw/zinc/74/73/74/547747374.db2.gz XWMHTRLFUKSTCH-CYBMUJFWSA-N 0 2 322.361 0.139 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NC[C@H]1Cc2ccccc2O1 ZINC000676230308 547747379 /nfs/dbraw/zinc/74/73/79/547747379.db2.gz XWMHTRLFUKSTCH-CYBMUJFWSA-N 0 2 322.361 0.139 20 0 DCADLN C[C@@H](C(=O)NCCc1ccccc1)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231975 547748089 /nfs/dbraw/zinc/74/80/89/547748089.db2.gz OHZUHHXBXILCPL-JSGCOSHPSA-N 0 2 306.362 0.519 20 0 DCADLN C[C@@H](C(=O)NCCc1ccccc1)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231975 547748090 /nfs/dbraw/zinc/74/80/90/547748090.db2.gz OHZUHHXBXILCPL-JSGCOSHPSA-N 0 2 306.362 0.519 20 0 DCADLN Cc1[nH]nc(C(=O)Nc2cccc(NS(N)(=O)=O)c2)c1O ZINC000676691972 547803801 /nfs/dbraw/zinc/80/38/01/547803801.db2.gz YNYORMKTIMJCHB-UHFFFAOYSA-N 0 2 311.323 0.291 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)c1ccc2n[nH]nc2n1 ZINC000680370996 548135267 /nfs/dbraw/zinc/13/52/67/548135267.db2.gz RFYHCPYQNDNULQ-UHFFFAOYSA-N 0 2 323.272 0.949 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1nnc(C(C)(C)C)s1 ZINC000682807220 548427384 /nfs/dbraw/zinc/42/73/84/548427384.db2.gz WELKSGHXCJMXJS-UHFFFAOYSA-N 0 2 302.385 0.765 20 0 DCADLN O=C(NC[C@H]1CC[C@@H](O)C1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000682825407 548429054 /nfs/dbraw/zinc/42/90/54/548429054.db2.gz BFQVKHBNFOGZCH-JOYOIKCWSA-N 0 2 303.318 0.921 20 0 DCADLN COCCCCn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC000736980847 598891981 /nfs/dbraw/zinc/89/19/81/598891981.db2.gz FMWMHXPDJXOJGF-UHFFFAOYSA-N 0 2 307.310 0.242 20 0 DCADLN CC[C@@H](C)C[C@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820870282 599067968 /nfs/dbraw/zinc/06/79/68/599067968.db2.gz QDAFHBATDMGJQM-BDAKNGLRSA-N 0 2 321.385 0.501 20 0 DCADLN C[C@@H](CNC(=O)N1CCC[C@@H](CC(=O)[O-])C1)[NH+]1CCOCC1 ZINC000818008707 597055961 /nfs/dbraw/zinc/05/59/61/597055961.db2.gz JYOVCNAXYSZIPT-STQMWFEESA-N 0 2 313.398 0.603 20 0 DCADLN CC[C@@H](NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C(=O)[O-] ZINC000817884150 597369258 /nfs/dbraw/zinc/36/92/58/597369258.db2.gz XJRBREHQGGHVQR-SECBINFHSA-N 0 2 308.338 0.374 20 0 DCADLN Cc1ccnc(OC2CC[NH+](CC(=O)NCC(=O)[O-])CC2)c1 ZINC000821131370 597811817 /nfs/dbraw/zinc/81/18/17/597811817.db2.gz ZXRRCPBLQFGHFY-UHFFFAOYSA-N 0 2 307.350 0.434 20 0 DCADLN O=C(CCc1ccc(-c2nn[nH]n2)cc1)N1CCc2[nH]nnc2C1 ZINC000737851338 599282219 /nfs/dbraw/zinc/28/22/19/599282219.db2.gz GOLCOZXBOKUGPN-UHFFFAOYSA-N 0 2 324.348 0.502 20 0 DCADLN CCOC(=O)C1CCN(C(=O)[C@H](C)[N@H+](C)CC(=O)[O-])CC1 ZINC000820364769 598039578 /nfs/dbraw/zinc/03/95/78/598039578.db2.gz WDYFJRAGJINTIR-JTQLQIEISA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)C1CCN(C(=O)[C@H](C)[N@@H+](C)CC(=O)[O-])CC1 ZINC000820364769 598039581 /nfs/dbraw/zinc/03/95/81/598039581.db2.gz WDYFJRAGJINTIR-JTQLQIEISA-N 0 2 300.355 0.193 20 0 DCADLN C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)[N@H+](C)CC(=O)[O-] ZINC000819882670 598043543 /nfs/dbraw/zinc/04/35/43/598043543.db2.gz CRIZIQHCQBXZJX-MNOVXSKESA-N 0 2 308.334 0.348 20 0 DCADLN C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)[N@@H+](C)CC(=O)[O-] ZINC000819882670 598043546 /nfs/dbraw/zinc/04/35/46/598043546.db2.gz CRIZIQHCQBXZJX-MNOVXSKESA-N 0 2 308.334 0.348 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1COc2ccccc2O1)[N@H+](C)CC(=O)[O-] ZINC000819882673 598043830 /nfs/dbraw/zinc/04/38/30/598043830.db2.gz CRIZIQHCQBXZJX-WDEREUQCSA-N 0 2 308.334 0.348 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1COc2ccccc2O1)[N@@H+](C)CC(=O)[O-] ZINC000819882673 598043833 /nfs/dbraw/zinc/04/38/33/598043833.db2.gz CRIZIQHCQBXZJX-WDEREUQCSA-N 0 2 308.334 0.348 20 0 DCADLN CC(=O)N1CCN(C(=O)C[NH2+][C@H](C(=O)[O-])c2ccccc2)CC1 ZINC000321282678 598199506 /nfs/dbraw/zinc/19/95/06/598199506.db2.gz GHWGXOJFXDZAPL-HNNXBMFYSA-N 0 2 319.361 0.093 20 0 DCADLN Cc1ccn(CC(=O)N2CCC(C)CC2)c(=O)c1-c1nn[nH]n1 ZINC000822369970 607348214 /nfs/dbraw/zinc/34/82/14/607348214.db2.gz QJTICFGWNHSGGO-UHFFFAOYSA-N 0 2 316.365 0.595 20 0 DCADLN c1c[nH]c(CC2CCN(c3nccnc3-c3nn[nH]n3)CC2)n1 ZINC000738404968 598337855 /nfs/dbraw/zinc/33/78/55/598337855.db2.gz JODWVYULLZDCTM-UHFFFAOYSA-N 0 2 311.353 0.839 20 0 DCADLN O=c1cc(Cn2cccc(-c3nn[nH]n3)c2=O)nc2ccccn12 ZINC000738372456 598676796 /nfs/dbraw/zinc/67/67/96/598676796.db2.gz QNDPYCLWWSFDIV-UHFFFAOYSA-N 0 2 321.300 0.085 20 0 DCADLN Cc1n[nH]c(NC(=O)COc2ccc(-c3nn[nH]n3)cc2)n1 ZINC000737479505 598718085 /nfs/dbraw/zinc/71/80/85/598718085.db2.gz BADGKTLORNKPQP-UHFFFAOYSA-N 0 2 300.282 0.311 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H](C)Oc2ccc(-c3nn[nH]n3)cc2)n1 ZINC000737480146 598718429 /nfs/dbraw/zinc/71/84/29/598718429.db2.gz KHGNTMULSQBCBO-ZETCQYMHSA-N 0 2 314.309 0.699 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OCC(=O)[O-])cc2)C1 ZINC000737528743 599741028 /nfs/dbraw/zinc/74/10/28/599741028.db2.gz CYPKXTSNMNGOQW-CYBMUJFWSA-N 0 2 308.334 0.210 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)c2ccc(OCC(=O)[O-])cc2)C1 ZINC000737528743 599741030 /nfs/dbraw/zinc/74/10/30/599741030.db2.gz CYPKXTSNMNGOQW-CYBMUJFWSA-N 0 2 308.334 0.210 20 0 DCADLN COc1ccc([C@H]([NH2+]CC(=O)NC(=O)NC2CC2)C(=O)[O-])cc1 ZINC000737968772 599862707 /nfs/dbraw/zinc/86/27/07/599862707.db2.gz DVAPIDNGYCZCEM-ZDUSSCGKSA-N 0 2 321.333 0.399 20 0 DCADLN CS(=O)(=O)CC[N@H+](CC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000738153569 599865653 /nfs/dbraw/zinc/86/56/53/599865653.db2.gz XGPPWGAAQVHOPX-UHFFFAOYSA-N 0 2 307.318 0.896 20 0 DCADLN CS(=O)(=O)CC[N@@H+](CC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000738153569 599865656 /nfs/dbraw/zinc/86/56/56/599865656.db2.gz XGPPWGAAQVHOPX-UHFFFAOYSA-N 0 2 307.318 0.896 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)NCCc2cn3c([nH+]2)CCCC3)CCO1 ZINC000738549017 600036506 /nfs/dbraw/zinc/03/65/06/600036506.db2.gz UTPJVISRYMSMCU-LBPRGKRZSA-N 0 2 322.365 0.257 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)NCC[NH+]2Cc3ccccc3C2)CCO1 ZINC000738546558 600039245 /nfs/dbraw/zinc/03/92/45/600039245.db2.gz FWQZORXIWJOYJW-AWEZNQCLSA-N 0 2 319.361 0.497 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000739544113 600062131 /nfs/dbraw/zinc/06/21/31/600062131.db2.gz FXTYKZBQXZBSDU-CABCVRRESA-N 0 2 318.373 0.930 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000739544114 600062230 /nfs/dbraw/zinc/06/22/30/600062230.db2.gz FXTYKZBQXZBSDU-GJZGRUSLSA-N 0 2 318.373 0.930 20 0 DCADLN COC(=O)[C@H](CC(=O)[O-])NC(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000737563830 600242024 /nfs/dbraw/zinc/24/20/24/600242024.db2.gz DZHSTPRIEKKBKE-NSHDSACASA-N 0 2 319.317 0.318 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2ccc(F)c(C(=O)[O-])c2)C1 ZINC000316826953 600276069 /nfs/dbraw/zinc/27/60/69/600276069.db2.gz PRTUEFONDOGQHV-JTQLQIEISA-N 0 2 311.313 0.976 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2ccc(F)c(C(=O)[O-])c2)C1 ZINC000316826953 600276071 /nfs/dbraw/zinc/27/60/71/600276071.db2.gz PRTUEFONDOGQHV-JTQLQIEISA-N 0 2 311.313 0.976 20 0 DCADLN Cn1ncc2c1nc(C[NH+]1CCC3(C[C@@H]3C(=O)[O-])CC1)[nH]c2=O ZINC000739059892 600308662 /nfs/dbraw/zinc/30/86/62/600308662.db2.gz OPCSSZPDQSBBMC-SNVBAGLBSA-N 0 2 317.349 0.756 20 0 DCADLN C[N@H+](CCN1CCCC1=O)CC(=O)Nc1ccccc1C(=O)[O-] ZINC000737440883 600542875 /nfs/dbraw/zinc/54/28/75/600542875.db2.gz HRENBTZDUPFNGE-UHFFFAOYSA-N 0 2 319.361 0.878 20 0 DCADLN C[N@@H+](CCN1CCCC1=O)CC(=O)Nc1ccccc1C(=O)[O-] ZINC000737440883 600542876 /nfs/dbraw/zinc/54/28/76/600542876.db2.gz HRENBTZDUPFNGE-UHFFFAOYSA-N 0 2 319.361 0.878 20 0 DCADLN C[C@H](NC(=O)N1CCC(C(=O)[O-])CC1)[C@H](C)[NH+]1CCOCC1 ZINC000736643442 600564365 /nfs/dbraw/zinc/56/43/65/600564365.db2.gz VLWWCJDHYUJJPQ-RYUDHWBXSA-N 0 2 313.398 0.602 20 0 DCADLN Cc1cc(NC(=O)N(C)CC[NH+]2CCOCC2)c(C(=O)[O-])[nH]1 ZINC000738437369 600569187 /nfs/dbraw/zinc/56/91/87/600569187.db2.gz GALZWXKXQLCPPU-UHFFFAOYSA-N 0 2 310.354 0.817 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(CC(=O)[O-])cc1 ZINC000315518425 600648008 /nfs/dbraw/zinc/64/80/08/600648008.db2.gz HUYXGYXIBZGWCW-NSHDSACASA-N 0 2 323.374 0.877 20 0 DCADLN CC(C)(C)CCS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1 ZINC000230108107 600649780 /nfs/dbraw/zinc/64/97/80/600649780.db2.gz NCJSSPWCRBYHOV-UHFFFAOYSA-N 0 2 306.428 0.845 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@@H](C)[NH+]1CCOCC1 ZINC000827654244 600746365 /nfs/dbraw/zinc/74/63/65/600746365.db2.gz ZRKLALHTIMWBFX-UPJWGTAASA-N 0 2 313.398 0.602 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N2C[C@@H](CC(=O)[O-])c3ccccc32)C1 ZINC000830960407 600968189 /nfs/dbraw/zinc/96/81/89/600968189.db2.gz MLOXXDLOLRIFFB-BXUZGUMPSA-N 0 2 304.346 0.922 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2C[C@@H](CC(=O)[O-])c3ccccc32)C1 ZINC000830960407 600968190 /nfs/dbraw/zinc/96/81/90/600968190.db2.gz MLOXXDLOLRIFFB-BXUZGUMPSA-N 0 2 304.346 0.922 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CCC[C@@H]2Cn2cc[nH+]c2)c[nH]1 ZINC000740086959 601108389 /nfs/dbraw/zinc/10/83/89/601108389.db2.gz DYMFDJIRNBZKNS-SNVBAGLBSA-N 0 2 324.362 0.763 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)[N@H+]1C[C@@H](O)[C@@H](O)C1 ZINC000832917528 601155331 /nfs/dbraw/zinc/15/53/31/601155331.db2.gz PNLVMMPHCLEHQD-WQAKAFBOSA-N 0 2 308.334 0.058 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)[N@@H+]1C[C@@H](O)[C@@H](O)C1 ZINC000832917528 601155333 /nfs/dbraw/zinc/15/53/33/601155333.db2.gz PNLVMMPHCLEHQD-WQAKAFBOSA-N 0 2 308.334 0.058 20 0 DCADLN COc1cccc(CNC(=O)C[N@@H+]2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000832553596 601446283 /nfs/dbraw/zinc/44/62/83/601446283.db2.gz AAGAAMXIPKBGEW-HNNXBMFYSA-N 0 2 310.325 0.810 20 0 DCADLN COc1cccc(CNC(=O)C[N@H+]2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000832553596 601446285 /nfs/dbraw/zinc/44/62/85/601446285.db2.gz AAGAAMXIPKBGEW-HNNXBMFYSA-N 0 2 310.325 0.810 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)N2CC[N@@H+]3CCCC[C@@H]3C2)CC1 ZINC000833201924 601507460 /nfs/dbraw/zinc/50/74/60/601507460.db2.gz NIRLMNINLHZOCX-GFCCVEGCSA-N 0 2 316.423 0.741 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)N2CC[N@H+]3CCCC[C@@H]3C2)CC1 ZINC000833201924 601507462 /nfs/dbraw/zinc/50/74/62/601507462.db2.gz NIRLMNINLHZOCX-GFCCVEGCSA-N 0 2 316.423 0.741 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)C[C@@H]1C(=O)[O-] ZINC000828363014 601667942 /nfs/dbraw/zinc/66/79/42/601667942.db2.gz TVZYXRJBOFLAON-NEPJUHHUSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C[C@@H]1C(=O)[O-] ZINC000828363014 601667944 /nfs/dbraw/zinc/66/79/44/601667944.db2.gz TVZYXRJBOFLAON-NEPJUHHUSA-N 0 2 313.398 0.459 20 0 DCADLN COC[C@@](C)(NC(=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)[O-] ZINC000831612959 601693090 /nfs/dbraw/zinc/69/30/90/601693090.db2.gz QRWXGKFPDKEVAO-OAHLLOKOSA-N 0 2 305.334 0.791 20 0 DCADLN O=C([O-])c1cnc(NC[C@]2([NH+]3CCOCC3)CCSC2)cn1 ZINC000833337204 601931809 /nfs/dbraw/zinc/93/18/09/601931809.db2.gz BCZJIDUCFSPERW-CQSZACIVSA-N 0 2 324.406 0.795 20 0 DCADLN CCOC(=O)C1([N@H+](C)CC(=O)NCCC(=O)[O-])CCCCC1 ZINC000829828134 602054891 /nfs/dbraw/zinc/05/48/91/602054891.db2.gz YCKRTLRLFGXIPN-UHFFFAOYSA-N 0 2 314.382 0.775 20 0 DCADLN CCOC(=O)C1([N@@H+](C)CC(=O)NCCC(=O)[O-])CCCCC1 ZINC000829828134 602054895 /nfs/dbraw/zinc/05/48/95/602054895.db2.gz YCKRTLRLFGXIPN-UHFFFAOYSA-N 0 2 314.382 0.775 20 0 DCADLN C[C@H](CS(=O)(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000827541819 602320687 /nfs/dbraw/zinc/32/06/87/602320687.db2.gz PLCBIBKLWRQJAX-TUAOUCFPSA-N 0 2 315.395 0.817 20 0 DCADLN O=C([O-])N1CCC[C@@H](Nc2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000739928856 603233200 /nfs/dbraw/zinc/23/32/00/603233200.db2.gz XQZOHZIYRZVDRQ-VXGBXAGGSA-N 0 2 321.381 0.992 20 0 DCADLN O=C([O-])N1CCC[C@@H](Nc2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000739928856 603233203 /nfs/dbraw/zinc/23/32/03/603233203.db2.gz XQZOHZIYRZVDRQ-VXGBXAGGSA-N 0 2 321.381 0.992 20 0 DCADLN COCc1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000829041121 603504559 /nfs/dbraw/zinc/50/45/59/603504559.db2.gz WELMQMDSDKDZCW-AWEZNQCLSA-N 0 2 321.377 0.857 20 0 DCADLN COCc1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000829041121 603504564 /nfs/dbraw/zinc/50/45/64/603504564.db2.gz WELMQMDSDKDZCW-AWEZNQCLSA-N 0 2 321.377 0.857 20 0 DCADLN CCC[N@H+](Cc1nc(N)nc(N(C)C)n1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826917003 603569765 /nfs/dbraw/zinc/56/97/65/603569765.db2.gz VSXZQEMZXRTVCO-SNVBAGLBSA-N 0 2 323.401 0.484 20 0 DCADLN CCC[N@@H+](Cc1nc(N)nc(N(C)C)n1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826917003 603569768 /nfs/dbraw/zinc/56/97/68/603569768.db2.gz VSXZQEMZXRTVCO-SNVBAGLBSA-N 0 2 323.401 0.484 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H]1CC[C@@H](NC(=O)[O-])C1 ZINC000824908217 603578148 /nfs/dbraw/zinc/57/81/48/603578148.db2.gz RHOYEKNIBFURHJ-FRRDWIJNSA-N 0 2 312.414 0.175 20 0 DCADLN C[C@H]1CN(C(=O)C(C)(C)CNC(=O)[O-])C[C@@H]1[NH+]1CCOCC1 ZINC000825970628 603690268 /nfs/dbraw/zinc/69/02/68/603690268.db2.gz WKSXNRAJQLIDPK-RYUDHWBXSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CCC[N@H+](Cc2cc(=O)n3[nH]ccc3n2)[C@@H]1CNC(=O)[O-] ZINC000825925423 603714894 /nfs/dbraw/zinc/71/48/94/603714894.db2.gz PLKKNVXJOQXGMW-ZYHUDNBSSA-N 0 2 319.365 0.891 20 0 DCADLN C[C@@H]1CCC[N@@H+](Cc2cc(=O)n3[nH]ccc3n2)[C@@H]1CNC(=O)[O-] ZINC000825925423 603714896 /nfs/dbraw/zinc/71/48/96/603714896.db2.gz PLKKNVXJOQXGMW-ZYHUDNBSSA-N 0 2 319.365 0.891 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+]([C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000827882947 603806976 /nfs/dbraw/zinc/80/69/76/603806976.db2.gz UYLGYDDGOHFSDV-PWSUYJOCSA-N 0 2 307.354 0.210 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+]([C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000827882947 603806978 /nfs/dbraw/zinc/80/69/78/603806978.db2.gz UYLGYDDGOHFSDV-PWSUYJOCSA-N 0 2 307.354 0.210 20 0 DCADLN O=C([O-])N1CC[C@](F)(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000831901365 603834144 /nfs/dbraw/zinc/83/41/44/603834144.db2.gz GZMRNZYGAHHKKU-OAHLLOKOSA-N 0 2 324.356 0.970 20 0 DCADLN CCCC[C@H](CNC(=O)[O-])NC(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000826796189 603853841 /nfs/dbraw/zinc/85/38/41/603853841.db2.gz UPEXQPHBKYICEI-VXGBXAGGSA-N 0 2 316.402 0.443 20 0 DCADLN CCCC[C@H](CNC(=O)[O-])NC(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000826796189 603853842 /nfs/dbraw/zinc/85/38/42/603853842.db2.gz UPEXQPHBKYICEI-VXGBXAGGSA-N 0 2 316.402 0.443 20 0 DCADLN CC(C)[C@@]1(C)NC(=O)N(C[N@@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000824150701 603918708 /nfs/dbraw/zinc/91/87/08/603918708.db2.gz QLHBBBLSNJFRFO-QMTHXVAHSA-N 0 2 312.370 0.595 20 0 DCADLN CC(C)[C@@]1(C)NC(=O)N(C[N@H+]2CC[C@@H](N(C)C(=O)[O-])C2)C1=O ZINC000824150701 603918710 /nfs/dbraw/zinc/91/87/10/603918710.db2.gz QLHBBBLSNJFRFO-QMTHXVAHSA-N 0 2 312.370 0.595 20 0 DCADLN COC[C@H](NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)C(C)C ZINC000828913295 604077908 /nfs/dbraw/zinc/07/79/08/604077908.db2.gz PVUPHVWCEHVDNH-LBPRGKRZSA-N 0 2 316.402 0.252 20 0 DCADLN O=C([O-])NCCCOC1CC[NH+](Cc2nnnn2C2CC2)CC1 ZINC000832633618 604106597 /nfs/dbraw/zinc/10/65/97/604106597.db2.gz UWTYBAJMKIKCCC-UHFFFAOYSA-N 0 2 324.385 0.647 20 0 DCADLN C[C@@H]1CCN(C(=O)COCCNC(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000825937259 604324293 /nfs/dbraw/zinc/32/42/93/604324293.db2.gz HYVNUEWIHAKMBI-VXGBXAGGSA-N 0 2 310.354 0.577 20 0 DCADLN C[C@H]1CCN(C(=O)COC2CN(C(=O)[O-])C2)C[C@H]1n1cc[nH+]c1 ZINC000825939479 604324904 /nfs/dbraw/zinc/32/49/04/604324904.db2.gz UUGXMZJLUYWHOX-WCQYABFASA-N 0 2 322.365 0.671 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@@H]2COCCN2C(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000825939736 604326195 /nfs/dbraw/zinc/32/61/95/604326195.db2.gz WVMCJODGWRRPJU-UPJWGTAASA-N 0 2 322.365 0.671 20 0 DCADLN C[N@@H+]1CCN(C(=O)CC2(O)CCCCC2)[C@@H](CNC(=O)[O-])C1 ZINC000828496788 604396253 /nfs/dbraw/zinc/39/62/53/604396253.db2.gz ZNLZTCIGSHYRJJ-LBPRGKRZSA-N 0 2 313.398 0.482 20 0 DCADLN C[N@H+]1CCN(C(=O)CC2(O)CCCCC2)[C@@H](CNC(=O)[O-])C1 ZINC000828496788 604396254 /nfs/dbraw/zinc/39/62/54/604396254.db2.gz ZNLZTCIGSHYRJJ-LBPRGKRZSA-N 0 2 313.398 0.482 20 0 DCADLN Cc1cc(C)n(CCC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000830016853 604399305 /nfs/dbraw/zinc/39/93/05/604399305.db2.gz JUGWISCOVHJSBB-ZDUSSCGKSA-N 0 2 323.397 0.300 20 0 DCADLN Cc1cc(C)n(CCC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000830016853 604399308 /nfs/dbraw/zinc/39/93/08/604399308.db2.gz JUGWISCOVHJSBB-ZDUSSCGKSA-N 0 2 323.397 0.300 20 0 DCADLN CC(=O)N1CC[NH+](Cc2c(C)nn(CCC(=O)[O-])c2C)CC1 ZINC000833391840 604514921 /nfs/dbraw/zinc/51/49/21/604514921.db2.gz WTPHHAVSBWOYKY-UHFFFAOYSA-N 0 2 308.382 0.639 20 0 DCADLN O=C([O-])N1CC(NC(=O)NCc2cc[nH+]c(N3CCCC3)c2)C1 ZINC000831725715 604569784 /nfs/dbraw/zinc/56/97/84/604569784.db2.gz IHXFLBOBTGNSJW-UHFFFAOYSA-N 0 2 319.365 0.843 20 0 DCADLN CN(C)c1ccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000828514517 604632371 /nfs/dbraw/zinc/63/23/71/604632371.db2.gz ZSKZTAZRQBENAT-CQSZACIVSA-N 0 2 320.393 0.776 20 0 DCADLN CN(C)c1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000828514517 604632376 /nfs/dbraw/zinc/63/23/76/604632376.db2.gz ZSKZTAZRQBENAT-CQSZACIVSA-N 0 2 320.393 0.776 20 0 DCADLN CNC(=O)c1ccc(C[N@@H+]2CCO[C@@H]([C@@H](C)NC(=O)[O-])C2)cc1 ZINC000828562507 604632663 /nfs/dbraw/zinc/63/26/63/604632663.db2.gz XHDYVVGELXVMCT-BXUZGUMPSA-N 0 2 321.377 0.903 20 0 DCADLN CNC(=O)c1ccc(C[N@H+]2CCO[C@@H]([C@@H](C)NC(=O)[O-])C2)cc1 ZINC000828562507 604632665 /nfs/dbraw/zinc/63/26/65/604632665.db2.gz XHDYVVGELXVMCT-BXUZGUMPSA-N 0 2 321.377 0.903 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)[C@@H]2CN(C(=O)[O-])CCO2)c1C ZINC000829067792 604791341 /nfs/dbraw/zinc/79/13/41/604791341.db2.gz ZQXJOTCRRRMEPX-LBPRGKRZSA-N 0 2 323.349 0.702 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)NCc2cn3c([nH+]2)CCCC3)C1 ZINC000831726229 604817538 /nfs/dbraw/zinc/81/75/38/604817538.db2.gz YWGCIJPPTNJTNY-NSHDSACASA-N 0 2 308.338 0.214 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC000832049841 604822570 /nfs/dbraw/zinc/82/25/70/604822570.db2.gz KEGUEOCLYSZPTP-MNOVXSKESA-N 0 2 308.338 0.495 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)nc1 ZINC000833309473 604936104 /nfs/dbraw/zinc/93/61/04/604936104.db2.gz HVEBNUVIHVCTKR-GFCCVEGCSA-N 0 2 305.334 0.327 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[NH2+][C@H](C(=O)[O-])c1ccc(OC)cc1 ZINC000833658256 604948517 /nfs/dbraw/zinc/94/85/17/604948517.db2.gz YMINKFQGTASLCB-CABZTGNLSA-N 0 2 323.349 0.645 20 0 DCADLN CNc1[nH+]cnc2c1cnn2CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000833700718 605122185 /nfs/dbraw/zinc/12/21/85/605122185.db2.gz PJIIZZZVJDUGPG-UHFFFAOYSA-N 0 2 318.337 0.191 20 0 DCADLN CC(C)C[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])co2)CC1 ZINC000833488111 605191864 /nfs/dbraw/zinc/19/18/64/605191864.db2.gz PZHJHNTWJGNCCO-UHFFFAOYSA-N 0 2 316.379 0.940 20 0 DCADLN O=C(CO[C@@H]1CCCN(C(=O)[O-])C1)N[C@H]1CCc2[nH+]ccn2C1 ZINC000831514601 605282732 /nfs/dbraw/zinc/28/27/32/605282732.db2.gz YMDSHBBWAZIZBO-NWDGAFQWSA-N 0 2 322.365 0.473 20 0 DCADLN Cc1cc(C(=O)N(C)CC[NH+]2CCN(C(=O)[O-])CC2)cc(=O)[nH]1 ZINC000833899455 605306571 /nfs/dbraw/zinc/30/65/71/605306571.db2.gz RQEFPTXBIUXQDM-UHFFFAOYSA-N 0 2 322.365 0.463 20 0 DCADLN NC(=O)CN1CC[NH+](Cc2cccc3c2N(C(=O)[O-])CC3)CC1 ZINC000833985662 605464003 /nfs/dbraw/zinc/46/40/03/605464003.db2.gz SULWNXOYZCRYCN-UHFFFAOYSA-N 0 2 318.377 0.330 20 0 DCADLN O=C([O-])N1CCC2(CC1)NC(=O)N(C[N@H+]1CC=CCC1)C2=O ZINC000834092074 605490453 /nfs/dbraw/zinc/49/04/53/605490453.db2.gz CNDKVMSLNJBJAY-UHFFFAOYSA-N 0 2 308.338 0.270 20 0 DCADLN O=C([O-])N1CCC2(CC1)NC(=O)N(C[N@@H+]1CC=CCC1)C2=O ZINC000834092074 605490455 /nfs/dbraw/zinc/49/04/55/605490455.db2.gz CNDKVMSLNJBJAY-UHFFFAOYSA-N 0 2 308.338 0.270 20 0 DCADLN C[C@H](CC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)c1ccncc1 ZINC000824646172 605520382 /nfs/dbraw/zinc/52/03/82/605520382.db2.gz DXQZAUOGIRGHLI-CYBMUJFWSA-N 0 2 320.393 0.987 20 0 DCADLN O=C([O-])N1CCC[C@H](Nc2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000739928854 605625473 /nfs/dbraw/zinc/62/54/73/605625473.db2.gz XQZOHZIYRZVDRQ-NWDGAFQWSA-N 0 2 321.381 0.992 20 0 DCADLN O=C([O-])N1CCC[C@H](Nc2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000739928854 605625477 /nfs/dbraw/zinc/62/54/77/605625477.db2.gz XQZOHZIYRZVDRQ-NWDGAFQWSA-N 0 2 321.381 0.992 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H](NC(=O)[O-])C(C)(C)C ZINC000824908096 605633879 /nfs/dbraw/zinc/63/38/79/605633879.db2.gz QRVRFYSOCUDJJF-GHMZBOCLSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000736421794 605701845 /nfs/dbraw/zinc/70/18/45/605701845.db2.gz NBASMICCPZISFL-AGIUHOORSA-N 0 2 315.414 0.894 20 0 DCADLN O=C([O-])N1CC[C@H](NC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000834056349 605932870 /nfs/dbraw/zinc/93/28/70/605932870.db2.gz NHRMUXSUNAHMNH-JTQLQIEISA-N 0 2 317.305 0.141 20 0 DCADLN O=C([O-])N1CC[C@@](F)(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834084212 605975574 /nfs/dbraw/zinc/97/55/74/605975574.db2.gz LQXKZOSBNVRHEY-ABAIWWIYSA-N 0 2 322.340 0.869 20 0 DCADLN O=C([O-])Nc1cnc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cn1 ZINC000834275689 606058739 /nfs/dbraw/zinc/05/87/39/606058739.db2.gz FMJRLUUXVFVKPD-SNVBAGLBSA-N 0 2 321.337 0.113 20 0 DCADLN Cc1n[nH]c([C@H]2CCCN(c3nccnc3-c3nn[nH]n3)C2)n1 ZINC000822437129 606726620 /nfs/dbraw/zinc/72/66/20/606726620.db2.gz WQIFWIRQMONNIM-VIFPVBQESA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nc([C@H]2CCCN(c3nccnc3-c3nn[nH]n3)C2)n[nH]1 ZINC000822437129 606726622 /nfs/dbraw/zinc/72/66/22/606726622.db2.gz WQIFWIRQMONNIM-VIFPVBQESA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nnc([C@H]2CCCN(c3nccnc3-c3nn[nH]n3)C2)[nH]1 ZINC000822437129 606726624 /nfs/dbraw/zinc/72/66/24/606726624.db2.gz WQIFWIRQMONNIM-VIFPVBQESA-N 0 2 312.341 0.467 20 0 DCADLN Cc1n[nH]c([C@@H]2CCCN(c3nccnc3-c3nn[nH]n3)C2)n1 ZINC000822437128 606726634 /nfs/dbraw/zinc/72/66/34/606726634.db2.gz WQIFWIRQMONNIM-SECBINFHSA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nc([C@@H]2CCCN(c3nccnc3-c3nn[nH]n3)C2)n[nH]1 ZINC000822437128 606726636 /nfs/dbraw/zinc/72/66/36/606726636.db2.gz WQIFWIRQMONNIM-SECBINFHSA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nnc([C@@H]2CCCN(c3nccnc3-c3nn[nH]n3)C2)[nH]1 ZINC000822437128 606726638 /nfs/dbraw/zinc/72/66/38/606726638.db2.gz WQIFWIRQMONNIM-SECBINFHSA-N 0 2 312.341 0.467 20 0 DCADLN Cc1cc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)nn1C ZINC000822501038 607133320 /nfs/dbraw/zinc/13/33/20/607133320.db2.gz SEHJWGFEYOBUSV-UHFFFAOYSA-N 0 2 300.326 0.130 20 0 DCADLN Cc1cccc(C)c1Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC000826296971 608095818 /nfs/dbraw/zinc/09/58/18/608095818.db2.gz QBNAFVOPXPIYKX-UHFFFAOYSA-N 0 2 312.333 0.392 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)nc1 ZINC000826349325 608528575 /nfs/dbraw/zinc/52/85/75/608528575.db2.gz DNXYJLJVWFCMSB-UHFFFAOYSA-N 0 2 307.295 0.359 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC000977712550 660423734 /nfs/dbraw/zinc/42/37/34/660423734.db2.gz SXIKWPNDYKIONR-YUMQZZPRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC000977712550 660423736 /nfs/dbraw/zinc/42/37/36/660423736.db2.gz SXIKWPNDYKIONR-YUMQZZPRSA-N 0 2 322.262 0.983 20 0 DCADLN O=C(Cc1cccs1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009935522 660692489 /nfs/dbraw/zinc/69/24/89/660692489.db2.gz RZWDFQSUMQWQQZ-VIFPVBQESA-N 0 2 307.379 0.505 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1ccns1 ZINC001027914511 660913324 /nfs/dbraw/zinc/91/33/24/660913324.db2.gz RVWVGBXRKJRYIJ-QMMMGPOBSA-N 0 2 308.367 0.361 20 0 DCADLN C[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)n1cccn1 ZINC000980748229 661000743 /nfs/dbraw/zinc/00/07/43/661000743.db2.gz GBRLWZUSNRNOKJ-NSHDSACASA-N 0 2 319.369 0.002 20 0 DCADLN O=C(c1ccc(F)nc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980848360 661028591 /nfs/dbraw/zinc/02/85/91/661028591.db2.gz UMMYYUUMOPSUPA-UHFFFAOYSA-N 0 2 320.328 0.393 20 0 DCADLN Cn1ccc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000980969386 661053706 /nfs/dbraw/zinc/05/37/06/661053706.db2.gz QHAAEUFLEJYVQX-UHFFFAOYSA-N 0 2 304.354 0.197 20 0 DCADLN CCOC1CC(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000981099792 661078196 /nfs/dbraw/zinc/07/81/96/661078196.db2.gz LGTLEMIOJBCQHL-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000981270838 661122335 /nfs/dbraw/zinc/12/23/35/661122335.db2.gz OGRQLKXWJXDEJW-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN CO[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C(C)C ZINC000981878322 661242018 /nfs/dbraw/zinc/24/20/18/661242018.db2.gz RKOHSXFFSGBBBY-GFCCVEGCSA-N 0 2 311.386 0.216 20 0 DCADLN O=C(c1ccc(Cl)[nH]1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981906252 661244811 /nfs/dbraw/zinc/24/48/11/661244811.db2.gz HIONIEJHZMDYEV-UHFFFAOYSA-N 0 2 324.772 0.840 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NC[C@@](C)(O)c1ccccc1 ZINC000866843520 657475126 /nfs/dbraw/zinc/47/51/26/657475126.db2.gz KVIYOTZDCZDTMP-BLVKFPJESA-N 0 2 320.436 0.846 20 0 DCADLN Cc1cnc([C@H](C)[NH2+][C@@H]2CCN(C(=O)Cc3nnc[nH]3)C2)o1 ZINC000970445842 657525399 /nfs/dbraw/zinc/52/53/99/657525399.db2.gz NJTWKMYZCCFDBG-WDEREUQCSA-N 0 2 304.354 0.595 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CC[C@@H](C)O1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970455242 657534953 /nfs/dbraw/zinc/53/49/53/657534953.db2.gz QCWCWJNYBOXKLJ-FXPVBKGRSA-N 0 2 309.370 0.014 20 0 DCADLN C[C@H](NC(=O)[C@H]1C[C@@H]1C(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969603492 658015352 /nfs/dbraw/zinc/01/53/52/658015352.db2.gz WNPQGYITSDZZHP-XVYDVKMFSA-N 0 2 315.324 0.348 20 0 DCADLN Cc1noc([C@H](C)[NH+]2CC([C@@H](C)NC(=O)c3cnn[n-]3)C2)n1 ZINC000970034662 658378302 /nfs/dbraw/zinc/37/83/02/658378302.db2.gz ISCVDWRGXRHJOJ-SFYZADRCSA-N 0 2 305.342 0.307 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038139835 658416412 /nfs/dbraw/zinc/41/64/12/658416412.db2.gz FXTPEXPAYNILIL-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN O=C(CC1CC1)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972216430 658450757 /nfs/dbraw/zinc/45/07/57/658450757.db2.gz WKXKSNLVWVPBQB-OAHLLOKOSA-N 0 2 321.381 0.114 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)s1 ZINC001020773826 658454835 /nfs/dbraw/zinc/45/48/35/658454835.db2.gz LRBIACWXQAWHLJ-XVYDVKMFSA-N 0 2 307.383 0.576 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)s1 ZINC001020773826 658454841 /nfs/dbraw/zinc/45/48/41/658454841.db2.gz LRBIACWXQAWHLJ-XVYDVKMFSA-N 0 2 307.383 0.576 20 0 DCADLN Cc1ccc(C(=O)NNC(=O)NCCCN2CCCCC2=O)o1 ZINC000084158078 658474770 /nfs/dbraw/zinc/47/47/70/658474770.db2.gz XSSXUXZZDHEOQJ-UHFFFAOYSA-N 0 2 322.365 0.935 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)N2CC[C@@H]([N@H+](C)Cc3ccn(C)n3)C2)C1 ZINC000972334906 658581290 /nfs/dbraw/zinc/58/12/90/658581290.db2.gz KZRXUEYFEHWMGT-GOEBONIOSA-N 0 2 319.453 0.795 20 0 DCADLN COC1CC(C(=O)NC[C@@H]2CCCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001024496823 658664136 /nfs/dbraw/zinc/66/41/36/658664136.db2.gz DCRYQTNFKRTMMZ-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN COC1CC(C(=O)NC[C@@H]2CCCC[N@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001024496823 658664140 /nfs/dbraw/zinc/66/41/40/658664140.db2.gz DCRYQTNFKRTMMZ-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)c1nsc(C[NH2+][C@H]2C[C@@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC001022867923 658704834 /nfs/dbraw/zinc/70/48/34/658704834.db2.gz KEVQGDNXBIAQHX-DTORHVGOSA-N 0 2 321.410 0.830 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCC1(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970296926 658833163 /nfs/dbraw/zinc/83/31/63/658833163.db2.gz AUSNOZINNYNUJA-KOLCDFICSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cncs1 ZINC001006856239 658833475 /nfs/dbraw/zinc/83/34/75/658833475.db2.gz YFKJUKRLXZLKIY-QMMMGPOBSA-N 0 2 308.367 0.361 20 0 DCADLN O=C([O-])C1(NC(=O)[C@@H]2CCn3c[nH+]cc3C2)CCSCC1 ZINC000909347812 659019034 /nfs/dbraw/zinc/01/90/34/659019034.db2.gz RBCMOOOLRLTINY-SNVBAGLBSA-N 0 2 309.391 0.912 20 0 DCADLN O=C(Cc1ccon1)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000939800632 665646994 /nfs/dbraw/zinc/64/69/94/665646994.db2.gz IQEWCEVIWPEGAD-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000939800632 665646995 /nfs/dbraw/zinc/64/69/95/665646995.db2.gz IQEWCEVIWPEGAD-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(N[C@@H]1CCC[NH+](CCF)C1)[C@@H]1C[N@@H+]2CC3CC2(C3)CO1 ZINC001007987542 659160726 /nfs/dbraw/zinc/16/07/26/659160726.db2.gz CNYPOEVNOSJEPA-IQXANGCESA-N 0 2 311.401 0.400 20 0 DCADLN CS(=O)(=O)C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC000887754913 667908990 /nfs/dbraw/zinc/90/89/90/667908990.db2.gz OOGCTIRCJZWSGM-NKWVEPMBSA-N 0 2 307.265 0.159 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccncc1Cl ZINC001038734939 659438796 /nfs/dbraw/zinc/43/87/96/659438796.db2.gz CTAPOPVGLARTNB-QMMMGPOBSA-N 0 2 322.756 0.563 20 0 DCADLN CCn1cnc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001028263978 661366988 /nfs/dbraw/zinc/36/69/88/661366988.db2.gz AWUIGTUELKUZQM-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cnc(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001028263978 661366991 /nfs/dbraw/zinc/36/69/91/661366991.db2.gz AWUIGTUELKUZQM-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032785535 665825507 /nfs/dbraw/zinc/82/55/07/665825507.db2.gz JAGOVFPHWZWABH-QWRGUYRKSA-N 0 2 316.365 0.431 20 0 DCADLN C[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001029260113 662070606 /nfs/dbraw/zinc/07/06/06/662070606.db2.gz GODQQKAHSBRABV-JLLWLGSASA-N 0 2 305.382 0.732 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@H](C[N@@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001029675397 662448531 /nfs/dbraw/zinc/44/85/31/662448531.db2.gz NIJHFRWQZUCERT-SNVBAGLBSA-N 0 2 319.369 0.136 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@H](C[N@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001029675397 662448532 /nfs/dbraw/zinc/44/85/32/662448532.db2.gz NIJHFRWQZUCERT-SNVBAGLBSA-N 0 2 319.369 0.136 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@H](C[N@H+](C)Cc3cnnn3C)C2)[nH]1 ZINC001029676143 662448624 /nfs/dbraw/zinc/44/86/24/662448624.db2.gz CIDSTQGOHHZHRY-GFCCVEGCSA-N 0 2 317.397 0.441 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3ncn[nH]3)C[C@H]2C)on1 ZINC000947818663 662489217 /nfs/dbraw/zinc/48/92/17/662489217.db2.gz ABCQXKSRZZIKCQ-GHMZBOCLSA-N 0 2 304.354 0.884 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3ncn[nH]3)C[C@H]2C)on1 ZINC000947818663 662489218 /nfs/dbraw/zinc/48/92/18/662489218.db2.gz ABCQXKSRZZIKCQ-GHMZBOCLSA-N 0 2 304.354 0.884 20 0 DCADLN CCn1ncc(C[NH2+][C@H]2CCN(C(=O)c3cnc(C)[nH]3)[C@@H]2C)n1 ZINC000986056438 662547040 /nfs/dbraw/zinc/54/70/40/662547040.db2.gz OXVZKIQRSLFZIV-MFKMUULPSA-N 0 2 317.397 0.722 20 0 DCADLN CCC(CC)[C@@H](C(=O)N[C@@H](COC)C(=O)[O-])[NH+]1CCOCC1 ZINC000909662011 662560775 /nfs/dbraw/zinc/56/07/75/662560775.db2.gz NMQZWJMGEMSWLA-STQMWFEESA-N 0 2 316.398 0.339 20 0 DCADLN Cc1nonc1C[NH2+][C@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])[C@@H]1C ZINC000986157370 662562582 /nfs/dbraw/zinc/56/25/82/662562582.db2.gz IBGBFRWLRYHUAP-ZJUUUORDSA-N 0 2 320.353 0.508 20 0 DCADLN Cc1ncc(C[NH2+][C@H]2CCN(C(=O)CCc3cnn[nH]3)[C@H]2C)o1 ZINC000987018101 662635313 /nfs/dbraw/zinc/63/53/13/662635313.db2.gz UDVFQGHJPVFLQK-HZMBPMFUSA-N 0 2 318.381 0.813 20 0 DCADLN Cc1ncoc1C[N@@H+]1CC[C@@H](NC(=O)c2ncn[nH]2)C[C@@H]1C ZINC000947828450 662645581 /nfs/dbraw/zinc/64/55/81/662645581.db2.gz GNTRLJCQYIBJLY-GXSJLCMTSA-N 0 2 304.354 0.884 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CCC(=O)NCC12COC2 ZINC000921797431 665890577 /nfs/dbraw/zinc/89/05/77/665890577.db2.gz CKZRIHAQIDSSHA-UHFFFAOYSA-N 0 2 310.737 0.777 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000940973724 665893248 /nfs/dbraw/zinc/89/32/48/665893248.db2.gz GJSFAHMBFBPBGV-IWSPIJDZSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000940973724 665893249 /nfs/dbraw/zinc/89/32/49/665893249.db2.gz GJSFAHMBFBPBGV-IWSPIJDZSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1ncc(C(=O)N2C[C@H]([NH2+]Cc3ccn(C)n3)C[C@H]2C)[nH]1 ZINC000988364609 662758153 /nfs/dbraw/zinc/75/81/53/662758153.db2.gz GQUJDIWYIQFLSC-ZWNOBZJWSA-N 0 2 302.382 0.844 20 0 DCADLN CC(C)(F)C(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC000989901277 662986668 /nfs/dbraw/zinc/98/66/68/662986668.db2.gz YBSWBKPHSIFZRV-XPTSAGLGSA-N 0 2 311.361 0.872 20 0 DCADLN CC(C)(F)C(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC000989901277 662986669 /nfs/dbraw/zinc/98/66/69/662986669.db2.gz YBSWBKPHSIFZRV-XPTSAGLGSA-N 0 2 311.361 0.872 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n(C)n1 ZINC000990382614 663040972 /nfs/dbraw/zinc/04/09/72/663040972.db2.gz BJPACPYHERPVJP-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n(C)n1 ZINC000990382614 663040973 /nfs/dbraw/zinc/04/09/73/663040973.db2.gz BJPACPYHERPVJP-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)no1 ZINC000990542943 663064284 /nfs/dbraw/zinc/06/42/84/663064284.db2.gz IRCQYDKUCHDNNI-SNVBAGLBSA-N 0 2 323.246 0.753 20 0 DCADLN Cc1cc(CC(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)no1 ZINC000990542943 663064285 /nfs/dbraw/zinc/06/42/85/663064285.db2.gz IRCQYDKUCHDNNI-SNVBAGLBSA-N 0 2 323.246 0.753 20 0 DCADLN COC1C2CC3CN(C(=O)NCCC4CC(=O)N(C)C4)C1C3C2 ZINC000924753171 663133124 /nfs/dbraw/zinc/13/31/24/663133124.db2.gz OSNXMSDKDISWSS-BEKRQIFDSA-N 0 2 321.421 0.920 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cc[nH]c(=O)c1 ZINC000990804199 663162738 /nfs/dbraw/zinc/16/27/38/663162738.db2.gz XCUJNDYJUNHGAE-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cc[nH]c(=O)c1 ZINC000990804199 663162741 /nfs/dbraw/zinc/16/27/41/663162741.db2.gz XCUJNDYJUNHGAE-SECBINFHSA-N 0 2 321.230 0.628 20 0 DCADLN C[C@H]1C[C@@H](C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001000865271 665945209 /nfs/dbraw/zinc/94/52/09/665945209.db2.gz ARIVSRXBGHNUDG-CMPLNLGQSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@H]1C[C@@H](C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001000865271 665945210 /nfs/dbraw/zinc/94/52/10/665945210.db2.gz ARIVSRXBGHNUDG-CMPLNLGQSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CCC[C@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000900941791 663296225 /nfs/dbraw/zinc/29/62/25/663296225.db2.gz VMXADHNHOKYFAC-UTUOFQBUSA-N 0 2 306.366 0.737 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CCC[C@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000900941791 663296226 /nfs/dbraw/zinc/29/62/26/663296226.db2.gz VMXADHNHOKYFAC-UTUOFQBUSA-N 0 2 306.366 0.737 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000901450828 663344865 /nfs/dbraw/zinc/34/48/65/663344865.db2.gz VIQUHCPTFSCCMZ-DTWKUNHWSA-N 0 2 321.255 0.689 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000901666029 663360837 /nfs/dbraw/zinc/36/08/37/663360837.db2.gz LDBRGRHIXZNGJG-ABAIWWIYSA-N 0 2 307.350 0.538 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)C[C@H]2CCCO2)nn1C ZINC000901678431 663362090 /nfs/dbraw/zinc/36/20/90/663362090.db2.gz GIWRBZSCYMROLY-MRVPVSSYSA-N 0 2 303.340 0.127 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](Cc1ccncc1)C(=O)[O-] ZINC000902035402 663379622 /nfs/dbraw/zinc/37/96/22/663379622.db2.gz OKUKFZFPXMRJJH-UPJWGTAASA-N 0 2 314.345 0.731 20 0 DCADLN COCCn1c(=O)cc(O)nc1SCc1cc(OC)no1 ZINC000902145472 663388989 /nfs/dbraw/zinc/38/89/89/663388989.db2.gz ACLJHNYFQZSOGN-UHFFFAOYSA-N 0 2 313.335 0.884 20 0 DCADLN O=C(NCCN1CCOCC1)c1nnc(-c2cscn2)o1 ZINC000902612579 663422189 /nfs/dbraw/zinc/42/21/89/663422189.db2.gz QUEYGWROFMAFFE-UHFFFAOYSA-N 0 2 309.351 0.255 20 0 DCADLN COC(=O)c1cn(CN2CCOC[C@@H]2C[C@H]2CCCO2)nn1 ZINC000902612381 663422339 /nfs/dbraw/zinc/42/23/39/663422339.db2.gz YXWZDXOBYYNMBC-NWDGAFQWSA-N 0 2 310.354 0.292 20 0 DCADLN CC(C)[C@H]1CCN(CN2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)C1=O ZINC000902614885 663422378 /nfs/dbraw/zinc/42/23/78/663422378.db2.gz QSIWBCMTUCJPLC-FRRDWIJNSA-N 0 2 317.455 0.305 20 0 DCADLN CN(C)CC(N)=NOCC(=O)NCCOc1ccc(F)cc1 ZINC000902612538 663422403 /nfs/dbraw/zinc/42/24/03/663422403.db2.gz LBQPZUSROPIBFT-UHFFFAOYSA-N 0 2 312.345 0.381 20 0 DCADLN C[C@H]1Cn2nc(C(=O)[O-])cc2CN1C(=O)CCn1cc[nH+]c1 ZINC000908430614 663755058 /nfs/dbraw/zinc/75/50/58/663755058.db2.gz DNFYOUPVXFUOIE-JTQLQIEISA-N 0 2 303.322 0.599 20 0 DCADLN CO[C@@H]1CN(C(=O)NCCCn2cc[nH+]c2)[C@@](C)(C(=O)[O-])C1 ZINC000908738453 663767582 /nfs/dbraw/zinc/76/75/82/663767582.db2.gz YTXGVHUIUVULOE-SMDDNHRTSA-N 0 2 310.354 0.547 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC000909711279 663796090 /nfs/dbraw/zinc/79/60/90/663796090.db2.gz LRIALVZXTJGIJM-WBMJQRKESA-N 0 2 321.377 0.785 20 0 DCADLN O=C([O-])[C@H](NC(=O)Cn1cc[nH+]c1)c1ccc2c(c1)CCO2 ZINC000909796277 663802682 /nfs/dbraw/zinc/80/26/82/663802682.db2.gz ZXZGZMKGHGZZJV-CQSZACIVSA-N 0 2 301.302 0.760 20 0 DCADLN COC1(C[C@H](NC(=O)[C@H]2CCc3[nH+]ccn3C2)C(=O)[O-])CCC1 ZINC000909891246 663814450 /nfs/dbraw/zinc/81/44/50/663814450.db2.gz YORORIMSWLYHCU-RYUDHWBXSA-N 0 2 321.377 0.974 20 0 DCADLN O=C([O-])[C@H]1CSCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000910147585 663849917 /nfs/dbraw/zinc/84/99/17/663849917.db2.gz NSQUBWHEBKEPIZ-WDEREUQCSA-N 0 2 309.391 0.722 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)[C@@](C)(C(=O)[O-])C1 ZINC000910268846 663862632 /nfs/dbraw/zinc/86/26/32/663862632.db2.gz XYFCWUCSHKXFPX-IFUGULHKSA-N 0 2 307.350 0.536 20 0 DCADLN CC1(O)CCN(c2cc(N3CCO[C@H](C(=O)[O-])C3)nc[nH+]2)CC1 ZINC000910277251 663863489 /nfs/dbraw/zinc/86/34/89/663863489.db2.gz CFRQCJDWJKFWMH-NSHDSACASA-N 0 2 322.365 0.118 20 0 DCADLN CC1(O)CCN(c2cc(N3CCO[C@H](C(=O)[O-])C3)[nH+]cn2)CC1 ZINC000910277251 663863492 /nfs/dbraw/zinc/86/34/92/663863492.db2.gz CFRQCJDWJKFWMH-NSHDSACASA-N 0 2 322.365 0.118 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)[C@@](C)(C(=O)[O-])C1 ZINC000910263090 663865891 /nfs/dbraw/zinc/86/58/91/663865891.db2.gz GQKVEZFYWQXYDC-MYQWFSQQSA-N 0 2 307.350 0.614 20 0 DCADLN CC1(O)CCN(c2cc(N3CC[C@H](C(=O)[O-])C3)[nH+]cn2)CC1 ZINC000910405922 663878002 /nfs/dbraw/zinc/87/80/02/663878002.db2.gz IUVFPCSYKQRRHY-NSHDSACASA-N 0 2 306.366 0.739 20 0 DCADLN CC1(O)CCN(c2cc(N3CC[C@H](C(=O)[O-])C3)nc[nH+]2)CC1 ZINC000910405922 663878005 /nfs/dbraw/zinc/87/80/05/663878005.db2.gz IUVFPCSYKQRRHY-NSHDSACASA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@@H](CC1CCOCC1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000910450578 663885198 /nfs/dbraw/zinc/88/51/98/663885198.db2.gz DRXMEHKJLQISCY-GXTWGEPZSA-N 0 2 321.377 0.832 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@@H]3CCC[C@@H]3[C@@H]2C(=O)[O-])nc[nH+]1 ZINC000910453706 663885577 /nfs/dbraw/zinc/88/55/77/663885577.db2.gz LYURULKIRNLTOG-AYGWYOGXSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@@H]3CCC[C@@H]3[C@@H]2C(=O)[O-])[nH+]cn1 ZINC000910453706 663885579 /nfs/dbraw/zinc/88/55/79/663885579.db2.gz LYURULKIRNLTOG-AYGWYOGXSA-N 0 2 306.366 0.959 20 0 DCADLN COCn1cc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC000910630603 663913447 /nfs/dbraw/zinc/91/34/47/663913447.db2.gz KPHASDALQZTDNI-UHFFFAOYSA-N 0 2 308.260 0.883 20 0 DCADLN O=C([O-])c1cnc(CCNC(=O)[C@H]2CCn3c[nH+]cc3C2)s1 ZINC000911002758 663969861 /nfs/dbraw/zinc/96/98/61/663969861.db2.gz WHIKFWSGTDMXJB-VIFPVBQESA-N 0 2 320.374 0.959 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@H](O)C3CCC3)CC2)[nH+]c1 ZINC000911166946 663996854 /nfs/dbraw/zinc/99/68/54/663996854.db2.gz AUIKCJJOPFBLJO-CQSZACIVSA-N 0 2 319.361 0.589 20 0 DCADLN Cn1cc(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)cn1 ZINC000911173955 664001640 /nfs/dbraw/zinc/00/16/40/664001640.db2.gz PRCILQKUOJMIMA-UHFFFAOYSA-N 0 2 315.333 0.476 20 0 DCADLN CO[C@H](C)C(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911301676 664022468 /nfs/dbraw/zinc/02/24/68/664022468.db2.gz BGJHFUYYPPLEOM-LLVKDONJSA-N 0 2 307.350 0.853 20 0 DCADLN CC[C@@H](C(=O)N(C)Cc1nc(=O)o[n-]1)[N@@H+]1CCO[C@H](CC)C1 ZINC000911452261 664059404 /nfs/dbraw/zinc/05/94/04/664059404.db2.gz UHNVEHUIXYFUIE-MNOVXSKESA-N 0 2 312.370 0.211 20 0 DCADLN CC[C@@H](C(=O)N(C)Cc1nc(=O)o[n-]1)[N@H+]1CCO[C@H](CC)C1 ZINC000911452261 664059406 /nfs/dbraw/zinc/05/94/06/664059406.db2.gz UHNVEHUIXYFUIE-MNOVXSKESA-N 0 2 312.370 0.211 20 0 DCADLN CC(C)C[C@H](C(=O)N1CCC[C@@H](O)[C@@H]1C(=O)[O-])n1cc[nH+]c1 ZINC000911632061 664094527 /nfs/dbraw/zinc/09/45/27/664094527.db2.gz WEKHPDLLTOOPFI-JHJVBQTASA-N 0 2 309.366 0.907 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@]3(CCCOC3)C2)S1 ZINC000746874271 664404357 /nfs/dbraw/zinc/40/43/57/664404357.db2.gz GSZQRGVJEBJSPT-YGRLFVJLSA-N 0 2 311.407 0.962 20 0 DCADLN Cc1cc(C)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001030375726 664523008 /nfs/dbraw/zinc/52/30/08/664523008.db2.gz JLFZSUCYDKCSCM-UHFFFAOYSA-N 0 2 307.379 0.803 20 0 DCADLN Cc1cc(F)c(S(=O)(=O)NCCN(C)CCO)c(F)c1 ZINC000885804552 666987285 /nfs/dbraw/zinc/98/72/85/666987285.db2.gz NKVUYDPOXVKQIR-UHFFFAOYSA-N 0 2 308.350 0.476 20 0 DCADLN O=C(Cc1ccncc1Cl)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030963047 664737964 /nfs/dbraw/zinc/73/79/64/664737964.db2.gz IJJRHIZEZVFVOB-UHFFFAOYSA-N 0 2 322.756 0.102 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(Cl)cs1 ZINC001031049890 664775506 /nfs/dbraw/zinc/77/55/06/664775506.db2.gz ROMQPFLGVOSRKE-UHFFFAOYSA-N 0 2 313.770 0.839 20 0 DCADLN CCCN(CC(F)(F)F)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730534378 664789961 /nfs/dbraw/zinc/78/99/61/664789961.db2.gz VHBVKLQMMFXYDA-UHFFFAOYSA-N 0 2 317.271 0.872 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000993276152 664921091 /nfs/dbraw/zinc/92/10/91/664921091.db2.gz CLTXHEVZNYGCNI-QWRGUYRKSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000993276152 664921092 /nfs/dbraw/zinc/92/10/92/664921092.db2.gz CLTXHEVZNYGCNI-QWRGUYRKSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000993339143 664944260 /nfs/dbraw/zinc/94/42/60/664944260.db2.gz DFVUCGKZZQGMFU-NXEZZACHSA-N 0 2 319.369 0.325 20 0 DCADLN C[C@@H]1[C@H](NC(=O)Cc2ccco2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994049732 665032055 /nfs/dbraw/zinc/03/20/55/665032055.db2.gz HXBFOULKSOUMPY-ZYHUDNBSSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1[C@H](NC(=O)Cc2ccco2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994049732 665032056 /nfs/dbraw/zinc/03/20/56/665032056.db2.gz HXBFOULKSOUMPY-ZYHUDNBSSA-N 0 2 319.365 0.815 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000994428148 665105890 /nfs/dbraw/zinc/10/58/90/665105890.db2.gz KHSDUEOPQIQIGC-CMPLNLGQSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000994428148 665105891 /nfs/dbraw/zinc/10/58/91/665105891.db2.gz KHSDUEOPQIQIGC-CMPLNLGQSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1ccc(C)n1[C@@H](C)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031435859 665149628 /nfs/dbraw/zinc/14/96/28/665149628.db2.gz FJNHQIXPBHDEEK-NSHDSACASA-N 0 2 318.381 0.490 20 0 DCADLN Cc1cccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031529885 665185666 /nfs/dbraw/zinc/18/56/66/665185666.db2.gz MOBQXXJIHUNRRN-UHFFFAOYSA-N 0 2 301.350 0.681 20 0 DCADLN Cn1cccc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000921017413 665286041 /nfs/dbraw/zinc/28/60/41/665286041.db2.gz ISIGFLPHEUYNRO-SNVBAGLBSA-N 0 2 304.354 0.938 20 0 DCADLN CO[N-]C(=O)CNC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000921174113 665289451 /nfs/dbraw/zinc/28/94/51/665289451.db2.gz NIEWRJHPYKWYRI-UHFFFAOYSA-N 0 2 305.338 0.162 20 0 DCADLN Cn1ccc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC000921307297 665294255 /nfs/dbraw/zinc/29/42/55/665294255.db2.gz IPPKDAVIFYYURT-NSHDSACASA-N 0 2 304.354 0.938 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cncc(Cl)c1 ZINC001015838913 665324001 /nfs/dbraw/zinc/32/40/01/665324001.db2.gz BZITVYKRGOTURA-SNVBAGLBSA-N 0 2 322.756 0.563 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)c(F)c1 ZINC001031731714 665368028 /nfs/dbraw/zinc/36/80/28/665368028.db2.gz JHKUVRSHOWGORV-UHFFFAOYSA-N 0 2 323.303 0.650 20 0 DCADLN CC1(C)CC[C@@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031961270 666025083 /nfs/dbraw/zinc/02/50/83/666025083.db2.gz UKWQJVNVVRZVKH-LLVKDONJSA-N 0 2 307.398 0.885 20 0 DCADLN Cc1nc[nH]c1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001497527 666061752 /nfs/dbraw/zinc/06/17/52/666061752.db2.gz QVQUEFURYDVMJH-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN Cc1nc[nH]c1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001497527 666061753 /nfs/dbraw/zinc/06/17/53/666061753.db2.gz QVQUEFURYDVMJH-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN Cn1cccc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002362355 666161879 /nfs/dbraw/zinc/16/18/79/666161879.db2.gz TVJGPUUBLHAPGC-UHFFFAOYSA-N 0 2 304.354 0.243 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001003198194 666284150 /nfs/dbraw/zinc/28/41/50/666284150.db2.gz OBNDIZYPVWJTSM-NXEZZACHSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NC1CC[NH+](CCn2cc(Cl)cn2)CC1)c1cn[nH]n1 ZINC001003235567 666287524 /nfs/dbraw/zinc/28/75/24/666287524.db2.gz QHLTVWJAHMSRRC-UHFFFAOYSA-N 0 2 323.788 0.549 20 0 DCADLN CC(C)[C@]1(C)C[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032085906 666293182 /nfs/dbraw/zinc/29/31/82/666293182.db2.gz FVVNNFOGFHZNIJ-NHYWBVRUSA-N 0 2 307.398 0.741 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC12CCCC2 ZINC001032106121 666322553 /nfs/dbraw/zinc/32/25/53/666322553.db2.gz UXRVIZMGEPVJIJ-LLVKDONJSA-N 0 2 305.382 0.639 20 0 DCADLN CCCc1nc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001032125704 666357118 /nfs/dbraw/zinc/35/71/18/666357118.db2.gz VRVBEHMYYOGZEE-UHFFFAOYSA-N 0 2 320.353 0.313 20 0 DCADLN CCc1noc(C)c1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032181730 666383300 /nfs/dbraw/zinc/38/33/00/666383300.db2.gz SLIIDCIHDVSYSY-UHFFFAOYSA-N 0 2 320.353 0.231 20 0 DCADLN Cc1ocnc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032947817 666401230 /nfs/dbraw/zinc/40/12/30/666401230.db2.gz ILTXABCYUHIKTH-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC2CCC1CC2 ZINC001032209334 666466566 /nfs/dbraw/zinc/46/65/66/666466566.db2.gz OQNXSULKYPZAEZ-GDKBPFBDSA-N 0 2 319.409 0.885 20 0 DCADLN O=C(C1CCCCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032256910 666605310 /nfs/dbraw/zinc/60/53/10/666605310.db2.gz ACCDDJCIUWMMOQ-RYUDHWBXSA-N 0 2 305.382 0.876 20 0 DCADLN O=C(C[C@@H]1C=CCC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032271446 666641917 /nfs/dbraw/zinc/64/19/17/666641917.db2.gz MCWOSHFPJIZUKK-WOPDTQHZSA-N 0 2 303.366 0.652 20 0 DCADLN CN(C(=O)c1ccncc1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005048247 666648229 /nfs/dbraw/zinc/64/82/29/666648229.db2.gz JJIFDRVYTKJOHP-UHFFFAOYSA-N 0 2 316.365 0.642 20 0 DCADLN Cc1nc(C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c[nH]1 ZINC001005188578 666744487 /nfs/dbraw/zinc/74/44/87/666744487.db2.gz XEAMKUTZKUJDOQ-UHFFFAOYSA-N 0 2 319.369 0.278 20 0 DCADLN COc1ccc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001033534842 666748195 /nfs/dbraw/zinc/74/81/95/666748195.db2.gz ZLZUDDCAQPZNPP-SECBINFHSA-N 0 2 321.337 0.458 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(C)C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033800372 666830068 /nfs/dbraw/zinc/83/00/68/666830068.db2.gz UXMWDHBBBJNQNO-MNOVXSKESA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@@H]1CC1(C)C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033800372 666830071 /nfs/dbraw/zinc/83/00/71/666830071.db2.gz UXMWDHBBBJNQNO-MNOVXSKESA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)[C@@H]1CC[N@@H+](CCO)C1 ZINC001033950805 666875339 /nfs/dbraw/zinc/87/53/39/666875339.db2.gz XXSLIBAOEXDXSK-OLZOCXBDSA-N 0 2 306.410 0.745 20 0 DCADLN CCN(C(=O)c1conc1C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033943414 666879883 /nfs/dbraw/zinc/87/98/83/666879883.db2.gz MKGJCQGKEKIZHP-SNVBAGLBSA-N 0 2 320.353 0.543 20 0 DCADLN CCN(C(=O)c1nocc1C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034014343 666893833 /nfs/dbraw/zinc/89/38/33/666893833.db2.gz BOWNUPAEPQWGIH-JTQLQIEISA-N 0 2 320.353 0.543 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCO1 ZINC001034086374 666914772 /nfs/dbraw/zinc/91/47/72/666914772.db2.gz ALLHKZHSZDYOAP-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCO1 ZINC001034086374 666914776 /nfs/dbraw/zinc/91/47/76/666914776.db2.gz ALLHKZHSZDYOAP-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN Cn1cc(C(=O)N[C@@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001034095879 666919519 /nfs/dbraw/zinc/91/95/19/666919519.db2.gz ZCRHUJHSSCOSSB-LLVKDONJSA-N 0 2 319.369 0.028 20 0 DCADLN Cn1cc(C(=O)N[C@@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001034095879 666919522 /nfs/dbraw/zinc/91/95/22/666919522.db2.gz ZCRHUJHSSCOSSB-LLVKDONJSA-N 0 2 319.369 0.028 20 0 DCADLN CCCC[C@H](COC)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000736049193 667085219 /nfs/dbraw/zinc/08/52/19/667085219.db2.gz HQRXJIRMGMHNBF-SNVBAGLBSA-N 0 2 307.354 0.393 20 0 DCADLN CC1(C)CCC[C@@](CO)(NC(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000862846453 667087002 /nfs/dbraw/zinc/08/70/02/667087002.db2.gz OZHYXUMNQRDHPT-LKFCYVNXSA-N 0 2 313.423 0.990 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)ns1 ZINC001032375409 667123169 /nfs/dbraw/zinc/12/31/69/667123169.db2.gz IGGBUWGJLQHNKK-IUCAKERBSA-N 0 2 320.378 0.374 20 0 DCADLN CC(C)(C)OC(=O)C(C)(C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000863374111 667138070 /nfs/dbraw/zinc/13/80/70/667138070.db2.gz JHHYEDWJTYUZSY-SSDOTTSWSA-N 0 2 315.395 0.779 20 0 DCADLN O=C([O-])C1=CC[C@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000864169346 667221378 /nfs/dbraw/zinc/22/13/78/667221378.db2.gz SYUHSCSPLSKNFM-JSGCOSHPSA-N 0 2 308.378 0.919 20 0 DCADLN O=C([O-])C1=CC[C@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000864169346 667221381 /nfs/dbraw/zinc/22/13/81/667221381.db2.gz SYUHSCSPLSKNFM-JSGCOSHPSA-N 0 2 308.378 0.919 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000888332689 667298958 /nfs/dbraw/zinc/29/89/58/667298958.db2.gz GGQPKEIPDOODGI-GHMZBOCLSA-N 0 2 304.358 0.426 20 0 DCADLN O=C(CCNC(=O)c1cccs1)NNC(=O)c1ccccn1 ZINC000027693696 667346001 /nfs/dbraw/zinc/34/60/01/667346001.db2.gz RPHAFKNWSMSOCY-UHFFFAOYSA-N 0 2 318.358 0.724 20 0 DCADLN C[C@H]1C[C@H]1C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035641445 667396752 /nfs/dbraw/zinc/39/67/52/667396752.db2.gz MBMGWFMLYFFABM-WDEREUQCSA-N 0 2 305.382 0.591 20 0 DCADLN C[C@H](O)[C@@H](NS(=O)(=O)N=S(C)(C)=O)c1ccccc1F ZINC000867458458 667405177 /nfs/dbraw/zinc/40/51/77/667405177.db2.gz NDADLEFUOKXVFP-GZMMTYOYSA-N 0 2 324.399 0.810 20 0 DCADLN O=C(c1c(O)cccc1Cl)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000738246286 667662673 /nfs/dbraw/zinc/66/26/73/667662673.db2.gz DMBYYCXCAMGXEA-VIFPVBQESA-N 0 2 309.713 0.772 20 0 DCADLN CSC1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000869648685 667672567 /nfs/dbraw/zinc/67/25/67/667672567.db2.gz YXNIAALNUXRTFW-UHFFFAOYSA-N 0 2 307.379 0.425 20 0 DCADLN COC(=O)N[C@@H](C)C(=O)Nc1cc(N2CC[NH+](C)CC2)cc[nH+]1 ZINC000870667213 667750966 /nfs/dbraw/zinc/75/09/66/667750966.db2.gz UMPZWIYLFCFNTP-NSHDSACASA-N 0 2 321.381 0.516 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC(=O)OCc2ccccc2)S1 ZINC000742474948 667791968 /nfs/dbraw/zinc/79/19/68/667791968.db2.gz PQSWPWIVKPQWOF-JTQLQIEISA-N 0 2 321.358 0.402 20 0 DCADLN CN(C)C(=O)c1ccccc1NC(=O)CC1SC(=N)NC1=O ZINC000742533388 667792912 /nfs/dbraw/zinc/79/29/12/667792912.db2.gz WYOUEROCGGOBAC-JTQLQIEISA-N 0 2 320.374 0.883 20 0 DCADLN COc1cccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c1OC ZINC000742524362 667792921 /nfs/dbraw/zinc/79/29/21/667792921.db2.gz MGNKZYDXCWPUGN-JTQLQIEISA-N 0 2 323.374 0.876 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000742601023 667795082 /nfs/dbraw/zinc/79/50/82/667795082.db2.gz BTOXOTSIFSMVNG-DTWKUNHWSA-N 0 2 313.379 0.487 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](c3ncc[nH]3)C2)S1 ZINC000742628556 667795863 /nfs/dbraw/zinc/79/58/63/667795863.db2.gz WNDSXHQITFMLET-IUCAKERBSA-N 0 2 307.379 0.672 20 0 DCADLN COC(=O)CCC(C)(C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742669529 667796437 /nfs/dbraw/zinc/79/64/37/667796437.db2.gz OQQNCFBQIVKRMU-ZETCQYMHSA-N 0 2 301.368 0.391 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)CC(=O)N3)S1 ZINC000742677901 667796952 /nfs/dbraw/zinc/79/69/52/667796952.db2.gz MBXPRVFXCQKNBM-VIFPVBQESA-N 0 2 304.331 0.676 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](C2CCC2)[C@H]2CCOC2)S1 ZINC000871295020 667806973 /nfs/dbraw/zinc/80/69/73/667806973.db2.gz MOYGVFSPZGZTHF-JBLDHEPKSA-N 0 2 311.407 0.864 20 0 DCADLN CC(C)C[C@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000744639930 667830500 /nfs/dbraw/zinc/83/05/00/667830500.db2.gz JWLMZNARGGBKKF-LLVKDONJSA-N 0 2 303.366 0.966 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cc(C(=O)[O-])cs2)CCN1S(C)(=O)=O ZINC000872161855 667870182 /nfs/dbraw/zinc/87/01/82/667870182.db2.gz FJLDGPHDJKZIAB-SECBINFHSA-N 0 2 318.420 0.912 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cc(C(=O)[O-])cs2)CCN1S(C)(=O)=O ZINC000872161855 667870187 /nfs/dbraw/zinc/87/01/87/667870187.db2.gz FJLDGPHDJKZIAB-SECBINFHSA-N 0 2 318.420 0.912 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)N[C@@H](CO)CC(F)F ZINC000872479762 667901211 /nfs/dbraw/zinc/90/12/11/667901211.db2.gz PQMBWSZOEPOBPC-MDTSDYNXSA-N 0 2 322.399 0.733 20 0 DCADLN CS(=O)(=O)C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC000887754913 667908987 /nfs/dbraw/zinc/90/89/87/667908987.db2.gz OOGCTIRCJZWSGM-NKWVEPMBSA-N 0 2 307.265 0.159 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(Cn2cccn2)o1 ZINC000748383970 667926302 /nfs/dbraw/zinc/92/63/02/667926302.db2.gz ZTOCNRRJNXXNJN-UHFFFAOYSA-N 0 2 316.321 0.711 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@@H]1SC(=N)NC1=O)c1cccs1 ZINC000748556209 667932626 /nfs/dbraw/zinc/93/26/26/667932626.db2.gz RPYWMBVZNYHLSK-JVXZTZIISA-N 0 2 313.404 0.628 20 0 DCADLN COCCONC(=O)CSc1nnnn1CC(F)(F)F ZINC000748766725 667936836 /nfs/dbraw/zinc/93/68/36/667936836.db2.gz ATXPCNBOSJKEGA-UHFFFAOYSA-N 0 2 315.277 0.022 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]([C@H]3CCOC3)C2)S1 ZINC000749124057 667946678 /nfs/dbraw/zinc/94/66/78/667946678.db2.gz VCYHPOZXPYOPDW-VWYCJHECSA-N 0 2 311.407 0.818 20 0 DCADLN Cc1nnc(CNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)[nH]1 ZINC000889702717 667983101 /nfs/dbraw/zinc/98/31/01/667983101.db2.gz IUZIMNMIHKIMSK-QMMMGPOBSA-N 0 2 307.276 0.432 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@]2(O)CCc3ccccc32)S1 ZINC000752566342 668019264 /nfs/dbraw/zinc/01/92/64/668019264.db2.gz VQIHBTDLWXPJPR-ABAIWWIYSA-N 0 2 319.386 0.493 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](O)CCc2ccccc2)S1 ZINC000753309548 668028431 /nfs/dbraw/zinc/02/84/31/668028431.db2.gz XNZLFRKAPMIFOH-VXGBXAGGSA-N 0 2 321.402 0.653 20 0 DCADLN Cn1c2cccc(NC(=O)CC3SC(=N)NC3=O)c2oc1=O ZINC000753737365 668034435 /nfs/dbraw/zinc/03/44/35/668034435.db2.gz MTTFMXSUFSJVBP-MRVPVSSYSA-N 0 2 320.330 0.626 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)S1 ZINC000754195912 668042624 /nfs/dbraw/zinc/04/26/24/668042624.db2.gz IIGHNYMFKDCSOE-MKHNBGRSSA-N 0 2 309.391 0.617 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2CSCCS2)S1 ZINC000755499773 668061692 /nfs/dbraw/zinc/06/16/92/668061692.db2.gz URVLHHLLKOQNMD-RQJHMYQMSA-N 0 2 305.450 0.508 20 0 DCADLN C[NH+](C)Cc1ccsc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000756104214 668079202 /nfs/dbraw/zinc/07/92/02/668079202.db2.gz YIVRQHKVMDQMOJ-SNVBAGLBSA-N 0 2 322.394 0.537 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@@H](CO)CC(F)(F)F ZINC000867018174 668138688 /nfs/dbraw/zinc/13/86/88/668138688.db2.gz VVCOVHLQOLZPFC-DDNLTXGXSA-N 0 2 312.335 0.252 20 0 DCADLN C[C@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000757661016 668145424 /nfs/dbraw/zinc/14/54/24/668145424.db2.gz DJMQDRLLPUJWPY-VIFPVBQESA-N 0 2 307.379 0.425 20 0 DCADLN Cc1nc(N2CCN(C(=O)N[C@H]3C[C@@H](C(=O)[O-])C3)CC2)cc[nH+]1 ZINC000874415972 668182999 /nfs/dbraw/zinc/18/29/99/668182999.db2.gz VAMVMXYSHSRCPC-TXEJJXNPSA-N 0 2 319.365 0.480 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000760381780 668269994 /nfs/dbraw/zinc/26/99/94/668269994.db2.gz CMUFPBOMMSGRDI-ZLWQNGGKSA-N 0 2 300.302 0.081 20 0 DCADLN CN(C)c1ccccc1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762178886 668350277 /nfs/dbraw/zinc/35/02/77/668350277.db2.gz OUOZFEIKAIDUIG-NSHDSACASA-N 0 2 306.391 0.925 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ncn(Cc2ccccc2)n1 ZINC000762205794 668351397 /nfs/dbraw/zinc/35/13/97/668351397.db2.gz FTAJFDIATTWSND-UHFFFAOYSA-N 0 2 314.309 0.472 20 0 DCADLN COC(=O)COc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000762216333 668352053 /nfs/dbraw/zinc/35/20/53/668352053.db2.gz XLTCIIPOBOENHW-UHFFFAOYSA-N 0 2 321.293 0.384 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](O)Cc2ccccc2)S1 ZINC000762249862 668353430 /nfs/dbraw/zinc/35/34/30/668353430.db2.gz XIVJQDFICJDUGN-WDEREUQCSA-N 0 2 307.375 0.263 20 0 DCADLN Cc1cccc(F)c1S(=O)(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC000926581309 668413888 /nfs/dbraw/zinc/41/38/88/668413888.db2.gz AIQDMOFVGUZYTH-UHFFFAOYSA-N 0 2 316.354 0.525 20 0 DCADLN C[C@H](CCC(=O)NCc1n[nH]c(=O)[nH]1)NC(=O)OC(C)(C)C ZINC000765006578 668471012 /nfs/dbraw/zinc/47/10/12/668471012.db2.gz NDLTWYDCNQJWNB-MRVPVSSYSA-N 0 2 313.358 0.820 20 0 DCADLN CCNc1ncc(C(=O)NN2C(=O)N[C@](C)(CC)C2=O)s1 ZINC000769810754 668663446 /nfs/dbraw/zinc/66/34/46/668663446.db2.gz BXUOCRRWVJRBHL-GFCCVEGCSA-N 0 2 311.367 0.940 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2ccc(C(N)=O)s2)C1=O ZINC000769851057 668666395 /nfs/dbraw/zinc/66/63/95/668666395.db2.gz ACJAAZNQKBMOFF-GFCCVEGCSA-N 0 2 310.335 0.212 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cn(CCOC)nc2C)C1=O ZINC000769856697 668667045 /nfs/dbraw/zinc/66/70/45/668667045.db2.gz WUKIJTDTMUOOAC-AWEZNQCLSA-N 0 2 323.353 0.203 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)O[C@@H]3CNC(=O)C3)cc2[nH]c1=S ZINC000770817350 668715854 /nfs/dbraw/zinc/71/58/54/668715854.db2.gz ISNKLBZBEOVWKE-QMMMGPOBSA-N 0 2 319.342 0.268 20 0 DCADLN Cc1cnc([C@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)o1 ZINC000770971741 668723854 /nfs/dbraw/zinc/72/38/54/668723854.db2.gz GJUGFUUYQIRBKD-QMMMGPOBSA-N 0 2 303.278 0.672 20 0 DCADLN Cc1ccccc1[C@H](CO)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000771212309 668734385 /nfs/dbraw/zinc/73/43/85/668734385.db2.gz OEXNYPIYOQJAGN-WDEREUQCSA-N 0 2 307.375 0.701 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCC2(CCO)CCCCC2)S1 ZINC000771580331 668743499 /nfs/dbraw/zinc/74/34/99/668743499.db2.gz WVJSWLZGJBEGHU-SNVBAGLBSA-N 0 2 313.423 0.992 20 0 DCADLN CS[C@@H]1CCCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000772253024 668766664 /nfs/dbraw/zinc/76/66/64/668766664.db2.gz DSBJHDDVDQDPJG-SNVBAGLBSA-N 0 2 321.406 0.815 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cc[nH]c(=O)c1Br ZINC000773658132 668810626 /nfs/dbraw/zinc/81/06/26/668810626.db2.gz RIZUFGJKDXVPDX-UHFFFAOYSA-N 0 2 315.083 0.730 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CCCN(CC(F)(F)F)C1 ZINC000774925023 668851575 /nfs/dbraw/zinc/85/15/75/668851575.db2.gz GOMZLSOGNMLRIF-SSDOTTSWSA-N 0 2 308.260 0.828 20 0 DCADLN Cc1ccc(CCC(=O)NCC(=O)OCc2n[nH]c(=O)[nH]2)cc1 ZINC000774939661 668851886 /nfs/dbraw/zinc/85/18/86/668851886.db2.gz MMKWQVNLSMSTLW-UHFFFAOYSA-N 0 2 318.333 0.611 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1nnn(C2CC2)c1C(F)(F)F ZINC000774962290 668852905 /nfs/dbraw/zinc/85/29/05/668852905.db2.gz MCAHGZKIXKKARY-UHFFFAOYSA-N 0 2 318.215 0.813 20 0 DCADLN Nc1c(Br)nccc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774991726 668853667 /nfs/dbraw/zinc/85/36/67/668853667.db2.gz LQIQSNDQSGGLNS-UHFFFAOYSA-N 0 2 314.099 0.607 20 0 DCADLN CCOCc1nnc(NS(=O)(=O)c2cn(C)c(Cl)n2)o1 ZINC000775151967 668857556 /nfs/dbraw/zinc/85/75/56/668857556.db2.gz PAMQSQQINJOIJD-UHFFFAOYSA-N 0 2 321.746 0.794 20 0 DCADLN CCCCN(CCCO)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000775575906 668865225 /nfs/dbraw/zinc/86/52/25/668865225.db2.gz MDZCUELMFDTGGK-UHFFFAOYSA-N 0 2 307.354 0.082 20 0 DCADLN Cc1nn(C)c2ncc(C(=O)NCCc3n[nH]c(=S)o3)cc12 ZINC000776538757 668877396 /nfs/dbraw/zinc/87/73/96/668877396.db2.gz UMDBDMIZDHBEAI-UHFFFAOYSA-N 0 2 318.362 0.921 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H]2C2CCOCC2)S1 ZINC000777493017 668887794 /nfs/dbraw/zinc/88/77/94/668887794.db2.gz CELHNQSPCWKSJQ-WDEREUQCSA-N 0 2 311.407 0.960 20 0 DCADLN NC(=O)CONC(=O)CSc1ccc(C(F)(F)F)cn1 ZINC000777823255 668892232 /nfs/dbraw/zinc/89/22/32/668892232.db2.gz GCNLHWIEGMTMKR-UHFFFAOYSA-N 0 2 309.269 0.726 20 0 DCADLN CCOc1cc(C=CC(=O)NCc2n[nH]c(=O)[nH]2)ccc1O ZINC000780964941 669022984 /nfs/dbraw/zinc/02/29/84/669022984.db2.gz XYUXQJNGAACLEA-XQRVVYSFSA-N 0 2 304.306 0.944 20 0 DCADLN CC(C)NC(=O)NCC[N@@H+]1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879767223 669105930 /nfs/dbraw/zinc/10/59/30/669105930.db2.gz VFORFGMVSIVTTJ-GFCCVEGCSA-N 0 2 324.347 0.837 20 0 DCADLN CC(C)NC(=O)NCC[N@H+]1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879767223 669105935 /nfs/dbraw/zinc/10/59/35/669105935.db2.gz VFORFGMVSIVTTJ-GFCCVEGCSA-N 0 2 324.347 0.837 20 0 DCADLN O=C([N-]O[C@@H]1CCCCO1)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000931318768 669178871 /nfs/dbraw/zinc/17/88/71/669178871.db2.gz UHGIUBUVMMZEOF-HZSPNIEDSA-N 0 2 314.382 0.441 20 0 DCADLN O=C(NCc1cn(CCOCC(F)F)nn1)C(F)(F)F ZINC000881367593 669329673 /nfs/dbraw/zinc/32/96/73/669329673.db2.gz AKZDTOWCFYPWMR-UHFFFAOYSA-N 0 2 302.203 0.738 20 0 DCADLN Cc1ccc(C[C@@H](CO)NC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000787379781 669371524 /nfs/dbraw/zinc/37/15/24/669371524.db2.gz FKRPRYWFYPIOBG-NWDGAFQWSA-N 0 2 321.402 0.571 20 0 DCADLN O=C(NCc1cn(C2CC2)c(=O)[nH]c1=O)C(F)C(F)(F)F ZINC000881870628 669404113 /nfs/dbraw/zinc/40/41/13/669404113.db2.gz WNDNIWPSMUBJCX-SSDOTTSWSA-N 0 2 309.219 0.800 20 0 DCADLN O=C(NCc1cn(C2CC2)c(=O)[nH]c1=O)[C@@H](F)C(F)(F)F ZINC000881870628 669404117 /nfs/dbraw/zinc/40/41/17/669404117.db2.gz WNDNIWPSMUBJCX-SSDOTTSWSA-N 0 2 309.219 0.800 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000788264127 669425108 /nfs/dbraw/zinc/42/51/08/669425108.db2.gz TXAIBVJZHDYGOH-BDAKNGLRSA-N 0 2 312.263 0.737 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000788264127 669425110 /nfs/dbraw/zinc/42/51/10/669425110.db2.gz TXAIBVJZHDYGOH-BDAKNGLRSA-N 0 2 312.263 0.737 20 0 DCADLN C[C@H]1CCSCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000791899110 669637791 /nfs/dbraw/zinc/63/77/91/669637791.db2.gz LCSLJKTYFNLOCX-VIFPVBQESA-N 0 2 307.379 0.425 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)O[C@H]3CCNC3=O)cc2[nH]c1=S ZINC000806003039 669690583 /nfs/dbraw/zinc/69/05/83/669690583.db2.gz JIEVSBKGTSQXAG-JTQLQIEISA-N 0 2 319.342 0.268 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](CO)CC2CCCC2)S1 ZINC000799051934 670035078 /nfs/dbraw/zinc/03/50/78/670035078.db2.gz CRDCIADFXLFDPU-QWRGUYRKSA-N 0 2 313.423 0.848 20 0 DCADLN CC(C)c1nc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)co1 ZINC000802965324 670226921 /nfs/dbraw/zinc/22/69/21/670226921.db2.gz VAMKRCUTTUIUJR-UHFFFAOYSA-N 0 2 317.305 0.926 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@H](F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951387155 670260100 /nfs/dbraw/zinc/26/01/00/670260100.db2.gz PSCLLGLVNATDHX-UWVGGRQHSA-N 0 2 311.361 0.681 20 0 DCADLN Cc1ncc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)[nH]1 ZINC000949139458 670270204 /nfs/dbraw/zinc/27/02/04/670270204.db2.gz QUAXEPOEGUYSCU-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1Cc2ccccc2CO1 ZINC000807845061 670377715 /nfs/dbraw/zinc/37/77/15/670377715.db2.gz DLNIVUVYCBOSBJ-UHFFFAOYSA-N 0 2 311.301 0.545 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)O[C@H]3CNOC3)cc2[nH]c1=S ZINC000808092241 670389355 /nfs/dbraw/zinc/38/93/55/670389355.db2.gz UOJAIXIGNQBDNO-QMMMGPOBSA-N 0 2 307.331 0.282 20 0 DCADLN CCN(C(=O)c1ccoc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949649324 670394647 /nfs/dbraw/zinc/39/46/47/670394647.db2.gz XKHSRICPIUVXKY-UHFFFAOYSA-N 0 2 305.338 0.758 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)c1cn[nH]c1 ZINC000949760119 670425291 /nfs/dbraw/zinc/42/52/91/670425291.db2.gz DQVVAOKCOOQPPI-VDAHYXPESA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1NC(=O)c1cn[nH]c1 ZINC000949760119 670425292 /nfs/dbraw/zinc/42/52/92/670425292.db2.gz DQVVAOKCOOQPPI-VDAHYXPESA-N 0 2 322.262 0.887 20 0 DCADLN COCCNC(=O)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810039751 670472697 /nfs/dbraw/zinc/47/26/97/670472697.db2.gz HCHSLMWBYQBBOX-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN COCCNC(=O)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000810039751 670472698 /nfs/dbraw/zinc/47/26/98/670472698.db2.gz HCHSLMWBYQBBOX-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN CS(=O)(=O)N1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC000810205507 670478173 /nfs/dbraw/zinc/47/81/73/670478173.db2.gz QWKMIEGSMOTDKE-BQBZGAKWSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC000810205507 670478176 /nfs/dbraw/zinc/47/81/76/670478176.db2.gz QWKMIEGSMOTDKE-BQBZGAKWSA-N 0 2 306.281 0.427 20 0 DCADLN COC(=O)c1cn(C2CN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000810969498 670501932 /nfs/dbraw/zinc/50/19/32/670501932.db2.gz HRGOLWKIGJHBFU-SSDOTTSWSA-N 0 2 310.207 0.348 20 0 DCADLN COC(=O)c1cn(C2CN(C(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC000810969498 670501934 /nfs/dbraw/zinc/50/19/34/670501934.db2.gz HRGOLWKIGJHBFU-SSDOTTSWSA-N 0 2 310.207 0.348 20 0 DCADLN COCCO[C@@H]1COCC[C@H]1NC(=O)C(F)C(F)(F)F ZINC000811195294 670516330 /nfs/dbraw/zinc/51/63/30/670516330.db2.gz GMZLVXFGUPSVCD-IWSPIJDZSA-N 0 2 303.252 0.824 20 0 DCADLN COCCO[C@@H]1COCC[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC000811195294 670516331 /nfs/dbraw/zinc/51/63/31/670516331.db2.gz GMZLVXFGUPSVCD-IWSPIJDZSA-N 0 2 303.252 0.824 20 0 DCADLN C[C@@H](Cc1ccccn1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000847200808 670525232 /nfs/dbraw/zinc/52/52/32/670525232.db2.gz BRSYXLCFKNDLDC-JTQLQIEISA-N 0 2 312.333 0.214 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC000854714627 670615855 /nfs/dbraw/zinc/61/58/55/670615855.db2.gz FPNSRDABVMUGPN-NKWVEPMBSA-N 0 2 318.267 0.889 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC000854714627 670615856 /nfs/dbraw/zinc/61/58/56/670615856.db2.gz FPNSRDABVMUGPN-NKWVEPMBSA-N 0 2 318.267 0.889 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn3ncccc23)C1=O ZINC000813546104 670635305 /nfs/dbraw/zinc/63/53/05/670635305.db2.gz CPAGPRFEFCNTPX-ZDUSSCGKSA-N 0 2 302.294 0.095 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@H](C(=O)N3CCCC3)C2)S1 ZINC000813659142 670643443 /nfs/dbraw/zinc/64/34/43/670643443.db2.gz DBQGURMZAPBVDM-VHSXEESVSA-N 0 2 324.406 0.014 20 0 DCADLN C[C@H]1CCC[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)O1 ZINC000855794880 670756212 /nfs/dbraw/zinc/75/62/12/670756212.db2.gz XEODDSKVMSGQDL-VHSXEESVSA-N 0 2 305.338 0.145 20 0 DCADLN O=C(NOCCN1CCCC1=O)c1cc(F)c(O)c(F)c1 ZINC000856043060 670781022 /nfs/dbraw/zinc/78/10/22/670781022.db2.gz QWUVWKRYNMRYIK-UHFFFAOYSA-N 0 2 300.261 0.954 20 0 DCADLN CO[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCCC1 ZINC000856156411 670792137 /nfs/dbraw/zinc/79/21/37/670792137.db2.gz HVHVCSKYRAMTLA-LBPRGKRZSA-N 0 2 319.365 0.393 20 0 DCADLN CO[C@H](COC(=O)Cc1c(C)nc2cc[nH]n2c1=O)C1CC1 ZINC000816377997 670808459 /nfs/dbraw/zinc/80/84/59/670808459.db2.gz HZLFTDYQTUFEEM-GFCCVEGCSA-N 0 2 305.334 0.842 20 0 DCADLN C[C@H](O)[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccc1 ZINC000856432809 670819047 /nfs/dbraw/zinc/81/90/47/670819047.db2.gz IBBZJCHRQSTMTG-PEXQALLHSA-N 0 2 307.375 0.781 20 0 DCADLN CCOC(=O)C(CC)(CC)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000817083994 670893758 /nfs/dbraw/zinc/89/37/58/670893758.db2.gz JOLZUVOTWLBJSK-QMMMGPOBSA-N 0 2 315.395 0.781 20 0 DCADLN O=C(NCCOCCO)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858391145 671000087 /nfs/dbraw/zinc/00/00/87/671000087.db2.gz YKQQJVCKFQBDNV-UHFFFAOYSA-N 0 2 324.255 0.197 20 0 DCADLN COC(=O)CCCCOC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000859559378 671125640 /nfs/dbraw/zinc/12/56/40/671125640.db2.gz UZUFGWWCYRNMOR-UHFFFAOYSA-N 0 2 321.333 0.760 20 0 DCADLN CCc1noc2ncc(C(=O)Nn3cnn(CC)c3=O)cc12 ZINC000820383984 671196640 /nfs/dbraw/zinc/19/66/40/671196640.db2.gz RMVTZWLULXZAKM-UHFFFAOYSA-N 0 2 302.294 0.547 20 0 DCADLN CC[C@H](OC(=O)c1ccc(CNC(=O)C(F)(F)F)o1)C(N)=O ZINC000860308732 671198016 /nfs/dbraw/zinc/19/80/16/671198016.db2.gz ABNCCWXUMNANGY-ZETCQYMHSA-N 0 2 322.239 0.879 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)Nc1ccccc1-c1nc[nH]n1 ZINC000821190989 671260776 /nfs/dbraw/zinc/26/07/76/671260776.db2.gz IYGKFTBZVSUZPZ-UHFFFAOYSA-N 0 2 315.380 0.856 20 0 DCADLN Cn1c(Cl)ncc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000822736378 671340575 /nfs/dbraw/zinc/34/05/75/671340575.db2.gz OHSMDTHJPHSJCX-SSDOTTSWSA-N 0 2 310.745 0.917 20 0 DCADLN CCc1nn(Cc2cnoc2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC000825763107 671471768 /nfs/dbraw/zinc/47/17/68/671471768.db2.gz OEXREHFJJUSNQS-UHFFFAOYSA-N 0 2 315.337 0.893 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@H+](CN2C(=O)N[C@@](C)(C3CC3)C2=O)C1 ZINC000827889437 671539157 /nfs/dbraw/zinc/53/91/57/671539157.db2.gz HKLOBTXKULYXKQ-HZMBPMFUSA-N 0 2 310.354 0.349 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@@H+](CN2C(=O)N[C@@](C)(C3CC3)C2=O)C1 ZINC000827889437 671539158 /nfs/dbraw/zinc/53/91/58/671539158.db2.gz HKLOBTXKULYXKQ-HZMBPMFUSA-N 0 2 310.354 0.349 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+](CN2C(=O)[C@@H]3CC=CC[C@H]3C2=O)C1 ZINC000827890956 671539189 /nfs/dbraw/zinc/53/91/89/671539189.db2.gz XUGBSMVCIXZLQC-IJLUTSLNSA-N 0 2 307.350 0.579 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+](CN2C(=O)[C@@H]3CC=CC[C@H]3C2=O)C1 ZINC000827890956 671539191 /nfs/dbraw/zinc/53/91/91/671539191.db2.gz XUGBSMVCIXZLQC-IJLUTSLNSA-N 0 2 307.350 0.579 20 0 DCADLN CN1CC(C(=O)Nc2ccc(=O)n(CC[NH+](C)C)c2)=NC1=O ZINC000831198759 671658239 /nfs/dbraw/zinc/65/82/39/671658239.db2.gz ISDUELVDMOGORF-UHFFFAOYSA-N 0 2 305.338 0.101 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1C[C@H]1C(F)(F)F ZINC000831386136 671666742 /nfs/dbraw/zinc/66/67/42/671666742.db2.gz NTGPKVPHCCVWMO-POYBYMJQSA-N 0 2 315.255 0.386 20 0 DCADLN CCn1nncc1COC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000836088304 671817582 /nfs/dbraw/zinc/81/75/82/671817582.db2.gz KLOPQMQRCOJWHU-UHFFFAOYSA-N 0 2 308.260 0.798 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn3c2C[C@@H](C)CC3)C1=O ZINC000843873258 672126251 /nfs/dbraw/zinc/12/62/51/672126251.db2.gz MDDIRYZUFOTGPZ-VFZGTOFNSA-N 0 2 319.365 0.831 20 0 DCADLN O=C([O-])c1n[nH+]c2ccccc2c1N1CC[C@H](CO)[C@@H](O)C1 ZINC000844211316 672163640 /nfs/dbraw/zinc/16/36/40/672163640.db2.gz YQZJEDLWLPQXOE-SKDRFNHKSA-N 0 2 303.318 0.508 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000844219460 672164855 /nfs/dbraw/zinc/16/48/55/672164855.db2.gz TYUKCAHRYNZCNG-MLGOLLRUSA-N 0 2 320.393 0.986 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000844219460 672164856 /nfs/dbraw/zinc/16/48/56/672164856.db2.gz TYUKCAHRYNZCNG-MLGOLLRUSA-N 0 2 320.393 0.986 20 0 DCADLN Cn1ccc(C(=O)NC[C@@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001024799697 693833412 /nfs/dbraw/zinc/83/34/12/693833412.db2.gz OIXVJEADBWUJJL-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN O=C(NC1CN(Cc2nc(=O)c3sccc3[nH]2)C1)C(F)F ZINC001030038250 693879113 /nfs/dbraw/zinc/87/91/13/693879113.db2.gz FXVNMVACMAPCCP-UHFFFAOYSA-N 0 2 314.317 0.962 20 0 DCADLN Cc1nscc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038182411 694006187 /nfs/dbraw/zinc/00/61/87/694006187.db2.gz YJOKMIGAUZUAQG-MRVPVSSYSA-N 0 2 308.367 0.280 20 0 DCADLN CCc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)no1 ZINC000957375330 687044321 /nfs/dbraw/zinc/04/43/21/687044321.db2.gz NXYGUNDYPIEWED-UHFFFAOYSA-N 0 2 306.326 0.019 20 0 DCADLN C[C@@H](C[C@@H]1CCCO1)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957846335 687218997 /nfs/dbraw/zinc/21/89/97/687218997.db2.gz WDWMTBKQJUAEEH-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CCc1c(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccn1C ZINC001038699944 694166390 /nfs/dbraw/zinc/16/63/90/694166390.db2.gz PCKSWRBJWUKERS-SNVBAGLBSA-N 0 2 318.381 0.416 20 0 DCADLN CCn1nc(C)cc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038734183 694177212 /nfs/dbraw/zinc/17/72/12/694177212.db2.gz UMMRQPSRJBEHGS-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccncc1 ZINC000964636723 689276057 /nfs/dbraw/zinc/27/60/57/689276057.db2.gz PLCFPUIYNVQAFR-CMPLNLGQSA-N 0 2 316.365 0.546 20 0 DCADLN CCC(=O)N1CC2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC000965394845 689432104 /nfs/dbraw/zinc/43/21/04/689432104.db2.gz WEWSMCYTWKFIPC-SECBINFHSA-N 0 2 312.263 0.737 20 0 DCADLN CCC(=O)N1CC2(C1)CN(C(=O)[C@@H](F)C(F)(F)F)CCO2 ZINC000965394845 689432106 /nfs/dbraw/zinc/43/21/06/689432106.db2.gz WEWSMCYTWKFIPC-SECBINFHSA-N 0 2 312.263 0.737 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)c1cc[nH]c1 ZINC000965955460 689593281 /nfs/dbraw/zinc/59/32/81/689593281.db2.gz NUOPRMHRPUZAJR-HRDYMLBCSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O)c1cc[nH]c1 ZINC000965955460 689593285 /nfs/dbraw/zinc/59/32/85/689593285.db2.gz NUOPRMHRPUZAJR-HRDYMLBCSA-N 0 2 323.246 0.217 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cnco1 ZINC000967560372 690024305 /nfs/dbraw/zinc/02/43/05/690024305.db2.gz NRHLDEWWOQKLHB-IUCAKERBSA-N 0 2 306.326 0.139 20 0 DCADLN CCN(C(=O)c1nc[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000968242352 690208667 /nfs/dbraw/zinc/20/86/67/690208667.db2.gz WEWMNFRSFXOXPM-SSDOTTSWSA-N 0 2 323.250 0.378 20 0 DCADLN CCN(C(=O)c1nc[nH]n1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000968242352 690208672 /nfs/dbraw/zinc/20/86/72/690208672.db2.gz WEWMNFRSFXOXPM-SSDOTTSWSA-N 0 2 323.250 0.378 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccco1 ZINC000968454304 690277758 /nfs/dbraw/zinc/27/77/58/690277758.db2.gz KUNVCZYSDUCGPV-JQWIXIFHSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccco1 ZINC000968454304 690277760 /nfs/dbraw/zinc/27/77/60/690277760.db2.gz KUNVCZYSDUCGPV-JQWIXIFHSA-N 0 2 319.365 0.673 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000968711178 690375195 /nfs/dbraw/zinc/37/51/95/690375195.db2.gz WNEGGJYHNAHZOW-WPRPVWTQSA-N 0 2 319.369 0.182 20 0 DCADLN Cc1coc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001008240285 690826410 /nfs/dbraw/zinc/82/64/10/690826410.db2.gz ITTZMRDVFNIQQN-SNVBAGLBSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(Cc1ccco1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008945127 690959743 /nfs/dbraw/zinc/95/97/43/690959743.db2.gz UQMJJXAANKEYCR-JTQLQIEISA-N 0 2 305.338 0.427 20 0 DCADLN Cn1cc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)cn1 ZINC001010274363 691205297 /nfs/dbraw/zinc/20/52/97/691205297.db2.gz ZFQLHXNBGWDDMV-SECBINFHSA-N 0 2 322.262 0.605 20 0 DCADLN Cn1cc(C(=O)N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)cn1 ZINC001010274363 691205299 /nfs/dbraw/zinc/20/52/99/691205299.db2.gz ZFQLHXNBGWDDMV-SECBINFHSA-N 0 2 322.262 0.605 20 0 DCADLN O=C([C@H]1CC12CC2)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039933265 694453339 /nfs/dbraw/zinc/45/33/39/694453339.db2.gz KXFHHQOKGZDQLA-GRYCIOLGSA-N 0 2 317.393 0.876 20 0 DCADLN CCC(=O)N1CC[C@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040070112 694476407 /nfs/dbraw/zinc/47/64/07/694476407.db2.gz PYNOPPFRUUZEPP-SCZZXKLOSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)N1CC[C@](O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001040070112 694476414 /nfs/dbraw/zinc/47/64/14/694476414.db2.gz PYNOPPFRUUZEPP-SCZZXKLOSA-N 0 2 300.252 0.376 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc(C)n1 ZINC001014580427 692048310 /nfs/dbraw/zinc/04/83/10/692048310.db2.gz ICNWRRDUOHCKLC-GFCCVEGCSA-N 0 2 316.365 0.526 20 0 DCADLN CCCc1n[nH]cc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015053329 692171469 /nfs/dbraw/zinc/17/14/69/692171469.db2.gz PQRXMXVJJHNUEE-VIFPVBQESA-N 0 2 319.369 0.190 20 0 DCADLN CC(C)(C)c1nnc(CN2CC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001015066580 692178716 /nfs/dbraw/zinc/17/87/16/692178716.db2.gz KFFDTLNKHBTBKW-SECBINFHSA-N 0 2 318.385 0.225 20 0 DCADLN CC(C)n1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001015980514 692532894 /nfs/dbraw/zinc/53/28/94/692532894.db2.gz FFECXFLGTXQWBC-LLVKDONJSA-N 0 2 319.369 0.292 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001016014906 692549358 /nfs/dbraw/zinc/54/93/58/692549358.db2.gz CDWMSRVIALKMAN-ZYHUDNBSSA-N 0 2 316.365 0.400 20 0 DCADLN C[C@H](C(N)=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001017570720 692941222 /nfs/dbraw/zinc/94/12/22/692941222.db2.gz GOAYTWCXNOPUKF-LURQLKTLSA-N 0 2 311.279 0.436 20 0 DCADLN C[C@H](C(N)=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](F)C(F)(F)F ZINC001017570720 692941224 /nfs/dbraw/zinc/94/12/24/692941224.db2.gz GOAYTWCXNOPUKF-LURQLKTLSA-N 0 2 311.279 0.436 20 0 DCADLN O=C(C(F)C(F)(F)F)N1[C@@H]2CC[C@H]1CN([C@@H]1CCNC1=O)C2 ZINC001017572819 692941259 /nfs/dbraw/zinc/94/12/59/692941259.db2.gz JAYNEVGKWDNNLK-RGOKHQFPSA-N 0 2 323.290 0.451 20 0 DCADLN COc1cc(C[NH2+][C@H]2CCN(C(=O)CCc3nnc[nH]3)C2)on1 ZINC001018687294 693194330 /nfs/dbraw/zinc/19/43/30/693194330.db2.gz WGZIBEJAVUNWJZ-JTQLQIEISA-N 0 2 320.353 0.125 20 0 DCADLN CC1CC(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCCO2)C1 ZINC001073571872 694744837 /nfs/dbraw/zinc/74/48/37/694744837.db2.gz MGADBPDPLHUXEC-HTAVTVPLSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]3OCC[N@@H+](CC(C)C)[C@@H]3C2)c1[O-] ZINC001074218715 694842575 /nfs/dbraw/zinc/84/25/75/694842575.db2.gz DDROXWYVCQWLDR-CHWSQXEVSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]3OCC[N@H+](CC(C)C)[C@@H]3C2)c1[O-] ZINC001074218715 694842578 /nfs/dbraw/zinc/84/25/78/694842578.db2.gz DDROXWYVCQWLDR-CHWSQXEVSA-N 0 2 322.409 0.995 20 0 DCADLN CC(=O)N1CCC(O)(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001074388729 694884293 /nfs/dbraw/zinc/88/42/93/694884293.db2.gz MLWPKDYGQQCMIA-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N1CCC(O)(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001074388729 694884297 /nfs/dbraw/zinc/88/42/97/694884297.db2.gz MLWPKDYGQQCMIA-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN COCCC(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001075239148 694943096 /nfs/dbraw/zinc/94/30/96/694943096.db2.gz CQCUYSXHZQCUOP-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN COCCC(=O)NCC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001075239148 694943097 /nfs/dbraw/zinc/94/30/97/694943097.db2.gz CQCUYSXHZQCUOP-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN O=C([C@@H]1CC12CCC2)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076299312 695012034 /nfs/dbraw/zinc/01/20/34/695012034.db2.gz USMNQWCXQBQTMD-SDDRHHMPSA-N 0 2 317.393 0.733 20 0 DCADLN O=C([C@@H]1CC12CCC2)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076299312 695012035 /nfs/dbraw/zinc/01/20/35/695012035.db2.gz USMNQWCXQBQTMD-SDDRHHMPSA-N 0 2 317.393 0.733 20 0 DCADLN CCOCCN1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001570759893 1162614346 /nfs/dbraw/zinc/61/43/46/1162614346.db2.gz RVFFMMBCYRZTIA-VIFPVBQESA-N 0 2 316.295 0.476 20 0 DCADLN COCCN(CCNC(=O)C1CC=CC1)Cc1n[nH]c(=O)[nH]1 ZINC001571640324 1162871822 /nfs/dbraw/zinc/87/18/22/1162871822.db2.gz QMOXIBSRKRSVPW-UHFFFAOYSA-N 0 2 309.370 0.041 20 0 DCADLN CCCOCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001752816678 1158255231 /nfs/dbraw/zinc/25/52/31/1158255231.db2.gz CWMQQWSXDAJTBQ-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CCCOCC(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001752816678 1158255235 /nfs/dbraw/zinc/25/52/35/1158255235.db2.gz CWMQQWSXDAJTBQ-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN C/C=C(\C)C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001720057247 1158733885 /nfs/dbraw/zinc/73/38/85/1158733885.db2.gz QQCVKPOSBVVHSG-ONNFQVAWSA-N 0 2 316.365 0.622 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447445370 1159642663 /nfs/dbraw/zinc/64/26/63/1159642663.db2.gz GJIGMPLRVOSZMK-HAFWLYHUSA-N 0 2 324.234 0.655 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001447445370 1159642665 /nfs/dbraw/zinc/64/26/65/1159642665.db2.gz GJIGMPLRVOSZMK-HAFWLYHUSA-N 0 2 324.234 0.655 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc2[nH]c(CO)nc2c1 ZINC001448070099 1159714011 /nfs/dbraw/zinc/71/40/11/1159714011.db2.gz FBXCUKBOGQXXLT-UHFFFAOYSA-N 0 2 319.346 0.699 20 0 DCADLN C[C@H](NC(=O)[C@H](C)n1nnnc1C(C)(C)C)c1nn(C)cc1O ZINC001456799381 1159762462 /nfs/dbraw/zinc/76/24/62/1159762462.db2.gz VYZWZXRGUOZRIE-IUCAKERBSA-N 0 2 321.385 0.848 20 0 DCADLN Cn1nc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1C=O ZINC001568147508 1160585767 /nfs/dbraw/zinc/58/57/67/1160585767.db2.gz RYUBYHUTWZCXFU-MRVPVSSYSA-N 0 2 304.310 0.076 20 0 DCADLN CC1(C)OC[C@H](/C=C\C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001568148078 1160585914 /nfs/dbraw/zinc/58/59/14/1160585914.db2.gz YTZKLTFLWFJLAT-OBOPVNRVSA-N 0 2 322.365 0.924 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C(=O)[O-])[C@@H](O)c1ccncc1 ZINC001573551345 1163489873 /nfs/dbraw/zinc/48/98/73/1163489873.db2.gz SFOXPVZCAGPLGG-WQAKAFBOSA-N 0 2 318.333 0.286 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C(=O)[O-])[C@@H](O)c1ccncc1 ZINC001573551345 1163489878 /nfs/dbraw/zinc/48/98/78/1163489878.db2.gz SFOXPVZCAGPLGG-WQAKAFBOSA-N 0 2 318.333 0.286 20 0 DCADLN CC[C@@H]1C(=O)NCCC[N@H+]1Cc1cnc2c(C(=O)[O-])cnn2c1 ZINC001573814227 1163559702 /nfs/dbraw/zinc/55/97/02/1163559702.db2.gz ILZSCUNHPZSYLI-GFCCVEGCSA-N 0 2 317.349 0.528 20 0 DCADLN CC[C@@H]1C(=O)NCCC[N@@H+]1Cc1cnc2c(C(=O)[O-])cnn2c1 ZINC001573814227 1163559710 /nfs/dbraw/zinc/55/97/10/1163559710.db2.gz ILZSCUNHPZSYLI-GFCCVEGCSA-N 0 2 317.349 0.528 20 0 DCADLN CCn1cc(C(=O)[O-])c(NC(=O)[C@H]2CCn3c[nH+]cc3C2)n1 ZINC001573844652 1163565471 /nfs/dbraw/zinc/56/54/71/1163565471.db2.gz KIDBLEWBXUIINU-VIFPVBQESA-N 0 2 303.322 0.999 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@H](C(=O)[O-])[C@@H](O)c1ccncc1 ZINC001574221406 1163668097 /nfs/dbraw/zinc/66/80/97/1163668097.db2.gz PPZADGUNWRXTRP-KBPBESRZSA-N 0 2 318.333 0.051 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](C[C@H]1CCCO1)C(=O)[O-] ZINC001574221730 1163668252 /nfs/dbraw/zinc/66/82/52/1163668252.db2.gz XPCSYAKHVMKKCU-DDHJBXDOSA-N 0 2 307.350 0.662 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](C[C@@H]1CCCO1)C(=O)[O-] ZINC001574221733 1163668449 /nfs/dbraw/zinc/66/84/49/1163668449.db2.gz XPCSYAKHVMKKCU-QCNOEVLYSA-N 0 2 307.350 0.662 20 0 DCADLN C[C@@H](CC(=O)N[C@H]1C[C@H]([NH2+]Cc2c[nH]nn2)C1)[C@H]1CCCO1 ZINC001575512401 1164041056 /nfs/dbraw/zinc/04/10/56/1164041056.db2.gz OJGSAVWMMFSUAM-ZJQBRPOHSA-N 0 2 307.398 0.747 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](N)c1c(F)cccc1F)c1nn[nH]n1 ZINC001575971747 1164198685 /nfs/dbraw/zinc/19/86/85/1164198685.db2.gz GVRLUAYWUQLAQB-WRWORJQWSA-N 0 2 310.308 0.740 20 0 DCADLN COC(=O)[C@H](Cc1cnn[nH]1)NCc1ccc(O)c(F)c1F ZINC001576913897 1164531097 /nfs/dbraw/zinc/53/10/97/1164531097.db2.gz SMVZXIXVIHQSFF-VIFPVBQESA-N 0 2 312.276 0.662 20 0 DCADLN CCC[C@](C)(O)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001577253670 1164651949 /nfs/dbraw/zinc/65/19/49/1164651949.db2.gz GKIGPRKUNBZIHH-AWEZNQCLSA-N 0 2 320.353 0.557 20 0 DCADLN CCN(CC(C)=O)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001577874566 1164820390 /nfs/dbraw/zinc/82/03/90/1164820390.db2.gz XVAXMOPCDVFYDT-UHFFFAOYSA-N 0 2 304.310 0.327 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1CCc1c[nH]nn1)c1cncs1 ZINC001577998475 1164883713 /nfs/dbraw/zinc/88/37/13/1164883713.db2.gz QBMOTBMVIJFLRQ-NSHDSACASA-N 0 2 306.395 0.698 20 0 DCADLN O=S(=O)(N[C@H](CO)Cc1cnn[nH]1)c1cc2ccccc2o1 ZINC001578048845 1164908898 /nfs/dbraw/zinc/90/88/98/1164908898.db2.gz RBJUBWVTQJNROY-NSHDSACASA-N 0 2 322.346 0.433 20 0 DCADLN CC[C@@H]1C[C@H]1Cn1c(=O)c(-c2nn[nH]n2)c(C)n(C2CC2)c1=O ZINC001578438997 1165016898 /nfs/dbraw/zinc/01/68/98/1165016898.db2.gz SOCDQWUDNWUMFK-ZJUUUORDSA-N 0 2 316.365 0.880 20 0 DCADLN CO[C@H](CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C1CC1 ZINC001579592687 1165355859 /nfs/dbraw/zinc/35/58/59/1165355859.db2.gz PKOXBFNQSJOUBP-SNVBAGLBSA-N 0 2 318.337 0.431 20 0 DCADLN COc1ccc(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)cc1 ZINC001580046934 1165486037 /nfs/dbraw/zinc/48/60/37/1165486037.db2.gz UUTDEXPCPRPDIF-UHFFFAOYSA-N 0 2 315.293 0.418 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C/C=C/c2ccccc2)c(=O)n1C ZINC001580522440 1165629293 /nfs/dbraw/zinc/62/92/93/1165629293.db2.gz PWRHNWVSHPJIPE-RMKNXTFCSA-N 0 2 324.344 0.749 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@H](O)C1(C)C ZINC001580598498 1165649530 /nfs/dbraw/zinc/64/95/30/1165649530.db2.gz XZGQTFLGAUTLOY-VIFPVBQESA-N 0 2 318.337 0.261 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CC[C@H](C)O1 ZINC001580604240 1165653197 /nfs/dbraw/zinc/65/31/97/1165653197.db2.gz OZHBKKISDZDUSW-DTWKUNHWSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1ccc(Oc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)cc1C ZINC001581211130 1165797837 /nfs/dbraw/zinc/79/78/37/1165797837.db2.gz TVIBYPGEJMQADF-UHFFFAOYSA-N 0 2 314.305 0.663 20 0 DCADLN Cn1c(=O)[nH]c(Oc2ccc(Cl)cc2)c(-c2nn[nH]n2)c1=O ZINC001581748608 1165863572 /nfs/dbraw/zinc/86/35/72/1165863572.db2.gz LBXDZJIJEHODKD-UHFFFAOYSA-N 0 2 320.696 0.699 20 0 DCADLN C[C@H]1CCCC[C@]1(CNC(=O)CC(N)=O)NC(=O)C(C)(C)F ZINC001582666339 1166063238 /nfs/dbraw/zinc/06/32/38/1166063238.db2.gz FHWWXHYYPZPBJA-ZUZCIYMTSA-N 0 2 315.389 0.791 20 0 DCADLN O=C([C@@H]1C[C@H]1c1cccc(-c2nn[nH]n2)c1)N1CCC(O)CC1 ZINC001582660077 1166063488 /nfs/dbraw/zinc/06/34/88/1166063488.db2.gz MUDFUEITCLFCFX-UONOGXRCSA-N 0 2 313.361 0.954 20 0 DCADLN C[C@@](CNC(=O)c1ccncc1Cl)(NC(=O)C(N)=O)C1CC1 ZINC001582658781 1166063609 /nfs/dbraw/zinc/06/36/09/1166063609.db2.gz JDAFYDFHDOJYJB-AWEZNQCLSA-N 0 2 324.768 0.235 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cccnc1C(F)(F)F ZINC001582806753 1166085828 /nfs/dbraw/zinc/08/58/28/1166085828.db2.gz IQZHPWWAPIUWCC-UHFFFAOYSA-N 0 2 323.238 0.886 20 0 DCADLN O=c1c(-c2nn[nH]n2)ccc(C(F)(F)F)n1Cc1ncccn1 ZINC001582806343 1166087135 /nfs/dbraw/zinc/08/71/35/1166087135.db2.gz NYSLGUUXYQTOOP-UHFFFAOYSA-N 0 2 323.238 0.886 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1Cc1cc3n(n1)CCC3)CCC2 ZINC001582807213 1166088021 /nfs/dbraw/zinc/08/80/21/1166088021.db2.gz YIKXDKGYVOFKEW-UHFFFAOYSA-N 0 2 323.360 0.708 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCC[C@H]1CCOC1 ZINC001587497386 1166507005 /nfs/dbraw/zinc/50/70/05/1166507005.db2.gz ZOKIADLRVSHYAK-LBPRGKRZSA-N 0 2 318.377 0.806 20 0 DCADLN CC(C)C(=O)N(C)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001589394118 1166654283 /nfs/dbraw/zinc/65/42/83/1166654283.db2.gz PQRUHMLTCFUMRB-UHFFFAOYSA-N 0 2 316.365 0.589 20 0 DCADLN CC(C)[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCCO1 ZINC001589516591 1166665270 /nfs/dbraw/zinc/66/52/70/1166665270.db2.gz FMMKAMUQCGGEBZ-ZDUSSCGKSA-N 0 2 318.381 0.733 20 0 DCADLN CC(C)O[C@@H]1CCN(Cn2cccc(-c3nn[nH]n3)c2=O)C1 ZINC001589749785 1166683699 /nfs/dbraw/zinc/68/36/99/1166683699.db2.gz JOXQIZZPNGFSLB-LLVKDONJSA-N 0 2 304.354 0.485 20 0 DCADLN C[C@](O)(CNCc1nc(-c2nn[nH]n2)cs1)c1ccco1 ZINC001589992286 1166737429 /nfs/dbraw/zinc/73/74/29/1166737429.db2.gz XKTRLGYKDUVMOO-LBPRGKRZSA-N 0 2 306.351 0.914 20 0 DCADLN C[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@@H](C2CC2)O1 ZINC001590311177 1166857887 /nfs/dbraw/zinc/85/78/87/1166857887.db2.gz WIZBLJGGSWNOTI-MFKMUULPSA-N 0 2 316.365 0.485 20 0 DCADLN C/C=C\C[C@@H](CO)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001590351861 1166881382 /nfs/dbraw/zinc/88/13/82/1166881382.db2.gz IKHRCKDBCFGNIK-TYRPZCRBSA-N 0 2 318.337 0.333 20 0 DCADLN CC[C@H](C)c1nnc([C@H](C)Nc2ccnc(-c3nn[nH]n3)n2)[nH]1 ZINC001590416920 1166904046 /nfs/dbraw/zinc/90/40/46/1166904046.db2.gz GERKVAHBXKQOFX-YUMQZZPRSA-N 0 2 314.357 0.883 20 0 DCADLN CC[C@H](C)c1nc([C@H](C)Nc2ccnc(-c3nn[nH]n3)n2)n[nH]1 ZINC001590416920 1166904050 /nfs/dbraw/zinc/90/40/50/1166904050.db2.gz GERKVAHBXKQOFX-YUMQZZPRSA-N 0 2 314.357 0.883 20 0 DCADLN CCC(F)(F)CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590451958 1166909734 /nfs/dbraw/zinc/90/97/34/1166909734.db2.gz NMCABNADWYTLRS-UHFFFAOYSA-N 0 2 301.257 0.123 20 0 DCADLN CC[C@@]1(C)CCCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001590490322 1166922830 /nfs/dbraw/zinc/92/28/30/1166922830.db2.gz WOMZVFUNUIALIR-AWEZNQCLSA-N 0 2 319.369 0.270 20 0 DCADLN CCN(CC)C(=O)OCn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001590683834 1166974903 /nfs/dbraw/zinc/97/49/03/1166974903.db2.gz FVEZGXLWCMRUGS-UHFFFAOYSA-N 0 2 306.326 0.773 20 0 DCADLN CCOC1CCN(Cn2cccc(-c3nn[nH]n3)c2=O)CC1 ZINC001590797112 1167022973 /nfs/dbraw/zinc/02/29/73/1167022973.db2.gz VFMXXVHRJQICJL-UHFFFAOYSA-N 0 2 304.354 0.487 20 0 DCADLN CCOCn1cc(Br)cc(-c2nn[nH]n2)c1=O ZINC001590818647 1167031194 /nfs/dbraw/zinc/03/11/94/1167031194.db2.gz MLJIFFFZAVJKQC-UHFFFAOYSA-N 0 2 300.116 0.785 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCCC(=O)[C@H]1C ZINC001591835416 1167389857 /nfs/dbraw/zinc/38/98/57/1167389857.db2.gz WWNKRFNADKNHGJ-MRVPVSSYSA-N 0 2 316.321 0.469 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCn2nccc21 ZINC001591834690 1167391660 /nfs/dbraw/zinc/39/16/60/1167391660.db2.gz NBUPVBPAPSKONO-UHFFFAOYSA-N 0 2 312.293 0.133 20 0 DCADLN Cc1cc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)c(C)nn1 ZINC001591977904 1167427673 /nfs/dbraw/zinc/42/76/73/1167427673.db2.gz OIWGBNCWFPBYNB-UHFFFAOYSA-N 0 2 312.337 0.495 20 0 DCADLN Cc1ccn(CC(=O)N[C@@H](C)C(C)C)c(=O)c1-c1nn[nH]n1 ZINC001592265337 1167480021 /nfs/dbraw/zinc/48/00/21/1167480021.db2.gz QRAHVBPZLXNLLM-JTQLQIEISA-N 0 2 304.354 0.498 20 0 DCADLN Cc1ccn(Cc2cccc(C(N)=O)c2)c(=O)c1-c1nn[nH]n1 ZINC001592265922 1167480763 /nfs/dbraw/zinc/48/07/63/1167480763.db2.gz PSYJPOKFNLGZKZ-UHFFFAOYSA-N 0 2 310.317 0.484 20 0 DCADLN Cc1nn(Cc2cc(C3CC3)no2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437365 1167523152 /nfs/dbraw/zinc/52/31/52/1167523152.db2.gz DHUTYFQSJXRKBE-UHFFFAOYSA-N 0 2 313.321 0.954 20 0 DCADLN Cc1nnc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)s1 ZINC001592443390 1167524269 /nfs/dbraw/zinc/52/42/69/1167524269.db2.gz CNOKXSWNDJDISY-UHFFFAOYSA-N 0 2 315.362 0.725 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1[C@H](C)C[N@H+](C)C[C@@H]1C ZINC001592496284 1167539610 /nfs/dbraw/zinc/53/96/10/1167539610.db2.gz CTYUZHHPOMNOSK-DTORHVGOSA-N 0 2 304.354 0.933 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1[C@H](C)CN(C)C[C@@H]1C ZINC001592496284 1167539613 /nfs/dbraw/zinc/53/96/13/1167539613.db2.gz CTYUZHHPOMNOSK-DTORHVGOSA-N 0 2 304.354 0.933 20 0 DCADLN O=C(NC[C@@H](O)c1cnc[nH]1)c1cscc1-c1nn[nH]n1 ZINC001593013838 1167688020 /nfs/dbraw/zinc/68/80/20/1167688020.db2.gz MUVJJWLCRWWJMV-SECBINFHSA-N 0 2 305.323 0.115 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1nncn1-c1ccccc1 ZINC001593495706 1167878819 /nfs/dbraw/zinc/87/88/19/1167878819.db2.gz RJIOIJDKPUDCMN-UHFFFAOYSA-N 0 2 321.304 0.052 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cnc(Cl)c(F)c1 ZINC001593495726 1167880484 /nfs/dbraw/zinc/88/04/84/1167880484.db2.gz SEKIEBXTHNVRPL-UHFFFAOYSA-N 0 2 307.676 0.659 20 0 DCADLN Cc1c(F)ccc(N)c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600021684 1168100382 /nfs/dbraw/zinc/10/03/82/1168100382.db2.gz NCOHZIZLUSWRJK-NSHDSACASA-N 0 2 306.297 0.865 20 0 DCADLN Cc1c(F)ccc(N)c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600021684 1168100387 /nfs/dbraw/zinc/10/03/87/1168100387.db2.gz NCOHZIZLUSWRJK-NSHDSACASA-N 0 2 306.297 0.865 20 0 DCADLN Cc1c(NC(=O)[C@H]2CCn3c[nH+]cc3C2)cnn1CC(=O)[O-] ZINC001600032804 1168106115 /nfs/dbraw/zinc/10/61/15/1168106115.db2.gz QKQJYUNJVCFZHX-JTQLQIEISA-N 0 2 303.322 0.674 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2CC[C@@H](C(=O)[O-])[C@@H]2C)c[nH+]1 ZINC001600159671 1168127763 /nfs/dbraw/zinc/12/77/63/1168127763.db2.gz FDSKPEZJKILHCJ-WCQYABFASA-N 0 2 319.361 0.636 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2CC[C@H](C(=O)[O-])C2)c[nH+]1 ZINC001600160412 1168129416 /nfs/dbraw/zinc/12/94/16/1168129416.db2.gz WFTKOBXTWWZHQK-NSHDSACASA-N 0 2 305.334 0.248 20 0 DCADLN Cc1ccc2nc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cn2c1 ZINC001600502093 1168192324 /nfs/dbraw/zinc/19/23/24/1168192324.db2.gz XDRSDPAHEAADQU-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1ccc2nc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cn2c1 ZINC001600502093 1168192330 /nfs/dbraw/zinc/19/23/30/1168192330.db2.gz XDRSDPAHEAADQU-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001600585905 1168203710 /nfs/dbraw/zinc/20/37/10/1168203710.db2.gz OEFDMYQVWIAICN-STQMWFEESA-N 0 2 322.361 0.010 20 0 DCADLN Cc1ccccc1OC[C@@H](O)C[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001600585905 1168203716 /nfs/dbraw/zinc/20/37/16/1168203716.db2.gz OEFDMYQVWIAICN-STQMWFEESA-N 0 2 322.361 0.010 20 0 DCADLN Cc1ccnc(C[N@H+](C)CCCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600600088 1168208494 /nfs/dbraw/zinc/20/84/94/1168208494.db2.gz SAXZZLGAOFCHEJ-RYUDHWBXSA-N 0 2 306.366 0.444 20 0 DCADLN Cc1ccnc(C[N@@H+](C)CCCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600600088 1168208499 /nfs/dbraw/zinc/20/84/99/1168208499.db2.gz SAXZZLGAOFCHEJ-RYUDHWBXSA-N 0 2 306.366 0.444 20 0 DCADLN Cc1ccnc(C[NH2+]C/C=C/CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600601664 1168209399 /nfs/dbraw/zinc/20/93/99/1168209399.db2.gz RQAOSUOWPDKKRR-FQVTYBBZSA-N 0 2 304.350 0.268 20 0 DCADLN Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@H]2C(=O)[O-])co1 ZINC001600700763 1168256902 /nfs/dbraw/zinc/25/69/02/1168256902.db2.gz AQXLLPQNTVVZDP-OBPIAQAESA-N 0 2 305.334 0.250 20 0 DCADLN Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@H]2C(=O)[O-])co1 ZINC001600700763 1168256905 /nfs/dbraw/zinc/25/69/05/1168256905.db2.gz AQXLLPQNTVVZDP-OBPIAQAESA-N 0 2 305.334 0.250 20 0 DCADLN Cc1ncc(Cl)c(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001600737966 1168270641 /nfs/dbraw/zinc/27/06/41/1168270641.db2.gz RGWVNASPBSIZKT-SECBINFHSA-N 0 2 309.713 0.518 20 0 DCADLN Cc1ncsc1C=CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600761191 1168281351 /nfs/dbraw/zinc/28/13/51/1168281351.db2.gz YCTUHUFLCQPZSD-ZMWSMAPVSA-N 0 2 306.347 1.000 20 0 DCADLN Cc1ncsc1C=CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600761191 1168281355 /nfs/dbraw/zinc/28/13/55/1168281355.db2.gz YCTUHUFLCQPZSD-ZMWSMAPVSA-N 0 2 306.347 1.000 20 0 DCADLN Cc1oc(CNC(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1C(=O)[O-] ZINC001600848691 1168484635 /nfs/dbraw/zinc/48/46/35/1168484635.db2.gz WLQOLLUAFCAPIN-VIFPVBQESA-N 0 2 304.306 0.907 20 0 DCADLN Cn1c(C[N@@H+]2CCC[C@@H](CS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600914504 1168507231 /nfs/dbraw/zinc/50/72/31/1168507231.db2.gz JGRLJOOWALBTCP-LLVKDONJSA-N 0 2 314.407 0.980 20 0 DCADLN Cn1c(C[N@H+]2CCC[C@@H](CS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600914504 1168507233 /nfs/dbraw/zinc/50/72/33/1168507233.db2.gz JGRLJOOWALBTCP-LLVKDONJSA-N 0 2 314.407 0.980 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)c3ccc(C(=O)[O-])n3C)CC[NH2+]2)cn1 ZINC001600943716 1168522972 /nfs/dbraw/zinc/52/29/72/1168522972.db2.gz LPBRWTZXINRRRA-NSHDSACASA-N 0 2 317.349 0.244 20 0 DCADLN Cn1cc(C[NH2+]Cc2ncccc2S(C)(=O)=O)cc1C(=O)[O-] ZINC001600955205 1168531040 /nfs/dbraw/zinc/53/10/40/1168531040.db2.gz ZDYQWYLKLFNSHM-UHFFFAOYSA-N 0 2 323.374 0.812 20 0 DCADLN Cn1ccnc1C[N@H+]1CC=C(CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001600985495 1168546582 /nfs/dbraw/zinc/54/65/82/1168546582.db2.gz PYKXQTGQOZHQKV-CHWSQXEVSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1ccnc1C[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001600985495 1168546591 /nfs/dbraw/zinc/54/65/91/1168546591.db2.gz PYKXQTGQOZHQKV-CHWSQXEVSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CC(c2cc(C(=O)[O-])n[nH]2)C1 ZINC001600994157 1168551851 /nfs/dbraw/zinc/55/18/51/1168551851.db2.gz FSVGBDJGOSPXRN-NXEZZACHSA-N 0 2 315.333 0.571 20 0 DCADLN Cn1nnc2ccc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])cc21 ZINC001601042648 1168575916 /nfs/dbraw/zinc/57/59/16/1168575916.db2.gz RJZDDRQFJZVROB-NSHDSACASA-N 0 2 314.305 0.048 20 0 DCADLN [NH3+][C@@H](C(=O)N1CCO[C@@H](C(=O)[O-])C1)c1c(F)cccc1F ZINC001601173419 1168622447 /nfs/dbraw/zinc/62/24/47/1168622447.db2.gz LRKMSZKIJOHHQY-MWLCHTKSSA-N 0 2 300.261 0.277 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCC[N@@H+]1Cc1cc(C(=O)[O-])no1 ZINC001601193950 1168653478 /nfs/dbraw/zinc/65/34/78/1168653478.db2.gz LGVWSOAULSYKBL-MRVPVSSYSA-N 0 2 303.340 0.016 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCC[N@H+]1Cc1cc(C(=O)[O-])no1 ZINC001601193950 1168653487 /nfs/dbraw/zinc/65/34/87/1168653487.db2.gz LGVWSOAULSYKBL-MRVPVSSYSA-N 0 2 303.340 0.016 20 0 DCADLN O=C([O-])c1ccc(/C=C\C(=O)NC[C@@H]2CN3CC[N@@H+]2CCC3)o1 ZINC001601236762 1168673427 /nfs/dbraw/zinc/67/34/27/1168673427.db2.gz HBDKZKICWDOMTH-KTLBGCOUSA-N 0 2 319.361 0.497 20 0 DCADLN O=C([O-])c1ccc(/C=C\C(=O)NC[C@@H]2CN3CC[N@H+]2CCC3)o1 ZINC001601236762 1168673441 /nfs/dbraw/zinc/67/34/41/1168673441.db2.gz HBDKZKICWDOMTH-KTLBGCOUSA-N 0 2 319.361 0.497 20 0 DCADLN O=C(CSc1nncs1)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601351113 1168715340 /nfs/dbraw/zinc/71/53/40/1168715340.db2.gz CPCHKWSSZWYGAG-ZETCQYMHSA-N 0 2 313.364 0.165 20 0 DCADLN O=C(CSc1nncs1)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601351113 1168715350 /nfs/dbraw/zinc/71/53/50/1168715350.db2.gz CPCHKWSSZWYGAG-ZETCQYMHSA-N 0 2 313.364 0.165 20 0 DCADLN O=C([O-])c1coc(CNC(=O)Cc2cn3c([nH+]2)CCCC3)n1 ZINC001601372740 1168722752 /nfs/dbraw/zinc/72/27/52/1168722752.db2.gz NQHXQDFJOBIWCL-UHFFFAOYSA-N 0 2 304.306 0.765 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCc2ncncc2C1 ZINC001601426024 1168742291 /nfs/dbraw/zinc/74/22/91/1168742291.db2.gz PNSUMDHDAREPBW-MFKMUULPSA-N 0 2 315.333 0.048 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cnc2cnccc2c1 ZINC001601425596 1168742870 /nfs/dbraw/zinc/74/28/70/1168742870.db2.gz DUEVJLMOJACLFE-CYBMUJFWSA-N 0 2 311.301 0.710 20 0 DCADLN O=C([O-])[C@@H](F)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001601519882 1168772711 /nfs/dbraw/zinc/77/27/11/1168772711.db2.gz IQTBSSZSXXZCOM-QWHCGFSZSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](F)CNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001601519882 1168772717 /nfs/dbraw/zinc/77/27/17/1168772717.db2.gz IQTBSSZSXXZCOM-QWHCGFSZSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc2c[nH]nc2c1 ZINC001601565408 1168782586 /nfs/dbraw/zinc/78/25/86/1168782586.db2.gz YBRXDFLQDKIBPT-JTQLQIEISA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc2c[nH]nc2c1 ZINC001601565408 1168782592 /nfs/dbraw/zinc/78/25/92/1168782592.db2.gz YBRXDFLQDKIBPT-JTQLQIEISA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])C1(c2ccc(S(=O)(=O)NCC[NH+]3CCC3)cc2)CC1 ZINC001601726929 1168835745 /nfs/dbraw/zinc/83/57/45/1168835745.db2.gz OFYXNGBLOHYPKC-UHFFFAOYSA-N 0 2 324.402 0.787 20 0 DCADLN O=C([O-])C12CCC(C(=O)N3CC[NH+]([C@H]4CCOC4)CC3)(CC1)C2 ZINC001601730112 1168838401 /nfs/dbraw/zinc/83/84/01/1168838401.db2.gz QJQBQCPUCVJKHB-IGEOTXOUSA-N 0 2 322.405 0.955 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)[C@H]3CCc4c[nH+]cn4C3)C2)C1 ZINC001601738654 1168842816 /nfs/dbraw/zinc/84/28/16/1168842816.db2.gz GURXMXLAFGKRJA-BONVTDFDSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1Cc1cc(=O)[nH]c(-c2ccccc2)n1 ZINC001601772554 1168871207 /nfs/dbraw/zinc/87/12/07/1168871207.db2.gz LLIJPDQBTXBQJO-CHWSQXEVSA-N 0 2 315.329 0.869 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1Cc1cc(=O)[nH]c(-c2ccccc2)n1 ZINC001601772554 1168871219 /nfs/dbraw/zinc/87/12/19/1168871219.db2.gz LLIJPDQBTXBQJO-CHWSQXEVSA-N 0 2 315.329 0.869 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001601778144 1168880761 /nfs/dbraw/zinc/88/07/61/1168880761.db2.gz HZPSCDOMSFSPBH-OLZOCXBDSA-N 0 2 305.334 0.644 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001601778144 1168880771 /nfs/dbraw/zinc/88/07/71/1168880771.db2.gz HZPSCDOMSFSPBH-OLZOCXBDSA-N 0 2 305.334 0.644 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001601780908 1168886896 /nfs/dbraw/zinc/88/68/96/1168886896.db2.gz NKVCGYHWQWEABM-WDEREUQCSA-N 0 2 322.365 0.654 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC/C=C\C[NH2+]Cc1nnc(C2CC2)o1 ZINC001601780737 1168888595 /nfs/dbraw/zinc/88/85/95/1168888595.db2.gz MQFHGZMBTUASFU-JLVKAVFRSA-N 0 2 320.349 0.430 20 0 DCADLN O=C([O-])C1CCC(NS(=O)(=O)CCn2cc[nH+]c2)CC1 ZINC001601796390 1168900260 /nfs/dbraw/zinc/90/02/60/1168900260.db2.gz RBECWBSPPNRASX-UHFFFAOYSA-N 0 2 301.368 0.446 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]([C@@H]2CCCO2)C1 ZINC001601821236 1168904298 /nfs/dbraw/zinc/90/42/98/1168904298.db2.gz GIDFTRJFLASDHX-WOPDTQHZSA-N 0 2 307.350 0.823 20 0 DCADLN O=C([O-])[C@@H]1CN(C[C@H](O)C[NH+]2CCOCC2)c2ccccc2O1 ZINC001601850706 1168914283 /nfs/dbraw/zinc/91/42/83/1168914283.db2.gz HIBSJYYVRWEKDL-DOMZBBRYSA-N 0 2 322.361 0.032 20 0 DCADLN O=C([O-])CN(C1CCCC1)S(=O)(=O)CCn1cc[nH+]c1 ZINC001602053767 1168977565 /nfs/dbraw/zinc/97/75/65/1168977565.db2.gz MLRSDRAKTHPLJE-UHFFFAOYSA-N 0 2 301.368 0.542 20 0 DCADLN O=C([O-])CNC(=O)C[NH2+]Cc1ncc(Br)cc1F ZINC001602070692 1168987412 /nfs/dbraw/zinc/98/74/12/1168987412.db2.gz DIRNPZNENZFZSG-UHFFFAOYSA-N 0 2 320.118 0.274 20 0 DCADLN O=C([O-])Cn1cc(CC[N@@H+]2CCOC[C@H]2CC(F)F)nn1 ZINC001602159988 1169006541 /nfs/dbraw/zinc/00/65/41/1169006541.db2.gz XCQKNSHALWZERA-SNVBAGLBSA-N 0 2 304.297 0.261 20 0 DCADLN O=C([O-])Cn1cc(CC[N@H+]2CCOC[C@H]2CC(F)F)nn1 ZINC001602159988 1169006550 /nfs/dbraw/zinc/00/65/50/1169006550.db2.gz XCQKNSHALWZERA-SNVBAGLBSA-N 0 2 304.297 0.261 20 0 DCADLN O=C([O-])c1cc(F)ccc1S(=O)(=O)NCC[NH+]1CCC1 ZINC001602275964 1169046457 /nfs/dbraw/zinc/04/64/57/1169046457.db2.gz MMZVIDZBLXJXPR-UHFFFAOYSA-N 0 2 302.327 0.508 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@@H+]2CCC[C@H]([C@H]3CCOC3)C2)n1 ZINC001602313405 1169054580 /nfs/dbraw/zinc/05/45/80/1169054580.db2.gz FWPIFCTUMNHUNT-RYUDHWBXSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@H+]2CCC[C@H]([C@H]3CCOC3)C2)n1 ZINC001602313405 1169054589 /nfs/dbraw/zinc/05/45/89/1169054589.db2.gz FWPIFCTUMNHUNT-RYUDHWBXSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])c1ccc2c(c1)CC[N@@H+](CN1CC3(COC3)CC1=O)C2 ZINC001602416632 1169075769 /nfs/dbraw/zinc/07/57/69/1169075769.db2.gz WICZTSWWZCHSEV-UHFFFAOYSA-N 0 2 316.357 0.949 20 0 DCADLN O=C([O-])c1ccc2c(c1)CC[N@H+](CN1CC3(COC3)CC1=O)C2 ZINC001602416632 1169075777 /nfs/dbraw/zinc/07/57/77/1169075777.db2.gz WICZTSWWZCHSEV-UHFFFAOYSA-N 0 2 316.357 0.949 20 0 DCADLN O=C([O-])c1cncc(Cn2cc(CC[NH+]3CCOCC3)nn2)c1 ZINC001602540184 1169110980 /nfs/dbraw/zinc/11/09/80/1169110980.db2.gz KIGUAVNONANPBX-UHFFFAOYSA-N 0 2 317.349 0.294 20 0 DCADLN O=C([O-])c1ncccc1S(=O)(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001602577576 1169124942 /nfs/dbraw/zinc/12/49/42/1169124942.db2.gz LCAWVYPNXRBUNX-SECBINFHSA-N 0 2 322.346 0.270 20 0 DCADLN O=C([O-])C1(O)CC[NH+]([C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC001602630028 1169135808 /nfs/dbraw/zinc/13/58/08/1169135808.db2.gz RSVOVZQXLISMRC-CYBMUJFWSA-N 0 2 322.336 0.843 20 0 DCADLN CC(=O)NCC(C)(C)C(=O)NOc1cccc(-c2nn[nH]n2)c1 ZINC001602944100 1169216408 /nfs/dbraw/zinc/21/64/08/1169216408.db2.gz YOOKFTFJPVAPQC-UHFFFAOYSA-N 0 2 318.337 0.439 20 0 DCADLN C[C@@H]1CCC[C@@H](Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)C1 ZINC001603887597 1169423474 /nfs/dbraw/zinc/42/34/74/1169423474.db2.gz RXMCSZQWAMXGGU-NXEZZACHSA-N 0 2 304.354 0.553 20 0 DCADLN C[C@H]1CCCC[C@H]1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603890123 1169423598 /nfs/dbraw/zinc/42/35/98/1169423598.db2.gz BBTKTZMYWUEDPT-IUCAKERBSA-N 0 2 319.369 0.904 20 0 DCADLN C[C@H]1CCCC[C@H]1NC(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001603890901 1169424089 /nfs/dbraw/zinc/42/40/89/1169424089.db2.gz OEXSJUVULGLXTP-GXSJLCMTSA-N 0 2 317.353 0.118 20 0 DCADLN CC[C@H]1CCCCN1C(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001604102797 1169491759 /nfs/dbraw/zinc/49/17/59/1169491759.db2.gz HNDKRIIHBJBKCY-JTQLQIEISA-N 0 2 317.353 0.215 20 0 DCADLN CC[C@H]1CCCCN1C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001604102637 1169492494 /nfs/dbraw/zinc/49/24/94/1169492494.db2.gz FXHQJJGYCPWXDO-JTQLQIEISA-N 0 2 317.353 0.215 20 0 DCADLN C[C@@H](CCNc1ncc(C(=O)[O-])cn1)NC(=O)Cn1cc[nH+]c1 ZINC001604142335 1169504268 /nfs/dbraw/zinc/50/42/68/1169504268.db2.gz RMTSDYIOIBTQPV-JTQLQIEISA-N 0 2 318.337 0.378 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)OC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001604169347 1169512533 /nfs/dbraw/zinc/51/25/33/1169512533.db2.gz RBKCKJSSVGZIOK-FRRDWIJNSA-N 0 2 315.366 0.520 20 0 DCADLN C[C@@]([NH2+]CC(=O)NC(=O)NC1CC1)(C(=O)[O-])c1ccccc1 ZINC001604327721 1169553564 /nfs/dbraw/zinc/55/35/64/1169553564.db2.gz AUNAMQBHFGYQBH-HNNXBMFYSA-N 0 2 305.334 0.564 20 0 DCADLN C[C@@]1(C2CC[NH+](Cn3cnc(C(=O)[O-])n3)CC2)COC(=O)N1 ZINC001604569404 1169621389 /nfs/dbraw/zinc/62/13/89/1169621389.db2.gz XBNUWCRUOFKOMH-ZDUSSCGKSA-N 0 2 309.326 0.144 20 0 DCADLN CCc1nnc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)o1 ZINC001604581351 1169624001 /nfs/dbraw/zinc/62/40/01/1169624001.db2.gz TVBGMKUBGRJBLL-UHFFFAOYSA-N 0 2 313.321 0.511 20 0 DCADLN C[C@H]1CO[C@@H](C(F)(F)F)C[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001604818787 1169697017 /nfs/dbraw/zinc/69/70/17/1169697017.db2.gz YZVZSVYPAIKWBK-DTWKUNHWSA-N 0 2 312.288 0.571 20 0 DCADLN C[C@H]1CO[C@@H](C(F)(F)F)C[N@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001604818787 1169697019 /nfs/dbraw/zinc/69/70/19/1169697019.db2.gz YZVZSVYPAIKWBK-DTWKUNHWSA-N 0 2 312.288 0.571 20 0 DCADLN CC[C@@](C)([NH2+]Cc1nc(-c2cc(OC)ncn2)no1)C(=O)[O-] ZINC001604913785 1169741674 /nfs/dbraw/zinc/74/16/74/1169741674.db2.gz GCNDHWMRJDSVAW-CYBMUJFWSA-N 0 2 307.310 0.878 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1Cn1cncc(-c2nn[nH]n2)c1=O ZINC001604926180 1169747144 /nfs/dbraw/zinc/74/71/44/1169747144.db2.gz UWCXSNAXKBBUSX-NXEZZACHSA-N 0 2 318.337 0.403 20 0 DCADLN CCCC(=O)NC[C@@H]1CCC[N@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001605190581 1169862375 /nfs/dbraw/zinc/86/23/75/1169862375.db2.gz VNBSWKZTLPQHPE-LBPRGKRZSA-N 0 2 323.397 0.491 20 0 DCADLN CCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001605190581 1169862391 /nfs/dbraw/zinc/86/23/91/1169862391.db2.gz VNBSWKZTLPQHPE-LBPRGKRZSA-N 0 2 323.397 0.491 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)c1ccc(/C=C\C(=O)[O-])o1 ZINC001605530807 1169949789 /nfs/dbraw/zinc/94/97/89/1169949789.db2.gz GBGJMOKAMYDKKQ-QZPNVGJNSA-N 0 2 322.317 0.355 20 0 DCADLN Cc1ccccc1Oc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001605942918 1170030607 /nfs/dbraw/zinc/03/06/07/1170030607.db2.gz GCLSHVXLMWVFAI-UHFFFAOYSA-N 0 2 314.305 0.365 20 0 DCADLN Cc1nc(CC(=O)Nc2cc(F)cc(-c3nn[nH]n3)c2)n[nH]1 ZINC001606011133 1170046588 /nfs/dbraw/zinc/04/65/88/1170046588.db2.gz USZCQGGAJZLAJE-UHFFFAOYSA-N 0 2 302.273 0.614 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001606131115 1170088831 /nfs/dbraw/zinc/08/88/31/1170088831.db2.gz NCGJLEKCYZJUND-XUJVJEKNSA-N 0 2 311.382 0.213 20 0 DCADLN C[N@@H+]1CCCC[C@H]1C(=O)O[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001606224982 1170123169 /nfs/dbraw/zinc/12/31/69/1170123169.db2.gz RPTZXROSKRNVTA-RYUDHWBXSA-N 0 2 312.366 0.480 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)O[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001606224982 1170123170 /nfs/dbraw/zinc/12/31/70/1170123170.db2.gz RPTZXROSKRNVTA-RYUDHWBXSA-N 0 2 312.366 0.480 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)N2Cc3ccc(C(=O)[O-])cc3C2)CC1 ZINC001606230108 1170126640 /nfs/dbraw/zinc/12/66/40/1170126640.db2.gz RACUHSHLCMFORO-UHFFFAOYSA-N 0 2 317.345 0.001 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)Cn1cc(C(=O)[O-])nn1 ZINC001606258946 1170138134 /nfs/dbraw/zinc/13/81/34/1170138134.db2.gz KPBZVKFQNSJIRR-CYBMUJFWSA-N 0 2 315.333 0.279 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)Cn1cc(C(=O)[O-])nn1 ZINC001606258946 1170138137 /nfs/dbraw/zinc/13/81/37/1170138137.db2.gz KPBZVKFQNSJIRR-CYBMUJFWSA-N 0 2 315.333 0.279 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CCN1CCC[NH+]1CCOCC1 ZINC001606372840 1170173716 /nfs/dbraw/zinc/17/37/16/1170173716.db2.gz IIFXEJRDMRNNPV-QWHCGFSZSA-N 0 2 314.382 0.047 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H](C(=O)[O-])C1 ZINC001606402340 1170185375 /nfs/dbraw/zinc/18/53/75/1170185375.db2.gz CFVRSMVTDURGAR-MWLCHTKSSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H](C(=O)[O-])C1 ZINC001606402340 1170185376 /nfs/dbraw/zinc/18/53/76/1170185376.db2.gz CFVRSMVTDURGAR-MWLCHTKSSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCC[C@H](C(=O)[O-])C1 ZINC001606505901 1170223858 /nfs/dbraw/zinc/22/38/58/1170223858.db2.gz MQJJCNJNVFVPQG-JBLDHEPKSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCC[C@H](C(=O)[O-])C1 ZINC001606505901 1170223863 /nfs/dbraw/zinc/22/38/63/1170223863.db2.gz MQJJCNJNVFVPQG-JBLDHEPKSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)c1coc(C[N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)c1 ZINC001606630567 1170248670 /nfs/dbraw/zinc/24/86/70/1170248670.db2.gz IOKCGMIGJRXEPY-CQSZACIVSA-N 0 2 308.290 0.872 20 0 DCADLN COC(=O)c1coc(C[N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)c1 ZINC001606630567 1170248674 /nfs/dbraw/zinc/24/86/74/1170248674.db2.gz IOKCGMIGJRXEPY-CQSZACIVSA-N 0 2 308.290 0.872 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@H]1CCC2(CCC2)CO1 ZINC001607090038 1170386186 /nfs/dbraw/zinc/38/61/86/1170386186.db2.gz IXFJSOYEZGILNA-SNVBAGLBSA-N 0 2 302.338 0.773 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1C[C@H]1CCC[C@@]2(CCOC2)O1 ZINC001607091875 1170389221 /nfs/dbraw/zinc/38/92/21/1170389221.db2.gz LWYABNFPBSLVLC-ABAIWWIYSA-N 0 2 317.349 0.757 20 0 DCADLN COc1ccc(C[N@H+](C)[C@@H]2CCN(CCC(=O)[O-])C2=O)cn1 ZINC001607251577 1170418701 /nfs/dbraw/zinc/41/87/01/1170418701.db2.gz JAWYWERXFVWVRG-GFCCVEGCSA-N 0 2 307.350 0.598 20 0 DCADLN COc1ccc(C[N@@H+](C)[C@@H]2CCN(CCC(=O)[O-])C2=O)cn1 ZINC001607251577 1170418705 /nfs/dbraw/zinc/41/87/05/1170418705.db2.gz JAWYWERXFVWVRG-GFCCVEGCSA-N 0 2 307.350 0.598 20 0 DCADLN CS(=O)(=O)CCOCCNc1cc(CC(=O)[O-])cc[nH+]1 ZINC001607369953 1170439201 /nfs/dbraw/zinc/43/92/01/1170439201.db2.gz FYKPRWIVCAZSGI-UHFFFAOYSA-N 0 2 302.352 0.182 20 0 DCADLN CSc1ccc(OC[C@@H](O)C[NH+]2CC(O)(C(=O)[O-])C2)cc1 ZINC001607410333 1170447817 /nfs/dbraw/zinc/44/78/17/1170447817.db2.gz VVCRUSDYHWETSD-JTQLQIEISA-N 0 2 313.375 0.280 20 0 DCADLN C[C@H]1CN(C(=O)[C@H]([NH3+])c2c(F)cccc2F)C[C@@H](C(=O)[O-])O1 ZINC001607466619 1170460651 /nfs/dbraw/zinc/46/06/51/1170460651.db2.gz WSZHQTWETXCVCX-VIRWGQHYSA-N 0 2 314.288 0.665 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@@H](O)[C@H](CO)C1 ZINC001607741882 1170502602 /nfs/dbraw/zinc/50/26/02/1170502602.db2.gz YFEXBCRJEBKOTF-GXTWGEPZSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@@H](O)[C@H](CO)C1 ZINC001607741882 1170502605 /nfs/dbraw/zinc/50/26/05/1170502605.db2.gz YFEXBCRJEBKOTF-GXTWGEPZSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(C[N@H+](CCO)CC(=O)N2CCC(C(=O)[O-])CC2)o1 ZINC001607778333 1170504969 /nfs/dbraw/zinc/50/49/69/1170504969.db2.gz TZKPUQSXHCWUJR-UHFFFAOYSA-N 0 2 324.377 0.706 20 0 DCADLN Cc1ccc(C[N@@H+](CCO)CC(=O)N2CCC(C(=O)[O-])CC2)o1 ZINC001607778333 1170504973 /nfs/dbraw/zinc/50/49/73/1170504973.db2.gz TZKPUQSXHCWUJR-UHFFFAOYSA-N 0 2 324.377 0.706 20 0 DCADLN Cc1cccn2c(CN3CCNC(=O)[C@@H]3CC(=O)[O-])c[nH+]c12 ZINC001607887051 1170515215 /nfs/dbraw/zinc/51/52/15/1170515215.db2.gz RALSUMDNCDHDIB-LBPRGKRZSA-N 0 2 302.334 0.418 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CC[C@H](C(=O)[O-])C[C@@H]1[C@H]1CCCO1 ZINC001608136335 1170589445 /nfs/dbraw/zinc/58/94/45/1170589445.db2.gz LQDKWKJRWDYSQV-IACUBPJLSA-N 0 2 321.377 0.833 20 0 DCADLN Cn1ncc(Br)c1C[N@@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001608153008 1170599500 /nfs/dbraw/zinc/59/95/00/1170599500.db2.gz AEIXIMSFDJNEGE-JGVFFNPUSA-N 0 2 318.171 0.450 20 0 DCADLN Cn1ncc(Br)c1C[N@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001608153008 1170599505 /nfs/dbraw/zinc/59/95/05/1170599505.db2.gz AEIXIMSFDJNEGE-JGVFFNPUSA-N 0 2 318.171 0.450 20 0 DCADLN NC(=O)[C@@H](c1ccccc1)[N@@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001608227829 1170620601 /nfs/dbraw/zinc/62/06/01/1170620601.db2.gz FSPAOERGSUNVTN-IUODEOHRSA-N 0 2 303.318 0.518 20 0 DCADLN NC(=O)[C@@H](c1ccccc1)[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001608227829 1170620608 /nfs/dbraw/zinc/62/06/08/1170620608.db2.gz FSPAOERGSUNVTN-IUODEOHRSA-N 0 2 303.318 0.518 20 0 DCADLN O=C(/C=C\c1ccc(C(=O)[O-])o1)NCC1([NH+]2CCOCC2)CC1 ZINC001608286540 1170642770 /nfs/dbraw/zinc/64/27/70/1170642770.db2.gz XEWKUDCVIXMRFB-RQOWECAXSA-N 0 2 320.345 0.972 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC001608289946 1170643081 /nfs/dbraw/zinc/64/30/81/1170643081.db2.gz KBPYXDYFNCWEHT-RYUDHWBXSA-N 0 2 303.318 0.493 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CCn1cc(Cl)cn1 ZINC001608324321 1170649470 /nfs/dbraw/zinc/64/94/70/1170649470.db2.gz YFGKAINURDYGIE-JTQLQIEISA-N 0 2 311.729 0.462 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)Cc1cn2c([nH+]1)CCCC2)[C@H]1CCOC1 ZINC001608368955 1170660010 /nfs/dbraw/zinc/66/00/10/1170660010.db2.gz ISURRSAZKXNFJG-AAEUAGOBSA-N 0 2 321.377 0.615 20 0 DCADLN O=C([O-])C1=NO[C@]2(CC[N@H+](Cc3[nH]nc4c3COCC4)C2)C1 ZINC001608592108 1170710500 /nfs/dbraw/zinc/71/05/00/1170710500.db2.gz BPKAPEUZNSHDIS-CQSZACIVSA-N 0 2 306.322 0.288 20 0 DCADLN O=C([O-])C1=NO[C@]2(CC[N@@H+](Cc3[nH]nc4c3COCC4)C2)C1 ZINC001608592108 1170710503 /nfs/dbraw/zinc/71/05/03/1170710503.db2.gz BPKAPEUZNSHDIS-CQSZACIVSA-N 0 2 306.322 0.288 20 0 DCADLN O=C([O-])/C=C1/SCC(=O)N1CCCN1CCn2c[nH+]cc2C1 ZINC001608655087 1170732318 /nfs/dbraw/zinc/73/23/18/1170732318.db2.gz AMNDUYSHXOXBLE-AWNIVKPZSA-N 0 2 322.390 0.590 20 0 DCADLN O=C([O-])c1cc(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)ccn1 ZINC001608842566 1170764579 /nfs/dbraw/zinc/76/45/79/1170764579.db2.gz QSUDIYWZEBWXFZ-NSHDSACASA-N 0 2 300.318 0.855 20 0 DCADLN O=C([O-])c1ccc(OC[C@@H](O)CN2CCn3c[nH+]cc3C2)cc1 ZINC001608907205 1170770449 /nfs/dbraw/zinc/77/04/49/1170770449.db2.gz ZHFPDTGDTNZWIN-AWEZNQCLSA-N 0 2 317.345 0.837 20 0 DCADLN O=C([O-])c1cn(CC(=O)N2CCC(Cc3c[nH]c[nH+]3)CC2)nn1 ZINC001608963313 1170776489 /nfs/dbraw/zinc/77/64/89/1170776489.db2.gz ISOHXIMXBBRDHZ-UHFFFAOYSA-N 0 2 318.337 0.181 20 0 DCADLN CC(C)OC1CCN(Cn2cccc(-c3nn[nH]n3)c2=O)CC1 ZINC001609100959 1170809266 /nfs/dbraw/zinc/80/92/66/1170809266.db2.gz NYESRDQDZLLZDV-UHFFFAOYSA-N 0 2 318.381 0.875 20 0 DCADLN Cc1ccc(Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)cc1C ZINC001609424256 1170974991 /nfs/dbraw/zinc/97/49/91/1170974991.db2.gz XWXUDPLMTBXZEI-UHFFFAOYSA-N 0 2 312.333 0.392 20 0 DCADLN O=C(NC1(c2nnc[nH]2)CCC1)c1cccc(-c2nn[nH]n2)n1 ZINC001609539859 1171014053 /nfs/dbraw/zinc/01/40/53/1171014053.db2.gz DARDFJKDLFXOEQ-UHFFFAOYSA-N 0 2 311.309 0.189 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CN1CC[C@H](F)C1)CCC2 ZINC001609604162 1171040726 /nfs/dbraw/zinc/04/07/26/1171040726.db2.gz XPNRCFIFGBWJJT-JTQLQIEISA-N 0 2 304.329 0.518 20 0 DCADLN c1cncc(CN2CCN(c3ccnc(-c4nn[nH]n4)n3)CC2)c1 ZINC001609619671 1171051900 /nfs/dbraw/zinc/05/19/00/1171051900.db2.gz YWOPSOBZSYPKFV-UHFFFAOYSA-N 0 2 323.364 0.374 20 0 DCADLN CC(C)[C@@H]([NH2+]CC(=O)NCCC(=O)[O-])c1nc(C2CC2)no1 ZINC001609686766 1171068165 /nfs/dbraw/zinc/06/81/65/1171068165.db2.gz ZPPRUBWDCFKRCH-GFCCVEGCSA-N 0 2 310.354 0.825 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nn1C ZINC001609718882 1171074425 /nfs/dbraw/zinc/07/44/25/1171074425.db2.gz NSSXFMFOQFKIIY-NSHDSACASA-N 0 2 305.338 0.692 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nn1C ZINC001609718882 1171074431 /nfs/dbraw/zinc/07/44/31/1171074431.db2.gz NSSXFMFOQFKIIY-NSHDSACASA-N 0 2 305.338 0.692 20 0 DCADLN C[C@]1(C(=O)[O-])CCCN(C(=O)C(=O)NCCCn2cc[nH+]c2)C1 ZINC001609813736 1171091995 /nfs/dbraw/zinc/09/19/95/1171091995.db2.gz FMGQOYDPUBQQAB-HNNXBMFYSA-N 0 2 322.365 0.103 20 0 DCADLN CC1(C)CN(C[N@@H+]2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)C(=O)O1 ZINC001609818007 1171093336 /nfs/dbraw/zinc/09/33/36/1171093336.db2.gz GHENVKPYVLXLPU-SNVBAGLBSA-N 0 2 323.353 0.802 20 0 DCADLN CC1(C)CN(C[N@H+]2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)C(=O)O1 ZINC001609818007 1171093345 /nfs/dbraw/zinc/09/33/45/1171093345.db2.gz GHENVKPYVLXLPU-SNVBAGLBSA-N 0 2 323.353 0.802 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cnc(C(=O)[O-])cn1 ZINC001609839277 1171101462 /nfs/dbraw/zinc/10/14/62/1171101462.db2.gz IVEWKXROKYLOHX-MNOVXSKESA-N 0 2 320.349 0.110 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)c1cnc(C2CC2)[nH]1 ZINC001609871475 1171109489 /nfs/dbraw/zinc/10/94/89/1171109489.db2.gz OPFJPSKAUBNSGA-JTQLQIEISA-N 0 2 306.366 0.908 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1cnc(C2CC2)[nH]1 ZINC001609871475 1171109492 /nfs/dbraw/zinc/10/94/92/1171109492.db2.gz OPFJPSKAUBNSGA-JTQLQIEISA-N 0 2 306.366 0.908 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@@H+]1CC[C@H](C)C[C@@H]1C(=O)[O-])C(C)C ZINC001610192455 1171193945 /nfs/dbraw/zinc/19/39/45/1171193945.db2.gz LHQGLMXVANLMHE-LOWVWBTDSA-N 0 2 314.382 0.485 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@H+]1CC[C@H](C)C[C@@H]1C(=O)[O-])C(C)C ZINC001610192455 1171193955 /nfs/dbraw/zinc/19/39/55/1171193955.db2.gz LHQGLMXVANLMHE-LOWVWBTDSA-N 0 2 314.382 0.485 20 0 DCADLN COc1cc2c(cc1OC)[C@H](CC(=O)[O-])[N@H+](CC(N)=O)CC2 ZINC001610310968 1171218305 /nfs/dbraw/zinc/21/83/05/1171218305.db2.gz KCYRIFMKRYDLKR-NSHDSACASA-N 0 2 308.334 0.563 20 0 DCADLN COc1cc2c(cc1OC)[C@H](CC(=O)[O-])[N@@H+](CC(N)=O)CC2 ZINC001610310968 1171218308 /nfs/dbraw/zinc/21/83/08/1171218308.db2.gz KCYRIFMKRYDLKR-NSHDSACASA-N 0 2 308.334 0.563 20 0 DCADLN CS(=O)(=O)C[C@H]1CCC[N@H+](Cc2c[nH]c(C(=O)[O-])c2)C1 ZINC001610372259 1171226621 /nfs/dbraw/zinc/22/66/21/1171226621.db2.gz LRVXYZKIWKQPPL-JTQLQIEISA-N 0 2 300.380 0.970 20 0 DCADLN CS(=O)(=O)C[C@H]1CCC[N@@H+](Cc2c[nH]c(C(=O)[O-])c2)C1 ZINC001610372259 1171226623 /nfs/dbraw/zinc/22/66/23/1171226623.db2.gz LRVXYZKIWKQPPL-JTQLQIEISA-N 0 2 300.380 0.970 20 0 DCADLN Cc1c(Cl)c(C(=O)[O-])nn1C[N@@H+]1CC[C@@]2(CNC(=O)C2)C1 ZINC001610414137 1171233672 /nfs/dbraw/zinc/23/36/72/1171233672.db2.gz XVIOOZZYEALHFK-CYBMUJFWSA-N 0 2 312.757 0.713 20 0 DCADLN Cc1c(Cl)c(C(=O)[O-])nn1C[N@H+]1CC[C@@]2(CNC(=O)C2)C1 ZINC001610414137 1171233675 /nfs/dbraw/zinc/23/36/75/1171233675.db2.gz XVIOOZZYEALHFK-CYBMUJFWSA-N 0 2 312.757 0.713 20 0 DCADLN Cc1cc(C)n(CCC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001610456294 1171238084 /nfs/dbraw/zinc/23/80/84/1171238084.db2.gz WSACLYPSDOPPJC-LBPRGKRZSA-N 0 2 305.338 0.356 20 0 DCADLN O=C([O-])C1(NC(=O)C[N@H+]2CC[C@](O)(C(F)F)C2)CCCCC1 ZINC001610662922 1171284023 /nfs/dbraw/zinc/28/40/23/1171284023.db2.gz UZXXGTNCQOVOHD-CQSZACIVSA-N 0 2 320.336 0.592 20 0 DCADLN O=C([O-])C1(NC(=O)C[N@@H+]2CC[C@](O)(C(F)F)C2)CCCCC1 ZINC001610662922 1171284026 /nfs/dbraw/zinc/28/40/26/1171284026.db2.gz UZXXGTNCQOVOHD-CQSZACIVSA-N 0 2 320.336 0.592 20 0 DCADLN O=C([O-])c1ccsc1NC(=O)C(=O)N1CCn2c[nH+]cc2C1 ZINC001610713674 1171296425 /nfs/dbraw/zinc/29/64/25/1171296425.db2.gz SITOLKXYWDXCRC-UHFFFAOYSA-N 0 2 320.330 0.624 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@@H]2CC(=O)N(c3ccccc3)C2)nn1 ZINC001610800321 1171328959 /nfs/dbraw/zinc/32/89/59/1171328959.db2.gz QTBAYYWSPZEKET-LLVKDONJSA-N 0 2 315.333 0.258 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@H+](CN3CC(F)(F)CC3=O)C2)nn1 ZINC001610859762 1171342810 /nfs/dbraw/zinc/34/28/10/1171342810.db2.gz VKJNRWXUHPITON-QMMMGPOBSA-N 0 2 315.280 0.048 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@@H+](CN3CC(F)(F)CC3=O)C2)nn1 ZINC001610859762 1171342817 /nfs/dbraw/zinc/34/28/17/1171342817.db2.gz VKJNRWXUHPITON-QMMMGPOBSA-N 0 2 315.280 0.048 20 0 DCADLN COc1cccc([C@H](O)C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC001633467824 1171642803 /nfs/dbraw/zinc/64/28/03/1171642803.db2.gz BGOZZUKPVWTERP-NSHDSACASA-N 0 2 309.347 0.759 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccnc(N2CCCC2)n1 ZINC001633468033 1171643427 /nfs/dbraw/zinc/64/34/27/1171643427.db2.gz KWDXPZIKYDJXMC-UHFFFAOYSA-N 0 2 320.378 0.721 20 0 DCADLN CCn1ncn(NC(=O)c2cnn3cccc(OC)c23)c1=O ZINC001636798111 1171750586 /nfs/dbraw/zinc/75/05/86/1171750586.db2.gz JJFVOFJMVASGHI-UHFFFAOYSA-N 0 2 302.294 0.105 20 0 DCADLN Cn1c(-c2n[nH]c(Cl)n2)nnc1N1C[C@H]2CCC[C@@H](C1)C2O ZINC001647664605 1173017798 /nfs/dbraw/zinc/01/77/98/1173017798.db2.gz QEQJPWTVCJIMCP-JVHMLUBASA-N 0 2 323.788 0.851 20 0 DCADLN Cn1cncc1C1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC001649366005 1173131353 /nfs/dbraw/zinc/13/13/53/1173131353.db2.gz YATMFXUABHDGBQ-NSHDSACASA-N 0 2 321.406 0.683 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(Cn3cnnc3)CC2)S1 ZINC001649860511 1173267258 /nfs/dbraw/zinc/26/72/58/1173267258.db2.gz DKOJMWQVYOXGHY-SNVBAGLBSA-N 0 2 322.394 0.073 20 0 DCADLN C[C@H](NC(=O)Cn1nnc2ccccc21)c1nn(C)cc1O ZINC001653247101 1173784847 /nfs/dbraw/zinc/78/48/47/1173784847.db2.gz BMRQUBUAGRPGND-VIFPVBQESA-N 0 2 300.322 0.748 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2c[nH]c3nccc(Cl)c23)n1 ZINC001664005920 1174382963 /nfs/dbraw/zinc/38/29/63/1174382963.db2.gz KXKMROUOSMSBCR-UHFFFAOYSA-N 0 2 313.730 0.541 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2c(Cl)ccc(F)c2F)n1 ZINC001665322737 1174505159 /nfs/dbraw/zinc/50/51/59/1174505159.db2.gz LMILYDFAUIIMQI-UHFFFAOYSA-N 0 2 309.685 0.943 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)c1ccc(F)nc1F ZINC001666544218 1174610033 /nfs/dbraw/zinc/61/00/33/1174610033.db2.gz JZKXHSCVMZEPCC-UHFFFAOYSA-N 0 2 316.232 0.446 20 0 DCADLN CCn1cc(OS(=O)(=O)c2n[nH]c(C)c2C(=O)OC)cn1 ZINC001668563516 1174809316 /nfs/dbraw/zinc/80/93/16/1174809316.db2.gz OBTUXXCXLUNHCK-UHFFFAOYSA-N 0 2 314.323 0.489 20 0 DCADLN CC(C)(C)[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001681068923 1175874068 /nfs/dbraw/zinc/87/40/68/1175874068.db2.gz MNPJKRZLSQTFLV-NTSWFWBYSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)(C)[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001681068923 1175874071 /nfs/dbraw/zinc/87/40/71/1175874071.db2.gz MNPJKRZLSQTFLV-NTSWFWBYSA-N 0 2 315.267 0.019 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cc[n+]([O-])cc1 ZINC001686874858 1176239186 /nfs/dbraw/zinc/23/91/86/1176239186.db2.gz MFLNRYHICVPRHQ-VIFPVBQESA-N 0 2 323.246 0.457 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1cc[n+]([O-])cc1 ZINC001686874858 1176239189 /nfs/dbraw/zinc/23/91/89/1176239189.db2.gz MFLNRYHICVPRHQ-VIFPVBQESA-N 0 2 323.246 0.457 20 0 DCADLN CCOCCCC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001692142926 1177426266 /nfs/dbraw/zinc/42/62/66/1177426266.db2.gz KQBIOEUDOSAJCO-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CCOCCCC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001692142926 1177426270 /nfs/dbraw/zinc/42/62/70/1177426270.db2.gz KQBIOEUDOSAJCO-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN O=C(COCC1CC1)NCCNC(=O)C(F)C(F)(F)F ZINC001703109424 1179299498 /nfs/dbraw/zinc/29/94/98/1179299498.db2.gz ATQPTLZWEGOCKT-VIFPVBQESA-N 0 2 300.252 0.546 20 0 DCADLN O=C(COCC1CC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001703109424 1179299506 /nfs/dbraw/zinc/29/95/06/1179299506.db2.gz ATQPTLZWEGOCKT-VIFPVBQESA-N 0 2 300.252 0.546 20 0 DCADLN COCCCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001705094834 1180257793 /nfs/dbraw/zinc/25/77/93/1180257793.db2.gz NPHKCZNMFAQVMZ-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN COCCCC(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001705094834 1180257797 /nfs/dbraw/zinc/25/77/97/1180257797.db2.gz NPHKCZNMFAQVMZ-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)COCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001713079727 1180671890 /nfs/dbraw/zinc/67/18/90/1180671890.db2.gz YIJOSOPOHXGDBP-LLVKDONJSA-N 0 2 311.386 0.264 20 0 DCADLN CC/C=C/CC[NH+]1CC(NC(=O)CCCn2c(=O)[n-][nH]c2=O)C1 ZINC001713827573 1181101484 /nfs/dbraw/zinc/10/14/84/1181101484.db2.gz ZBCKPXLPDXPOTL-ONEGZZNKSA-N 0 2 323.397 0.626 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(F)nc1)Cc1n[nH]c(=O)[n-]1 ZINC001714580084 1181424787 /nfs/dbraw/zinc/42/47/87/1181424787.db2.gz XNDLIVAOTMUJPO-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(F)nc1)Cc1n[nH]c(=O)[n-]1 ZINC001714580084 1181424790 /nfs/dbraw/zinc/42/47/90/1181424790.db2.gz XNDLIVAOTMUJPO-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721754878 1184021611 /nfs/dbraw/zinc/02/16/11/1184021611.db2.gz YDAYFOFPPIDWTA-VUVOCEGMSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001721754878 1184021619 /nfs/dbraw/zinc/02/16/19/1184021619.db2.gz YDAYFOFPPIDWTA-VUVOCEGMSA-N 0 2 300.252 0.446 20 0 DCADLN O=C(NCCNC(=O)C1CCC(O)CC1)C(F)C(F)(F)F ZINC001736730942 1187071648 /nfs/dbraw/zinc/07/16/48/1187071648.db2.gz MTWKUIYNGCFGJT-AMDVSUOASA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NCCNC(=O)C1CCC(O)CC1)[C@@H](F)C(F)(F)F ZINC001736730942 1187071652 /nfs/dbraw/zinc/07/16/52/1187071652.db2.gz MTWKUIYNGCFGJT-AMDVSUOASA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cnccn1 ZINC001738434316 1187543976 /nfs/dbraw/zinc/54/39/76/1187543976.db2.gz JUXUJWYDPUEUIT-JKERVTFKSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1cnccn1 ZINC001738434316 1187543980 /nfs/dbraw/zinc/54/39/80/1187543980.db2.gz JUXUJWYDPUEUIT-JKERVTFKSA-N 0 2 320.246 0.779 20 0 DCADLN COCCC1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CC1 ZINC001742490299 1187994144 /nfs/dbraw/zinc/99/41/44/1187994144.db2.gz MYZRZETXWYOZHK-QMMMGPOBSA-N 0 2 314.279 0.936 20 0 DCADLN COCCC1(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001742490299 1187994145 /nfs/dbraw/zinc/99/41/45/1187994145.db2.gz MYZRZETXWYOZHK-QMMMGPOBSA-N 0 2 314.279 0.936 20 0 DCADLN CN(CCCNC(=O)Cc1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001743672865 1188154841 /nfs/dbraw/zinc/15/48/41/1188154841.db2.gz SXOXNRGDFWVKKO-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cc[n+]([O-])cc1 ZINC001744681046 1188227339 /nfs/dbraw/zinc/22/73/39/1188227339.db2.gz SJWHVQPTUBTKFP-CBAPKCEASA-N 0 2 323.246 0.455 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cc[n+]([O-])cc1 ZINC001744681046 1188227346 /nfs/dbraw/zinc/22/73/46/1188227346.db2.gz SJWHVQPTUBTKFP-CBAPKCEASA-N 0 2 323.246 0.455 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H]1CCOC1)C(F)C(F)(F)F ZINC001747118560 1188240541 /nfs/dbraw/zinc/24/05/41/1188240541.db2.gz JFSHQTQJLRRUQI-NFXAZMQOSA-N 0 2 312.263 0.712 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H]1CCOC1)[C@H](F)C(F)(F)F ZINC001747118560 1188240545 /nfs/dbraw/zinc/24/05/45/1188240545.db2.gz JFSHQTQJLRRUQI-NFXAZMQOSA-N 0 2 312.263 0.712 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001753096402 1188497127 /nfs/dbraw/zinc/49/71/27/1188497127.db2.gz XJXGDLGTZZNLFN-ISALQUGTSA-N 0 2 317.349 0.897 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001753096402 1188497129 /nfs/dbraw/zinc/49/71/29/1188497129.db2.gz XJXGDLGTZZNLFN-ISALQUGTSA-N 0 2 317.349 0.897 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC=CCC1 ZINC001754485472 1188863509 /nfs/dbraw/zinc/86/35/09/1188863509.db2.gz VZHHVWCSWCIXLT-XHDPSFHLSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCCOC1)C(F)C(F)(F)F ZINC001758140818 1189748896 /nfs/dbraw/zinc/74/88/96/1189748896.db2.gz QVJWESOMFNMJTJ-DTWKUNHWSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCCOC1)[C@@H](F)C(F)(F)F ZINC001758140818 1189748898 /nfs/dbraw/zinc/74/88/98/1189748898.db2.gz QVJWESOMFNMJTJ-DTWKUNHWSA-N 0 2 314.279 0.936 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@@]2(C(=O)[O-])CCSC2)[nH]n1 ZINC001771918567 1190555454 /nfs/dbraw/zinc/55/54/54/1190555454.db2.gz PWXNFZFZJUMWRM-RISCZKNCSA-N 0 2 324.406 0.867 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@@]2(C(=O)[O-])CCSC2)[nH]n1 ZINC001771918567 1190555458 /nfs/dbraw/zinc/55/54/58/1190555458.db2.gz PWXNFZFZJUMWRM-RISCZKNCSA-N 0 2 324.406 0.867 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)c1ccccn1 ZINC001771921592 1190557307 /nfs/dbraw/zinc/55/73/07/1190557307.db2.gz QAZZLCPCMCGGSO-GWCFXTLKSA-N 0 2 300.318 0.783 20 0 DCADLN Cc1oc(C)c(S(=O)(=O)NCC[NH+]2CC=CC2)c1C(=O)[O-] ZINC000392285166 1190701710 /nfs/dbraw/zinc/70/17/10/1190701710.db2.gz XVKIIDFBCXANSB-UHFFFAOYSA-N 0 2 314.363 0.745 20 0 DCADLN CN(C(=O)[C@H]1C[C@@H]1C(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042680300 751833003 /nfs/dbraw/zinc/83/30/03/751833003.db2.gz PWKBIDLIMKVBML-YUMQZZPRSA-N 0 2 301.297 0.054 20 0 DCADLN CCc1cccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001042938857 751995399 /nfs/dbraw/zinc/99/53/99/751995399.db2.gz XDDOVGKWTLTRQQ-UHFFFAOYSA-N 0 2 316.365 0.424 20 0 DCADLN CN(C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043429692 752225260 /nfs/dbraw/zinc/22/52/60/752225260.db2.gz HXGUJWDSHWMEBH-CNDDSTCGSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@@H]1CCC[N@@H+]1CC(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105327297 748138155 /nfs/dbraw/zinc/13/81/55/748138155.db2.gz ZXTZZSFFFREFBB-GFCCVEGCSA-N 0 2 324.404 0.205 20 0 DCADLN CC[C@@H](C)C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107751819 752283819 /nfs/dbraw/zinc/28/38/19/752283819.db2.gz KHHKPSGOLODKSU-YGRLFVJLSA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CC(C)(C)C ZINC001211867472 748894676 /nfs/dbraw/zinc/89/46/76/748894676.db2.gz YKNLOENGCAOIGM-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN CC(=O)N1CCC(Oc2[nH]c(=O)nnc2Br)CC1 ZINC001227063413 749128514 /nfs/dbraw/zinc/12/85/14/749128514.db2.gz DQZIXTYCTPIRAY-UHFFFAOYSA-N 0 2 317.143 0.729 20 0 DCADLN CC1(C)COC(=O)[C@H]1Oc1[nH]c(=O)nnc1Br ZINC001227063778 749129615 /nfs/dbraw/zinc/12/96/15/749129615.db2.gz IXVUVBJTHBPZGW-SCSAIBSYSA-N 0 2 304.100 0.670 20 0 DCADLN Cc1cc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001106141514 750978412 /nfs/dbraw/zinc/97/84/12/750978412.db2.gz CPMWZARTVLLQGB-VXNVDRBHSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1cc(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001106141514 750978419 /nfs/dbraw/zinc/97/84/19/750978419.db2.gz CPMWZARTVLLQGB-VXNVDRBHSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001106142774 750980184 /nfs/dbraw/zinc/98/01/84/750980184.db2.gz YFTLFQJPHXAHBB-APPZFPTMSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccc(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001106142774 750980188 /nfs/dbraw/zinc/98/01/88/750980188.db2.gz YFTLFQJPHXAHBB-APPZFPTMSA-N 0 2 310.251 0.574 20 0 DCADLN O=C(NC[C@H](O)CNc1nccnc1F)C(F)C(F)(F)F ZINC001106144583 750983916 /nfs/dbraw/zinc/98/39/16/750983916.db2.gz WBWBVWHAJZUGQO-PHDIDXHHSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](O)CNc1nccnc1F)[C@@H](F)C(F)(F)F ZINC001106144583 750983923 /nfs/dbraw/zinc/98/39/23/750983923.db2.gz WBWBVWHAJZUGQO-PHDIDXHHSA-N 0 2 314.214 0.405 20 0 DCADLN C[C@H](C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001107849361 752421395 /nfs/dbraw/zinc/42/13/95/752421395.db2.gz XSVRLLBADDNTFN-BONVTDFDSA-N 0 2 323.397 0.264 20 0 DCADLN CN(C(=O)Cc1cccc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044265020 752654149 /nfs/dbraw/zinc/65/41/49/752654149.db2.gz UARYIUVTIIJBJV-UHFFFAOYSA-N 0 2 319.340 0.535 20 0 DCADLN CC1(NC(=O)c2csnn2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045514303 753222882 /nfs/dbraw/zinc/22/28/82/753222882.db2.gz GPDSTSPIFVHIER-UHFFFAOYSA-N 0 2 323.382 0.146 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1CC12CC2 ZINC001096063753 753400554 /nfs/dbraw/zinc/40/05/54/753400554.db2.gz RUZOOJCYOWAALV-RCWTZXSCSA-N 0 2 303.366 0.532 20 0 DCADLN C[C@@]1(NC(=O)c2ccccc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046110067 753485495 /nfs/dbraw/zinc/48/54/95/753485495.db2.gz XTSKDPKJSLBFGO-OAHLLOKOSA-N 0 2 301.350 0.905 20 0 DCADLN C[C@@]1(NC(=O)c2ccccc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046110067 753485497 /nfs/dbraw/zinc/48/54/97/753485497.db2.gz XTSKDPKJSLBFGO-OAHLLOKOSA-N 0 2 301.350 0.905 20 0 DCADLN C[C@@]1(NC(=O)c2cccs2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046116300 753487632 /nfs/dbraw/zinc/48/76/32/753487632.db2.gz UQYHZKQGAGMARZ-CYBMUJFWSA-N 0 2 307.379 0.966 20 0 DCADLN Cc1ccoc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046136167 753502356 /nfs/dbraw/zinc/50/23/56/753502356.db2.gz OUQGPFPMXSUMLC-AWEZNQCLSA-N 0 2 305.338 0.806 20 0 DCADLN C[C@@]1(NC(=O)C2=COCCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046184778 753536600 /nfs/dbraw/zinc/53/66/00/753536600.db2.gz HZBQRFBRIIFRJN-CQSZACIVSA-N 0 2 307.354 0.285 20 0 DCADLN C[C@@]1(NC(=O)C2=COCCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046184778 753536603 /nfs/dbraw/zinc/53/66/03/753536603.db2.gz HZBQRFBRIIFRJN-CQSZACIVSA-N 0 2 307.354 0.285 20 0 DCADLN C[C@@]1(NC(=O)c2ccncc2F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046206734 753557502 /nfs/dbraw/zinc/55/75/02/753557502.db2.gz WOIXSMMFDHSLLW-CQSZACIVSA-N 0 2 320.328 0.439 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)Cc2ccco2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071916867 762226665 /nfs/dbraw/zinc/22/66/65/762226665.db2.gz RRVLIJAPHIPXDA-GHMZBOCLSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)Cc2ccco2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071916867 762226669 /nfs/dbraw/zinc/22/66/69/762226669.db2.gz RRVLIJAPHIPXDA-GHMZBOCLSA-N 0 2 319.365 0.815 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046284467 753613465 /nfs/dbraw/zinc/61/34/65/753613465.db2.gz SBYNHUUXHQBMLN-AWEZNQCLSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046284467 753613468 /nfs/dbraw/zinc/61/34/68/753613468.db2.gz SBYNHUUXHQBMLN-AWEZNQCLSA-N 0 2 304.354 0.541 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ncccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071920063 762228512 /nfs/dbraw/zinc/22/85/12/762228512.db2.gz LYPJKVVNZPWKHX-NXEZZACHSA-N 0 2 317.353 0.083 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccon1 ZINC001096843011 755533290 /nfs/dbraw/zinc/53/32/90/755533290.db2.gz JCGACMNZHPTGRR-QNSHHTMESA-N 0 2 304.310 0.034 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001097870465 755801983 /nfs/dbraw/zinc/80/19/83/755801983.db2.gz HZPNUGJIUMDDNK-YFVNTRNASA-N 0 2 321.381 0.157 20 0 DCADLN O=C(C=C1CCC1)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053216068 755959998 /nfs/dbraw/zinc/95/99/98/755959998.db2.gz RTZNXWKTNZKXLN-UHFFFAOYSA-N 0 2 319.365 0.034 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3nncs3)C2)c1[O-] ZINC001054569773 756545397 /nfs/dbraw/zinc/54/53/97/756545397.db2.gz GUNMSAFDVGNSIP-VXNVDRBHSA-N 0 2 322.394 0.526 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@]1(C)C=CCC1 ZINC001082034915 756911833 /nfs/dbraw/zinc/91/18/33/756911833.db2.gz LHBQLCRHABWCQO-HFAKWTLXSA-N 0 2 321.381 0.182 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1(C)CC=CC1 ZINC001082100850 756922464 /nfs/dbraw/zinc/92/24/64/756922464.db2.gz YDQSSKTYTRBIAF-GHMZBOCLSA-N 0 2 321.381 0.182 20 0 DCADLN CC(C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001057009552 757020543 /nfs/dbraw/zinc/02/05/43/757020543.db2.gz HDWJXBAZPGZVLL-QMMMGPOBSA-N 0 2 302.268 0.480 20 0 DCADLN CC(C)C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001057009552 757020552 /nfs/dbraw/zinc/02/05/52/757020552.db2.gz HDWJXBAZPGZVLL-QMMMGPOBSA-N 0 2 302.268 0.480 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CCCC1 ZINC001050794757 758073073 /nfs/dbraw/zinc/07/30/73/758073073.db2.gz LYJDMJYPBVIDKH-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCO[C@H](C[NH2+]Cc3ccon3)C2)c1[O-] ZINC001051547917 758754028 /nfs/dbraw/zinc/75/40/28/758754028.db2.gz RSLUNEALDKZZAA-LLVKDONJSA-N 0 2 321.337 0.043 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CC1(F)F ZINC001085586923 759095456 /nfs/dbraw/zinc/09/54/56/759095456.db2.gz FGFGXZXCLKORBN-YUMQZZPRSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@]12C[C@H]1CCC2 ZINC001085736068 759249812 /nfs/dbraw/zinc/24/98/12/759249812.db2.gz YKLDWGIEXLJBIY-UEKVPHQBSA-N 0 2 305.382 0.733 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ncccc1F ZINC001085893909 759444301 /nfs/dbraw/zinc/44/43/01/759444301.db2.gz NDAQJACJKQKRQX-VIFPVBQESA-N 0 2 320.328 0.391 20 0 DCADLN CCCC(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829853 759573446 /nfs/dbraw/zinc/57/34/46/759573446.db2.gz ZEWGFUDFRMJADA-VIFPVBQESA-N 0 2 302.268 0.624 20 0 DCADLN CCCC(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057829853 759573448 /nfs/dbraw/zinc/57/34/48/759573448.db2.gz ZEWGFUDFRMJADA-VIFPVBQESA-N 0 2 302.268 0.624 20 0 DCADLN CC[C@@H](F)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058388560 759948836 /nfs/dbraw/zinc/94/88/36/759948836.db2.gz ITNXIQAVLSAMGU-HTQZYQBOSA-N 0 2 320.258 0.572 20 0 DCADLN CC[C@@H](F)C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001058388560 759948837 /nfs/dbraw/zinc/94/88/37/759948837.db2.gz ITNXIQAVLSAMGU-HTQZYQBOSA-N 0 2 320.258 0.572 20 0 DCADLN CC(=O)NCC1(NC(=O)C(F)C(F)(F)F)CCOCC1 ZINC001070139147 761102716 /nfs/dbraw/zinc/10/27/16/761102716.db2.gz LFKXIRIHYZARDH-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN CC(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CCOCC1 ZINC001070139147 761102725 /nfs/dbraw/zinc/10/27/25/761102725.db2.gz LFKXIRIHYZARDH-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109057917 761227867 /nfs/dbraw/zinc/22/78/67/761227867.db2.gz VYHRKXBCYKIVCW-VWYCJHECSA-N 0 2 309.370 0.158 20 0 DCADLN CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109057917 761227871 /nfs/dbraw/zinc/22/78/71/761227871.db2.gz VYHRKXBCYKIVCW-VWYCJHECSA-N 0 2 309.370 0.158 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC(=O)NCC[N@@H+](C(C)C)CC2)c1[O-] ZINC001070954985 761533427 /nfs/dbraw/zinc/53/34/27/761533427.db2.gz FCHYLYQPGQTAAC-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC(=O)NCC[N@H+](C(C)C)CC2)c1[O-] ZINC001070954985 761533436 /nfs/dbraw/zinc/53/34/36/761533436.db2.gz FCHYLYQPGQTAAC-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cscn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071378683 761809178 /nfs/dbraw/zinc/80/91/78/761809178.db2.gz NPPFZCWXNGJURI-BDAKNGLRSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C2=COCCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071386728 761815020 /nfs/dbraw/zinc/81/50/20/761815020.db2.gz VNFDANIGROCGPV-JQWIXIFHSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C2=COCCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071386728 761815029 /nfs/dbraw/zinc/81/50/29/761815029.db2.gz VNFDANIGROCGPV-JQWIXIFHSA-N 0 2 321.381 0.674 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC3(C[C@@H]2C)CC[NH+](CCO)CC3)c1[O-] ZINC001086916560 768090810 /nfs/dbraw/zinc/09/08/10/768090810.db2.gz NAQLKBDSFUUEAK-NSHDSACASA-N 0 2 322.409 0.733 20 0 DCADLN CC(C)(C)/C=C\C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099750324 763005772 /nfs/dbraw/zinc/00/57/72/763005772.db2.gz OHOLINXEOYOMMG-DPIUTZRQSA-N 0 2 323.397 0.164 20 0 DCADLN CC1(CCC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CC1 ZINC001100060210 763267249 /nfs/dbraw/zinc/26/72/49/763267249.db2.gz ONKXNUDMRXGKQT-MNOVXSKESA-N 0 2 323.397 0.142 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)CC(C)(C)O)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132010809 764194703 /nfs/dbraw/zinc/19/47/03/764194703.db2.gz XCLURINLQIVIKC-VHSXEESVSA-N 0 2 311.386 0.140 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)CC(C)(C)O)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132010809 764194705 /nfs/dbraw/zinc/19/47/05/764194705.db2.gz XCLURINLQIVIKC-VHSXEESVSA-N 0 2 311.386 0.140 20 0 DCADLN CCn1ccc(C[NH2+]CCNC(=O)[C@H]2C[N@@H+](C(C)C)CCO2)n1 ZINC001132848803 764691153 /nfs/dbraw/zinc/69/11/53/764691153.db2.gz RUVUWPFLVYVCCG-OAHLLOKOSA-N 0 2 323.441 0.218 20 0 DCADLN CN(CCOCCNC(=O)C(F)C(F)(F)F)c1ncccn1 ZINC001115262575 765668349 /nfs/dbraw/zinc/66/83/49/765668349.db2.gz ABQWWPLHNVIKKY-VIFPVBQESA-N 0 2 324.278 0.946 20 0 DCADLN CN(CCOCCNC(=O)[C@H](F)C(F)(F)F)c1ncccn1 ZINC001115262575 765668354 /nfs/dbraw/zinc/66/83/54/765668354.db2.gz ABQWWPLHNVIKKY-VIFPVBQESA-N 0 2 324.278 0.946 20 0 DCADLN O=C(NCC[NH2+]Cc1csnn1)c1ccc2oc(=O)nc-2[n-]1 ZINC001147705400 767827687 /nfs/dbraw/zinc/82/76/87/767827687.db2.gz JKCSDXJSSJYIPB-UHFFFAOYSA-N 0 2 320.334 0.300 20 0 DCADLN O=C(N[C@@H](c1nn[nH]n1)c1ccccc1)[C@H]1CCCc2nn[nH]c21 ZINC001149501479 768768399 /nfs/dbraw/zinc/76/83/99/768768399.db2.gz UUPOQYMQXLFQJD-CMPLNLGQSA-N 0 2 324.348 0.644 20 0 DCADLN CCC(=O)NC1(CCOC)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001150848211 769207001 /nfs/dbraw/zinc/20/70/01/769207001.db2.gz IEOZHIMCIPDVPJ-UHFFFAOYSA-N 0 2 311.386 0.408 20 0 DCADLN O=C([O-])COc1ccccc1C[NH+]1CC(N2CCOCC2)C1 ZINC001231793351 769284973 /nfs/dbraw/zinc/28/49/73/769284973.db2.gz VLBNJDRSKKBAKP-UHFFFAOYSA-N 0 2 306.362 0.666 20 0 DCADLN Cc1nc(N)ccc1C[N@H+]1CCC[C@@H](NC(=O)C[NH+](C)C)C1 ZINC001232341582 769306320 /nfs/dbraw/zinc/30/63/20/769306320.db2.gz ABAFYAYCHOGKAP-CQSZACIVSA-N 0 2 305.426 0.614 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC[C@@H]1CCOC1 ZINC001233580219 769400401 /nfs/dbraw/zinc/40/04/01/769400401.db2.gz JRMNOKIRHKOQRO-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CCNC(=O)CN1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234423154 769653090 /nfs/dbraw/zinc/65/30/90/769653090.db2.gz DAAMCPJNUGXTLA-PSASIEDQSA-N 0 2 313.295 0.556 20 0 DCADLN CCNC(=O)CN1CC[C@@H]1CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001234423154 769653098 /nfs/dbraw/zinc/65/30/98/769653098.db2.gz DAAMCPJNUGXTLA-PSASIEDQSA-N 0 2 313.295 0.556 20 0 DCADLN O=C(CC1CCC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095269112 769727886 /nfs/dbraw/zinc/72/78/86/769727886.db2.gz HCVLFVJAFSZSRL-WOPDTQHZSA-N 0 2 305.382 0.922 20 0 DCADLN O=C(CC1CCC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095269112 769727900 /nfs/dbraw/zinc/72/79/00/769727900.db2.gz HCVLFVJAFSZSRL-WOPDTQHZSA-N 0 2 305.382 0.922 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCN2C(=O)OC[C@H]2C1 ZINC001153856861 769964703 /nfs/dbraw/zinc/96/47/03/769964703.db2.gz YWQNKBAFIWSAEA-SECBINFHSA-N 0 2 314.301 0.200 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCC[C@H](n2ccnn2)C1 ZINC001153855544 769965883 /nfs/dbraw/zinc/96/58/83/769965883.db2.gz GZSVKBBOHQGLCA-NSHDSACASA-N 0 2 324.344 0.992 20 0 DCADLN O=C(NCCc1nncs1)c1c[nH]c2cccnc2c1=O ZINC001153863024 769971251 /nfs/dbraw/zinc/97/12/51/769971251.db2.gz INBDXHLOCWMYHJ-UHFFFAOYSA-N 0 2 301.331 0.747 20 0 DCADLN CSCC[C@H](NC(=O)c1c[nH]c2cccnc2c1=O)C(N)=O ZINC001153862554 769971806 /nfs/dbraw/zinc/97/18/06/769971806.db2.gz YTVOBLVLVIJEEL-JTQLQIEISA-N 0 2 320.374 0.260 20 0 DCADLN O=C([N-]OCCO)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC001154854893 770316322 /nfs/dbraw/zinc/31/63/22/770316322.db2.gz CDEPPYXIRCJKMH-ZDUSSCGKSA-N 0 2 324.381 0.057 20 0 DCADLN O=C([N-]OCCO)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC001154854893 770316334 /nfs/dbraw/zinc/31/63/34/770316334.db2.gz CDEPPYXIRCJKMH-ZDUSSCGKSA-N 0 2 324.381 0.057 20 0 DCADLN O=C(CCn1cc(Cl)cn1)NCCc1n[nH]c(=S)o1 ZINC001154900620 770332586 /nfs/dbraw/zinc/33/25/86/770332586.db2.gz FXWYJBZYLVHEMC-UHFFFAOYSA-N 0 2 301.759 0.957 20 0 DCADLN C[C@H](NC(=O)CC(C)(C)C)C(=O)NCCc1n[nH]c(=S)o1 ZINC001154901335 770332809 /nfs/dbraw/zinc/33/28/09/770332809.db2.gz YFGZTMUYSKEIHD-QMMMGPOBSA-N 0 2 314.411 0.958 20 0 DCADLN CN1C(=O)CS/C1=C\C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001155754755 770610608 /nfs/dbraw/zinc/61/06/08/770610608.db2.gz LQHJTZHLRHELLX-SDZLHINSSA-N 0 2 323.378 0.263 20 0 DCADLN COC[C@H](C)Oc1cc(NC(=O)C(CO)C(F)(F)F)[nH]n1 ZINC001183278670 771626028 /nfs/dbraw/zinc/62/60/28/771626028.db2.gz HYLHRVIOUNQCAU-BQBZGAKWSA-N 0 2 311.260 0.933 20 0 DCADLN COC[C@H](C)Oc1cc(NC(=O)[C@H](CO)C(F)(F)F)[nH]n1 ZINC001183278670 771626030 /nfs/dbraw/zinc/62/60/30/771626030.db2.gz HYLHRVIOUNQCAU-BQBZGAKWSA-N 0 2 311.260 0.933 20 0 DCADLN COc1nc(NC(=O)C(CO)C(F)(F)F)c(C)c(OC)n1 ZINC001183281917 771626828 /nfs/dbraw/zinc/62/68/28/771626828.db2.gz ZYWZOZQYQMJILC-LURJTMIESA-N 0 2 309.244 0.912 20 0 DCADLN COc1nc(NC(=O)[C@H](CO)C(F)(F)F)c(C)c(OC)n1 ZINC001183281917 771626833 /nfs/dbraw/zinc/62/68/33/771626833.db2.gz ZYWZOZQYQMJILC-LURJTMIESA-N 0 2 309.244 0.912 20 0 DCADLN O=C([O-])COc1ccc(OCC(=O)NCCn2cc[nH+]c2)cc1 ZINC001183904125 771716898 /nfs/dbraw/zinc/71/68/98/771716898.db2.gz RCPGQZBTJFQITI-UHFFFAOYSA-N 0 2 319.317 0.542 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)Cc2cc(F)cc(F)c2)S1 ZINC001185152028 771877404 /nfs/dbraw/zinc/87/74/04/771877404.db2.gz XOUZUPLPQOKWQD-VIFPVBQESA-N 0 2 322.314 0.693 20 0 DCADLN O=C(NCCNS(=O)(=O)c1nccs1)C(F)(F)F ZINC001187909195 772241787 /nfs/dbraw/zinc/24/17/87/772241787.db2.gz WHUBEGXJMDZPCR-UHFFFAOYSA-N 0 2 303.287 0.100 20 0 DCADLN NC(=O)CNc1ccc(S(=O)(=O)Nc2nccs2)cn1 ZINC001161837886 772288253 /nfs/dbraw/zinc/28/82/53/772288253.db2.gz SJPXSVZEIGYCRF-UHFFFAOYSA-N 0 2 313.364 0.236 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccnc(Br)c1 ZINC001188620598 772334584 /nfs/dbraw/zinc/33/45/84/772334584.db2.gz HYFHBEIHNWSKPN-MRVPVSSYSA-N 0 2 316.136 0.883 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1[nH]ncc1-c1ccccn1 ZINC001191492687 772774272 /nfs/dbraw/zinc/77/42/72/772774272.db2.gz ANZICESFRSZAEU-NSHDSACASA-N 0 2 303.303 0.511 20 0 DCADLN C[C@]1(CO)CCN(C(=O)c2cc(F)c(O)cc2F)C[C@@H]1O ZINC001192860325 772971010 /nfs/dbraw/zinc/97/10/10/772971010.db2.gz RROOZADBFDOBMC-GXTWGEPZSA-N 0 2 301.289 0.876 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1cnccc1Cl ZINC001192861062 772971112 /nfs/dbraw/zinc/97/11/12/772971112.db2.gz NYHUGLQHDYPEKC-UHFFFAOYSA-N 0 2 302.699 0.737 20 0 DCADLN CCOC(=O)C(C)(C)S(=O)(=O)Nc1cncc(C(N)=O)c1 ZINC001193016963 772997201 /nfs/dbraw/zinc/99/72/01/772997201.db2.gz LEZRYAZHBLBPQR-UHFFFAOYSA-N 0 2 315.351 0.264 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc(Br)[nH]1 ZINC001193341810 773049292 /nfs/dbraw/zinc/04/92/92/773049292.db2.gz RQNSRXGRRZXGDB-SSDOTTSWSA-N 0 2 304.125 0.816 20 0 DCADLN COCCOC(=O)Nc1cc(S(=O)(=O)C(N)=O)ccc1O ZINC001193418885 773062728 /nfs/dbraw/zinc/06/27/28/773062728.db2.gz GUTFVCOVELUFCI-UHFFFAOYSA-N 0 2 318.307 0.854 20 0 DCADLN C/C(=C\C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001206327347 773066584 /nfs/dbraw/zinc/06/65/84/773066584.db2.gz LDDMESIMBXIMBD-CLPHVZMJSA-N 0 2 305.382 0.803 20 0 DCADLN C/C(=C\C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001206327347 773066587 /nfs/dbraw/zinc/06/65/87/773066587.db2.gz LDDMESIMBXIMBD-CLPHVZMJSA-N 0 2 305.382 0.803 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(N)ccc2OCCO)[nH]n1 ZINC001194288957 773177773 /nfs/dbraw/zinc/17/77/73/773177773.db2.gz SFGGBWAXZUNWJA-UHFFFAOYSA-N 0 2 320.305 0.402 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(N)ccc2OCCO)n[nH]1 ZINC001194288957 773177775 /nfs/dbraw/zinc/17/77/75/773177775.db2.gz SFGGBWAXZUNWJA-UHFFFAOYSA-N 0 2 320.305 0.402 20 0 DCADLN COc1ncnc(NS(=O)(=O)C2CCC(=O)CC2)c1OC ZINC001194758913 773258101 /nfs/dbraw/zinc/25/81/01/773258101.db2.gz CMSUEUPWPHOYJX-UHFFFAOYSA-N 0 2 315.351 0.747 20 0 DCADLN COC(=O)C(NC(=S)Nc1ccc(OC)cc1)C(=O)OC ZINC001195717201 773468076 /nfs/dbraw/zinc/46/80/76/773468076.db2.gz FJBSZIHWRXLGDV-UHFFFAOYSA-N 0 2 312.347 0.696 20 0 DCADLN O=c1[nH]c2nc(=O)[nH]c(NS(=O)(=O)c3ccc(O)cc3)c2[nH]1 ZINC001195825619 773487982 /nfs/dbraw/zinc/48/79/82/773487982.db2.gz VMHHMIBMJLANID-UHFFFAOYSA-N 0 2 323.290 0.271 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cc(=O)[nH]c(SC)n1 ZINC001195939472 773498250 /nfs/dbraw/zinc/49/82/50/773498250.db2.gz ZZQOTZIPAIJMSA-UHFFFAOYSA-N 0 2 307.353 0.209 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cc(Cl)nc2ccnn21 ZINC001195941773 773498982 /nfs/dbraw/zinc/49/89/82/773498982.db2.gz NCOOFQCEEQFNHT-UHFFFAOYSA-N 0 2 318.742 0.688 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2[nH]c(=O)oc2c1 ZINC001195968170 773508087 /nfs/dbraw/zinc/50/80/87/773508087.db2.gz WNLXIZSCANKZEC-UHFFFAOYSA-N 0 2 300.292 0.838 20 0 DCADLN O=C(CCC(F)F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001196548373 773615962 /nfs/dbraw/zinc/61/59/62/773615962.db2.gz RSUOSEMYZWYRLL-UHFFFAOYSA-N 0 2 303.313 0.590 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001209895943 773657398 /nfs/dbraw/zinc/65/73/98/773657398.db2.gz GZYKMTIDLJTCMZ-HBNTYKKESA-N 0 2 311.386 0.119 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001209895943 773657400 /nfs/dbraw/zinc/65/74/00/773657400.db2.gz GZYKMTIDLJTCMZ-HBNTYKKESA-N 0 2 311.386 0.119 20 0 DCADLN COC(=O)c1cccc(C)c1S(=O)(=O)Nc1ncn(C)n1 ZINC001196986639 773677347 /nfs/dbraw/zinc/67/73/47/773677347.db2.gz HZGPSFSCOQTENF-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnn(-c2cccc(F)c2)c1 ZINC001197072553 773690964 /nfs/dbraw/zinc/69/09/64/773690964.db2.gz PLTQEGWCUHAFJD-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1nccn1Cc1ccccn1 ZINC001198285099 773892533 /nfs/dbraw/zinc/89/25/33/773892533.db2.gz ABBWVPACUHXHBJ-GFCCVEGCSA-N 0 2 317.330 0.365 20 0 DCADLN COc1ccc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c(F)c1 ZINC001213927826 773902144 /nfs/dbraw/zinc/90/21/44/773902144.db2.gz DFYHETDKALPMIN-UHFFFAOYSA-N 0 2 304.237 0.928 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C[C@H](C)C1CC1 ZINC001213938336 773905256 /nfs/dbraw/zinc/90/52/56/773905256.db2.gz PJBMYOONTDEKEC-MVWJERBFSA-N 0 2 323.397 0.262 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccc(OC3COC3)nc2)n1C ZINC001198356703 773915185 /nfs/dbraw/zinc/91/51/85/773915185.db2.gz YMYJGEWQLGZEPN-UHFFFAOYSA-N 0 2 324.362 0.702 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cccc3c2CNC(=O)C3)n1C ZINC001198406916 773918272 /nfs/dbraw/zinc/91/82/72/773918272.db2.gz QXUWFBYDJRNEBS-UHFFFAOYSA-N 0 2 320.374 0.702 20 0 DCADLN CC[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198771478 773978274 /nfs/dbraw/zinc/97/82/74/773978274.db2.gz GZASSWHHFXZGEZ-QWRGUYRKSA-N 0 2 310.375 0.852 20 0 DCADLN CC[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198771478 773978278 /nfs/dbraw/zinc/97/82/78/773978278.db2.gz GZASSWHHFXZGEZ-QWRGUYRKSA-N 0 2 310.375 0.852 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccncc1OCC(F)(F)F ZINC001199134910 774035821 /nfs/dbraw/zinc/03/58/21/774035821.db2.gz HBWAEAGIIRZIEY-UHFFFAOYSA-N 0 2 317.227 0.776 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC001218851264 774315652 /nfs/dbraw/zinc/31/56/52/774315652.db2.gz KBLQSRBOUUPHEV-SNVBAGLBSA-N 0 2 301.306 0.249 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccon2)C[C@@H]1O)C(F)C(F)(F)F ZINC001219668408 774576193 /nfs/dbraw/zinc/57/61/93/774576193.db2.gz YBMLVEPUYPGMPQ-VGMNWLOBSA-N 0 2 311.235 0.236 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccon2)C[C@@H]1O)[C@H](F)C(F)(F)F ZINC001219668408 774576195 /nfs/dbraw/zinc/57/61/95/774576195.db2.gz YBMLVEPUYPGMPQ-VGMNWLOBSA-N 0 2 311.235 0.236 20 0 DCADLN COC(=O)CCCS(=O)(=O)Nc1ccc(C(=O)OC)o1 ZINC001201905597 774576276 /nfs/dbraw/zinc/57/62/76/774576276.db2.gz YPIXOIWSGDKFGP-UHFFFAOYSA-N 0 2 305.308 0.761 20 0 DCADLN CCNC(=O)C[NH+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[N@H+](C)C1CCC1)C2 ZINC001110673859 774705477 /nfs/dbraw/zinc/70/54/77/774705477.db2.gz WMKQZNDVNYHNEY-KFWWJZLASA-N 0 2 322.453 0.328 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)Oc1ccccc1 ZINC001225197346 775653789 /nfs/dbraw/zinc/65/37/89/775653789.db2.gz KZWGXEXODQBGHE-ZDUSSCGKSA-N 0 2 301.364 0.654 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[NH+]2CC(=O)NC1CC1 ZINC001111309174 775726823 /nfs/dbraw/zinc/72/68/23/775726823.db2.gz KKLVRABRJNOHJQ-KFWWJZLASA-N 0 2 322.453 0.328 20 0 DCADLN CC1(C)C[C@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c32)CCO1 ZINC001226886154 775890391 /nfs/dbraw/zinc/89/03/91/775890391.db2.gz LEWCEDZQKBHRNC-ZCFIWIBFSA-N 0 2 308.294 0.873 20 0 DCADLN C[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C(F)(F)F ZINC001228678920 776112766 /nfs/dbraw/zinc/11/27/66/776112766.db2.gz KXUQZQKIFFLJGZ-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001095895896 776218382 /nfs/dbraw/zinc/21/83/82/776218382.db2.gz BDTHVCFWMQEWPW-USTYEKHQSA-N 0 2 317.393 0.778 20 0 DCADLN COCC[C@@H](C)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001230306829 776279809 /nfs/dbraw/zinc/27/98/09/776279809.db2.gz FQKYPLLXDOJHJH-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCC[NH+]1CCN(C/C=C\Cl)CC1 ZINC001601784918 1168895571 /nfs/dbraw/zinc/89/55/71/1168895571.db2.gz WGZFJPYOFDAEFU-YSPFUZRBSA-N 0 2 315.801 0.193 20 0 DCADLN O=C([O-])c1ncsc1S(=O)(=O)N1CCc2c[nH+]ccc21 ZINC001602583803 1169126483 /nfs/dbraw/zinc/12/64/83/1169126483.db2.gz RLKNZZGQEXWUPC-UHFFFAOYSA-N 0 2 311.344 0.988 20 0 DCADLN CCc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001480888206 939214331 /nfs/dbraw/zinc/21/43/31/939214331.db2.gz WUAFZVAUKOLLTF-UHFFFAOYSA-N 0 2 309.395 0.996 20 0 DCADLN CCc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001480888206 939214332 /nfs/dbraw/zinc/21/43/32/939214332.db2.gz WUAFZVAUKOLLTF-UHFFFAOYSA-N 0 2 309.395 0.996 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481172297 939594653 /nfs/dbraw/zinc/59/46/53/939594653.db2.gz HFGRAGNNFHYBPO-MFKMUULPSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481172297 939594655 /nfs/dbraw/zinc/59/46/55/939594655.db2.gz HFGRAGNNFHYBPO-MFKMUULPSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1n[nH]cc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001496365440 939696788 /nfs/dbraw/zinc/69/67/88/939696788.db2.gz KGGSAZYYJSSNMK-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1n[nH]cc1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001496365440 939696790 /nfs/dbraw/zinc/69/67/90/939696790.db2.gz KGGSAZYYJSSNMK-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN O=C(COC1CCCC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481617108 939973383 /nfs/dbraw/zinc/97/33/83/939973383.db2.gz XTBIXXHWXPAIGU-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)[C@@H]1CCC1(F)F ZINC001493382408 939984230 /nfs/dbraw/zinc/98/42/30/939984230.db2.gz ZVMZEWHFTHQIOV-VIFPVBQESA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCC1(F)F ZINC001493382408 939984233 /nfs/dbraw/zinc/98/42/33/939984233.db2.gz ZVMZEWHFTHQIOV-VIFPVBQESA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCC1(F)F ZINC001493382408 939984235 /nfs/dbraw/zinc/98/42/35/939984235.db2.gz ZVMZEWHFTHQIOV-VIFPVBQESA-N 0 2 315.324 0.636 20 0 DCADLN Cc1ccc([C@H](C)C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001481648117 940006989 /nfs/dbraw/zinc/00/69/89/940006989.db2.gz NETWQUOXEQOAOS-JTQLQIEISA-N 0 2 319.365 0.763 20 0 DCADLN CCC[N@H+](C)CC(=O)NCC1C[NH+](Cc2ccc(OC)nc2)C1 ZINC001481655803 940017008 /nfs/dbraw/zinc/01/70/08/940017008.db2.gz FLQIHRXVPPCQKF-UHFFFAOYSA-N 0 2 320.437 0.980 20 0 DCADLN CCN(C(=O)C[C@@H]1SC(=N)NC1=O)C(C)(C)C(=O)OC ZINC001363595002 940893237 /nfs/dbraw/zinc/89/32/37/940893237.db2.gz LKKBHDOQXAGAQE-ZETCQYMHSA-N 0 2 301.368 0.343 20 0 DCADLN CO[C@@H](C)C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001271747753 941442490 /nfs/dbraw/zinc/44/24/90/941442490.db2.gz LGDHPYBLZCVQLC-ZETCQYMHSA-N 0 2 321.293 0.230 20 0 DCADLN CCOC(=O)c1ccc(CNS(=O)(=O)N=S(C)(C)=O)o1 ZINC001414225374 941533980 /nfs/dbraw/zinc/53/39/80/941533980.db2.gz DAWYCDBLZNYQTB-UHFFFAOYSA-N 0 2 324.380 0.518 20 0 DCADLN COc1ccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001482612351 941592336 /nfs/dbraw/zinc/59/23/36/941592336.db2.gz CICBHWAQJLGQBH-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN COc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001482612351 941592341 /nfs/dbraw/zinc/59/23/41/941592341.db2.gz CICBHWAQJLGQBH-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN C[C@H]1C[C@H](C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001482638281 941606440 /nfs/dbraw/zinc/60/64/40/941606440.db2.gz MARFNCUGMMUQBT-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H]1C[C@H](C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001482638281 941606441 /nfs/dbraw/zinc/60/64/41/941606441.db2.gz MARFNCUGMMUQBT-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN Cc1noc(C[NH2+]C[C@@H](C)NC(=O)[C@H]2CCC[N@@H+]2C(C)C)n1 ZINC001483127352 941868681 /nfs/dbraw/zinc/86/86/81/941868681.db2.gz PAOGHJXJQZAJHF-DGCLKSJQSA-N 0 2 309.414 0.845 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001412856135 942111498 /nfs/dbraw/zinc/11/14/98/942111498.db2.gz KMDCEEGLHBOTSA-YUMQZZPRSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001412856135 942111500 /nfs/dbraw/zinc/11/15/00/942111500.db2.gz KMDCEEGLHBOTSA-YUMQZZPRSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC1(CCO)CN(CCCF)C1)C(F)C(F)(F)F ZINC001409700684 942151870 /nfs/dbraw/zinc/15/18/70/942151870.db2.gz PFKCKCYZHHKZMU-MRVPVSSYSA-N 0 2 304.259 0.799 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NC(=O)NCc2ccco2)[C@H](C(=O)[O-])C1 ZINC000322461533 970889489 /nfs/dbraw/zinc/88/94/89/970889489.db2.gz JDRJKZCHIQKOFG-PWSUYJOCSA-N 0 2 323.349 0.791 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NC(=O)NCc2ccco2)[C@H](C(=O)[O-])C1 ZINC000322461533 970889495 /nfs/dbraw/zinc/88/94/95/970889495.db2.gz JDRJKZCHIQKOFG-PWSUYJOCSA-N 0 2 323.349 0.791 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001483360286 942296974 /nfs/dbraw/zinc/29/69/74/942296974.db2.gz ZLZDAYCKQIIEKR-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001483360286 942296975 /nfs/dbraw/zinc/29/69/75/942296975.db2.gz ZLZDAYCKQIIEKR-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)NCCCNc1cccc[nH+]1 ZINC001601001642 970903420 /nfs/dbraw/zinc/90/34/20/970903420.db2.gz QBCNEZYTHSCPIR-UHFFFAOYSA-N 0 2 303.322 0.745 20 0 DCADLN O=C(C[C@@H]1C[C@H]1C1CC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001483667547 942648159 /nfs/dbraw/zinc/64/81/59/942648159.db2.gz LSIXDTQTMHXQCJ-SRVKXCTJSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1n[nH]cc1C1CC[NH+](CCS(=O)(=O)CC(=O)[O-])CC1 ZINC001611227402 970943998 /nfs/dbraw/zinc/94/39/98/970943998.db2.gz DPAJUPJENRZTOB-UHFFFAOYSA-N 0 2 315.395 0.397 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)[C@H]1COc2ccc(O)cc2O1 ZINC001413337222 942902932 /nfs/dbraw/zinc/90/29/32/942902932.db2.gz CKZCQFQKMIUPSV-SNVBAGLBSA-N 0 2 319.273 0.050 20 0 DCADLN Cn1ncc(C(F)(F)F)c1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001413337086 942903371 /nfs/dbraw/zinc/90/33/71/942903371.db2.gz BFMFAPVIOAKPER-UHFFFAOYSA-N 0 2 317.227 0.570 20 0 DCADLN NC(=O)C1CN(C(=O)C2=NN(c3ccc(Cl)cc3)CC2=O)C1 ZINC001413435124 942977719 /nfs/dbraw/zinc/97/77/19/942977719.db2.gz JSUIQWODLHBZSV-UHFFFAOYSA-N 0 2 320.736 0.789 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CCC1CCOCC1 ZINC001413482152 943011458 /nfs/dbraw/zinc/01/14/58/943011458.db2.gz SJYCVXPCEAUVPK-UHFFFAOYSA-N 0 2 315.338 0.531 20 0 DCADLN COC[C@@H](NC(=O)[C@H](CC(C)C)n1ccnc1)c1nn[nH]n1 ZINC001413548808 943065621 /nfs/dbraw/zinc/06/56/21/943065621.db2.gz AFVHMEZDHDHPAA-MNOVXSKESA-N 0 2 307.358 0.487 20 0 DCADLN Cc1ncc(C[N@@H+]2CC[C@](C)(NC(=O)CCc3nc[nH]n3)C2)o1 ZINC001484039594 943107824 /nfs/dbraw/zinc/10/78/24/943107824.db2.gz VMYJNPSTRIKRJO-HNNXBMFYSA-N 0 2 318.381 0.815 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1nnc([C@H]2CCCO2)s1 ZINC001413626025 943534548 /nfs/dbraw/zinc/53/45/48/943534548.db2.gz KTXKKPLRWXYHND-RXMQYKEDSA-N 0 2 311.327 0.535 20 0 DCADLN COC(=O)[C@]12C[C@H]1CCCN2C(=O)C[C@@H]1SC(=N)NC1=O ZINC001413629607 943536399 /nfs/dbraw/zinc/53/63/99/943536399.db2.gz DTLBQVABBLVDEB-UJVNDKKSSA-N 0 2 311.363 0.097 20 0 DCADLN CCC(=O)Nc1cccc(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001413690836 943587656 /nfs/dbraw/zinc/58/76/56/943587656.db2.gz LFTAZAIINVWRBS-UHFFFAOYSA-N 0 2 310.339 0.359 20 0 DCADLN CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)Cn2cc[nH+]c2C)C[C@H]1C ZINC001484986911 943645395 /nfs/dbraw/zinc/64/53/95/943645395.db2.gz VUDCMSXQEOJBOG-OCCSQVGLSA-N 0 2 321.425 0.297 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001491110593 943757681 /nfs/dbraw/zinc/75/76/81/943757681.db2.gz IHRJDPSVMHWROE-VXGBXAGGSA-N 0 2 322.413 0.161 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC001611369733 971020808 /nfs/dbraw/zinc/02/08/08/971020808.db2.gz XZHOSHBZKHMZQZ-QWRGUYRKSA-N 0 2 320.349 0.049 20 0 DCADLN C[C@H](CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001485371992 943904209 /nfs/dbraw/zinc/90/42/09/943904209.db2.gz XIDPXPYPYBJOMS-MNOVXSKESA-N 0 2 321.385 0.295 20 0 DCADLN C[C@H](CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001485371992 943904215 /nfs/dbraw/zinc/90/42/15/943904215.db2.gz XIDPXPYPYBJOMS-MNOVXSKESA-N 0 2 321.385 0.295 20 0 DCADLN O=C(N[C@@H]1CCCOCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001446879583 1013055135 /nfs/dbraw/zinc/05/51/35/1013055135.db2.gz PBNJLAPYROUXOX-LLVKDONJSA-N 0 2 318.333 0.565 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C(C)C ZINC001491353259 943935668 /nfs/dbraw/zinc/93/56/68/943935668.db2.gz NVDUJAHMBXNJKT-JGVFFNPUSA-N 0 2 302.268 0.790 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C(C)C ZINC001491353259 943935673 /nfs/dbraw/zinc/93/56/73/943935673.db2.gz NVDUJAHMBXNJKT-JGVFFNPUSA-N 0 2 302.268 0.790 20 0 DCADLN CC(C)COCC[NH+]1CC([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001485537901 943985259 /nfs/dbraw/zinc/98/52/59/943985259.db2.gz BDTIENZIDVDJGC-CQSZACIVSA-N 0 2 308.426 0.992 20 0 DCADLN CCN(C(=O)CCCOC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001318461070 945373924 /nfs/dbraw/zinc/37/39/24/945373924.db2.gz WONADZCWXPGGLH-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCN(C(=O)CCCOC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001318461070 945373935 /nfs/dbraw/zinc/37/39/35/945373935.db2.gz WONADZCWXPGGLH-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCCC(=O)N1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001487035289 945498750 /nfs/dbraw/zinc/49/87/50/945498750.db2.gz DQJLHBQEOOYIOE-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)N1CC(CCO)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001487035289 945498755 /nfs/dbraw/zinc/49/87/55/945498755.db2.gz DQJLHBQEOOYIOE-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN O=C([O-])c1cccc(N2CC[NH+](CC(=O)N3CCCC3)CC2)n1 ZINC001602442277 971200378 /nfs/dbraw/zinc/20/03/78/971200378.db2.gz FGTXRYHHSZHCBJ-UHFFFAOYSA-N 0 2 318.377 0.524 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1nc(C2CCC2)cs1 ZINC001321006086 946113857 /nfs/dbraw/zinc/11/38/57/946113857.db2.gz UWOSKJCDEJHTFJ-UHFFFAOYSA-N 0 2 322.350 0.489 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC001364841523 946142741 /nfs/dbraw/zinc/14/27/41/946142741.db2.gz VDSXNCSBMGKCBZ-ZETCQYMHSA-N 0 2 309.417 0.516 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@@H](C)c1nnc(C)[nH]1 ZINC001364841523 946142735 /nfs/dbraw/zinc/14/27/35/946142735.db2.gz VDSXNCSBMGKCBZ-ZETCQYMHSA-N 0 2 309.417 0.516 20 0 DCADLN Cn1cc(Cl)c(CNCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001364912577 946452960 /nfs/dbraw/zinc/45/29/60/946452960.db2.gz GSFVHSBRCNOMNF-UHFFFAOYSA-N 0 2 318.790 0.026 20 0 DCADLN Cn1cncc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001410752598 946891448 /nfs/dbraw/zinc/89/14/48/946891448.db2.gz UPJVFFOUFWTDLX-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cncc1C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001410752598 946891459 /nfs/dbraw/zinc/89/14/59/946891459.db2.gz UPJVFFOUFWTDLX-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN NC(=O)c1cnc(NS(=O)(=O)CCc2ccccc2)cn1 ZINC001259732943 946978994 /nfs/dbraw/zinc/97/89/94/946978994.db2.gz VKFSUJREVITHFN-UHFFFAOYSA-N 0 2 306.347 0.560 20 0 DCADLN CC[C@H](F)C(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC001410916077 947117413 /nfs/dbraw/zinc/11/74/13/947117413.db2.gz VYVUVMUBTZUXHT-BHDSKKPTSA-N 0 2 311.361 0.872 20 0 DCADLN CC[C@H](F)C(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC001410916077 947117416 /nfs/dbraw/zinc/11/74/16/947117416.db2.gz VYVUVMUBTZUXHT-BHDSKKPTSA-N 0 2 311.361 0.872 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)o1 ZINC001260610050 947127711 /nfs/dbraw/zinc/12/77/11/947127711.db2.gz ITZAXDHLCJIQRX-UHFFFAOYSA-N 0 2 323.290 0.533 20 0 DCADLN COc1ccc(F)cc1S(=O)(=O)Nc1c(O)nc[nH]c1=O ZINC001260644466 947131959 /nfs/dbraw/zinc/13/19/59/947131959.db2.gz FVSLONDSWKOSHJ-UHFFFAOYSA-N 0 2 315.282 0.836 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)n1C ZINC001260668093 947136077 /nfs/dbraw/zinc/13/60/77/947136077.db2.gz BEWSSEAYJDGKKK-UHFFFAOYSA-N 0 2 322.346 0.860 20 0 DCADLN O=C1NCCOc2ccc(NS(=O)(=O)c3cn[nH]c3)cc21 ZINC001260953592 947155790 /nfs/dbraw/zinc/15/57/90/947155790.db2.gz XFSITFXZGIXQSP-UHFFFAOYSA-N 0 2 308.319 0.333 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc(C2CC2)n1 ZINC001261239251 947225592 /nfs/dbraw/zinc/22/55/92/947225592.db2.gz ITBLOPLWGNNSRP-UHFFFAOYSA-N 0 2 302.294 0.038 20 0 DCADLN CCCC(=O)NC[C@@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001411140830 947384093 /nfs/dbraw/zinc/38/40/93/947384093.db2.gz JARLODWZCCDAJY-ONGXEEELSA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)NC[C@@]1(O)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001411140830 947384098 /nfs/dbraw/zinc/38/40/98/947384098.db2.gz JARLODWZCCDAJY-ONGXEEELSA-N 0 2 314.279 0.767 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cccc2c1COCC2 ZINC001261772398 947434450 /nfs/dbraw/zinc/43/44/50/947434450.db2.gz XFWBFCKNPISMFF-UHFFFAOYSA-N 0 2 302.334 0.946 20 0 DCADLN Cc1cc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cnc1Cl ZINC001325067126 947632367 /nfs/dbraw/zinc/63/23/67/947632367.db2.gz XLBTXBHLGNMYGG-UHFFFAOYSA-N 0 2 310.701 0.122 20 0 DCADLN CN(Cc1nc(=O)n(C)[nH]1)Cc1nc(=O)c2sccc2[nH]1 ZINC001325601732 947853004 /nfs/dbraw/zinc/85/30/04/947853004.db2.gz UWHUEBFSKKQJBM-UHFFFAOYSA-N 0 2 306.351 0.451 20 0 DCADLN CCC(CC)(NC(=O)c1coc(-c2nn[nH]n2)c1)c1nn[nH]n1 ZINC001570920986 948611892 /nfs/dbraw/zinc/61/18/92/948611892.db2.gz JFEVBZJQMKCMRS-UHFFFAOYSA-N 0 2 317.313 0.418 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@H]1CCO ZINC001570921561 948623310 /nfs/dbraw/zinc/62/33/10/948623310.db2.gz FHSGUOMBJBYHSY-VIFPVBQESA-N 0 2 318.337 0.263 20 0 DCADLN Nc1cnnc(NNS(=O)(=O)C[C@H]2CCCOC2)c1Cl ZINC001327853824 948646026 /nfs/dbraw/zinc/64/60/26/948646026.db2.gz KBVYWIJLNHBIJI-ZETCQYMHSA-N 0 2 321.790 0.385 20 0 DCADLN CC[C@H](C[C@H](C)O)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001570922993 948652563 /nfs/dbraw/zinc/65/25/63/948652563.db2.gz RLMUKTUUXAGIIL-DTWKUNHWSA-N 0 2 320.353 0.555 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CC(c2cnn(C)c2)=NO1)c1nn(C)cc1O ZINC001364397326 949186423 /nfs/dbraw/zinc/18/64/23/949186423.db2.gz JYOCNLMWCJRZBX-PRHODGIISA-N 0 2 318.337 0.230 20 0 DCADLN Cc1conc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364431116 949271537 /nfs/dbraw/zinc/27/15/37/949271537.db2.gz DWFXOINQMWGJMD-VIFPVBQESA-N 0 2 306.326 0.896 20 0 DCADLN Cc1cc([C@](C)(O)CNS(=O)(=O)N=S(C)(C)=O)c(C)o1 ZINC001364787600 949941077 /nfs/dbraw/zinc/94/10/77/949941077.db2.gz SDCXCHKVFHOHBQ-LLVKDONJSA-N 0 2 324.424 0.666 20 0 DCADLN O=S(=O)(NCCc1nc[nH]n1)c1occc1Br ZINC001364793886 949952098 /nfs/dbraw/zinc/95/20/98/949952098.db2.gz VAXOMAWMDUWNHG-UHFFFAOYSA-N 0 2 321.156 0.681 20 0 DCADLN COC[C@@](C)(O)CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC001364805756 949973458 /nfs/dbraw/zinc/97/34/58/949973458.db2.gz LMIHRFOSDZHKFK-XTZNXHDOSA-N 0 2 316.445 0.115 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NCc1cccnn1 ZINC001364826857 950022777 /nfs/dbraw/zinc/02/27/77/950022777.db2.gz KSMCPRZEZRWLTG-SFHVURJKSA-N 0 2 306.413 0.707 20 0 DCADLN CC[C@](COC)(NS(=O)(=O)Cc1nocc1C)C(=O)OC ZINC001364858792 950090971 /nfs/dbraw/zinc/09/09/71/950090971.db2.gz WJBXWUKBOPSGIE-GFCCVEGCSA-N 0 2 320.367 0.371 20 0 DCADLN COCC(=O)NC[C@H]1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001489856756 950180762 /nfs/dbraw/zinc/18/07/62/950180762.db2.gz GOHYKOSDEHZELJ-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)NC[C@H]1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001489856756 950180768 /nfs/dbraw/zinc/18/07/68/950180768.db2.gz GOHYKOSDEHZELJ-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN COc1c(F)cc(S(=O)(=O)Nc2nnn(C)n2)cc1F ZINC001364950992 950247982 /nfs/dbraw/zinc/24/79/82/950247982.db2.gz LXCJKFSNQMABCI-UHFFFAOYSA-N 0 2 305.266 0.298 20 0 DCADLN COCC(=O)N1C[C@@H](CNC(=O)C(F)C(F)(F)F)[C@H](C)C1 ZINC001490314301 950553148 /nfs/dbraw/zinc/55/31/48/950553148.db2.gz DILZLYKWRPSDHT-MRTMQBJTSA-N 0 2 314.279 0.744 20 0 DCADLN COCC(=O)N1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)[C@H](C)C1 ZINC001490314301 950553163 /nfs/dbraw/zinc/55/31/63/950553163.db2.gz DILZLYKWRPSDHT-MRTMQBJTSA-N 0 2 314.279 0.744 20 0 DCADLN O=C(CCCc1nc(C2CC2)no1)NCCCc1n[nH]c(=O)[nH]1 ZINC001330807527 950676144 /nfs/dbraw/zinc/67/61/44/950676144.db2.gz MCZPGUUGUHUPES-UHFFFAOYSA-N 0 2 320.353 0.842 20 0 DCADLN CN1C(=O)N=NC1CNC(=O)c1cc(F)cc(Cl)c1O ZINC001365213404 950749186 /nfs/dbraw/zinc/74/91/86/950749186.db2.gz XMBKURKAALEPQI-UHFFFAOYSA-N 0 2 300.677 0.949 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](CC(=O)NC(=O)NCc1ccco1)C1CC1 ZINC000383154086 951239516 /nfs/dbraw/zinc/23/95/16/951239516.db2.gz MMYHBJMNJXKJGH-SECBINFHSA-N 0 2 309.322 0.543 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](CC(=O)NC(=O)NCc1ccco1)C1CC1 ZINC000383154086 951239519 /nfs/dbraw/zinc/23/95/19/951239519.db2.gz MMYHBJMNJXKJGH-SECBINFHSA-N 0 2 309.322 0.543 20 0 DCADLN CC1(C)CCCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365670209 951413777 /nfs/dbraw/zinc/41/37/77/951413777.db2.gz GEJCGUCZWUDCII-UHFFFAOYSA-N 0 2 315.399 0.433 20 0 DCADLN CC(C)[C@H](C(=O)NC/C=C\C[NH2+][C@H](C)c1nnnn1C)[NH+](C)C ZINC001274338731 951572088 /nfs/dbraw/zinc/57/20/88/951572088.db2.gz IRFNIBQDJCBFLV-OTHLQRGRSA-N 0 2 323.445 0.119 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC001333208245 951958124 /nfs/dbraw/zinc/95/81/24/951958124.db2.gz ZSLJVQGIEATYAB-UHFFFAOYSA-N 0 2 314.411 0.525 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)no1 ZINC000382623139 971827377 /nfs/dbraw/zinc/82/73/77/971827377.db2.gz GKWMZUDYNZDRSO-SNVBAGLBSA-N 0 2 309.322 0.310 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccn(C2CCOCC2)n1 ZINC001335169595 952476124 /nfs/dbraw/zinc/47/61/24/952476124.db2.gz JPYQBKUJCWTWAJ-UHFFFAOYSA-N 0 2 323.378 0.879 20 0 DCADLN COC[C@H](OC)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455283473 952869964 /nfs/dbraw/zinc/86/99/64/952869964.db2.gz VNVCHCTWCXCDBK-YUMQZZPRSA-N 0 2 318.267 0.123 20 0 DCADLN COC[C@H](OC)C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001455283473 952869966 /nfs/dbraw/zinc/86/99/66/952869966.db2.gz VNVCHCTWCXCDBK-YUMQZZPRSA-N 0 2 318.267 0.123 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCCc1cccnc1 ZINC001338809046 953053676 /nfs/dbraw/zinc/05/36/76/953053676.db2.gz IBSMFYURNMNBGW-UHFFFAOYSA-N 0 2 313.317 0.642 20 0 DCADLN CC(C)CS(=O)(=O)CC(=O)NCCc1n[nH]c(=S)o1 ZINC001343006491 953317872 /nfs/dbraw/zinc/31/78/72/953317872.db2.gz YEZZYYFGMACBRT-UHFFFAOYSA-N 0 2 307.397 0.088 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(C)OC)n2C[C@H]2CCOC2)c1=O ZINC001344034375 953407814 /nfs/dbraw/zinc/40/78/14/953407814.db2.gz FYEDXFZBPIMXST-SNVBAGLBSA-N 0 2 322.369 0.901 20 0 DCADLN CC(C)(C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)F ZINC001365998484 953554205 /nfs/dbraw/zinc/55/42/05/953554205.db2.gz RJHOOLITRBQZOE-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN CCc1nc(C[NH2+][C@H](C)CCNC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001366443725 954200847 /nfs/dbraw/zinc/20/08/47/954200847.db2.gz DYCNHWUWDGLCSS-MRVPVSSYSA-N 0 2 322.369 0.667 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001348389594 954291362 /nfs/dbraw/zinc/29/13/62/954291362.db2.gz RUCSTDCNTUIIMX-MWLCHTKSSA-N 0 2 316.365 0.312 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)Nc2cccnc2C(=O)[O-])CCO1 ZINC001589400321 954417891 /nfs/dbraw/zinc/41/78/91/954417891.db2.gz OGMXIQJVIWKPAZ-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)Nc2cccnc2C(=O)[O-])CCO1 ZINC001589400321 954417898 /nfs/dbraw/zinc/41/78/98/954417898.db2.gz OGMXIQJVIWKPAZ-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN CC[N@H+](CCNC(=O)CSC(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001366599198 954487885 /nfs/dbraw/zinc/48/78/85/954487885.db2.gz LDZMLZBQUMOOJS-UHFFFAOYSA-N 0 2 301.416 0.590 20 0 DCADLN CC[N@@H+](CCNC(=O)CSC(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001366599198 954487887 /nfs/dbraw/zinc/48/78/87/954487887.db2.gz LDZMLZBQUMOOJS-UHFFFAOYSA-N 0 2 301.416 0.590 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@H](C)SC)Cc1n[nH]c(=O)[n-]1 ZINC001366609519 954507675 /nfs/dbraw/zinc/50/76/75/954507675.db2.gz YAWIORNMPWVEMH-VIFPVBQESA-N 0 2 301.416 0.590 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@H](C)SC)Cc1n[nH]c(=O)[n-]1 ZINC001366609519 954507682 /nfs/dbraw/zinc/50/76/82/954507682.db2.gz YAWIORNMPWVEMH-VIFPVBQESA-N 0 2 301.416 0.590 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001348954467 954548436 /nfs/dbraw/zinc/54/84/36/954548436.db2.gz XYZUTMQPCRGRBZ-SNVBAGLBSA-N 0 2 324.278 0.702 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001348954467 954548449 /nfs/dbraw/zinc/54/84/49/954548449.db2.gz XYZUTMQPCRGRBZ-SNVBAGLBSA-N 0 2 324.278 0.702 20 0 DCADLN CN1C(=O)CN(NC(=O)c2c(F)ccc3ccncc32)C1=O ZINC001545869124 1013125829 /nfs/dbraw/zinc/12/58/29/1013125829.db2.gz BZLDWDNMJOPHKJ-UHFFFAOYSA-N 0 2 302.265 0.913 20 0 DCADLN Cn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(C)(C(=O)[O-])CC1 ZINC001593775111 954596327 /nfs/dbraw/zinc/59/63/27/954596327.db2.gz YNPNKMLFLCNNPZ-GHMZBOCLSA-N 0 2 323.397 0.244 20 0 DCADLN Cn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(C)(C(=O)[O-])CC1 ZINC001593775111 954596337 /nfs/dbraw/zinc/59/63/37/954596337.db2.gz YNPNKMLFLCNNPZ-GHMZBOCLSA-N 0 2 323.397 0.244 20 0 DCADLN O=C(NC[C@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1)C(F)F ZINC001366793641 954850122 /nfs/dbraw/zinc/85/01/22/954850122.db2.gz TZGBLOJLKFDPEO-VIFPVBQESA-N 0 2 311.292 0.723 20 0 DCADLN O=C(NC[C@H](NCc1n[nH]c(=O)[nH]1)c1ccccc1)C(F)F ZINC001366793641 954850129 /nfs/dbraw/zinc/85/01/29/954850129.db2.gz TZGBLOJLKFDPEO-VIFPVBQESA-N 0 2 311.292 0.723 20 0 DCADLN C[C@@]1(C(F)(F)F)CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC000708923949 955005508 /nfs/dbraw/zinc/00/55/08/955005508.db2.gz FTHJFEZCVMJFKV-SECBINFHSA-N 0 2 303.302 0.760 20 0 DCADLN C[C@@]1(C(F)(F)F)CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC000708923949 955005521 /nfs/dbraw/zinc/00/55/21/955005521.db2.gz FTHJFEZCVMJFKV-SECBINFHSA-N 0 2 303.302 0.760 20 0 DCADLN CC[C@H](CNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C)C(=O)[O-] ZINC001589527464 955131824 /nfs/dbraw/zinc/13/18/24/955131824.db2.gz ZBJSSJULBBFBMH-UPJWGTAASA-N 0 2 313.398 0.602 20 0 DCADLN O=C(CCc1ccccc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001367025909 955220306 /nfs/dbraw/zinc/22/03/06/955220306.db2.gz REVRXUJHDNCXGB-ZDUSSCGKSA-N 0 2 315.377 0.834 20 0 DCADLN Cn1nc(C(=O)[O-])cc1CN1CCC[C@H]1C[NH+]1CCOCC1 ZINC001594571910 955896015 /nfs/dbraw/zinc/89/60/15/955896015.db2.gz NAXWACBRNSLEBD-LBPRGKRZSA-N 0 2 308.382 0.415 20 0 DCADLN CCCn1cc(C(=O)NN2C(=O)N[C@](C)(CC)C2=O)c(C)n1 ZINC001353676878 956143738 /nfs/dbraw/zinc/14/37/38/956143738.db2.gz ASYMBWAKLABELQ-CQSZACIVSA-N 0 2 307.354 0.967 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC001594640972 956419044 /nfs/dbraw/zinc/41/90/44/956419044.db2.gz GAAKMDYIIRJNOX-GFCCVEGCSA-N 0 2 305.334 0.064 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC001594640972 956419048 /nfs/dbraw/zinc/41/90/48/956419048.db2.gz GAAKMDYIIRJNOX-GFCCVEGCSA-N 0 2 305.334 0.064 20 0 DCADLN O=C(C=C1CCC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001287793712 956472835 /nfs/dbraw/zinc/47/28/35/956472835.db2.gz UOBZICDLOUSXKU-WCBMZHEXSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001287793712 956472846 /nfs/dbraw/zinc/47/28/46/956472846.db2.gz UOBZICDLOUSXKU-WCBMZHEXSA-N 0 2 312.263 0.590 20 0 DCADLN C/C=C(\C)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001289644798 956769914 /nfs/dbraw/zinc/76/99/14/956769914.db2.gz MCHUSDZDWRUAPV-TXBOYBTPSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(\C)C(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001289644798 956769924 /nfs/dbraw/zinc/76/99/24/956769924.db2.gz MCHUSDZDWRUAPV-TXBOYBTPSA-N 0 2 312.263 0.541 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2c(C3CC3)cnn2C)CCO1 ZINC001593986071 956782106 /nfs/dbraw/zinc/78/21/06/956782106.db2.gz NBECGRLWNMXCCS-HNNXBMFYSA-N 0 2 309.366 0.600 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2c(C3CC3)cnn2C)CCO1 ZINC001593986071 956782111 /nfs/dbraw/zinc/78/21/11/956782111.db2.gz NBECGRLWNMXCCS-HNNXBMFYSA-N 0 2 309.366 0.600 20 0 DCADLN O=C([O-])[C@@]1(NC(=O)c2cc(-n3cc[nH+]c3)ccc2O)CCOC1 ZINC001594724399 956986869 /nfs/dbraw/zinc/98/68/69/956986869.db2.gz SDZKHATWLOGKAF-OAHLLOKOSA-N 0 2 317.301 0.551 20 0 DCADLN C[C@H](CN(C)C(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)C(=O)[O-] ZINC001603145185 972241764 /nfs/dbraw/zinc/24/17/64/972241764.db2.gz NHRWVSXDGLLMPE-MNOVXSKESA-N 0 2 322.365 0.295 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccnc(C(=O)[O-])c1 ZINC001603159239 972261391 /nfs/dbraw/zinc/26/13/91/972261391.db2.gz UUQFYNCRZQMBIH-LLVKDONJSA-N 0 2 322.365 0.964 20 0 DCADLN COCCOc1cccc(C[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC000066115178 957640362 /nfs/dbraw/zinc/64/03/62/957640362.db2.gz UHWHMHZJXNWJOI-CQSZACIVSA-N 0 2 322.361 0.487 20 0 DCADLN COCCOc1cccc(C[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC000066115178 957640367 /nfs/dbraw/zinc/64/03/67/957640367.db2.gz UHWHMHZJXNWJOI-CQSZACIVSA-N 0 2 322.361 0.487 20 0 DCADLN COCc1ncsc1C(=O)OC[C@H]1CCC[N@@H+]1CC(=O)[O-] ZINC001594032641 957773430 /nfs/dbraw/zinc/77/34/30/957773430.db2.gz HYXZGRSMJYWLMJ-SECBINFHSA-N 0 2 314.363 0.995 20 0 DCADLN COCc1ncsc1C(=O)OC[C@H]1CCC[N@H+]1CC(=O)[O-] ZINC001594032641 957773439 /nfs/dbraw/zinc/77/34/39/957773439.db2.gz HYXZGRSMJYWLMJ-SECBINFHSA-N 0 2 314.363 0.995 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367503208 957910298 /nfs/dbraw/zinc/91/02/98/957910298.db2.gz WMMYKLHYIYIRED-LLVKDONJSA-N 0 2 313.402 0.462 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367503208 957910292 /nfs/dbraw/zinc/91/02/92/957910292.db2.gz WMMYKLHYIYIRED-LLVKDONJSA-N 0 2 313.402 0.462 20 0 DCADLN Cc1nccnc1[C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361645240 958170082 /nfs/dbraw/zinc/17/00/82/958170082.db2.gz NUNAXYPEODVQBO-SECBINFHSA-N 0 2 313.321 0.047 20 0 DCADLN C[C@H](Cc1cccnc1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361824568 958372619 /nfs/dbraw/zinc/37/26/19/958372619.db2.gz TUJSQWNEHVCLII-SNVBAGLBSA-N 0 2 312.333 0.214 20 0 DCADLN CC1(C)CO[C@H](CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001361894561 958459332 /nfs/dbraw/zinc/45/93/32/958459332.db2.gz JMZJBBDFKOUXRL-SNVBAGLBSA-N 0 2 319.365 0.393 20 0 DCADLN Cc1n[nH]c(NC(=O)C[C@@H]2CS(=O)(=O)c3ccccc3O2)n1 ZINC001362034027 958632745 /nfs/dbraw/zinc/63/27/45/958632745.db2.gz YSDICKWIJDSCRL-SECBINFHSA-N 0 2 322.346 0.677 20 0 DCADLN C[C@@H](CCCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362150988 958829372 /nfs/dbraw/zinc/82/93/72/958829372.db2.gz GCYAZLOYNFMGCK-VIFPVBQESA-N 0 2 306.322 0.157 20 0 DCADLN O=C(CC[C@@H]1CCCO1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367944107 958921470 /nfs/dbraw/zinc/92/14/70/958921470.db2.gz SJRGRABYEXHDFT-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN CCC[C@@](C)(O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362283420 959068726 /nfs/dbraw/zinc/06/87/26/959068726.db2.gz IDRTTYGEHSNBRG-OAHLLOKOSA-N 0 2 320.349 0.547 20 0 DCADLN O=C(NCC1CCOCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001368045880 959149197 /nfs/dbraw/zinc/14/91/97/959149197.db2.gz QCAFIFPDOQZHND-LLVKDONJSA-N 0 2 309.370 0.826 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368150441 959334441 /nfs/dbraw/zinc/33/44/41/959334441.db2.gz CLPNVRXHTOSBDH-BMIGLBTASA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368150441 959334451 /nfs/dbraw/zinc/33/44/51/959334451.db2.gz CLPNVRXHTOSBDH-BMIGLBTASA-N 0 2 323.397 0.406 20 0 DCADLN O=C(CCC1CCC1)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368259277 959540052 /nfs/dbraw/zinc/54/00/52/959540052.db2.gz YTGVCOCDZRPSCG-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(CCC1CCC1)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368259277 959540064 /nfs/dbraw/zinc/54/00/64/959540064.db2.gz YTGVCOCDZRPSCG-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN CC(C)(C(=O)[O-])n1ccc(NC(=O)N[C@H]2Cc3c[nH+]cn3C2)n1 ZINC001588626516 959581877 /nfs/dbraw/zinc/58/18/77/959581877.db2.gz QCHXLRXRBMMNAF-VIFPVBQESA-N 0 2 318.337 0.646 20 0 DCADLN CC(C)(C)[C@@H]1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@H]1O ZINC001362572430 959623100 /nfs/dbraw/zinc/62/31/00/959623100.db2.gz VPYQXJPDBYZALP-BBBLOLIVSA-N 0 2 313.423 0.798 20 0 DCADLN O=C(c1ncc(Cl)cn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362583523 959642520 /nfs/dbraw/zinc/64/25/20/959642520.db2.gz MJUSFYKFEQLPCP-ZETCQYMHSA-N 0 2 308.729 0.974 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnc(C2CC2)[nH]c1=O)c1nn[nH]n1 ZINC001362619929 959713258 /nfs/dbraw/zinc/71/32/58/959713258.db2.gz XLCUUIKRFCHDAN-ZETCQYMHSA-N 0 2 303.326 0.448 20 0 DCADLN O=C(NCc1nnc(O)n1C1CC1)c1cccn(C2CC2)c1=O ZINC001362629934 959729886 /nfs/dbraw/zinc/72/98/86/959729886.db2.gz ZBDXLJCLHAHVBX-UHFFFAOYSA-N 0 2 315.333 0.745 20 0 DCADLN CN(CCCNC(=O)c1cnccn1)C(=O)C(F)C(F)(F)F ZINC001492259544 959767037 /nfs/dbraw/zinc/76/70/37/959767037.db2.gz XEMBSSXLKRANFF-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1cnccn1)C(=O)[C@H](F)C(F)(F)F ZINC001492259544 959767049 /nfs/dbraw/zinc/76/70/49/959767049.db2.gz XEMBSSXLKRANFF-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001368435026 959859267 /nfs/dbraw/zinc/85/92/67/959859267.db2.gz PRROBYPGVKPFRE-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN Cn1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)c(C2CCC2)n1 ZINC001362726458 959897599 /nfs/dbraw/zinc/89/75/99/959897599.db2.gz RLQAHCHEFDWUIC-UHFFFAOYSA-N 0 2 303.322 0.819 20 0 DCADLN O=C(CCc1nn[nH]n1)Nc1nccc(Br)c1O ZINC001362732522 959908031 /nfs/dbraw/zinc/90/80/31/959908031.db2.gz VSOGVSFAGRDCLR-UHFFFAOYSA-N 0 2 313.115 0.634 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@@H]2C(=O)OC[C@@H]2C1 ZINC001362751408 959932415 /nfs/dbraw/zinc/93/24/15/959932415.db2.gz PPGPTUMTGDILHJ-JQWIXIFHSA-N 0 2 313.313 0.823 20 0 DCADLN CC(=O)N(C)CC(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001362769688 959964272 /nfs/dbraw/zinc/96/42/72/959964272.db2.gz MWUNMGHGFBQHGX-GFCCVEGCSA-N 0 2 317.349 0.389 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1C[C@@H](O)C1 ZINC001362775339 959970799 /nfs/dbraw/zinc/97/07/99/959970799.db2.gz WIIMECDEEPUONL-GRYCIOLGSA-N 0 2 302.334 0.681 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1c[nH]nc1-c1cccnc1 ZINC001301573246 960005815 /nfs/dbraw/zinc/00/58/15/960005815.db2.gz AQOCBJRZCCUSRW-UHFFFAOYSA-N 0 2 312.289 0.603 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cnn(-c2cccnc2)c1 ZINC001301574060 960005857 /nfs/dbraw/zinc/00/58/57/960005857.db2.gz HFUJOFOCEHVJAI-UHFFFAOYSA-N 0 2 312.289 0.399 20 0 DCADLN CCCCO[C@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001304085455 960075899 /nfs/dbraw/zinc/07/58/99/960075899.db2.gz BOTDKWWCMLIISW-SECBINFHSA-N 0 2 318.399 0.171 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=Cc2ccco2)[nH]1 ZINC001304084212 960076935 /nfs/dbraw/zinc/07/69/35/960076935.db2.gz BHKPWUANFGILCG-SNAWJCMRSA-N 0 2 310.335 0.272 20 0 DCADLN CO[C@@H]1CC[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362925737 960186140 /nfs/dbraw/zinc/18/61/40/960186140.db2.gz WQBRTUNZYLBWHM-ZYHUDNBSSA-N 0 2 318.333 0.564 20 0 DCADLN CCOC(=O)c1n[nH]c([C@@H](C)NC(=O)Cn2ccnc2C)n1 ZINC001362938244 960200724 /nfs/dbraw/zinc/20/07/24/960200724.db2.gz RXSUXMALFJQPKX-MRVPVSSYSA-N 0 2 306.326 0.364 20 0 DCADLN Cc1nnccc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377532896 960243125 /nfs/dbraw/zinc/24/31/25/960243125.db2.gz MJLYVHXROFKIRZ-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1nnccc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377532896 960243138 /nfs/dbraw/zinc/24/31/38/960243138.db2.gz MJLYVHXROFKIRZ-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@H](NC(=O)CNC(=O)Cc1ccccc1)c1nn(C)cc1O ZINC001363036724 960327536 /nfs/dbraw/zinc/32/75/36/960327536.db2.gz AVMDMUQMMMGAGG-NSHDSACASA-N 0 2 316.361 0.662 20 0 DCADLN NC(=O)C1(O)CCN(C(=O)c2c(O)cc(F)cc2F)CC1 ZINC001413846072 960350899 /nfs/dbraw/zinc/35/08/99/960350899.db2.gz FSSZEYJSPJPDLQ-UHFFFAOYSA-N 0 2 300.261 0.123 20 0 DCADLN COC(=O)c1ccccc1CCC(=O)NCc1n[nH]c(=O)n1C ZINC001363138041 960460509 /nfs/dbraw/zinc/46/05/09/960460509.db2.gz DXSWEXWLBUZOPN-UHFFFAOYSA-N 0 2 318.333 0.556 20 0 DCADLN Cc1cc(C)nc(C2CN(C(=O)C[C@H]3SC(=N)NC3=O)C2)n1 ZINC001363146560 960476073 /nfs/dbraw/zinc/47/60/73/960476073.db2.gz MYAJNFCXZSGICB-SNVBAGLBSA-N 0 2 319.390 0.576 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc2c(cc1O)OCO2 ZINC001363150366 960488420 /nfs/dbraw/zinc/48/84/20/960488420.db2.gz OVPRCMFVPFJYCA-UHFFFAOYSA-N 0 2 305.246 0.252 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cc2c(cc1O)OCO2 ZINC001363150366 960488429 /nfs/dbraw/zinc/48/84/29/960488429.db2.gz OVPRCMFVPFJYCA-UHFFFAOYSA-N 0 2 305.246 0.252 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1COC(=O)N1 ZINC001363232246 960657969 /nfs/dbraw/zinc/65/79/69/960657969.db2.gz JCPWNFQSBMAOOQ-NXEZZACHSA-N 0 2 317.305 0.019 20 0 DCADLN COC(=O)c1cccc2c1OCCN(Cc1n[nH]c(=O)[nH]1)C2 ZINC001363313022 960865918 /nfs/dbraw/zinc/86/59/18/960865918.db2.gz AYYIDFJOWBJWLU-UHFFFAOYSA-N 0 2 304.306 0.692 20 0 DCADLN Cc1ccc(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1O ZINC001571079458 960979291 /nfs/dbraw/zinc/97/92/91/960979291.db2.gz FSNQNZVXJMXHFI-GFCCVEGCSA-N 0 2 303.318 0.778 20 0 DCADLN Cc1ccc(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1O ZINC001571079458 960979307 /nfs/dbraw/zinc/97/93/07/960979307.db2.gz FSNQNZVXJMXHFI-GFCCVEGCSA-N 0 2 303.318 0.778 20 0 DCADLN C[C@H](Oc1ccccn1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571085588 961054506 /nfs/dbraw/zinc/05/45/06/961054506.db2.gz RDQXTSUDQSBKTF-ONGXEEELSA-N 0 2 304.306 0.384 20 0 DCADLN C[C@H](Oc1ccccn1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571085588 961054529 /nfs/dbraw/zinc/05/45/29/961054529.db2.gz RDQXTSUDQSBKTF-ONGXEEELSA-N 0 2 304.306 0.384 20 0 DCADLN Cc1cc(C[N@@H+]2CCCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)on1 ZINC001571097332 961185819 /nfs/dbraw/zinc/18/58/19/961185819.db2.gz WQCPVCLBOYOOGQ-QWHCGFSZSA-N 0 2 307.350 0.738 20 0 DCADLN Cc1cc(C[N@H+]2CCCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)on1 ZINC001571097332 961185830 /nfs/dbraw/zinc/18/58/30/961185830.db2.gz WQCPVCLBOYOOGQ-QWHCGFSZSA-N 0 2 307.350 0.738 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)[O-])C[C@H]1C ZINC000250344893 961214550 /nfs/dbraw/zinc/21/45/50/961214550.db2.gz QHIZIIXDYQIKCD-MRVPVSSYSA-N 0 2 316.383 0.131 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)[O-])C[C@H]1C ZINC000250344893 961214557 /nfs/dbraw/zinc/21/45/57/961214557.db2.gz QHIZIIXDYQIKCD-MRVPVSSYSA-N 0 2 316.383 0.131 20 0 DCADLN CC(C)CCn1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)nn1 ZINC001363491823 961270814 /nfs/dbraw/zinc/27/08/14/961270814.db2.gz VNJBNKIIZFGWLD-UHFFFAOYSA-N 0 2 306.326 0.455 20 0 DCADLN CC(C)CCn1cc(C(=O)NCC2=NC(=O)CC(=O)N2)nn1 ZINC001363491823 961270828 /nfs/dbraw/zinc/27/08/28/961270828.db2.gz VNJBNKIIZFGWLD-UHFFFAOYSA-N 0 2 306.326 0.455 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCCc2cccnc21 ZINC001571123485 961469287 /nfs/dbraw/zinc/46/92/87/961469287.db2.gz QLLUGTFGBBKWCG-OLZOCXBDSA-N 0 2 314.345 0.968 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001571123934 961479196 /nfs/dbraw/zinc/47/91/96/961479196.db2.gz WNICBJROIHMXJQ-OLZOCXBDSA-N 0 2 314.382 0.189 20 0 DCADLN CN1CCc2cc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])ccc21 ZINC001571124016 961481523 /nfs/dbraw/zinc/48/15/23/961481523.db2.gz XGJZCVBUHMKCPO-CYBMUJFWSA-N 0 2 314.345 0.828 20 0 DCADLN CN1CCc2cc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])ccc21 ZINC001571124016 961481530 /nfs/dbraw/zinc/48/15/30/961481530.db2.gz XGJZCVBUHMKCPO-CYBMUJFWSA-N 0 2 314.345 0.828 20 0 DCADLN CN(C(=O)C(C)(C)C(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378168624 961583503 /nfs/dbraw/zinc/58/35/03/961583503.db2.gz LDKNIKVPTIQZPP-QMMMGPOBSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)CCSCC(=O)[O-] ZINC001332665391 961630067 /nfs/dbraw/zinc/63/00/67/961630067.db2.gz IZJDPZYOSWYALO-LLVKDONJSA-N 0 2 304.412 0.373 20 0 DCADLN CC1(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC[NH+](Cc2ncccn2)CC1 ZINC001571138370 961637408 /nfs/dbraw/zinc/63/74/08/961637408.db2.gz YTNVNTVYGDRZQD-NEPJUHHUSA-N 0 2 318.377 0.668 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1Cc1cc2c(cc[nH]c2=O)o1 ZINC001571143111 961687267 /nfs/dbraw/zinc/68/72/67/961687267.db2.gz LNFJDSRJCCSUOL-LLVKDONJSA-N 0 2 319.317 0.699 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1Cc1cc2c(cc[nH]c2=O)o1 ZINC001571143111 961687280 /nfs/dbraw/zinc/68/72/80/961687280.db2.gz LNFJDSRJCCSUOL-LLVKDONJSA-N 0 2 319.317 0.699 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC001334026469 961750773 /nfs/dbraw/zinc/75/07/73/961750773.db2.gz WNRDIUUEWAXGCJ-TZNOJPMFSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC001334026469 961750784 /nfs/dbraw/zinc/75/07/84/961750784.db2.gz WNRDIUUEWAXGCJ-TZNOJPMFSA-N 0 2 308.334 0.828 20 0 DCADLN O=C(NC[C@@H](O)CO)c1cc(Br)c(F)cc1O ZINC001363802415 961920265 /nfs/dbraw/zinc/92/02/65/961920265.db2.gz CTXCSDPVAWXRAJ-RXMQYKEDSA-N 0 2 308.103 0.377 20 0 DCADLN C[C@@H](CNC(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1)[NH+]1CCN(C)CC1 ZINC001571164951 961987766 /nfs/dbraw/zinc/98/77/66/961987766.db2.gz YSIMVQBGQSWLKK-ZWUHOBOKSA-N 0 2 324.425 0.175 20 0 DCADLN Cc1nnc2n1C[C@H](C(=O)N[C@H](C)c1nn(C)cc1O)CC2 ZINC001363836029 961991829 /nfs/dbraw/zinc/99/18/29/961991829.db2.gz WTJFCCLGYTUVTG-PSASIEDQSA-N 0 2 304.354 0.465 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCO1 ZINC001379097660 962094238 /nfs/dbraw/zinc/09/42/38/962094238.db2.gz ZKYMEZUKRKNDQX-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCO1 ZINC001379097660 962094256 /nfs/dbraw/zinc/09/42/56/962094256.db2.gz ZKYMEZUKRKNDQX-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](c3ncon3)C2)S1 ZINC001364180111 962625154 /nfs/dbraw/zinc/62/51/54/962625154.db2.gz YBCRJKDCDIIUIY-JGVFFNPUSA-N 0 2 309.351 0.332 20 0 DCADLN Cc1nnc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1C ZINC001364202071 962664627 /nfs/dbraw/zinc/66/46/27/962664627.db2.gz NWKFNPOKEDOQSN-SECBINFHSA-N 0 2 320.357 0.036 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc2c(c1)OCCO2 ZINC001364206359 962670728 /nfs/dbraw/zinc/67/07/28/962670728.db2.gz OJZFNKZAYDADJT-UHFFFAOYSA-N 0 2 303.274 0.589 20 0 DCADLN COc1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)nn1C ZINC001364247274 962744030 /nfs/dbraw/zinc/74/40/30/962744030.db2.gz SPECLLHCRQODTF-UHFFFAOYSA-N 0 2 321.337 0.253 20 0 DCADLN CCCC(C)(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364287143 962824680 /nfs/dbraw/zinc/82/46/80/962824680.db2.gz XRTBXKRTHJOTEU-UHFFFAOYSA-N 0 2 302.400 0.792 20 0 DCADLN Cn1nnc(CN2CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001380347924 963079845 /nfs/dbraw/zinc/07/98/45/963079845.db2.gz HJQDTEYMNCAVHJ-WCBMZHEXSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CC[C@@](C)(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001380347924 963079853 /nfs/dbraw/zinc/07/98/53/963079853.db2.gz HJQDTEYMNCAVHJ-WCBMZHEXSA-N 0 2 324.282 0.191 20 0 DCADLN C[C@H]1[C@H](NC(=O)COCC2CC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001379641322 963311187 /nfs/dbraw/zinc/31/11/87/963311187.db2.gz VCZFXRTZFJEPOO-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1[C@H](NC(=O)COCC2CC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001379641322 963311195 /nfs/dbraw/zinc/31/11/95/963311195.db2.gz VCZFXRTZFJEPOO-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1conc1C[N@H+]1CC[C@](O)(CNC(=O)C[NH+](C)C(C)C)C1 ZINC001380566579 963364959 /nfs/dbraw/zinc/36/49/59/963364959.db2.gz LLJZQKRQNMKHLH-INIZCTEOSA-N 0 2 324.425 0.376 20 0 DCADLN COCc1ccccc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314166069 963719110 /nfs/dbraw/zinc/71/91/10/963719110.db2.gz UKKHHGCVBWUAFK-ZDUSSCGKSA-N 0 2 303.318 0.982 20 0 DCADLN COCc1ccccc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314166069 963719113 /nfs/dbraw/zinc/71/91/13/963719113.db2.gz UKKHHGCVBWUAFK-ZDUSSCGKSA-N 0 2 303.318 0.982 20 0 DCADLN Cc1nscc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368945213 964172551 /nfs/dbraw/zinc/17/25/51/964172551.db2.gz UHPHUKRDMQJICG-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1nscc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368945213 964172558 /nfs/dbraw/zinc/17/25/58/964172558.db2.gz UHPHUKRDMQJICG-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN COCC(C)(C)CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368973748 964221453 /nfs/dbraw/zinc/22/14/53/964221453.db2.gz UWPONACQUWZOEJ-JTQLQIEISA-N 0 2 313.402 0.510 20 0 DCADLN COCC(C)(C)CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368973748 964221464 /nfs/dbraw/zinc/22/14/64/964221464.db2.gz UWPONACQUWZOEJ-JTQLQIEISA-N 0 2 313.402 0.510 20 0 DCADLN O=C(CC1CCCC1)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375998921 965066116 /nfs/dbraw/zinc/06/61/16/965066116.db2.gz SMEYEOGBPSQLOE-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(CC1CCCC1)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375998921 965066129 /nfs/dbraw/zinc/06/61/29/965066129.db2.gz SMEYEOGBPSQLOE-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN CO[C@@H]1CCC[C@H]1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374510855 965468096 /nfs/dbraw/zinc/46/80/96/965468096.db2.gz WKWJZYAHRQGNTA-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN CO[C@@H]1CCC[C@H]1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374510855 965468102 /nfs/dbraw/zinc/46/81/02/965468102.db2.gz WKWJZYAHRQGNTA-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN Cc1ncn(C)c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001374666006 965727820 /nfs/dbraw/zinc/72/78/20/965727820.db2.gz PVESKIAGIXMIQM-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ncn(C)c1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001374666006 965727826 /nfs/dbraw/zinc/72/78/26/965727826.db2.gz PVESKIAGIXMIQM-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN CNC(=O)C[NH2+]C[C@@H]1CCCCN1C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001376603701 965879021 /nfs/dbraw/zinc/87/90/21/965879021.db2.gz GEYKMWKJVYXSTN-JSGCOSHPSA-N 0 2 321.425 0.305 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001370300990 965986489 /nfs/dbraw/zinc/98/64/89/965986489.db2.gz NIRHFQNBLUXBHN-FXQIFTODSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001370300990 965986500 /nfs/dbraw/zinc/98/65/00/965986500.db2.gz NIRHFQNBLUXBHN-FXQIFTODSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@@H]1CCOC1 ZINC001375027499 966254875 /nfs/dbraw/zinc/25/48/75/966254875.db2.gz WZIIUCPVQNNAGT-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@@H]1CCOC1 ZINC001375027499 966254881 /nfs/dbraw/zinc/25/48/81/966254881.db2.gz WZIIUCPVQNNAGT-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)F)C(=O)C(F)C(F)(F)F ZINC001371004534 966658706 /nfs/dbraw/zinc/65/87/06/966658706.db2.gz IWCRQNXYADRTHO-CRCLSJGQSA-N 0 2 310.194 0.087 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)F)C(=O)[C@@H](F)C(F)(F)F ZINC001371004534 966658709 /nfs/dbraw/zinc/65/87/09/966658709.db2.gz IWCRQNXYADRTHO-CRCLSJGQSA-N 0 2 310.194 0.087 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)n1cccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381796742 966688712 /nfs/dbraw/zinc/68/87/12/966688712.db2.gz XETPGQJLNVLEBH-MNOVXSKESA-N 0 2 318.381 0.510 20 0 DCADLN C[C@@H](CNC(=O)c1nnc[nH]1)[NH2+]Cc1nnc(C2CCC2)n1C ZINC001371395332 966961578 /nfs/dbraw/zinc/96/15/78/966961578.db2.gz XBYYZZGORAVQOA-VIFPVBQESA-N 0 2 318.385 0.109 20 0 DCADLN O=C(c1cncc2nc[nH]c21)N1CCSC[C@H]1c1nn[nH]n1 ZINC001448019326 1013714122 /nfs/dbraw/zinc/71/41/22/1013714122.db2.gz MYEMRDMODBWLJL-VIFPVBQESA-N 0 2 316.350 0.401 20 0 DCADLN Cc1ccccc1CCC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372130897 967669920 /nfs/dbraw/zinc/66/99/20/967669920.db2.gz GRCVIHXYTKWUIK-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN Cc1ccccc1CCC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001372130897 967669924 /nfs/dbraw/zinc/66/99/24/967669924.db2.gz GRCVIHXYTKWUIK-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372546224 968107483 /nfs/dbraw/zinc/10/74/83/968107483.db2.gz QQYHDWFKLFMLGX-ZKWXMUAHSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001372546224 968107492 /nfs/dbraw/zinc/10/74/92/968107492.db2.gz QQYHDWFKLFMLGX-ZKWXMUAHSA-N 0 2 322.262 0.698 20 0 DCADLN CO[C@@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001372715877 968286186 /nfs/dbraw/zinc/28/61/86/968286186.db2.gz RDCOJJGUQYYTAA-OFPUPOEVSA-N 0 2 312.263 0.495 20 0 DCADLN CO[C@@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(F)(F)F ZINC001372715877 968286194 /nfs/dbraw/zinc/28/61/94/968286194.db2.gz RDCOJJGUQYYTAA-OFPUPOEVSA-N 0 2 312.263 0.495 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N(C2CC2)C2CC2)[nH]1 ZINC001372758923 968331432 /nfs/dbraw/zinc/33/14/32/968331432.db2.gz LZTFYOFRISCZFE-UHFFFAOYSA-N 0 2 313.383 0.186 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)NC(=O)C[N@@H+]2CCC[C@@H]2C)c1[O-] ZINC001372819945 968421337 /nfs/dbraw/zinc/42/13/37/968421337.db2.gz KZTDPKCJHDUPJP-ZJUUUORDSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)NC(=O)C[N@H+]2CCC[C@@H]2C)c1[O-] ZINC001372819945 968421342 /nfs/dbraw/zinc/42/13/42/968421342.db2.gz KZTDPKCJHDUPJP-ZJUUUORDSA-N 0 2 323.397 0.143 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnns1 ZINC001372913640 968525164 /nfs/dbraw/zinc/52/51/64/968525164.db2.gz YQQXTKJXDDDOQL-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnns1 ZINC001372913640 968525172 /nfs/dbraw/zinc/52/51/72/968525172.db2.gz YQQXTKJXDDDOQL-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2ncc[nH]2)C1)C(F)C(F)(F)F ZINC001373151711 968761715 /nfs/dbraw/zinc/76/17/15/968761715.db2.gz ODFCFIQRCZNZPE-YUMQZZPRSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2ncc[nH]2)C1)[C@H](F)C(F)(F)F ZINC001373151711 968761727 /nfs/dbraw/zinc/76/17/27/968761727.db2.gz ODFCFIQRCZNZPE-YUMQZZPRSA-N 0 2 322.262 0.888 20 0 DCADLN Cn1nnc(CN2CCC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001373391321 969031811 /nfs/dbraw/zinc/03/18/11/969031811.db2.gz GIEJQYHRLWEAHO-CBAPKCEASA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CCC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001373391321 969031820 /nfs/dbraw/zinc/03/18/20/969031820.db2.gz GIEJQYHRLWEAHO-CBAPKCEASA-N 0 2 324.282 0.191 20 0 DCADLN CN1CCCC[C@@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001448450671 1013915966 /nfs/dbraw/zinc/91/59/66/1013915966.db2.gz FICADEWMOADNGJ-GHMZBOCLSA-N 0 2 321.381 0.475 20 0 DCADLN O=C(c1nnc(C2CC2)o1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001448459890 1013919070 /nfs/dbraw/zinc/91/90/70/1013919070.db2.gz UCSGBXMCMLVSGN-MRVPVSSYSA-N 0 2 304.310 0.791 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H]1CCCC12CC2)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001448688953 1013996995 /nfs/dbraw/zinc/99/69/95/1013996995.db2.gz YHPPIQKEEWMTMS-NEPJUHHUSA-N 0 2 321.425 0.625 20 0 DCADLN CC1(C(=O)[O-])CCN(S(=O)(=O)CCn2cc[nH+]c2)CC1 ZINC001603308568 972596605 /nfs/dbraw/zinc/59/66/05/972596605.db2.gz VOAKBHQPBRAGQU-UHFFFAOYSA-N 0 2 301.368 0.400 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(c2nnc([C@H]3C[C@@H](O)C[N@@H+]3C)n2C)C1 ZINC001603371681 972899154 /nfs/dbraw/zinc/89/91/54/972899154.db2.gz IPOGKTQECGDLMG-WRWGMCAJSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(c2nnc([C@H]3C[C@@H](O)C[N@H+]3C)n2C)C1 ZINC001603371681 972899162 /nfs/dbraw/zinc/89/91/62/972899162.db2.gz IPOGKTQECGDLMG-WRWGMCAJSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C1(C(=O)[O-])CC=CC1 ZINC001603375721 972925540 /nfs/dbraw/zinc/92/55/40/972925540.db2.gz VJONAIJNZLBSPL-CHWSQXEVSA-N 0 2 308.378 0.729 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC001606000045 973095647 /nfs/dbraw/zinc/09/56/47/973095647.db2.gz LYFDGEOFMGZYTL-LLVKDONJSA-N 0 2 320.349 0.049 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC001606000045 973095661 /nfs/dbraw/zinc/09/56/61/973095661.db2.gz LYFDGEOFMGZYTL-LLVKDONJSA-N 0 2 320.349 0.049 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)[C@@H](C(=O)[O-])C1CC1)C2 ZINC001605416299 973424463 /nfs/dbraw/zinc/42/44/63/973424463.db2.gz CUFFBKYVLUEHDD-FZMZJTMJSA-N 0 2 321.377 0.268 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCO[C@@H](C(F)(F)F)CC2)C1=O ZINC001606232678 973501064 /nfs/dbraw/zinc/50/10/64/973501064.db2.gz XATNCHFWZDHNSY-DTWKUNHWSA-N 0 2 310.272 0.325 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCO[C@@H](C(F)(F)F)CC2)C1=O ZINC001606232678 973501079 /nfs/dbraw/zinc/50/10/79/973501079.db2.gz XATNCHFWZDHNSY-DTWKUNHWSA-N 0 2 310.272 0.325 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+]([C@@H]2CCN(C(C)(C)C)C2=O)CCO1 ZINC001604558056 973603250 /nfs/dbraw/zinc/60/32/50/973603250.db2.gz LLEGRQPKXBGJKK-ABAIWWIYSA-N 0 2 314.382 0.188 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+]([C@@H]2CCN(C(C)(C)C)C2=O)CCO1 ZINC001604558056 973603257 /nfs/dbraw/zinc/60/32/57/973603257.db2.gz LLEGRQPKXBGJKK-ABAIWWIYSA-N 0 2 314.382 0.188 20 0 DCADLN Cn1cc([C@H]([NH2+]CC(=O)NCC(=O)[O-])c2ccc(F)cc2)cn1 ZINC001605484443 973612146 /nfs/dbraw/zinc/61/21/46/973612146.db2.gz ZZOXZTPNPHKBDD-OAHLLOKOSA-N 0 2 320.324 0.439 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)C3(C(=O)[O-])CCCCC3)CC[NH2+]2)cn1 ZINC001605490043 973638332 /nfs/dbraw/zinc/63/83/32/973638332.db2.gz XEQTZGPPQAAHEC-CYBMUJFWSA-N 0 2 320.393 0.928 20 0 DCADLN O=C([O-])Cn1cc(C(=O)NCCCCNc2cccc[nH+]2)nn1 ZINC001606331183 973762495 /nfs/dbraw/zinc/76/24/95/973762495.db2.gz PJNXLUIBUSRACN-UHFFFAOYSA-N 0 2 318.337 0.380 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(N3CCOCC3)nc[nH+]2)C[C@@H]1C1CC1 ZINC001549119379 1014138405 /nfs/dbraw/zinc/13/84/05/1014138405.db2.gz STEKJOKTLVZCCL-OLZOCXBDSA-N 0 2 318.377 0.860 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(N3CCOCC3)[nH+]cn2)C[C@@H]1C1CC1 ZINC001549119379 1014138408 /nfs/dbraw/zinc/13/84/08/1014138408.db2.gz STEKJOKTLVZCCL-OLZOCXBDSA-N 0 2 318.377 0.860 20 0 DCADLN CCn1ccnc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001528078104 1014194482 /nfs/dbraw/zinc/19/44/82/1014194482.db2.gz ZQNDBXQZVCZDOM-QMMMGPOBSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1ccnc1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001528078104 1014194490 /nfs/dbraw/zinc/19/44/90/1014194490.db2.gz ZQNDBXQZVCZDOM-QMMMGPOBSA-N 0 2 324.278 0.992 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1CCCOC1 ZINC001528249007 1014239143 /nfs/dbraw/zinc/23/91/43/1014239143.db2.gz QHTRYKCAHAEMMS-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@H]1CCCOC1 ZINC001528249007 1014239151 /nfs/dbraw/zinc/23/91/51/1014239151.db2.gz QHTRYKCAHAEMMS-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN CC(C)OCc1noc(C[NH2+][C@@H](C(=O)[O-])C2CCOCC2)n1 ZINC001591734516 975717774 /nfs/dbraw/zinc/71/77/74/975717774.db2.gz MJPWUNRSLQXANB-CYBMUJFWSA-N 0 2 313.354 0.964 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)C[C@@H](O)C[NH2+]Cc1nccs1 ZINC001449195778 1014293396 /nfs/dbraw/zinc/29/33/96/1014293396.db2.gz SXTFHYVPJOOONI-LBPRGKRZSA-N 0 2 323.422 0.257 20 0 DCADLN O=C(NC[C@@H]1C[C@@H](c2nc[nH]n2)C[C@H]1O)c1ccc(F)cc1O ZINC001549715172 1014419595 /nfs/dbraw/zinc/41/95/95/1014419595.db2.gz GYAXSASSPVUYPC-VDDIYKPWSA-N 0 2 320.324 0.934 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(S(=O)(=O)c2ccco2)CC1 ZINC001592418834 978029029 /nfs/dbraw/zinc/02/90/29/978029029.db2.gz XQKADMSRDWOLJE-JTQLQIEISA-N 0 2 302.352 0.449 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cncn1C ZINC001528891855 1014448401 /nfs/dbraw/zinc/44/84/01/1014448401.db2.gz NANWFSRRDOYDIF-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cncn1C ZINC001528891855 1014448410 /nfs/dbraw/zinc/44/84/10/1014448410.db2.gz NANWFSRRDOYDIF-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN C[C@H](CC(=O)O[C@H]1CCN(CCC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001592429528 978055582 /nfs/dbraw/zinc/05/55/82/978055582.db2.gz MQJNQFHKJCLRJA-MNOVXSKESA-N 0 2 309.322 0.453 20 0 DCADLN COCC(=O)N1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001523188242 1014600420 /nfs/dbraw/zinc/60/04/20/1014600420.db2.gz SVIILRZFQMEHOV-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001523188242 1014600428 /nfs/dbraw/zinc/60/04/28/1014600428.db2.gz SVIILRZFQMEHOV-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C2CC2)no1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001596339991 983785898 /nfs/dbraw/zinc/78/58/98/983785898.db2.gz TVWJHLWUDHPHIP-MNOVXSKESA-N 0 2 322.365 0.606 20 0 DCADLN O=C(NOCCC1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001450207610 1014977665 /nfs/dbraw/zinc/97/76/65/1014977665.db2.gz CTDXFVWHBVMQKF-UHFFFAOYSA-N 0 2 304.306 0.728 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCCC[C@]1(COC)C(=O)[O-] ZINC001596485996 984225498 /nfs/dbraw/zinc/22/54/98/984225498.db2.gz WYBBCMQWPSUXFD-YGRLFVJLSA-N 0 2 315.370 0.176 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCCC[C@]1(COC)C(=O)[O-] ZINC001596485996 984225502 /nfs/dbraw/zinc/22/55/02/984225502.db2.gz WYBBCMQWPSUXFD-YGRLFVJLSA-N 0 2 315.370 0.176 20 0 DCADLN CCOC(=O)c1c(C)ccnc1C[NH+]1CCC(O)(C(=O)[O-])CC1 ZINC001596696749 984842006 /nfs/dbraw/zinc/84/20/06/984842006.db2.gz QGTRVYRUUKSZQR-UHFFFAOYSA-N 0 2 322.361 0.978 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001383448595 984852446 /nfs/dbraw/zinc/85/24/46/984852446.db2.gz MYSFEHVVGYBTIX-UHFFFAOYSA-N 0 2 321.425 0.398 20 0 DCADLN CC(=O)N1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001383635461 984961683 /nfs/dbraw/zinc/96/16/83/984961683.db2.gz GYDGJCLFWZBIEO-IUCAKERBSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)N1CCCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001383635461 984961691 /nfs/dbraw/zinc/96/16/91/984961691.db2.gz GYDGJCLFWZBIEO-IUCAKERBSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N(C)C[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001383645607 984966947 /nfs/dbraw/zinc/96/69/47/984966947.db2.gz UVSTXSUXTDYIBU-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N(C)C[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001383645607 984966949 /nfs/dbraw/zinc/96/69/49/984966949.db2.gz UVSTXSUXTDYIBU-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1(C)CC1 ZINC001384219529 985445187 /nfs/dbraw/zinc/44/51/87/985445187.db2.gz DZAYVAQWYCVHRI-HTQZYQBOSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1(C)CC1 ZINC001384219529 985445190 /nfs/dbraw/zinc/44/51/90/985445190.db2.gz DZAYVAQWYCVHRI-HTQZYQBOSA-N 0 2 314.279 0.622 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+]1CCC[C@H](OCCO)C1 ZINC001599959117 985745952 /nfs/dbraw/zinc/74/59/52/985745952.db2.gz QDRUXPCZTXYLSR-NSHDSACASA-N 0 2 310.350 0.677 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+]1CCC[C@H](OCCO)C1 ZINC001599959117 985745963 /nfs/dbraw/zinc/74/59/63/985745963.db2.gz QDRUXPCZTXYLSR-NSHDSACASA-N 0 2 310.350 0.677 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@](C)(O)C2CC2)n[nH]1 ZINC001551660206 1015165733 /nfs/dbraw/zinc/16/57/33/1015165733.db2.gz OAEBHYRSCAMWCG-TYNCELHUSA-N 0 2 308.382 0.605 20 0 DCADLN Cc1[nH]c(C[NH2+]Cc2nnc3c(=O)n(C)ccn23)cc1C(=O)[O-] ZINC001599963976 985859550 /nfs/dbraw/zinc/85/95/50/985859550.db2.gz UXPGPYPEWZQSTD-UHFFFAOYSA-N 0 2 316.321 0.053 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)Cc2ccccc2C(=O)[O-])CCO1 ZINC001594526707 986059230 /nfs/dbraw/zinc/05/92/30/986059230.db2.gz CEGRDUHCYJHTBO-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)Cc2ccccc2C(=O)[O-])CCO1 ZINC001594526707 986059242 /nfs/dbraw/zinc/05/92/42/986059242.db2.gz CEGRDUHCYJHTBO-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN CCO[C@@H]1COC[C@H]1[NH2+][C@@H](C(=O)[O-])c1cccc(C(=O)OC)c1 ZINC001596981164 986239314 /nfs/dbraw/zinc/23/93/14/986239314.db2.gz WJIJVAPNFIAHJC-MGPQQGTHSA-N 0 2 323.345 0.992 20 0 DCADLN C[N@H+](CC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1)C1CCC1 ZINC001385321584 986251444 /nfs/dbraw/zinc/25/14/44/986251444.db2.gz USSUEWHUGFLMBU-CYBMUJFWSA-N 0 2 319.409 0.085 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccncn2)C1)C(F)C(F)(F)F ZINC001385334677 986263227 /nfs/dbraw/zinc/26/32/27/986263227.db2.gz BEQJTHADIWEQLJ-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccncn2)C1)[C@H](F)C(F)(F)F ZINC001385334677 986263240 /nfs/dbraw/zinc/26/32/40/986263240.db2.gz BEQJTHADIWEQLJ-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+](C)Cc1cnn(C)c1 ZINC001589351249 986314473 /nfs/dbraw/zinc/31/44/73/986314473.db2.gz WTTSPSVVYBDRHM-LLVKDONJSA-N 0 2 308.382 0.564 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+](C)Cc1cnn(C)c1 ZINC001589351249 986314483 /nfs/dbraw/zinc/31/44/83/986314483.db2.gz WTTSPSVVYBDRHM-LLVKDONJSA-N 0 2 308.382 0.564 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[NH+]1CCN(C2CC2)CC1 ZINC001589351327 986317325 /nfs/dbraw/zinc/31/73/25/986317325.db2.gz XWIJNQWQOQUXRJ-LBPRGKRZSA-N 0 2 309.410 0.478 20 0 DCADLN COCCC(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001385545370 986417938 /nfs/dbraw/zinc/41/79/38/986417938.db2.gz XRMKQCXTRAJDNP-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385545370 986417941 /nfs/dbraw/zinc/41/79/41/986417941.db2.gz XRMKQCXTRAJDNP-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CCOc1nccc(C)c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001597197882 987092482 /nfs/dbraw/zinc/09/24/82/987092482.db2.gz MXVXIIILPVUGRZ-NSHDSACASA-N 0 2 318.333 0.938 20 0 DCADLN CCOc1nccc(C)c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001597197882 987092486 /nfs/dbraw/zinc/09/24/86/987092486.db2.gz MXVXIIILPVUGRZ-NSHDSACASA-N 0 2 318.333 0.938 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1)CNC(=O)C(F)C(F)(F)F ZINC001532126739 1015279145 /nfs/dbraw/zinc/27/91/45/1015279145.db2.gz RURMPUNFLOTSQO-XPUUQOCRSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1)CNC(=O)[C@H](F)C(F)(F)F ZINC001532126739 1015279147 /nfs/dbraw/zinc/27/91/47/1015279147.db2.gz RURMPUNFLOTSQO-XPUUQOCRSA-N 0 2 310.251 0.792 20 0 DCADLN Cc1ncc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1C ZINC001386932631 987512338 /nfs/dbraw/zinc/51/23/38/987512338.db2.gz FXHNLAZMYYMRFZ-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1ncc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)n1C ZINC001386932631 987512340 /nfs/dbraw/zinc/51/23/40/987512340.db2.gz FXHNLAZMYYMRFZ-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCNC(=O)c1cnc[nH]c1=O)C(=O)C(F)C(F)(F)F ZINC001386956239 987527255 /nfs/dbraw/zinc/52/72/55/987527255.db2.gz DDOANRHNLXDUPH-SSDOTTSWSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)c1cnc[nH]c1=O)C(=O)[C@@H](F)C(F)(F)F ZINC001386956239 987527262 /nfs/dbraw/zinc/52/72/62/987527262.db2.gz DDOANRHNLXDUPH-SSDOTTSWSA-N 0 2 324.234 0.271 20 0 DCADLN COCCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001387645477 987969111 /nfs/dbraw/zinc/96/91/11/987969111.db2.gz PQYVTNCUTVACBE-LBPRGKRZSA-N 0 2 308.382 0.404 20 0 DCADLN CC(C)(C)CC(=O)NCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001589740722 988008822 /nfs/dbraw/zinc/00/88/22/988008822.db2.gz DOVJFCWQAFDGKQ-SNVBAGLBSA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)(C)CC(=O)NCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001589740722 988008835 /nfs/dbraw/zinc/00/88/35/988008835.db2.gz DOVJFCWQAFDGKQ-SNVBAGLBSA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)(C)C[C@H](NS(=O)(=O)CCn1cc[nH+]c1)C(=O)[O-] ZINC001589752244 988062417 /nfs/dbraw/zinc/06/24/17/988062417.db2.gz SCMYBFXQMBSIFV-JTQLQIEISA-N 0 2 303.384 0.692 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)CCNC(=O)c1[nH]nc2c1CCC2 ZINC001387885303 988250611 /nfs/dbraw/zinc/25/06/11/988250611.db2.gz OYLOTRXVHWPCBG-UHFFFAOYSA-N 0 2 321.425 0.427 20 0 DCADLN CC1(C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001389112879 988845716 /nfs/dbraw/zinc/84/57/16/988845716.db2.gz XMMVNKUAKOBZAJ-BQBZGAKWSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001389112879 988845721 /nfs/dbraw/zinc/84/57/21/988845721.db2.gz XMMVNKUAKOBZAJ-BQBZGAKWSA-N 0 2 300.252 0.280 20 0 DCADLN Cc1nccc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001389188177 988966337 /nfs/dbraw/zinc/96/63/37/988966337.db2.gz BNFFZUPYRXMVHU-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nccc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001389188177 988966343 /nfs/dbraw/zinc/96/63/43/988966343.db2.gz BNFFZUPYRXMVHU-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n1C ZINC001389465510 989289751 /nfs/dbraw/zinc/28/97/51/989289751.db2.gz GTQBYARJFAFNGU-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n1C ZINC001389465510 989289762 /nfs/dbraw/zinc/28/97/62/989289762.db2.gz GTQBYARJFAFNGU-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)c1 ZINC001389673579 989480438 /nfs/dbraw/zinc/48/04/38/989480438.db2.gz POWCZAHPWDAHDI-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001389673579 989480442 /nfs/dbraw/zinc/48/04/42/989480442.db2.gz POWCZAHPWDAHDI-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN CCn1c[nH+]c2c1CCN([C@H]1CCCN(CCC(=O)[O-])C1=O)C2 ZINC001597921150 989851126 /nfs/dbraw/zinc/85/11/26/989851126.db2.gz YCTNTYVTXIGFMI-AWEZNQCLSA-N 0 2 320.393 0.727 20 0 DCADLN CC[C@@H](CNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001390370058 990033502 /nfs/dbraw/zinc/03/35/02/990033502.db2.gz JCCKAVLACIGWHB-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)c1cn(C)cn1)NC(=O)[C@H](F)C(F)(F)F ZINC001390370058 990033512 /nfs/dbraw/zinc/03/35/12/990033512.db2.gz JCCKAVLACIGWHB-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN COCc1ccccc1OC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001527784189 1015543046 /nfs/dbraw/zinc/54/30/46/1015543046.db2.gz KELVXSBYYHLSNS-UHFFFAOYSA-N 0 2 314.301 0.823 20 0 DCADLN Cn1nccc1C[N@H+]1CCC[C@@H]1CNC(=O)CCc1nnc[nH]1 ZINC001391055177 990544461 /nfs/dbraw/zinc/54/44/61/990544461.db2.gz XEHYDXASHCOPMS-GFCCVEGCSA-N 0 2 317.397 0.252 20 0 DCADLN O=C(Cc1ccco1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001391180531 990646082 /nfs/dbraw/zinc/64/60/82/990646082.db2.gz PJKAAIRJKSLEOU-UHFFFAOYSA-N 0 2 305.338 0.427 20 0 DCADLN O=C(Cc1ccco1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001391180531 990646088 /nfs/dbraw/zinc/64/60/88/990646088.db2.gz PJKAAIRJKSLEOU-UHFFFAOYSA-N 0 2 305.338 0.427 20 0 DCADLN CC[C@@H](CNC(=O)CCc1nnc[nH]1)[NH2+][C@H](C)c1ncccn1 ZINC001391686598 991094610 /nfs/dbraw/zinc/09/46/10/991094610.db2.gz SNADRZLKXPUQRH-NEPJUHHUSA-N 0 2 317.397 0.773 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001598360442 991502959 /nfs/dbraw/zinc/50/29/59/991502959.db2.gz UTMGIVUQCAEILM-BDAKNGLRSA-N 0 2 314.773 0.463 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001598360442 991502968 /nfs/dbraw/zinc/50/29/68/991502968.db2.gz UTMGIVUQCAEILM-BDAKNGLRSA-N 0 2 314.773 0.463 20 0 DCADLN CC(C)[C@@H]1C[C@@H](C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])CCO1 ZINC001591071423 991528976 /nfs/dbraw/zinc/52/89/76/991528976.db2.gz BXTYISVNXUBBCS-AVGNSLFASA-N 0 2 309.366 0.904 20 0 DCADLN COc1ncccc1C[N@H+](C)CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001599737048 991790351 /nfs/dbraw/zinc/79/03/51/991790351.db2.gz AQMXFZNCELLLDV-VXGBXAGGSA-N 0 2 307.350 0.359 20 0 DCADLN COc1ncccc1C[N@@H+](C)CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001599737048 991790361 /nfs/dbraw/zinc/79/03/61/991790361.db2.gz AQMXFZNCELLLDV-VXGBXAGGSA-N 0 2 307.350 0.359 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001599757110 992068318 /nfs/dbraw/zinc/06/83/18/992068318.db2.gz CAPBRXQOHODTGP-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001599757110 992068321 /nfs/dbraw/zinc/06/83/21/992068321.db2.gz CAPBRXQOHODTGP-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN CCc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001392635945 992184387 /nfs/dbraw/zinc/18/43/87/992184387.db2.gz NCIIWIAZCXYQOJ-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001392635945 992184390 /nfs/dbraw/zinc/18/43/90/992184390.db2.gz NCIIWIAZCXYQOJ-MRVPVSSYSA-N 0 2 307.358 0.046 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)CN1CCC[C@@H](C(=O)[O-])C1=O ZINC001598485985 992209036 /nfs/dbraw/zinc/20/90/36/992209036.db2.gz ZTXCIQKBAWVVKB-GFCCVEGCSA-N 0 2 322.365 0.055 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)NCCCCCCC(=O)[O-] ZINC001598488912 992253778 /nfs/dbraw/zinc/25/37/78/992253778.db2.gz NDORKVMBHTZMCC-UHFFFAOYSA-N 0 2 315.414 0.995 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1coc(C2CC2)n1 ZINC001392706569 992296253 /nfs/dbraw/zinc/29/62/53/992296253.db2.gz VHIWUGHSJDWGOP-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1coc(C2CC2)n1 ZINC001392706569 992296261 /nfs/dbraw/zinc/29/62/61/992296261.db2.gz VHIWUGHSJDWGOP-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN CCCCC(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001392816009 992422183 /nfs/dbraw/zinc/42/21/83/992422183.db2.gz NMRLAUJLEXVTAC-ZDUSSCGKSA-N 0 2 317.393 0.903 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001392853521 992463655 /nfs/dbraw/zinc/46/36/55/992463655.db2.gz RMLHLNXPQNXURY-YUMQZZPRSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001392878648 992490343 /nfs/dbraw/zinc/49/03/43/992490343.db2.gz ZQWGSSWWVUYAIZ-GHMZBOCLSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H](c1nnnn1C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001392995724 992610403 /nfs/dbraw/zinc/61/04/03/992610403.db2.gz OJMKZLAFIWWQAE-POYBYMJQSA-N 0 2 324.282 0.314 20 0 DCADLN C[C@@H](c1nnnn1C)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001392995724 992610408 /nfs/dbraw/zinc/61/04/08/992610408.db2.gz OJMKZLAFIWWQAE-POYBYMJQSA-N 0 2 324.282 0.314 20 0 DCADLN CN(Cc1ccccc1)C(=O)C[N@@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001598527909 992743417 /nfs/dbraw/zinc/74/34/17/992743417.db2.gz PWOUTZQRMSXAJO-ZFWWWQNUSA-N 0 2 306.362 0.555 20 0 DCADLN CN(Cc1ccccc1)C(=O)C[N@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001598527909 992743423 /nfs/dbraw/zinc/74/34/23/992743423.db2.gz PWOUTZQRMSXAJO-ZFWWWQNUSA-N 0 2 306.362 0.555 20 0 DCADLN Cn1cc(C[N@H+](C)C[C@@H](O)COc2ccc(C(=O)[O-])cc2)nn1 ZINC001598533386 992815094 /nfs/dbraw/zinc/81/50/94/992815094.db2.gz NMFMFHRBBBSEDZ-CYBMUJFWSA-N 0 2 320.349 0.385 20 0 DCADLN Cn1cc(C[N@@H+](C)C[C@@H](O)COc2ccc(C(=O)[O-])cc2)nn1 ZINC001598533386 992815098 /nfs/dbraw/zinc/81/50/98/992815098.db2.gz NMFMFHRBBBSEDZ-CYBMUJFWSA-N 0 2 320.349 0.385 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001393346766 992901631 /nfs/dbraw/zinc/90/16/31/992901631.db2.gz NNCGHEDKQLLMQF-IONNQARKSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001393346766 992901638 /nfs/dbraw/zinc/90/16/38/992901638.db2.gz NNCGHEDKQLLMQF-IONNQARKSA-N 0 2 314.279 0.765 20 0 DCADLN C[N@@H+]1C2(CCC2)COC[C@@]1(CO)CNc1nccc(C(=O)[O-])n1 ZINC001598556343 993061791 /nfs/dbraw/zinc/06/17/91/993061791.db2.gz NGYMCWXZTYBMGP-HNNXBMFYSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@H+]1C2(CCC2)COC[C@@]1(CO)CNc1nccc(C(=O)[O-])n1 ZINC001598556343 993061797 /nfs/dbraw/zinc/06/17/97/993061797.db2.gz NGYMCWXZTYBMGP-HNNXBMFYSA-N 0 2 322.365 0.203 20 0 DCADLN O=C(NC[C@H]1CCC2(CN(CCO)C2)O1)C(F)C(F)(F)F ZINC001393660508 993162695 /nfs/dbraw/zinc/16/26/95/993162695.db2.gz YRFIGOQMEMZIHE-RKDXNWHRSA-N 0 2 314.279 0.229 20 0 DCADLN CC[C@H](C(=O)NCC1(NC(=O)c2n[nH]c(C)c2[O-])CC1)[NH+](C)C ZINC001393711711 993194809 /nfs/dbraw/zinc/19/48/09/993194809.db2.gz ARBZOHFEMCUZDD-SNVBAGLBSA-N 0 2 323.397 0.143 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)CSC[C@@H]2CCCCO2)C[C@H]1C(=O)[O-] ZINC001598576564 993285367 /nfs/dbraw/zinc/28/53/67/993285367.db2.gz YNUTUYXKCYWVCY-TUAOUCFPSA-N 0 2 317.407 0.989 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)CSC[C@@H]2CCCCO2)C[C@H]1C(=O)[O-] ZINC001598576564 993285374 /nfs/dbraw/zinc/28/53/74/993285374.db2.gz YNUTUYXKCYWVCY-TUAOUCFPSA-N 0 2 317.407 0.989 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)CSC[C@@H]2CCCCO2)C[C@@H]1C(=O)[O-] ZINC001598576563 993285789 /nfs/dbraw/zinc/28/57/89/993285789.db2.gz YNUTUYXKCYWVCY-QJPTWQEYSA-N 0 2 317.407 0.989 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)CSC[C@@H]2CCCCO2)C[C@@H]1C(=O)[O-] ZINC001598576563 993285796 /nfs/dbraw/zinc/28/57/96/993285796.db2.gz YNUTUYXKCYWVCY-QJPTWQEYSA-N 0 2 317.407 0.989 20 0 DCADLN COCC(=O)N1CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001394297460 993605904 /nfs/dbraw/zinc/60/59/04/993605904.db2.gz WINZFKDNRTZFMJ-WCBMZHEXSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CC[C@@](C)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001394297460 993605908 /nfs/dbraw/zinc/60/59/08/993605908.db2.gz WINZFKDNRTZFMJ-WCBMZHEXSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H](NC(=O)c1ccoc1CC(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC001593183999 994085428 /nfs/dbraw/zinc/08/54/28/994085428.db2.gz VFGPBWGNXXITAU-GHMZBOCLSA-N 0 2 310.350 0.746 20 0 DCADLN C[C@@H]([NH2+]CC(=O)Nc1cnccn1)c1ncc(C(=O)[O-])s1 ZINC001593238199 994418456 /nfs/dbraw/zinc/41/84/56/994418456.db2.gz XZOMDUQMRQDQEU-SSDOTTSWSA-N 0 2 307.335 0.921 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC001598655779 994519286 /nfs/dbraw/zinc/51/92/86/994519286.db2.gz NLEQWZZLHMCJGE-VIFPVBQESA-N 0 2 320.305 0.096 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC001598655779 994519290 /nfs/dbraw/zinc/51/92/90/994519290.db2.gz NLEQWZZLHMCJGE-VIFPVBQESA-N 0 2 320.305 0.096 20 0 DCADLN O=C(Cc1cc[nH]n1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001400549267 994641297 /nfs/dbraw/zinc/64/12/97/994641297.db2.gz FGQGYYJDACDXRY-VIFPVBQESA-N 0 2 322.262 0.618 20 0 DCADLN O=C(Cc1cc[nH]n1)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001400549267 994641306 /nfs/dbraw/zinc/64/13/06/994641306.db2.gz FGQGYYJDACDXRY-VIFPVBQESA-N 0 2 322.262 0.618 20 0 DCADLN Cc1cccc(F)c1C(=O)NCCN(C)Cc1n[nH]c(=O)[nH]1 ZINC001492894779 994689586 /nfs/dbraw/zinc/68/95/86/994689586.db2.gz OTXLEKRSVXWUTF-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1cccc(F)c1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492894779 994689595 /nfs/dbraw/zinc/68/95/95/994689595.db2.gz OTXLEKRSVXWUTF-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1cccc(F)c1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492894779 994689600 /nfs/dbraw/zinc/68/96/00/994689600.db2.gz OTXLEKRSVXWUTF-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnccn2)C1 ZINC001400746483 994872907 /nfs/dbraw/zinc/87/29/07/994872907.db2.gz ISQSBYIFVUCQLI-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cnccn2)C1 ZINC001400746483 994872919 /nfs/dbraw/zinc/87/29/19/994872919.db2.gz ISQSBYIFVUCQLI-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CC(C)(C)CC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395692233 994889364 /nfs/dbraw/zinc/88/93/64/994889364.db2.gz NNXYUKJKGDYOLT-IONNQARKSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001395692233 994889371 /nfs/dbraw/zinc/88/93/71/994889371.db2.gz NNXYUKJKGDYOLT-IONNQARKSA-N 0 2 316.295 0.916 20 0 DCADLN C[C@](O)(CNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F)C(=O)[O-] ZINC001593400760 995160868 /nfs/dbraw/zinc/16/08/68/995160868.db2.gz YNNLGLPEENGHOH-XCBNKYQSSA-N 0 2 309.244 0.328 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)CNc1cccc[nH+]1 ZINC001598743020 995571540 /nfs/dbraw/zinc/57/15/40/995571540.db2.gz DCRWTWGPIPHLLZ-MNOVXSKESA-N 0 2 321.333 0.358 20 0 DCADLN COC[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCc1[nH+]ccn1C ZINC001396996562 995732327 /nfs/dbraw/zinc/73/23/27/995732327.db2.gz OAXBFOBJNSQTBP-KBPBESRZSA-N 0 2 308.426 0.920 20 0 DCADLN CCOCC(=O)N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001397007735 995738987 /nfs/dbraw/zinc/73/89/87/995738987.db2.gz CLTJSQOKRHKOJA-SCZZXKLOSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)N[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001397007735 995738994 /nfs/dbraw/zinc/73/89/94/995738994.db2.gz CLTJSQOKRHKOJA-SCZZXKLOSA-N 0 2 314.279 0.934 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CNc2cccc[nH+]2)[C@@H](C(=O)[O-])C1 ZINC001598793182 996090840 /nfs/dbraw/zinc/09/08/40/996090840.db2.gz JFWXRBJMDUSNBL-GHMZBOCLSA-N 0 2 321.333 0.358 20 0 DCADLN COC1CC(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)C1 ZINC001397936476 996266818 /nfs/dbraw/zinc/26/68/18/996266818.db2.gz HDLHRSKKCPLOIR-AMDVSUOASA-N 0 2 314.279 0.886 20 0 DCADLN COC1CC(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397936476 996266826 /nfs/dbraw/zinc/26/68/26/996266826.db2.gz HDLHRSKKCPLOIR-AMDVSUOASA-N 0 2 314.279 0.886 20 0 DCADLN CN(CCNC(=O)Cc1cnoc1)C(=O)C(F)C(F)(F)F ZINC001398010076 996311258 /nfs/dbraw/zinc/31/12/58/996311258.db2.gz VZFQIDKBIILMDR-VIFPVBQESA-N 0 2 311.235 0.692 20 0 DCADLN CN(CCNC(=O)Cc1cnoc1)C(=O)[C@H](F)C(F)(F)F ZINC001398010076 996311262 /nfs/dbraw/zinc/31/12/62/996311262.db2.gz VZFQIDKBIILMDR-VIFPVBQESA-N 0 2 311.235 0.692 20 0 DCADLN C[C@H](c1nnnn1C1CCCCC1)[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001593736801 996330027 /nfs/dbraw/zinc/33/00/27/996330027.db2.gz ASHBBAYTUKJKGW-QMTHXVAHSA-N 0 2 309.370 0.761 20 0 DCADLN C[C@H](c1nnnn1C1CCCCC1)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001593736801 996330032 /nfs/dbraw/zinc/33/00/32/996330032.db2.gz ASHBBAYTUKJKGW-QMTHXVAHSA-N 0 2 309.370 0.761 20 0 DCADLN C[C@@H](c1nncn1C)[NH+]1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001593737603 996337314 /nfs/dbraw/zinc/33/73/14/996337314.db2.gz JDWHTNFWJJGAQC-VIFPVBQESA-N 0 2 305.342 0.503 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001398185776 996403669 /nfs/dbraw/zinc/40/36/69/996403669.db2.gz QRXKJFWFSKWNLI-JTQLQIEISA-N 0 2 311.386 0.358 20 0 DCADLN Cc1nccnc1C[N@@H+]1CC[C@@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001398314831 996465751 /nfs/dbraw/zinc/46/57/51/996465751.db2.gz ZMOSKRICJZHZKU-CYBMUJFWSA-N 0 2 315.381 0.226 20 0 DCADLN CCCN(C(=O)C(F)F)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001398349611 996483952 /nfs/dbraw/zinc/48/39/52/996483952.db2.gz SQXBMWQIMYBVLR-UHFFFAOYSA-N 0 2 317.340 0.978 20 0 DCADLN COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC001598841068 996525815 /nfs/dbraw/zinc/52/58/15/996525815.db2.gz WXAHCHXCZXWHOQ-SNVBAGLBSA-N 0 2 324.333 0.687 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nc1Cl ZINC001599485369 996839922 /nfs/dbraw/zinc/83/99/22/996839922.db2.gz QZOQFBYUFCTUEB-SECBINFHSA-N 0 2 324.724 0.892 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nc1Cl ZINC001599485369 996839930 /nfs/dbraw/zinc/83/99/30/996839930.db2.gz QZOQFBYUFCTUEB-SECBINFHSA-N 0 2 324.724 0.892 20 0 DCADLN CC(C)(C(=O)NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)C(F)F ZINC001404271825 996889790 /nfs/dbraw/zinc/88/97/90/996889790.db2.gz KLLADCNFNAKSLK-UHFFFAOYSA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)(C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(F)F ZINC001404271825 996889795 /nfs/dbraw/zinc/88/97/95/996889795.db2.gz KLLADCNFNAKSLK-UHFFFAOYSA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)(C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(F)F ZINC001404271825 996889808 /nfs/dbraw/zinc/88/98/08/996889808.db2.gz KLLADCNFNAKSLK-UHFFFAOYSA-N 0 2 317.340 0.882 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@H+](C)CC(=O)N1CCOCC1 ZINC001599498814 997072058 /nfs/dbraw/zinc/07/20/58/997072058.db2.gz IHIMFWBXMLNFIB-UHFFFAOYSA-N 0 2 322.361 0.684 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@@H+](C)CC(=O)N1CCOCC1 ZINC001599498814 997072072 /nfs/dbraw/zinc/07/20/72/997072072.db2.gz IHIMFWBXMLNFIB-UHFFFAOYSA-N 0 2 322.361 0.684 20 0 DCADLN O=C(/C=C\C1CC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510967383 1016094460 /nfs/dbraw/zinc/09/44/60/1016094460.db2.gz BMJJKPHMRQVZJO-GVGBKSHOSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(/C=C\C1CC1)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001510967383 1016094471 /nfs/dbraw/zinc/09/44/71/1016094471.db2.gz BMJJKPHMRQVZJO-GVGBKSHOSA-N 0 2 312.263 0.446 20 0 DCADLN COc1ccc(C(=O)[O-])cc1S(=O)(=O)NC[C@H]1CC[N@@H+]1C ZINC001599502082 997142275 /nfs/dbraw/zinc/14/22/75/997142275.db2.gz XTQBYHUCQODAEA-SNVBAGLBSA-N 0 2 314.363 0.376 20 0 DCADLN COc1ccc(C(=O)[O-])cc1S(=O)(=O)NC[C@H]1CC[N@H+]1C ZINC001599502082 997142281 /nfs/dbraw/zinc/14/22/81/997142281.db2.gz XTQBYHUCQODAEA-SNVBAGLBSA-N 0 2 314.363 0.376 20 0 DCADLN COCc1noc(C[N@@H+]2[C@H]3C[C@H](C(=O)[O-])O[C@H]3CC[C@H]2C)n1 ZINC001599307893 997358348 /nfs/dbraw/zinc/35/83/48/997358348.db2.gz HMZKYFMGCATZBO-VPOLOUISSA-N 0 2 311.338 0.811 20 0 DCADLN COCc1noc(C[N@H+]2[C@H]3C[C@H](C(=O)[O-])O[C@H]3CC[C@H]2C)n1 ZINC001599307893 997358355 /nfs/dbraw/zinc/35/83/55/997358355.db2.gz HMZKYFMGCATZBO-VPOLOUISSA-N 0 2 311.338 0.811 20 0 DCADLN COCCC(=O)NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001404836520 997509198 /nfs/dbraw/zinc/50/91/98/997509198.db2.gz KRRJEJFYQUSFGV-NRPADANISA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404836520 997509210 /nfs/dbraw/zinc/50/92/10/997509210.db2.gz KRRJEJFYQUSFGV-NRPADANISA-N 0 2 314.279 0.934 20 0 DCADLN COCC[C@H](NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)C(=O)[O-] ZINC001599216092 997585079 /nfs/dbraw/zinc/58/50/79/997585079.db2.gz IRDUZUSZRNQUQS-JOYOIKCWSA-N 0 2 310.354 0.396 20 0 DCADLN COCC[C@H](NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)C(=O)[O-] ZINC001599216092 997585088 /nfs/dbraw/zinc/58/50/88/997585088.db2.gz IRDUZUSZRNQUQS-JOYOIKCWSA-N 0 2 310.354 0.396 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)[C@H](C(=O)[O-])C1 ZINC001599118654 997698663 /nfs/dbraw/zinc/69/86/63/997698663.db2.gz CWKQDMSGPBQRJH-UTUOFQBUSA-N 0 2 307.350 0.536 20 0 DCADLN COCCCNC(=O)[C@H](C)[N@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001599225424 997749484 /nfs/dbraw/zinc/74/94/84/997749484.db2.gz IMHCEIUNNTXREA-NSHDSACASA-N 0 2 309.366 0.753 20 0 DCADLN COCCCNC(=O)[C@H](C)[N@@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001599225424 997749498 /nfs/dbraw/zinc/74/94/98/997749498.db2.gz IMHCEIUNNTXREA-NSHDSACASA-N 0 2 309.366 0.753 20 0 DCADLN COC(=O)c1cc(C[NH2+]Cc2cn(CC(=O)[O-])nn2)n(C)c1C ZINC001598931108 997757098 /nfs/dbraw/zinc/75/70/98/997757098.db2.gz YNDGSLPMAAHWJL-UHFFFAOYSA-N 0 2 321.337 0.086 20 0 DCADLN CO[C@H]1CC[N@H+](CC(=O)NC(C2CC2)C2CC2)[C@H](C(=O)[O-])C1 ZINC001599122532 997765386 /nfs/dbraw/zinc/76/53/86/997765386.db2.gz LPNBOSMIHJESQM-STQMWFEESA-N 0 2 310.394 0.855 20 0 DCADLN CO[C@H]1CC[N@@H+](CC(=O)NC(C2CC2)C2CC2)[C@H](C(=O)[O-])C1 ZINC001599122532 997765395 /nfs/dbraw/zinc/76/53/95/997765395.db2.gz LPNBOSMIHJESQM-STQMWFEESA-N 0 2 310.394 0.855 20 0 DCADLN COCCC1(C(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001405116192 997816851 /nfs/dbraw/zinc/81/68/51/997816851.db2.gz HMOLWIWZDSKSLN-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN COCCC1(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001405116192 997816860 /nfs/dbraw/zinc/81/68/60/997816860.db2.gz HMOLWIWZDSKSLN-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CC(=O)CCCC(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405134338 997840539 /nfs/dbraw/zinc/84/05/39/997840539.db2.gz NSCXFQLLXFCTRQ-LLVKDONJSA-N 0 2 309.370 0.302 20 0 DCADLN COc1c(N)[nH+]cnc1N1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC001599336186 997842217 /nfs/dbraw/zinc/84/22/17/997842217.db2.gz UQRLESFACDBQIH-SNVBAGLBSA-N 0 2 306.244 0.911 20 0 DCADLN COc1c(N)nc[nH+]c1N1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC001599336186 997842228 /nfs/dbraw/zinc/84/22/28/997842228.db2.gz UQRLESFACDBQIH-SNVBAGLBSA-N 0 2 306.244 0.911 20 0 DCADLN CCC(CC)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405282831 998045321 /nfs/dbraw/zinc/04/53/21/998045321.db2.gz DGUUVHYVXTYJMS-RKDXNWHRSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001405282831 998045339 /nfs/dbraw/zinc/04/53/39/998045339.db2.gz DGUUVHYVXTYJMS-RKDXNWHRSA-N 0 2 316.295 0.916 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@@H+]2C[C@H](O)[C@@H](CO)C2)c1 ZINC001599450473 998086760 /nfs/dbraw/zinc/08/67/60/998086760.db2.gz GHNGDUJBOXWJNC-DDTOSNHZSA-N 0 2 311.334 0.115 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2C[C@H](O)[C@@H](CO)C2)c1 ZINC001599450473 998086773 /nfs/dbraw/zinc/08/67/73/998086773.db2.gz GHNGDUJBOXWJNC-DDTOSNHZSA-N 0 2 311.334 0.115 20 0 DCADLN COCC(=O)NC1CCN(c2cc(CC(=O)[O-])cc[nH+]2)CC1 ZINC001599143606 998173472 /nfs/dbraw/zinc/17/34/72/998173472.db2.gz XZYABCRTROSOMS-UHFFFAOYSA-N 0 2 307.350 0.440 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)C1(n2cnnn2)CC1 ZINC001467898584 1016208516 /nfs/dbraw/zinc/20/85/16/1016208516.db2.gz JJZAOFASDFQGPG-UHFFFAOYSA-N 0 2 312.293 0.292 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)C2CCC(C(=O)[O-])CC2)CC[N@H+]1C ZINC001594176796 998681876 /nfs/dbraw/zinc/68/18/76/998681876.db2.gz MBEBXMGXOKEDCS-IALDZJHCSA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)C2CCC(C(=O)[O-])CC2)CC[N@@H+]1C ZINC001594176796 998681878 /nfs/dbraw/zinc/68/18/78/998681878.db2.gz MBEBXMGXOKEDCS-IALDZJHCSA-N 0 2 318.439 0.984 20 0 DCADLN COC(=O)c1coc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c1 ZINC001599012033 998906911 /nfs/dbraw/zinc/90/69/11/998906911.db2.gz POXLHHQUICOVMI-LLVKDONJSA-N 0 2 310.306 0.231 20 0 DCADLN COC(=O)c1coc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c1 ZINC001599012033 998906915 /nfs/dbraw/zinc/90/69/15/998906915.db2.gz POXLHHQUICOVMI-LLVKDONJSA-N 0 2 310.306 0.231 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)CCSCC(=O)[O-] ZINC001594249480 998954201 /nfs/dbraw/zinc/95/42/01/998954201.db2.gz IFVDJNSTRPNKAP-NWDGAFQWSA-N 0 2 316.423 0.516 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])[nH]1 ZINC001594250571 998961350 /nfs/dbraw/zinc/96/13/50/998961350.db2.gz NXJJJDQBFMAOGS-WDEREUQCSA-N 0 2 307.350 0.648 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NCC(=O)NC(C)(C)C)[C@H](C(=O)[O-])C1 ZINC001594415215 999553912 /nfs/dbraw/zinc/55/39/12/999553912.db2.gz YGZMPPSRRQCCGN-MNOVXSKESA-N 0 2 313.398 0.202 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)[C@H](C(=O)[O-])C1 ZINC001594415215 999553913 /nfs/dbraw/zinc/55/39/13/999553913.db2.gz YGZMPPSRRQCCGN-MNOVXSKESA-N 0 2 313.398 0.202 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)CCCF ZINC001511592258 1016366019 /nfs/dbraw/zinc/36/60/19/1016366019.db2.gz NMEOGCWSMQOAKI-VXNVDRBHSA-N 0 2 320.258 0.572 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)CCCF ZINC001511592258 1016366028 /nfs/dbraw/zinc/36/60/28/1016366028.db2.gz NMEOGCWSMQOAKI-VXNVDRBHSA-N 0 2 320.258 0.572 20 0 DCADLN Cc1nccnc1C[NH2+][C@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001418431902 1000213629 /nfs/dbraw/zinc/21/36/29/1000213629.db2.gz UNEHKPHZKDDTRT-LLVKDONJSA-N 0 2 302.382 0.735 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H](C)C(C)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001418562048 1000345964 /nfs/dbraw/zinc/34/59/64/1000345964.db2.gz JJFVWCYQRPXOLJ-QMMMGPOBSA-N 0 2 305.329 0.740 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H](C)C(C)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001418562048 1000345969 /nfs/dbraw/zinc/34/59/69/1000345969.db2.gz JJFVWCYQRPXOLJ-QMMMGPOBSA-N 0 2 305.329 0.740 20 0 DCADLN O=C(Cc1cn2c(n1)CCCC2)NCc1nc(O)cc(=O)[nH]1 ZINC001418625612 1000404912 /nfs/dbraw/zinc/40/49/12/1000404912.db2.gz QXSVHLZUPSWTAJ-UHFFFAOYSA-N 0 2 303.322 0.280 20 0 DCADLN CCc1noc([C@H](C)N(C)C[C@@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001401752225 1000689701 /nfs/dbraw/zinc/68/97/01/1000689701.db2.gz JWONLADUSMEPGG-BDAKNGLRSA-N 0 2 307.358 0.561 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401756197 1000692770 /nfs/dbraw/zinc/69/27/70/1000692770.db2.gz QFJZAVMHHSNCNM-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401756197 1000692771 /nfs/dbraw/zinc/69/27/71/1000692771.db2.gz QFJZAVMHHSNCNM-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@H]1CCCN1C(=O)c1cnc[nH]1 ZINC001419444795 1001054407 /nfs/dbraw/zinc/05/44/07/1001054407.db2.gz RRVPENXRHFCTHM-SECBINFHSA-N 0 2 304.310 0.682 20 0 DCADLN O=C(CC[N@H+]1CC[C@@H](F)C1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001470629713 1016468459 /nfs/dbraw/zinc/46/84/59/1016468459.db2.gz PSEBSJDILNAYJZ-OCCSQVGLSA-N 0 2 318.356 0.839 20 0 DCADLN O=C(CC[N@@H+]1CC[C@@H](F)C1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001470629713 1016468466 /nfs/dbraw/zinc/46/84/66/1016468466.db2.gz PSEBSJDILNAYJZ-OCCSQVGLSA-N 0 2 318.356 0.839 20 0 DCADLN CC1(CC(=O)N[C@@H]2COC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)CC1 ZINC001402678145 1001467704 /nfs/dbraw/zinc/46/77/04/1001467704.db2.gz CKSWIPUTISWPCO-JTQLQIEISA-N 0 2 321.381 0.160 20 0 DCADLN CCC[C@H](C)C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001402677098 1001467718 /nfs/dbraw/zinc/46/77/18/1001467718.db2.gz MWZQSVHILJKCIM-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C)C(=O)C[N@@H+]1CC[C@@H](NC(=O)c2nc[nH]n2)C(C)(C)C1 ZINC001402787631 1001502054 /nfs/dbraw/zinc/50/20/54/1001502054.db2.gz BCYCJQTUPCELOC-LLVKDONJSA-N 0 2 322.413 0.113 20 0 DCADLN CCN(C)C(=O)C[N@H+]1CC[C@@H](NC(=O)c2nc[nH]n2)C(C)(C)C1 ZINC001402787631 1001502056 /nfs/dbraw/zinc/50/20/56/1001502056.db2.gz BCYCJQTUPCELOC-LLVKDONJSA-N 0 2 322.413 0.113 20 0 DCADLN C[C@H](CNC(=O)c1occ2c1CCC2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403171873 1001706088 /nfs/dbraw/zinc/70/60/88/1001706088.db2.gz UQXUDJZTWOXGQE-SECBINFHSA-N 0 2 319.365 0.842 20 0 DCADLN C[C@H](CNC(=O)c1occ2c1CCC2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403171873 1001706089 /nfs/dbraw/zinc/70/60/89/1001706089.db2.gz UQXUDJZTWOXGQE-SECBINFHSA-N 0 2 319.365 0.842 20 0 DCADLN C[C@H](CNC(=O)COc1ccccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403189097 1001716555 /nfs/dbraw/zinc/71/65/55/1001716555.db2.gz CHDHQWHCYBNXOP-LLVKDONJSA-N 0 2 319.365 0.526 20 0 DCADLN C[C@H](CNC(=O)COc1ccccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403189097 1001716559 /nfs/dbraw/zinc/71/65/59/1001716559.db2.gz CHDHQWHCYBNXOP-LLVKDONJSA-N 0 2 319.365 0.526 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1C[C@@H]1C1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420323959 1002224811 /nfs/dbraw/zinc/22/48/11/1002224811.db2.gz HQGBARZVQFCKJH-NEPJUHHUSA-N 0 2 307.398 0.885 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1C[C@@H]1C1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420323959 1002224816 /nfs/dbraw/zinc/22/48/16/1002224816.db2.gz HQGBARZVQFCKJH-NEPJUHHUSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001420332795 1002238717 /nfs/dbraw/zinc/23/87/17/1002238717.db2.gz GGHQJJIFYCQGPR-SNVBAGLBSA-N 0 2 304.354 0.257 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001420332795 1002238723 /nfs/dbraw/zinc/23/87/23/1002238723.db2.gz GGHQJJIFYCQGPR-SNVBAGLBSA-N 0 2 304.354 0.257 20 0 DCADLN C[C@H](CNC(=O)Cc1cscn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425036731 1002277312 /nfs/dbraw/zinc/27/73/12/1002277312.db2.gz WVSGUTUISNCOFX-MRVPVSSYSA-N 0 2 310.383 0.146 20 0 DCADLN C[C@H](CNC(=O)Cc1cscn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425036731 1002277326 /nfs/dbraw/zinc/27/73/26/1002277326.db2.gz WVSGUTUISNCOFX-MRVPVSSYSA-N 0 2 310.383 0.146 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001425218548 1002449087 /nfs/dbraw/zinc/44/90/87/1002449087.db2.gz HEPPSWYRKJWRJN-XCBNKYQSSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001425218548 1002449077 /nfs/dbraw/zinc/44/90/77/1002449077.db2.gz HEPPSWYRKJWRJN-XCBNKYQSSA-N 0 2 323.294 0.652 20 0 DCADLN Cn1cc(C[N@H+]2CCC[C@H]2CNC(=O)C[NH+]2CCCC2)cn1 ZINC001420494875 1002497185 /nfs/dbraw/zinc/49/71/85/1002497185.db2.gz PPKKPDBHNCHIJN-HNNXBMFYSA-N 0 2 305.426 0.597 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001425281768 1002511702 /nfs/dbraw/zinc/51/17/02/1002511702.db2.gz GGYKZZJBPFXRRP-SVRRBLITSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001425281768 1002511710 /nfs/dbraw/zinc/51/17/10/1002511710.db2.gz GGYKZZJBPFXRRP-SVRRBLITSA-N 0 2 311.235 0.122 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001425382110 1002625820 /nfs/dbraw/zinc/62/58/20/1002625820.db2.gz IZYIAVWEFYJWNF-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001425382110 1002625824 /nfs/dbraw/zinc/62/58/24/1002625824.db2.gz IZYIAVWEFYJWNF-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001420570123 1002632557 /nfs/dbraw/zinc/63/25/57/1002632557.db2.gz FBFSDZLSHNXYLX-VIFPVBQESA-N 0 2 319.369 0.167 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001420570123 1002632565 /nfs/dbraw/zinc/63/25/65/1002632565.db2.gz FBFSDZLSHNXYLX-VIFPVBQESA-N 0 2 319.369 0.167 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CCC(F)(F)C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505931374 1016728553 /nfs/dbraw/zinc/72/85/53/1016728553.db2.gz ZDYOYDMVWISGMK-BDAKNGLRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CCC(F)(F)C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505931374 1016728567 /nfs/dbraw/zinc/72/85/67/1016728567.db2.gz ZDYOYDMVWISGMK-BDAKNGLRSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(NC1CN(C[C@@H]2CCc3ncnn32)C1)C(F)C(F)(F)F ZINC001421031179 1003249590 /nfs/dbraw/zinc/24/95/90/1003249590.db2.gz PMAUIHOYOKSSNX-WPRPVWTQSA-N 0 2 321.278 0.466 20 0 DCADLN C[C@@H]1CCCC[C@@H]1OCC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421073956 1003293758 /nfs/dbraw/zinc/29/37/58/1003293758.db2.gz LSBGPLXSBDREJX-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCCN1c1ncccn1)c1nn(C)cc1O ZINC001472237109 1016767509 /nfs/dbraw/zinc/76/75/09/1016767509.db2.gz QYSHVSMCJSYRFJ-MNOVXSKESA-N 0 2 316.365 0.762 20 0 DCADLN C[C@@H](NC(=O)c1cn(C2CCOCC2)nn1)c1nn(C)cc1O ZINC001472237270 1016769794 /nfs/dbraw/zinc/76/97/94/1016769794.db2.gz SHMSBTLAGJNOMM-SECBINFHSA-N 0 2 320.353 0.560 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001421197577 1003432672 /nfs/dbraw/zinc/43/26/72/1003432672.db2.gz QADXSIOAWXGCLJ-NHYWBVRUSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001421197577 1003432676 /nfs/dbraw/zinc/43/26/76/1003432676.db2.gz QADXSIOAWXGCLJ-NHYWBVRUSA-N 0 2 318.381 0.654 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001406350840 1003629406 /nfs/dbraw/zinc/62/94/06/1003629406.db2.gz UANJFSOUOHRWIF-VXNVDRBHSA-N 0 2 321.385 0.573 20 0 DCADLN CN(C[C@H](O)CN(C)C(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001428269751 1003807740 /nfs/dbraw/zinc/80/77/40/1003807740.db2.gz SKIAFONHFMZUEX-BDAKNGLRSA-N 0 2 314.279 0.575 20 0 DCADLN CN(C[C@H](O)CN(C)C(=O)C1CC1)C(=O)[C@H](F)C(F)(F)F ZINC001428269751 1003807758 /nfs/dbraw/zinc/80/77/58/1003807758.db2.gz SKIAFONHFMZUEX-BDAKNGLRSA-N 0 2 314.279 0.575 20 0 DCADLN CCOC(=O)c1n[nH]c(CNC(=O)c2ccc(F)cc2O)n1 ZINC001472547147 1016823788 /nfs/dbraw/zinc/82/37/88/1016823788.db2.gz XCNNNIKCIWUMQS-UHFFFAOYSA-N 0 2 308.269 0.756 20 0 DCADLN CC1(C)C[C@@]1(C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001428334558 1003872966 /nfs/dbraw/zinc/87/29/66/1003872966.db2.gz PNHIYVFWUMEQOW-GFCCVEGCSA-N 0 2 315.399 0.337 20 0 DCADLN CCO[C@@H](C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001406611596 1003922887 /nfs/dbraw/zinc/92/28/87/1003922887.db2.gz NXSGLOLOAYWHOX-BXKDBHETSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@@H](C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001406611596 1003922905 /nfs/dbraw/zinc/92/29/05/1003922905.db2.gz NXSGLOLOAYWHOX-BXKDBHETSA-N 0 2 311.386 0.262 20 0 DCADLN CCn1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001406651826 1003969431 /nfs/dbraw/zinc/96/94/31/1003969431.db2.gz SVULCZLJYIBMPR-SNVBAGLBSA-N 0 2 320.397 0.890 20 0 DCADLN CCn1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001406651826 1003969442 /nfs/dbraw/zinc/96/94/42/1003969442.db2.gz SVULCZLJYIBMPR-SNVBAGLBSA-N 0 2 320.397 0.890 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001428653980 1004092385 /nfs/dbraw/zinc/09/23/85/1004092385.db2.gz KMDKJRMGECWQRB-HTRCEHHLSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)[nH]n1 ZINC001428653980 1004092399 /nfs/dbraw/zinc/09/23/99/1004092399.db2.gz KMDKJRMGECWQRB-HTRCEHHLSA-N 0 2 310.251 0.853 20 0 DCADLN CCOCC(=O)N1CC([C@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001427053327 1004184788 /nfs/dbraw/zinc/18/47/88/1004184788.db2.gz NEJMQINGVQSQEH-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N1CC([C@H](C)NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001427053327 1004184792 /nfs/dbraw/zinc/18/47/92/1004184792.db2.gz NEJMQINGVQSQEH-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN CC1CC[NH+](CC(=O)N[C@@]2(C)CC[N@H+](CC(=O)N(C)C)C2)CC1 ZINC001407193202 1004459870 /nfs/dbraw/zinc/45/98/70/1004459870.db2.gz KYQUTFPFGZVMFW-KRWDZBQOSA-N 0 2 324.469 0.387 20 0 DCADLN Cc1nc[nH]c1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001429297685 1004515662 /nfs/dbraw/zinc/51/56/62/1004515662.db2.gz PQMYGFQOZXWKPT-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1nc[nH]c1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001429297685 1004515669 /nfs/dbraw/zinc/51/56/69/1004515669.db2.gz PQMYGFQOZXWKPT-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN CN(CCNC(=O)[C@@]1(C)CCOC1)C(=O)C(F)C(F)(F)F ZINC001429441383 1004599090 /nfs/dbraw/zinc/59/90/90/1004599090.db2.gz BERBVXQFSOUBBJ-KCJUWKMLSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@@]1(C)CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001429441383 1004599099 /nfs/dbraw/zinc/59/90/99/1004599099.db2.gz BERBVXQFSOUBBJ-KCJUWKMLSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)c1ccncn1)C(=O)C(F)C(F)(F)F ZINC001429447117 1004603643 /nfs/dbraw/zinc/60/36/43/1004603643.db2.gz LRMYCBDHJFIPBB-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1ccncn1)C(=O)[C@H](F)C(F)(F)F ZINC001429447117 1004603645 /nfs/dbraw/zinc/60/36/45/1004603645.db2.gz LRMYCBDHJFIPBB-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN O=C(CCC1CC1)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001427781669 1004604133 /nfs/dbraw/zinc/60/41/33/1004604133.db2.gz QHLYAQWZAFDTRA-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001427781669 1004604140 /nfs/dbraw/zinc/60/41/40/1004604140.db2.gz QHLYAQWZAFDTRA-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NCC[C@@H]1CCOC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414582754 1005365087 /nfs/dbraw/zinc/36/50/87/1005365087.db2.gz NAHIZBUMSZOSIO-MNOVXSKESA-N 0 2 309.370 0.826 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccnn1C ZINC001415182300 1005476748 /nfs/dbraw/zinc/47/67/48/1005476748.db2.gz NFTLQNYTFRNHKD-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1ccnn1C ZINC001415182300 1005476750 /nfs/dbraw/zinc/47/67/50/1005476750.db2.gz NFTLQNYTFRNHKD-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CCNC(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001415385198 1005515159 /nfs/dbraw/zinc/51/51/59/1005515159.db2.gz BDKROOPPYASVAD-RNFRBKRXSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)c1ncc[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001415385198 1005515163 /nfs/dbraw/zinc/51/51/63/1005515163.db2.gz BDKROOPPYASVAD-RNFRBKRXSA-N 0 2 310.251 0.935 20 0 DCADLN CC(C)C(=O)N(C)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001415627763 1005579166 /nfs/dbraw/zinc/57/91/66/1005579166.db2.gz UPTUZSNVODGPFS-UHFFFAOYSA-N 0 2 318.381 0.654 20 0 DCADLN Cc1nc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)co1 ZINC001416030276 1005652434 /nfs/dbraw/zinc/65/24/34/1005652434.db2.gz NGPJVAREGKTWFC-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)co1 ZINC001416030276 1005652435 /nfs/dbraw/zinc/65/24/35/1005652435.db2.gz NGPJVAREGKTWFC-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001416029434 1005652459 /nfs/dbraw/zinc/65/24/59/1005652459.db2.gz LCLMVEJOBLRGEL-JTQLQIEISA-N 0 2 322.262 0.488 20 0 DCADLN Cc1cc(CC(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)[nH]n1 ZINC001416029434 1005652460 /nfs/dbraw/zinc/65/24/60/1005652460.db2.gz LCLMVEJOBLRGEL-JTQLQIEISA-N 0 2 322.262 0.488 20 0 DCADLN COC[C@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416033681 1005653019 /nfs/dbraw/zinc/65/30/19/1005653019.db2.gz VBKOJVVRHVFIRE-XPUUQOCRSA-N 0 2 300.252 0.496 20 0 DCADLN COC[C@H](C)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001416033681 1005653020 /nfs/dbraw/zinc/65/30/20/1005653020.db2.gz VBKOJVVRHVFIRE-XPUUQOCRSA-N 0 2 300.252 0.496 20 0 DCADLN O=C(Cc1cnoc1)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416117912 1005662978 /nfs/dbraw/zinc/66/29/78/1005662978.db2.gz LEKVPNAUTWAFBM-SNVBAGLBSA-N 0 2 323.246 0.692 20 0 DCADLN O=C(Cc1cnoc1)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416117912 1005662979 /nfs/dbraw/zinc/66/29/79/1005662979.db2.gz LEKVPNAUTWAFBM-SNVBAGLBSA-N 0 2 323.246 0.692 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)cnn1 ZINC001416805675 1005739241 /nfs/dbraw/zinc/73/92/41/1005739241.db2.gz JUYSJKYWZGVRBG-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)cnn1 ZINC001416805675 1005739243 /nfs/dbraw/zinc/73/92/43/1005739243.db2.gz JUYSJKYWZGVRBG-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN COc1ccnc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)c1 ZINC001417701467 1005871225 /nfs/dbraw/zinc/87/12/25/1005871225.db2.gz KZLLGCHSNUPFHU-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN Cc1ncsc1CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001417871946 1005910615 /nfs/dbraw/zinc/91/06/15/1005910615.db2.gz TVTANQLGMSDTPA-UHFFFAOYSA-N 0 2 318.362 0.195 20 0 DCADLN O=C(N[C@H]1CCCOC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417886971 1005915864 /nfs/dbraw/zinc/91/58/64/1005915864.db2.gz KEDGCMNIQCDBIZ-JTQLQIEISA-N 0 2 304.306 0.175 20 0 DCADLN CC(C)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[NH+]2CC[C@@H](C)C2)C1 ZINC001417910683 1005922488 /nfs/dbraw/zinc/92/24/88/1005922488.db2.gz DHIXRRZBHXLLRA-HUUCEWRRSA-N 0 2 324.469 0.433 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2nccn2C2CC2)c1 ZINC001417971746 1005943641 /nfs/dbraw/zinc/94/36/41/1005943641.db2.gz NKPPKYKSRDZLOH-UHFFFAOYSA-N 0 2 322.346 0.823 20 0 DCADLN C[C@]1(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC(=O)N1 ZINC001434809420 1005994843 /nfs/dbraw/zinc/99/48/43/1005994843.db2.gz HOLNYXGHKMEQMJ-YMTOWFKASA-N 0 2 322.369 0.068 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)C1=CCCC1 ZINC001451423195 1006165049 /nfs/dbraw/zinc/16/50/49/1006165049.db2.gz XKLPVFVJAUVOSZ-DTWKUNHWSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001451423195 1006165044 /nfs/dbraw/zinc/16/50/44/1006165044.db2.gz XKLPVFVJAUVOSZ-DTWKUNHWSA-N 0 2 312.263 0.590 20 0 DCADLN CC(C)(C)CC[NH+]1CC(CCO)(NC(=O)CCc2cnn[nH]2)C1 ZINC001506119067 1017037935 /nfs/dbraw/zinc/03/79/35/1017037935.db2.gz IFWPSSGEIJUFSK-UHFFFAOYSA-N 0 2 323.441 0.727 20 0 DCADLN CCCC(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001451858102 1006455582 /nfs/dbraw/zinc/45/55/82/1006455582.db2.gz KYVKNWDLULHUOK-CBAPKCEASA-N 0 2 302.268 0.622 20 0 DCADLN CCCC(=O)NC[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001451858102 1006455608 /nfs/dbraw/zinc/45/56/08/1006455608.db2.gz KYVKNWDLULHUOK-CBAPKCEASA-N 0 2 302.268 0.622 20 0 DCADLN C[C@@H]1CC[C@H](C(N)=O)[C@H](C)N1C(=O)C[C@@H]1SC(=N)NC1=O ZINC001452456899 1006842585 /nfs/dbraw/zinc/84/25/85/1006842585.db2.gz IFXHWFVDSADRSN-XGEHTFHBSA-N 0 2 312.395 0.044 20 0 DCADLN CC(C)(C)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001452639701 1006961087 /nfs/dbraw/zinc/96/10/87/1006961087.db2.gz YLIBAHNSAAEOHE-PRJMDXOYSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)(C)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001452639701 1006961088 /nfs/dbraw/zinc/96/10/88/1006961088.db2.gz YLIBAHNSAAEOHE-PRJMDXOYSA-N 0 2 314.279 0.621 20 0 DCADLN Cc1n[nH]c(SCC(=O)N2CC[C@H](c3cc(=O)[nH][nH]3)C2)n1 ZINC001452725200 1007016605 /nfs/dbraw/zinc/01/66/05/1007016605.db2.gz ITIWMXNNNVPLPV-QMMMGPOBSA-N 0 2 308.367 0.650 20 0 DCADLN CC[N@H+](CCNC(=O)c1coc(C2CC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001437627181 1007191393 /nfs/dbraw/zinc/19/13/93/1007191393.db2.gz VZCCLJXFMUMNIW-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN CC[N@@H+](CCNC(=O)c1coc(C2CC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001437627181 1007191402 /nfs/dbraw/zinc/19/14/02/1007191402.db2.gz VZCCLJXFMUMNIW-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN Cn1nncc1CN1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001437682617 1007250744 /nfs/dbraw/zinc/25/07/44/1007250744.db2.gz UHMQDFNFCZHTMS-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN(CCNC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001437783669 1007402622 /nfs/dbraw/zinc/40/26/22/1007402622.db2.gz AJZZVQFUSAPYQM-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN(CCNC(=O)[C@H](F)C(F)(F)F)C2CC2)n1 ZINC001437783669 1007402632 /nfs/dbraw/zinc/40/26/32/1007402632.db2.gz AJZZVQFUSAPYQM-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN CCn1cc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001438120358 1007649812 /nfs/dbraw/zinc/64/98/12/1007649812.db2.gz HZPDCFDBNBPBDL-JTQLQIEISA-N 0 2 323.294 0.746 20 0 DCADLN CCn1cc(CN2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001438120358 1007649824 /nfs/dbraw/zinc/64/98/24/1007649824.db2.gz HZPDCFDBNBPBDL-JTQLQIEISA-N 0 2 323.294 0.746 20 0 DCADLN CC(C)c1coc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC001438256064 1007745300 /nfs/dbraw/zinc/74/53/00/1007745300.db2.gz ZWHGYIQBXNHYNY-UHFFFAOYSA-N 0 2 302.294 0.821 20 0 DCADLN O=C(CCc1nn[nH]n1)NCc1cccc(Cn2ccnc2)c1 ZINC001441117090 1008223959 /nfs/dbraw/zinc/22/39/59/1008223959.db2.gz ILBAIJRRINMTIZ-UHFFFAOYSA-N 0 2 311.349 0.694 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNC(=O)[C@H]1CCCOC1 ZINC001433469038 1008817553 /nfs/dbraw/zinc/81/75/53/1008817553.db2.gz WSPSAWYYIMFOPF-PWSUYJOCSA-N 0 2 308.382 0.308 20 0 DCADLN CCCN(CCO)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433603201 1008989668 /nfs/dbraw/zinc/98/96/68/1008989668.db2.gz QBZZICHAWQIKCC-UHFFFAOYSA-N 0 2 306.322 0.111 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)o1 ZINC001454193514 1008992493 /nfs/dbraw/zinc/99/24/93/1008992493.db2.gz ZAIFBOKVRQVZTH-HYXAFXHYSA-N 0 2 319.273 0.597 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)NCC2=NC(=O)CC(=O)N2)o1 ZINC001454193514 1008992507 /nfs/dbraw/zinc/99/25/07/1008992507.db2.gz ZAIFBOKVRQVZTH-HYXAFXHYSA-N 0 2 319.273 0.597 20 0 DCADLN CCC[C@@H](C)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001421940676 1009287647 /nfs/dbraw/zinc/28/76/47/1009287647.db2.gz JJQILEZLFFOCMC-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1nc(C)c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001442565217 1009477359 /nfs/dbraw/zinc/47/73/59/1009477359.db2.gz AMDBHNCEZMDNRK-UHFFFAOYSA-N 0 2 308.342 0.231 20 0 DCADLN CCc1nc(C)c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001442565217 1009477364 /nfs/dbraw/zinc/47/73/64/1009477364.db2.gz AMDBHNCEZMDNRK-UHFFFAOYSA-N 0 2 308.342 0.231 20 0 DCADLN Cc1nc(C(C)C)[nH]c(=O)c1C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001455136010 1009512987 /nfs/dbraw/zinc/51/29/87/1009512987.db2.gz WKUQDUCGOFVPKU-SECBINFHSA-N 0 2 317.353 0.757 20 0 DCADLN Cc1ncsc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422150163 1009558305 /nfs/dbraw/zinc/55/83/05/1009558305.db2.gz YTUXXNBLUNJDMW-UHFFFAOYSA-N 0 2 310.383 0.479 20 0 DCADLN Cc1ncsc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422150163 1009558319 /nfs/dbraw/zinc/55/83/19/1009558319.db2.gz YTUXXNBLUNJDMW-UHFFFAOYSA-N 0 2 310.383 0.479 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)[C@@H](C)c2cnccn2)c1[O-] ZINC001422157894 1009576669 /nfs/dbraw/zinc/57/66/69/1009576669.db2.gz VJDMKOUCHXHHML-NSHDSACASA-N 0 2 318.381 0.979 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)[C@@H](C)c2cnccn2)c1[O-] ZINC001422157894 1009576679 /nfs/dbraw/zinc/57/66/79/1009576679.db2.gz VJDMKOUCHXHHML-NSHDSACASA-N 0 2 318.381 0.979 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1[nH]cnc1C(F)(F)F ZINC001434232982 1009683485 /nfs/dbraw/zinc/68/34/85/1009683485.db2.gz VSHUPUJUAYHEOO-UHFFFAOYSA-N 0 2 303.200 0.560 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)C1(C(F)(F)F)CCOCC1 ZINC001434232505 1009683861 /nfs/dbraw/zinc/68/38/61/1009683861.db2.gz RUDYNIVNTQNTRL-UHFFFAOYSA-N 0 2 321.255 0.863 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)C1(C(F)(F)F)CCOCC1 ZINC001434232505 1009683866 /nfs/dbraw/zinc/68/38/66/1009683866.db2.gz RUDYNIVNTQNTRL-UHFFFAOYSA-N 0 2 321.255 0.863 20 0 DCADLN Cc1csc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001434385160 1009875823 /nfs/dbraw/zinc/87/58/23/1009875823.db2.gz OPRBLRDNGQCGGK-UHFFFAOYSA-N 0 2 314.392 0.649 20 0 DCADLN C[C@@H](NC(=O)c1cn(C[C@@H]2CCOC2)nn1)c1nn(C)cc1O ZINC001434405669 1009911883 /nfs/dbraw/zinc/91/18/83/1009911883.db2.gz BDRILPSWTCBKLT-ZJUUUORDSA-N 0 2 320.353 0.245 20 0 DCADLN CC(C)SCC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422484935 1010160234 /nfs/dbraw/zinc/16/02/34/1010160234.db2.gz FTTCYCXATPWJIF-SECBINFHSA-N 0 2 301.416 0.588 20 0 DCADLN CC(C)SCC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422484935 1010160242 /nfs/dbraw/zinc/16/02/42/1010160242.db2.gz FTTCYCXATPWJIF-SECBINFHSA-N 0 2 301.416 0.588 20 0 DCADLN O=C(Cc1ccc[nH]1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001456290229 1010170361 /nfs/dbraw/zinc/17/03/61/1010170361.db2.gz VKRZELDNYGLELA-SNVBAGLBSA-N 0 2 307.247 0.785 20 0 DCADLN O=C(Cc1ccc[nH]1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001456290229 1010170372 /nfs/dbraw/zinc/17/03/72/1010170372.db2.gz VKRZELDNYGLELA-SNVBAGLBSA-N 0 2 307.247 0.785 20 0 DCADLN CC(=O)N(C)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC001434733904 1010215632 /nfs/dbraw/zinc/21/56/32/1010215632.db2.gz HEZNPZLTMDKTSA-UHFFFAOYSA-N 0 2 305.298 0.210 20 0 DCADLN CCC(CC)[C@@H](C(=O)N[C@H](C(=O)[O-])[C@H](C)O)[NH+]1CCOCC1 ZINC001574473608 1163727706 /nfs/dbraw/zinc/72/77/06/1163727706.db2.gz AOPLXQGPVSRKSL-DRZSPHRISA-N 0 2 316.398 0.074 20 0 DCADLN O=C(c1cc(C(F)(F)F)n[nH]1)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001443450117 1010415326 /nfs/dbraw/zinc/41/53/26/1010415326.db2.gz MQKQSVFYNUIDJD-ZCFIWIBFSA-N 0 2 317.231 0.155 20 0 DCADLN C[C@@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCO1 ZINC001443544903 1010484332 /nfs/dbraw/zinc/48/43/32/1010484332.db2.gz NUXDVUYCJBKXPV-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn[nH]c1 ZINC001508904307 1017097877 /nfs/dbraw/zinc/09/78/77/1017097877.db2.gz XWIONVTWWBILDT-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cn[nH]c1 ZINC001508904307 1017097889 /nfs/dbraw/zinc/09/78/89/1017097889.db2.gz XWIONVTWWBILDT-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)Cc1nnnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001422810103 1010634229 /nfs/dbraw/zinc/63/42/29/1010634229.db2.gz LFTIUQQBPAOFBB-HTRCEHHLSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@H](CN(C)Cc1nnnn1C)NC(=O)C(F)C(F)(F)F ZINC001422810103 1010634218 /nfs/dbraw/zinc/63/42/18/1010634218.db2.gz LFTIUQQBPAOFBB-HTRCEHHLSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccccc1F ZINC001422919358 1010738161 /nfs/dbraw/zinc/73/81/61/1010738161.db2.gz GKJFVPOUMVZJBA-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccccc1F ZINC001422919358 1010738165 /nfs/dbraw/zinc/73/81/65/1010738165.db2.gz GKJFVPOUMVZJBA-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN O=C(CCc1ccsc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423106013 1010893010 /nfs/dbraw/zinc/89/30/10/1010893010.db2.gz DGLFLQDLYIKQKT-LLVKDONJSA-N 0 2 321.406 0.895 20 0 DCADLN C[C@@H](NC(=O)CCc1nn[nH]n1)c1nc(C(F)(F)F)n[nH]1 ZINC001456401654 1011242645 /nfs/dbraw/zinc/24/26/45/1011242645.db2.gz UUHPFVPIFCNMFM-SCSAIBSYSA-N 0 2 304.236 0.147 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1nncn1C ZINC001444986131 1011285346 /nfs/dbraw/zinc/28/53/46/1011285346.db2.gz JUNSKEFZAOBIRR-SECBINFHSA-N 0 2 311.283 0.606 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)Cc1nncn1C ZINC001444986131 1011285359 /nfs/dbraw/zinc/28/53/59/1011285359.db2.gz JUNSKEFZAOBIRR-SECBINFHSA-N 0 2 311.283 0.606 20 0 DCADLN CCOCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001456613016 1011364825 /nfs/dbraw/zinc/36/48/25/1011364825.db2.gz AWJXFXSWIAQNON-OYNCUSHFSA-N 0 2 312.263 0.735 20 0 DCADLN CCOCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)C(F)(F)F ZINC001456613016 1011364834 /nfs/dbraw/zinc/36/48/34/1011364834.db2.gz AWJXFXSWIAQNON-OYNCUSHFSA-N 0 2 312.263 0.735 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCOC ZINC001456833614 1011481833 /nfs/dbraw/zinc/48/18/33/1011481833.db2.gz UUGXDGRYFJXHGW-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CCOC ZINC001456833614 1011481837 /nfs/dbraw/zinc/48/18/37/1011481837.db2.gz UUGXDGRYFJXHGW-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](CNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001456856662 1011492140 /nfs/dbraw/zinc/49/21/40/1011492140.db2.gz POWLXBUYBPFHNZ-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)c1cncn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001456856662 1011492146 /nfs/dbraw/zinc/49/21/46/1011492146.db2.gz POWLXBUYBPFHNZ-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)CNC(=O)C[N@H+]2CC[C@@H](C)C2)c1C ZINC001431783167 1011646932 /nfs/dbraw/zinc/64/69/32/1011646932.db2.gz CIDNRILUDJFRQI-MNOVXSKESA-N 0 2 321.425 0.603 20 0 DCADLN C[C@@H]1CCn2cc(C(=O)NCCCc3n[nH]c(=O)[nH]3)nc2C1 ZINC001457670679 1011798842 /nfs/dbraw/zinc/79/88/42/1011798842.db2.gz LKWFYKWANMUJPS-SECBINFHSA-N 0 2 304.354 0.652 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1c[nH]nc1[C@H]1CCCO1 ZINC001457672498 1011799991 /nfs/dbraw/zinc/79/99/91/1011799991.db2.gz WLDHEARLMPETHM-SECBINFHSA-N 0 2 306.326 0.448 20 0 DCADLN O=C(N[C@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001424021278 1011864843 /nfs/dbraw/zinc/86/48/43/1011864843.db2.gz WERNBZKPEQEOCI-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001535235737 1011876788 /nfs/dbraw/zinc/87/67/88/1011876788.db2.gz SGDDZGSPZVYIIT-SFYZADRCSA-N 0 2 314.279 0.669 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001535235737 1011876804 /nfs/dbraw/zinc/87/68/04/1011876804.db2.gz SGDDZGSPZVYIIT-SFYZADRCSA-N 0 2 314.279 0.669 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21)C(F)C(F)(F)F ZINC001432041289 1011903276 /nfs/dbraw/zinc/90/32/76/1011903276.db2.gz STRQPMCAMZUXMM-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@H](F)C(F)(F)F ZINC001432041289 1011903290 /nfs/dbraw/zinc/90/32/90/1011903290.db2.gz STRQPMCAMZUXMM-OEZYJKACSA-N 0 2 324.274 0.496 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)c2cncn2C)CC1 ZINC001535697862 1011961607 /nfs/dbraw/zinc/96/16/07/1011961607.db2.gz VBBSKBQGELOYLO-UHFFFAOYSA-N 0 2 316.365 0.073 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2C[C@H](C(=O)[O-])[C@H](C3CC3)C2)c1 ZINC001553494255 1012028403 /nfs/dbraw/zinc/02/84/03/1012028403.db2.gz YMKQWWXSWXQQNR-STQMWFEESA-N 0 2 306.366 0.715 20 0 DCADLN O=C(CCC(F)F)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001458004072 1012028431 /nfs/dbraw/zinc/02/84/31/1012028431.db2.gz PMUDBDYZLCHNKP-XNCJUZBTSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001458004072 1012028459 /nfs/dbraw/zinc/02/84/59/1012028459.db2.gz PMUDBDYZLCHNKP-XNCJUZBTSA-N 0 2 324.221 0.525 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@@H]1CCCO1 ZINC001445685019 1012110929 /nfs/dbraw/zinc/11/09/29/1012110929.db2.gz IUDUSZUNKNPFFB-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@@H]1CCCO1 ZINC001445685019 1012110933 /nfs/dbraw/zinc/11/09/33/1012110933.db2.gz IUDUSZUNKNPFFB-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccncc1F ZINC001445712466 1012151915 /nfs/dbraw/zinc/15/19/15/1012151915.db2.gz ZKAIBZKJMGFFNE-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccncc1F ZINC001445712466 1012151921 /nfs/dbraw/zinc/15/19/21/1012151921.db2.gz ZKAIBZKJMGFFNE-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN CCc1ncoc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445816893 1012294752 /nfs/dbraw/zinc/29/47/52/1012294752.db2.gz UFZXVVLWXLGMNT-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1ncoc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445816893 1012294762 /nfs/dbraw/zinc/29/47/62/1012294762.db2.gz UFZXVVLWXLGMNT-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1ncc(Cl)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)n1 ZINC001558941050 1012395810 /nfs/dbraw/zinc/39/58/10/1012395810.db2.gz VAHBXNIGUWFFCN-UHFFFAOYSA-N 0 2 310.745 0.879 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001432430405 1012477728 /nfs/dbraw/zinc/47/77/28/1012477728.db2.gz SKNBSPOIQOHUFS-MRVPVSSYSA-N 0 2 323.250 0.202 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432430405 1012477749 /nfs/dbraw/zinc/47/77/49/1012477749.db2.gz SKNBSPOIQOHUFS-MRVPVSSYSA-N 0 2 323.250 0.202 20 0 DCADLN O=C(NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001429931529 1012960294 /nfs/dbraw/zinc/96/02/94/1012960294.db2.gz NLNNXHHWVOHQFE-DTWKUNHWSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001429931529 1012960310 /nfs/dbraw/zinc/96/03/10/1012960310.db2.gz NLNNXHHWVOHQFE-DTWKUNHWSA-N 0 2 322.262 0.935 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc3oc(=O)ccc3c2)n1 ZINC001475644728 1017129819 /nfs/dbraw/zinc/12/98/19/1017129819.db2.gz STXVBCLQPCROIE-UHFFFAOYSA-N 0 2 307.291 0.117 20 0 DCADLN O=C(NCc1ccc(=O)[nH]n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478702079 1017374702 /nfs/dbraw/zinc/37/47/02/1017374702.db2.gz PBBPBKIPYHAFDK-QMMMGPOBSA-N 0 2 319.325 0.095 20 0 DCADLN CCn1ccnc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001496123566 1019087408 /nfs/dbraw/zinc/08/74/08/1019087408.db2.gz SAOVGPLYQKIVMQ-MRVPVSSYSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1ccnc1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001496123566 1019087427 /nfs/dbraw/zinc/08/74/27/1019087427.db2.gz SAOVGPLYQKIVMQ-MRVPVSSYSA-N 0 2 324.278 0.992 20 0 DCADLN O=C(Cn1cccn1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001501685739 1019381617 /nfs/dbraw/zinc/38/16/17/1019381617.db2.gz NXOJBQVYVNPTQY-SYBPUXJVSA-N 0 2 322.262 0.572 20 0 DCADLN O=C(Cn1cccn1)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001501685739 1019381631 /nfs/dbraw/zinc/38/16/31/1019381631.db2.gz NXOJBQVYVNPTQY-SYBPUXJVSA-N 0 2 322.262 0.572 20 0 DCADLN O=C(Cn1cccn1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001501685741 1019382207 /nfs/dbraw/zinc/38/22/07/1019382207.db2.gz NXOJBQVYVNPTQY-TXXBHVLJSA-N 0 2 322.262 0.572 20 0 DCADLN O=C(Cn1cccn1)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001501685741 1019382222 /nfs/dbraw/zinc/38/22/22/1019382222.db2.gz NXOJBQVYVNPTQY-TXXBHVLJSA-N 0 2 322.262 0.572 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCCOC1 ZINC001499089728 1019727385 /nfs/dbraw/zinc/72/73/85/1019727385.db2.gz LZXYXPVBJLZERM-IINYFYTJSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H](CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccn1 ZINC001499095931 1019742569 /nfs/dbraw/zinc/74/25/69/1019742569.db2.gz QNEQXDXSUMYWQK-QWRGUYRKSA-N 0 2 319.369 0.049 20 0 DCADLN CCCCC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001494342576 1020139045 /nfs/dbraw/zinc/13/90/45/1020139045.db2.gz ZHCPKPJXLYFEHG-UHFFFAOYSA-N 0 2 303.366 0.513 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)NCc1ncc(C(=O)[O-])s1 ZINC001608281182 1170641834 /nfs/dbraw/zinc/64/18/34/1170641834.db2.gz FYRNAFVAQXITHF-UPHRSURJSA-N 0 2 311.363 0.346 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc(OCCCO)cc1 ZINC000286536827 219042129 /nfs/dbraw/zinc/04/21/29/219042129.db2.gz IKWGTYOKBJIDEF-UHFFFAOYSA-N 0 2 324.362 0.590 20 0 DCADLN Cc1cc(C(=O)NCC(=O)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000272837071 210236118 /nfs/dbraw/zinc/23/61/18/210236118.db2.gz GRYCYDDJYLKZSA-UHFFFAOYSA-N 0 2 302.290 0.453 20 0 DCADLN O=S(=O)(NCC(F)(F)CO)c1ccc(Br)o1 ZINC000330990034 232286147 /nfs/dbraw/zinc/28/61/47/232286147.db2.gz SQYFGYYFVLMULC-UHFFFAOYSA-N 0 2 320.111 0.948 20 0 DCADLN NC(=O)[C@@H]1CN(C(=O)c2cc(F)c(F)c(O)c2F)CCO1 ZINC000272642780 210046481 /nfs/dbraw/zinc/04/64/81/210046481.db2.gz YHDNUSILEWYIGQ-ZETCQYMHSA-N 0 2 304.224 0.136 20 0 DCADLN CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCCC[C@H]1O ZINC000332634018 235224368 /nfs/dbraw/zinc/22/43/68/235224368.db2.gz UHXPMUVKYVMIJN-GHMZBOCLSA-N 0 2 318.333 0.812 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H]1CCOC1 ZINC000266254041 299254490 /nfs/dbraw/zinc/25/44/90/299254490.db2.gz VIRABEKAMRPYSL-YUMQZZPRSA-N 0 2 304.306 0.591 20 0 DCADLN Cc1c(NC(=O)NCc2n[nH]c(=O)[nH]2)cnn1-c1ccccc1 ZINC000156841935 237053649 /nfs/dbraw/zinc/05/36/49/237053649.db2.gz LLFUIQRQSRAMKV-UHFFFAOYSA-N 0 2 313.321 0.914 20 0 DCADLN CSCC[C@H](O)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000561682449 288549813 /nfs/dbraw/zinc/54/98/13/288549813.db2.gz LERIOCPMPPXOFY-IUCAKERBSA-N 0 2 300.384 0.330 20 0 DCADLN CCS(=O)(=O)NCCNC(=O)c1cc(F)cc(Cl)c1O ZINC000178486677 237229459 /nfs/dbraw/zinc/22/94/59/237229459.db2.gz YNKKLZYMLZYENI-UHFFFAOYSA-N 0 2 324.761 0.854 20 0 DCADLN COC[C@@H](NS(=O)(=O)NCC(F)(F)F)c1ccco1 ZINC000195328821 237512607 /nfs/dbraw/zinc/51/26/07/237512607.db2.gz HDIQPPYFKQSLOC-SSDOTTSWSA-N 0 2 302.274 0.953 20 0 DCADLN CC(C)NC(=O)c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000154960844 291217125 /nfs/dbraw/zinc/21/71/25/291217125.db2.gz TWHYCSJACAPSLJ-UHFFFAOYSA-N 0 2 303.322 0.166 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2CC[C@@](C)(C(=O)[O-])C2)c[nH+]1 ZINC000420589348 240047518 /nfs/dbraw/zinc/04/75/18/240047518.db2.gz UMTSNDOYSLGJAG-MRXNPFEDSA-N 0 2 319.361 0.638 20 0 DCADLN Cc1ccn2cc(CNC(=O)C(=O)NCCCC(=O)[O-])[nH+]c2c1 ZINC000566974438 291331792 /nfs/dbraw/zinc/33/17/92/291331792.db2.gz VOKYJUIGJDUUDN-UHFFFAOYSA-N 0 2 318.333 0.240 20 0 DCADLN NC(=O)[C@H]1CC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000567914023 291391282 /nfs/dbraw/zinc/39/12/82/291391282.db2.gz LYQCOQIJUWINPB-VHSXEESVSA-N 0 2 301.306 0.627 20 0 DCADLN CCN1C[C@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC000568366428 291424151 /nfs/dbraw/zinc/42/41/51/291424151.db2.gz BNWUBFMROONAHX-VHSXEESVSA-N 0 2 307.354 0.085 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)NCCCCNc1cccc[nH+]1 ZINC000275012947 212073828 /nfs/dbraw/zinc/07/38/28/212073828.db2.gz QIJVWGGAWGSLFG-UHFFFAOYSA-N 0 2 322.394 0.594 20 0 DCADLN O=C(CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)NCC1CC1 ZINC000569877885 291500594 /nfs/dbraw/zinc/50/05/94/291500594.db2.gz PZVULHCNAYEJLL-UHFFFAOYSA-N 0 2 316.317 0.286 20 0 DCADLN CNC(=O)c1cc(OC)c(OC)cc1NS(=O)(=O)N(C)C ZINC000266078573 205079889 /nfs/dbraw/zinc/07/98/89/205079889.db2.gz ZPFDXTLNALMAKQ-UHFFFAOYSA-N 0 2 317.367 0.282 20 0 DCADLN O=C(NCCSCCCO)C(=O)Nc1cccnc1Cl ZINC000271680448 209121203 /nfs/dbraw/zinc/12/12/03/209121203.db2.gz RRUQOMRPCDPDDV-UHFFFAOYSA-N 0 2 317.798 0.905 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@H]1C ZINC000347209678 284359614 /nfs/dbraw/zinc/35/96/14/284359614.db2.gz STKCYOPORNKJCU-IUCAKERBSA-N 0 2 318.333 0.698 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NC[C@H](C)[N@@H+](C)C1CC1)[NH+]1CCOCC1 ZINC000105262987 194089625 /nfs/dbraw/zinc/08/96/25/194089625.db2.gz NTBHLVJUUUUUSG-MELADBBJSA-N 0 2 312.458 0.878 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)c2c[nH]nc2C)c1N ZINC000339091462 253030154 /nfs/dbraw/zinc/03/01/54/253030154.db2.gz ALKGADDJWLPSQE-UHFFFAOYSA-N 0 2 310.335 0.888 20 0 DCADLN O=C(CN1CSCC1=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000339708747 253131104 /nfs/dbraw/zinc/13/11/04/253131104.db2.gz ZAOVOQCNQIUFKH-UHFFFAOYSA-N 0 2 320.330 0.501 20 0 DCADLN COCc1ccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)cc1 ZINC000340922446 253323132 /nfs/dbraw/zinc/32/31/32/253323132.db2.gz XJJMTAUAWSZZJE-UHFFFAOYSA-N 0 2 324.362 0.316 20 0 DCADLN NC(=O)NC(=O)c1cccc(NS(=O)(=O)c2ccoc2)c1 ZINC000350872365 254328736 /nfs/dbraw/zinc/32/87/36/254328736.db2.gz UXANYGGENKXFPH-UHFFFAOYSA-N 0 2 309.303 0.889 20 0 DCADLN Cn1nccc1S(=O)(=O)[N-]c1ccc(Cn2cc[nH+]c2)cn1 ZINC000351111735 254333468 /nfs/dbraw/zinc/33/34/68/254333468.db2.gz SUEUOZYTXNSFPG-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN O=C(CO)Nc1ccc(S(=O)(=O)Nc2nncs2)cc1 ZINC000352546526 254419651 /nfs/dbraw/zinc/41/96/51/254419651.db2.gz WLOJFFNXKUJPBW-UHFFFAOYSA-N 0 2 314.348 0.270 20 0 DCADLN COC(C)(C)CNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000352087158 254396656 /nfs/dbraw/zinc/39/66/56/254396656.db2.gz SNHSJZAITQWPHB-UHFFFAOYSA-N 0 2 314.411 0.737 20 0 DCADLN C[C@@H]1CCC[N@H+](CCNC(=O)C(=O)NCCCn2cc[nH+]c2)C1 ZINC000284415954 131078654 /nfs/dbraw/zinc/07/86/54/131078654.db2.gz XNACJUFJZCPAEA-CQSZACIVSA-N 0 2 321.425 0.238 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)[C@H](C)C(=O)N(C)C)nc1 ZINC000285264319 131152785 /nfs/dbraw/zinc/15/27/85/131152785.db2.gz PEGMOZDCLZYVEZ-MRVPVSSYSA-N 0 2 315.351 0.087 20 0 DCADLN COCC1(CCNC(=O)CSc2n[nH]c(=O)[nH]2)CCC1 ZINC000293946563 131785401 /nfs/dbraw/zinc/78/54/01/131785401.db2.gz RLWLQEGKMVGNIM-UHFFFAOYSA-N 0 2 300.384 0.513 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccn(C)n2)cc1C(N)=O ZINC000032083910 395709113 /nfs/dbraw/zinc/70/91/13/395709113.db2.gz NAPKJKPAOVLPFS-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN COCCN(CCOc1ccc(F)cc1)C(=O)c1nc(=O)[nH][nH]1 ZINC000108901908 395794251 /nfs/dbraw/zinc/79/42/51/395794251.db2.gz DQLKPKKGQNUOQP-UHFFFAOYSA-N 0 2 324.312 0.405 20 0 DCADLN CNC(=O)CCNC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000272511853 395896981 /nfs/dbraw/zinc/89/69/81/395896981.db2.gz USTPCJAKEGRCIK-UHFFFAOYSA-N 0 2 318.333 0.452 20 0 DCADLN COC(=O)[C@@H]1COCCN1CC(=O)NOCc1ccccc1 ZINC000271409098 395863943 /nfs/dbraw/zinc/86/39/43/395863943.db2.gz VVLFVZWLWYUKPW-ZDUSSCGKSA-N 0 2 308.334 0.108 20 0 DCADLN C[C@H](Cn1cccn1)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000052664989 395867272 /nfs/dbraw/zinc/86/72/72/395867272.db2.gz XZTPEWQJOHDRRN-MRVPVSSYSA-N 0 2 314.305 0.039 20 0 DCADLN O=S(=O)(Nc1ccccc1Cn1cncn1)c1cn[nH]c1 ZINC000057120080 395939698 /nfs/dbraw/zinc/93/96/98/395939698.db2.gz BLQPNRAREOFADA-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN CN(C)C(=O)Cn1cnc(NS(=O)(=O)Cc2ccccc2)n1 ZINC000135571286 395941211 /nfs/dbraw/zinc/94/12/11/395941211.db2.gz JJOIFUTXWPNMAS-UHFFFAOYSA-N 0 2 323.378 0.308 20 0 DCADLN C[C@H]1CN(C(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)CC[NH2+]1 ZINC000155038658 396046264 /nfs/dbraw/zinc/04/62/64/396046264.db2.gz CIOXUEHVYZADQM-GOEBONIOSA-N 0 2 303.406 0.708 20 0 DCADLN CN(Cc1cnccn1)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000277211811 396055811 /nfs/dbraw/zinc/05/58/11/396055811.db2.gz XYIPVSGZAUUDOO-UHFFFAOYSA-N 0 2 304.272 0.892 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000249611929 396010532 /nfs/dbraw/zinc/01/05/32/396010532.db2.gz SGDOJCVJPRFJBN-IWSPIJDZSA-N 0 2 314.329 0.930 20 0 DCADLN O=C([O-])[C@H]1CCC[N@@H+]1CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000262113415 396100529 /nfs/dbraw/zinc/10/05/29/396100529.db2.gz KSMYQVSONJSDDS-LLVKDONJSA-N 0 2 306.318 0.945 20 0 DCADLN O=C([O-])[C@H]1CCC[N@H+]1CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000262113415 396100531 /nfs/dbraw/zinc/10/05/31/396100531.db2.gz KSMYQVSONJSDDS-LLVKDONJSA-N 0 2 306.318 0.945 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C)c(C(N)=O)c2)c1O ZINC000278154701 396108926 /nfs/dbraw/zinc/10/89/26/396108926.db2.gz OGQSUVAJUHQZBT-JTQLQIEISA-N 0 2 305.290 0.082 20 0 DCADLN O=C([O-])[C@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000262198000 396109341 /nfs/dbraw/zinc/10/93/41/396109341.db2.gz ZQGJXNSWLKHXMW-OLZOCXBDSA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])[C@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000262198000 396109343 /nfs/dbraw/zinc/10/93/43/396109343.db2.gz ZQGJXNSWLKHXMW-OLZOCXBDSA-N 0 2 320.393 0.986 20 0 DCADLN C[C@@H]1OCC[C@@]1(O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000279479818 396177938 /nfs/dbraw/zinc/17/79/38/396177938.db2.gz MMDMFQPHCMIVOY-MEDUHNTESA-N 0 2 317.345 0.848 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2CCCOC2)s1 ZINC000263907677 396242127 /nfs/dbraw/zinc/24/21/27/396242127.db2.gz IXDQNSOFQSYIIE-ZCFIWIBFSA-N 0 2 306.369 0.275 20 0 DCADLN CC(C)N1C(=O)C[C@@H](NC(=O)c2cccc3[nH]nnc32)C1=O ZINC000182794156 396254464 /nfs/dbraw/zinc/25/44/64/396254464.db2.gz LUWGXPHHZXPHDR-SNVBAGLBSA-N 0 2 301.306 0.224 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCc1cc(F)cc(F)c1 ZINC000187051828 396362376 /nfs/dbraw/zinc/36/23/76/396362376.db2.gz KBGHLHSHLHYNCT-UHFFFAOYSA-N 0 2 314.317 0.827 20 0 DCADLN C[C@@H]1CC[NH+](CCC[N@H+]2CC[C@H](C)C[C@@H]2C(N)=O)[C@H](C(N)=O)C1 ZINC000187442106 396369674 /nfs/dbraw/zinc/36/96/74/396369674.db2.gz RMAHLFQMKZMQIS-PYHGIMPFSA-N 0 2 324.469 0.548 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)C[C@@H]1CCCO1 ZINC000268779669 396372016 /nfs/dbraw/zinc/37/20/16/396372016.db2.gz CPLSMAXRLBZIRM-ZETCQYMHSA-N 0 2 306.365 0.850 20 0 DCADLN COCCCONC(=O)CNC(=O)c1ccc(F)c(F)c1 ZINC000288121086 396443143 /nfs/dbraw/zinc/44/31/43/396443143.db2.gz MCWGTERYEQNLBS-UHFFFAOYSA-N 0 2 302.277 0.779 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2nnc(C)s2)cn1 ZINC000269597701 396427627 /nfs/dbraw/zinc/42/76/27/396427627.db2.gz GRACGNZLWZVJQK-UHFFFAOYSA-N 0 2 303.369 0.490 20 0 DCADLN O=C(CNC(=O)c1cc2ccccc2[nH]1)NOC[C@H]1CCOC1 ZINC000187879451 396375916 /nfs/dbraw/zinc/37/59/16/396375916.db2.gz PURBEORVMZJNKR-NSHDSACASA-N 0 2 317.345 0.982 20 0 DCADLN CCS(=O)(=O)CC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000288996374 396452578 /nfs/dbraw/zinc/45/25/78/396452578.db2.gz HWCCYTCHVHKSFC-UHFFFAOYSA-N 0 2 310.335 0.138 20 0 DCADLN CC1=CCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000270185864 396462505 /nfs/dbraw/zinc/46/25/05/396462505.db2.gz NTQQINOCYZHRFS-UHFFFAOYSA-N 0 2 322.346 0.777 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000290765642 396471886 /nfs/dbraw/zinc/47/18/86/396471886.db2.gz BTVBAXSEZODYIT-WPRPVWTQSA-N 0 2 310.251 0.532 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000290765642 396471887 /nfs/dbraw/zinc/47/18/87/396471887.db2.gz BTVBAXSEZODYIT-WPRPVWTQSA-N 0 2 310.251 0.532 20 0 DCADLN Cc1cc(N2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2=O)n(C)n1 ZINC000290937177 396475899 /nfs/dbraw/zinc/47/58/99/396475899.db2.gz VUPVHQYDAFUVSZ-CBAPKCEASA-N 0 2 322.262 0.850 20 0 DCADLN Cc1cc(N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2=O)n(C)n1 ZINC000290937177 396475900 /nfs/dbraw/zinc/47/59/00/396475900.db2.gz VUPVHQYDAFUVSZ-CBAPKCEASA-N 0 2 322.262 0.850 20 0 DCADLN CC(C)CNC(=O)C[N@H+]1CC[C@@H](N2CC[NH+](C)CC2)[C@@H](C)C1 ZINC000374322112 396655778 /nfs/dbraw/zinc/65/57/78/396655778.db2.gz BQJLYWZLLPMIOC-JKSUJKDBSA-N 0 2 310.486 0.716 20 0 DCADLN CN(C[C@H](O)Cc1ccccc1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000294241764 396663109 /nfs/dbraw/zinc/66/31/09/396663109.db2.gz ZPSYAIMFLSCORB-LLVKDONJSA-N 0 2 322.390 0.252 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(-c2cccnc2)on1 ZINC000375072456 396709875 /nfs/dbraw/zinc/70/98/75/396709875.db2.gz VWUYHGIWOJXNQE-VIFPVBQESA-N 0 2 324.362 0.955 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2ccc3c(c2)CCO3)[C@@H](C[NH3+])C1 ZINC000563945593 396795663 /nfs/dbraw/zinc/79/56/63/396795663.db2.gz XCBAAVNPFRMFJC-AWEZNQCLSA-N 0 2 304.394 0.406 20 0 DCADLN COCn1ccc(C(=O)N=c2nc(C(C)(C)OC)[nH]s2)n1 ZINC000634147881 396796329 /nfs/dbraw/zinc/79/63/29/396796329.db2.gz CJXXWFVQOWYOAI-UHFFFAOYSA-N 0 2 311.367 0.894 20 0 DCADLN CNC(=O)CCCC(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000634151596 396797145 /nfs/dbraw/zinc/79/71/45/396797145.db2.gz FGPSZOXMFZBCGR-UHFFFAOYSA-N 0 2 300.384 0.696 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)c2cnc(N(C)C)cn2)s[nH]1 ZINC000634151727 396797720 /nfs/dbraw/zinc/79/77/20/396797720.db2.gz IQQVMEFAGWWTKU-UHFFFAOYSA-N 0 2 322.394 0.950 20 0 DCADLN COC(=O)[C@@H]1CCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000596738456 396825783 /nfs/dbraw/zinc/82/57/83/396825783.db2.gz BJQKMOIXEMLUBZ-NSHDSACASA-N 0 2 317.301 0.808 20 0 DCADLN COC(=O)C(C)(C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000596785610 396833591 /nfs/dbraw/zinc/83/35/91/396833591.db2.gz XHTSRAQVCHODNB-UHFFFAOYSA-N 0 2 305.290 0.711 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCCN2CC2CCS(=O)(=O)CC2)[nH]1 ZINC000329595658 396846448 /nfs/dbraw/zinc/84/64/48/396846448.db2.gz OYJLUFPGUGEKOV-NSHDSACASA-N 0 2 314.411 0.862 20 0 DCADLN Cn1cc2c(n1)CCC[C@@H]2NS(=O)(=O)NCC(F)(F)F ZINC000375710074 396803045 /nfs/dbraw/zinc/80/30/45/396803045.db2.gz DUYKYJHWSRROHK-VIFPVBQESA-N 0 2 312.317 0.784 20 0 DCADLN CC[C@@]1(O)CCCN(C(=O)[C@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000634197995 396811126 /nfs/dbraw/zinc/81/11/26/396811126.db2.gz MNPLECWMMOUWEK-GXTWGEPZSA-N 0 2 318.439 0.422 20 0 DCADLN CC(=O)N[C@@H]1C(C)=NN(c2cccc(S(C)(=O)=O)c2)C1=O ZINC000634607747 396890545 /nfs/dbraw/zinc/89/05/45/396890545.db2.gz HCBNJFCGZWZPDT-GFCCVEGCSA-N 0 2 309.347 0.317 20 0 DCADLN CC(C)OC(=O)CC[C@@H](C)NC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000591357441 396907055 /nfs/dbraw/zinc/90/70/55/396907055.db2.gz NZOJKAGRWWMFIK-CHWSQXEVSA-N 0 2 314.430 0.391 20 0 DCADLN CC(C)OC(=O)CC[C@H](C)NC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000591357443 396907203 /nfs/dbraw/zinc/90/72/03/396907203.db2.gz NZOJKAGRWWMFIK-QWHCGFSZSA-N 0 2 314.430 0.391 20 0 DCADLN COC(=O)Cc1cccc(NS(=O)(=O)c2cnc(C)n2C)n1 ZINC000600666911 396910426 /nfs/dbraw/zinc/91/04/26/396910426.db2.gz KUKJQXKBNMBHHD-UHFFFAOYSA-N 0 2 324.362 0.640 20 0 DCADLN Cc1c(NS(=O)(=O)C[C@]2(OC(C)C)CCOC2)nnn1C ZINC000634793682 396918576 /nfs/dbraw/zinc/91/85/76/396918576.db2.gz VOTPQXLBTUEJSU-LBPRGKRZSA-N 0 2 318.399 0.449 20 0 DCADLN C[C@H](C(=O)NC1CCCCC1)[N@H+]1CCN2C(=O)[C@H]([NH3+])C[C@@H]2C1 ZINC000572257862 397039966 /nfs/dbraw/zinc/03/99/66/397039966.db2.gz CBZCZGOLOOHWHN-MRVWCRGKSA-N 0 2 308.426 0.068 20 0 DCADLN COC(=O)Cn1ccc(NS(=O)(=O)C[C@@H](OC)C(C)C)n1 ZINC000592350860 397157115 /nfs/dbraw/zinc/15/71/15/397157115.db2.gz JHKMFCSSFPHMBL-SNVBAGLBSA-N 0 2 319.383 0.469 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@@H](O)C[NH2+]CCN2CCSCC2)C[C@H](C)O1 ZINC000573596249 397185840 /nfs/dbraw/zinc/18/58/40/397185840.db2.gz LAICCJDDOJOWGE-ZNMIVQPWSA-N 0 2 317.499 0.095 20 0 DCADLN COc1ccnc(CNC(=O)NC(C)(C)C[NH+]2CCOCC2)n1 ZINC000573958729 397223551 /nfs/dbraw/zinc/22/35/51/397223551.db2.gz USHBSKRLOTVHNX-UHFFFAOYSA-N 0 2 323.397 0.395 20 0 DCADLN CO[C@@]1(C)C[C@H]1NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000620557531 397285931 /nfs/dbraw/zinc/28/59/31/397285931.db2.gz IEBVZGHLLSGRDS-CLAHSXSESA-N 0 2 304.306 0.652 20 0 DCADLN C[C@H](CN(C)C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C(=O)[O-] ZINC000574651437 397288238 /nfs/dbraw/zinc/28/82/38/397288238.db2.gz GIPMNYWXJMUZRX-SECBINFHSA-N 0 2 304.306 0.452 20 0 DCADLN C[C@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@@H](CO)O1 ZINC000613858345 397337561 /nfs/dbraw/zinc/33/75/61/397337561.db2.gz NJJDHSGJZVCFFK-CABZTGNLSA-N 0 2 319.317 0.252 20 0 DCADLN COC(=O)c1cn([C@@H]2CCN(c3cc[nH+]c(C(=O)[O-])c3)C2)nn1 ZINC000593326980 397352806 /nfs/dbraw/zinc/35/28/06/397352806.db2.gz LGDDBEHSWILVRV-SNVBAGLBSA-N 0 2 317.305 0.609 20 0 DCADLN Cn1nnc2c1nccc2C(=O)Nc1nc(-c2ccncc2)n[nH]1 ZINC000366601847 397386008 /nfs/dbraw/zinc/38/60/08/397386008.db2.gz LZSXTJUOIBJRNY-UHFFFAOYSA-N 0 2 321.304 0.796 20 0 DCADLN O=C(c1cc(O)cc(=O)[nH]1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614517731 397461736 /nfs/dbraw/zinc/46/17/36/397461736.db2.gz CJAUTJGURUFBLM-SSDOTTSWSA-N 0 2 305.294 0.336 20 0 DCADLN CCOC1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC000614520118 397463192 /nfs/dbraw/zinc/46/31/92/397463192.db2.gz ZKVWAHUEWPGAQF-LLVKDONJSA-N 0 2 324.381 0.802 20 0 DCADLN CCOC1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC000614520119 397463380 /nfs/dbraw/zinc/46/33/80/397463380.db2.gz ZKVWAHUEWPGAQF-NSHDSACASA-N 0 2 324.381 0.802 20 0 DCADLN COC(=O)C[C@@H]1C(=O)NCC[N@H+]1Cc1cccc(C(=O)[O-])c1 ZINC000578656033 397467526 /nfs/dbraw/zinc/46/75/26/397467526.db2.gz FYCRVEVIQYZXMZ-GFCCVEGCSA-N 0 2 306.318 0.248 20 0 DCADLN COC(=O)C[C@@H]1C(=O)NCC[N@@H+]1Cc1cccc(C(=O)[O-])c1 ZINC000578656033 397467528 /nfs/dbraw/zinc/46/75/28/397467528.db2.gz FYCRVEVIQYZXMZ-GFCCVEGCSA-N 0 2 306.318 0.248 20 0 DCADLN O=C(c1cc(F)c(F)c(O)c1F)N1CC[C@H](CO)[C@H](O)C1 ZINC000579560748 397579856 /nfs/dbraw/zinc/57/98/56/397579856.db2.gz JTUYOEDUTOKCGW-HZGVNTEJSA-N 0 2 305.252 0.625 20 0 DCADLN C[N@@H+]1CCN(C(=O)Nc2ccc3c(n2)CCCC3=O)[C@H](C[NH3+])C1 ZINC000579089385 397520599 /nfs/dbraw/zinc/52/05/99/397520599.db2.gz RTIZSSDBIBHXII-LLVKDONJSA-N 0 2 317.393 0.707 20 0 DCADLN Cc1cc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[nH]n1 ZINC000603486642 397613685 /nfs/dbraw/zinc/61/36/85/397613685.db2.gz PUCRPRFWCYSJIL-UHFFFAOYSA-N 0 2 300.278 0.398 20 0 DCADLN Cc1cc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n[nH]1 ZINC000603486642 397613689 /nfs/dbraw/zinc/61/36/89/397613689.db2.gz PUCRPRFWCYSJIL-UHFFFAOYSA-N 0 2 300.278 0.398 20 0 DCADLN O=c1cc(CN2CCO[C@H](C(F)(F)F)C2)nc2cc[nH]n21 ZINC000606903674 397690790 /nfs/dbraw/zinc/69/07/90/397690790.db2.gz ZQUCARZWXIHYDE-VIFPVBQESA-N 0 2 302.256 0.786 20 0 DCADLN COCC[C@H](NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)C(=O)[O-] ZINC001647326824 1172813866 /nfs/dbraw/zinc/81/38/66/1172813866.db2.gz KCVYGVSREHKVTB-STQMWFEESA-N 0 2 314.382 0.236 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nc3ncccn3n2)cc1 ZINC000073840478 158057145 /nfs/dbraw/zinc/05/71/45/158057145.db2.gz URPKVRJMRNATDF-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCCn2cc[nH+]c2)c(F)c1 ZINC000092114567 158111178 /nfs/dbraw/zinc/11/11/78/158111178.db2.gz MMOCLSYZTIOQIY-UHFFFAOYSA-N 0 2 313.310 0.699 20 0 DCADLN CN(C)c1ncccc1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000120994486 158206786 /nfs/dbraw/zinc/20/67/86/158206786.db2.gz HZRVRLMAJVLKDU-UHFFFAOYSA-N 0 2 323.378 0.386 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(-n3ccnn3)c2)cn1 ZINC000130511034 158296278 /nfs/dbraw/zinc/29/62/78/158296278.db2.gz IEBJRHKINPWXFF-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H](C)C(=O)N(C)C)c1 ZINC000133665391 158320336 /nfs/dbraw/zinc/32/03/36/158320336.db2.gz FMWILIBYZLVBMZ-CWKPULSASA-N 0 2 312.391 0.396 20 0 DCADLN CC(=O)NC[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)CCO1 ZINC000172182213 158390916 /nfs/dbraw/zinc/39/09/16/158390916.db2.gz OJMVQRFHQSGZQC-SNVBAGLBSA-N 0 2 322.390 0.322 20 0 DCADLN CCc1nn(C)cc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329076151 159059180 /nfs/dbraw/zinc/05/91/80/159059180.db2.gz PVANUMXOXFRVAM-VIFPVBQESA-N 0 2 304.354 0.826 20 0 DCADLN COCn1nc(C)c(S(=O)(=O)Nc2noc(C)n2)c1C ZINC000330498689 159181316 /nfs/dbraw/zinc/18/13/16/159181316.db2.gz CLNKDMCDZBJRPW-UHFFFAOYSA-N 0 2 301.328 0.596 20 0 DCADLN Cn1cc(-c2ccc(F)c(C(=O)NCc3n[nH]c(=O)[nH]3)c2)cn1 ZINC000360404494 159268587 /nfs/dbraw/zinc/26/85/87/159268587.db2.gz DKXSLXXFZJAQBB-UHFFFAOYSA-N 0 2 316.296 0.980 20 0 DCADLN O=C(Nc1ccc2nn[nH]c2c1)c1cnc2c(c1)NC(=O)CO2 ZINC000367529640 159343685 /nfs/dbraw/zinc/34/36/85/159343685.db2.gz RXYPJFBOJVLGHC-UHFFFAOYSA-N 0 2 310.273 0.936 20 0 DCADLN O=C(NCc1ccc(N2CCCCCC2)[nH+]c1)c1n[nH]c(=O)[n-]1 ZINC000080354628 286926215 /nfs/dbraw/zinc/92/62/15/286926215.db2.gz HQSMQRUWMXNOQV-UHFFFAOYSA-N 0 2 316.365 0.803 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NCCC(N)=O)=N1 ZINC000081783517 286929448 /nfs/dbraw/zinc/92/94/48/286929448.db2.gz GFHQWSZAQILHJP-UHFFFAOYSA-N 0 2 306.297 0.631 20 0 DCADLN CCc1nc(-c2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2)n[nH]1 ZINC000127340748 286977335 /nfs/dbraw/zinc/97/73/35/286977335.db2.gz OQJJXNWYJXYDBG-UHFFFAOYSA-N 0 2 313.321 0.376 20 0 DCADLN COCc1nc(S(=O)(=O)CC(=O)NCc2ccccc2)n[nH]1 ZINC000353906343 287164235 /nfs/dbraw/zinc/16/42/35/287164235.db2.gz SPVGQMSYFUMLMN-UHFFFAOYSA-N 0 2 324.362 0.041 20 0 DCADLN CCOC(=O)[C@](C)(O)CNC(=O)c1cc(F)c(F)c(O)c1F ZINC000358398443 287208766 /nfs/dbraw/zinc/20/87/66/287208766.db2.gz YEPDPOJRIRMYAF-CYBMUJFWSA-N 0 2 321.251 0.853 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cn(C)nc2OC)cc1 ZINC000352317926 415131594 /nfs/dbraw/zinc/13/15/94/415131594.db2.gz RLRNYTRYWNSIAU-UHFFFAOYSA-N 0 2 324.362 0.589 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cnccc2-n2ccnn2)cn1 ZINC000342645616 415238701 /nfs/dbraw/zinc/23/87/01/415238701.db2.gz UOIXXLFEAKELPP-UHFFFAOYSA-N 0 2 319.350 0.680 20 0 DCADLN Cc1cnccc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000352992567 415394696 /nfs/dbraw/zinc/39/46/96/415394696.db2.gz VXESUYUUEKGSPB-UHFFFAOYSA-N 0 2 313.364 0.865 20 0 DCADLN CC[C@@H]1CC[C@@H](C)N1c1nc(NCCO)[nH+]c(NC(C)C)n1 ZINC000342940568 415368754 /nfs/dbraw/zinc/36/87/54/415368754.db2.gz SYBSNROZEGYKBV-VXGBXAGGSA-N 0 2 308.430 0.707 20 0 DCADLN CO[C@H](C)[C@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000276174895 415444059 /nfs/dbraw/zinc/44/40/59/415444059.db2.gz WEEFEHQTTYSEAJ-JGVFFNPUSA-N 0 2 306.322 0.898 20 0 DCADLN Cc1cccc(C)c1OCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000080975133 415478184 /nfs/dbraw/zinc/47/81/84/415478184.db2.gz FYACIYOIAPJTDL-UHFFFAOYSA-N 0 2 304.350 0.843 20 0 DCADLN NC(C(=O)Nc1ccc(N2CCOCC2)nc1)C(F)(F)F ZINC000353426340 415534696 /nfs/dbraw/zinc/53/46/96/415534696.db2.gz LQUGBPVFNBQPMM-JTQLQIEISA-N 0 2 304.272 0.746 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCN(C(C)=O)C2)c1 ZINC000424734162 287313044 /nfs/dbraw/zinc/31/30/44/287313044.db2.gz TYLDQQATZSWTII-VERVWZFWSA-N 0 2 324.402 0.540 20 0 DCADLN COCCOc1ncccc1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000343551088 415636548 /nfs/dbraw/zinc/63/65/48/415636548.db2.gz SLYAZRNVBZHIJY-UHFFFAOYSA-N 0 2 321.337 0.293 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)[nH+]c1 ZINC000107834574 415673437 /nfs/dbraw/zinc/67/34/37/415673437.db2.gz FEEFHDBFFYFNPB-LBPRGKRZSA-N 0 2 305.334 0.607 20 0 DCADLN COCc1nc(S(=O)(=O)[C@H](C)C(=O)Nc2ccccc2)n[nH]1 ZINC000353893661 415684195 /nfs/dbraw/zinc/68/41/95/415684195.db2.gz JVEGHNGZSBOKFF-SECBINFHSA-N 0 2 324.362 0.752 20 0 DCADLN C[C@H](O)CCN(C)C(=O)N[C@@H]1CCC(=O)N[C@H]1[C@H]1CCCO1 ZINC000334035297 415789063 /nfs/dbraw/zinc/78/90/63/415789063.db2.gz JFDCHSOECCOFTA-FMCLSXCISA-N 0 2 313.398 0.225 20 0 DCADLN CCC[C@@H](C)NC(=O)[C@@H]1CCCN(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000126576921 415876329 /nfs/dbraw/zinc/87/63/29/415876329.db2.gz KGTDKTYMVGZAQU-NXEZZACHSA-N 0 2 309.370 0.255 20 0 DCADLN CCc1cc(=O)[nH]c(CN2CCC[C@H](c3n[nH]c(=O)o3)C2)n1 ZINC000295142621 415884582 /nfs/dbraw/zinc/88/45/82/415884582.db2.gz DZKGWPFKBRTTRK-VIFPVBQESA-N 0 2 305.338 0.801 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CC(=O)N1CC[C@@]2(C1)CCC[NH+](C)C2 ZINC000356261425 415893583 /nfs/dbraw/zinc/89/35/83/415893583.db2.gz SIXCABRORZCADK-KRWDZBQOSA-N 0 2 324.469 0.387 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccccc1N1CCCC1=O ZINC000128638404 415902345 /nfs/dbraw/zinc/90/23/45/415902345.db2.gz HGLQHYMXLMLUAS-UHFFFAOYSA-N 0 2 316.321 0.547 20 0 DCADLN Cc1nnsc1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000344676302 415969223 /nfs/dbraw/zinc/96/92/23/415969223.db2.gz SEBKKQDYWPDQEL-UHFFFAOYSA-N 0 2 318.318 0.526 20 0 DCADLN CCN(C[C@@H](C)O)C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000356704531 415975319 /nfs/dbraw/zinc/97/53/19/415975319.db2.gz OPWIHNVPTFVYKY-MRVPVSSYSA-N 0 2 314.411 0.425 20 0 DCADLN CCN(CCS(C)(=O)=O)Cc1nc(=O)c2sccc2[nH]1 ZINC000154017511 415988715 /nfs/dbraw/zinc/98/87/15/415988715.db2.gz SMRLLYDGEZMXLF-UHFFFAOYSA-N 0 2 315.420 0.851 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H]1Cc2cccc(O)c2C1 ZINC000357207401 416045973 /nfs/dbraw/zinc/04/59/73/416045973.db2.gz WGKTZDURFYYYLT-MRVPVSSYSA-N 0 2 306.347 0.592 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccccc2NCCC(N)=O)cn1 ZINC000174268042 416076671 /nfs/dbraw/zinc/07/66/71/416076671.db2.gz NTCXTFUJNFMUDS-UHFFFAOYSA-N 0 2 323.378 0.508 20 0 DCADLN C[C@@H](CO)N(CC1CCC1)S(=O)(=O)NCC(F)(F)F ZINC000337779602 416008549 /nfs/dbraw/zinc/00/85/49/416008549.db2.gz SBEUOHHXCVUJGI-QMMMGPOBSA-N 0 2 304.334 0.866 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)c2ccccc21 ZINC000356963750 416013740 /nfs/dbraw/zinc/01/37/40/416013740.db2.gz XTGFPDPBOSPNGJ-LLVKDONJSA-N 0 2 300.318 0.929 20 0 DCADLN CNC(=O)N[C@@H]1CCN(C(=O)c2cc(F)c(F)c(O)c2F)C1 ZINC000344969460 416017986 /nfs/dbraw/zinc/01/79/86/416017986.db2.gz PGDFFMFNSONPKK-ZCFIWIBFSA-N 0 2 317.267 0.953 20 0 DCADLN Cc1ccc(S(=O)(=O)NCCc2cn(C)c[nH+]2)cc1C(=O)[O-] ZINC000313193524 416107546 /nfs/dbraw/zinc/10/75/46/416107546.db2.gz SCOOQZFVMKOHOL-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN COc1cc(CC(=O)NCc2n[nH]c(=O)[nH]2)cc(OC)c1OC ZINC000358369840 416229956 /nfs/dbraw/zinc/22/99/56/416229956.db2.gz NSSOSWSVFMPHPU-UHFFFAOYSA-N 0 2 322.321 0.395 20 0 DCADLN CC(C)C(=O)Nc1nc(CC(=O)NCc2n[nH]c(=O)[nH]2)cs1 ZINC000358360835 416230126 /nfs/dbraw/zinc/23/01/26/416230126.db2.gz UILIJHFPGTUEBX-UHFFFAOYSA-N 0 2 324.366 0.420 20 0 DCADLN CC(C)n1cc(C[NH2+]CCC[N@@H+]2CCC[C@@H]2C(=O)N(C)C)nn1 ZINC000342015747 416266868 /nfs/dbraw/zinc/26/68/68/416266868.db2.gz BSYALQYSFZGOBF-OAHLLOKOSA-N 0 2 322.457 0.891 20 0 DCADLN C[C@H](NS(=O)(=O)NCC(F)(F)F)C(=O)NC(C)(C)C ZINC000192017838 416296594 /nfs/dbraw/zinc/29/65/94/416296594.db2.gz KZRNRXQUQYKXHO-LURJTMIESA-N 0 2 305.322 0.276 20 0 DCADLN Cc1c([C@H](C)NS(=O)(=O)NCC(F)(F)F)cnn1C ZINC000195084349 416325145 /nfs/dbraw/zinc/32/51/45/416325145.db2.gz UKTMSRRYVRIIKH-LURJTMIESA-N 0 2 300.306 0.776 20 0 DCADLN COC(=O)[C@H](C)CN(C1CC1)S(=O)(=O)NCC(F)(F)F ZINC000195208984 416325388 /nfs/dbraw/zinc/32/53/88/416325388.db2.gz QGGSVFWJRVGKKB-SSDOTTSWSA-N 0 2 318.317 0.657 20 0 DCADLN Cc1nnc([C@@H](C)NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)[nH]1 ZINC000359970229 416367183 /nfs/dbraw/zinc/36/71/83/416367183.db2.gz LMWXYMGMQOCQNW-SSDOTTSWSA-N 0 2 314.305 0.947 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C)CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000360546883 416395540 /nfs/dbraw/zinc/39/55/40/416395540.db2.gz WZCOQOFWPKFSJS-JQWIXIFHSA-N 0 2 304.350 0.796 20 0 DCADLN C[C@@H](CNC(=O)N=c1nc(C(C)(C)C)[nH]s1)S(C)(=O)=O ZINC000533137970 416478180 /nfs/dbraw/zinc/47/81/80/416478180.db2.gz KBQFYYQXJFIWMY-ZETCQYMHSA-N 0 2 320.440 0.812 20 0 DCADLN C[C@H](O)CCCNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000435166989 416511646 /nfs/dbraw/zinc/51/16/46/416511646.db2.gz WVQMLUVVCFARFQ-QMMMGPOBSA-N 0 2 314.411 0.473 20 0 DCADLN C[C@@H]1C[C@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)CO1 ZINC000439467898 416614216 /nfs/dbraw/zinc/61/42/16/416614216.db2.gz KRIUGJNZVPUHKB-RITPCOANSA-N 0 2 306.369 0.273 20 0 DCADLN CC[N@H+](CCO)CCNC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000368634455 416677141 /nfs/dbraw/zinc/67/71/41/416677141.db2.gz NQWPHAALZOFZML-AWEZNQCLSA-N 0 2 323.441 0.682 20 0 DCADLN CSC[C@](C)(O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000440155263 416629169 /nfs/dbraw/zinc/62/91/69/416629169.db2.gz MSQKAXCLODKTDX-CQSZACIVSA-N 0 2 323.374 0.874 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)c2ccc(C)cc2)n1 ZINC000424221303 416629652 /nfs/dbraw/zinc/62/96/52/416629652.db2.gz KKKKDLYQSOAODA-UHFFFAOYSA-N 0 2 324.362 0.950 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C/c1cscn1 ZINC000493728144 416642794 /nfs/dbraw/zinc/64/27/94/416642794.db2.gz SXMPFZLLRPIPDI-NSCUHMNNSA-N 0 2 314.348 0.540 20 0 DCADLN COc1cccc(S([O-])=CC(=O)NCc2c[nH+]cn2C)c1 ZINC000424836456 416671674 /nfs/dbraw/zinc/67/16/74/416671674.db2.gz XKQUEXYMAMDVQK-NRFANRHFSA-N 0 2 307.375 0.853 20 0 DCADLN CC[C@@H]1C(=O)N(CC)CCN1S(=O)(=O)NCC(F)(F)F ZINC000443205946 416736971 /nfs/dbraw/zinc/73/69/71/416736971.db2.gz FFARSHZSYIBCGW-MRVPVSSYSA-N 0 2 317.333 0.326 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc3c(c2)oc(=O)n3C)cnn1C ZINC000427202288 416808199 /nfs/dbraw/zinc/80/81/99/416808199.db2.gz FVADIEKRKLHAFP-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCCOCCO)cn1 ZINC000428028818 416869228 /nfs/dbraw/zinc/86/92/28/416869228.db2.gz BARPOVRPASHRSN-UHFFFAOYSA-N 0 2 307.354 0.422 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(OC2COC2)cc1 ZINC000444994863 416877935 /nfs/dbraw/zinc/87/79/35/416877935.db2.gz FBVWUKJOYUPPHM-UHFFFAOYSA-N 0 2 305.294 0.610 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ncccn2)cnc1C ZINC000427753257 416843959 /nfs/dbraw/zinc/84/39/59/416843959.db2.gz PCCACBMKYWZASX-UHFFFAOYSA-N 0 2 308.319 0.767 20 0 DCADLN CN(CC1(O)CCOCC1)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000444529721 416847548 /nfs/dbraw/zinc/84/75/48/416847548.db2.gz WQNPOEZJYUJOTE-UHFFFAOYSA-N 0 2 320.349 0.287 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC2(C(=O)OC)CC2)cn1 ZINC000427813898 416849541 /nfs/dbraw/zinc/84/95/41/416849541.db2.gz URARLOPVXFWBQE-UHFFFAOYSA-N 0 2 317.349 0.976 20 0 DCADLN C[C@H](CCCO)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000446989110 416956466 /nfs/dbraw/zinc/95/64/66/416956466.db2.gz BUUMBCVQAMQSII-MRVPVSSYSA-N 0 2 314.411 0.473 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)N[C@@H]1CNC(=O)C1 ZINC000429453432 416975870 /nfs/dbraw/zinc/97/58/70/416975870.db2.gz RSCYGFXIOXUZEU-VIFPVBQESA-N 0 2 303.347 0.637 20 0 DCADLN CC(C)Cn1cc[nH+]c1CNC(=O)c1cnc(C(=O)[O-])cn1 ZINC000520811780 416975917 /nfs/dbraw/zinc/97/59/17/416975917.db2.gz UMAGDBCDTWLKSF-UHFFFAOYSA-N 0 2 303.322 0.957 20 0 DCADLN O[C@@H]1CC[N@H+](CCN[C@@H](c2nnc[nH]2)c2ccc(F)cc2)C1 ZINC000624230702 416989300 /nfs/dbraw/zinc/98/93/00/416989300.db2.gz DQDGCOURFWDBJH-ZIAGYGMSSA-N 0 2 305.357 0.689 20 0 DCADLN CCC[C@H](O)[C@H](CO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616310318 417010954 /nfs/dbraw/zinc/01/09/54/417010954.db2.gz JRQHMSILOMDWGZ-RYUDHWBXSA-N 0 2 321.333 0.282 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000430653022 417056319 /nfs/dbraw/zinc/05/63/19/417056319.db2.gz JWFDIGLECONYDK-QMMMGPOBSA-N 0 2 322.300 0.145 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000430653022 417056326 /nfs/dbraw/zinc/05/63/26/417056326.db2.gz JWFDIGLECONYDK-QMMMGPOBSA-N 0 2 322.300 0.145 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N[C@H](CO)[C@@H]2CCCO2)s[nH]1 ZINC000448019466 417056593 /nfs/dbraw/zinc/05/65/93/417056593.db2.gz WAHKDKOKLJNHHV-BDAKNGLRSA-N 0 2 314.411 0.919 20 0 DCADLN O=C(N[C@@H](CO)[C@H]1CCCO1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000448541886 417082362 /nfs/dbraw/zinc/08/23/62/417082362.db2.gz HVKVVACHWRDORH-NWDGAFQWSA-N 0 2 319.317 0.300 20 0 DCADLN O=C(NC[C@H]1CCCCS1(=O)=O)c1cccc2[nH]nnc21 ZINC000525873380 417156508 /nfs/dbraw/zinc/15/65/08/417156508.db2.gz XXOXZSQQQIGCAB-SECBINFHSA-N 0 2 308.363 0.655 20 0 DCADLN O=C1CCOC[C@@H]1NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC000632596775 417187292 /nfs/dbraw/zinc/18/72/92/417187292.db2.gz ZYDKIGXQHYJGTG-ZETCQYMHSA-N 0 2 320.754 0.842 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)NC[C@H]2CC[NH+]2C2CCCC2)C1 ZINC000527727992 417272429 /nfs/dbraw/zinc/27/24/29/417272429.db2.gz PUVZHSOYUHYJCR-HUUCEWRRSA-N 0 2 310.442 0.633 20 0 DCADLN O=C([C@@H]1OC[C@@H]2COCC[C@H]12)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000569344026 417242560 /nfs/dbraw/zinc/24/25/60/417242560.db2.gz XTBIBQXXZPJZJE-FIQHERPVSA-N 0 2 322.365 0.268 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2cnn(C)c2C)[nH]n1 ZINC000569424499 417251178 /nfs/dbraw/zinc/25/11/78/417251178.db2.gz AFAZRBWHOCYHLR-UHFFFAOYSA-N 0 2 313.339 0.429 20 0 DCADLN C[N@H+]1CCCC(C)(C)[C@H]1CNC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000415196064 417340499 /nfs/dbraw/zinc/34/04/99/417340499.db2.gz QOVWBCNWFVCXJE-GFCCVEGCSA-N 0 2 319.409 0.839 20 0 DCADLN O=C(CCOCC(F)F)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000570398965 417348375 /nfs/dbraw/zinc/34/83/75/417348375.db2.gz HFQPEMOQGYJJMO-QMMMGPOBSA-N 0 2 304.297 0.888 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)CCC(F)(F)F ZINC000570574339 417368976 /nfs/dbraw/zinc/36/89/76/417368976.db2.gz GTQBWKOWNQAMGL-SSDOTTSWSA-N 0 2 315.273 0.277 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)CCC(F)(F)F ZINC000570574339 417368984 /nfs/dbraw/zinc/36/89/84/417368984.db2.gz GTQBWKOWNQAMGL-SSDOTTSWSA-N 0 2 315.273 0.277 20 0 DCADLN CC1(c2nc(=NC(=O)CNC(=O)c3ccco3)s[nH]2)CC1 ZINC000529703901 417489581 /nfs/dbraw/zinc/48/95/81/417489581.db2.gz PPXCIEIXZLTERM-UHFFFAOYSA-N 0 2 306.347 0.973 20 0 DCADLN Nc1ccnc(CNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)n1 ZINC000622481914 417451495 /nfs/dbraw/zinc/45/14/95/417451495.db2.gz LTHFITNTDDPGNL-UHFFFAOYSA-N 0 2 312.289 0.332 20 0 DCADLN C[C@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)c1ncn(C)n1 ZINC000622540920 417457270 /nfs/dbraw/zinc/45/72/70/417457270.db2.gz VODYJGMOPZIXTA-QMMMGPOBSA-N 0 2 314.305 0.649 20 0 DCADLN CC[C@@H]1[C@H](CO)CCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452945060 417509852 /nfs/dbraw/zinc/50/98/52/417509852.db2.gz IMNWEMQTKQKBFA-JOYOIKCWSA-N 0 2 304.350 0.907 20 0 DCADLN CCOc1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)on1 ZINC000575319271 417526517 /nfs/dbraw/zinc/52/65/17/417526517.db2.gz ORDCCAICBIWHOQ-MRVPVSSYSA-N 0 2 307.310 0.917 20 0 DCADLN CO[C@@H]([C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000456570034 417655729 /nfs/dbraw/zinc/65/57/29/417655729.db2.gz FKDWVKSMGSGDQX-CPCISQLKSA-N 0 2 318.333 0.979 20 0 DCADLN O=C([O-])c1cncnc1NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000577495974 417678158 /nfs/dbraw/zinc/67/81/58/417678158.db2.gz CVEKRMMWQRQPCN-UHFFFAOYSA-N 0 2 315.333 1.019 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@H]2C[N@H+](C)C[C@H]21 ZINC000645620369 417765138 /nfs/dbraw/zinc/76/51/38/417765138.db2.gz FINQDEFNCYGPMP-CMPLNLGQSA-N 0 2 304.350 0.191 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N[C@@H]2COCC[C@H]2O)s[nH]1 ZINC000639638040 417705973 /nfs/dbraw/zinc/70/59/73/417705973.db2.gz IGRVNRRZUHDZFW-HTQZYQBOSA-N 0 2 300.384 0.529 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2cc(C)c(C)n[nH]2)[C@H](CC)CO1 ZINC000651987790 417829410 /nfs/dbraw/zinc/82/94/10/417829410.db2.gz JFAHBICTYJDAOF-CHWSQXEVSA-N 0 2 320.393 0.870 20 0 DCADLN CCN(C(=O)C(=O)N=c1cc(C)c(C)n[nH]1)[C@@H]1CCCOC1 ZINC000652026210 417837723 /nfs/dbraw/zinc/83/77/23/417837723.db2.gz GESKHTQNPDEMDH-GFCCVEGCSA-N 0 2 306.366 0.481 20 0 DCADLN CN(C)C(=O)c1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000629286453 417771827 /nfs/dbraw/zinc/77/18/27/417771827.db2.gz PUQGIFRQZQKQKN-UHFFFAOYSA-N 0 2 309.351 0.318 20 0 DCADLN CCCNC(=O)c1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000629286911 417772607 /nfs/dbraw/zinc/77/26/07/417772607.db2.gz BDLKIRLJIWEQTA-UHFFFAOYSA-N 0 2 323.378 0.756 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cc(CC(C)(C)C)nn1C ZINC000629347629 417785272 /nfs/dbraw/zinc/78/52/72/417785272.db2.gz FYGMPEUDVIMGHB-UHFFFAOYSA-N 0 2 312.399 0.938 20 0 DCADLN CO[C@@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@H]1C ZINC000651837270 417792404 /nfs/dbraw/zinc/79/24/04/417792404.db2.gz YWCNHOCVADAQDG-GHMZBOCLSA-N 0 2 309.370 0.103 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@@H](O)CC(C)(C)C2)[nH]1 ZINC000651840455 417793978 /nfs/dbraw/zinc/79/39/78/417793978.db2.gz NLKBSQFRUNHOBD-LLVKDONJSA-N 0 2 323.397 0.229 20 0 DCADLN COCc1nnc(CNS(=O)(=O)Cc2cccc(C)c2)[nH]1 ZINC000657104445 417812172 /nfs/dbraw/zinc/81/21/72/417812172.db2.gz PRQCAMJPHSEFLM-UHFFFAOYSA-N 0 2 310.379 0.879 20 0 DCADLN O=C([O-])CN(C(=O)Cc1cn2c([nH+]1)CCCC2)C1CCOCC1 ZINC000652057218 417841772 /nfs/dbraw/zinc/84/17/72/417841772.db2.gz XOFWWVCFXGQRCA-UHFFFAOYSA-N 0 2 321.377 0.854 20 0 DCADLN O=C([O-])[C@H](CC(F)F)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000652071388 417844075 /nfs/dbraw/zinc/84/40/75/417844075.db2.gz SRZRLWKRBRIQMT-VIFPVBQESA-N 0 2 301.293 0.987 20 0 DCADLN CCO[C@@H]1C[C@H](NC(=O)N=c2cccn[nH]2)[C@H]1n1cccn1 ZINC000652678363 417942240 /nfs/dbraw/zinc/94/22/40/417942240.db2.gz PLRFFQAVEPFOOL-DMDPSCGWSA-N 0 2 302.338 0.635 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccnc(OCCO)c2)cn1 ZINC000663300161 418008397 /nfs/dbraw/zinc/00/83/97/418008397.db2.gz HVJYYTVFMCKOTP-UHFFFAOYSA-N 0 2 312.351 0.470 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNc1ccc(C(=O)[O-])c[nH+]1 ZINC000647451619 418011021 /nfs/dbraw/zinc/01/10/21/418011021.db2.gz BGRYQQLAMZOMEP-KOLCDFICSA-N 0 2 313.379 0.332 20 0 DCADLN CN(C)S(=O)(=O)[C@@H]1CCCN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC000647455399 418011907 /nfs/dbraw/zinc/01/19/07/418011907.db2.gz ZKSWLHLZYGKGCJ-LLVKDONJSA-N 0 2 313.379 0.640 20 0 DCADLN C[C@@]1(C[C@H]2CCCN2c2ccc(C(=O)[O-])c[nH+]2)NC(=O)NC1=O ZINC000647454802 418012171 /nfs/dbraw/zinc/01/21/71/418012171.db2.gz AGFGCRVZNRRFSV-BMIGLBTASA-N 0 2 318.333 0.737 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CN(S(=O)(=O)CCCF)C[C@H]1C(=O)[O-] ZINC000647625688 418034625 /nfs/dbraw/zinc/03/46/25/418034625.db2.gz WZJNUJZMASXJGI-NXEZZACHSA-N 0 2 319.358 0.210 20 0 DCADLN Cc1nc(=NC(=O)N2CCN(Cc3cccs3)CC2)[nH]n1C ZINC000653247411 418046928 /nfs/dbraw/zinc/04/69/28/418046928.db2.gz MBMYLAUNEZQXMV-UHFFFAOYSA-N 0 2 320.422 0.957 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000663039680 417980672 /nfs/dbraw/zinc/98/06/72/417980672.db2.gz LVVLTTJTHADDCX-OAHLLOKOSA-N 0 2 303.318 0.978 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000663095788 417988434 /nfs/dbraw/zinc/98/84/34/417988434.db2.gz WATBPHYRUCWGDB-HNNXBMFYSA-N 0 2 305.334 0.951 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000663104575 417991119 /nfs/dbraw/zinc/99/11/19/417991119.db2.gz AJIUVZTXRBASHH-OAHLLOKOSA-N 0 2 323.349 0.024 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1ccn(C)n1)C1CCOCC1 ZINC000647123477 417991481 /nfs/dbraw/zinc/99/14/81/417991481.db2.gz YPSWQOYJWGWBJV-NSHDSACASA-N 0 2 303.384 0.603 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000659062950 418052941 /nfs/dbraw/zinc/05/29/41/418052941.db2.gz YPRBKMXPHSYKKG-UHFFFAOYSA-N 0 2 304.306 0.644 20 0 DCADLN O=C(NCCN=c1ccc(OCC(F)(F)F)n[nH]1)C1CC1 ZINC000647859839 418064148 /nfs/dbraw/zinc/06/41/48/418064148.db2.gz BGUXFNLPCGBSMF-UHFFFAOYSA-N 0 2 304.272 0.778 20 0 DCADLN NC(=O)C1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000665127349 418178096 /nfs/dbraw/zinc/17/80/96/418178096.db2.gz CZQVQCSOOGXPAS-UHFFFAOYSA-N 0 2 316.317 0.367 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](Cc2cc3ccccc3[nH]c2=O)CC1 ZINC000649452126 418254750 /nfs/dbraw/zinc/25/47/50/418254750.db2.gz TZLSIKZEKWGFFY-UHFFFAOYSA-N 0 2 315.329 0.669 20 0 DCADLN C[C@@H](CCO)N(C)C(=O)N=c1ncn(Cc2ccccc2)[nH]1 ZINC000650010953 418288191 /nfs/dbraw/zinc/28/81/91/418288191.db2.gz MOJJFWQXSKRZRE-LBPRGKRZSA-N 0 2 303.366 0.983 20 0 DCADLN Cc1cc(=NC(=O)N2CC(C)(C)O[C@@H]3COC[C@H]32)[nH]nc1C ZINC000650040169 418289396 /nfs/dbraw/zinc/28/93/96/418289396.db2.gz MGKQVLLSFWIRCK-VXGBXAGGSA-N 0 2 306.366 0.925 20 0 DCADLN CNC(=O)c1ccccc1NS(=O)(=O)N1CCCOCC1 ZINC000650157928 418295525 /nfs/dbraw/zinc/29/55/25/418295525.db2.gz JSZJPELSZVCXMK-UHFFFAOYSA-N 0 2 313.379 0.425 20 0 DCADLN CC(C)[C@@H](CNC(=O)C(=O)NCCCCn1cc[nH+]c1)C(=O)[O-] ZINC000655982649 418311499 /nfs/dbraw/zinc/31/14/99/418311499.db2.gz YQZYQYWYDHCFQW-GFCCVEGCSA-N 0 2 324.381 0.253 20 0 DCADLN O=C([O-])c1cc(N[C@H]2CCN(C3CCOCC3)C2=O)cc[nH+]1 ZINC000650767436 418323592 /nfs/dbraw/zinc/32/35/92/418323592.db2.gz VEMVUTRQINCMTO-LBPRGKRZSA-N 0 2 305.334 0.394 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000650778319 418324202 /nfs/dbraw/zinc/32/42/02/418324202.db2.gz LJOZUJPAPVGHTC-IJLUTSLNSA-N 0 2 308.382 0.983 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000650778319 418324203 /nfs/dbraw/zinc/32/42/03/418324203.db2.gz LJOZUJPAPVGHTC-IJLUTSLNSA-N 0 2 308.382 0.983 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H](C)COc2ccccc2)[nH]n1 ZINC000650817436 418326232 /nfs/dbraw/zinc/32/62/32/418326232.db2.gz CEMYUFJTOQUZEN-NSHDSACASA-N 0 2 314.345 0.912 20 0 DCADLN CN(C(=O)NC[C@@H]1CC[NH+]1C(C)(C)C)[C@H]1CC[N@H+]2CCO[C@H]1C2 ZINC000661410621 418337645 /nfs/dbraw/zinc/33/76/45/418337645.db2.gz SSXYRNKPADCJQO-KKUMJFAQSA-N 0 2 324.469 0.974 20 0 DCADLN CC(C)CO[C@@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651619443 418398064 /nfs/dbraw/zinc/39/80/64/418398064.db2.gz ULLONAVCNPFWEI-GFCCVEGCSA-N 0 2 323.397 0.493 20 0 DCADLN Cc1oc(C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)cc1C(=O)[O-] ZINC000656762553 418375049 /nfs/dbraw/zinc/37/50/49/418375049.db2.gz FHYWWHKKIXYHRY-SECBINFHSA-N 0 2 316.379 0.752 20 0 DCADLN Cc1oc(C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)cc1C(=O)[O-] ZINC000656762553 418375050 /nfs/dbraw/zinc/37/50/50/418375050.db2.gz FHYWWHKKIXYHRY-SECBINFHSA-N 0 2 316.379 0.752 20 0 DCADLN Cc1csc(N2CC[NH+]([C@@H]3CCN(CC(=O)[O-])C3=O)CC2)n1 ZINC000662206469 418389378 /nfs/dbraw/zinc/38/93/78/418389378.db2.gz PXSCRBUCTGUSTF-LLVKDONJSA-N 0 2 324.406 0.259 20 0 DCADLN CC[N@H+](CC(=O)N[C@@H](C(=O)NC)c1ccccc1)[C@H](C)C(=O)[O-] ZINC000662214364 418390814 /nfs/dbraw/zinc/39/08/14/418390814.db2.gz FWLCPEBEKVSXGB-BXUZGUMPSA-N 0 2 321.377 0.385 20 0 DCADLN CC[N@@H+](CC(=O)N[C@@H](C(=O)NC)c1ccccc1)[C@H](C)C(=O)[O-] ZINC000662214364 418390816 /nfs/dbraw/zinc/39/08/16/418390816.db2.gz FWLCPEBEKVSXGB-BXUZGUMPSA-N 0 2 321.377 0.385 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@H](c3ccco3)C2)[nH]1 ZINC000651563909 418391679 /nfs/dbraw/zinc/39/16/79/418391679.db2.gz LVWJVAFSAAMDQJ-NSHDSACASA-N 0 2 317.349 0.829 20 0 DCADLN CCCCCN(CCO)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651566172 418391983 /nfs/dbraw/zinc/39/19/83/418391983.db2.gz RJPRBLISNLNVEQ-UHFFFAOYSA-N 0 2 311.386 0.231 20 0 DCADLN COC[C@@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651567835 418392035 /nfs/dbraw/zinc/39/20/35/418392035.db2.gz WAHAFYSYQZSGJH-LLVKDONJSA-N 0 2 309.370 0.104 20 0 DCADLN CC(C)C(NC(=O)C(=O)N=c1nc2n([nH]1)CCCC2)C(C)C ZINC000651686208 418403971 /nfs/dbraw/zinc/40/39/71/418403971.db2.gz SRBXTAQVJMYPCT-UHFFFAOYSA-N 0 2 307.398 0.772 20 0 DCADLN NC(=O)N1CCc2ccc(NS(=O)(=O)c3cn[nH]c3)cc21 ZINC000487389384 287535954 /nfs/dbraw/zinc/53/59/54/287535954.db2.gz DCJBESKCLNOBGC-UHFFFAOYSA-N 0 2 307.335 0.652 20 0 DCADLN C[S@](=O)c1cccc(NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000354202175 261189396 /nfs/dbraw/zinc/18/93/96/261189396.db2.gz ADZOPFUCWSZUNC-FQEVSTJZSA-N 0 2 312.376 0.979 20 0 DCADLN CNC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1Cl ZINC000354363248 261208775 /nfs/dbraw/zinc/20/87/75/261208775.db2.gz SOXPKEONJFXFCE-UHFFFAOYSA-N 0 2 324.728 0.845 20 0 DCADLN O=C(N[C@@H]1CC[S@](=O)C1)C1=NN(c2ccccc2)CC1=O ZINC000354723064 261262985 /nfs/dbraw/zinc/26/29/85/261262985.db2.gz ZNKHRJYLEXMUIR-UZJPJQLHSA-N 0 2 305.359 0.829 20 0 DCADLN O=C(NCCc1nnc[nH]1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354742239 261265727 /nfs/dbraw/zinc/26/57/27/261265727.db2.gz UHPBRIZNUATJLK-UHFFFAOYSA-N 0 2 316.296 0.808 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)O1 ZINC000356013636 261382899 /nfs/dbraw/zinc/38/28/99/261382899.db2.gz ZTJLMUGLOHGMKO-UWVGGRQHSA-N 0 2 317.305 0.149 20 0 DCADLN Cn1nc2ccccc2c1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000361603138 261943714 /nfs/dbraw/zinc/94/37/14/261943714.db2.gz PETQBDLQPDBQSO-UHFFFAOYSA-N 0 2 300.322 0.760 20 0 DCADLN COC(=O)[C@@H](CC1CC1)NS(=O)(=O)NCC(F)(F)F ZINC000416578780 262232094 /nfs/dbraw/zinc/23/20/94/262232094.db2.gz GVCSUNQAWFAIAL-SSDOTTSWSA-N 0 2 304.290 0.314 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccnn2C)cnc1C ZINC000427752483 262568739 /nfs/dbraw/zinc/56/87/39/262568739.db2.gz NAOBVNCQOAXFKK-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)CC[N@H+]1C ZINC000355455553 271010675 /nfs/dbraw/zinc/01/06/75/271010675.db2.gz MJQPEHXKNMXKSU-ZJUUUORDSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)CC[N@@H+]1C ZINC000355455553 271010678 /nfs/dbraw/zinc/01/06/78/271010678.db2.gz MJQPEHXKNMXKSU-ZJUUUORDSA-N 0 2 315.395 0.436 20 0 DCADLN O=C(NC[C@H](O)[C@@H]1CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000355551761 271014865 /nfs/dbraw/zinc/01/48/65/271014865.db2.gz ZALPQIRSQIFLPU-YPMHNXCESA-N 0 2 317.345 0.705 20 0 DCADLN Cn1cnn(CC(=O)N=c2nc(-c3ccccc3)[nH]s2)c1=O ZINC000355858117 271028566 /nfs/dbraw/zinc/02/85/66/271028566.db2.gz NSELXYUTUWJVPD-UHFFFAOYSA-N 0 2 316.346 0.161 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCCC[C@@H]1C(N)=O)c2=O ZINC000358316751 271049093 /nfs/dbraw/zinc/04/90/93/271049093.db2.gz IDWCLKKHJWOCPO-SNVBAGLBSA-N 0 2 303.322 0.154 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2ncn(-c3ccccc3)n2)n1 ZINC000360032421 271160853 /nfs/dbraw/zinc/16/08/53/271160853.db2.gz VJYIMLQFQMQRQV-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCC(=O)NC(C)(C)C)cn1 ZINC000436414105 271592879 /nfs/dbraw/zinc/59/28/79/271592879.db2.gz QBLWAJUMYHELMZ-UHFFFAOYSA-N 0 2 318.381 0.845 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H](CO)c1ccc(F)cc1 ZINC000442951930 271691026 /nfs/dbraw/zinc/69/10/26/271691026.db2.gz PVKUWXGBIRMVFK-VIFPVBQESA-N 0 2 316.276 0.845 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)OC ZINC000479478464 272035966 /nfs/dbraw/zinc/03/59/66/272035966.db2.gz WUOFPVLKRWEKOR-XPUUQOCRSA-N 0 2 302.356 0.306 20 0 DCADLN NC(=O)c1ccc(C=CC(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC000492718513 272144955 /nfs/dbraw/zinc/14/49/55/272144955.db2.gz UNPCVFUXIPUZGF-ZZXKWVIFSA-N 0 2 318.358 0.829 20 0 DCADLN NC(=O)c1ccc(C=CC(=O)NCCC2N=NC(=S)O2)cc1 ZINC000492718513 272144957 /nfs/dbraw/zinc/14/49/57/272144957.db2.gz UNPCVFUXIPUZGF-ZZXKWVIFSA-N 0 2 318.358 0.829 20 0 DCADLN O=C(/C=C\c1cccnc1)NS(=O)(=O)c1cnc2n1CCC2 ZINC000492822323 272151441 /nfs/dbraw/zinc/15/14/41/272151441.db2.gz IIOVMOABWLNZIH-WAYWQWQTSA-N 0 2 318.358 0.743 20 0 DCADLN Cn1ccc(/C=C/C(=O)NS(=O)(=O)c2cnc3n2CCC3)c1 ZINC000492824123 272152742 /nfs/dbraw/zinc/15/27/42/272152742.db2.gz PNCQRTQKDXJNAI-SNAWJCMRSA-N 0 2 320.374 0.686 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)/C=C\c2ccn(C)c2)cn1 ZINC000493453785 272189693 /nfs/dbraw/zinc/18/96/93/272189693.db2.gz NVCQYODYDHSTDJ-HYXAFXHYSA-N 0 2 321.358 0.947 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C/c1ccn(C)c1 ZINC000493447464 272189801 /nfs/dbraw/zinc/18/98/01/272189801.db2.gz CIBNDDBZOXNMRU-SNAWJCMRSA-N 0 2 308.363 0.585 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000496062379 272288631 /nfs/dbraw/zinc/28/86/31/272288631.db2.gz DWRWQDDNVVRLAM-KGLIPLIRSA-N 0 2 317.430 0.088 20 0 DCADLN Cc1c(NS(=O)(=O)c2cn[nH]c2)cccc1-n1cnnn1 ZINC000516676997 272442807 /nfs/dbraw/zinc/44/28/07/272442807.db2.gz JQXUOVHWQUSUCB-UHFFFAOYSA-N 0 2 305.323 0.495 20 0 DCADLN CCS(=O)(=O)N1CC[NH+](Cc2ccc(C(=O)[O-])s2)CC1 ZINC000526125588 272591686 /nfs/dbraw/zinc/59/16/86/272591686.db2.gz YNMZPIQILHICMU-UHFFFAOYSA-N 0 2 318.420 0.914 20 0 DCADLN C[C@@H](O)CN(CC(F)F)S(=O)(=O)NCC(F)(F)F ZINC000516492158 287666688 /nfs/dbraw/zinc/66/66/88/287666688.db2.gz SFYZJERAIXPKOQ-RXMQYKEDSA-N 0 2 300.249 0.331 20 0 DCADLN C[C@]1(C(N)=O)CCCCN1S(=O)(=O)NCC(F)(F)F ZINC000195452394 300788490 /nfs/dbraw/zinc/78/84/90/300788490.db2.gz UWVGAPLCGFCQPV-MRVPVSSYSA-N 0 2 303.306 0.113 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@](C)(O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000271106018 301098652 /nfs/dbraw/zinc/09/86/52/301098652.db2.gz LJSAQMPRMFJBSQ-HNNXBMFYSA-N 0 2 318.333 0.731 20 0 DCADLN COC(=O)c1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC000584055701 331655070 /nfs/dbraw/zinc/65/50/70/331655070.db2.gz OELKLKFAJZOWQN-MRVPVSSYSA-N 0 2 319.321 0.645 20 0 DCADLN Cc1nn2c([n-]nc2SCC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)nc1=O ZINC000580216746 333016859 /nfs/dbraw/zinc/01/68/59/333016859.db2.gz GKVMDGKVJKTBRG-DTORHVGOSA-N 0 2 324.410 0.322 20 0 DCADLN Cc1nn2c([n-]nc2SCC[N@H+]2C[C@@H](C)O[C@@H](C)C2)nc1=O ZINC000580216746 333016861 /nfs/dbraw/zinc/01/68/61/333016861.db2.gz GKVMDGKVJKTBRG-DTORHVGOSA-N 0 2 324.410 0.322 20 0 DCADLN CCC[C@](C)(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000266551833 521293298 /nfs/dbraw/zinc/29/32/98/521293298.db2.gz OQGYBYNOFYGEIO-AWEZNQCLSA-N 0 2 306.322 0.717 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2ccnn2C)cn1C ZINC000267817506 521443320 /nfs/dbraw/zinc/44/33/20/521443320.db2.gz WAKFBPPFVZMFNX-UHFFFAOYSA-N 0 2 312.351 0.736 20 0 DCADLN COCCn1cc(NS(=O)(=O)c2cccc3nonc32)cn1 ZINC000162082499 522109549 /nfs/dbraw/zinc/10/95/49/522109549.db2.gz LFPVVAXGYGWYMT-UHFFFAOYSA-N 0 2 323.334 0.867 20 0 DCADLN COC[C@@H](C)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266891851 522199076 /nfs/dbraw/zinc/19/90/76/522199076.db2.gz WLCAZTCRGJJHPN-ZETCQYMHSA-N 0 2 306.322 0.757 20 0 DCADLN COC[C@H](C)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266891850 522574854 /nfs/dbraw/zinc/57/48/54/522574854.db2.gz WLCAZTCRGJJHPN-SSDOTTSWSA-N 0 2 306.322 0.757 20 0 DCADLN CN(Cc1nnnn1C1CC1)Cc1nc(=O)c2sccc2[nH]1 ZINC000131722949 523615784 /nfs/dbraw/zinc/61/57/84/523615784.db2.gz MSPUVIIOWDDFCJ-UHFFFAOYSA-N 0 2 317.378 0.938 20 0 DCADLN Cc1nsc(NC(=O)c2ccc(S(=O)(=O)N(C)C)o2)n1 ZINC000266412035 526713153 /nfs/dbraw/zinc/71/31/53/526713153.db2.gz MSFOHNFWHMENOB-UHFFFAOYSA-N 0 2 316.364 0.942 20 0 DCADLN CCC(=O)N1CCC[C@@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC000330949930 528308769 /nfs/dbraw/zinc/30/87/69/528308769.db2.gz OEQSBRZQGVNGQI-SNVBAGLBSA-N 0 2 309.370 0.208 20 0 DCADLN O=c1cc(CN2CCO[C@@H]([C@@H]3CCCO3)C2)nc2cc[nH]n21 ZINC000152365541 545823081 /nfs/dbraw/zinc/82/30/81/545823081.db2.gz GEGFABNLLDTKAV-QWHCGFSZSA-N 0 2 304.350 0.402 20 0 DCADLN CN1C[C@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCC1=O ZINC000668988169 546896688 /nfs/dbraw/zinc/89/66/88/546896688.db2.gz RDVFIMBFAPZHQK-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN CNS(=O)(=O)c1ccc(NS(=O)(=O)c2ccoc2)cc1 ZINC000671657725 547280176 /nfs/dbraw/zinc/28/01/76/547280176.db2.gz LMEJHGVBIQOAOL-UHFFFAOYSA-N 0 2 316.360 0.989 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N3CCC[C@H]4C[C@H]43)cc-2c(=O)[nH]1 ZINC000671691318 547284446 /nfs/dbraw/zinc/28/44/46/547284446.db2.gz FJDNFJRPPUMKDW-OIBJUYFYSA-N 0 2 322.346 0.609 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(OC[C@@H]2CCCO2)cc1 ZINC000673918970 547537828 /nfs/dbraw/zinc/53/78/28/547537828.db2.gz JQBLQDSPTVWCOJ-LBPRGKRZSA-N 0 2 318.333 0.998 20 0 DCADLN CCc1[nH+]c2ccccc2n1CC(=O)N1CCO[C@H](C(=O)[O-])C1 ZINC000676018894 547727455 /nfs/dbraw/zinc/72/74/55/547727455.db2.gz GFCBYAKRXYBFJX-ZDUSSCGKSA-N 0 2 317.345 0.911 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H](C(=O)NCC3CC3)C2)c1O ZINC000676631750 547797040 /nfs/dbraw/zinc/79/70/40/547797040.db2.gz DUXUBZABWOBVLY-LLVKDONJSA-N 0 2 306.366 0.802 20 0 DCADLN Cc1n[nH]c(C(=O)NCc2ccc[nH+]c2N2CCOCC2)c1[O-] ZINC000676631479 547797452 /nfs/dbraw/zinc/79/74/52/547797452.db2.gz XGMDWFUVZBWVTR-UHFFFAOYSA-N 0 2 317.349 0.585 20 0 DCADLN O=C(CN1CCOCC1)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000678060682 547941152 /nfs/dbraw/zinc/94/11/52/547941152.db2.gz GBSUAMZGAMHQLM-UHFFFAOYSA-N 0 2 304.306 0.301 20 0 DCADLN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)c1n[nH]c(C)c1O ZINC000678577767 547992381 /nfs/dbraw/zinc/99/23/81/547992381.db2.gz MQAZMMYAFUKWIW-NSHDSACASA-N 0 2 319.365 0.988 20 0 DCADLN CN1CC[C@@H](C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)C1=O ZINC000681255459 548225365 /nfs/dbraw/zinc/22/53/65/548225365.db2.gz KJDHPUCDEQWLHY-JTQLQIEISA-N 0 2 302.290 0.447 20 0 DCADLN CCn1ncc2ccc(NS(=O)(=O)c3cnnn3C)cc21 ZINC000682833088 548430454 /nfs/dbraw/zinc/43/04/54/548430454.db2.gz UZTUCCMZUYSXBD-UHFFFAOYSA-N 0 2 306.351 0.986 20 0 DCADLN C[C@@H](C(=O)NC(=O)NC(C)(C)C)[N@@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000825990914 601552749 /nfs/dbraw/zinc/55/27/49/601552749.db2.gz RDCPNBBCZJZVCI-ISVAXAHUSA-N 0 2 303.334 0.498 20 0 DCADLN C[C@@H](C(=O)NC(=O)NC(C)(C)C)[N@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000825990914 601552751 /nfs/dbraw/zinc/55/27/51/601552751.db2.gz RDCPNBBCZJZVCI-ISVAXAHUSA-N 0 2 303.334 0.498 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCCc1cnn(-c2ccccc2)c1 ZINC000739631690 596905027 /nfs/dbraw/zinc/90/50/27/596905027.db2.gz WLOUTLBQHYRBNV-UHFFFAOYSA-N 0 2 316.361 0.547 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCCc1cnn(-c2ccccc2)c1 ZINC000739631690 596905030 /nfs/dbraw/zinc/90/50/30/596905030.db2.gz WLOUTLBQHYRBNV-UHFFFAOYSA-N 0 2 316.361 0.547 20 0 DCADLN Cn1c2ccncc2n(C[N@@H+]2CCC[C@@H](CC(=O)[O-])C2)c1=O ZINC000819057820 597228080 /nfs/dbraw/zinc/22/80/80/597228080.db2.gz FMVIOFPRZQKPSH-NSHDSACASA-N 0 2 304.350 0.879 20 0 DCADLN Cn1c2ccncc2n(C[N@H+]2CCC[C@@H](CC(=O)[O-])C2)c1=O ZINC000819057820 597228083 /nfs/dbraw/zinc/22/80/83/597228083.db2.gz FMVIOFPRZQKPSH-NSHDSACASA-N 0 2 304.350 0.879 20 0 DCADLN Cc1ccc(NC(=O)CN(C)C(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])cc1 ZINC000818905101 597474319 /nfs/dbraw/zinc/47/43/19/597474319.db2.gz QRUYPAFABGXBOI-LBPRGKRZSA-N 0 2 321.377 0.797 20 0 DCADLN Cc1ccc(NC(=O)CN(C)C(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])cc1 ZINC000818905101 597474321 /nfs/dbraw/zinc/47/43/21/597474321.db2.gz QRUYPAFABGXBOI-LBPRGKRZSA-N 0 2 321.377 0.797 20 0 DCADLN Cc1cccc(C)c1NC(=O)CNC(=O)[C@@H](C)[N@H+](C)CC(=O)[O-] ZINC000821112249 598041608 /nfs/dbraw/zinc/04/16/08/598041608.db2.gz MDUMOHAQXFNDOR-GFCCVEGCSA-N 0 2 321.377 0.763 20 0 DCADLN Cc1cccc(C)c1NC(=O)CNC(=O)[C@@H](C)[N@@H+](C)CC(=O)[O-] ZINC000821112249 598041612 /nfs/dbraw/zinc/04/16/12/598041612.db2.gz MDUMOHAQXFNDOR-GFCCVEGCSA-N 0 2 321.377 0.763 20 0 DCADLN CCC(C)(C)NC(=O)Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC000820848568 607349012 /nfs/dbraw/zinc/34/90/12/607349012.db2.gz ZMVJZZWQCPQIPB-UHFFFAOYSA-N 0 2 304.354 0.642 20 0 DCADLN Cc1nn(CCCCC(=O)N(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC000737513606 598641327 /nfs/dbraw/zinc/64/13/27/598641327.db2.gz QBLFVTFNHOWTLQ-UHFFFAOYSA-N 0 2 319.369 0.299 20 0 DCADLN O=C([O-])c1cccc(C[N@@H+]2CCO[C@H](Cn3cncn3)C2)c1 ZINC000740220094 599919862 /nfs/dbraw/zinc/91/98/62/599919862.db2.gz FQDGJVQPWCSGMY-AWEZNQCLSA-N 0 2 302.334 0.877 20 0 DCADLN O=C([O-])c1cccc(C[N@H+]2CCO[C@H](Cn3cncn3)C2)c1 ZINC000740220094 599919863 /nfs/dbraw/zinc/91/98/63/599919863.db2.gz FQDGJVQPWCSGMY-AWEZNQCLSA-N 0 2 302.334 0.877 20 0 DCADLN N#Cc1ccc(OCC[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000387343003 599983126 /nfs/dbraw/zinc/98/31/26/599983126.db2.gz WTXLBTSUVPZCCD-CYBMUJFWSA-N 0 2 303.318 0.212 20 0 DCADLN N#Cc1ccc(OCC[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000387343003 599983129 /nfs/dbraw/zinc/98/31/29/599983129.db2.gz WTXLBTSUVPZCCD-CYBMUJFWSA-N 0 2 303.318 0.212 20 0 DCADLN Cc1nc(C2([NH2+]CCC(=O)N(C)CC(=O)[O-])CCCC2)no1 ZINC000738849859 600161268 /nfs/dbraw/zinc/16/12/68/600161268.db2.gz IZBAMCOLIVABNG-UHFFFAOYSA-N 0 2 310.354 0.670 20 0 DCADLN CCc1nc([C@H]2CCCC[N@@H+]2CCC(=O)NCC(=O)[O-])no1 ZINC000737281144 600291765 /nfs/dbraw/zinc/29/17/65/600291765.db2.gz GNXPTUULRLMTCY-SNVBAGLBSA-N 0 2 310.354 0.750 20 0 DCADLN CCc1nc([C@H]2CCCC[N@H+]2CCC(=O)NCC(=O)[O-])no1 ZINC000737281144 600291769 /nfs/dbraw/zinc/29/17/69/600291769.db2.gz GNXPTUULRLMTCY-SNVBAGLBSA-N 0 2 310.354 0.750 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+]1CCNC(=O)[C@H]1c1ccccc1 ZINC000737401975 600437113 /nfs/dbraw/zinc/43/71/13/600437113.db2.gz ZWOZZHVHNKUFJI-OAHLLOKOSA-N 0 2 319.361 0.093 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+]1CCNC(=O)[C@H]1c1ccccc1 ZINC000737401975 600437114 /nfs/dbraw/zinc/43/71/14/600437114.db2.gz ZWOZZHVHNKUFJI-OAHLLOKOSA-N 0 2 319.361 0.093 20 0 DCADLN Cc1n[nH]c(C)c1NC(=O)C[N@H+](CC(=O)[O-])C1CCOCC1 ZINC000832989602 600855675 /nfs/dbraw/zinc/85/56/75/600855675.db2.gz DHSKYAFVIXEIAN-UHFFFAOYSA-N 0 2 310.354 0.531 20 0 DCADLN Cc1n[nH]c(C)c1NC(=O)C[N@@H+](CC(=O)[O-])C1CCOCC1 ZINC000832989602 600855676 /nfs/dbraw/zinc/85/56/76/600855676.db2.gz DHSKYAFVIXEIAN-UHFFFAOYSA-N 0 2 310.354 0.531 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2C[C@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000830960592 600881417 /nfs/dbraw/zinc/88/14/17/600881417.db2.gz SWIZYEOLYGGMQO-QWHCGFSZSA-N 0 2 306.318 0.196 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2C[C@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000830960592 600881418 /nfs/dbraw/zinc/88/14/18/600881418.db2.gz SWIZYEOLYGGMQO-QWHCGFSZSA-N 0 2 306.318 0.196 20 0 DCADLN COCCc1noc(C[N@@H+]2CCSC[C@H]2CC(=O)[O-])n1 ZINC000831800744 601026092 /nfs/dbraw/zinc/02/60/92/601026092.db2.gz RZJJFYKPDXZXMV-SECBINFHSA-N 0 2 301.368 0.651 20 0 DCADLN COCCc1noc(C[N@H+]2CCSC[C@H]2CC(=O)[O-])n1 ZINC000831800744 601026095 /nfs/dbraw/zinc/02/60/95/601026095.db2.gz RZJJFYKPDXZXMV-SECBINFHSA-N 0 2 301.368 0.651 20 0 DCADLN NC(=O)NC1CC[NH+](CC(=O)Nc2cccc(C(=O)[O-])c2)CC1 ZINC000833086140 601044249 /nfs/dbraw/zinc/04/42/49/601044249.db2.gz YMQOLMQGXBLWRS-UHFFFAOYSA-N 0 2 320.349 0.456 20 0 DCADLN CC(=O)c1[nH]c(CC(=O)NCCc2[nH]cc[nH+]2)c(C(=O)[O-])c1C ZINC000736177478 601092949 /nfs/dbraw/zinc/09/29/49/601092949.db2.gz MCFRTUVSWBJGGT-UHFFFAOYSA-N 0 2 318.333 0.848 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)c2ccnc(C(=O)[O-])c2)CC1 ZINC000830012291 601285837 /nfs/dbraw/zinc/28/58/37/601285837.db2.gz YBSKQKSQYGYYFP-UHFFFAOYSA-N 0 2 307.350 0.574 20 0 DCADLN Cc1cc(C[N@H+](C)CCN2CCCS2(=O)=O)oc1C(=O)[O-] ZINC000832881654 601434838 /nfs/dbraw/zinc/43/48/38/601434838.db2.gz IBEVPPDSGDSZBU-UHFFFAOYSA-N 0 2 316.379 0.754 20 0 DCADLN Cc1cc(C[N@@H+](C)CCN2CCCS2(=O)=O)oc1C(=O)[O-] ZINC000832881654 601434839 /nfs/dbraw/zinc/43/48/39/601434839.db2.gz IBEVPPDSGDSZBU-UHFFFAOYSA-N 0 2 316.379 0.754 20 0 DCADLN Cc1nn(C)c2ncc(C[N@@H+]3CCO[C@H](CC(=O)[O-])C3)cc12 ZINC000833016049 601460201 /nfs/dbraw/zinc/46/02/01/601460201.db2.gz QSTPDNBMYSORMS-GFCCVEGCSA-N 0 2 304.350 0.952 20 0 DCADLN Cc1nn(C)c2ncc(C[N@H+]3CCO[C@H](CC(=O)[O-])C3)cc12 ZINC000833016049 601460202 /nfs/dbraw/zinc/46/02/02/601460202.db2.gz QSTPDNBMYSORMS-GFCCVEGCSA-N 0 2 304.350 0.952 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@H+](CC(=O)[O-])C1CC1 ZINC000828252816 601461763 /nfs/dbraw/zinc/46/17/63/601461763.db2.gz YFQMQEGBVVSYIP-ZYHUDNBSSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@@H+](CC(=O)[O-])C1CC1 ZINC000828252816 601461764 /nfs/dbraw/zinc/46/17/64/601461764.db2.gz YFQMQEGBVVSYIP-ZYHUDNBSSA-N 0 2 311.382 0.940 20 0 DCADLN COC[C@](C)(NC(=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)[O-] ZINC000831612958 601693101 /nfs/dbraw/zinc/69/31/01/601693101.db2.gz QRWXGKFPDKEVAO-HNNXBMFYSA-N 0 2 305.334 0.791 20 0 DCADLN COc1c(C)c[nH+]c(CNS(=O)(=O)C[C@@H](C)C(=O)[O-])c1C ZINC000831852048 601784735 /nfs/dbraw/zinc/78/47/35/601784735.db2.gz OOPZCDYEQIGJMN-SECBINFHSA-N 0 2 316.379 0.847 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2CC[C@@](C)(C(=O)[O-])C2)cc1 ZINC000832169856 601915128 /nfs/dbraw/zinc/91/51/28/601915128.db2.gz SYLPGHNAUXFQKN-MRXNPFEDSA-N 0 2 320.345 0.748 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2CC[C@@](C)(C(=O)[O-])C2)cc1 ZINC000832169856 601915131 /nfs/dbraw/zinc/91/51/31/601915131.db2.gz SYLPGHNAUXFQKN-MRXNPFEDSA-N 0 2 320.345 0.748 20 0 DCADLN Cn1c2ccncc2n(C[NH+]2CCC3(C[C@@H]3C(=O)[O-])CC2)c1=O ZINC000833038907 602193501 /nfs/dbraw/zinc/19/35/01/602193501.db2.gz MGMAYCDARZXWLM-LLVKDONJSA-N 0 2 316.361 0.879 20 0 DCADLN C[N@@H+]1CCN(C(=O)CSC(C)(C)C)[C@H](CNC(=O)[O-])C1 ZINC000828500790 603510667 /nfs/dbraw/zinc/51/06/67/603510667.db2.gz PGZINLDWQBOABW-SNVBAGLBSA-N 0 2 303.428 0.928 20 0 DCADLN C[N@H+]1CCN(C(=O)CSC(C)(C)C)[C@H](CNC(=O)[O-])C1 ZINC000828500790 603510670 /nfs/dbraw/zinc/51/06/70/603510670.db2.gz PGZINLDWQBOABW-SNVBAGLBSA-N 0 2 303.428 0.928 20 0 DCADLN Cc1noc(C)c1CCC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830909663 603511720 /nfs/dbraw/zinc/51/17/20/603511720.db2.gz RYMAUUQDYGTEBR-LBPRGKRZSA-N 0 2 324.381 0.634 20 0 DCADLN Cc1noc(C)c1CCC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830909663 603511723 /nfs/dbraw/zinc/51/17/23/603511723.db2.gz RYMAUUQDYGTEBR-LBPRGKRZSA-N 0 2 324.381 0.634 20 0 DCADLN Cc1cccc(CC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000830493878 603514672 /nfs/dbraw/zinc/51/46/72/603514672.db2.gz UWZDJBMEEQUUBA-AWEZNQCLSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1cccc(CC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000830493878 603514673 /nfs/dbraw/zinc/51/46/73/603514673.db2.gz UWZDJBMEEQUUBA-AWEZNQCLSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1cc(C)n([C@@H](C)C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000830016131 603520055 /nfs/dbraw/zinc/52/00/55/603520055.db2.gz BWDDXNCGHIMTAP-STQMWFEESA-N 0 2 323.397 0.471 20 0 DCADLN Cc1cc(C)n([C@@H](C)C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000830016131 603520059 /nfs/dbraw/zinc/52/00/59/603520059.db2.gz BWDDXNCGHIMTAP-STQMWFEESA-N 0 2 323.397 0.471 20 0 DCADLN Cc1csc(NC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000830667423 603597949 /nfs/dbraw/zinc/59/79/49/603597949.db2.gz VNXDAFJJNDKAAQ-SECBINFHSA-N 0 2 313.383 0.867 20 0 DCADLN Cc1csc(NC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000830667423 603597951 /nfs/dbraw/zinc/59/79/51/603597951.db2.gz VNXDAFJJNDKAAQ-SECBINFHSA-N 0 2 313.383 0.867 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CC[C@@H](N(C)C(=O)[O-])C2)CCO1 ZINC000826058418 603641167 /nfs/dbraw/zinc/64/11/67/603641167.db2.gz NVKCVIOHVVJDIF-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CC[C@@H](N(C)C(=O)[O-])C2)CCO1 ZINC000826058418 603641171 /nfs/dbraw/zinc/64/11/71/603641171.db2.gz NVKCVIOHVVJDIF-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)CNC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000825068137 603660027 /nfs/dbraw/zinc/66/00/27/603660027.db2.gz YDZQRGATZATNQW-MNOVXSKESA-N 0 2 301.387 0.506 20 0 DCADLN C[C@H]1CCC[N@H+](Cc2cc(=O)n3[nH]ccc3n2)[C@H]1CNC(=O)[O-] ZINC000825925421 603715254 /nfs/dbraw/zinc/71/52/54/603715254.db2.gz PLKKNVXJOQXGMW-JQWIXIFHSA-N 0 2 319.365 0.891 20 0 DCADLN C[C@H]1CCC[N@@H+](Cc2cc(=O)n3[nH]ccc3n2)[C@H]1CNC(=O)[O-] ZINC000825925421 603715255 /nfs/dbraw/zinc/71/52/55/603715255.db2.gz PLKKNVXJOQXGMW-JQWIXIFHSA-N 0 2 319.365 0.891 20 0 DCADLN C[N@H+](CC(F)F)C1CCN(C(=O)COCCNC(=O)[O-])CC1 ZINC000828160864 603858556 /nfs/dbraw/zinc/85/85/56/603858556.db2.gz AUJOGDGOWUIFLM-UHFFFAOYSA-N 0 2 323.340 0.459 20 0 DCADLN C[N@@H+](CC(F)F)C1CCN(C(=O)COCCNC(=O)[O-])CC1 ZINC000828160864 603858558 /nfs/dbraw/zinc/85/85/58/603858558.db2.gz AUJOGDGOWUIFLM-UHFFFAOYSA-N 0 2 323.340 0.459 20 0 DCADLN CO[C@@H](CNC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)C(C)C ZINC000828843221 604077228 /nfs/dbraw/zinc/07/72/28/604077228.db2.gz MJNDTHQTUHRXMG-LBPRGKRZSA-N 0 2 316.402 0.252 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CCN(C(=O)[O-])[C@@H](C)C2)CCO1 ZINC000826059599 604083584 /nfs/dbraw/zinc/08/35/84/604083584.db2.gz XOTGABCZUSYFEK-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CCN(C(=O)[O-])[C@@H](C)C2)CCO1 ZINC000826059599 604083589 /nfs/dbraw/zinc/08/35/89/604083589.db2.gz XOTGABCZUSYFEK-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN CC(C)n1nccc1C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824535097 604283734 /nfs/dbraw/zinc/28/37/34/604283734.db2.gz SRQWWXAYMXQSBY-LLVKDONJSA-N 0 2 309.370 0.488 20 0 DCADLN CC(C)n1nccc1C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824535097 604283738 /nfs/dbraw/zinc/28/37/38/604283738.db2.gz SRQWWXAYMXQSBY-LLVKDONJSA-N 0 2 309.370 0.488 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2ccccc2O)[C@H](CNC(=O)[O-])C1 ZINC000828500069 604406294 /nfs/dbraw/zinc/40/62/94/604406294.db2.gz FBTUBTZCOWUDLP-CYBMUJFWSA-N 0 2 321.377 0.735 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2ccccc2O)[C@H](CNC(=O)[O-])C1 ZINC000828500069 604406296 /nfs/dbraw/zinc/40/62/96/604406296.db2.gz FBTUBTZCOWUDLP-CYBMUJFWSA-N 0 2 321.377 0.735 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000825618943 604407029 /nfs/dbraw/zinc/40/70/29/604407029.db2.gz YCRQRYMKTNPAMX-STQMWFEESA-N 0 2 321.377 0.864 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000825618943 604407031 /nfs/dbraw/zinc/40/70/31/604407031.db2.gz YCRQRYMKTNPAMX-STQMWFEESA-N 0 2 321.377 0.864 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1CN(c2[nH+]cnc3c2cnn3C)CCO1 ZINC000825371236 604451511 /nfs/dbraw/zinc/45/15/11/604451511.db2.gz DTYVFTVGOVYALV-SCZZXKLOSA-N 0 2 306.326 0.225 20 0 DCADLN Cc1cc(N(C)CCCn2cc[nH+]c2)n2nc(C(=O)[O-])nc2n1 ZINC000832888479 604645226 /nfs/dbraw/zinc/64/52/26/604645226.db2.gz JOLDUJSLDCFRDZ-UHFFFAOYSA-N 0 2 315.337 0.854 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000831705074 604695997 /nfs/dbraw/zinc/69/59/97/604695997.db2.gz YXFXTRUWRKUSCV-LBPRGKRZSA-N 0 2 322.365 0.257 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000833183960 604744595 /nfs/dbraw/zinc/74/45/95/604744595.db2.gz BEIKASIPPWEMRW-MJBXVCDLSA-N 0 2 310.394 0.811 20 0 DCADLN Nc1nc(N)nc(C[N@H+](CCCC(=O)[O-])Cc2ccccc2)n1 ZINC000833090746 604802303 /nfs/dbraw/zinc/80/23/03/604802303.db2.gz CQERGEACSUIPDR-UHFFFAOYSA-N 0 2 316.365 0.903 20 0 DCADLN Nc1nc(N)nc(C[N@@H+](CCCC(=O)[O-])Cc2ccccc2)n1 ZINC000833090746 604802305 /nfs/dbraw/zinc/80/23/05/604802305.db2.gz CQERGEACSUIPDR-UHFFFAOYSA-N 0 2 316.365 0.903 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1Cc2ccccc2O1 ZINC000314801981 604895184 /nfs/dbraw/zinc/89/51/84/604895184.db2.gz SXDVUFUZNRVDNN-WCQYABFASA-N 0 2 301.302 0.525 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1Cc2ccccc2O1 ZINC000314801981 604895185 /nfs/dbraw/zinc/89/51/85/604895185.db2.gz SXDVUFUZNRVDNN-WCQYABFASA-N 0 2 301.302 0.525 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[NH2+][C@@H](C(=O)[O-])c1ccc(OC)cc1 ZINC000833658258 604948728 /nfs/dbraw/zinc/94/87/28/604948728.db2.gz YMINKFQGTASLCB-JOYOIKCWSA-N 0 2 323.349 0.645 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)Nc1ccn(CC(=O)[O-])n1 ZINC000830776966 604956649 /nfs/dbraw/zinc/95/66/49/604956649.db2.gz FNABLDXXXMYMPP-UHFFFAOYSA-N 0 2 306.326 0.718 20 0 DCADLN CCC[C@](C)(NC(=O)[O-])C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000826714345 605291154 /nfs/dbraw/zinc/29/11/54/605291154.db2.gz ZMMYMNVFERMCAJ-DOMZBBRYSA-N 0 2 313.398 0.746 20 0 DCADLN O=C([O-])N1CC[C@@](F)(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000831893952 605291734 /nfs/dbraw/zinc/29/17/34/605291734.db2.gz FRVLHGSWXWFGQI-RISCZKNCSA-N 0 2 315.345 0.012 20 0 DCADLN Cn1nnc2cc(C[NH+]3CC(n4cc(C(=O)[O-])nn4)C3)ccc21 ZINC000833759283 605557935 /nfs/dbraw/zinc/55/79/35/605557935.db2.gz AXONHTLZIJHRPB-UHFFFAOYSA-N 0 2 313.321 0.315 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000834212333 605760647 /nfs/dbraw/zinc/76/06/47/605760647.db2.gz TVJGRJRXDDUZFP-SDDRHHMPSA-N 0 2 306.366 0.998 20 0 DCADLN O=C([O-])NCC[NH+]1CCN(Cc2nccn2C(F)F)CC1 ZINC000834239331 605766737 /nfs/dbraw/zinc/76/67/37/605766737.db2.gz LEKLTENGGKFRKB-UHFFFAOYSA-N 0 2 303.313 0.663 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@H+]1CC1CCS(=O)(=O)CC1 ZINC000833825518 606036030 /nfs/dbraw/zinc/03/60/30/606036030.db2.gz BTKSNRPUEBSPEK-MNOVXSKESA-N 0 2 304.412 0.884 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@@H+]1CC1CCS(=O)(=O)CC1 ZINC000833825518 606036036 /nfs/dbraw/zinc/03/60/36/606036036.db2.gz BTKSNRPUEBSPEK-MNOVXSKESA-N 0 2 304.412 0.884 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](CCN2CCCS2(=O)=O)CCN1C(=O)[O-] ZINC000833829514 606045557 /nfs/dbraw/zinc/04/55/57/606045557.db2.gz RWZXQMYAAMEREJ-WDEREUQCSA-N 0 2 305.400 0.095 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](CCN2CCCS2(=O)=O)CCN1C(=O)[O-] ZINC000833829514 606045560 /nfs/dbraw/zinc/04/55/60/606045560.db2.gz RWZXQMYAAMEREJ-WDEREUQCSA-N 0 2 305.400 0.095 20 0 DCADLN Cc1nnc(NCCCc2n[nH]c(=O)[nH]2)c(-c2nn[nH]n2)c1C ZINC000822515063 606061575 /nfs/dbraw/zinc/06/15/75/606061575.db2.gz JEWPXAWIQDTFNV-UHFFFAOYSA-N 0 2 316.329 0.142 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(C/C(Cl)=C/Cl)c1=O ZINC000826356244 608096538 /nfs/dbraw/zinc/09/65/38/608096538.db2.gz IBJPYKABUXYJFA-DJWKRKHSSA-N 0 2 303.109 0.046 20 0 DCADLN Cc1cc(C)c(-c2nn[nH]n2)c(SCc2n[nH]c(=O)[nH]2)n1 ZINC000826262861 608669330 /nfs/dbraw/zinc/66/93/30/608669330.db2.gz ATQWYDVYVXMTPJ-UHFFFAOYSA-N 0 2 304.339 0.995 20 0 DCADLN CCOC1CC(C(=O)N(CC)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC000950014064 660586285 /nfs/dbraw/zinc/58/62/85/660586285.db2.gz FOZXAOJPHQCGJV-UHFFFAOYSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1cc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)no1 ZINC000980675317 660982920 /nfs/dbraw/zinc/98/29/20/660982920.db2.gz BLQPMPMNIIWRIY-UHFFFAOYSA-N 0 2 306.326 0.155 20 0 DCADLN C[C@H]1C[C@@H](C[NH2+]Cc2ccn(C)n2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC000980703427 660987653 /nfs/dbraw/zinc/98/76/53/660987653.db2.gz FOMAJGRGQVGCLA-STQMWFEESA-N 0 2 316.409 0.713 20 0 DCADLN CCc1noc([C@@H](C)[N@@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990009 661024802 /nfs/dbraw/zinc/02/48/02/661024802.db2.gz FZJARRREZCQXEL-NXEZZACHSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1noc([C@@H](C)[N@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990009 661024803 /nfs/dbraw/zinc/02/48/03/661024803.db2.gz FZJARRREZCQXEL-NXEZZACHSA-N 0 2 319.369 0.706 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)[C@@H](C)C2)c1[O-] ZINC000947211216 661045668 /nfs/dbraw/zinc/04/56/68/661045668.db2.gz LRZPCMLPTMPNRO-WCBMZHEXSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)[C@@H](C)C2)c1[O-] ZINC000947211216 661045669 /nfs/dbraw/zinc/04/56/69/661045669.db2.gz LRZPCMLPTMPNRO-WCBMZHEXSA-N 0 2 320.353 0.590 20 0 DCADLN O=C([C@H]1CCCCO1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980983967 661058236 /nfs/dbraw/zinc/05/82/36/661058236.db2.gz CTBOWPDSSXWUJT-LLVKDONJSA-N 0 2 309.370 0.114 20 0 DCADLN CNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](C(C)C)[NH+](C)C)CC1 ZINC000981390545 661144410 /nfs/dbraw/zinc/14/44/10/661144410.db2.gz IKDCATYJTZDABV-KGLIPLIRSA-N 0 2 312.458 0.241 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NC[C@]1(OC)CCSC1 ZINC000921401778 665299728 /nfs/dbraw/zinc/29/97/28/665299728.db2.gz GQHXAODCGPMJKM-VVVCHXIZSA-N 0 2 316.470 0.461 20 0 DCADLN O=C(CC1CC1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981580700 661176315 /nfs/dbraw/zinc/17/63/15/661176315.db2.gz LILFVFVSTKRNIZ-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN O=C(CC1CC1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981580700 661176317 /nfs/dbraw/zinc/17/63/17/661176317.db2.gz LILFVFVSTKRNIZ-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981660148 661190802 /nfs/dbraw/zinc/19/08/02/661190802.db2.gz LDYXQIQCSAAITM-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001028143407 661235828 /nfs/dbraw/zinc/23/58/28/661235828.db2.gz GIZYXPFXGBBERS-SNVBAGLBSA-N 0 2 319.369 0.245 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001028143407 661235830 /nfs/dbraw/zinc/23/58/30/661235830.db2.gz GIZYXPFXGBBERS-SNVBAGLBSA-N 0 2 319.369 0.245 20 0 DCADLN O=C([C@@H]1CC12CCC2)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982043604 661283704 /nfs/dbraw/zinc/28/37/04/661283704.db2.gz UMSRIUQQJKYHGJ-NSHDSACASA-N 0 2 305.382 0.735 20 0 DCADLN CN1CC(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@@H]2CCOC2)=NC1=O ZINC001032605301 665410954 /nfs/dbraw/zinc/41/09/54/665410954.db2.gz WNBKGDNKALHFQQ-SRVKXCTJSA-N 0 2 306.366 0.061 20 0 DCADLN Cc1cc(C)c(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001031774561 665482900 /nfs/dbraw/zinc/48/29/00/665482900.db2.gz BELRMCHQEQYKEK-UHFFFAOYSA-N 0 2 304.354 0.317 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742601024 657501283 /nfs/dbraw/zinc/50/12/83/657501283.db2.gz BTOXOTSIFSMVNG-IUCAKERBSA-N 0 2 313.379 0.487 20 0 DCADLN CC(C)(C)[C@@H]1C[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949254353 657520982 /nfs/dbraw/zinc/52/09/82/657520982.db2.gz HCQRWMFMBXEJGL-GHMZBOCLSA-N 0 2 307.398 0.837 20 0 DCADLN O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)C(F)C(F)(F)F ZINC000890535736 657610984 /nfs/dbraw/zinc/61/09/84/657610984.db2.gz UKHANFRNGFYXND-MUWHJKNJSA-N 0 2 308.235 0.778 20 0 DCADLN O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)[C@H](F)C(F)(F)F ZINC000890535736 657610987 /nfs/dbraw/zinc/61/09/87/657610987.db2.gz UKHANFRNGFYXND-MUWHJKNJSA-N 0 2 308.235 0.778 20 0 DCADLN C[C@@H](NC(=O)c1cc(Cl)c[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969292110 657664315 /nfs/dbraw/zinc/66/43/15/657664315.db2.gz RSAVSNXWYOHFKG-SSDOTTSWSA-N 0 2 324.772 0.742 20 0 DCADLN C[C@@H](NC(=O)c1cccn1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969319520 657697797 /nfs/dbraw/zinc/69/77/97/657697797.db2.gz FBKSJLZSGDHQCZ-SECBINFHSA-N 0 2 304.354 0.099 20 0 DCADLN C[C@@H](NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969448792 657899355 /nfs/dbraw/zinc/89/93/55/657899355.db2.gz LUFLHFKQTUAALJ-WUWHUORYSA-N 0 2 319.409 0.883 20 0 DCADLN Cc1ccc(-c2nnc(SCc3n[nH]c(=O)[nH]3)n2N)cc1 ZINC000092869871 657927503 /nfs/dbraw/zinc/92/75/03/657927503.db2.gz PBSVWNIUVNDAKT-UHFFFAOYSA-N 0 2 303.351 0.671 20 0 DCADLN O=C(C[C@@H]1CCCO1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006828079 657966127 /nfs/dbraw/zinc/96/61/27/657966127.db2.gz XBILVFRCHFPUSO-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN CCc1occc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969577968 657997441 /nfs/dbraw/zinc/99/74/41/657997441.db2.gz GEDULTQYIAOOJA-SECBINFHSA-N 0 2 319.365 0.916 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+](Cc2ccns2)C1)c1nc[nH]n1 ZINC001023656202 658104604 /nfs/dbraw/zinc/10/46/04/658104604.db2.gz SPSNRTDFGUTBJR-JTQLQIEISA-N 0 2 306.395 0.903 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@H+]2Cc2cnn(C)c2)c1[O-] ZINC001038172507 658318306 /nfs/dbraw/zinc/31/83/06/658318306.db2.gz PQDVZYJHIASLEE-NSHDSACASA-N 0 2 304.354 0.162 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cnn(C)c2)c1[O-] ZINC001038172507 658318313 /nfs/dbraw/zinc/31/83/13/658318313.db2.gz PQDVZYJHIASLEE-NSHDSACASA-N 0 2 304.354 0.162 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccco1 ZINC000972758998 658391371 /nfs/dbraw/zinc/39/13/71/658391371.db2.gz AIDXCTFLWQBCNK-JTQLQIEISA-N 0 2 305.338 0.744 20 0 DCADLN CC(C)c1nc(CN[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)no1 ZINC000970097374 658449945 /nfs/dbraw/zinc/44/99/45/658449945.db2.gz CKBJATLXSXMMME-LLVKDONJSA-N 0 2 318.381 0.849 20 0 DCADLN CC(C)c1ocnc1C[NH2+][C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001020773150 658454662 /nfs/dbraw/zinc/45/46/62/658454662.db2.gz DTBSDLOSASPMIC-MGCOHNPYSA-N 0 2 304.354 0.967 20 0 DCADLN CC(C)c1ocnc1C[NH2+][C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001020773150 658454666 /nfs/dbraw/zinc/45/46/66/658454666.db2.gz DTBSDLOSASPMIC-MGCOHNPYSA-N 0 2 304.354 0.967 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccon1 ZINC001024343806 658576762 /nfs/dbraw/zinc/57/67/62/658576762.db2.gz SRUCAMHCSIHDFL-SECBINFHSA-N 0 2 306.326 0.283 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOC[C@@H]2CC(F)F)S1 ZINC000804723803 658617350 /nfs/dbraw/zinc/61/73/50/658617350.db2.gz FRLMKQRMRCTZNS-BQBZGAKWSA-N 0 2 307.322 0.425 20 0 DCADLN CCc1oncc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032659009 665596668 /nfs/dbraw/zinc/59/66/68/665596668.db2.gz JTCIERRZTLZGFE-IUCAKERBSA-N 0 2 318.337 0.160 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cc(F)c[nH]1 ZINC001024560373 658716682 /nfs/dbraw/zinc/71/66/82/658716682.db2.gz FAEFTPBWIAKYOS-SNVBAGLBSA-N 0 2 322.344 0.762 20 0 DCADLN CC[NH+]1CC([C@@H](C)NC(=O)[C@H]2COCC[N@H+]2CC2CCC2)C1 ZINC000970213389 658747814 /nfs/dbraw/zinc/74/78/14/658747814.db2.gz MPMKXBXUTYBQHN-CZUORRHYSA-N 0 2 309.454 0.944 20 0 DCADLN CC1CC[NH+](CC(=O)N2CC[C@@H]([NH2+]Cc3nncs3)C2)CC1 ZINC000970337856 658869957 /nfs/dbraw/zinc/86/99/57/658869957.db2.gz YMQUEVQQGJEZOQ-CYBMUJFWSA-N 0 2 323.466 0.961 20 0 DCADLN CCc1nc(C(=O)N(CC)C2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC000949934681 659280779 /nfs/dbraw/zinc/28/07/79/659280779.db2.gz MFZUYLNAJZTURL-UHFFFAOYSA-N 0 2 320.353 0.407 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001025580002 659448935 /nfs/dbraw/zinc/44/89/35/659448935.db2.gz FPWBEAMJUJLBPE-BWZBUEFSSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001025580002 659448937 /nfs/dbraw/zinc/44/89/37/659448937.db2.gz FPWBEAMJUJLBPE-BWZBUEFSSA-N 0 2 314.279 0.621 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccoc1 ZINC000974496716 659530277 /nfs/dbraw/zinc/53/02/77/659530277.db2.gz XLERBKGAQZFUKC-NSHDSACASA-N 0 2 319.365 0.673 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccoc1 ZINC000974496716 659530281 /nfs/dbraw/zinc/53/02/81/659530281.db2.gz XLERBKGAQZFUKC-NSHDSACASA-N 0 2 319.365 0.673 20 0 DCADLN CC1(C)C[N@H+](CC(N)=O)C[C@@H]1NC(=O)[C@H]1CCCc2n[nH]cc21 ZINC000974581728 659584643 /nfs/dbraw/zinc/58/46/43/659584643.db2.gz GQQANMOBTLCHRV-GWCFXTLKSA-N 0 2 319.409 0.142 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000977412792 659686511 /nfs/dbraw/zinc/68/65/11/659686511.db2.gz ZSJUAWBIBNWMJZ-VIFPVBQESA-N 0 2 320.353 0.447 20 0 DCADLN Cc1conc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000977426993 659699619 /nfs/dbraw/zinc/69/96/19/659699619.db2.gz SDYBJTBNCLPKEE-SECBINFHSA-N 0 2 320.353 0.447 20 0 DCADLN CC1(C)C[N@H+](CCO)C[C@H]1NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000975038985 659769741 /nfs/dbraw/zinc/76/97/41/659769741.db2.gz IWFZPRNHMAONJY-WCQYABFASA-N 0 2 306.410 0.649 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CC12CC2 ZINC000975075526 659782705 /nfs/dbraw/zinc/78/27/05/659782705.db2.gz MVAUNPUTIUMREZ-NXEZZACHSA-N 0 2 305.382 0.637 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CC12CC2 ZINC000975075526 659782707 /nfs/dbraw/zinc/78/27/07/659782707.db2.gz MVAUNPUTIUMREZ-NXEZZACHSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000940332274 665745091 /nfs/dbraw/zinc/74/50/91/665745091.db2.gz VESBOYBLLMFLCE-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000940332274 665745092 /nfs/dbraw/zinc/74/50/92/665745092.db2.gz VESBOYBLLMFLCE-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN C[C@H]1C[C@@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CCO1 ZINC000982998371 661734863 /nfs/dbraw/zinc/73/48/63/661734863.db2.gz DPCVJNGAJHEIPZ-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CC(C)[C@@H]1C[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000983223670 661793709 /nfs/dbraw/zinc/79/37/09/661793709.db2.gz FLXHNKOUDPIJEP-RYUDHWBXSA-N 0 2 307.398 0.837 20 0 DCADLN CS(=O)(=O)NCCCNc1c2ccccc2[nH+]nc1C(=O)[O-] ZINC000319865981 661939873 /nfs/dbraw/zinc/93/98/73/661939873.db2.gz ASAPMRISNJWCLI-UHFFFAOYSA-N 0 2 324.362 0.679 20 0 DCADLN O=C(c1ccccc1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029193833 662042747 /nfs/dbraw/zinc/04/27/47/662042747.db2.gz JQZNUBLZNLMNMO-BETUJISGSA-N 0 2 313.361 0.999 20 0 DCADLN COC(=O)[C@@H]1[C@H](C[N@H+]2CC[C@@H](c3n[nH]c(C)n3)C2)C1(F)F ZINC000895010817 662042835 /nfs/dbraw/zinc/04/28/35/662042835.db2.gz AVFKQQVQZNYXOB-UTLUCORTSA-N 0 2 300.309 0.957 20 0 DCADLN O=C(C[C@@H]1CCOC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029250898 662067817 /nfs/dbraw/zinc/06/78/17/662067817.db2.gz PEXNYGMMFYYHFO-SDDRHHMPSA-N 0 2 321.381 0.112 20 0 DCADLN CC1(CC(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001029395532 662137177 /nfs/dbraw/zinc/13/71/77/662137177.db2.gz UVWJGYLVIMFSRB-PHIMTYICSA-N 0 2 305.382 0.876 20 0 DCADLN CC(F)(F)CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029460273 662228952 /nfs/dbraw/zinc/22/89/52/662228952.db2.gz LZTIZWZFIPCDNC-DTORHVGOSA-N 0 2 315.324 0.731 20 0 DCADLN CCC(CC)[C@@H](C(=O)N[C@H](COC)C(=O)[O-])[NH+]1CCOCC1 ZINC000909662009 662560574 /nfs/dbraw/zinc/56/05/74/662560574.db2.gz NMQZWJMGEMSWLA-OLZOCXBDSA-N 0 2 316.398 0.339 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC000937866318 662835544 /nfs/dbraw/zinc/83/55/44/662835544.db2.gz ZSHPLTWGXWQNJQ-VHSXEESVSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC000937866318 662835545 /nfs/dbraw/zinc/83/55/45/662835545.db2.gz ZSHPLTWGXWQNJQ-VHSXEESVSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC1(F)F ZINC001000665206 665907249 /nfs/dbraw/zinc/90/72/49/665907249.db2.gz QPRMQAQEAGHIAW-VIFPVBQESA-N 0 2 313.308 0.414 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC1(F)F ZINC001000665206 665907251 /nfs/dbraw/zinc/90/72/51/665907251.db2.gz QPRMQAQEAGHIAW-VIFPVBQESA-N 0 2 313.308 0.414 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)C1=COCCC1 ZINC000990467744 663054539 /nfs/dbraw/zinc/05/45/39/663054539.db2.gz IUWGKRQNDFIEOG-SECBINFHSA-N 0 2 310.247 0.908 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1=COCCC1 ZINC000990467744 663054540 /nfs/dbraw/zinc/05/45/40/663054540.db2.gz IUWGKRQNDFIEOG-SECBINFHSA-N 0 2 310.247 0.908 20 0 DCADLN CO[C@@]1(C(=O)N2CC[C@H]3CC[C@@H](C2)S3(=O)=O)CCSC1 ZINC000899143171 663125312 /nfs/dbraw/zinc/12/53/12/663125312.db2.gz VQGSJTIAGJWKHW-MDZLAQPJSA-N 0 2 319.448 0.687 20 0 DCADLN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000899410627 663143388 /nfs/dbraw/zinc/14/33/88/663143388.db2.gz CIQAUXGNDJRCLC-RCWTZXSCSA-N 0 2 301.350 0.480 20 0 DCADLN O=C([O-])[C@@H]1COCCN1c1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000900946758 663294316 /nfs/dbraw/zinc/29/43/16/663294316.db2.gz ZMEMBTGDPODOMF-RYUDHWBXSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])[C@@H]1COCCN1c1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000900946758 663294317 /nfs/dbraw/zinc/29/43/17/663294317.db2.gz ZMEMBTGDPODOMF-RYUDHWBXSA-N 0 2 322.365 0.118 20 0 DCADLN [NH3+]C1(C(=O)[N-]S(=O)(=O)[C@H]2CCCOC2)Cc2ccccc2C1 ZINC000901036061 663306641 /nfs/dbraw/zinc/30/66/41/663306641.db2.gz ZXFGZJNRQTWRPF-ZDUSSCGKSA-N 0 2 324.402 0.108 20 0 DCADLN CCO[C@H]1C[C@]([NH3+])(C(=O)[N-]S(=O)(=O)C2CCCC2)C1(C)C ZINC000901035762 663306712 /nfs/dbraw/zinc/30/67/12/663306712.db2.gz PWJKRXDECNFFTR-FZMZJTMJSA-N 0 2 318.439 0.908 20 0 DCADLN Cn1cc([C@H]([NH2+]Cc2cn(CC(=O)[O-])nn2)C(C)(C)C)cn1 ZINC000902395244 663411934 /nfs/dbraw/zinc/41/19/34/663411934.db2.gz MMIHLPQZPUWBTQ-ZDUSSCGKSA-N 0 2 306.370 0.973 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](CCOC2CCSCC2)CC1 ZINC000903703068 663474518 /nfs/dbraw/zinc/47/45/18/663474518.db2.gz QHULKPRJCXWXSQ-UHFFFAOYSA-N 0 2 302.396 0.127 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1Cc2ccccc21 ZINC001031943592 665976711 /nfs/dbraw/zinc/97/67/11/665976711.db2.gz FYELUTIEIUMLFY-CYBMUJFWSA-N 0 2 313.361 0.398 20 0 DCADLN C[C@H]([C@@H]1C[N@H+](C)CCO1)N1C(=O)N[C@@H](C[NH+]2CCCCC2)C1=O ZINC000924804485 663581698 /nfs/dbraw/zinc/58/16/98/663581698.db2.gz GIMDFIXVZJHDEF-RDBSUJKOSA-N 0 2 324.425 0.112 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@@H]3CCOC3)CC2)[nH+]c1 ZINC000907800444 663718493 /nfs/dbraw/zinc/71/84/93/663718493.db2.gz OGIMWCAYHCMKFV-GFCCVEGCSA-N 0 2 305.334 0.465 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000908982033 663777023 /nfs/dbraw/zinc/77/70/23/663777023.db2.gz CEEMAMZCLOVADI-QWHCGFSZSA-N 0 2 321.377 0.928 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000908988612 663777851 /nfs/dbraw/zinc/77/78/51/663777851.db2.gz KKEJJXFFCJQDHI-GXTWGEPZSA-N 0 2 321.377 0.928 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)NCCc1cn(C)c[nH+]1 ZINC000909025192 663779698 /nfs/dbraw/zinc/77/96/98/663779698.db2.gz PYIRDOHLISGALW-GFCCVEGCSA-N 0 2 312.370 0.482 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@]1(CC(=O)[O-])CCCOC1 ZINC000909691771 663796705 /nfs/dbraw/zinc/79/67/05/663796705.db2.gz JJXHPNINJHPBLG-HFAKWTLXSA-N 0 2 307.350 0.664 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)[C@H]2CCn3cc[nH+]c3C2)CCCOC1 ZINC000909691343 663796795 /nfs/dbraw/zinc/79/67/95/663796795.db2.gz GTRRDAJCZAQHEU-XHDPSFHLSA-N 0 2 307.350 0.586 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NC(C)(C)c1cn[nH]n1 ZINC000909721594 663797532 /nfs/dbraw/zinc/79/75/32/663797532.db2.gz NSVDOQVNFCIURQ-QMMMGPOBSA-N 0 2 321.303 0.859 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000909763090 663800067 /nfs/dbraw/zinc/80/00/67/663800067.db2.gz MLXWGXWFZHINLD-NSHDSACASA-N 0 2 305.256 0.828 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2[N@@H+]1CC(=O)N(C)CC(=O)[O-] ZINC000738032462 663813446 /nfs/dbraw/zinc/81/34/46/663813446.db2.gz ITMVHBOSVHFSII-SRVKXCTJSA-N 0 2 312.366 0.336 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2[N@H+]1CC(=O)N(C)CC(=O)[O-] ZINC000738032462 663813450 /nfs/dbraw/zinc/81/34/50/663813450.db2.gz ITMVHBOSVHFSII-SRVKXCTJSA-N 0 2 312.366 0.336 20 0 DCADLN COC1(C[C@@H](NC(=O)[C@@H]2CCc3[nH+]ccn3C2)C(=O)[O-])CCC1 ZINC000909891248 663814433 /nfs/dbraw/zinc/81/44/33/663814433.db2.gz YORORIMSWLYHCU-VXGBXAGGSA-N 0 2 321.377 0.974 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@H]32)C1 ZINC000909954562 663826255 /nfs/dbraw/zinc/82/62/55/663826255.db2.gz DXRJKAPCABTOMZ-RQJABVFESA-N 0 2 310.394 0.809 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@H]32)C1 ZINC000909954562 663826257 /nfs/dbraw/zinc/82/62/57/663826257.db2.gz DXRJKAPCABTOMZ-RQJABVFESA-N 0 2 310.394 0.809 20 0 DCADLN O=C([O-])[C@H]1CSCCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC000910146964 663850080 /nfs/dbraw/zinc/85/00/80/663850080.db2.gz NUUNPCAQVYWBJH-WDEREUQCSA-N 0 2 309.391 0.722 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)[C@](C)(C(=O)[O-])C1 ZINC000910263091 663866087 /nfs/dbraw/zinc/86/60/87/663866087.db2.gz GQKVEZFYWQXYDC-SQYUCLKFSA-N 0 2 307.350 0.614 20 0 DCADLN O=C([O-])[C@@H](CC1CCOCC1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000910450581 663884922 /nfs/dbraw/zinc/88/49/22/663884922.db2.gz DRXMEHKJLQISCY-TZMCWYRMSA-N 0 2 321.377 0.832 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@](F)(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910454443 663886027 /nfs/dbraw/zinc/88/60/27/663886027.db2.gz OBQVBUYTRIJPBG-FIXISWKDSA-N 0 2 324.356 0.687 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@](F)(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910454443 663886030 /nfs/dbraw/zinc/88/60/30/663886030.db2.gz OBQVBUYTRIJPBG-FIXISWKDSA-N 0 2 324.356 0.687 20 0 DCADLN CO[C@@H](C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1)C1CC1 ZINC000911170737 664000840 /nfs/dbraw/zinc/00/08/40/664000840.db2.gz ZADLDCKEIDTWJN-CQSZACIVSA-N 0 2 319.361 0.853 20 0 DCADLN CCO[C@H](C)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911174120 664001644 /nfs/dbraw/zinc/00/16/44/664001644.db2.gz SBUAXKBCFRWVGB-LLVKDONJSA-N 0 2 307.350 0.853 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)C1(C(=O)[O-])CC2(CCC2)C1 ZINC000911195697 664008218 /nfs/dbraw/zinc/00/82/18/664008218.db2.gz AUWXZGCMOLSXFP-LBPRGKRZSA-N 0 2 310.394 0.858 20 0 DCADLN CC(C)(O)C(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911305654 664024194 /nfs/dbraw/zinc/02/41/94/664024194.db2.gz MCTRHXBWLICFTM-UHFFFAOYSA-N 0 2 307.350 0.589 20 0 DCADLN C[C@@H](NC(=O)c1csc(C(=O)[O-])n1)[C@H](C)[NH+]1CCOCC1 ZINC000911351724 664034637 /nfs/dbraw/zinc/03/46/37/664034637.db2.gz SQSVYPPQVHAPBD-BDAKNGLRSA-N 0 2 313.379 0.680 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)O[C@H]1C(=O)[O-] ZINC000911385340 664042623 /nfs/dbraw/zinc/04/26/23/664042623.db2.gz RQZZABXQJAHTMI-OASPWFOLSA-N 0 2 307.350 0.994 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)c1ccccn1 ZINC000911480918 664062576 /nfs/dbraw/zinc/06/25/76/664062576.db2.gz AAAXXIZXIUARFE-GXFFZTMASA-N 0 2 300.318 0.783 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2c3ccccc3C[C@@H]2CO)S1 ZINC000912315654 664161747 /nfs/dbraw/zinc/16/17/47/664161747.db2.gz BZGZMXJOODRSSW-KOLCDFICSA-N 0 2 305.359 0.493 20 0 DCADLN C[NH+]1CCCC[C@H]1CNC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000912454940 664177576 /nfs/dbraw/zinc/17/75/76/664177576.db2.gz QCOIPROTLFCSEW-AWEZNQCLSA-N 0 2 312.458 0.881 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cn(C)nc2C2CCC2)C(=O)N1C ZINC000912818476 664232147 /nfs/dbraw/zinc/23/21/47/664232147.db2.gz DVNFQKPTLRWHEM-MRVPVSSYSA-N 0 2 305.338 0.615 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3[n-]c(=S)oc3c2)C[C@@H]1CO ZINC000913548433 664349592 /nfs/dbraw/zinc/34/95/92/664349592.db2.gz LZQSLDHQXZXFRO-SNVBAGLBSA-N 0 2 307.375 0.865 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3[n-]c(=S)oc3c2)C[C@@H]1CO ZINC000913548433 664349594 /nfs/dbraw/zinc/34/95/94/664349594.db2.gz LZQSLDHQXZXFRO-SNVBAGLBSA-N 0 2 307.375 0.865 20 0 DCADLN COc1cccc([C@@H](C)[NH+]2CC(NC(=O)c3cnn[n-]3)C2)c1 ZINC001030600000 664599447 /nfs/dbraw/zinc/59/94/47/664599447.db2.gz OKCPDOPMRIZMSV-SNVBAGLBSA-N 0 2 301.350 0.989 20 0 DCADLN CC1(C)CC[C@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)OC1 ZINC001030695791 664638586 /nfs/dbraw/zinc/63/85/86/664638586.db2.gz GOZQPUYYUZAMGW-LLVKDONJSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nc(Br)sc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000829546880 664738538 /nfs/dbraw/zinc/73/85/38/664738538.db2.gz UMOYLTRKPHKEAC-UHFFFAOYSA-N 0 2 318.156 0.968 20 0 DCADLN C[C@@H]1C[NH+](C(C)(C)CNC(=O)NC[C@@H]2CC[N@H+]2C)C[C@@H](C)O1 ZINC000913977797 664739943 /nfs/dbraw/zinc/73/99/43/664739943.db2.gz RVPWDXQTEFCHHE-MCIONIFRSA-N 0 2 312.458 0.878 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1c1ccco1 ZINC001030989279 664749429 /nfs/dbraw/zinc/74/94/29/664749429.db2.gz HPCZQUDPLPSEKU-NXEZZACHSA-N 0 2 303.322 0.207 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCCOCC1CC1 ZINC000730519705 664784805 /nfs/dbraw/zinc/78/48/05/664784805.db2.gz VEVKQBCWJOBFSZ-UHFFFAOYSA-N 0 2 305.338 0.004 20 0 DCADLN Cc1cc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)ccc1F ZINC000730521695 664785039 /nfs/dbraw/zinc/78/50/39/664785039.db2.gz WBPIMAJAEBEESU-UHFFFAOYSA-N 0 2 315.308 0.835 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2[nH]cnc21 ZINC001031134170 664800571 /nfs/dbraw/zinc/80/05/71/664800571.db2.gz MCLIUISEQKOORM-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN CC(C)c1nnsc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031132633 664800599 /nfs/dbraw/zinc/80/05/99/664800599.db2.gz GTYIJQSIZZGSLB-UHFFFAOYSA-N 0 2 323.382 0.099 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cscn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993135330 664825735 /nfs/dbraw/zinc/82/57/35/664825735.db2.gz WJIAAFQUEFJYOB-RKDXNWHRSA-N 0 2 322.394 0.750 20 0 DCADLN CCCc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001031185364 664846427 /nfs/dbraw/zinc/84/64/27/664846427.db2.gz MYQBTHRKKDXZMS-UHFFFAOYSA-N 0 2 306.326 0.065 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CC=CC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993169160 664856087 /nfs/dbraw/zinc/85/60/87/664856087.db2.gz HOVIGPJWOHFTEY-PWSUYJOCSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CC=CC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993169160 664856094 /nfs/dbraw/zinc/85/60/94/664856094.db2.gz HOVIGPJWOHFTEY-PWSUYJOCSA-N 0 2 305.382 0.946 20 0 DCADLN CC[C@@H]1C[C@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031219440 664902327 /nfs/dbraw/zinc/90/23/27/664902327.db2.gz JBTLFVDEAOIWBR-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H](c2ccco2)C1 ZINC000732130398 664905358 /nfs/dbraw/zinc/90/53/58/664905358.db2.gz HBBSOHYLYMTDCV-SNVBAGLBSA-N 0 2 313.317 0.680 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000732265198 664910170 /nfs/dbraw/zinc/91/01/70/664910170.db2.gz ORIHFCOARQFATA-DAXSKMNVSA-N 0 2 312.373 0.720 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cnco2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993645017 664988053 /nfs/dbraw/zinc/98/80/53/664988053.db2.gz PNACHNNWBUPWKH-RKDXNWHRSA-N 0 2 306.326 0.281 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1[nH]nc2ccccc21 ZINC001031340733 665095329 /nfs/dbraw/zinc/09/53/29/665095329.db2.gz NMVIGYXYNPNFMD-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccn(C)n2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994427171 665105873 /nfs/dbraw/zinc/10/58/73/665105873.db2.gz JJMNWXSJMURHRH-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2ccccc2[nH]1 ZINC001031363306 665114973 /nfs/dbraw/zinc/11/49/73/665114973.db2.gz PMBBEUANPOQSBQ-UHFFFAOYSA-N 0 2 312.333 0.606 20 0 DCADLN CC1(C)C(C)(C)C1(C)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031490061 665172348 /nfs/dbraw/zinc/17/23/48/665172348.db2.gz FMIQZNFAQKTTAU-UHFFFAOYSA-N 0 2 307.398 0.883 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CC[N@@H+](C2CC2)C1 ZINC000918892001 665188594 /nfs/dbraw/zinc/18/85/94/665188594.db2.gz CXGRSWDVWHXFAR-KGLIPLIRSA-N 0 2 310.442 0.633 20 0 DCADLN Cc1cscc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031602643 665223831 /nfs/dbraw/zinc/22/38/31/665223831.db2.gz KMRGSWAHSMINLZ-UHFFFAOYSA-N 0 2 307.379 0.742 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)NC[C@@H]1COCO1 ZINC000923850373 665263625 /nfs/dbraw/zinc/26/36/25/665263625.db2.gz AWRBVJUFQJGCCT-MRVPVSSYSA-N 0 2 324.362 0.911 20 0 DCADLN C[NH+]1CCC(NC(=S)NCC(C)(C)[NH+]2CCOCC2)CC1 ZINC000920307365 665266459 /nfs/dbraw/zinc/26/64/59/665266459.db2.gz ITSZRIACESFYOO-UHFFFAOYSA-N 0 2 314.499 0.656 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC001001140356 666003791 /nfs/dbraw/zinc/00/37/91/666003791.db2.gz BBTFJSXOCNQKKM-RKDXNWHRSA-N 0 2 312.263 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC001001140356 666003789 /nfs/dbraw/zinc/00/37/89/666003789.db2.gz BBTFJSXOCNQKKM-RKDXNWHRSA-N 0 2 312.263 0.498 20 0 DCADLN C/C=C(\C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377701 666117188 /nfs/dbraw/zinc/11/71/88/666117188.db2.gz UPFUQVXHZLGBTM-HOOMWESVSA-N 0 2 312.263 0.543 20 0 DCADLN C/C=C(\C)C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043377701 666117190 /nfs/dbraw/zinc/11/71/90/666117190.db2.gz UPFUQVXHZLGBTM-HOOMWESVSA-N 0 2 312.263 0.543 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CCOCC1 ZINC001002221289 666144173 /nfs/dbraw/zinc/14/41/73/666144173.db2.gz GDOAAIHPQUJTJA-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(C2CC2)no1 ZINC001032016263 666162081 /nfs/dbraw/zinc/16/20/81/666162081.db2.gz FSSDBLLETLEBFF-UHFFFAOYSA-N 0 2 318.337 0.238 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccnc(F)c1 ZINC001002440767 666173962 /nfs/dbraw/zinc/17/39/62/666173962.db2.gz YCUCESTTXHWTJI-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1noc(C[N@@H+]2CC[C@@H](NC(=O)c3n[nH]cc3F)[C@H](C)C2)n1 ZINC000942600735 666198236 /nfs/dbraw/zinc/19/82/36/666198236.db2.gz UMXFKSDQKNQQHQ-LDYMZIIASA-N 0 2 322.344 0.881 20 0 DCADLN Cc1nnsc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032898316 666378818 /nfs/dbraw/zinc/37/88/18/666378818.db2.gz TYAINNDVNJLHRG-QMMMGPOBSA-N 0 2 323.382 0.017 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC001033041942 666452738 /nfs/dbraw/zinc/45/27/38/666452738.db2.gz QOIMHWVIJTVASQ-SECBINFHSA-N 0 2 322.394 0.622 20 0 DCADLN CCn1ccnc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033046163 666460502 /nfs/dbraw/zinc/46/05/02/666460502.db2.gz IHCQRMRFMDCHJK-SNVBAGLBSA-N 0 2 319.369 0.073 20 0 DCADLN CN(C(=O)Cc1ccccc1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033200651 666618712 /nfs/dbraw/zinc/61/87/12/666618712.db2.gz UAVXFWAZEDJOAX-ZDUSSCGKSA-N 0 2 315.377 0.786 20 0 DCADLN CN(C(=O)Cc1ccccc1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033200651 666618713 /nfs/dbraw/zinc/61/87/13/666618713.db2.gz UAVXFWAZEDJOAX-ZDUSSCGKSA-N 0 2 315.377 0.786 20 0 DCADLN CN(C(=O)c1csc(=O)[nH]1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033349123 666686260 /nfs/dbraw/zinc/68/62/60/666686260.db2.gz NDQHYXTXEOLIAP-SSDOTTSWSA-N 0 2 324.366 0.019 20 0 DCADLN CN(C(=O)c1csc(=O)[nH]1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033349123 666686261 /nfs/dbraw/zinc/68/62/61/666686261.db2.gz NDQHYXTXEOLIAP-SSDOTTSWSA-N 0 2 324.366 0.019 20 0 DCADLN Cc1nocc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033378928 666697386 /nfs/dbraw/zinc/69/73/86/666697386.db2.gz PRWLMBIBUZSGEJ-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN CCn1ccc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001033471979 666725610 /nfs/dbraw/zinc/72/56/10/666725610.db2.gz PYFGMZJWSGTXDV-SNVBAGLBSA-N 0 2 319.369 0.073 20 0 DCADLN CN(C(=O)C[C@@H]1CCOC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005204694 666752086 /nfs/dbraw/zinc/75/20/86/666752086.db2.gz MQBIWPNCBPTDML-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@@H](N(C)C(=O)C[NH+]3CCCC3)C2)ncn1 ZINC001033659181 666790228 /nfs/dbraw/zinc/79/02/28/666790228.db2.gz VVDFFTNQEIJILD-MRXNPFEDSA-N 0 2 317.437 0.914 20 0 DCADLN C/C(=C/C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001032298720 666794932 /nfs/dbraw/zinc/79/49/32/666794932.db2.gz XHGBAEYGWCJZAI-RTMGVABCSA-N 0 2 303.366 0.652 20 0 DCADLN CCN(C(=O)c1cccnc1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033727987 666806931 /nfs/dbraw/zinc/80/69/31/666806931.db2.gz MABQPJKUEOKFMJ-GFCCVEGCSA-N 0 2 316.365 0.642 20 0 DCADLN CCN(C(=O)C1CC(C)C1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033801007 666834590 /nfs/dbraw/zinc/83/45/90/666834590.db2.gz AXLBCEOSWNPJIX-HTAVTVPLSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)C1CC(C)C1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033801007 666834593 /nfs/dbraw/zinc/83/45/93/666834593.db2.gz AXLBCEOSWNPJIX-HTAVTVPLSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)c1ccns1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033846051 666844625 /nfs/dbraw/zinc/84/46/25/666844625.db2.gz MMCPOYHQFSRQQO-SECBINFHSA-N 0 2 322.394 0.703 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccon1 ZINC001034122686 666929334 /nfs/dbraw/zinc/92/93/34/666929334.db2.gz ZURDZYAOJJSTNM-SECBINFHSA-N 0 2 306.326 0.283 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC=CC1 ZINC001034139518 666930326 /nfs/dbraw/zinc/93/03/26/666930326.db2.gz YYIPBUIZBBAWTM-LBPRGKRZSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC=CC1 ZINC001034139518 666930327 /nfs/dbraw/zinc/93/03/27/666930327.db2.gz YYIPBUIZBBAWTM-LBPRGKRZSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1=CCOCC1 ZINC001034182560 666944376 /nfs/dbraw/zinc/94/43/76/666944376.db2.gz VDBSBONEQJNJPN-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1=CCOCC1 ZINC001034182560 666944380 /nfs/dbraw/zinc/94/43/80/666944380.db2.gz VDBSBONEQJNJPN-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN Cc1nnc(CN2CCCC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001034291622 666979944 /nfs/dbraw/zinc/97/99/44/666979944.db2.gz CCRPJEOJCNXHFY-JTQLQIEISA-N 0 2 304.358 0.016 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2cnns2)C1)c1cnn[nH]1 ZINC001034295072 666981556 /nfs/dbraw/zinc/98/15/56/666981556.db2.gz ZPVOWEJOSCPGAN-SECBINFHSA-N 0 2 307.383 0.441 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034407522 667012120 /nfs/dbraw/zinc/01/21/20/667012120.db2.gz SYCJNGZYBIIOJK-MDZLAQPJSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034407522 667012125 /nfs/dbraw/zinc/01/21/25/667012125.db2.gz SYCJNGZYBIIOJK-MDZLAQPJSA-N 0 2 323.397 0.406 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2Cc3ccccc3CO2)S1 ZINC000862424564 667042917 /nfs/dbraw/zinc/04/29/17/667042917.db2.gz TYQRNMHWOXKAPT-NWDGAFQWSA-N 0 2 319.386 0.801 20 0 DCADLN CSCC[C@H](C)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000736063283 667091218 /nfs/dbraw/zinc/09/12/18/667091218.db2.gz NFSQPUOGKHOFHH-VIFPVBQESA-N 0 2 309.395 0.671 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2Cc3ccccc3NC2=O)S1 ZINC000862997460 667107853 /nfs/dbraw/zinc/10/78/53/667107853.db2.gz UZLJIFYPXVJGMQ-UWVGGRQHSA-N 0 2 318.358 0.222 20 0 DCADLN COC(=O)CCNC(=O)CCNC(=O)C(F)C(F)(F)F ZINC000863158238 667114958 /nfs/dbraw/zinc/11/49/58/667114958.db2.gz SCTUWWJTFLVCSC-QMMMGPOBSA-N 0 2 302.224 0.072 20 0 DCADLN COC(=O)CCNC(=O)CCNC(=O)[C@H](F)C(F)(F)F ZINC000863158238 667114961 /nfs/dbraw/zinc/11/49/61/667114961.db2.gz SCTUWWJTFLVCSC-QMMMGPOBSA-N 0 2 302.224 0.072 20 0 DCADLN CS(=O)(=O)CC(=O)C1(NC(=O)C(F)(F)F)CCCCC1 ZINC000863344226 667133330 /nfs/dbraw/zinc/13/33/30/667133330.db2.gz CTQWZTOYBYWWAW-UHFFFAOYSA-N 0 2 315.313 0.982 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC000864138018 667218668 /nfs/dbraw/zinc/21/86/68/667218668.db2.gz STNOBIFWMZZFQA-ZIBATOQPSA-N 0 2 307.350 0.538 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC000864138018 667218671 /nfs/dbraw/zinc/21/86/71/667218671.db2.gz STNOBIFWMZZFQA-ZIBATOQPSA-N 0 2 307.350 0.538 20 0 DCADLN Cc1ccoc1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035294340 667284099 /nfs/dbraw/zinc/28/40/99/667284099.db2.gz PWYAPGOUYWKQSV-SNVBAGLBSA-N 0 2 321.337 0.043 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(O)(C3CCC3)CC2)S1 ZINC000884988555 667633137 /nfs/dbraw/zinc/63/31/37/667633137.db2.gz SDGQXAIHXGYBPD-JTQLQIEISA-N 0 2 311.407 0.696 20 0 DCADLN O=C(C[N@@H+]1CCCn2nncc2C1)[N-]OCc1ccccc1 ZINC000886460908 667770099 /nfs/dbraw/zinc/77/00/99/667770099.db2.gz BRJUDFBQMQXWPU-UHFFFAOYSA-N 0 2 301.350 0.732 20 0 DCADLN O=C(C[N@H+]1CCCn2nncc2C1)[N-]OCc1ccccc1 ZINC000886460908 667770102 /nfs/dbraw/zinc/77/01/02/667770102.db2.gz BRJUDFBQMQXWPU-UHFFFAOYSA-N 0 2 301.350 0.732 20 0 DCADLN CNC(=O)Nc1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000742510264 667792594 /nfs/dbraw/zinc/79/25/94/667792594.db2.gz GWAWOXHCWODQFU-VIFPVBQESA-N 0 2 321.362 0.933 20 0 DCADLN CN(Cc1nccn1C(F)F)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742519778 667793175 /nfs/dbraw/zinc/79/31/75/667793175.db2.gz RKYVPYYYBHMKBS-LURJTMIESA-N 0 2 317.321 0.793 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC(Oc3ccccc3)C2)S1 ZINC000742627905 667795947 /nfs/dbraw/zinc/79/59/47/667795947.db2.gz LXOBAVPBXIQGBL-NSHDSACASA-N 0 2 305.359 0.833 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-c3nc[nH]n3)cc2)S1 ZINC000742664095 667796393 /nfs/dbraw/zinc/79/63/93/667796393.db2.gz NKCGUEWTNVYZDX-SECBINFHSA-N 0 2 316.346 0.967 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cc3c([nH]c2=O)CCC3)S1 ZINC000742673067 667796886 /nfs/dbraw/zinc/79/68/86/667796886.db2.gz VHLZPIZKGJJVQH-JTQLQIEISA-N 0 2 320.374 0.449 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCCC[C@@H]2c2ncon2)S1 ZINC000742692481 667797480 /nfs/dbraw/zinc/79/74/80/667797480.db2.gz LUWOFQNZEOOOMP-HTQZYQBOSA-N 0 2 309.351 0.680 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnn(-c3ccccn3)c2)S1 ZINC000742696782 667797572 /nfs/dbraw/zinc/79/75/72/667797572.db2.gz UHKUFQQQEFFAPR-VIFPVBQESA-N 0 2 316.346 0.762 20 0 DCADLN CSC1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCOCC1 ZINC000742708049 667798131 /nfs/dbraw/zinc/79/81/31/667798131.db2.gz FYHHYWTUBFIWBL-MRVPVSSYSA-N 0 2 317.436 0.571 20 0 DCADLN COC(=O)C1=NO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000871385278 667812691 /nfs/dbraw/zinc/81/26/91/667812691.db2.gz YUFDVSBCGVZWTM-XCBNKYQSSA-N 0 2 312.219 0.807 20 0 DCADLN COC(=O)C1=NO[C@@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC000871385278 667812695 /nfs/dbraw/zinc/81/26/95/667812695.db2.gz YUFDVSBCGVZWTM-XCBNKYQSSA-N 0 2 312.219 0.807 20 0 DCADLN CC(C)C[C@@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000744639931 667830632 /nfs/dbraw/zinc/83/06/32/667830632.db2.gz JWLMZNARGGBKKF-NSHDSACASA-N 0 2 303.366 0.966 20 0 DCADLN CN1C(=O)[C@H]2CN(C(=O)c3cc(F)c(O)c(F)c3)C[C@H]2C1=O ZINC000871529716 667830952 /nfs/dbraw/zinc/83/09/52/667830952.db2.gz QSVCYPZLDZITRL-OCAPTIKFSA-N 0 2 310.256 0.357 20 0 DCADLN CO[C@@]1(C)C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000744719750 667831452 /nfs/dbraw/zinc/83/14/52/667831452.db2.gz IFYZWRMUBJTEKS-BONVTDFDSA-N 0 2 319.365 0.391 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1nnc2ccccn21 ZINC000745422177 667847767 /nfs/dbraw/zinc/84/77/67/667847767.db2.gz BTNLARDQUOLWAX-HTQZYQBOSA-N 0 2 318.362 0.463 20 0 DCADLN Cn1cc(C[C@@H]2CCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)cn1 ZINC000746623713 667884528 /nfs/dbraw/zinc/88/45/28/667884528.db2.gz GJPURYYDCSRGJL-ONGXEEELSA-N 0 2 321.406 0.368 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCCCc2cccnc21 ZINC000872296429 667887739 /nfs/dbraw/zinc/88/77/39/667887739.db2.gz LVNWNQXPMWAUCB-UHFFFAOYSA-N 0 2 324.344 0.836 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1cc2n(n1)CCN(C)C2)OC ZINC000872411469 667893890 /nfs/dbraw/zinc/89/38/90/667893890.db2.gz YDJQZHHKRQFKQD-NSHDSACASA-N 0 2 302.400 0.495 20 0 DCADLN CC[C@@](C)(NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(F)(F)F ZINC000747306450 667899953 /nfs/dbraw/zinc/89/99/53/667899953.db2.gz BIIKETLIHKFBCA-LLVKDONJSA-N 0 2 317.271 0.918 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NC[C@H]1COCO1 ZINC000872495466 667902922 /nfs/dbraw/zinc/90/29/22/667902922.db2.gz PCQYYDPYBRVQPJ-WNWIJWBNSA-N 0 2 300.402 0.090 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NC[C@@H]1COCO1 ZINC000872495463 667903264 /nfs/dbraw/zinc/90/32/64/667903264.db2.gz PCQYYDPYBRVQPJ-CQLKUDPESA-N 0 2 300.402 0.090 20 0 DCADLN Cc1nc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c2ccccn12 ZINC000748381687 667926338 /nfs/dbraw/zinc/92/63/38/667926338.db2.gz CQTWRMFASQUGRR-UHFFFAOYSA-N 0 2 300.322 0.829 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H]1C1CCCC1 ZINC000889401112 667964816 /nfs/dbraw/zinc/96/48/16/667964816.db2.gz YSTCQSANKJMYSE-LBPRGKRZSA-N 0 2 301.350 0.862 20 0 DCADLN CC(C)(C)N1C[C@H](NC(=O)C[C@H]2SC(=N)NC2=O)CC1=O ZINC000752676289 668020767 /nfs/dbraw/zinc/02/07/67/668020767.db2.gz ITUOIYBFCVPZOG-HTQZYQBOSA-N 0 2 312.395 0.058 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2[C@H]3CCO[C@H]3C23CCC3)S1 ZINC000754195908 668042444 /nfs/dbraw/zinc/04/24/44/668042444.db2.gz IIGHNYMFKDCSOE-JZSSXWJLSA-N 0 2 309.391 0.617 20 0 DCADLN C=CC(=O)NCc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000754355327 668044769 /nfs/dbraw/zinc/04/47/69/668044769.db2.gz RXIPEIFGYLZTPO-UHFFFAOYSA-N 0 2 301.306 0.243 20 0 DCADLN C[C@@]1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCS1 ZINC000758612151 668187298 /nfs/dbraw/zinc/18/72/98/668187298.db2.gz WVGZIUNJAAGWNM-ZDUSSCGKSA-N 0 2 307.379 0.473 20 0 DCADLN C[C@]1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCS1 ZINC000758612149 668187352 /nfs/dbraw/zinc/18/73/52/668187352.db2.gz WVGZIUNJAAGWNM-CYBMUJFWSA-N 0 2 307.379 0.473 20 0 DCADLN COC(=O)[C@H](C)OC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000759745607 668235247 /nfs/dbraw/zinc/23/52/47/668235247.db2.gz XXAMYKSCHROKNT-ZETCQYMHSA-N 0 2 322.342 0.941 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](O)c2ccc(F)cc2)S1 ZINC000762306516 668355530 /nfs/dbraw/zinc/35/55/30/668355530.db2.gz CTHWAQLBNURZRD-VHSXEESVSA-N 0 2 311.338 0.532 20 0 DCADLN CO[N-]C(=O)CNC(=O)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000927211213 668453851 /nfs/dbraw/zinc/45/38/51/668453851.db2.gz AIVHGZKQLZFMHK-UHFFFAOYSA-N 0 2 323.397 0.763 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC000764628745 668455745 /nfs/dbraw/zinc/45/57/45/668455745.db2.gz XGLYJLICJVDPSZ-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC000764628745 668455747 /nfs/dbraw/zinc/45/57/47/668455747.db2.gz XGLYJLICJVDPSZ-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2CN(c3ccccc3)C2=O)S1 ZINC000768413139 668608305 /nfs/dbraw/zinc/60/83/05/668608305.db2.gz LCEALTZUZBLJKH-ZJUUUORDSA-N 0 2 318.358 0.074 20 0 DCADLN CO[C@]1(CNC(=O)C(F)C(F)(F)F)CCS(=O)(=O)C1 ZINC000928775306 668623048 /nfs/dbraw/zinc/62/30/48/668623048.db2.gz WPMDXHLQHQKFCZ-SVRRBLITSA-N 0 2 307.265 0.207 20 0 DCADLN CO[C@]1(CNC(=O)[C@@H](F)C(F)(F)F)CCS(=O)(=O)C1 ZINC000928775306 668623052 /nfs/dbraw/zinc/62/30/52/668623052.db2.gz WPMDXHLQHQKFCZ-SVRRBLITSA-N 0 2 307.265 0.207 20 0 DCADLN CCC[C@]1(CO)CCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000768964194 668628942 /nfs/dbraw/zinc/62/89/42/668628942.db2.gz VRNSQJZXDFESQB-OAHLLOKOSA-N 0 2 319.365 0.225 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H](CO)C1CCCCC1 ZINC000771230471 668735465 /nfs/dbraw/zinc/73/54/65/668735465.db2.gz UDJOJVGCBYJJCT-LBPRGKRZSA-N 0 2 319.365 0.129 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cnn(-c2ccccc2)n1 ZINC000773095278 668792803 /nfs/dbraw/zinc/79/28/03/668792803.db2.gz DKBOKJVCHBGYCW-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN O=C(CNC(=O)c1cccc(F)c1)NCCc1n[nH]c(=S)o1 ZINC000773084990 668793082 /nfs/dbraw/zinc/79/30/82/668793082.db2.gz CKHKZNJSQZDANK-UHFFFAOYSA-N 0 2 324.337 0.586 20 0 DCADLN CC(=O)N1C[C@H](C(=O)OCc2n[nH]c(=O)[nH]2)Oc2ccccc21 ZINC000774894880 668849930 /nfs/dbraw/zinc/84/99/30/668849930.db2.gz BQHHZJRUNYQEKQ-LLVKDONJSA-N 0 2 318.289 0.368 20 0 DCADLN O=C(CCn1cnc2ccccc2c1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774897105 668850369 /nfs/dbraw/zinc/85/03/69/668850369.db2.gz QKGMDJRKEVLWJX-UHFFFAOYSA-N 0 2 315.289 0.354 20 0 DCADLN Cc1cccc([C@@H](CC(=O)OCc2n[nH]c(=O)[nH]2)NC(N)=O)c1 ZINC000774913039 668850676 /nfs/dbraw/zinc/85/06/76/668850676.db2.gz JJBITIAGPXSDQP-SNVBAGLBSA-N 0 2 319.321 0.662 20 0 DCADLN O=C(CCn1cc(Br)cn1)OCc1n[nH]c(=O)[nH]1 ZINC000774933733 668851956 /nfs/dbraw/zinc/85/19/56/668851956.db2.gz LTIGLMDRBBJCEI-UHFFFAOYSA-N 0 2 316.115 0.603 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000774943548 668852479 /nfs/dbraw/zinc/85/24/79/668852479.db2.gz ZIVPCGRVDUEEJH-MRVPVSSYSA-N 0 2 320.280 0.746 20 0 DCADLN NC(=O)CN1CCCN(C(=O)c2cccc(Cl)c2O)CC1 ZINC000775712824 668866784 /nfs/dbraw/zinc/86/67/84/668866784.db2.gz JQSVEXJFTMXOEP-UHFFFAOYSA-N 0 2 311.769 0.679 20 0 DCADLN Cc1nnc2ccc(C(=O)NCCc3n[nH]c(=S)o3)cn12 ZINC000776541761 668877310 /nfs/dbraw/zinc/87/73/10/668877310.db2.gz JKWSFOJBTUMJAY-UHFFFAOYSA-N 0 2 304.335 0.682 20 0 DCADLN CC[C@@]1(CO)CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000779315997 668909423 /nfs/dbraw/zinc/90/94/23/668909423.db2.gz ZKJGXPPPHRKBRS-OAHLLOKOSA-N 0 2 319.365 0.082 20 0 DCADLN Cn1cnc(-c2ccc(C(=O)OCc3n[nH]c(=O)[nH]3)cc2)n1 ZINC000780269497 668976566 /nfs/dbraw/zinc/97/65/66/668976566.db2.gz HINRJGUWTXOIMK-UHFFFAOYSA-N 0 2 300.278 0.663 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000780694443 669006475 /nfs/dbraw/zinc/00/64/75/669006475.db2.gz BPJHIRKLKDALPW-VXGBXAGGSA-N 0 2 320.349 0.155 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC2(CO)Cc3ccccc3C2)S1 ZINC000781098780 669030395 /nfs/dbraw/zinc/03/03/95/669030395.db2.gz FJUXZQXFGYRFJN-NSHDSACASA-N 0 2 319.386 0.189 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc2c1OCO2 ZINC000782486857 669100534 /nfs/dbraw/zinc/10/05/34/669100534.db2.gz NLCUBAHNEUUEFV-UHFFFAOYSA-N 0 2 313.273 0.439 20 0 DCADLN Cc1cc(Cn2cc(CNC(=O)C(F)(F)F)nn2)nc(C)n1 ZINC000881323875 669322833 /nfs/dbraw/zinc/32/28/33/669322833.db2.gz ACDXNINNYBKGOA-UHFFFAOYSA-N 0 2 314.271 0.912 20 0 DCADLN CCOC(=O)COCCn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881347322 669327350 /nfs/dbraw/zinc/32/73/50/669327350.db2.gz VQBLEXAMFKPAQI-UHFFFAOYSA-N 0 2 324.259 0.036 20 0 DCADLN CCn1nncc1Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881380906 669331788 /nfs/dbraw/zinc/33/17/88/669331788.db2.gz KVXNCZYATYCDPX-UHFFFAOYSA-N 0 2 303.248 0.116 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2ccnc(C(=O)OC)c2)C1=O ZINC000787865600 669406757 /nfs/dbraw/zinc/40/67/57/669406757.db2.gz TVYVYENBLPTZTB-CQSZACIVSA-N 0 2 320.305 0.234 20 0 DCADLN CCOC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)C ZINC000789691209 669505105 /nfs/dbraw/zinc/50/51/05/669505105.db2.gz MPKOZJLKOOAFFX-LLVKDONJSA-N 0 2 307.354 0.249 20 0 DCADLN COc1ccccc1NS(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC000882661090 669562302 /nfs/dbraw/zinc/56/23/02/669562302.db2.gz SQCDLGHCMFKYJX-GOSISDBHSA-N 0 2 307.397 0.926 20 0 DCADLN Cn1cnc(C[NH2+][C@@H]2CCCN(CC[NH+]3CCOCC3)C2)c1 ZINC000883372413 669676538 /nfs/dbraw/zinc/67/65/38/669676538.db2.gz ZQELJEPORDLODK-OAHLLOKOSA-N 0 2 307.442 0.306 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)N1CCC(C)CC1 ZINC000883414173 669681266 /nfs/dbraw/zinc/68/12/66/669681266.db2.gz NXMMSUUUYYYXNN-LBPRGKRZSA-N 0 2 314.382 0.583 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)N1CCC(C)CC1 ZINC000883414173 669681270 /nfs/dbraw/zinc/68/12/70/669681270.db2.gz NXMMSUUUYYYXNN-LBPRGKRZSA-N 0 2 314.382 0.583 20 0 DCADLN O=C(N1CCC(c2n[nH]c(=O)[nH]2)CC1)C1(n2cccn2)CC1 ZINC000935750062 669766958 /nfs/dbraw/zinc/76/69/58/669766958.db2.gz RCYPIIJFVKLJSD-UHFFFAOYSA-N 0 2 302.338 0.602 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](NC(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000798080928 669985495 /nfs/dbraw/zinc/98/54/95/669985495.db2.gz QYWMGCMSZPABFT-HRDYMLBCSA-N 0 2 313.379 0.391 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(N3CCC3=O)cc2)S1 ZINC000799039591 670034876 /nfs/dbraw/zinc/03/48/76/670034876.db2.gz JGXCBFJTBSKJIJ-JTQLQIEISA-N 0 2 318.358 0.918 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)c(Cl)n1 ZINC000800928470 670105949 /nfs/dbraw/zinc/10/59/49/670105949.db2.gz VACMUFWDPSEGRP-UHFFFAOYSA-N 0 2 315.742 0.666 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1nccc2ccncc21 ZINC000801695325 670138240 /nfs/dbraw/zinc/13/82/40/670138240.db2.gz RXIMDXKFBOSRSJ-UHFFFAOYSA-N 0 2 321.300 0.653 20 0 DCADLN O=C(c1cc2occc2[nH]1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948601996 670138891 /nfs/dbraw/zinc/13/88/91/670138891.db2.gz ZHVFYBVHDDXJSA-UHFFFAOYSA-N 0 2 316.321 0.543 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951023353 670178651 /nfs/dbraw/zinc/17/86/51/670178651.db2.gz QFMQMPPAHNXURM-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000951023353 670178655 /nfs/dbraw/zinc/17/86/55/670178655.db2.gz QFMQMPPAHNXURM-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951023356 670179052 /nfs/dbraw/zinc/17/90/52/670179052.db2.gz QFMQMPPAHNXURM-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000951023356 670179053 /nfs/dbraw/zinc/17/90/53/670179053.db2.gz QFMQMPPAHNXURM-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN CCn1c(=O)[nH]nc1SCC(=O)NOCc1ccccc1 ZINC000192813881 670187730 /nfs/dbraw/zinc/18/77/30/670187730.db2.gz FCCXFKQMMORTTP-UHFFFAOYSA-N 0 2 308.363 0.931 20 0 DCADLN CCc1cnccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949002478 670235357 /nfs/dbraw/zinc/23/53/57/670235357.db2.gz ZSQZSJCUVVRPKI-UHFFFAOYSA-N 0 2 316.365 0.426 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnco1 ZINC000951287292 670238208 /nfs/dbraw/zinc/23/82/08/670238208.db2.gz YBRHPZXXFVUMGA-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cnco1 ZINC000951287292 670238210 /nfs/dbraw/zinc/23/82/10/670238210.db2.gz YBRHPZXXFVUMGA-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN CC(C)c1n[nH]cc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949059989 670250143 /nfs/dbraw/zinc/25/01/43/670250143.db2.gz OGAKOKMSHMYRMG-UHFFFAOYSA-N 0 2 319.369 0.315 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnc(CC3CC3)nc2)S1 ZINC000803559320 670253596 /nfs/dbraw/zinc/25/35/96/670253596.db2.gz UQFWFEAJVVVUJL-SECBINFHSA-N 0 2 305.363 0.924 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951447915 670276230 /nfs/dbraw/zinc/27/62/30/670276230.db2.gz ADTCWSUEAFMVQI-POYBYMJQSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000951447915 670276232 /nfs/dbraw/zinc/27/62/32/670276232.db2.gz ADTCWSUEAFMVQI-POYBYMJQSA-N 0 2 323.250 0.344 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)[C@H]1[C@@H]2COC[C@@H]21 ZINC000951660081 670333092 /nfs/dbraw/zinc/33/30/92/670333092.db2.gz JAEIXVKHJLNHPD-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)[C@H]1[C@@H]2COC[C@@H]21 ZINC000951660081 670333094 /nfs/dbraw/zinc/33/30/94/670333094.db2.gz JAEIXVKHJLNHPD-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN CCN(C(=O)c1ccccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949591233 670378933 /nfs/dbraw/zinc/37/89/33/670378933.db2.gz LRGQGDICGFCTDE-UHFFFAOYSA-N 0 2 301.350 0.857 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H](C1CC1)C(F)(F)F ZINC000807906526 670380160 /nfs/dbraw/zinc/38/01/60/670380160.db2.gz CUEOVSNKOLTWEH-SECBINFHSA-N 0 2 315.255 0.528 20 0 DCADLN CC(=O)N1CC[C@@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000952193819 670457540 /nfs/dbraw/zinc/45/75/40/670457540.db2.gz HXMFSDLTFDAMLJ-LLVKDONJSA-N 0 2 302.338 0.161 20 0 DCADLN Cn1ccnc(N2CCN(C(=O)C(F)C(F)(F)F)CC2)c1=O ZINC000810463036 670486315 /nfs/dbraw/zinc/48/63/15/670486315.db2.gz LHTBKEVUBPSYPJ-QMMMGPOBSA-N 0 2 322.262 0.329 20 0 DCADLN Cn1ccnc(N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)c1=O ZINC000810463036 670486317 /nfs/dbraw/zinc/48/63/17/670486317.db2.gz LHTBKEVUBPSYPJ-QMMMGPOBSA-N 0 2 322.262 0.329 20 0 DCADLN CCc1c(C(=O)Nn2cnn(CC)c2=O)cnn1CC(C)C ZINC000854628371 670601599 /nfs/dbraw/zinc/60/15/99/670601599.db2.gz DASVTIUCKAFLLB-UHFFFAOYSA-N 0 2 306.370 0.864 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(C3(O)COC3)cc2)S1 ZINC000813771215 670653014 /nfs/dbraw/zinc/65/30/14/670653014.db2.gz RFZBLTPRRMNFHB-SNVBAGLBSA-N 0 2 321.358 0.399 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccn(C3CCOCC3)n2)S1 ZINC000855863675 670761401 /nfs/dbraw/zinc/76/14/01/670761401.db2.gz MHPNPUQMEGBDBV-SECBINFHSA-N 0 2 323.378 0.730 20 0 DCADLN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC000855958766 670774227 /nfs/dbraw/zinc/77/42/27/670774227.db2.gz LHMFWXVGBYMUSX-FKSUSPILSA-N 0 2 319.276 0.157 20 0 DCADLN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21 ZINC000855958766 670774232 /nfs/dbraw/zinc/77/42/32/670774232.db2.gz LHMFWXVGBYMUSX-FKSUSPILSA-N 0 2 319.276 0.157 20 0 DCADLN CCn1ncn(NC(=O)c2sc([C@H](C)OC)nc2C)c1=O ZINC000816735939 670853335 /nfs/dbraw/zinc/85/33/35/670853335.db2.gz BVUZOSRZTUGHGR-QMMMGPOBSA-N 0 2 311.367 0.921 20 0 DCADLN COC(=O)CCCONC(=O)CSc1nnc2ccccn21 ZINC000819932410 671147356 /nfs/dbraw/zinc/14/73/56/671147356.db2.gz MTFNIANDYSLYNJ-UHFFFAOYSA-N 0 2 324.362 0.822 20 0 DCADLN Cc1nc(COC(=O)c2ccc(NS(C)(=O)=O)nc2)n[nH]1 ZINC000859923937 671159616 /nfs/dbraw/zinc/15/96/16/671159616.db2.gz IBZQPQBGSATBTL-UHFFFAOYSA-N 0 2 311.323 0.237 20 0 DCADLN COc1cccc([S@@](=O)CC(=O)OCCc2cnn[nH]2)c1 ZINC000820111339 671166181 /nfs/dbraw/zinc/16/61/81/671166181.db2.gz QJNHFNMNMKJEFR-NRFANRHFSA-N 0 2 309.347 0.707 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1CCO[C@H](Cn2ccnn2)C1 ZINC000824667136 671436145 /nfs/dbraw/zinc/43/61/45/671436145.db2.gz PVBKMLRUGATRNF-JTQLQIEISA-N 0 2 324.287 0.803 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](CO)CC2CCCCC2)S1 ZINC000841469866 671935072 /nfs/dbraw/zinc/93/50/72/671935072.db2.gz LZMDRCCNBBMLNM-QWRGUYRKSA-N 0 2 313.423 0.990 20 0 DCADLN C[C@@H]1CCC[C@@]1(O)CNS(=O)(=O)N=S1(=O)CCCC1 ZINC000867313577 672050799 /nfs/dbraw/zinc/05/07/99/672050799.db2.gz PLCCFSWWTWFZAW-GHMZBOCLSA-N 0 2 310.441 0.634 20 0 DCADLN Cn1cc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)c(Cl)n1 ZINC000843873053 672126240 /nfs/dbraw/zinc/12/62/40/672126240.db2.gz JEMJSEFQDCCZML-UHFFFAOYSA-N 0 2 310.745 0.917 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)C[C@@H]1CCOC1)n1cc[nH+]c1 ZINC000845504831 672254183 /nfs/dbraw/zinc/25/41/83/672254183.db2.gz XQXBGQOUKPBECG-WDEREUQCSA-N 0 2 301.368 0.317 20 0 DCADLN C[C@H](CC(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1)n1cc[nH+]c1 ZINC000846116477 672294550 /nfs/dbraw/zinc/29/45/50/672294550.db2.gz BPQXBSRDNOOKGJ-TYNCELHUSA-N 0 2 307.350 0.784 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000846121420 672295206 /nfs/dbraw/zinc/29/52/06/672295206.db2.gz YHHUDTUINNGHGR-INIZCTEOSA-N 0 2 321.377 0.712 20 0 DCADLN O=C([O-])c1n[nH+]c2ccccc2c1N1CC[C@@H](O)[C@@H](CO)C1 ZINC000396808572 685272157 /nfs/dbraw/zinc/27/21/57/685272157.db2.gz NIEXUGRTGCDPRT-BXKDBHETSA-N 0 2 303.318 0.508 20 0 DCADLN CC(=O)c1ccc(N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c(F)c1 ZINC000092617282 685108391 /nfs/dbraw/zinc/10/83/91/685108391.db2.gz KGZAMUGKMQNFAQ-UHFFFAOYSA-N 0 2 319.340 0.762 20 0 DCADLN O=C(NC[C@H](O)c1cccc(C(F)(F)F)c1)c1nc(=O)[nH][nH]1 ZINC000304005217 685209789 /nfs/dbraw/zinc/20/97/89/685209789.db2.gz SNNZGUMRCPDXCV-QMMMGPOBSA-N 0 2 316.239 0.580 20 0 DCADLN CN(C(=O)c1cnon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955090238 686263416 /nfs/dbraw/zinc/26/34/16/686263416.db2.gz FWVJINQQSNWNKZ-ZETCQYMHSA-N 0 2 310.207 0.253 20 0 DCADLN CN(C(=O)c1cnon1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000955090238 686263420 /nfs/dbraw/zinc/26/34/20/686263420.db2.gz FWVJINQQSNWNKZ-ZETCQYMHSA-N 0 2 310.207 0.253 20 0 DCADLN O=C(c1cc(F)cc(F)c1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957564070 687079924 /nfs/dbraw/zinc/07/99/24/687079924.db2.gz DMUHGWIERDNGSZ-UHFFFAOYSA-N 0 2 323.303 0.747 20 0 DCADLN Cc1ccc(CCC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000957769140 687180223 /nfs/dbraw/zinc/18/02/23/687180223.db2.gz JIWYMSIXHFFACK-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN C[C@H](C[C@@H]1CCCO1)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957846333 687219058 /nfs/dbraw/zinc/21/90/58/687219058.db2.gz WDWMTBKQJUAEEH-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC2(C1)CN(C(=O)C1CC1)CCO2 ZINC000965268442 689389736 /nfs/dbraw/zinc/38/97/36/689389736.db2.gz NIFOEBZWBLPIJI-SECBINFHSA-N 0 2 324.274 0.737 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CC2(C1)CN(C(=O)C1CC1)CCO2 ZINC000965268442 689389738 /nfs/dbraw/zinc/38/97/38/689389738.db2.gz NIFOEBZWBLPIJI-SECBINFHSA-N 0 2 324.274 0.737 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000965450475 689445669 /nfs/dbraw/zinc/44/56/69/689445669.db2.gz PVDDGINDZBHDKI-PSASIEDQSA-N 0 2 320.353 0.447 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC12CCOCC2 ZINC001039100440 694302146 /nfs/dbraw/zinc/30/21/46/694302146.db2.gz AQUYHDPMAQXUHI-GHMZBOCLSA-N 0 2 321.381 0.018 20 0 DCADLN COCC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nc(C)c1[O-] ZINC001039357783 694346133 /nfs/dbraw/zinc/34/61/33/694346133.db2.gz NJDFSYQUXWSTLE-NEPJUHHUSA-N 0 2 308.382 0.749 20 0 DCADLN COCC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nc(C)c1[O-] ZINC001039357783 694346139 /nfs/dbraw/zinc/34/61/39/694346139.db2.gz NJDFSYQUXWSTLE-NEPJUHHUSA-N 0 2 308.382 0.749 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@H]2C[NH+](CCCF)CC2(C)C)C1 ZINC000972992763 690502932 /nfs/dbraw/zinc/50/29/32/690502932.db2.gz TXWSSMNZLYWVSJ-STQMWFEESA-N 0 2 301.406 0.503 20 0 DCADLN Cc1cc(CC(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001006951141 690609872 /nfs/dbraw/zinc/60/98/72/690609872.db2.gz OKGQGQZSTBVXFX-JTQLQIEISA-N 0 2 320.353 0.130 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(=O)[nH]1 ZINC001007023261 690620624 /nfs/dbraw/zinc/62/06/24/690620624.db2.gz ADQVLSPFSDQHCL-VIFPVBQESA-N 0 2 318.337 0.005 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cncc(F)c1 ZINC001007082514 690626302 /nfs/dbraw/zinc/62/63/02/690626302.db2.gz BMPCDHJJSOAUHG-LLVKDONJSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc[nH]c(=O)c1 ZINC001007088275 690626564 /nfs/dbraw/zinc/62/65/64/690626564.db2.gz WIZVQDBZSWLDJQ-SNVBAGLBSA-N 0 2 318.337 0.005 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001007137985 690631804 /nfs/dbraw/zinc/63/18/04/690631804.db2.gz JJSVXRHWTFFLDG-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001007651209 690722730 /nfs/dbraw/zinc/72/27/30/690722730.db2.gz AJDYAFUDIQAEKI-LLVKDONJSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1coc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001008240283 690826514 /nfs/dbraw/zinc/82/65/14/690826514.db2.gz ITTZMRDVFNIQQN-JTQLQIEISA-N 0 2 305.338 0.806 20 0 DCADLN Cc1nccc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001009550912 691059044 /nfs/dbraw/zinc/05/90/44/691059044.db2.gz KOARYQNBIVEBMQ-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)o1 ZINC001010210680 691188544 /nfs/dbraw/zinc/18/85/44/691188544.db2.gz CLRFGCQHYCTNPC-SSDOTTSWSA-N 0 2 311.729 0.761 20 0 DCADLN CCc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001010701279 691353601 /nfs/dbraw/zinc/35/36/01/691353601.db2.gz UHRIMNRWNFJUFW-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(N[C@@]12CCC[C@@H]1N(C(=O)c1ccn[nH]1)CC2)c1cn[nH]n1 ZINC001014333791 691993744 /nfs/dbraw/zinc/99/37/44/691993744.db2.gz SENFCMNLPWXEQL-SMDDNHRTSA-N 0 2 315.337 0.095 20 0 DCADLN Cc1cnccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014381910 692002670 /nfs/dbraw/zinc/00/26/70/692002670.db2.gz QTHICBPDVZLAGV-JTQLQIEISA-N 0 2 302.338 0.218 20 0 DCADLN CCc1ccnc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001014533769 692039009 /nfs/dbraw/zinc/03/90/09/692039009.db2.gz IMEBBOGQGMXSAF-NSHDSACASA-N 0 2 316.365 0.472 20 0 DCADLN CC(C)(C)c1nnc(CN2CC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001015066581 692178835 /nfs/dbraw/zinc/17/88/35/692178835.db2.gz KFFDTLNKHBTBKW-VIFPVBQESA-N 0 2 318.385 0.225 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc1F ZINC001015168250 692214147 /nfs/dbraw/zinc/21/41/47/692214147.db2.gz VXNVLROLGNQPRN-NSHDSACASA-N 0 2 319.340 0.962 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015347141 692269638 /nfs/dbraw/zinc/26/96/38/692269638.db2.gz AFBNLQHGCTZYJM-MXWKQRLJSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1c[nH]nc1C1CC1 ZINC001015931661 692511337 /nfs/dbraw/zinc/51/13/37/692511337.db2.gz MXLSRJHJJCHIGC-VIFPVBQESA-N 0 2 317.353 0.115 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1C(=O)[C@@H]1CCC[N@@H+]1C ZINC001020126833 693701728 /nfs/dbraw/zinc/70/17/28/693701728.db2.gz IOMCTFPPBPOOKI-AVGNSLFASA-N 0 2 319.409 0.152 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001073782039 694781179 /nfs/dbraw/zinc/78/11/79/694781179.db2.gz VNAQHAWISGYPCR-GRYCIOLGSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(C1CCC1)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074133789 694825220 /nfs/dbraw/zinc/82/52/20/694825220.db2.gz QQMPSSPUCRQLFV-NEPJUHHUSA-N 0 2 321.381 0.112 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H]3CN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)on1 ZINC001075543367 694957823 /nfs/dbraw/zinc/95/78/23/694957823.db2.gz DIKWMFIBPDQCKY-VHSXEESVSA-N 0 2 318.337 0.153 20 0 DCADLN Cc1ccoc1C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075549496 694958315 /nfs/dbraw/zinc/95/83/15/694958315.db2.gz PLPHODYAMJVNPR-WDEREUQCSA-N 0 2 317.349 0.758 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075700434 694969247 /nfs/dbraw/zinc/96/92/47/694969247.db2.gz PWUZBYDMHMCNML-HBNTYKKESA-N 0 2 305.382 0.589 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075700434 694969248 /nfs/dbraw/zinc/96/92/48/694969248.db2.gz PWUZBYDMHMCNML-HBNTYKKESA-N 0 2 305.382 0.589 20 0 DCADLN CCC(=O)N[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@H]1O ZINC001076653179 695034888 /nfs/dbraw/zinc/03/48/88/695034888.db2.gz CLTDFWULKWFHNO-NXEZZACHSA-N 0 2 322.390 0.468 20 0 DCADLN C/C(=C\C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001691064112 1157566126 /nfs/dbraw/zinc/56/61/26/1157566126.db2.gz BMLZCEGWDBZWNJ-OFFHKIPUSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C\C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001691064112 1157566131 /nfs/dbraw/zinc/56/61/31/1157566131.db2.gz BMLZCEGWDBZWNJ-OFFHKIPUSA-N 0 2 305.382 0.947 20 0 DCADLN NC(=O)C1(O)CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC001771692944 1157707946 /nfs/dbraw/zinc/70/79/46/1157707946.db2.gz SPWNDPTZKBHTTL-UHFFFAOYSA-N 0 2 300.261 0.123 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ncc[nH]1 ZINC001687499669 1158694146 /nfs/dbraw/zinc/69/41/46/1158694146.db2.gz BLYFNPUMJZAKTD-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cc1ncc[nH]1 ZINC001687499669 1158694148 /nfs/dbraw/zinc/69/41/48/1158694148.db2.gz BLYFNPUMJZAKTD-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN Cn1nc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1C(F)(F)F ZINC001365534716 1159184214 /nfs/dbraw/zinc/18/42/14/1159184214.db2.gz CGNMZYPXNBDZMT-UHFFFAOYSA-N 0 2 305.220 0.584 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@@H+](C)Cc2nccn2C)c1[O-] ZINC001566444279 1159977797 /nfs/dbraw/zinc/97/77/97/1159977797.db2.gz DSPSDJQUUXPDIB-UHFFFAOYSA-N 0 2 306.370 0.409 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@H+](C)Cc2nccn2C)c1[O-] ZINC001566444279 1159977800 /nfs/dbraw/zinc/97/78/00/1159977800.db2.gz DSPSDJQUUXPDIB-UHFFFAOYSA-N 0 2 306.370 0.409 20 0 DCADLN C[N@H+](CCNC(=O)c1cnc2ccccn21)Cc1n[nH]c(=O)[n-]1 ZINC001567288976 1160297716 /nfs/dbraw/zinc/29/77/16/1160297716.db2.gz HAKREWIEWACNRW-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnc2ccccn21)Cc1n[nH]c(=O)[n-]1 ZINC001567288976 1160297723 /nfs/dbraw/zinc/29/77/23/1160297723.db2.gz HAKREWIEWACNRW-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN CC(=O)N[C@H](CC(C)C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001573441218 1163436157 /nfs/dbraw/zinc/43/61/57/1163436157.db2.gz RCRNCVJOZSCXSG-VXGBXAGGSA-N 0 2 310.354 0.072 20 0 DCADLN CC(=O)N[C@H](CC(C)C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001573441218 1163436165 /nfs/dbraw/zinc/43/61/65/1163436165.db2.gz RCRNCVJOZSCXSG-VXGBXAGGSA-N 0 2 310.354 0.072 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)c1nc(C(=O)[O-])n[nH]1 ZINC001573569503 1163505212 /nfs/dbraw/zinc/50/52/12/1163505212.db2.gz ZVZZXTFVPGIYSK-BWZBUEFSSA-N 0 2 304.310 0.217 20 0 DCADLN O=C([O-])c1n[nH]nc1[C@@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001574422908 1163719702 /nfs/dbraw/zinc/71/97/02/1163719702.db2.gz FDWPBHVYXNXKDC-QMMMGPOBSA-N 0 2 304.310 0.522 20 0 DCADLN O=C([O-])c1csc(N2CC[NH+]([C@@H]3CCCNC3=O)CC2)n1 ZINC001574423297 1163720450 /nfs/dbraw/zinc/72/04/50/1163720450.db2.gz SVFIIVLOOJYKJV-SNVBAGLBSA-N 0 2 310.379 0.242 20 0 DCADLN C[C@@H](NC(=O)NCc1nc(-c2nn[nH]n2)cs1)c1nnc[nH]1 ZINC001575298967 1163964974 /nfs/dbraw/zinc/96/49/74/1163964974.db2.gz XVPJNTPIJHQGIO-RXMQYKEDSA-N 0 2 320.342 0.002 20 0 DCADLN C[C@H]([NH2+]Cc1c[nH]nn1)C1CCN(C(=O)c2cnns2)CC1 ZINC001575703788 1164113357 /nfs/dbraw/zinc/11/33/57/1164113357.db2.gz UBFCJJCLRKBHNS-VIFPVBQESA-N 0 2 321.410 0.687 20 0 DCADLN CCCC1(C(=O)N[C@@H]2C[N@H+](CCc3c[nH]nn3)C[C@H]2OC)CC1 ZINC001576370743 1164318621 /nfs/dbraw/zinc/31/86/21/1164318621.db2.gz YLNPSTNCWNRHAW-ZIAGYGMSSA-N 0 2 321.425 0.743 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(Cc2cnc(C)o2)c1 ZINC001579477780 1165325724 /nfs/dbraw/zinc/32/57/24/1165325724.db2.gz YLKHHRMIKHBHRG-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN CO[C@@H](Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccc(F)cc1 ZINC001579596089 1165354906 /nfs/dbraw/zinc/35/49/06/1165354906.db2.gz NLUZICVZJXKHKV-LBPRGKRZSA-N 0 2 316.296 0.950 20 0 DCADLN CO[C@@H](CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C(C)C ZINC001579592828 1165355127 /nfs/dbraw/zinc/35/51/27/1165355127.db2.gz QBMSUKRJCBZIPR-JTQLQIEISA-N 0 2 320.353 0.677 20 0 DCADLN COCCC(=O)Nc1nc(-c2cccc(-c3nn[nH]n3)c2)n[nH]1 ZINC001579771518 1165392977 /nfs/dbraw/zinc/39/29/77/1165392977.db2.gz VSCXPVDBVTYWBU-UHFFFAOYSA-N 0 2 314.309 0.627 20 0 DCADLN Cc1ocnc1CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001580605760 1165653898 /nfs/dbraw/zinc/65/38/98/1165653898.db2.gz IBOXMAVFZVMAQA-UHFFFAOYSA-N 0 2 315.293 0.502 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CS[C@H](C)C1 ZINC001580605398 1165654043 /nfs/dbraw/zinc/65/40/43/1165654043.db2.gz ZDEPXDYRTTUOQK-HTQZYQBOSA-N 0 2 320.378 0.900 20 0 DCADLN Cc1cc(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)ccc1F ZINC001581040450 1165778331 /nfs/dbraw/zinc/77/83/31/1165778331.db2.gz RGGSXXRDIDAPPU-UHFFFAOYSA-N 0 2 317.284 0.857 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H](C)C1=NN(C)CC1=O ZINC001581727121 1165861655 /nfs/dbraw/zinc/86/16/55/1165861655.db2.gz KBMVKMCCFZDADF-LURJTMIESA-N 0 2 317.309 0.698 20 0 DCADLN Cn1c(=O)[nH]c(Sc2cccc(O)c2)c(-c2nn[nH]n2)c1=O ZINC001581748609 1165865327 /nfs/dbraw/zinc/86/53/27/1165865327.db2.gz LJRQGXAOUCQLNS-UHFFFAOYSA-N 0 2 318.318 0.111 20 0 DCADLN CC(C)(C)C(=O)NC[C@](C)(NC(=O)C(=O)NCC1CC1)C1CC1 ZINC001582656710 1166063281 /nfs/dbraw/zinc/06/32/81/1166063281.db2.gz SOXJAOVBZUDWDG-KRWDZBQOSA-N 0 2 323.437 0.960 20 0 DCADLN O=S(=O)(N[C@@H]1C[C@H]1C(F)(F)F)c1ccc(-c2nn[nH]n2)o1 ZINC001582797850 1166083274 /nfs/dbraw/zinc/08/32/74/1166083274.db2.gz MSIAKHWRNZWXAH-RFZPGFLSSA-N 0 2 323.256 0.689 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1CCO[C@@H]1CCOC1)CCC2 ZINC001582806924 1166086600 /nfs/dbraw/zinc/08/66/00/1166086600.db2.gz UPKXGMJGSGRTPC-LLVKDONJSA-N 0 2 317.349 0.323 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1ncccn1 ZINC001583391897 1166249932 /nfs/dbraw/zinc/24/99/32/1166249932.db2.gz UCRWMYPKVWFTQD-JQSQLHRZSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1ncccn1 ZINC001583391897 1166249937 /nfs/dbraw/zinc/24/99/37/1166249937.db2.gz UCRWMYPKVWFTQD-JQSQLHRZSA-N 0 2 320.246 0.779 20 0 DCADLN CC(C)(C)OC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001589154809 1166638287 /nfs/dbraw/zinc/63/82/87/1166638287.db2.gz JUCMUDDIEJICJC-UHFFFAOYSA-N 0 2 317.349 0.859 20 0 DCADLN C[C@@H]1CCCC[C@H]1Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC001590252179 1166827742 /nfs/dbraw/zinc/82/77/42/1166827742.db2.gz LDTDOCLVAVMLGH-ZJUUUORDSA-N 0 2 304.354 0.553 20 0 DCADLN CCOC1CC(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)C1 ZINC001590788718 1167021174 /nfs/dbraw/zinc/02/11/74/1167021174.db2.gz SJNWEMPLGRLWKW-UHFFFAOYSA-N 0 2 304.354 0.855 20 0 DCADLN CCOCCN(C)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001590811877 1167025647 /nfs/dbraw/zinc/02/56/47/1167025647.db2.gz OPINYYUFCNVFCB-UHFFFAOYSA-N 0 2 318.381 0.443 20 0 DCADLN CCc1n[nH]c(NC(=O)COc2ccccc2-c2nn[nH]n2)n1 ZINC001590903438 1167044106 /nfs/dbraw/zinc/04/41/06/1167044106.db2.gz XTTCLUANAIOTOX-UHFFFAOYSA-N 0 2 314.309 0.565 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC001591286272 1167201764 /nfs/dbraw/zinc/20/17/64/1167201764.db2.gz CXNZNAFXIIBJBY-ILWJIGKKSA-N 0 2 315.333 0.861 20 0 DCADLN Cc1ccn(CC(=O)NCC2CCC2)c(=O)c1-c1nn[nH]n1 ZINC001592265403 1167480331 /nfs/dbraw/zinc/48/03/31/1167480331.db2.gz WLNNOWDSAQERGU-UHFFFAOYSA-N 0 2 302.338 0.253 20 0 DCADLN Cc1nn(C[C@](C)(O)C(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436671 1167522463 /nfs/dbraw/zinc/52/24/63/1167522463.db2.gz YJZHGBNRJKGQDV-NSHDSACASA-N 0 2 300.269 0.056 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCOC[C@H]1C[C@@H](C)O ZINC001592499099 1167539343 /nfs/dbraw/zinc/53/93/43/1167539343.db2.gz HJZDFZMCKNXRFX-PSASIEDQSA-N 0 2 321.337 0.380 20 0 DCADLN O=C(Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2)NCC1CC1 ZINC001592937145 1167668425 /nfs/dbraw/zinc/66/84/25/1167668425.db2.gz HZCLWOMDHWIRNP-UHFFFAOYSA-N 0 2 314.349 0.043 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1coc(-c2nn[nH]n2)c1 ZINC001593107230 1167709123 /nfs/dbraw/zinc/70/91/23/1167709123.db2.gz WQOOJACDHGVKQN-UHFFFAOYSA-N 0 2 307.295 0.104 20 0 DCADLN O=C(c1sccc1-c1nn[nH]n1)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001593377036 1167791687 /nfs/dbraw/zinc/79/16/87/1167791687.db2.gz YPZRDEWAHLUZJZ-ZCFIWIBFSA-N 0 2 317.338 0.071 20 0 DCADLN O=C1CCN(Cc2nc(-c3nn[nH]n3)cs2)CCCCCN1 ZINC001593394960 1167808297 /nfs/dbraw/zinc/80/82/97/1167808297.db2.gz HJQSOGFVQFZMJY-UHFFFAOYSA-N 0 2 321.410 0.815 20 0 DCADLN c1cc(-c2nn[nH]n2)nnc1NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001593551849 1167930299 /nfs/dbraw/zinc/93/02/99/1167930299.db2.gz SJBUIQGCCMNOHI-QMMMGPOBSA-N 0 2 314.313 0.238 20 0 DCADLN COC(=O)c1cccc2c1CC[N@@H+]([C@@H](C)C(=O)NCC(=O)[O-])C2 ZINC001599000868 1168064159 /nfs/dbraw/zinc/06/41/59/1168064159.db2.gz KJVDCYNJEZQTNN-JTQLQIEISA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)c1cccc2c1CC[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])C2 ZINC001599000868 1168064165 /nfs/dbraw/zinc/06/41/65/1168064165.db2.gz KJVDCYNJEZQTNN-JTQLQIEISA-N 0 2 320.345 0.421 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+](C)[C@@H]1COC[C@H]1O ZINC001600355469 1168172847 /nfs/dbraw/zinc/17/28/47/1168172847.db2.gz UCGBBTWMBKACAU-CHWSQXEVSA-N 0 2 308.334 0.323 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+](C)[C@@H]1COC[C@H]1O ZINC001600355469 1168172855 /nfs/dbraw/zinc/17/28/55/1168172855.db2.gz UCGBBTWMBKACAU-CHWSQXEVSA-N 0 2 308.334 0.323 20 0 DCADLN Cc1ccc2[nH+]c(CSCC(=O)NC[C@H](O)C(=O)[O-])cn2c1 ZINC001600502625 1168192168 /nfs/dbraw/zinc/19/21/68/1168192168.db2.gz QRXLERFOIQNTIS-NSHDSACASA-N 0 2 323.374 0.438 20 0 DCADLN Cc1ccn2cnc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c2c1 ZINC001600595431 1168206189 /nfs/dbraw/zinc/20/61/89/1168206189.db2.gz ABUHJGYFSLCWFF-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1ccn2cnc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c2c1 ZINC001600595431 1168206199 /nfs/dbraw/zinc/20/61/99/1168206199.db2.gz ABUHJGYFSLCWFF-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N[C@@H](CC2OCCO2)C(=O)[O-])c[nH+]1 ZINC001600611304 1168212499 /nfs/dbraw/zinc/21/24/99/1168212499.db2.gz GLZPDLJFVALCGN-JTQLQIEISA-N 0 2 311.338 0.259 20 0 DCADLN Cc1ncc(C=CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001600735850 1168267419 /nfs/dbraw/zinc/26/74/19/1168267419.db2.gz DASVOLVZSFKHEQ-QUCGXOGASA-N 0 2 306.347 1.000 20 0 DCADLN Cc1ncc(C=CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001600735850 1168267428 /nfs/dbraw/zinc/26/74/28/1168267428.db2.gz DASVOLVZSFKHEQ-QUCGXOGASA-N 0 2 306.347 1.000 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1C[C@H](C)[N@@H+](C)C[C@H]1C ZINC001600832920 1168479869 /nfs/dbraw/zinc/47/98/69/1168479869.db2.gz YZSNENARSWASMB-DTWKUNHWSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1C[C@H](C)[N@H+](C)C[C@H]1C ZINC001600832920 1168479876 /nfs/dbraw/zinc/47/98/76/1168479876.db2.gz YZSNENARSWASMB-DTWKUNHWSA-N 0 2 316.379 0.999 20 0 DCADLN Cn1c(=O)sc2cc(C[N@@H+]3C[C@H](O)C[C@@H]3C(=O)[O-])ccc21 ZINC001600899536 1168499313 /nfs/dbraw/zinc/49/93/13/1168499313.db2.gz YLSUXVBWPVBJLZ-MWLCHTKSSA-N 0 2 308.359 0.620 20 0 DCADLN Cn1c(=O)sc2cc(C[N@H+]3C[C@H](O)C[C@@H]3C(=O)[O-])ccc21 ZINC001600899536 1168499319 /nfs/dbraw/zinc/49/93/19/1168499319.db2.gz YLSUXVBWPVBJLZ-MWLCHTKSSA-N 0 2 308.359 0.620 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)c3ccccc3C(=O)[O-])CC[NH2+]2)cn1 ZINC001600943320 1168523898 /nfs/dbraw/zinc/52/38/98/1168523898.db2.gz FHBMRWGWIVUHFL-AWEZNQCLSA-N 0 2 314.345 0.905 20 0 DCADLN Cn1cnc2cccc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c21 ZINC001600992243 1168551089 /nfs/dbraw/zinc/55/10/89/1168551089.db2.gz GKTJLEKDJWGZDF-LBPRGKRZSA-N 0 2 313.317 0.722 20 0 DCADLN Cn1cnc2cccc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c21 ZINC001600992243 1168551098 /nfs/dbraw/zinc/55/10/98/1168551098.db2.gz GKTJLEKDJWGZDF-LBPRGKRZSA-N 0 2 313.317 0.722 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001601025629 1168569334 /nfs/dbraw/zinc/56/93/34/1168569334.db2.gz YDWWXNOJHDYTJQ-MRVPVSSYSA-N 0 2 304.310 0.451 20 0 DCADLN O=C(C=CCOC1CCOCC1)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601230431 1168671187 /nfs/dbraw/zinc/67/11/87/1168671187.db2.gz BXWBZWGXVMZVHF-UIIMQBOASA-N 0 2 323.349 0.273 20 0 DCADLN O=C(/C=C\COC1CCOCC1)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601230431 1168671193 /nfs/dbraw/zinc/67/11/93/1168671193.db2.gz BXWBZWGXVMZVHF-UIIMQBOASA-N 0 2 323.349 0.273 20 0 DCADLN O=C(CC[C@@H]1C[C@H](C(=O)[O-])CO1)N[C@H]1CCn2c[nH+]cc2C1 ZINC001601284190 1168690074 /nfs/dbraw/zinc/69/00/74/1168690074.db2.gz HCBHFIFLSYDOLJ-GMXVVIOVSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)CCc1ccccc1O ZINC001601290635 1168691434 /nfs/dbraw/zinc/69/14/34/1168691434.db2.gz RHDKISJGXKKJJV-LBPRGKRZSA-N 0 2 303.318 0.791 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[NH+]1CCC(O)(CO)CC1 ZINC001601303621 1168695964 /nfs/dbraw/zinc/69/59/64/1168695964.db2.gz BGOOOSMVFHHFRD-UHFFFAOYSA-N 0 2 308.334 0.143 20 0 DCADLN O=C([O-])[C@@H](Cc1cncs1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001601425184 1168740735 /nfs/dbraw/zinc/74/07/35/1168740735.db2.gz VEANNIUACYRCEA-JOYOIKCWSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cnc2ccccc2n1 ZINC001601426243 1168742409 /nfs/dbraw/zinc/74/24/09/1168742409.db2.gz VNTINQQDQXLIOT-ZDUSSCGKSA-N 0 2 311.301 0.710 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC001601425857 1168742578 /nfs/dbraw/zinc/74/25/78/1168742578.db2.gz LQWJSCBMJVRPDH-NSHDSACASA-N 0 2 315.289 0.749 20 0 DCADLN O=C([O-])[C@H](CNC(=O)c1cccc2[nH+]ccn21)[C@H]1CCOC1 ZINC001601454446 1168753436 /nfs/dbraw/zinc/75/34/36/1168753436.db2.gz RTOLANOIZNKZEX-WDEREUQCSA-N 0 2 303.318 0.801 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2nc[nH]c2n1 ZINC001601568185 1168784460 /nfs/dbraw/zinc/78/44/60/1168784460.db2.gz KGFUTEBMWHPEFB-SNVBAGLBSA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2nc[nH]c2n1 ZINC001601568185 1168784464 /nfs/dbraw/zinc/78/44/64/1168784464.db2.gz KGFUTEBMWHPEFB-SNVBAGLBSA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCCc2n[nH]cc21 ZINC001601663703 1168809024 /nfs/dbraw/zinc/80/90/24/1168809024.db2.gz WWAGPWXDLGVIGB-JOYOIKCWSA-N 0 2 303.322 0.296 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001601756247 1168854012 /nfs/dbraw/zinc/85/40/12/1168854012.db2.gz DCAYBBCLHYKGSQ-TUAOUCFPSA-N 0 2 313.379 0.398 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2C[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC001601756115 1168854212 /nfs/dbraw/zinc/85/42/12/1168854212.db2.gz BTHQZTXDNTVLEM-PXWWUCIGSA-N 0 2 302.334 0.708 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2C[N@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC001601756115 1168854216 /nfs/dbraw/zinc/85/42/16/1168854216.db2.gz BTHQZTXDNTVLEM-PXWWUCIGSA-N 0 2 302.334 0.708 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@@H+]2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)C1 ZINC001601759057 1168857712 /nfs/dbraw/zinc/85/77/12/1168857712.db2.gz JFMBWLBJQBUIIK-DYEKYZERSA-N 0 2 308.378 0.911 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@H+]2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)C1 ZINC001601759057 1168857719 /nfs/dbraw/zinc/85/77/19/1168857719.db2.gz JFMBWLBJQBUIIK-DYEKYZERSA-N 0 2 308.378 0.911 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001601784040 1168892929 /nfs/dbraw/zinc/89/29/29/1168892929.db2.gz YAHMWBKSRVJOTG-NEPJUHHUSA-N 0 2 308.338 0.119 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC001601910378 1168930374 /nfs/dbraw/zinc/93/03/74/1168930374.db2.gz MUWHRDXYYXGLHK-YUMQZZPRSA-N 0 2 309.244 0.328 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001601910654 1168931276 /nfs/dbraw/zinc/93/12/76/1168931276.db2.gz QPWHTJFZIGIVEO-KBPBESRZSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001601910654 1168931281 /nfs/dbraw/zinc/93/12/81/1168931281.db2.gz QPWHTJFZIGIVEO-KBPBESRZSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1Cc1cccc(-n2cccn2)c1 ZINC001601939909 1168941453 /nfs/dbraw/zinc/94/14/53/1168941453.db2.gz PRKUWNHLVAGBKU-AWEZNQCLSA-N 0 2 314.345 0.647 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1Cc1cccc(-n2cccn2)c1 ZINC001601939909 1168941461 /nfs/dbraw/zinc/94/14/61/1168941461.db2.gz PRKUWNHLVAGBKU-AWEZNQCLSA-N 0 2 314.345 0.647 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCCN(c2ccccc2F)CC1 ZINC001602065943 1168983320 /nfs/dbraw/zinc/98/33/20/1168983320.db2.gz LJYSBDINGWNCKW-UHFFFAOYSA-N 0 2 309.341 0.539 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCCN(c2ccccc2F)CC1 ZINC001602065943 1168983325 /nfs/dbraw/zinc/98/33/25/1168983325.db2.gz LJYSBDINGWNCKW-UHFFFAOYSA-N 0 2 309.341 0.539 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@@H+]2CC[C@@H](C3CCOCC3)C2)n1 ZINC001602313059 1169056219 /nfs/dbraw/zinc/05/62/19/1169056219.db2.gz FGVNLHBSEINTLB-GFCCVEGCSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@H+]2CC[C@@H](C3CCOCC3)C2)n1 ZINC001602313059 1169056226 /nfs/dbraw/zinc/05/62/26/1169056226.db2.gz FGVNLHBSEINTLB-GFCCVEGCSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])c1cn(C[NH+]2CCC(C(=O)N3CCCC3)CC2)nn1 ZINC001602505373 1169101198 /nfs/dbraw/zinc/10/11/98/1169101198.db2.gz PAMGLZGVSATYMJ-UHFFFAOYSA-N 0 2 307.354 0.268 20 0 DCADLN O=C([O-])c1coc(/C=C/C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)c1 ZINC001602553995 1169115042 /nfs/dbraw/zinc/11/50/42/1169115042.db2.gz RVXQEXOYPHLDQV-ZIYVKSGCSA-N 0 2 316.317 0.887 20 0 DCADLN CC(=O)OCC(C)(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001603087775 1169245242 /nfs/dbraw/zinc/24/52/42/1169245242.db2.gz NTSDUPFCBNYBEV-LLVKDONJSA-N 0 2 311.338 0.501 20 0 DCADLN CC(=O)OCC(C)(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001603087775 1169245251 /nfs/dbraw/zinc/24/52/51/1169245251.db2.gz NTSDUPFCBNYBEV-LLVKDONJSA-N 0 2 311.338 0.501 20 0 DCADLN CC(C)[C@H]1N(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CC1(C)C ZINC001603251305 1169280963 /nfs/dbraw/zinc/28/09/63/1169280963.db2.gz DSWAKLZOOGWSHW-SECBINFHSA-N 0 2 319.369 0.125 20 0 DCADLN CC(C)(C)n1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])nn1 ZINC001603564756 1169322205 /nfs/dbraw/zinc/32/22/05/1169322205.db2.gz QYJVTHPAVYLPAT-SNVBAGLBSA-N 0 2 306.326 0.113 20 0 DCADLN CC(C)(C)n1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])nn1 ZINC001603564755 1169322777 /nfs/dbraw/zinc/32/27/77/1169322777.db2.gz QYJVTHPAVYLPAT-JTQLQIEISA-N 0 2 306.326 0.113 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001603916489 1169433718 /nfs/dbraw/zinc/43/37/18/1169433718.db2.gz CCFBRENKEFEBLY-WZRBSPASSA-N 0 2 309.366 0.860 20 0 DCADLN CCC[C@@H](O)Cn1nc(CC)c(CC)c(-c2nn[nH]n2)c1=O ZINC001604147101 1169505434 /nfs/dbraw/zinc/50/54/34/1169505434.db2.gz LXMAYQGSYPKRSL-SECBINFHSA-N 0 2 306.370 0.709 20 0 DCADLN C[C@@H](NC(=O)NCC(C)(C)CC(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC001604289440 1169549118 /nfs/dbraw/zinc/54/91/18/1169549118.db2.gz FBKDQZPURDXSQO-VXGBXAGGSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001604439786 1169594501 /nfs/dbraw/zinc/59/45/01/1169594501.db2.gz RCOQHSBQUHPUGU-DGCLKSJQSA-N 0 2 303.318 0.920 20 0 DCADLN C[C@@H](c1nccc(N)n1)[N@@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001604482510 1169600441 /nfs/dbraw/zinc/60/04/41/1169600441.db2.gz UICKKGFVQLEAHM-VHSXEESVSA-N 0 2 317.353 0.747 20 0 DCADLN C[C@@H](c1nccc(N)n1)[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001604482510 1169600448 /nfs/dbraw/zinc/60/04/48/1169600448.db2.gz UICKKGFVQLEAHM-VHSXEESVSA-N 0 2 317.353 0.747 20 0 DCADLN C[C@@H]1CC[C@@H](C(N)=O)[C@H](C)[N@@H+]1Cc1noc(CCCC(=O)[O-])n1 ZINC001604693533 1169657663 /nfs/dbraw/zinc/65/76/63/1169657663.db2.gz JBUVNGXEYLFSBN-OUAUKWLOSA-N 0 2 324.381 0.951 20 0 DCADLN C[C@@H]1CC[C@@H](C(N)=O)[C@H](C)[N@H+]1Cc1noc(CCCC(=O)[O-])n1 ZINC001604693533 1169657667 /nfs/dbraw/zinc/65/76/67/1169657667.db2.gz JBUVNGXEYLFSBN-OUAUKWLOSA-N 0 2 324.381 0.951 20 0 DCADLN COCCN(C)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001605094916 1169821285 /nfs/dbraw/zinc/82/12/85/1169821285.db2.gz LLNUOSQZJBMHBD-UHFFFAOYSA-N 0 2 318.381 0.443 20 0 DCADLN CCCCC[C@H](C)NC(=O)C[N@@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001605255724 1169881768 /nfs/dbraw/zinc/88/17/68/1169881768.db2.gz ZJIMXRMWAMBKBL-SCRDCRAPSA-N 0 2 300.399 0.981 20 0 DCADLN CCCCC[C@H](C)NC(=O)C[N@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001605255724 1169881775 /nfs/dbraw/zinc/88/17/75/1169881775.db2.gz ZJIMXRMWAMBKBL-SCRDCRAPSA-N 0 2 300.399 0.981 20 0 DCADLN CCCCOC(=O)[C@H](C)[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001605281555 1169885893 /nfs/dbraw/zinc/88/58/93/1169885893.db2.gz GDTKIEWTIYOUCE-QWRGUYRKSA-N 0 2 310.354 0.955 20 0 DCADLN CCCCOC(=O)[C@H](C)[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001605281555 1169885900 /nfs/dbraw/zinc/88/59/00/1169885900.db2.gz GDTKIEWTIYOUCE-QWRGUYRKSA-N 0 2 310.354 0.955 20 0 DCADLN COc1cccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)c1 ZINC001605319920 1169892732 /nfs/dbraw/zinc/89/27/32/1169892732.db2.gz SVDKYVJPDDMUBG-UHFFFAOYSA-N 0 2 300.278 0.186 20 0 DCADLN CCN1OC[C@@H]([NH2+][C@H](Cc2cccc(OC)c2)C(=O)[O-])C1=O ZINC001605487342 1169938134 /nfs/dbraw/zinc/93/81/34/1169938134.db2.gz JGWWFJBNIBUXNF-CHWSQXEVSA-N 0 2 308.334 0.443 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC(=O)[C@@H](C)C1 ZINC001605507210 1169941371 /nfs/dbraw/zinc/94/13/71/1169941371.db2.gz VMUXHDHCNROMFN-QMMMGPOBSA-N 0 2 316.321 0.327 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)CC(C)(C)O ZINC001605504520 1169941715 /nfs/dbraw/zinc/94/17/15/1169941715.db2.gz CYVHIXVOEQABNN-UHFFFAOYSA-N 0 2 306.326 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](C)c1cn[nH]c1 ZINC001605506532 1169942158 /nfs/dbraw/zinc/94/21/58/1169942158.db2.gz CPMSKIHWSGLIJX-SSDOTTSWSA-N 0 2 314.309 0.490 20 0 DCADLN CCc1nc(N(CCN2CCOCC2)CC(=O)[O-])cc(C)[nH+]1 ZINC001605848902 1170010795 /nfs/dbraw/zinc/01/07/95/1170010795.db2.gz UJELIDRYPUZBNO-UHFFFAOYSA-N 0 2 308.382 0.571 20 0 DCADLN CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001605904868 1170023856 /nfs/dbraw/zinc/02/38/56/1170023856.db2.gz MGDIDIGILNJWGQ-KKOKHZNYSA-N 0 2 323.397 0.192 20 0 DCADLN CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001605904868 1170023859 /nfs/dbraw/zinc/02/38/59/1170023859.db2.gz MGDIDIGILNJWGQ-KKOKHZNYSA-N 0 2 323.397 0.192 20 0 DCADLN Cc1ccn(Cn2nnc3ccccc32)c(=O)c1-c1nn[nH]n1 ZINC001605952725 1170033578 /nfs/dbraw/zinc/03/35/78/1170033578.db2.gz DMMLDYYTTTYHMW-UHFFFAOYSA-N 0 2 308.305 0.587 20 0 DCADLN CN(C(=O)C[N@H+](C)[C@]1(CO)CCOC1)c1ccccc1C(=O)[O-] ZINC001605960824 1170038191 /nfs/dbraw/zinc/03/81/91/1170038191.db2.gz KGDKGDRHUMVESO-INIZCTEOSA-N 0 2 322.361 0.431 20 0 DCADLN CN(C(=O)C[N@@H+](C)[C@]1(CO)CCOC1)c1ccccc1C(=O)[O-] ZINC001605960824 1170038198 /nfs/dbraw/zinc/03/81/98/1170038198.db2.gz KGDKGDRHUMVESO-INIZCTEOSA-N 0 2 322.361 0.431 20 0 DCADLN Cc1nnc([C@H]2CCCN(c3cnc(-c4nn[nH]n4)cn3)C2)[nH]1 ZINC001606040040 1170062647 /nfs/dbraw/zinc/06/26/47/1170062647.db2.gz MDXWCPQWXWOYKV-VIFPVBQESA-N 0 2 312.341 0.467 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cc(C(=O)[O-])nn1C ZINC001606127243 1170086140 /nfs/dbraw/zinc/08/61/40/1170086140.db2.gz NOWKEDGWVLBOFE-UHFFFAOYSA-N 0 2 324.381 0.301 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cc(C(=O)[O-])nn1C ZINC001606127243 1170086146 /nfs/dbraw/zinc/08/61/46/1170086146.db2.gz NOWKEDGWVLBOFE-UHFFFAOYSA-N 0 2 324.381 0.301 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCC[C@H]1c1nnc[nH]1 ZINC001606165252 1170097396 /nfs/dbraw/zinc/09/73/96/1170097396.db2.gz BMRVXYAFJBAOQC-VIFPVBQESA-N 0 2 314.309 0.864 20 0 DCADLN CN1C(=O)C(=O)N(C[N@@H+]2CCc3cccc(C(=O)[O-])c3C2)C1=O ZINC001606186480 1170107323 /nfs/dbraw/zinc/10/73/23/1170107323.db2.gz KGWUJBWVJCKURD-UHFFFAOYSA-N 0 2 317.301 0.121 20 0 DCADLN CN1C(=O)C(=O)N(C[N@H+]2CCc3cccc(C(=O)[O-])c3C2)C1=O ZINC001606186480 1170107327 /nfs/dbraw/zinc/10/73/27/1170107327.db2.gz KGWUJBWVJCKURD-UHFFFAOYSA-N 0 2 317.301 0.121 20 0 DCADLN Cn1c(=O)[nH]c(N2CCC[C@@H]2C2CCC2)c(-c2nn[nH]n2)c1=O ZINC001606201540 1170110136 /nfs/dbraw/zinc/11/01/36/1170110136.db2.gz CIRPMUAMPDBTMH-SECBINFHSA-N 0 2 317.353 0.023 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1C[C@@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606378632 1170174691 /nfs/dbraw/zinc/17/46/91/1170174691.db2.gz SXIPFFJXFNEAKN-GVXVVHGQSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1C[C@@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606378632 1170174694 /nfs/dbraw/zinc/17/46/94/1170174694.db2.gz SXIPFFJXFNEAKN-GVXVVHGQSA-N 0 2 313.350 0.786 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccncc1Cl ZINC001606620561 1170244883 /nfs/dbraw/zinc/24/48/83/1170244883.db2.gz KZJOCFASFCZSMH-UHFFFAOYSA-N 0 2 317.696 0.355 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCC[N@@H+]1CC(=O)NCc1ccccn1 ZINC001606826830 1170304165 /nfs/dbraw/zinc/30/41/65/1170304165.db2.gz AEVUGTFWNVPVJK-INIZCTEOSA-N 0 2 321.377 0.654 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCC[N@H+]1CC(=O)NCc1ccccn1 ZINC001606826830 1170304170 /nfs/dbraw/zinc/30/41/70/1170304170.db2.gz AEVUGTFWNVPVJK-INIZCTEOSA-N 0 2 321.377 0.654 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCC[N@@H+]1Cc1[nH]nc2c1COCC2 ZINC001606830590 1170304185 /nfs/dbraw/zinc/30/41/85/1170304185.db2.gz POPGBCNIGDFVSQ-HNNXBMFYSA-N 0 2 309.366 0.938 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCC[N@H+]1Cc1[nH]nc2c1COCC2 ZINC001606830590 1170304190 /nfs/dbraw/zinc/30/41/90/1170304190.db2.gz POPGBCNIGDFVSQ-HNNXBMFYSA-N 0 2 309.366 0.938 20 0 DCADLN O=S(=O)(NCCCC(F)F)c1ccc(-c2nn[nH]n2)o1 ZINC001607065801 1170376924 /nfs/dbraw/zinc/37/69/24/1170376924.db2.gz HFYILSFDLNIHOI-UHFFFAOYSA-N 0 2 307.282 0.783 20 0 DCADLN C[S@](=O)CC[NH+]1CCN([C@@H](C(=O)[O-])c2cccnc2)CC1 ZINC001607385851 1170443232 /nfs/dbraw/zinc/44/32/32/1170443232.db2.gz XDAWRZVWPGUQPE-ASSNKEHSSA-N 0 2 311.407 0.203 20 0 DCADLN C[C@@H](C(=O)[O-])N(Cc1ccccc1)C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC001607417118 1170447858 /nfs/dbraw/zinc/44/78/58/1170447858.db2.gz ZXDAKTNYOJNJPE-GWCFXTLKSA-N 0 2 303.318 0.945 20 0 DCADLN Cc1c(C(=O)[O-])cc(CNC(=O)/C=C\C[NH+]2CCOCC2)n1C ZINC001607513158 1170471036 /nfs/dbraw/zinc/47/10/36/1170471036.db2.gz NHSYWZPVOWHFEE-ARJAWSKDSA-N 0 2 321.377 0.536 20 0 DCADLN Cc1cc(NC(=O)N2CCC[C@H]2C(=O)NCC(=O)[O-])c(C)c[nH+]1 ZINC001607682318 1170495050 /nfs/dbraw/zinc/49/50/50/1170495050.db2.gz HVNPXVARNARBFK-LBPRGKRZSA-N 0 2 320.349 0.317 20 0 DCADLN Cc1cccc2c1O[C@@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C2 ZINC001607866682 1170514717 /nfs/dbraw/zinc/51/47/17/1170514717.db2.gz XDBRGSKUJFSZDC-CHWSQXEVSA-N 0 2 315.329 0.834 20 0 DCADLN Cc1cccc2c1O[C@@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C2 ZINC001607866682 1170514720 /nfs/dbraw/zinc/51/47/20/1170514720.db2.gz XDBRGSKUJFSZDC-CHWSQXEVSA-N 0 2 315.329 0.834 20 0 DCADLN Cc1nc(CC[NH+]2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)cs1 ZINC001607954622 1170533468 /nfs/dbraw/zinc/53/34/68/1170533468.db2.gz MNVCXFKJDSHEKK-CHWSQXEVSA-N 0 2 323.418 0.859 20 0 DCADLN Cc1noc(CCC[NH+]2CCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC001608026230 1170552589 /nfs/dbraw/zinc/55/25/89/1170552589.db2.gz PANUISXFWSRRKY-RYUDHWBXSA-N 0 2 322.365 0.176 20 0 DCADLN Cn1c(C[NH+]2CCN(c3ncccn3)CC2)ccc1C(=O)[O-] ZINC001608087857 1170568410 /nfs/dbraw/zinc/56/84/10/1170568410.db2.gz QZWFGYOLZKEXOC-UHFFFAOYSA-N 0 2 301.350 0.836 20 0 DCADLN Cn1cc(C(=O)[O-])c(NC(=O)N[C@H]2CCn3cc[nH+]c3C2)n1 ZINC001608102727 1170572630 /nfs/dbraw/zinc/57/26/30/1170572630.db2.gz MXWVIVHZHDCVNC-QMMMGPOBSA-N 0 2 304.310 0.451 20 0 DCADLN Cn1ccc([C@@H]2CCC[N@H+](CN3C[C@@H](C(=O)[O-])OC3=O)C2)n1 ZINC001608127579 1170585406 /nfs/dbraw/zinc/58/54/06/1170585406.db2.gz ZSQWAVUXHXSWCU-PWSUYJOCSA-N 0 2 308.338 0.462 20 0 DCADLN Cn1ccc([C@@H]2CCC[N@@H+](CN3C[C@@H](C(=O)[O-])OC3=O)C2)n1 ZINC001608127579 1170585407 /nfs/dbraw/zinc/58/54/07/1170585407.db2.gz ZSQWAVUXHXSWCU-PWSUYJOCSA-N 0 2 308.338 0.462 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](C(=O)[O-])c1ccnn1C ZINC001608137126 1170589305 /nfs/dbraw/zinc/58/93/05/1170589305.db2.gz UIMDFBLKIXZNKW-KBVBSXBZSA-N 0 2 303.322 0.199 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@](C)(Cn1cccn1)C(=O)[O-] ZINC001608136610 1170590427 /nfs/dbraw/zinc/59/04/27/1170590427.db2.gz BIDOWJBKFDKKRP-HFAKWTLXSA-N 0 2 317.349 0.380 20 0 DCADLN Cn1cnc2cc(C[NH+]3CC(n4cc(C(=O)[O-])nn4)C3)ccc21 ZINC001608136368 1170590462 /nfs/dbraw/zinc/59/04/62/1170590462.db2.gz MMJVMQXVJVRBCM-UHFFFAOYSA-N 0 2 312.333 0.920 20 0 DCADLN NC(=O)[C@@H]([NH2+][C@H](Cc1cncs1)C(=O)[O-])c1ccccc1 ZINC001608227776 1170621024 /nfs/dbraw/zinc/62/10/24/1170621024.db2.gz DGNLUESOXLMMPN-NEPJUHHUSA-N 0 2 305.359 0.955 20 0 DCADLN NS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001608257906 1170634090 /nfs/dbraw/zinc/63/40/90/1170634090.db2.gz DNQCGZBUGCIEKR-LLVKDONJSA-N 0 2 316.354 0.777 20 0 DCADLN NS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001608257906 1170634096 /nfs/dbraw/zinc/63/40/96/1170634096.db2.gz DNQCGZBUGCIEKR-LLVKDONJSA-N 0 2 316.354 0.777 20 0 DCADLN O=C([O-])c1cccn(CC(=O)Nc2cccc3[nH+]ccn32)c1=O ZINC001608379413 1170664108 /nfs/dbraw/zinc/66/41/08/1170664108.db2.gz RAPAMUOKNYEKSL-UHFFFAOYSA-N 0 2 312.285 0.833 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cccc2c1OCO2 ZINC001608401611 1170669038 /nfs/dbraw/zinc/66/90/38/1170669038.db2.gz DMALZFQTLNTHEN-JTQLQIEISA-N 0 2 303.274 0.495 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@H+](Cc2cnc(N3CCOCC3)s2)C1 ZINC001608577477 1170706198 /nfs/dbraw/zinc/70/61/98/1170706198.db2.gz MJJFMWDJYUHFNC-ZDUSSCGKSA-N 0 2 315.370 0.978 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@@H+](Cc2cnc(N3CCOCC3)s2)C1 ZINC001608577477 1170706201 /nfs/dbraw/zinc/70/62/01/1170706201.db2.gz MJJFMWDJYUHFNC-ZDUSSCGKSA-N 0 2 315.370 0.978 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001608612626 1170722047 /nfs/dbraw/zinc/72/20/47/1170722047.db2.gz XYZADIZTENPICL-ZIAGYGMSSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001608612626 1170722050 /nfs/dbraw/zinc/72/20/50/1170722050.db2.gz XYZADIZTENPICL-ZIAGYGMSSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@@H]1CCN2C(=O)N(CCCCn3cc[nH+]c3)C(=O)[C@@H]2C1 ZINC001608640771 1170728534 /nfs/dbraw/zinc/72/85/34/1170728534.db2.gz ZIRQOLVLEMSURZ-NEPJUHHUSA-N 0 2 320.349 0.791 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCCN1c1cc(NC2CC2)[nH+]cn1 ZINC001608750959 1170750165 /nfs/dbraw/zinc/75/01/65/1170750165.db2.gz KPAMKVXTGHYJOR-JTQLQIEISA-N 0 2 305.338 0.221 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCCN1c1cc(NC2CC2)nc[nH+]1 ZINC001608750959 1170750169 /nfs/dbraw/zinc/75/01/69/1170750169.db2.gz KPAMKVXTGHYJOR-JTQLQIEISA-N 0 2 305.338 0.221 20 0 DCADLN CC(C)OC[C@H](O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001609100695 1170810781 /nfs/dbraw/zinc/81/07/81/1170810781.db2.gz BBVKIBUKKOOMTE-LLVKDONJSA-N 0 2 319.365 0.303 20 0 DCADLN C[C@@H]1[C@H](C)SCCN1Cn1cccc(-c2nn[nH]n2)c1=O ZINC001609180707 1170854327 /nfs/dbraw/zinc/85/43/27/1170854327.db2.gz QISCOWYROPHJBO-ZJUUUORDSA-N 0 2 306.395 0.812 20 0 DCADLN CCc1cnc(NS(=O)(=O)c2cncc(-c3nn[nH]n3)c2)o1 ZINC001609253888 1170898774 /nfs/dbraw/zinc/89/87/74/1170898774.db2.gz WSXWBBYCZZFYBL-UHFFFAOYSA-N 0 2 321.322 0.613 20 0 DCADLN CNC(=O)[C@H](CCSC)NCc1ccc(-c2nn[nH]n2)o1 ZINC001609288670 1170914140 /nfs/dbraw/zinc/91/41/40/1170914140.db2.gz FQWYEYWIVRVDPO-VIFPVBQESA-N 0 2 310.383 0.417 20 0 DCADLN CO[C@H]1CC[N@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C[C@H]1C ZINC001609314887 1170930711 /nfs/dbraw/zinc/93/07/11/1170930711.db2.gz WKYGHKOXFRHJJP-NEPJUHHUSA-N 0 2 318.381 0.651 20 0 DCADLN CO[C@H]1CC[N@@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C[C@H]1C ZINC001609314887 1170930714 /nfs/dbraw/zinc/93/07/14/1170930714.db2.gz WKYGHKOXFRHJJP-NEPJUHHUSA-N 0 2 318.381 0.651 20 0 DCADLN O=c1[nH]cccc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)o1 ZINC001609596034 1171038151 /nfs/dbraw/zinc/03/81/51/1171038151.db2.gz CDYOERTXSLWWPC-UHFFFAOYSA-N 0 2 308.279 0.361 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[NH+]2CCC(c3n[nH]c(=O)[nH]3)CC2)O1 ZINC001609828554 1171096322 /nfs/dbraw/zinc/09/63/22/1171096322.db2.gz KVPQJQJZFARQHG-JTQLQIEISA-N 0 2 308.338 0.837 20 0 DCADLN CN(C)c1nc(N)nc(C[NH2+][C@@H](C(=O)[O-])C2CCCCC2)n1 ZINC001610107288 1171157600 /nfs/dbraw/zinc/15/76/00/1171157600.db2.gz QKDFCHKEFFDBBE-LLVKDONJSA-N 0 2 308.386 0.643 20 0 DCADLN CN(C)S(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])[nH]2)C1 ZINC001610101877 1171158292 /nfs/dbraw/zinc/15/82/92/1171158292.db2.gz FJPKPMGBIMOSAP-LLVKDONJSA-N 0 2 315.395 0.569 20 0 DCADLN CN(C)S(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])[nH]2)C1 ZINC001610101877 1171158297 /nfs/dbraw/zinc/15/82/97/1171158297.db2.gz FJPKPMGBIMOSAP-LLVKDONJSA-N 0 2 315.395 0.569 20 0 DCADLN CNc1[nH+]cnc2c1cnn2Cc1noc(CCC(=O)[O-])n1 ZINC001610148480 1171177336 /nfs/dbraw/zinc/17/73/36/1171177336.db2.gz PHRBXYPKDDQNHK-UHFFFAOYSA-N 0 2 303.282 0.317 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1Cc1ccc(C(N)=O)cc1 ZINC001610164433 1171183580 /nfs/dbraw/zinc/18/35/80/1171183580.db2.gz OOSJKIXBIAITGD-QWHCGFSZSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CC[N@H+]1Cc1ccc(C(N)=O)cc1 ZINC001610164433 1171183585 /nfs/dbraw/zinc/18/35/85/1171183585.db2.gz OOSJKIXBIAITGD-QWHCGFSZSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1C[C@@H]1CC2(CC2)C(=O)O1 ZINC001610170544 1171185268 /nfs/dbraw/zinc/18/52/68/1171185268.db2.gz XEQKXXNMAYPLLQ-VWYCJHECSA-N 0 2 311.334 0.420 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1C[C@@H]1CC2(CC2)C(=O)O1 ZINC001610170544 1171185269 /nfs/dbraw/zinc/18/52/69/1171185269.db2.gz XEQKXXNMAYPLLQ-VWYCJHECSA-N 0 2 311.334 0.420 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CC[C@H](OC)C[C@H]2C(=O)[O-])nc1 ZINC001610212180 1171197326 /nfs/dbraw/zinc/19/73/26/1171197326.db2.gz GBEFZKPSXNKJLI-STQMWFEESA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CC[C@H](OC)C[C@H]2C(=O)[O-])nc1 ZINC001610212180 1171197332 /nfs/dbraw/zinc/19/73/32/1171197332.db2.gz GBEFZKPSXNKJLI-STQMWFEESA-N 0 2 308.334 0.932 20 0 DCADLN CO[C@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])c1ccc(O)cc1 ZINC001610222623 1171199814 /nfs/dbraw/zinc/19/98/14/1171199814.db2.gz XHBIKKMRZZHQQR-STQMWFEESA-N 0 2 319.317 0.546 20 0 DCADLN COCc1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001610270522 1171213624 /nfs/dbraw/zinc/21/36/24/1171213624.db2.gz ALGSKGYKTACTJU-CYBMUJFWSA-N 0 2 303.318 0.913 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1OC ZINC001610314341 1171219415 /nfs/dbraw/zinc/21/94/15/1171219415.db2.gz NYNMYPFPIVRWCQ-LLVKDONJSA-N 0 2 319.317 0.784 20 0 DCADLN Cc1ccccc1[C@@H](C(=O)[O-])[N@@H+]1CCn2nnc(CO)c2C1 ZINC001610529842 1171248161 /nfs/dbraw/zinc/24/81/61/1171248161.db2.gz SPDNFICCZNDKTH-AWEZNQCLSA-N 0 2 302.334 0.720 20 0 DCADLN Cc1ccccc1[C@@H](C(=O)[O-])[N@H+]1CCn2nnc(CO)c2C1 ZINC001610529842 1171248163 /nfs/dbraw/zinc/24/81/63/1171248163.db2.gz SPDNFICCZNDKTH-AWEZNQCLSA-N 0 2 302.334 0.720 20 0 DCADLN Cn1c[nH+]cc1CC(=O)NC[C@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC001610611434 1171267632 /nfs/dbraw/zinc/26/76/32/1171267632.db2.gz IAUGAZSUKITBMY-LBPRGKRZSA-N 0 2 317.345 0.728 20 0 DCADLN [NH3+]CC(F)(F)CNS(=O)(=O)Cc1ccccc1C(=O)[O-] ZINC001610645443 1171280097 /nfs/dbraw/zinc/28/00/97/1171280097.db2.gz YPKVSHLWVCGNGH-UHFFFAOYSA-N 0 2 308.306 0.398 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CN1CCc2ccccc21 ZINC001610664363 1171285567 /nfs/dbraw/zinc/28/55/67/1171285567.db2.gz ZZLFQWSCHAUEGD-ZDUSSCGKSA-N 0 2 314.345 0.584 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CN1CCc2ccccc21 ZINC001610664363 1171285576 /nfs/dbraw/zinc/28/55/76/1171285576.db2.gz ZZLFQWSCHAUEGD-ZDUSSCGKSA-N 0 2 314.345 0.584 20 0 DCADLN O=C([O-])[C@H]1CCCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001610712088 1171296474 /nfs/dbraw/zinc/29/64/74/1171296474.db2.gz VSKWBVHEYUAFNE-JTQLQIEISA-N 0 2 316.317 0.596 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001610734255 1171302252 /nfs/dbraw/zinc/30/22/52/1171302252.db2.gz ZBIDVKYBVRDAJG-STQMWFEESA-N 0 2 316.317 0.317 20 0 DCADLN O=C(C=Cc1ccc2c(c1)NC(=O)CO2)NCc1n[nH]c(=O)[nH]1 ZINC001621869117 1171416594 /nfs/dbraw/zinc/41/65/94/1171416594.db2.gz OFOLWHPLFIGQAT-DUXPYHPUSA-N 0 2 315.289 0.171 20 0 DCADLN C[C@H](CNC(=O)c1c[n-]n2c1nccc2=O)Cn1cc[nH+]c1 ZINC001628452788 1171526832 /nfs/dbraw/zinc/52/68/32/1171526832.db2.gz CTCITZRPTPQFID-SNVBAGLBSA-N 0 2 300.322 0.285 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2ncoc2-c2ccon2)C(=O)N1C ZINC001633414388 1171641109 /nfs/dbraw/zinc/64/11/09/1171641109.db2.gz MKVXATPRRUGBHT-ZCFIWIBFSA-N 0 2 305.250 0.257 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1coc2c1C(=O)NCCC2 ZINC001633467903 1171643357 /nfs/dbraw/zinc/64/33/57/1171643357.db2.gz FPNZUUSCXZKPEJ-UHFFFAOYSA-N 0 2 322.346 0.600 20 0 DCADLN C[C@@H](NC(=O)C1CCCC1)C(=O)NCCc1n[nH]c(=S)o1 ZINC001634590774 1171678093 /nfs/dbraw/zinc/67/80/93/1171678093.db2.gz FMNIULKIVBNWBM-MRVPVSSYSA-N 0 2 312.395 0.712 20 0 DCADLN Cn1cc(C(=O)N[C@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)nn1 ZINC001635455494 1171703845 /nfs/dbraw/zinc/70/38/45/1171703845.db2.gz IGGQYJZZSIZJTF-SNVBAGLBSA-N 0 2 313.321 0.353 20 0 DCADLN COCCONC(=O)CSc1ccc(S(N)(=O)=O)cc1 ZINC001635736858 1171712965 /nfs/dbraw/zinc/71/29/65/1171712965.db2.gz VUTPWKPONJOSBB-UHFFFAOYSA-N 0 2 320.392 0.120 20 0 DCADLN NC(=O)CONC(=O)c1cc(Br)c(F)cc1O ZINC001637475537 1171768014 /nfs/dbraw/zinc/76/80/14/1171768014.db2.gz UCQWZXJFPZROGN-UHFFFAOYSA-N 0 2 307.075 0.441 20 0 DCADLN CCCSCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001639373585 1171834104 /nfs/dbraw/zinc/83/41/04/1171834104.db2.gz KYPKNJHASCSDHV-UHFFFAOYSA-N 0 2 306.413 0.109 20 0 DCADLN C=CC(=O)Nc1ccc(O)c(C(=O)N=c2ccn(C)c(=O)[nH]2)c1 ZINC001640532321 1171882557 /nfs/dbraw/zinc/88/25/57/1171882557.db2.gz ZQKONULCXZGYBC-UHFFFAOYSA-N 0 2 314.301 0.697 20 0 DCADLN O=C(N[C@@H](c1nn[nH]n1)c1ccccc1)c1cncc2[nH]cnc21 ZINC001641601670 1171922242 /nfs/dbraw/zinc/92/22/42/1171922242.db2.gz HGSZQAONFMVCHO-GFCCVEGCSA-N 0 2 320.316 0.990 20 0 DCADLN Cc1ccc(-c2cc(C(=O)NCc3nnc(CO)[nH]3)[nH]n2)o1 ZINC001641941191 1171933481 /nfs/dbraw/zinc/93/34/81/1171933481.db2.gz IKUIFYYWXZWEAJ-UHFFFAOYSA-N 0 2 302.294 0.519 20 0 DCADLN O=c1[nH]nc(CN2CCN(c3cccc4[nH]c(=O)[nH]c43)CC2)[nH]1 ZINC001644616628 1172010981 /nfs/dbraw/zinc/01/09/81/1172010981.db2.gz PQOWCZSRFNXIIV-UHFFFAOYSA-N 0 2 315.337 0.414 20 0 DCADLN COCC[C@H](NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)C(=O)[O-] ZINC001647326824 1172813863 /nfs/dbraw/zinc/81/38/63/1172813863.db2.gz KCVYGVSREHKVTB-STQMWFEESA-N 0 2 314.382 0.236 20 0 DCADLN C[C@@H]1CN(CC(C)(C)O)CC[N@@H+]1C[C@H](O)CCC1(O)CCC1 ZINC001647453212 1172905853 /nfs/dbraw/zinc/90/58/53/1172905853.db2.gz RGEMJUUMUDLEAW-HUUCEWRRSA-N 0 2 314.470 0.820 20 0 DCADLN CS(=O)(=O)C[C@@H]1CCCN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001649818174 1173243363 /nfs/dbraw/zinc/24/33/63/1173243363.db2.gz OLXDBSDNFPHUNK-LLVKDONJSA-N 0 2 324.406 0.279 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2C[C@H](C(=O)[O-])CC[C@@H]2C)CCO1 ZINC001650320196 1173564821 /nfs/dbraw/zinc/56/48/21/1173564821.db2.gz BWRSWXXLWTYHGV-YNEHKIRRSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2C[C@H](C(=O)[O-])CC[C@@H]2C)CCO1 ZINC001650320196 1173564822 /nfs/dbraw/zinc/56/48/22/1173564822.db2.gz BWRSWXXLWTYHGV-YNEHKIRRSA-N 0 2 313.398 0.602 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001666699375 1174625687 /nfs/dbraw/zinc/62/56/87/1174625687.db2.gz YTJLHCAMHXELAS-RUYJGKKWSA-N 0 2 309.370 0.184 20 0 DCADLN Cc1ccnc2c1cccc2S(=O)(=O)Nc1nnn(C)n1 ZINC001671309951 1175008294 /nfs/dbraw/zinc/00/82/94/1175008294.db2.gz MGJONLRNCRNIAJ-UHFFFAOYSA-N 0 2 304.335 0.868 20 0 DCADLN C=C/C(C)=C\CC(=O)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001672019370 1175064065 /nfs/dbraw/zinc/06/40/65/1175064065.db2.gz XOWJRSUZQNFGCX-WQTJRACASA-N 0 2 321.381 0.086 20 0 DCADLN C=C/C(C)=C\CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001672019370 1175064073 /nfs/dbraw/zinc/06/40/73/1175064073.db2.gz XOWJRSUZQNFGCX-WQTJRACASA-N 0 2 321.381 0.086 20 0 DCADLN C[C@]1(C(=O)NCCCNC(=O)C(F)C(F)(F)F)CCOC1 ZINC001687051867 1176269264 /nfs/dbraw/zinc/26/92/64/1176269264.db2.gz VWDDWSUPFFTNJQ-KCJUWKMLSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@]1(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)CCOC1 ZINC001687051867 1176269267 /nfs/dbraw/zinc/26/92/67/1176269267.db2.gz VWDDWSUPFFTNJQ-KCJUWKMLSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@@]1(C(=O)NCCCNC(=O)C(F)C(F)(F)F)CCOC1 ZINC001687051869 1176268630 /nfs/dbraw/zinc/26/86/30/1176268630.db2.gz VWDDWSUPFFTNJQ-LDYMZIIASA-N 0 2 314.279 0.936 20 0 DCADLN C[C@@]1(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)CCOC1 ZINC001687051869 1176268633 /nfs/dbraw/zinc/26/86/33/1176268633.db2.gz VWDDWSUPFFTNJQ-LDYMZIIASA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001689157844 1176717024 /nfs/dbraw/zinc/71/70/24/1176717024.db2.gz XQNIZISOAANLHE-DTWKUNHWSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CC=CC1)[C@@H](F)C(F)(F)F ZINC001689157844 1176717031 /nfs/dbraw/zinc/71/70/31/1176717031.db2.gz XQNIZISOAANLHE-DTWKUNHWSA-N 0 2 312.263 0.446 20 0 DCADLN COCCCCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001692119179 1177419112 /nfs/dbraw/zinc/41/91/12/1177419112.db2.gz AFRIXTIHEDRLRH-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CCCCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001699810099 1178294609 /nfs/dbraw/zinc/29/46/09/1178294609.db2.gz KSOSFVDYTSBWLG-AWEZNQCLSA-N 0 2 311.386 0.408 20 0 DCADLN CCCCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001699810099 1178294612 /nfs/dbraw/zinc/29/46/12/1178294612.db2.gz KSOSFVDYTSBWLG-AWEZNQCLSA-N 0 2 311.386 0.408 20 0 DCADLN O=C(C=Cc1ccco1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001713553321 1180916817 /nfs/dbraw/zinc/91/68/17/1180916817.db2.gz KWQFNYZIOJEGME-AATRIKPKSA-N 0 2 317.349 0.897 20 0 DCADLN O=C(C=Cc1ccco1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001713553321 1180916820 /nfs/dbraw/zinc/91/68/20/1180916820.db2.gz KWQFNYZIOJEGME-AATRIKPKSA-N 0 2 317.349 0.897 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)nc1 ZINC001716015688 1182145733 /nfs/dbraw/zinc/14/57/33/1182145733.db2.gz LIDXCRJJRZUDPW-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)nc1 ZINC001716015688 1182145736 /nfs/dbraw/zinc/14/57/36/1182145736.db2.gz LIDXCRJJRZUDPW-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN CN(CCC[NH2+]Cc1ncccn1)C(=O)CCc1nc[nH]n1 ZINC001716534556 1182428052 /nfs/dbraw/zinc/42/80/52/1182428052.db2.gz ITCMZSSIFISLNN-UHFFFAOYSA-N 0 2 303.370 0.166 20 0 DCADLN CCOCCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001720242303 1183525955 /nfs/dbraw/zinc/52/59/55/1183525955.db2.gz FVBPBZWGFCVTMB-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN CCOCCC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001720242303 1183525957 /nfs/dbraw/zinc/52/59/57/1183525957.db2.gz FVBPBZWGFCVTMB-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)c1ccn(C)c1 ZINC001720256088 1183534149 /nfs/dbraw/zinc/53/41/49/1183534149.db2.gz WISJRTNHSJFCEY-UHFFFAOYSA-N 0 2 303.366 0.535 20 0 DCADLN CO[C@@H](C(=O)NCCCNC(=O)Cc1[nH]c[nH+]c1C)C(C)C ZINC001720321251 1183562565 /nfs/dbraw/zinc/56/25/65/1183562565.db2.gz UKPHBBBQORDLDK-CQSZACIVSA-N 0 2 310.398 0.554 20 0 DCADLN CCOCC(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001721784447 1184036136 /nfs/dbraw/zinc/03/61/36/1184036136.db2.gz LDWGKPSEWJQSQF-TYRPZCRBSA-N 0 2 300.252 0.712 20 0 DCADLN CCOCC(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001721784447 1184036143 /nfs/dbraw/zinc/03/61/43/1184036143.db2.gz LDWGKPSEWJQSQF-TYRPZCRBSA-N 0 2 300.252 0.712 20 0 DCADLN CCc1noc(CN(C)CCCNC(=O)C[C@H]2CCC(=O)N2)n1 ZINC001731271290 1185232513 /nfs/dbraw/zinc/23/25/13/1185232513.db2.gz GEDARWMQDGRCSO-LLVKDONJSA-N 0 2 323.397 0.239 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)NCCC[N@@H+](C)[C@@H]1CCN(C)C1=O ZINC001731280559 1185240036 /nfs/dbraw/zinc/24/00/36/1185240036.db2.gz PCMKZLPFLNASGX-CQSZACIVSA-N 0 2 321.425 0.022 20 0 DCADLN C[C@H](NC(=O)CCOCC1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001734113550 1186548616 /nfs/dbraw/zinc/54/86/16/1186548616.db2.gz QYNBOWYAISZLFL-JTQLQIEISA-N 0 2 323.397 0.264 20 0 DCADLN CC[C@H](NC(C)=O)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001736784994 1187104074 /nfs/dbraw/zinc/10/40/74/1187104074.db2.gz GUDRNEGVRKLUED-YUMQZZPRSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@H](NC(C)=O)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001736784994 1187104078 /nfs/dbraw/zinc/10/40/78/1187104078.db2.gz GUDRNEGVRKLUED-YUMQZZPRSA-N 0 2 315.267 0.034 20 0 DCADLN Cn1cncc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001742909638 1188075426 /nfs/dbraw/zinc/07/54/26/1188075426.db2.gz DTBLKXDMSYMOOH-MRVPVSSYSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1cncc1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001742909638 1188075430 /nfs/dbraw/zinc/07/54/30/1188075430.db2.gz DTBLKXDMSYMOOH-MRVPVSSYSA-N 0 2 310.251 0.557 20 0 DCADLN CCCSCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001755376093 1189094679 /nfs/dbraw/zinc/09/46/79/1189094679.db2.gz WRWARUYBUDVONI-VIFPVBQESA-N 0 2 313.427 0.590 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N(C)CCCNC(=O)Cn2cc[nH+]c2)C1 ZINC001758400223 1189870729 /nfs/dbraw/zinc/87/07/29/1189870729.db2.gz BKWXOLIXLRDENF-CQSZACIVSA-N 0 2 321.425 0.190 20 0 DCADLN O=c1ncnc2n(Cc3nc(C4CCOCC4)no3)[nH]cc1-2 ZINC001771653685 1190460008 /nfs/dbraw/zinc/46/00/08/1190460008.db2.gz OEBMIIVFHUCOAM-UHFFFAOYSA-N 0 2 302.294 0.397 20 0 DCADLN C/C=C(\C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001042449555 751656538 /nfs/dbraw/zinc/65/65/38/751656538.db2.gz GQDCFYCXLPIDJD-DKCNVOGISA-N 0 2 312.263 0.543 20 0 DCADLN C/C=C(\C)C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001042449555 751656540 /nfs/dbraw/zinc/65/65/40/751656540.db2.gz GQDCFYCXLPIDJD-DKCNVOGISA-N 0 2 312.263 0.543 20 0 DCADLN CCc1ocnc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042554469 751742872 /nfs/dbraw/zinc/74/28/72/751742872.db2.gz HBICTPBHNGGWKZ-UHFFFAOYSA-N 0 2 306.326 0.017 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cc(C)n1 ZINC001042797741 751916570 /nfs/dbraw/zinc/91/65/70/751916570.db2.gz XLKIFZZAVDGCQU-UHFFFAOYSA-N 0 2 316.365 0.479 20 0 DCADLN CC(C)[C@@H](C)C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001083213017 747212558 /nfs/dbraw/zinc/21/25/58/747212558.db2.gz KOMOTLSWJRFHBQ-GRYCIOLGSA-N 0 2 323.397 0.214 20 0 DCADLN CC(C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043373504 752200351 /nfs/dbraw/zinc/20/03/51/752200351.db2.gz AIGKIFMEZCHOPJ-ZETCQYMHSA-N 0 2 300.252 0.232 20 0 DCADLN CC(C)C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043373504 752200356 /nfs/dbraw/zinc/20/03/56/752200356.db2.gz AIGKIFMEZCHOPJ-ZETCQYMHSA-N 0 2 300.252 0.232 20 0 DCADLN CC(C)CC(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043373590 752200823 /nfs/dbraw/zinc/20/08/23/752200823.db2.gz BLKJXRGTMCGXAD-VIFPVBQESA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)CC(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043373590 752200827 /nfs/dbraw/zinc/20/08/27/752200827.db2.gz BLKJXRGTMCGXAD-VIFPVBQESA-N 0 2 314.279 0.622 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CCC(C)C ZINC001211840884 748707099 /nfs/dbraw/zinc/70/70/99/748707099.db2.gz APBPKYKQOWBVMI-GHMZBOCLSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccns2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071545571 761947246 /nfs/dbraw/zinc/94/72/46/761947246.db2.gz BGMCFEAQTPLMNY-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088486296 749494311 /nfs/dbraw/zinc/49/43/11/749494311.db2.gz CISYZMYETVNVCL-APPZFPTMSA-N 0 2 306.326 0.200 20 0 DCADLN Cc1cc(C)nc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106141285 750978254 /nfs/dbraw/zinc/97/82/54/750978254.db2.gz AOTWMXRHWBPKRD-IUCAKERBSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1cc(C)nc(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001106141285 750978259 /nfs/dbraw/zinc/97/82/59/750978259.db2.gz AOTWMXRHWBPKRD-IUCAKERBSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1cc(C)nc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106141283 750978381 /nfs/dbraw/zinc/97/83/81/750978381.db2.gz AOTWMXRHWBPKRD-DTWKUNHWSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1cc(C)nc(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001106141283 750978390 /nfs/dbraw/zinc/97/83/90/750978390.db2.gz AOTWMXRHWBPKRD-DTWKUNHWSA-N 0 2 324.278 0.883 20 0 DCADLN O=C(NC[C@@H](O)CNc1cc(F)ncn1)C(F)C(F)(F)F ZINC001106142467 750980207 /nfs/dbraw/zinc/98/02/07/750980207.db2.gz QIJUWPHKJALHRE-XNCJUZBTSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](O)CNc1cc(F)ncn1)[C@H](F)C(F)(F)F ZINC001106142467 750980210 /nfs/dbraw/zinc/98/02/10/750980210.db2.gz QIJUWPHKJALHRE-XNCJUZBTSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(Cc1c[nH+]c[nH]1)N1CC[C@H](CCNC(=O)c2cn[nH]c2)C1 ZINC001060463630 750998638 /nfs/dbraw/zinc/99/86/38/750998638.db2.gz ZSTJSSIBYCPZDF-NSHDSACASA-N 0 2 316.365 0.344 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)Cc2cnoc2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071760987 762117248 /nfs/dbraw/zinc/11/72/48/762117248.db2.gz SEWRNKWVOOMEMJ-ONGXEEELSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)Cc2cnoc2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071760987 762117254 /nfs/dbraw/zinc/11/72/54/762117254.db2.gz SEWRNKWVOOMEMJ-ONGXEEELSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1CCC[N@@H+]1CC(=O)N(C)C1C[NH+](CCc2ccnn2C)C1 ZINC001043870419 752433666 /nfs/dbraw/zinc/43/36/66/752433666.db2.gz USMPWTLZKXJDQT-CQSZACIVSA-N 0 2 319.453 0.590 20 0 DCADLN CC1CC(C(=O)NC[C@]2(C)CN(Cc3n[nH]c(=O)[nH]3)CCO2)C1 ZINC001107857792 752434333 /nfs/dbraw/zinc/43/43/33/752434333.db2.gz DGRAPSSLILBKFC-QKFMDRJYSA-N 0 2 323.397 0.264 20 0 DCADLN CCc1c(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001043871750 752434775 /nfs/dbraw/zinc/43/47/75/752434775.db2.gz SJEPKXBJOJTESD-UHFFFAOYSA-N 0 2 318.381 0.368 20 0 DCADLN CCc1noc(C)c1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044286271 752664690 /nfs/dbraw/zinc/66/46/90/752664690.db2.gz OFEUIRLHNOSICJ-UHFFFAOYSA-N 0 2 320.353 0.326 20 0 DCADLN Cc1occc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046130590 753497877 /nfs/dbraw/zinc/49/78/77/753497877.db2.gz QFIFQOXANJTNOC-AWEZNQCLSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1ncsc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046161008 753519243 /nfs/dbraw/zinc/51/92/43/753519243.db2.gz OYOMWVGKCWIQRV-ZDUSSCGKSA-N 0 2 322.394 0.670 20 0 DCADLN C[C@]1(NC(=O)c2cncs2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046171105 753526114 /nfs/dbraw/zinc/52/61/14/753526114.db2.gz BNRDGQNHQQREDK-LBPRGKRZSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C(F)C(F)(F)F)C(F)F ZINC001061173744 753542201 /nfs/dbraw/zinc/54/22/01/753542201.db2.gz YYDKRZBHCXUMCP-NTSWFWBYSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)[C@@H](F)C(F)(F)F)C(F)F ZINC001061173744 753542206 /nfs/dbraw/zinc/54/22/06/753542206.db2.gz YYDKRZBHCXUMCP-NTSWFWBYSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1nncs1)C2)c1cnon1 ZINC001047166377 754114440 /nfs/dbraw/zinc/11/44/40/754114440.db2.gz ANTZTRZWTWRJIE-AEJSXWLSSA-N 0 2 320.378 0.704 20 0 DCADLN CC(C)C(=O)N1CCOC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001061639158 754170411 /nfs/dbraw/zinc/17/04/11/754170411.db2.gz MEZHRQRSKLIGCD-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)N1CCOC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001061639158 754170416 /nfs/dbraw/zinc/17/04/16/754170416.db2.gz MEZHRQRSKLIGCD-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1CC1(F)F ZINC001097043193 755584225 /nfs/dbraw/zinc/58/42/25/755584225.db2.gz KTRZDEDFMPUCQQ-XAVMHZPKSA-N 0 2 313.308 0.387 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)O1 ZINC001097885216 755921665 /nfs/dbraw/zinc/92/16/65/755921665.db2.gz RJTSFVYPUBVSGY-PZWNZHSQSA-N 0 2 321.381 0.299 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1(F)CCCC1 ZINC001080001833 756084946 /nfs/dbraw/zinc/08/49/46/756084946.db2.gz YYAMBAWHZKHZFR-NXEZZACHSA-N 0 2 311.361 0.729 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001080161380 756117848 /nfs/dbraw/zinc/11/78/48/756117848.db2.gz ZMPSYZRTGVISKU-LPTSXCQYSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001080161380 756117851 /nfs/dbraw/zinc/11/78/51/756117851.db2.gz ZMPSYZRTGVISKU-LPTSXCQYSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001053644383 756132314 /nfs/dbraw/zinc/13/23/14/756132314.db2.gz AOTSLOXNPDBKTL-DCAQKATOSA-N 0 2 321.381 0.016 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2COC3(C[NH+](CCCF)C3)C2)c1[O-] ZINC001053774588 756196405 /nfs/dbraw/zinc/19/64/05/756196405.db2.gz GJSLQCBVFXWNEG-JTQLQIEISA-N 0 2 312.345 0.356 20 0 DCADLN COC[C@H](C)[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774844 756197353 /nfs/dbraw/zinc/19/73/53/756197353.db2.gz KWBFAGWKBRBADI-GXSJLCMTSA-N 0 2 324.381 0.032 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053989592 756319167 /nfs/dbraw/zinc/31/91/67/756319167.db2.gz JPIRTTCIRGQFNB-VIFPVBQESA-N 0 2 309.370 0.016 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@H]([NH2+]Cc3ccon3)[C@@H](C)C2)c1[O-] ZINC001054570639 756545375 /nfs/dbraw/zinc/54/53/75/756545375.db2.gz INZHQSYRLZAVBO-KWQFWETISA-N 0 2 305.338 0.662 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccccc1 ZINC001081296514 756562574 /nfs/dbraw/zinc/56/25/74/756562574.db2.gz UODXEJZRBIDYOQ-VXGBXAGGSA-N 0 2 317.349 0.140 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084253488 757739222 /nfs/dbraw/zinc/73/92/22/757739222.db2.gz HKXDWQZJTOADPR-BLDJZWNYSA-N 0 2 303.366 0.509 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084253488 757739231 /nfs/dbraw/zinc/73/92/31/757739231.db2.gz HKXDWQZJTOADPR-BLDJZWNYSA-N 0 2 303.366 0.509 20 0 DCADLN Cc1occc1C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001050806178 758091564 /nfs/dbraw/zinc/09/15/64/758091564.db2.gz RTWUQBIYUJYRSC-SNVBAGLBSA-N 0 2 321.337 0.043 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCO[C@@H](C[NH2+]Cc3ccon3)C2)c1[O-] ZINC001051547919 758753491 /nfs/dbraw/zinc/75/34/91/758753491.db2.gz RSLUNEALDKZZAA-NSHDSACASA-N 0 2 321.337 0.043 20 0 DCADLN CC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CCCC1 ZINC001090471169 762659814 /nfs/dbraw/zinc/65/98/14/762659814.db2.gz ZBLJEGPRPUTZIT-WDEREUQCSA-N 0 2 323.397 0.142 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cncs1 ZINC001085503996 758969580 /nfs/dbraw/zinc/96/95/80/758969580.db2.gz MCEZRSWRIHPEOL-MRVPVSSYSA-N 0 2 308.367 0.313 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001085522094 758997744 /nfs/dbraw/zinc/99/77/44/758997744.db2.gz DRLFSNUCLYSBTG-JTQLQIEISA-N 0 2 319.369 0.197 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001085536085 759015143 /nfs/dbraw/zinc/01/51/43/759015143.db2.gz VGLLXGACSOFIJT-MEWQQHAOSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CCC1(C)C ZINC001085774624 759294898 /nfs/dbraw/zinc/29/48/98/759294898.db2.gz HIKZXIWNJBHFRR-QWRGUYRKSA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829209 759573631 /nfs/dbraw/zinc/57/36/31/759573631.db2.gz HBCOFFCDZAMABW-QMMMGPOBSA-N 0 2 302.268 0.480 20 0 DCADLN CC(C)C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057829209 759573638 /nfs/dbraw/zinc/57/36/38/759573638.db2.gz HBCOFFCDZAMABW-QMMMGPOBSA-N 0 2 302.268 0.480 20 0 DCADLN COc1nc(C)cc(NC[C@H](C)NC(=O)Cc2[nH]c[nH+]c2C)n1 ZINC001108468929 760669501 /nfs/dbraw/zinc/66/95/01/760669501.db2.gz SOVGMHUEPBYIHE-JTQLQIEISA-N 0 2 318.381 0.984 20 0 DCADLN CC(=O)NC1(CNC(=O)C(F)C(F)(F)F)CCOCC1 ZINC001069927785 760978303 /nfs/dbraw/zinc/97/83/03/760978303.db2.gz OSKWWXXZEHAFCK-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN CC(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CCOCC1 ZINC001069927785 760978309 /nfs/dbraw/zinc/97/83/09/760978309.db2.gz OSKWWXXZEHAFCK-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109096060 761250311 /nfs/dbraw/zinc/25/03/11/761250311.db2.gz WAACUZAEFXWCMS-UTLUCORTSA-N 0 2 321.381 0.347 20 0 DCADLN CCOC(=O)c1nc2ccsc2c(N[C@@H](C)C(=O)NC)n1 ZINC001169980450 761279287 /nfs/dbraw/zinc/27/92/87/761279287.db2.gz VKIGXYRNPOHFDQ-ZETCQYMHSA-N 0 2 308.363 0.836 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cnccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071321710 761777877 /nfs/dbraw/zinc/77/78/77/761777877.db2.gz NFIXDKFFOUQPLY-NXEZZACHSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccon2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071361943 761795856 /nfs/dbraw/zinc/79/58/56/761795856.db2.gz XHSQXYYOTRZLEF-BDAKNGLRSA-N 0 2 306.326 0.281 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cnns2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071366261 761798406 /nfs/dbraw/zinc/79/84/06/761798406.db2.gz LLZNOWHSHWDBTF-YUMQZZPRSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cnsn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071371808 761802944 /nfs/dbraw/zinc/80/29/44/761802944.db2.gz UKJPRESTXVKXBX-HTQZYQBOSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C2CC=CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071404829 761824407 /nfs/dbraw/zinc/82/44/07/761824407.db2.gz VEAHRWLUMBSDSY-PWSUYJOCSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C2CC=CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071404829 761824417 /nfs/dbraw/zinc/82/44/17/761824417.db2.gz VEAHRWLUMBSDSY-PWSUYJOCSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C2=CCOCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071458786 761875179 /nfs/dbraw/zinc/87/51/79/761875179.db2.gz WPXZZSXWFDCBOJ-ZYHUDNBSSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C2=CCOCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071458786 761875193 /nfs/dbraw/zinc/87/51/93/761875193.db2.gz WPXZZSXWFDCBOJ-ZYHUDNBSSA-N 0 2 321.381 0.326 20 0 DCADLN CCn1cc(C[NH2+]CCNC(=O)c2ccn3c[nH+]cc3c2)nn1 ZINC001130874935 763548562 /nfs/dbraw/zinc/54/85/62/763548562.db2.gz VJCVDDRLLVNZMI-UHFFFAOYSA-N 0 2 313.365 0.465 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)COCC2CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131864358 764093232 /nfs/dbraw/zinc/09/32/32/764093232.db2.gz BBOHCRBDCLWIMD-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)COCC2CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131864358 764093242 /nfs/dbraw/zinc/09/32/42/764093242.db2.gz BBOHCRBDCLWIMD-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)CC2(O)CCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132017143 764198614 /nfs/dbraw/zinc/19/86/14/764198614.db2.gz MHKKPGWADXAXAR-QWRGUYRKSA-N 0 2 323.397 0.285 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)CC2(O)CCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132017143 764198619 /nfs/dbraw/zinc/19/86/19/764198619.db2.gz MHKKPGWADXAXAR-QWRGUYRKSA-N 0 2 323.397 0.285 20 0 DCADLN COCC1(C(=O)N[C@@H]2CC[C@H](C)[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001132172795 764284917 /nfs/dbraw/zinc/28/49/17/764284917.db2.gz BIXJVUZXHZLQJX-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN COCC1(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001132172795 764284919 /nfs/dbraw/zinc/28/49/19/764284919.db2.gz BIXJVUZXHZLQJX-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C2(CF)CC2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001132301435 764364550 /nfs/dbraw/zinc/36/45/50/764364550.db2.gz ZVQRGPPKATZSLK-ZJUUUORDSA-N 0 2 311.361 0.729 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C[C@H](C)Nc1ncnc2c1nnn2C ZINC001115613586 765768798 /nfs/dbraw/zinc/76/87/98/765768798.db2.gz XNKZIHZGOBYMJQ-VHSXEESVSA-N 0 2 321.385 0.442 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCC[C@H](C)CO ZINC001116395407 765867937 /nfs/dbraw/zinc/86/79/37/765867937.db2.gz IXLOQJMCWVXDBJ-JTQLQIEISA-N 0 2 306.366 0.398 20 0 DCADLN Nc1cnnc(NNS(=O)(=O)CCOCC2CC2)c1Cl ZINC001118061168 766213248 /nfs/dbraw/zinc/21/32/48/766213248.db2.gz PZKMNWNNOJRWAH-UHFFFAOYSA-N 0 2 321.790 0.385 20 0 DCADLN Cc1noc(C[NH2+][C@@H]2CC[C@H](CNC(=O)c3nnc[nH]3)C2)n1 ZINC001086832405 766271919 /nfs/dbraw/zinc/27/19/19/766271919.db2.gz QUYHMMSONZWBLK-VHSXEESVSA-N 0 2 305.342 0.184 20 0 DCADLN Cc1noc(C[NH2+][C@@H]2CC[C@H](CNC(=O)c3ncn[nH]3)C2)n1 ZINC001086832405 766271921 /nfs/dbraw/zinc/27/19/21/766271921.db2.gz QUYHMMSONZWBLK-VHSXEESVSA-N 0 2 305.342 0.184 20 0 DCADLN O=C(c1ccc2n[nH]nc2n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001118281061 766276958 /nfs/dbraw/zinc/27/69/58/766276958.db2.gz VEVRUKLDKHPFJA-ZETCQYMHSA-N 0 2 314.309 0.196 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC001118574339 766362748 /nfs/dbraw/zinc/36/27/48/766362748.db2.gz FYALKTPAMHTAJX-MRXNPFEDSA-N 0 2 322.361 0.947 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCC=CCO)=N2)cc1 ZINC001146720920 767512711 /nfs/dbraw/zinc/51/27/11/767512711.db2.gz SIWGXGCHFLMMMS-NSCUHMNNSA-N 0 2 303.318 0.865 20 0 DCADLN COC(=O)N(C)CCCC(=O)NCCc1n[nH]c(=S)o1 ZINC001138519721 768422520 /nfs/dbraw/zinc/42/25/20/768422520.db2.gz QPCOSNAJUYEANF-UHFFFAOYSA-N 0 2 302.356 0.495 20 0 DCADLN O=C([O-])COc1ccc(C[N@@H+]2C[C@@H]3COC[C@H](C2)C3=O)cc1 ZINC001138927871 768446645 /nfs/dbraw/zinc/44/66/45/768446645.db2.gz AUHLYSHHRBPCOC-BETUJISGSA-N 0 2 305.330 0.797 20 0 DCADLN O=C([O-])COc1ccc(C[N@H+]2C[C@@H]3COC[C@H](C2)C3=O)cc1 ZINC001138927871 768446648 /nfs/dbraw/zinc/44/66/48/768446648.db2.gz AUHLYSHHRBPCOC-BETUJISGSA-N 0 2 305.330 0.797 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149294488 768726640 /nfs/dbraw/zinc/72/66/40/768726640.db2.gz UTUFRGUNBINEEO-DKRCXCIFSA-N 0 2 323.397 0.574 20 0 DCADLN O=C(NCCNc1ncnc2[nH]cnc21)c1cccc2n[nH]nc21 ZINC001093897175 768774652 /nfs/dbraw/zinc/77/46/52/768774652.db2.gz MZMGAIXRDFLFRH-UHFFFAOYSA-N 0 2 323.320 0.418 20 0 DCADLN CCC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCCO2)CC1 ZINC001150268735 769052474 /nfs/dbraw/zinc/05/24/74/769052474.db2.gz XFTBAEXNOLJNMR-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=C([O-])COc1ccccc1C[N@@H+]1CCN2C(=O)OC[C@H]2C1 ZINC001231792605 769285065 /nfs/dbraw/zinc/28/50/65/769285065.db2.gz GZOOYDHXFRGZNL-GFCCVEGCSA-N 0 2 306.318 0.786 20 0 DCADLN O=C([O-])COc1ccccc1C[N@H+]1CCN2C(=O)OC[C@H]2C1 ZINC001231792605 769285070 /nfs/dbraw/zinc/28/50/70/769285070.db2.gz GZOOYDHXFRGZNL-GFCCVEGCSA-N 0 2 306.318 0.786 20 0 DCADLN CN(C1COC1)C1C[NH+](Cc2ccccc2OCC(=O)[O-])C1 ZINC001231792553 769285090 /nfs/dbraw/zinc/28/50/90/769285090.db2.gz FGYVDNMJGFVTRT-UHFFFAOYSA-N 0 2 306.362 0.665 20 0 DCADLN O=C([O-])c1sc(C(=O)NCCn2cc[nH+]c2)c2c1OCCO2 ZINC001152698345 769625828 /nfs/dbraw/zinc/62/58/28/769625828.db2.gz SQZNWFCVKSTNTG-UHFFFAOYSA-N 0 2 323.330 0.844 20 0 DCADLN C[C@@H]([NH2+]CCNC(=O)CCCn1cc[nH+]c1)C(=O)NC(C)(C)C ZINC001153356660 769797027 /nfs/dbraw/zinc/79/70/27/769797027.db2.gz XEFAUCNMZSGEIL-CYBMUJFWSA-N 0 2 323.441 0.672 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc2c(c1)nc[nH]c2=O ZINC001153764187 769924852 /nfs/dbraw/zinc/92/48/52/769924852.db2.gz PPFNSGRPVSAYFS-LLVKDONJSA-N 0 2 304.287 0.374 20 0 DCADLN Cc1cc(N(C(N)=[NH2+])C(=O)c2c[n-]c3cccnc3c2=O)n[nH]1 ZINC001153863190 769971529 /nfs/dbraw/zinc/97/15/29/769971529.db2.gz LKJGADOYKQHJHR-UHFFFAOYSA-N 0 2 311.305 0.495 20 0 DCADLN O=C([O-])Cn1cc(Nc2ccc(N3CCOCC3)[nH+]c2)cn1 ZINC001176265577 770066521 /nfs/dbraw/zinc/06/65/21/770066521.db2.gz VPXONKMFTJAWTP-UHFFFAOYSA-N 0 2 303.322 0.943 20 0 DCADLN Cn1cc2c(n1)CCC[C@@H]2C(=O)NCCc1n[nH]c(=S)o1 ZINC001154907048 770334815 /nfs/dbraw/zinc/33/48/15/770334815.db2.gz KQACTENSNZQIAW-QMMMGPOBSA-N 0 2 307.379 0.871 20 0 DCADLN COC[C@H](NC(=O)/C=C/CN(C)CC(F)(F)F)c1nn[nH]n1 ZINC001155067686 770383587 /nfs/dbraw/zinc/38/35/87/770383587.db2.gz ADMVDOBSYRDWAH-RTMURIBGSA-N 0 2 322.291 0.054 20 0 DCADLN COC[C@H](NC(=O)C=CCN(C)CC(F)(F)F)c1nn[nH]n1 ZINC001155067686 770383575 /nfs/dbraw/zinc/38/35/75/770383575.db2.gz ADMVDOBSYRDWAH-RTMURIBGSA-N 0 2 322.291 0.054 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccc2cc(O)cnc21 ZINC001155106062 770401036 /nfs/dbraw/zinc/40/10/36/770401036.db2.gz BROYRLMBJXTXNO-GFCCVEGCSA-N 0 2 303.299 0.979 20 0 DCADLN CN1C(=O)CS/C1=C\C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001155754756 770610295 /nfs/dbraw/zinc/61/02/95/770610295.db2.gz LQHJTZHLRHELLX-SOUAVXJDSA-N 0 2 323.378 0.263 20 0 DCADLN O=C(Nc1ccc2c(c1)C(=O)NCCO2)C(CO)C(F)(F)F ZINC001183280326 771626977 /nfs/dbraw/zinc/62/69/77/771626977.db2.gz SBCSNKJFSKVUMF-VIFPVBQESA-N 0 2 318.251 0.918 20 0 DCADLN O=C(Nc1ccc2c(c1)C(=O)NCCO2)[C@H](CO)C(F)(F)F ZINC001183280326 771626980 /nfs/dbraw/zinc/62/69/80/771626980.db2.gz SBCSNKJFSKVUMF-VIFPVBQESA-N 0 2 318.251 0.918 20 0 DCADLN C[C@H](NC(=O)c1cncc(-n2ccnn2)c1)c1nn(C)cc1O ZINC001158305566 771755937 /nfs/dbraw/zinc/75/59/37/771755937.db2.gz GXHOXDLVVYFDCY-VIFPVBQESA-N 0 2 313.321 0.592 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cc(F)cc(F)c1CO ZINC001188395236 772297263 /nfs/dbraw/zinc/29/72/63/772297263.db2.gz PSYOZXMHNVPISC-UHFFFAOYSA-N 0 2 309.290 0.762 20 0 DCADLN Cc1c(C(=O)NCc2n[nH]c(=O)[nH]2)nnn1-c1ccc(F)cc1 ZINC001189936881 772567418 /nfs/dbraw/zinc/56/74/18/772567418.db2.gz OCBKNWXXUIAJTD-UHFFFAOYSA-N 0 2 317.284 0.469 20 0 DCADLN CN1CC(=O)Nc2ccc(NCC[N-]C(=O)C(F)(F)F)[nH+]c21 ZINC001164244274 772630207 /nfs/dbraw/zinc/63/02/07/772630207.db2.gz DLNHELTYALZENP-UHFFFAOYSA-N 0 2 317.271 0.560 20 0 DCADLN COC(=O)c1nc2ccc(NCC(=O)N3CCCC3)nc2[nH]1 ZINC001164583147 772684559 /nfs/dbraw/zinc/68/45/59/772684559.db2.gz KWKFNYJHNNZACV-UHFFFAOYSA-N 0 2 303.322 0.779 20 0 DCADLN C[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(CCC(=O)[O-])cc1 ZINC001192123861 772871983 /nfs/dbraw/zinc/87/19/83/772871983.db2.gz KFQDDUXBJDQQDY-STQMWFEESA-N 0 2 324.402 0.781 20 0 DCADLN C[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(CCC(=O)[O-])cc1 ZINC001192123861 772871984 /nfs/dbraw/zinc/87/19/84/772871984.db2.gz KFQDDUXBJDQQDY-STQMWFEESA-N 0 2 324.402 0.781 20 0 DCADLN COc1ccc(N)cc1S(=O)(=O)Nc1ccc(C(N)=O)nc1 ZINC001203441469 772906706 /nfs/dbraw/zinc/90/67/06/772906706.db2.gz YSDPBLCFMMRPIJ-UHFFFAOYSA-N 0 2 322.346 0.572 20 0 DCADLN C[C@]1(CO)CCN(C(=O)c2ccc(O)c(F)c2F)C[C@@H]1O ZINC001192831062 772965920 /nfs/dbraw/zinc/96/59/20/772965920.db2.gz AASPWDLUKYJHLK-IINYFYTJSA-N 0 2 301.289 0.876 20 0 DCADLN C[C@]1(CO)CN(C(=O)c2cc(F)c(O)cc2F)CC[C@H]1O ZINC001192860474 772970877 /nfs/dbraw/zinc/97/08/77/772970877.db2.gz UTNJWPGMYHEIPY-TZMCWYRMSA-N 0 2 301.289 0.876 20 0 DCADLN COC(=O)CC[C@H](NC(=O)c1cc(F)c(O)cc1F)C(N)=O ZINC001192887131 772971813 /nfs/dbraw/zinc/97/18/13/772971813.db2.gz BEWIEJKFXNHYMR-VIFPVBQESA-N 0 2 316.260 0.207 20 0 DCADLN Nc1nonc1NC(=O)c1nnn(-c2ccccc2Cl)n1 ZINC001193037745 772992326 /nfs/dbraw/zinc/99/23/26/772992326.db2.gz MFFDOOLZTNDARS-UHFFFAOYSA-N 0 2 306.673 0.533 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CC[NH+](CCc2ccccn2)CC1 ZINC001193467354 773067274 /nfs/dbraw/zinc/06/72/74/773067274.db2.gz PKKBOVCZLYNFIU-UHFFFAOYSA-N 0 2 301.350 0.511 20 0 DCADLN CCOC(=O)c1c(C)[nH]nc1NC(=O)c1cc(C(=O)OC)n[nH]1 ZINC001194279143 773165968 /nfs/dbraw/zinc/16/59/68/773165968.db2.gz PQBMEKRLHRKGIT-UHFFFAOYSA-N 0 2 321.293 0.657 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cccc3c2CC(=O)NC3)[nH]n1 ZINC001194288742 773177689 /nfs/dbraw/zinc/17/76/89/773177689.db2.gz MXTHVJQRWOCOIH-UHFFFAOYSA-N 0 2 314.301 0.621 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cccc3c2CC(=O)NC3)n[nH]1 ZINC001194288742 773177690 /nfs/dbraw/zinc/17/76/90/773177690.db2.gz MXTHVJQRWOCOIH-UHFFFAOYSA-N 0 2 314.301 0.621 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1cnc2nccn2c1 ZINC001194680837 773232462 /nfs/dbraw/zinc/23/24/62/773232462.db2.gz JZYZKBREKBZFNR-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1ccc(CC(N)=O)cc1 ZINC001194719369 773248499 /nfs/dbraw/zinc/24/84/99/773248499.db2.gz UKKWMMFSCXLPPR-UHFFFAOYSA-N 0 2 321.358 0.919 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1cc(O)cc(C(N)=O)c1 ZINC001194727394 773250632 /nfs/dbraw/zinc/25/06/32/773250632.db2.gz XFFYXCALYGUEFQ-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN Cc1nonc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001114309222 773492119 /nfs/dbraw/zinc/49/21/19/773492119.db2.gz SKQONEACPKVQFK-WDQPUEAGSA-N 0 2 322.262 0.825 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2cnc(C)n2C)ccn1 ZINC001198353995 773914247 /nfs/dbraw/zinc/91/42/47/773914247.db2.gz HBTAIMHRPCREHH-UHFFFAOYSA-N 0 2 310.335 0.133 20 0 DCADLN COCC[NH2+][C@@H](CNC(=O)c1ncn[nH]1)c1ccccc1OC ZINC001198940205 774005727 /nfs/dbraw/zinc/00/57/27/774005727.db2.gz QDEIQRFDZHTABQ-LBPRGKRZSA-N 0 2 319.365 0.520 20 0 DCADLN COc1cc(CO)ccc1NS(=O)(=O)c1cncc(N)c1 ZINC001215206246 774049270 /nfs/dbraw/zinc/04/92/70/774049270.db2.gz CMSSNVGBEUWVLY-UHFFFAOYSA-N 0 2 309.347 0.966 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cncn1-c1cccnc1 ZINC001199434264 774106852 /nfs/dbraw/zinc/10/68/52/774106852.db2.gz PEONUCBEFVWMNV-NSHDSACASA-N 0 2 303.303 0.306 20 0 DCADLN N[C@@H](C(=O)Nc1ncc(Br)[nH]c1=O)C(F)(F)F ZINC001220398727 774795805 /nfs/dbraw/zinc/79/58/05/774795805.db2.gz KECDIKBLPBRUCF-VKHMYHEASA-N 0 2 315.049 0.361 20 0 DCADLN NC(C(=O)Nc1ncc(Br)[nH]c1=O)C(F)(F)F ZINC001220398727 774795809 /nfs/dbraw/zinc/79/58/09/774795809.db2.gz KECDIKBLPBRUCF-VKHMYHEASA-N 0 2 315.049 0.361 20 0 DCADLN COCCN(C)C1=NC(=O)C(CC(=O)Nc2cnccn2)S1 ZINC000871597156 774894346 /nfs/dbraw/zinc/89/43/46/774894346.db2.gz HIYUAJNFCBCVOB-SECBINFHSA-N 0 2 323.378 0.381 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccn(CCc2ccccc2)n1 ZINC001137240354 775017081 /nfs/dbraw/zinc/01/70/81/775017081.db2.gz ZUASDXNBOQMSKQ-UHFFFAOYSA-N 0 2 312.333 0.880 20 0 DCADLN CO[C@H](C)CCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221500319 775128067 /nfs/dbraw/zinc/12/80/67/775128067.db2.gz WZHAVQVLKFIDQB-IJLUTSLNSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@H]1C[C@H]3CC[C@@H]1[N@H+]3CCO)CC2 ZINC001095647478 775282349 /nfs/dbraw/zinc/28/23/49/775282349.db2.gz UOMRHFVBMMZBNV-VZAMPYOESA-N 0 2 318.421 0.537 20 0 DCADLN COC(C)(C)CO[C@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001222359382 775333607 /nfs/dbraw/zinc/33/36/07/775333607.db2.gz YGBQBSNRYOCCAW-LLVKDONJSA-N 0 2 311.400 0.017 20 0 DCADLN CC(C)(C)OC(=O)N1CC[N@@H+]2C[C@@H](OCc3nn[n-]n3)C[C@H]2C1 ZINC001223030102 775452838 /nfs/dbraw/zinc/45/28/38/775452838.db2.gz TXLGBLOKQMHCII-QWRGUYRKSA-N 0 2 324.385 0.410 20 0 DCADLN CC(C)(C)OC(=O)N1CC[N@H+]2C[C@@H](OCc3nn[n-]n3)C[C@H]2C1 ZINC001223030102 775452843 /nfs/dbraw/zinc/45/28/43/775452843.db2.gz TXLGBLOKQMHCII-QWRGUYRKSA-N 0 2 324.385 0.410 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCc1ccoc1 ZINC001223782844 775517139 /nfs/dbraw/zinc/51/71/39/775517139.db2.gz OZJZCYORJIZSDP-GFCCVEGCSA-N 0 2 305.352 0.385 20 0 DCADLN O=C(CCc1ccco1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226048170 775760909 /nfs/dbraw/zinc/76/09/09/775760909.db2.gz YVDHGMWREIFKAM-UHFFFAOYSA-N 0 2 319.365 0.817 20 0 DCADLN CC(C)(C)OCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226969403 775903905 /nfs/dbraw/zinc/90/39/05/775903905.db2.gz LKSOQKBVLCUBRA-UHFFFAOYSA-N 0 2 311.386 0.406 20 0 DCADLN CC1=C(Oc2[nH]c(=O)nnc2Br)C(=O)O[C@@H]1C ZINC001227064765 775921267 /nfs/dbraw/zinc/92/12/67/775921267.db2.gz UGZQOLPGDDVRKP-SCSAIBSYSA-N 0 2 302.084 0.938 20 0 DCADLN Cn1nnc(CN2CCC(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001227474446 775976923 /nfs/dbraw/zinc/97/69/23/775976923.db2.gz RBWZQPKOKKPQJL-VIFPVBQESA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CCC(NC(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001227474446 775976931 /nfs/dbraw/zinc/97/69/31/775976931.db2.gz RBWZQPKOKKPQJL-VIFPVBQESA-N 0 2 324.282 0.191 20 0 DCADLN CCOCC1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001228047210 776040347 /nfs/dbraw/zinc/04/03/47/776040347.db2.gz XDMFQNZIKLCTTP-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1nn(CCO)c(C)c1C[N@@H+](C)[C@@H](COC(C)C)C(=O)[O-] ZINC001600788468 1168296597 /nfs/dbraw/zinc/29/65/97/1168296597.db2.gz QKWJJKBNDZKSSF-AWEZNQCLSA-N 0 2 313.398 0.802 20 0 DCADLN Cc1nn(CCO)c(C)c1C[N@H+](C)[C@@H](COC(C)C)C(=O)[O-] ZINC001600788468 1168296602 /nfs/dbraw/zinc/29/66/02/1168296602.db2.gz QKWJJKBNDZKSSF-AWEZNQCLSA-N 0 2 313.398 0.802 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)NC1(C(=O)[O-])CCSCC1 ZINC001601231035 1168670309 /nfs/dbraw/zinc/67/03/09/1168670309.db2.gz IEQQIIJZCHBCMK-OWOJBTEDSA-N 0 2 314.407 0.341 20 0 DCADLN CN(CCNC(=O)c1cnn[nH]1)Cc1nc(-c2ccoc2)no1 ZINC001266293072 939054922 /nfs/dbraw/zinc/05/49/22/939054922.db2.gz HPKJWDDYXCUHIK-UHFFFAOYSA-N 0 2 317.309 0.310 20 0 DCADLN C[N@H+](CCNC(=O)c1cnn[n-]1)Cc1nc(-c2ccoc2)no1 ZINC001266293072 939054924 /nfs/dbraw/zinc/05/49/24/939054924.db2.gz HPKJWDDYXCUHIK-UHFFFAOYSA-N 0 2 317.309 0.310 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnn[n-]1)Cc1nc(-c2ccoc2)no1 ZINC001266293072 939054928 /nfs/dbraw/zinc/05/49/28/939054928.db2.gz HPKJWDDYXCUHIK-UHFFFAOYSA-N 0 2 317.309 0.310 20 0 DCADLN C[N@H+](CCNC(=O)Cc1cccc(Cl)c1)Cc1n[nH]c(=O)[n-]1 ZINC001480912130 939310311 /nfs/dbraw/zinc/31/03/11/939310311.db2.gz KBVMNXWIFLQFFH-UHFFFAOYSA-N 0 2 323.784 0.954 20 0 DCADLN C[N@@H+](CCNC(=O)Cc1cccc(Cl)c1)Cc1n[nH]c(=O)[n-]1 ZINC001480912130 939310313 /nfs/dbraw/zinc/31/03/13/939310313.db2.gz KBVMNXWIFLQFFH-UHFFFAOYSA-N 0 2 323.784 0.954 20 0 DCADLN CN(CCNC(=O)[C@]12C[C@H]1COC2)C(=O)C(F)C(F)(F)F ZINC001408589258 939392471 /nfs/dbraw/zinc/39/24/71/939392471.db2.gz IGTIWXXWONGOFY-LAEOZQHASA-N 0 2 312.263 0.498 20 0 DCADLN CN(CCNC(=O)[C@]12C[C@H]1COC2)C(=O)[C@H](F)C(F)(F)F ZINC001408589258 939392473 /nfs/dbraw/zinc/39/24/73/939392473.db2.gz IGTIWXXWONGOFY-LAEOZQHASA-N 0 2 312.263 0.498 20 0 DCADLN CN(CCNC(=O)c1cn[nH]c(=O)c1)C(=O)C(F)C(F)(F)F ZINC001408597787 939435062 /nfs/dbraw/zinc/43/50/62/939435062.db2.gz HANUVLCCJRVODJ-QMMMGPOBSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)c1cn[nH]c(=O)c1)C(=O)[C@H](F)C(F)(F)F ZINC001408597787 939435064 /nfs/dbraw/zinc/43/50/64/939435064.db2.gz HANUVLCCJRVODJ-QMMMGPOBSA-N 0 2 324.234 0.271 20 0 DCADLN CN(C)c1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001480939702 939445064 /nfs/dbraw/zinc/44/50/64/939445064.db2.gz VRKWXWCQKCAAIY-UHFFFAOYSA-N 0 2 318.381 0.438 20 0 DCADLN CN(C)c1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001480939702 939445066 /nfs/dbraw/zinc/44/50/66/939445066.db2.gz VRKWXWCQKCAAIY-UHFFFAOYSA-N 0 2 318.381 0.438 20 0 DCADLN CCN(CCNC(=O)Cc1cc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001408727477 939612598 /nfs/dbraw/zinc/61/25/98/939612598.db2.gz VGOPAFJUILFQMQ-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN CCN(CCNC(=O)Cc1cc[nH]n1)C(=O)[C@@H](F)C(F)(F)F ZINC001408727477 939612601 /nfs/dbraw/zinc/61/26/01/939612601.db2.gz VGOPAFJUILFQMQ-SNVBAGLBSA-N 0 2 324.278 0.817 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001268017854 939737466 /nfs/dbraw/zinc/73/74/66/939737466.db2.gz NMZIXLSLXIEXBU-VXGBXAGGSA-N 0 2 321.381 0.184 20 0 DCADLN CCCN(C(=O)c1cc[nH]c1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481399888 939770396 /nfs/dbraw/zinc/77/03/96/939770396.db2.gz NSBFIYIVPRBVHI-GFCCVEGCSA-N 0 2 318.381 0.965 20 0 DCADLN CCCN(C(=O)c1cc[nH]c1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481399888 939770399 /nfs/dbraw/zinc/77/03/99/939770399.db2.gz NSBFIYIVPRBVHI-GFCCVEGCSA-N 0 2 318.381 0.965 20 0 DCADLN NC(=O)[C@@H]1CC12CN(C(=O)c1csc3c1[nH]cnc3=O)C2 ZINC001270703093 940969013 /nfs/dbraw/zinc/96/90/13/940969013.db2.gz DLJOFAWTQGESLB-ZETCQYMHSA-N 0 2 304.331 0.344 20 0 DCADLN NC(=O)[C@]12C[C@H]1CCN2C(=O)c1csc2c1[nH]cnc2=O ZINC001270703389 940969700 /nfs/dbraw/zinc/96/97/00/940969700.db2.gz KTCKMGGMIBPLLH-UANNBLPKSA-N 0 2 304.331 0.487 20 0 DCADLN Cc1cc(Cn2nnnc2N2CCO[C@@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001600222485 970778922 /nfs/dbraw/zinc/77/89/22/970778922.db2.gz MCPUDMGTMCCKPC-GFCCVEGCSA-N 0 2 318.337 0.023 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cn[nH]c1 ZINC001285488823 941233973 /nfs/dbraw/zinc/23/39/73/941233973.db2.gz XHXWHPSNQGYWSJ-JQSQLHRZSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1cn[nH]c1 ZINC001285488823 941233975 /nfs/dbraw/zinc/23/39/75/941233975.db2.gz XHXWHPSNQGYWSJ-JQSQLHRZSA-N 0 2 308.235 0.712 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001409147048 941551640 /nfs/dbraw/zinc/55/16/40/941551640.db2.gz MCAGMXZLEMPIBS-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409147048 941551642 /nfs/dbraw/zinc/55/16/42/941551642.db2.gz MCAGMXZLEMPIBS-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)CO[C@H](C)C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409549559 942046195 /nfs/dbraw/zinc/04/61/95/942046195.db2.gz XJIMRVLJCFWMIB-GHMZBOCLSA-N 0 2 313.402 0.508 20 0 DCADLN CC(C)CO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409549559 942046199 /nfs/dbraw/zinc/04/61/99/942046199.db2.gz XJIMRVLJCFWMIB-GHMZBOCLSA-N 0 2 313.402 0.508 20 0 DCADLN COCC1(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001409590149 942067912 /nfs/dbraw/zinc/06/79/12/942067912.db2.gz YFTKDTMGDDGBDU-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COCC1(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001409590149 942067916 /nfs/dbraw/zinc/06/79/16/942067916.db2.gz YFTKDTMGDDGBDU-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001409615503 942092284 /nfs/dbraw/zinc/09/22/84/942092284.db2.gz CEFUDJJHXXCGEG-MRVPVSSYSA-N 0 2 319.369 0.167 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001409615503 942092290 /nfs/dbraw/zinc/09/22/90/942092290.db2.gz CEFUDJJHXXCGEG-MRVPVSSYSA-N 0 2 319.369 0.167 20 0 DCADLN CCCn1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001409629318 942103438 /nfs/dbraw/zinc/10/34/38/942103438.db2.gz QMTNWFNRNSXCRZ-SNVBAGLBSA-N 0 2 321.385 0.367 20 0 DCADLN CCCn1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001409629318 942103450 /nfs/dbraw/zinc/10/34/50/942103450.db2.gz QMTNWFNRNSXCRZ-SNVBAGLBSA-N 0 2 321.385 0.367 20 0 DCADLN CC(C)CCC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001412875622 942120133 /nfs/dbraw/zinc/12/01/33/942120133.db2.gz MWFKMMSKTKPSEE-WCBMZHEXSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001412875622 942120135 /nfs/dbraw/zinc/12/01/35/942120135.db2.gz MWFKMMSKTKPSEE-WCBMZHEXSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)[N@@H+]1CCC[C@@H]1C(=O)NCC[NH2+]Cc1nnc(C2CC2)[nH]1 ZINC001127067110 942428133 /nfs/dbraw/zinc/42/81/33/942428133.db2.gz WRKJLJASZQBSAV-CYBMUJFWSA-N 0 2 320.441 0.761 20 0 DCADLN COc1ccc(OCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000322579983 970905122 /nfs/dbraw/zinc/90/51/22/970905122.db2.gz IJWNSKWIMWPWTK-CYBMUJFWSA-N 0 2 319.317 0.609 20 0 DCADLN COc1ccc(OCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000322579983 970905129 /nfs/dbraw/zinc/90/51/29/970905129.db2.gz IJWNSKWIMWPWTK-CYBMUJFWSA-N 0 2 319.317 0.609 20 0 DCADLN CC[C@@H]1CCCC[N@@H+]1CCNS(=O)(=O)N1CC[NH+](C)CC1 ZINC001413297713 942612946 /nfs/dbraw/zinc/61/29/46/942612946.db2.gz XOOLTLALWIIUAE-CQSZACIVSA-N 0 2 318.487 0.333 20 0 DCADLN O=C(CCCc1nn[n-]n1)N1CC[NH2+][C@@H](Cc2ccccc2)C1 ZINC001413389292 942944198 /nfs/dbraw/zinc/94/41/98/942944198.db2.gz GBKPEMWSRCVODQ-AWEZNQCLSA-N 0 2 314.393 0.566 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CC[C@@H]1CCCO1 ZINC001413480175 943009315 /nfs/dbraw/zinc/00/93/15/943009315.db2.gz OIVIYJVZWVIKHE-QMMMGPOBSA-N 0 2 301.311 0.283 20 0 DCADLN O=C(NCCN(CCO)C(=O)C1CC1)C(F)C(F)(F)F ZINC001056759424 943397513 /nfs/dbraw/zinc/39/75/13/943397513.db2.gz ZUKOXTIOZPAHEZ-QMMMGPOBSA-N 0 2 300.252 0.234 20 0 DCADLN O=C(NCCN(CCO)C(=O)C1CC1)[C@H](F)C(F)(F)F ZINC001056759424 943397520 /nfs/dbraw/zinc/39/75/20/943397520.db2.gz ZUKOXTIOZPAHEZ-QMMMGPOBSA-N 0 2 300.252 0.234 20 0 DCADLN C[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)c1ccco1 ZINC001413673645 943563270 /nfs/dbraw/zinc/56/32/70/943563270.db2.gz SUQSRPVAKGTSFV-QMMMGPOBSA-N 0 2 312.351 0.362 20 0 DCADLN O=C([O-])C1=NO[C@H](C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC001611361685 971008252 /nfs/dbraw/zinc/00/82/52/971008252.db2.gz HDBUZUGLLVCJME-ONGXEEELSA-N 0 2 304.306 0.006 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491159558 943795595 /nfs/dbraw/zinc/79/55/95/943795595.db2.gz AITCAUGCGQAAJA-IONNQARKSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491159558 943795598 /nfs/dbraw/zinc/79/55/98/943795598.db2.gz AITCAUGCGQAAJA-IONNQARKSA-N 0 2 314.279 0.934 20 0 DCADLN CCc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001485394570 943907878 /nfs/dbraw/zinc/90/78/78/943907878.db2.gz HFVLRHHJJLGYKN-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN CCc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001485394570 943907885 /nfs/dbraw/zinc/90/78/85/943907885.db2.gz HFVLRHHJJLGYKN-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN Cc1nc(C[NH2+][C@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])co1 ZINC001485863325 944356206 /nfs/dbraw/zinc/35/62/06/944356206.db2.gz HXAAWWBKQZYMOI-MRVPVSSYSA-N 0 2 307.354 0.970 20 0 DCADLN C[C@H]1C[C@@H]([NH2+]CC(=O)NC2CC2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001486115022 944574013 /nfs/dbraw/zinc/57/40/13/944574013.db2.gz PYBMIVDZLUVLFF-SMDDNHRTSA-N 0 2 319.409 0.200 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnccn1 ZINC001486891519 945373506 /nfs/dbraw/zinc/37/35/06/945373506.db2.gz FISGPDNNHTYMHJ-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cnccn1 ZINC001486891519 945373519 /nfs/dbraw/zinc/37/35/19/945373519.db2.gz FISGPDNNHTYMHJ-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN CC(C)C(=O)N1CCC(C(=O)NCCCc2n[nH]c(=O)[nH]2)CC1 ZINC001319053515 945487432 /nfs/dbraw/zinc/48/74/32/945487432.db2.gz VICDYDDPAQSHJQ-UHFFFAOYSA-N 0 2 323.397 0.454 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2cccc(Cl)n2)n[nH]1 ZINC001319234777 945528851 /nfs/dbraw/zinc/52/88/51/945528851.db2.gz BTFXIQCKWZZWAK-UHFFFAOYSA-N 0 2 302.743 0.973 20 0 DCADLN Cc1nc(N2CC[NH+](CCO)CC2)cc(NCC(C)(C)O)[nH+]1 ZINC001252346984 945886374 /nfs/dbraw/zinc/88/63/74/945886374.db2.gz XCZILHVCEKZNSA-UHFFFAOYSA-N 0 2 309.414 0.082 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001410222191 946059847 /nfs/dbraw/zinc/05/98/47/946059847.db2.gz WEJRBFMAKTVTDF-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001410222191 946059861 /nfs/dbraw/zinc/05/98/61/946059861.db2.gz WEJRBFMAKTVTDF-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN O=S(=O)(CC(F)(F)F)[N-]CC1CC[NH+](C2COC2)CC1 ZINC001253255833 946101818 /nfs/dbraw/zinc/10/18/18/946101818.db2.gz WZEZTKYUUJBQHV-UHFFFAOYSA-N 0 2 316.345 0.579 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001410388440 946291177 /nfs/dbraw/zinc/29/11/77/946291177.db2.gz TUFGYDVGEGVGPE-CBAPKCEASA-N 0 2 310.251 0.404 20 0 DCADLN C[C@@H](CNC(=O)Cn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001410388440 946291187 /nfs/dbraw/zinc/29/11/87/946291187.db2.gz TUFGYDVGEGVGPE-CBAPKCEASA-N 0 2 310.251 0.404 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](C)C1CCC1)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001410401801 946311337 /nfs/dbraw/zinc/31/13/37/946311337.db2.gz YDWBYPGXEXGTHT-MNOVXSKESA-N 0 2 309.414 0.481 20 0 DCADLN C[C@@H](Sc1nncn1C)C(=O)NCCc1n[nH]c(=S)o1 ZINC001321447951 946333541 /nfs/dbraw/zinc/33/35/41/946333541.db2.gz HQTMPQPYANWDAI-ZCFIWIBFSA-N 0 2 314.396 0.326 20 0 DCADLN CCC(=CC(=O)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)CC ZINC001278770536 946335733 /nfs/dbraw/zinc/33/57/33/946335733.db2.gz AEEIVZDVBIBGJI-HNNXBMFYSA-N 0 2 323.397 0.310 20 0 DCADLN CCC(=CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)CC ZINC001278770536 946335743 /nfs/dbraw/zinc/33/57/43/946335743.db2.gz AEEIVZDVBIBGJI-HNNXBMFYSA-N 0 2 323.397 0.310 20 0 DCADLN O=C(NCCNS(=O)(=O)c1ccccc1F)C(F)(F)F ZINC001255511399 946519670 /nfs/dbraw/zinc/51/96/70/946519670.db2.gz HJSBETLZOMHURQ-UHFFFAOYSA-N 0 2 314.260 0.783 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc(Cl)s2)S1 ZINC001256519532 946638529 /nfs/dbraw/zinc/63/85/29/946638529.db2.gz RTRYQWYSJIEMMJ-ZCFIWIBFSA-N 0 2 312.781 0.989 20 0 DCADLN Cn1ncc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1C(F)(F)F ZINC001322305488 946647281 /nfs/dbraw/zinc/64/72/81/946647281.db2.gz YRDCVYXXKGOXBQ-UHFFFAOYSA-N 0 2 318.259 0.625 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cc2nsnc2cc1C ZINC001259026053 946900446 /nfs/dbraw/zinc/90/04/46/946900446.db2.gz ILQADSZWANWMFS-UHFFFAOYSA-N 0 2 301.349 0.914 20 0 DCADLN CCOC(=O)[C@@H](NS(=O)(=O)c1cccnc1)c1cnccn1 ZINC001259611999 946973596 /nfs/dbraw/zinc/97/35/96/946973596.db2.gz QISBEYGROXBROO-LBPRGKRZSA-N 0 2 322.346 0.454 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc3c(c2)CC(=O)N3C)o1 ZINC001260153174 947072731 /nfs/dbraw/zinc/07/27/31/947072731.db2.gz USKNOBTXNSGTPP-UHFFFAOYSA-N 0 2 308.319 0.698 20 0 DCADLN O=S(=O)(NCC1(F)CCC1)c1nc2nc(Cl)ccn2n1 ZINC001260183105 947078106 /nfs/dbraw/zinc/07/81/06/947078106.db2.gz XGYMHTRELFFMLW-UHFFFAOYSA-N 0 2 319.749 0.948 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1cccc2nonc21 ZINC001260436249 947101939 /nfs/dbraw/zinc/10/19/39/947101939.db2.gz UQSWNROJZNBZCO-UHFFFAOYSA-N 0 2 309.263 0.225 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cc2ccccc2cn1 ZINC001260583654 947115302 /nfs/dbraw/zinc/11/53/02/947115302.db2.gz IURSCBPADBIDJX-UHFFFAOYSA-N 0 2 300.361 0.979 20 0 DCADLN COC[C@H](C)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411187521 947459639 /nfs/dbraw/zinc/45/96/39/947459639.db2.gz UOZSBJRUALBDON-NSHDSACASA-N 0 2 313.402 0.462 20 0 DCADLN COC[C@H](C)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411187521 947459642 /nfs/dbraw/zinc/45/96/42/947459642.db2.gz UOZSBJRUALBDON-NSHDSACASA-N 0 2 313.402 0.462 20 0 DCADLN COC[C@@](C)(CC(=O)[O-])NC(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC001262177418 947593396 /nfs/dbraw/zinc/59/33/96/947593396.db2.gz UKZVRJAQMBHSCN-INDWDQIESA-N 0 2 314.382 0.261 20 0 DCADLN O=C(NCCNc1cc(Cl)c(=O)[nH]n1)c1[nH]ncc1F ZINC001094105019 947790248 /nfs/dbraw/zinc/79/02/48/947790248.db2.gz ZJIOACBEQXLLDI-UHFFFAOYSA-N 0 2 300.681 0.540 20 0 DCADLN CC(=O)CN(C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C(C)C ZINC001573384229 947902033 /nfs/dbraw/zinc/90/20/33/947902033.db2.gz JNFVIDDHPYCOAU-UHFFFAOYSA-N 0 2 318.337 0.715 20 0 DCADLN CCC(=O)NCCOCCN(C)C(=O)C(F)C(F)(F)F ZINC001487573734 948119723 /nfs/dbraw/zinc/11/97/23/948119723.db2.gz PHSJPVSRXALTEB-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCC(=O)NCCOCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001487573734 948119726 /nfs/dbraw/zinc/11/97/26/948119726.db2.gz PHSJPVSRXALTEB-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)cc(C)c1-c1nn[nH]n1 ZINC001570920081 948594286 /nfs/dbraw/zinc/59/42/86/948594286.db2.gz GRPZHMHNNFRLSU-UHFFFAOYSA-N 0 2 314.309 0.237 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@@H]1CCO ZINC001570921560 948623446 /nfs/dbraw/zinc/62/34/46/948623446.db2.gz FHSGUOMBJBYHSY-SECBINFHSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1ccc(C(=O)Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)s1 ZINC001570921631 948625300 /nfs/dbraw/zinc/62/53/00/948625300.db2.gz IXNLNFARASVBGS-UHFFFAOYSA-N 0 2 318.318 0.382 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(C)CC=CC1 ZINC001263809896 948756660 /nfs/dbraw/zinc/75/66/60/948756660.db2.gz QHZYEWIIEQUSPD-NSHDSACASA-N 0 2 305.382 0.899 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1CCNC1=O ZINC001328639016 949001925 /nfs/dbraw/zinc/00/19/25/949001925.db2.gz DADCEXGTIJCJKE-ZETCQYMHSA-N 0 2 306.347 0.491 20 0 DCADLN COc1cnc([C@@H]2CCCN2C(=O)CCc2nn[nH]n2)[nH]c1=O ZINC001364414994 949233095 /nfs/dbraw/zinc/23/30/95/949233095.db2.gz FGVVDMIFHIZSCZ-QMMMGPOBSA-N 0 2 319.325 0.000 20 0 DCADLN NC(=O)[C@](O)(C[N@H+]1CCc2[nH+]ccc(N)c2C1)c1ccccc1 ZINC001364490661 949413125 /nfs/dbraw/zinc/41/31/25/949413125.db2.gz WCNBHJGWKTZHMA-KRWDZBQOSA-N 0 2 312.373 0.395 20 0 DCADLN O=C(NC1CCC(=O)CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001364627280 949655068 /nfs/dbraw/zinc/65/50/68/949655068.db2.gz MVIHDDDJSOSEKZ-UHFFFAOYSA-N 0 2 316.317 0.508 20 0 DCADLN C[C@@H]1CN(C(=O)c2cnon2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001489458700 949823935 /nfs/dbraw/zinc/82/39/35/949823935.db2.gz AYGLOYFAOYBHMM-NJUXHZRNSA-N 0 2 324.234 0.547 20 0 DCADLN C[C@@H]1CN(C(=O)c2cnon2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001489458700 949823958 /nfs/dbraw/zinc/82/39/58/949823958.db2.gz AYGLOYFAOYBHMM-NJUXHZRNSA-N 0 2 324.234 0.547 20 0 DCADLN CCO[C@@H](C(=O)NCCc1n[nH]c(=S)o1)C1CCOCC1 ZINC001329796466 949875588 /nfs/dbraw/zinc/87/55/88/949875588.db2.gz FUEIWNIMVRRZQZ-LLVKDONJSA-N 0 2 315.395 0.849 20 0 DCADLN CN(C)[S@](C)(=O)=NS(=O)(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001364797879 949959085 /nfs/dbraw/zinc/95/90/85/949959085.db2.gz WBQNMWWTAZEOQV-AYLIAGHASA-N 0 2 321.428 0.196 20 0 DCADLN Cc1cncc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC001364943930 950233530 /nfs/dbraw/zinc/23/35/30/950233530.db2.gz YMOMJHIOBZNNAU-UHFFFAOYSA-N 0 2 302.338 0.172 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2nnn(C(C)C)n2)cc1C(N)=O ZINC001364954344 950252560 /nfs/dbraw/zinc/25/25/60/950252560.db2.gz SLLMQFPZDNWILP-UHFFFAOYSA-N 0 2 324.366 0.462 20 0 DCADLN CCCn1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)nn1 ZINC001364972686 950280614 /nfs/dbraw/zinc/28/06/14/950280614.db2.gz FGXJMVIXTFZOPP-UHFFFAOYSA-N 0 2 320.353 0.512 20 0 DCADLN CC(C)(C)OC(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001573851161 950286923 /nfs/dbraw/zinc/28/69/23/950286923.db2.gz SXAPPLYTNAGQGW-UHFFFAOYSA-N 0 2 317.349 0.859 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)N1CCC[C@@H](c2cc[nH]n2)C1 ZINC001365082689 950479511 /nfs/dbraw/zinc/47/95/11/950479511.db2.gz JOYDFDUXXQQQJS-MRVPVSSYSA-N 0 2 318.341 0.299 20 0 DCADLN COC(=O)c1nc(C(=O)N[C@H](C)c2nn(C)cc2O)cs1 ZINC001365122819 950561444 /nfs/dbraw/zinc/56/14/44/950561444.db2.gz ZHYKPFCVSRYVJO-ZCFIWIBFSA-N 0 2 310.335 0.860 20 0 DCADLN O=C([O-])CC1(C(=O)N[C@@H]2CCc3c[nH+]cn3C2)CCOCC1 ZINC001594974570 950908201 /nfs/dbraw/zinc/90/82/01/950908201.db2.gz SJNZYLBANFYURF-LLVKDONJSA-N 0 2 307.350 0.586 20 0 DCADLN CCN(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CCC1 ZINC001365439730 951130440 /nfs/dbraw/zinc/13/04/40/951130440.db2.gz JSDZLACDWJPAQN-UHFFFAOYSA-N 0 2 315.399 0.433 20 0 DCADLN C[C@@H](Cn1cncn1)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365553240 951256534 /nfs/dbraw/zinc/25/65/34/951256534.db2.gz CEUKHPWCVIEKRF-UWVGGRQHSA-N 0 2 320.357 0.080 20 0 DCADLN CC[C@H](NS(=O)(=O)c1c(N)noc1C)c1noc(C)n1 ZINC001365679446 951423639 /nfs/dbraw/zinc/42/36/39/951423639.db2.gz BRULYKBVLPRIJM-ZETCQYMHSA-N 0 2 301.328 0.686 20 0 DCADLN O=C([O-])CCCOC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001595052112 951468589 /nfs/dbraw/zinc/46/85/89/951468589.db2.gz IBXIFSFDBGWDAR-NEPJUHHUSA-N 0 2 301.339 0.274 20 0 DCADLN C/C(=C/C(=O)N[C@@]1(C(=O)[O-])CCSC1)C[NH+]1CCOCC1 ZINC001333331822 952010665 /nfs/dbraw/zinc/01/06/65/952010665.db2.gz JKRRBWDWIXSDJS-MSKHEQNASA-N 0 2 314.407 0.341 20 0 DCADLN O=C([O-])Cn1cc(C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)nn1 ZINC001595142546 952092080 /nfs/dbraw/zinc/09/20/80/952092080.db2.gz NEIKRYDNQCVFMY-UHFFFAOYSA-N 0 2 304.310 0.106 20 0 DCADLN O=C([O-])Cn1cc(C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)nn1 ZINC001595142546 952092086 /nfs/dbraw/zinc/09/20/86/952092086.db2.gz NEIKRYDNQCVFMY-UHFFFAOYSA-N 0 2 304.310 0.106 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)CCSCC(=O)[O-] ZINC001334215286 952262361 /nfs/dbraw/zinc/26/23/61/952262361.db2.gz REBNAFIVMKEMDJ-NSHDSACASA-N 0 2 304.412 0.421 20 0 DCADLN COCC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)C(C)(C)C1 ZINC001412054179 952623083 /nfs/dbraw/zinc/62/30/83/952623083.db2.gz RIJIDLIUMRVPQE-APPZFPTMSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C(C)(C)C1 ZINC001412054179 952623089 /nfs/dbraw/zinc/62/30/89/952623089.db2.gz RIJIDLIUMRVPQE-APPZFPTMSA-N 0 2 314.279 0.886 20 0 DCADLN CSC1(CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC1 ZINC001412083999 952638924 /nfs/dbraw/zinc/63/89/24/952638924.db2.gz LAYKVIRSDRPSBJ-UHFFFAOYSA-N 0 2 320.374 0.892 20 0 DCADLN CCn1ncn(NC(=O)c2cccc3c2C(=O)OC3(C)C)c1=O ZINC001412181313 952680814 /nfs/dbraw/zinc/68/08/14/952680814.db2.gz ODBHFYBBTWENLF-UHFFFAOYSA-N 0 2 316.317 0.854 20 0 DCADLN CO[C@@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001412206365 952695139 /nfs/dbraw/zinc/69/51/39/952695139.db2.gz AWBPUYCJNXZRLN-XSPKLOCKSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001412206365 952695141 /nfs/dbraw/zinc/69/51/41/952695141.db2.gz AWBPUYCJNXZRLN-XSPKLOCKSA-N 0 2 314.279 0.933 20 0 DCADLN COCC(=O)NC[C@@H](C1CC1)N(C)C(=O)C(F)C(F)(F)F ZINC001412364041 952763670 /nfs/dbraw/zinc/76/36/70/952763670.db2.gz LSUVGNQTCJHOGU-WPRPVWTQSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)NC[C@@H](C1CC1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001412364041 952763679 /nfs/dbraw/zinc/76/36/79/952763679.db2.gz LSUVGNQTCJHOGU-WPRPVWTQSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H](O)[C@@H](O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001412422285 952789840 /nfs/dbraw/zinc/78/98/40/952789840.db2.gz YTLKHBZHOAMAOI-KCJUWKMLSA-N 0 2 309.297 0.189 20 0 DCADLN Cc1ccc(C(=O)N2CCC(N3CC[NH+](C)CC3)CC2)c(=O)[nH]1 ZINC001412470768 952827497 /nfs/dbraw/zinc/82/74/97/952827497.db2.gz QDGNYTGFOIGQDM-UHFFFAOYSA-N 0 2 318.421 0.948 20 0 DCADLN CCn1nc(C)c(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n1 ZINC001412684463 952992765 /nfs/dbraw/zinc/99/27/65/952992765.db2.gz BMMHEKRUGDOVSP-UHFFFAOYSA-N 0 2 320.353 0.431 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N(CCCO)C1CC1 ZINC001412685996 952994690 /nfs/dbraw/zinc/99/46/90/952994690.db2.gz SEOGPRFGPMZGFW-UHFFFAOYSA-N 0 2 318.333 0.253 20 0 DCADLN CC(C)[C@@]1(C)C[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412690846 952998853 /nfs/dbraw/zinc/99/88/53/952998853.db2.gz XDIJUSZQWQKFIY-TVQRCGJNSA-N 0 2 314.411 0.648 20 0 DCADLN CC(C)(CO)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412728852 953027552 /nfs/dbraw/zinc/02/75/52/953027552.db2.gz NJTMESNZNYZAGR-UHFFFAOYSA-N 0 2 306.322 0.015 20 0 DCADLN CNC(=O)c1cccc(C(=O)N[C@@H](C)c2nn(C)cc2O)c1 ZINC001412751854 953055739 /nfs/dbraw/zinc/05/57/39/953055739.db2.gz FUKJCNWALHEIRV-VIFPVBQESA-N 0 2 302.334 0.976 20 0 DCADLN CC(C)(C(=O)[O-])C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC001339473752 953096528 /nfs/dbraw/zinc/09/65/28/953096528.db2.gz WVLNKACXKGWTAW-AWEZNQCLSA-N 0 2 316.423 0.421 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CCC(Cc2c[nH]c[nH+]2)CC1 ZINC001412812353 953109317 /nfs/dbraw/zinc/10/93/17/953109317.db2.gz MUHAAPFKNHQBOE-UHFFFAOYSA-N 0 2 322.394 0.807 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N2CCNNCC2)=N1 ZINC001342135324 953271643 /nfs/dbraw/zinc/27/16/43/953271643.db2.gz WWCHXSIBSYBKGC-UHFFFAOYSA-N 0 2 319.340 0.575 20 0 DCADLN Cc1cncn1CC(=O)OCCc1c(C)nc2nc[nH]n2c1=O ZINC001342715034 953304010 /nfs/dbraw/zinc/30/40/10/953304010.db2.gz HDXGSHBLHBLFFP-UHFFFAOYSA-N 0 2 316.321 0.017 20 0 DCADLN C[C@H](CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001366011149 953575582 /nfs/dbraw/zinc/57/55/82/953575582.db2.gz WLAYFDOQBISCRD-GHMZBOCLSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C(=O)[O-])n[nH]1 ZINC001589288154 953583040 /nfs/dbraw/zinc/58/30/40/953583040.db2.gz BNCXFAFBXWBMGC-ZJUUUORDSA-N 0 2 308.338 0.043 20 0 DCADLN O=C(N[C@H]1C[C@H](C(=O)[O-])C1)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001594795095 953709196 /nfs/dbraw/zinc/70/91/96/953709196.db2.gz SCKPFVNBJYZJKB-XYPYZODXSA-N 0 2 320.349 0.026 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)[C@H]2CC(C(=O)[O-])=NO2)n1 ZINC001593703516 954139406 /nfs/dbraw/zinc/13/94/06/954139406.db2.gz AYRIHYFOMSEZHG-SECBINFHSA-N 0 2 312.351 0.050 20 0 DCADLN CCCCCS(=O)(=O)CC(=O)NCc1nnc(COC)[nH]1 ZINC001347842817 954172100 /nfs/dbraw/zinc/17/21/00/954172100.db2.gz QEGFSAXWHJIFKE-UHFFFAOYSA-N 0 2 318.399 0.172 20 0 DCADLN Nc1nc2nc(CN3CCOC[C@H]3c3ccco3)cc(=O)n2[nH]1 ZINC001347919118 954179662 /nfs/dbraw/zinc/17/96/62/954179662.db2.gz JQTBREHDIAPGNL-JTQLQIEISA-N 0 2 316.321 0.166 20 0 DCADLN CN(C)C(=O)C1CCC(C(=O)NCCCc2n[nH]c(=O)[nH]2)CC1 ZINC001347979203 954188224 /nfs/dbraw/zinc/18/82/24/954188224.db2.gz VAJURIURRJZJBM-UHFFFAOYSA-N 0 2 323.397 0.454 20 0 DCADLN Cc1cc(=O)n2nc(NC(=O)c3ncn(C(C)(C)C)n3)[nH]c2n1 ZINC001348039055 954191688 /nfs/dbraw/zinc/19/16/88/954191688.db2.gz YJCREPFYZKGGBA-UHFFFAOYSA-N 0 2 316.325 0.325 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1ccncn1 ZINC001283272786 954207481 /nfs/dbraw/zinc/20/74/81/954207481.db2.gz CSOFFNMACKSFGM-MRVPVSSYSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1ccncn1 ZINC001283272786 954207493 /nfs/dbraw/zinc/20/74/93/954207493.db2.gz CSOFFNMACKSFGM-MRVPVSSYSA-N 0 2 308.235 0.613 20 0 DCADLN COC(=O)C1=NO[C@@]2(CCN(c3[nH+]cccc3C(=O)[O-])C2)C1 ZINC001593815039 954994602 /nfs/dbraw/zinc/99/46/02/954994602.db2.gz AKNAXMGFSUZJJH-AWEZNQCLSA-N 0 2 305.290 0.678 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N(C)C[C@@H](C)C(=O)[O-] ZINC001589514997 955062038 /nfs/dbraw/zinc/06/20/38/955062038.db2.gz QVPAJYZOMKIKPE-NEPJUHHUSA-N 0 2 301.387 0.459 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC001350422874 955089350 /nfs/dbraw/zinc/08/93/50/955089350.db2.gz KRQZIKIQOZEJQP-INIZCTEOSA-N 0 2 322.361 0.947 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C)C(=O)[O-] ZINC001589538563 955182972 /nfs/dbraw/zinc/18/29/72/955182972.db2.gz QQFOWBRVNFAJCE-GRYCIOLGSA-N 0 2 301.387 0.506 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C)C(=O)[O-] ZINC001589538563 955182978 /nfs/dbraw/zinc/18/29/78/955182978.db2.gz QQFOWBRVNFAJCE-GRYCIOLGSA-N 0 2 301.387 0.506 20 0 DCADLN C/C(=C/C(=O)NCc1cc(C(=O)[O-])co1)C[NH+]1CCOCC1 ZINC001350781999 955187578 /nfs/dbraw/zinc/18/75/78/955187578.db2.gz IRONHZQZPCBKRI-WDZFZDKYSA-N 0 2 308.334 0.873 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H]1CC[N@H+](Cc2cncn2C)C1)[NH+](C)C ZINC001367037767 955242860 /nfs/dbraw/zinc/24/28/60/955242860.db2.gz HNJKZJWDAAIDTQ-HIFRSBDPSA-N 0 2 307.442 0.697 20 0 DCADLN C[C@H](CC(=O)[O-])NC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001588999779 955313931 /nfs/dbraw/zinc/31/39/31/955313931.db2.gz RYUIDJKBKJFZQI-GFCCVEGCSA-N 0 2 321.381 0.210 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc(C(=O)[O-])nc1 ZINC001589038574 955570544 /nfs/dbraw/zinc/57/05/44/955570544.db2.gz DNVCCLZOIIOJDG-NSHDSACASA-N 0 2 307.350 0.573 20 0 DCADLN Cn1cc([C@@H]2CSCCC[N@@H+]2CC(=O)NCC(=O)[O-])cn1 ZINC001594536930 955582396 /nfs/dbraw/zinc/58/23/96/955582396.db2.gz GTOAIEXZYPREME-NSHDSACASA-N 0 2 312.395 0.101 20 0 DCADLN Cn1cc([C@@H]2CSCCC[N@H+]2CC(=O)NCC(=O)[O-])cn1 ZINC001594536930 955582412 /nfs/dbraw/zinc/58/24/12/955582412.db2.gz GTOAIEXZYPREME-NSHDSACASA-N 0 2 312.395 0.101 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001416764839 955747163 /nfs/dbraw/zinc/74/71/63/955747163.db2.gz JTEDANFNVROJEL-YUMQZZPRSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001416764839 955747167 /nfs/dbraw/zinc/74/71/67/955747167.db2.gz JTEDANFNVROJEL-YUMQZZPRSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001416764832 955747260 /nfs/dbraw/zinc/74/72/60/955747260.db2.gz JTEDANFNVROJEL-SFYZADRCSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001416764832 955747268 /nfs/dbraw/zinc/74/72/68/955747268.db2.gz JTEDANFNVROJEL-SFYZADRCSA-N 0 2 311.235 0.122 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594558856 955792628 /nfs/dbraw/zinc/79/26/28/955792628.db2.gz YEUMRFDWPORGOR-JYHUIVOISA-N 0 2 322.365 0.632 20 0 DCADLN C[C@H](NC(=O)C[NH2+][C@](C)(C(=O)[O-])c1ccccc1)C(=O)N(C)C ZINC001589096468 955989367 /nfs/dbraw/zinc/98/93/67/955989367.db2.gz YJDXUXSSGSINMP-ZBEGNZNMSA-N 0 2 321.377 0.169 20 0 DCADLN C[C@@H](NC(=O)Nc1cccnc1C(=O)[O-])[C@@H]1CN(C)CC[N@@H+]1C ZINC001589108414 956044357 /nfs/dbraw/zinc/04/43/57/956044357.db2.gz JCGFIOCWUBTVPX-PWSUYJOCSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@@H](NC(=O)Nc1cccnc1C(=O)[O-])[C@@H]1CN(C)CC[N@H+]1C ZINC001589108414 956044367 /nfs/dbraw/zinc/04/43/67/956044367.db2.gz JCGFIOCWUBTVPX-PWSUYJOCSA-N 0 2 321.381 0.536 20 0 DCADLN COC[C@H](C[N@@H+]1CCO[C@@H](C)C1)OC(=O)[C@@H]1CC[C@@H]1C(=O)[O-] ZINC001593957161 956443047 /nfs/dbraw/zinc/44/30/47/956443047.db2.gz AZCJCLSGOFDARP-ZDEQEGDKSA-N 0 2 315.366 0.376 20 0 DCADLN COC[C@H](C[N@H+]1CCO[C@@H](C)C1)OC(=O)[C@@H]1CC[C@@H]1C(=O)[O-] ZINC001593957161 956443051 /nfs/dbraw/zinc/44/30/51/956443051.db2.gz AZCJCLSGOFDARP-ZDEQEGDKSA-N 0 2 315.366 0.376 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001289644342 956770620 /nfs/dbraw/zinc/77/06/20/956770620.db2.gz DMPXHUZPCQSNEN-VPDCVBLDSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001289644342 956770634 /nfs/dbraw/zinc/77/06/34/956770634.db2.gz DMPXHUZPCQSNEN-VPDCVBLDSA-N 0 2 324.274 0.541 20 0 DCADLN O=C([O-])C(=O)COC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC001594711608 956888115 /nfs/dbraw/zinc/88/81/15/956888115.db2.gz BXNMHHIUHIIMPJ-UHFFFAOYSA-N 0 2 302.286 0.671 20 0 DCADLN COCCN(C)c1ccc(C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)cn1 ZINC001593995788 956895838 /nfs/dbraw/zinc/89/58/38/956895838.db2.gz UMHKIYHYGWRTSG-CYBMUJFWSA-N 0 2 309.366 0.450 20 0 DCADLN COCCN(C)c1ccc(C[N@H+]2CCO[C@@H](C(=O)[O-])C2)cn1 ZINC001593995788 956895851 /nfs/dbraw/zinc/89/58/51/956895851.db2.gz UMHKIYHYGWRTSG-CYBMUJFWSA-N 0 2 309.366 0.450 20 0 DCADLN O=C(C[C@H]1CCOC1)NCCCNC(=O)C(F)C(F)(F)F ZINC001293212857 957313750 /nfs/dbraw/zinc/31/37/50/957313750.db2.gz FNECRPVYLMHRJJ-PSASIEDQSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(C[C@H]1CCOC1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001293212857 957313761 /nfs/dbraw/zinc/31/37/61/957313761.db2.gz FNECRPVYLMHRJJ-PSASIEDQSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cncnc1 ZINC001357753248 957375638 /nfs/dbraw/zinc/37/56/38/957375638.db2.gz SDESJVJXASOUAC-IYABHPQVSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1cncnc1 ZINC001357753248 957375646 /nfs/dbraw/zinc/37/56/46/957375646.db2.gz SDESJVJXASOUAC-IYABHPQVSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(-c2ccccc2O)n[nH]1 ZINC001294476345 957535342 /nfs/dbraw/zinc/53/53/42/957535342.db2.gz YGLFCVBVUGSTLU-UHFFFAOYSA-N 0 2 300.278 0.536 20 0 DCADLN C[C@@H](CNCc1nnn(C)n1)N(C)C(=O)C(F)C(F)(F)F ZINC001367402831 957772381 /nfs/dbraw/zinc/77/23/81/957772381.db2.gz BNNOUUPIPNNSJY-XPUUQOCRSA-N 0 2 312.271 0.047 20 0 DCADLN COC[C@H](C)[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367515376 957941497 /nfs/dbraw/zinc/94/14/97/957941497.db2.gz SVXQXXIZPNIXNV-QWRGUYRKSA-N 0 2 313.402 0.319 20 0 DCADLN COC[C@H](C)[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367515376 957941510 /nfs/dbraw/zinc/94/15/10/957941510.db2.gz SVXQXXIZPNIXNV-QWRGUYRKSA-N 0 2 313.402 0.319 20 0 DCADLN Cc1nccnc1[C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361645241 958169544 /nfs/dbraw/zinc/16/95/44/958169544.db2.gz NUNAXYPEODVQBO-VIFPVBQESA-N 0 2 313.321 0.047 20 0 DCADLN COc1cccc([C@@H]2CN(C(=O)COCC(=O)[O-])CC[NH2+]2)c1 ZINC001594125653 958634750 /nfs/dbraw/zinc/63/47/50/958634750.db2.gz WBNBVHIYTODUTN-ZDUSSCGKSA-N 0 2 308.334 0.269 20 0 DCADLN CN(CC(N)=O)C(=O)c1cc(Br)c(F)cc1O ZINC001362054529 958661918 /nfs/dbraw/zinc/66/19/18/958661918.db2.gz PVVWBRIGTNWLCS-UHFFFAOYSA-N 0 2 305.103 0.851 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(C(F)(F)F)c[nH]c1=O ZINC001362061199 958673050 /nfs/dbraw/zinc/67/30/50/958673050.db2.gz FTRIIZLLADTFNG-UHFFFAOYSA-N 0 2 303.200 0.560 20 0 DCADLN O=C(CC[C@H]1CCCO1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367944098 958923946 /nfs/dbraw/zinc/92/39/46/958923946.db2.gz SJRGRABYEXHDFT-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(CCCC(F)(F)F)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367961622 958962952 /nfs/dbraw/zinc/96/29/52/958962952.db2.gz PWWSMQPWGQOWPW-MRVPVSSYSA-N 0 2 321.303 0.933 20 0 DCADLN C[C@@]1(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCCOC1 ZINC001362289671 959079577 /nfs/dbraw/zinc/07/95/77/959079577.db2.gz ZPLQVVURMHWZOI-OAHLLOKOSA-N 0 2 318.333 0.565 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2cnns2)c1 ZINC001362369220 959229769 /nfs/dbraw/zinc/22/97/69/959229769.db2.gz SXDWIVPXBJKMDT-UHFFFAOYSA-N 0 2 300.321 0.143 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@H](C)O1 ZINC001362579452 959636828 /nfs/dbraw/zinc/63/68/28/959636828.db2.gz ZKVSJRIWTABWPW-AOOOYVTPSA-N 0 2 318.333 0.516 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNC(=O)[C@]1(C)CCCOC1 ZINC001377204623 959688612 /nfs/dbraw/zinc/68/86/12/959688612.db2.gz SATDRTRZLOOSSI-BDJLRTHQSA-N 0 2 322.409 0.698 20 0 DCADLN COc1cccc(C(=O)NCCN(C)Cc2n[nH]c(=O)[nH]2)c1F ZINC001377235710 959757977 /nfs/dbraw/zinc/75/79/77/959757977.db2.gz JWSOORUILPKWAX-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN COc1cccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1F ZINC001377235710 959757983 /nfs/dbraw/zinc/75/79/83/959757983.db2.gz JWSOORUILPKWAX-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN COc1cccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1F ZINC001377235710 959757993 /nfs/dbraw/zinc/75/79/93/959757993.db2.gz JWSOORUILPKWAX-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC[C@@H](O)[C@@H](C)O)=N2)cc1 ZINC001362718616 959882322 /nfs/dbraw/zinc/88/23/22/959882322.db2.gz BQVWKBBSOITXGL-BXKDBHETSA-N 0 2 321.333 0.058 20 0 DCADLN COC(=O)[C@@]1(C)CN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@@H]1C ZINC001362781451 959978044 /nfs/dbraw/zinc/97/80/44/959978044.db2.gz VYYDMIISJPTPDM-UPFKWVMWSA-N 0 2 313.379 0.200 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N(CC2CC2)[C@H](C(N)=O)C2CC2)S1 ZINC001362787870 959987314 /nfs/dbraw/zinc/98/73/14/959987314.db2.gz FEBHHUUCVXHRNR-KOLCDFICSA-N 0 2 324.406 0.045 20 0 DCADLN CCOC(=O)CC(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001302343678 960020119 /nfs/dbraw/zinc/02/01/19/960020119.db2.gz PRBVFECQMRQCRR-NSHDSACASA-N 0 2 318.333 0.864 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](c3nnc[nH]3)C2)S1 ZINC001362853714 960090090 /nfs/dbraw/zinc/09/00/90/960090090.db2.gz VURKBWQROZTYMD-HTQZYQBOSA-N 0 2 308.367 0.067 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2CCC[C@@H](c3nnc[nH]3)C2)S1 ZINC001362853714 960090100 /nfs/dbraw/zinc/09/01/00/960090100.db2.gz VURKBWQROZTYMD-HTQZYQBOSA-N 0 2 308.367 0.067 20 0 DCADLN Nc1nc2nc(C[N@H+](Cc3ccoc3)C3CC3)cc(=O)n2[n-]1 ZINC001308107356 960168622 /nfs/dbraw/zinc/16/86/22/960168622.db2.gz NEIMSNKLOIEHGS-UHFFFAOYSA-N 0 2 300.322 0.757 20 0 DCADLN Nc1nc2nc(C[N@@H+](Cc3ccoc3)C3CC3)cc(=O)n2[n-]1 ZINC001308107356 960168635 /nfs/dbraw/zinc/16/86/35/960168635.db2.gz NEIMSNKLOIEHGS-UHFFFAOYSA-N 0 2 300.322 0.757 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CCN(c3cc(=O)[nH]cn3)C2)c1C ZINC001363046642 960341418 /nfs/dbraw/zinc/34/14/18/960341418.db2.gz ZSEXEQSHUBOEMO-JTQLQIEISA-N 0 2 302.338 0.531 20 0 DCADLN CCOC(=O)c1n[nH]c([C@H](C)NC(=O)CCc2cc(C)[nH]n2)n1 ZINC001363047679 960343755 /nfs/dbraw/zinc/34/37/55/960343755.db2.gz XIGONQNOJCNELL-VIFPVBQESA-N 0 2 320.353 0.823 20 0 DCADLN Cn1nc2c(c1NC(=O)CC1SC(=N)NC1=O)CCCC2 ZINC001363073848 960374034 /nfs/dbraw/zinc/37/40/34/960374034.db2.gz TXMXRONBBPZFEK-SECBINFHSA-N 0 2 307.379 0.794 20 0 DCADLN CCn1nc(C)cc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001363095416 960393941 /nfs/dbraw/zinc/39/39/41/960393941.db2.gz PFDMVISAZMXKFV-UHFFFAOYSA-N 0 2 324.362 0.817 20 0 DCADLN O=C(NC[C@H]1CC[C@H](O)C1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363133949 960450023 /nfs/dbraw/zinc/45/00/23/960450023.db2.gz CUSNHTLLLALAOK-CABZTGNLSA-N 0 2 318.333 0.157 20 0 DCADLN COC(=O)NCC(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001363151655 960489053 /nfs/dbraw/zinc/48/90/53/960489053.db2.gz XIPIVSYHMAQKAL-SNVBAGLBSA-N 0 2 319.321 0.266 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3nc[nH]c(=O)c32)S1 ZINC001363312969 960868678 /nfs/dbraw/zinc/86/86/78/960868678.db2.gz YMSLMECCXAEUOW-MRVPVSSYSA-N 0 2 317.330 0.830 20 0 DCADLN COc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c(OC)c1 ZINC001363318967 960882510 /nfs/dbraw/zinc/88/25/10/960882510.db2.gz HYDWAVXRPCZCOW-UHFFFAOYSA-N 0 2 305.290 0.835 20 0 DCADLN CC(C)(C)OC(=O)NC[C@]1(CO)COCC[N@@H+]1CCC(=O)[O-] ZINC001588708486 960894071 /nfs/dbraw/zinc/89/40/71/960894071.db2.gz HRKKNZPBWOZWIA-AWEZNQCLSA-N 0 2 318.370 0.049 20 0 DCADLN CC(C)(C)OC(=O)NC[C@]1(CO)COCC[N@H+]1CCC(=O)[O-] ZINC001588708486 960894096 /nfs/dbraw/zinc/89/40/96/960894096.db2.gz HRKKNZPBWOZWIA-AWEZNQCLSA-N 0 2 318.370 0.049 20 0 DCADLN CCC[C@@H](C)NC(=O)CN1CC(NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001377943599 961029871 /nfs/dbraw/zinc/02/98/71/961029871.db2.gz ZNQYKFKMDDCGHL-LLVKDONJSA-N 0 2 321.425 0.366 20 0 DCADLN COCCC1(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378064129 961350654 /nfs/dbraw/zinc/35/06/54/961350654.db2.gz WDFHQSMTAWQHPB-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COCCC1(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378064129 961350674 /nfs/dbraw/zinc/35/06/74/961350674.db2.gz WDFHQSMTAWQHPB-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC001571131082 961546691 /nfs/dbraw/zinc/54/66/91/961546691.db2.gz RPKYAGHPVRCMHV-WCQYABFASA-N 0 2 317.301 0.550 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@H+]3C[C@@H](C)O[C@H](C(=O)[O-])C3)nc12 ZINC001571133374 961590434 /nfs/dbraw/zinc/59/04/34/961590434.db2.gz PKIAVXSNCYANTE-YPMHNXCESA-N 0 2 317.345 0.677 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@@H+]3C[C@@H](C)O[C@H](C(=O)[O-])C3)nc12 ZINC001571133374 961590451 /nfs/dbraw/zinc/59/04/51/961590451.db2.gz PKIAVXSNCYANTE-YPMHNXCESA-N 0 2 317.345 0.677 20 0 DCADLN CCCc1nc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001378186110 961620889 /nfs/dbraw/zinc/62/08/89/961620889.db2.gz MDFQKBQDVSVUSQ-VIFPVBQESA-N 0 2 322.369 0.701 20 0 DCADLN CCCc1nc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001378186110 961620896 /nfs/dbraw/zinc/62/08/96/961620896.db2.gz MDFQKBQDVSVUSQ-VIFPVBQESA-N 0 2 322.369 0.701 20 0 DCADLN CO[C@H](CC(C)C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363675246 961677031 /nfs/dbraw/zinc/67/70/31/961677031.db2.gz ZHABGNOJYRIWLF-SECBINFHSA-N 0 2 318.399 0.027 20 0 DCADLN C[C@H](NC(=O)[C@H](C)NC(=O)Nc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC001571143310 961693279 /nfs/dbraw/zinc/69/32/79/961693279.db2.gz SBBQWUQYJJQJBX-IUCAKERBSA-N 0 2 319.321 0.434 20 0 DCADLN Cc1nnc2n1CCN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@H]2C ZINC001363706870 961734267 /nfs/dbraw/zinc/73/42/67/961734267.db2.gz WBHBGQJUFIJMCQ-XPUUQOCRSA-N 0 2 308.367 0.046 20 0 DCADLN Cc1nnc2n1CCN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@@H]2C ZINC001363706865 961736127 /nfs/dbraw/zinc/73/61/27/961736127.db2.gz WBHBGQJUFIJMCQ-SVRRBLITSA-N 0 2 308.367 0.046 20 0 DCADLN CCCC1(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001447142137 1013282497 /nfs/dbraw/zinc/28/24/97/1013282497.db2.gz YSWCEQQLRNISOZ-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2ncnc3c2CCCC3)[nH]1 ZINC001363764029 961832026 /nfs/dbraw/zinc/83/20/26/961832026.db2.gz URINXSDPIOJMDE-UHFFFAOYSA-N 0 2 322.394 0.630 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001571155622 961858319 /nfs/dbraw/zinc/85/83/19/961858319.db2.gz BBGJMWPIPFNHID-DGCLKSJQSA-N 0 2 322.365 0.719 20 0 DCADLN C[C@@H](NC(=O)C1CCCC1)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001571156573 961880291 /nfs/dbraw/zinc/88/02/91/961880291.db2.gz ZREFXLNUDNRLLW-ZYHUDNBSSA-N 0 2 322.365 0.147 20 0 DCADLN C[C@H](CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001379767681 961984152 /nfs/dbraw/zinc/98/41/52/961984152.db2.gz KJOASYIGHSLLIS-NWDGAFQWSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@H](CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)n1cccc1 ZINC001379767681 961984169 /nfs/dbraw/zinc/98/41/69/961984169.db2.gz KJOASYIGHSLLIS-NWDGAFQWSA-N 0 2 320.397 0.900 20 0 DCADLN C[C@@H](NC(=O)c1ccnc2c1CC(=O)N2)c1nn(C)cc1O ZINC001363850529 962017774 /nfs/dbraw/zinc/01/77/74/962017774.db2.gz LZEJQYRDBVTHCP-SSDOTTSWSA-N 0 2 301.306 0.506 20 0 DCADLN C[C@H](NC(=O)[C@]12CCC(=O)N1CCS2)c1nn(C)cc1O ZINC001363854258 962023201 /nfs/dbraw/zinc/02/32/01/962023201.db2.gz UHHWWFFLKUIKDI-ISVAXAHUSA-N 0 2 310.379 0.368 20 0 DCADLN OCc1nnc(CNCc2ncc(Br)s2)[nH]1 ZINC001363890306 962087858 /nfs/dbraw/zinc/08/78/58/962087858.db2.gz HZGOFKROXNUREE-UHFFFAOYSA-N 0 2 304.173 0.806 20 0 DCADLN COC(=O)C1CC2(C1)CN(C(=O)Cc1c[nH+]c[nH]1)C[C@@H]2C(=O)[O-] ZINC001574045217 962100341 /nfs/dbraw/zinc/10/03/41/962100341.db2.gz HRDKULQTILPMOH-QMJNDHNRSA-N 0 2 321.333 0.065 20 0 DCADLN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)C(F)C(F)(F)F ZINC001364053137 962372897 /nfs/dbraw/zinc/37/28/97/962372897.db2.gz CSRNPIXKCUNROY-SSDOTTSWSA-N 0 2 323.250 0.223 20 0 DCADLN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)[C@@H](F)C(F)(F)F ZINC001364053137 962372921 /nfs/dbraw/zinc/37/29/21/962372921.db2.gz CSRNPIXKCUNROY-SSDOTTSWSA-N 0 2 323.250 0.223 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](c3ncon3)C2)S1 ZINC001364180115 962623852 /nfs/dbraw/zinc/62/38/52/962623852.db2.gz YBCRJKDCDIIUIY-SFYZADRCSA-N 0 2 309.351 0.332 20 0 DCADLN C[C@H](CC(=O)[O-])NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001588992746 962803193 /nfs/dbraw/zinc/80/31/93/962803193.db2.gz AHWNGQSTJJRJCV-SNVBAGLBSA-N 0 2 316.317 0.790 20 0 DCADLN COCC(=O)N1CCC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001380199852 962854628 /nfs/dbraw/zinc/85/46/28/962854628.db2.gz SMRFFPYFIXXUNO-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N1CCC[C@@H]1CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001380199852 962854641 /nfs/dbraw/zinc/85/46/41/962854641.db2.gz SMRFFPYFIXXUNO-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)Nc1cnc2c(c1)CCCC2 ZINC001364333552 962909044 /nfs/dbraw/zinc/90/90/44/962909044.db2.gz YQZHLJUVOSGNBI-UHFFFAOYSA-N 0 2 302.338 0.893 20 0 DCADLN C[C@@H](C[NH2+]Cc1nnc(C2CC2)o1)NC(=O)[C@H]1CCC[N@@H+]1C ZINC001379489335 963042953 /nfs/dbraw/zinc/04/29/53/963042953.db2.gz GLPOOEPWGSMVDT-CMPLNLGQSA-N 0 2 307.398 0.636 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379634780 963300892 /nfs/dbraw/zinc/30/08/92/963300892.db2.gz SPXMJNISZJQVLW-VHSXEESVSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379634780 963300903 /nfs/dbraw/zinc/30/09/03/963300903.db2.gz SPXMJNISZJQVLW-VHSXEESVSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)COCC2CC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001379641343 963314145 /nfs/dbraw/zinc/31/41/45/963314145.db2.gz VCZFXRTZFJEPOO-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)COCC2CC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001379641343 963314154 /nfs/dbraw/zinc/31/41/54/963314154.db2.gz VCZFXRTZFJEPOO-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1conc1C[N@H+]1CC[C@@](O)(CNC(=O)C[NH+](C)C(C)C)C1 ZINC001380566581 963364710 /nfs/dbraw/zinc/36/47/10/963364710.db2.gz LLJZQKRQNMKHLH-MRXNPFEDSA-N 0 2 324.425 0.376 20 0 DCADLN COCC(=O)NCc1ccc2c(c1)CN(Cc1n[nH]c(=O)[nH]1)C2 ZINC001381065311 963791980 /nfs/dbraw/zinc/79/19/80/963791980.db2.gz FIIWAAXPIVYVFD-UHFFFAOYSA-N 0 2 317.349 0.289 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001369076115 964371241 /nfs/dbraw/zinc/37/12/41/964371241.db2.gz PRGFJTTVOIRQEL-OIBJUYFYSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001369076115 964371246 /nfs/dbraw/zinc/37/12/46/964371246.db2.gz PRGFJTTVOIRQEL-OIBJUYFYSA-N 0 2 323.294 0.652 20 0 DCADLN CCN(C(=O)CC(C)(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373710657 964511093 /nfs/dbraw/zinc/51/10/93/964511093.db2.gz YCDOULWFDSLLDE-VIFPVBQESA-N 0 2 317.340 0.978 20 0 DCADLN CCN(C(=O)CC(C)(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373710657 964511100 /nfs/dbraw/zinc/51/11/00/964511100.db2.gz YCDOULWFDSLLDE-VIFPVBQESA-N 0 2 317.340 0.978 20 0 DCADLN CCN(C(=O)CC(C)(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373710657 964511107 /nfs/dbraw/zinc/51/11/07/964511107.db2.gz YCDOULWFDSLLDE-VIFPVBQESA-N 0 2 317.340 0.978 20 0 DCADLN CCN(CCNC(=O)c1cncn1C)C(=O)C(F)C(F)(F)F ZINC001373862602 964727586 /nfs/dbraw/zinc/72/75/86/964727586.db2.gz CLXIMBCBCMFQKZ-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)c1cncn1C)C(=O)[C@H](F)C(F)(F)F ZINC001373862602 964727596 /nfs/dbraw/zinc/72/75/96/964727596.db2.gz CLXIMBCBCMFQKZ-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN O=C(Cc1ccsc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001374030690 964909898 /nfs/dbraw/zinc/90/98/98/964909898.db2.gz MSTHKXOJFBVGLF-UHFFFAOYSA-N 0 2 307.379 0.459 20 0 DCADLN Cc1c[nH]nc1C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001369519623 965129939 /nfs/dbraw/zinc/12/99/39/965129939.db2.gz JFMKNMRRPPYFEE-UHFFFAOYSA-N 0 2 321.385 0.524 20 0 DCADLN Cc1c[nH]nc1C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001369519623 965129944 /nfs/dbraw/zinc/12/99/44/965129944.db2.gz JFMKNMRRPPYFEE-UHFFFAOYSA-N 0 2 321.385 0.524 20 0 DCADLN O=C(CCc1ccco1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001374222844 965153173 /nfs/dbraw/zinc/15/31/73/965153173.db2.gz MTWBBDKKPWVLKN-UHFFFAOYSA-N 0 2 305.338 0.380 20 0 DCADLN O=C(NCC1CC(NC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001369631499 965231004 /nfs/dbraw/zinc/23/10/04/965231004.db2.gz PIFZVABTHKFDIG-RRQHEKLDSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NCC1CC(NC(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001369631499 965231012 /nfs/dbraw/zinc/23/10/12/965231012.db2.gz PIFZVABTHKFDIG-RRQHEKLDSA-N 0 2 322.262 0.935 20 0 DCADLN CC1(CC(=O)NCC2(O)CN(Cc3n[nH]c(=O)[nH]3)C2)CCCC1 ZINC001376164930 965318051 /nfs/dbraw/zinc/31/80/51/965318051.db2.gz FSYLOYUVNXJIBJ-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CCNC(=O)CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001369816894 965446450 /nfs/dbraw/zinc/44/64/50/965446450.db2.gz KNMVAFRPOODVPF-SCZZXKLOSA-N 0 2 313.295 0.604 20 0 DCADLN C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)N(C)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001369942461 965594595 /nfs/dbraw/zinc/59/45/95/965594595.db2.gz YJAZPJOSIJVMEF-NEPJUHHUSA-N 0 2 307.398 0.010 20 0 DCADLN CC(C)[C@@H](C)C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001376661736 965951019 /nfs/dbraw/zinc/95/10/19/965951019.db2.gz XLRISMNWLHFBKK-GHMZBOCLSA-N 0 2 311.386 0.119 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001374839683 966010171 /nfs/dbraw/zinc/01/01/71/966010171.db2.gz FMEPNOLCXHJWGP-BDAKNGLRSA-N 0 2 314.279 0.478 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001374839683 966010182 /nfs/dbraw/zinc/01/01/82/966010182.db2.gz FMEPNOLCXHJWGP-BDAKNGLRSA-N 0 2 314.279 0.478 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)[NH2+]Cc2nc(C3CC3)no2)c1[O-] ZINC001376717982 966054459 /nfs/dbraw/zinc/05/44/59/966054459.db2.gz YLCBHFHUJIRHFA-SSDOTTSWSA-N 0 2 320.353 0.592 20 0 DCADLN CC[NH+](CC)CC(=O)N[C@H](C)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001370581125 966260368 /nfs/dbraw/zinc/26/03/68/966260368.db2.gz XMDLVDKHOORRKT-GFCCVEGCSA-N 0 2 323.441 0.566 20 0 DCADLN CCc1nc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001375032487 966264701 /nfs/dbraw/zinc/26/47/01/966264701.db2.gz LVCLWPYHGKXLOD-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1nc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001375032487 966264710 /nfs/dbraw/zinc/26/47/10/966264710.db2.gz LVCLWPYHGKXLOD-MRVPVSSYSA-N 0 2 308.342 0.311 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001370715657 966409295 /nfs/dbraw/zinc/40/92/95/966409295.db2.gz WFRTYOXPBLUXEO-POYBYMJQSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccco1 ZINC001370715657 966409296 /nfs/dbraw/zinc/40/92/96/966409296.db2.gz WFRTYOXPBLUXEO-POYBYMJQSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(CC1(O)CCC1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001370862919 966548845 /nfs/dbraw/zinc/54/88/45/966548845.db2.gz MWWZDLYZJMAQAT-LLVKDONJSA-N 0 2 323.397 0.286 20 0 DCADLN O=C(CC1(O)CCC1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001370862919 966548850 /nfs/dbraw/zinc/54/88/50/966548850.db2.gz MWWZDLYZJMAQAT-LLVKDONJSA-N 0 2 323.397 0.286 20 0 DCADLN COCC(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)[C@H]1C ZINC001371802603 967351567 /nfs/dbraw/zinc/35/15/67/967351567.db2.gz XTNMPHLGLWEVGW-BKPPORCPSA-N 0 2 300.252 0.639 20 0 DCADLN COCC(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)[C@H]1C ZINC001371802603 967351576 /nfs/dbraw/zinc/35/15/76/967351576.db2.gz XTNMPHLGLWEVGW-BKPPORCPSA-N 0 2 300.252 0.639 20 0 DCADLN COc1ccc(C[N@H+](C)CCNC(=O)c2[nH]nc(C)c2[O-])nn1 ZINC001371943876 967470850 /nfs/dbraw/zinc/47/08/50/967470850.db2.gz GDOKXZUPYFQGAA-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN COc1ccc(C[N@@H+](C)CCNC(=O)c2[nH]nc(C)c2[O-])nn1 ZINC001371943876 967470853 /nfs/dbraw/zinc/47/08/53/967470853.db2.gz GDOKXZUPYFQGAA-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN C[N@H+](CCc1ccc(F)cc1)CCS(=O)(=O)CC(=O)[O-] ZINC000287189436 967906061 /nfs/dbraw/zinc/90/60/61/967906061.db2.gz KXGBYHAAKTXREU-UHFFFAOYSA-N 0 2 303.355 0.799 20 0 DCADLN C[N@@H+](CCc1ccc(F)cc1)CCS(=O)(=O)CC(=O)[O-] ZINC000287189436 967906066 /nfs/dbraw/zinc/90/60/66/967906066.db2.gz KXGBYHAAKTXREU-UHFFFAOYSA-N 0 2 303.355 0.799 20 0 DCADLN Cc1nocc1C[N@H+]1CCC[C@@H]1CNC(=O)Cc1nnc[nH]1 ZINC001372572441 968133322 /nfs/dbraw/zinc/13/33/22/968133322.db2.gz TULUDEAHXZMADE-GFCCVEGCSA-N 0 2 304.354 0.424 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(F)(F)F ZINC001372849304 968459029 /nfs/dbraw/zinc/45/90/29/968459029.db2.gz OSFRNOFUNUPSIQ-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C(F)(F)F ZINC001372849304 968459038 /nfs/dbraw/zinc/45/90/38/968459038.db2.gz OSFRNOFUNUPSIQ-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@@H](C(=O)NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)C(F)(F)F ZINC001372849304 968459045 /nfs/dbraw/zinc/45/90/45/968459045.db2.gz OSFRNOFUNUPSIQ-ZETCQYMHSA-N 0 2 321.303 0.789 20 0 DCADLN CCn1nnc(C)c1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001373427665 969082087 /nfs/dbraw/zinc/08/20/87/969082087.db2.gz LZDQBKPFPUBUOI-JTQLQIEISA-N 0 2 323.294 0.807 20 0 DCADLN CCn1nnc(C)c1CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001373427665 969082097 /nfs/dbraw/zinc/08/20/97/969082097.db2.gz LZDQBKPFPUBUOI-JTQLQIEISA-N 0 2 323.294 0.807 20 0 DCADLN C[C@H](NC(=O)CC[C@H]1CCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001448280716 1013857546 /nfs/dbraw/zinc/85/75/46/1013857546.db2.gz JVEANZYZWWGOKC-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)CNC(=O)C(F)C(F)(F)F ZINC001431029493 1013875910 /nfs/dbraw/zinc/87/59/10/1013875910.db2.gz CLEPLGJJXPQVBQ-IONNQARKSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001431029493 1013875913 /nfs/dbraw/zinc/87/59/13/1013875913.db2.gz CLEPLGJJXPQVBQ-IONNQARKSA-N 0 2 322.262 0.859 20 0 DCADLN O=C([O-])[C@H](c1ccccc1)N1CC[C@H]([NH2+]Cc2ncc[nH]2)C1=O ZINC001605909727 972767208 /nfs/dbraw/zinc/76/72/08/972767208.db2.gz HYJTWGLVTPCLJX-JSGCOSHPSA-N 0 2 314.345 0.926 20 0 DCADLN C[C@@H]1CCN(C(=O)c2cn(CC(=O)[O-])nn2)C[C@@H]1n1cc[nH+]c1 ZINC001603426125 973155721 /nfs/dbraw/zinc/15/57/21/973155721.db2.gz ABCLDMZLZNQFCQ-PWSUYJOCSA-N 0 2 318.337 0.283 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)O[C@H]3CCN(CC(=O)[O-])C3=O)ccn12 ZINC001605375429 973328941 /nfs/dbraw/zinc/32/89/41/973328941.db2.gz LPRJAEJXTXUXED-NSHDSACASA-N 0 2 317.301 0.485 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cccnc1C(=O)[O-] ZINC001603473175 973349806 /nfs/dbraw/zinc/34/98/06/973349806.db2.gz JYHDLMTUXHFBHM-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cccnc1C(=O)[O-] ZINC001603473175 973349821 /nfs/dbraw/zinc/34/98/21/973349821.db2.gz JYHDLMTUXHFBHM-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN Cc1ccc(S(=O)(=O)NCCc2c[nH+]cn2C)cc1C(=O)[O-] ZINC000401709718 973379642 /nfs/dbraw/zinc/37/96/42/973379642.db2.gz HIDPJHLQYZHFAH-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN O=C([O-])CCN(C(=O)[C@@H]1CCn2cc[nH+]c2C1)C1CCOCC1 ZINC001606183186 973433095 /nfs/dbraw/zinc/43/30/95/973433095.db2.gz ZSQYRJORGYSGSA-GFCCVEGCSA-N 0 2 321.377 0.928 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[NH2+]Cc1ccccc1S(C)(=O)=O ZINC001605555245 973775576 /nfs/dbraw/zinc/77/55/76/973775576.db2.gz BCMNMDXXYCGYNR-UHFFFAOYSA-N 0 2 323.374 0.812 20 0 DCADLN O=C([O-])[C@@H]1CC[C@@H]1C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC001606397623 973849049 /nfs/dbraw/zinc/84/90/49/973849049.db2.gz JNVZBZUWAHMRFR-HZSPNIEDSA-N 0 2 310.394 0.811 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H]1C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001606409998 973864255 /nfs/dbraw/zinc/86/42/55/973864255.db2.gz ONGKCQINPQUWSY-CHWSQXEVSA-N 0 2 322.365 0.265 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCN(c3cccc[nH+]3)CC2)[nH]n1 ZINC001606465483 973927931 /nfs/dbraw/zinc/92/79/31/973927931.db2.gz VPYSEKAPERQPCG-UHFFFAOYSA-N 0 2 301.306 0.465 20 0 DCADLN CC(C)OC(=O)C[C@@H]1CCC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001591676353 975447030 /nfs/dbraw/zinc/44/70/30/975447030.db2.gz BNCLEZFJAPNGAY-NSHDSACASA-N 0 2 310.354 0.988 20 0 DCADLN CC(C)OC(=O)C[C@@H]1CCC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001591676353 975447035 /nfs/dbraw/zinc/44/70/35/975447035.db2.gz BNCLEZFJAPNGAY-NSHDSACASA-N 0 2 310.354 0.988 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nc[nH]n2)C[C@@H]1O)c1c(O)cccc1F ZINC001549521141 1014290062 /nfs/dbraw/zinc/29/00/62/1014290062.db2.gz ZINYMFZFLIIXKN-AUTRQRHGSA-N 0 2 320.324 0.934 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)C[C@H](O)C[NH2+]Cc1nccs1 ZINC001449195767 1014293301 /nfs/dbraw/zinc/29/33/01/1014293301.db2.gz SXTFHYVPJOOONI-GFCCVEGCSA-N 0 2 323.422 0.257 20 0 DCADLN Cc1nc(C)c(C(=O)NCCNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001522685743 1014298533 /nfs/dbraw/zinc/29/85/33/1014298533.db2.gz DEOXBHZTDIVUQL-MRVPVSSYSA-N 0 2 310.251 0.773 20 0 DCADLN Cc1nc(C)c(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)[nH]1 ZINC001522685743 1014298541 /nfs/dbraw/zinc/29/85/41/1014298541.db2.gz DEOXBHZTDIVUQL-MRVPVSSYSA-N 0 2 310.251 0.773 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@H+](Cc2ccc(Br)cn2)C1 ZINC000706481077 977341131 /nfs/dbraw/zinc/34/11/31/977341131.db2.gz XWCNDXNZHSZRGF-NSHDSACASA-N 0 2 301.140 0.866 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@@H+](Cc2ccc(Br)cn2)C1 ZINC000706481077 977341137 /nfs/dbraw/zinc/34/11/37/977341137.db2.gz XWCNDXNZHSZRGF-NSHDSACASA-N 0 2 301.140 0.866 20 0 DCADLN C[C@@H](CC(=O)O[C@@H]1CCCN(CCC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001592428716 978051997 /nfs/dbraw/zinc/05/19/97/978051997.db2.gz GHGMKCNKQUQGJH-NWDGAFQWSA-N 0 2 323.349 0.843 20 0 DCADLN C[C@H](CN(C)c1ncc(C(=O)[O-])cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001592593020 978523580 /nfs/dbraw/zinc/52/35/80/978523580.db2.gz BUJHSQJBAZIGRC-SECBINFHSA-N 0 2 318.337 0.082 20 0 DCADLN O=C([O-])C1(C(=O)Nc2cnn(CC[NH+]3CCOCC3)c2)CC1 ZINC000738521332 978552001 /nfs/dbraw/zinc/55/20/01/978552001.db2.gz UGSOTHIZHXVSMA-UHFFFAOYSA-N 0 2 308.338 0.019 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC001592622848 978591049 /nfs/dbraw/zinc/59/10/49/978591049.db2.gz BPJNYTPQFLLABD-RWMBFGLXSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC001592624617 978600252 /nfs/dbraw/zinc/60/02/52/978600252.db2.gz QUUFQNGXHJOINU-YPMHNXCESA-N 0 2 313.398 0.507 20 0 DCADLN CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cc(C(=O)[O-])[nH]n1 ZINC001595488081 981233957 /nfs/dbraw/zinc/23/39/57/981233957.db2.gz MPAUEGQLTVCCBX-SECBINFHSA-N 0 2 316.383 0.213 20 0 DCADLN CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cc(C(=O)[O-])[nH]n1 ZINC001595488081 981233964 /nfs/dbraw/zinc/23/39/64/981233964.db2.gz MPAUEGQLTVCCBX-SECBINFHSA-N 0 2 316.383 0.213 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)Cc1cc[nH]n1 ZINC001449950105 1014806880 /nfs/dbraw/zinc/80/68/80/1014806880.db2.gz OHRPXKRRTBBOEQ-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)Cc1cc[nH]n1 ZINC001449950105 1014806888 /nfs/dbraw/zinc/80/68/88/1014806888.db2.gz OHRPXKRRTBBOEQ-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])[nH]n2)CC1 ZINC001594986169 982811191 /nfs/dbraw/zinc/81/11/91/982811191.db2.gz AROZPWZRSZDHDV-SECBINFHSA-N 0 2 316.383 0.213 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)C[C@@H]1C ZINC001596436309 984118481 /nfs/dbraw/zinc/11/84/81/984118481.db2.gz MXQNOQADBGSPOK-TUAOUCFPSA-N 0 2 304.412 0.596 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)C[C@@H]1C ZINC001596436309 984118482 /nfs/dbraw/zinc/11/84/82/984118482.db2.gz MXQNOQADBGSPOK-TUAOUCFPSA-N 0 2 304.412 0.596 20 0 DCADLN C/C(=C\C(=O)Nc1cc(C(=O)[O-])n(C)n1)C[NH+]1CCOCC1 ZINC001588944004 984643557 /nfs/dbraw/zinc/64/35/57/984643557.db2.gz LYEDDURNHYYXTR-JXMROGBWSA-N 0 2 308.338 0.335 20 0 DCADLN C/C(=C/C(=O)[O-])C[N@@H+]1CCc2c(cccc2S(N)(=O)=O)C1 ZINC001588949781 984674912 /nfs/dbraw/zinc/67/49/12/984674912.db2.gz VBZWSQYQOCXFCV-YFHOEESVSA-N 0 2 310.375 0.723 20 0 DCADLN C/C(=C/C(=O)[O-])C[N@H+]1CCc2c(cccc2S(N)(=O)=O)C1 ZINC001588949781 984674914 /nfs/dbraw/zinc/67/49/14/984674914.db2.gz VBZWSQYQOCXFCV-YFHOEESVSA-N 0 2 310.375 0.723 20 0 DCADLN C[C@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)n1cccn1 ZINC001450376227 1015098456 /nfs/dbraw/zinc/09/84/56/1015098456.db2.gz MVZAWCSXXRTRQA-VXNVDRBHSA-N 0 2 322.262 0.672 20 0 DCADLN C[C@H](C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)n1cccn1 ZINC001450376227 1015098468 /nfs/dbraw/zinc/09/84/68/1015098468.db2.gz MVZAWCSXXRTRQA-VXNVDRBHSA-N 0 2 322.262 0.672 20 0 DCADLN CC(C)CC(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001384167349 985407976 /nfs/dbraw/zinc/40/79/76/985407976.db2.gz CJEKRVFNFRBDRQ-PSASIEDQSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)CC(=O)N(C)C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001384167349 985407979 /nfs/dbraw/zinc/40/79/79/985407979.db2.gz CJEKRVFNFRBDRQ-PSASIEDQSA-N 0 2 316.295 0.868 20 0 DCADLN Cc1[nH]c(C(=O)CN2CC[N@@H+](C)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945521 985520263 /nfs/dbraw/zinc/52/02/63/985520263.db2.gz OGSUJHOSWSDLTO-NSHDSACASA-N 0 2 309.366 0.121 20 0 DCADLN Cc1[nH]c(C(=O)CN2CC[N@H+](C)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945521 985520267 /nfs/dbraw/zinc/52/02/67/985520267.db2.gz OGSUJHOSWSDLTO-NSHDSACASA-N 0 2 309.366 0.121 20 0 DCADLN C[C@H]1CN(C)C(=O)C[N@@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594499984 985671859 /nfs/dbraw/zinc/67/18/59/985671859.db2.gz QGWSXWWCCOKVKJ-WCQYABFASA-N 0 2 322.361 0.287 20 0 DCADLN C[C@H]1CN(C)C(=O)C[N@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594499984 985671865 /nfs/dbraw/zinc/67/18/65/985671865.db2.gz QGWSXWWCCOKVKJ-WCQYABFASA-N 0 2 322.361 0.287 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(N)=O ZINC001599959355 985751597 /nfs/dbraw/zinc/75/15/97/985751597.db2.gz MMHJNTURFANIHV-PKFCDNJMSA-N 0 2 319.361 0.790 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(N)=O ZINC001599959355 985751603 /nfs/dbraw/zinc/75/16/03/985751603.db2.gz MMHJNTURFANIHV-PKFCDNJMSA-N 0 2 319.361 0.790 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)C[C@H]2CCOC2)n[nH]1 ZINC001551658721 1015165867 /nfs/dbraw/zinc/16/58/67/1015165867.db2.gz AZBKXROLDOPNAF-JHJVBQTASA-N 0 2 308.382 0.871 20 0 DCADLN COC1CC(C(=O)N(C)C[C@@H]2OCC[C@H]2c2n[nH]c(C)n2)C1 ZINC001551656061 1015166932 /nfs/dbraw/zinc/16/69/32/1015166932.db2.gz BGCIVNFXFCHXLD-TUUUFIMRSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2CCC(=O)N2C)n[nH]1 ZINC001551660580 1015167008 /nfs/dbraw/zinc/16/70/08/1015167008.db2.gz ZGZDMJOHGTWXNP-IJLUTSLNSA-N 0 2 321.381 0.065 20 0 DCADLN CCn1cc(C(=O)N(C)C[C@H]2OCC[C@@H]2c2n[nH]c(C)n2)cn1 ZINC001551666581 1015171640 /nfs/dbraw/zinc/17/16/40/1015171640.db2.gz GOWBHQXBUNJQDD-QWHCGFSZSA-N 0 2 318.381 0.974 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2ccn(C)n2)n[nH]1 ZINC001551671671 1015174785 /nfs/dbraw/zinc/17/47/85/1015174785.db2.gz XWEXZODUDMMIED-ZYHUDNBSSA-N 0 2 304.354 0.491 20 0 DCADLN CC[C@@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C(C)(C)O ZINC001450497413 1015176291 /nfs/dbraw/zinc/17/62/91/1015176291.db2.gz YCPJJHYDPQSSKZ-LLVKDONJSA-N 0 2 320.349 0.546 20 0 DCADLN COCC(=O)N1CCC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001525933856 1015180430 /nfs/dbraw/zinc/18/04/30/1015180430.db2.gz ILTUEEFFHXQQML-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CCC[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001525933856 1015180437 /nfs/dbraw/zinc/18/04/37/1015180437.db2.gz ILTUEEFFHXQQML-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccoc2CC(=O)[O-])[C@@H](C)CO1 ZINC001594526737 986061757 /nfs/dbraw/zinc/06/17/57/986061757.db2.gz COQJJDJVLSHQBX-WDEREUQCSA-N 0 2 310.350 0.746 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccoc2CC(=O)[O-])[C@@H](C)CO1 ZINC001594526737 986061771 /nfs/dbraw/zinc/06/17/71/986061771.db2.gz COQJJDJVLSHQBX-WDEREUQCSA-N 0 2 310.350 0.746 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cnc(C(=O)[O-])cn2)CC2(CCOCC2)O1 ZINC001594547343 986225668 /nfs/dbraw/zinc/22/56/68/986225668.db2.gz IWVKBPZCERXOTA-LLVKDONJSA-N 0 2 307.350 0.945 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cnc(C(=O)[O-])cn2)CC2(CCOCC2)O1 ZINC001594547343 986225682 /nfs/dbraw/zinc/22/56/82/986225682.db2.gz IWVKBPZCERXOTA-LLVKDONJSA-N 0 2 307.350 0.945 20 0 DCADLN COCC(=O)N[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001385494509 986386837 /nfs/dbraw/zinc/38/68/37/986386837.db2.gz AMOVQWLVCGWSKQ-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385494509 986386844 /nfs/dbraw/zinc/38/68/44/986386844.db2.gz AMOVQWLVCGWSKQ-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H](C(=O)N[C@@H](C(=O)[O-])c1ccn(C)n1)[NH+]1CCSCC1 ZINC001589378375 986442899 /nfs/dbraw/zinc/44/28/99/986442899.db2.gz IPQUFWKZKYKEKF-MWLCHTKSSA-N 0 2 312.395 0.099 20 0 DCADLN C[C@H](C(=O)N[C@@H](Cc1ccccc1O)C(=O)[O-])n1cc[nH+]c1 ZINC001589389313 986502844 /nfs/dbraw/zinc/50/28/44/986502844.db2.gz XYZGFMKICYNVBK-PWSUYJOCSA-N 0 2 303.318 0.962 20 0 DCADLN CCC(=O)N1CCC[C@@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001594702086 987145816 /nfs/dbraw/zinc/14/58/16/987145816.db2.gz ZGJYFKAMVHGJPT-ZYHUDNBSSA-N 0 2 322.365 0.170 20 0 DCADLN CCC(=O)N1CCC[C@@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001594702086 987145821 /nfs/dbraw/zinc/14/58/21/987145821.db2.gz ZGJYFKAMVHGJPT-ZYHUDNBSSA-N 0 2 322.365 0.170 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001386489848 987175539 /nfs/dbraw/zinc/17/55/39/987175539.db2.gz FLWNOKDXGYFAMW-OFPUPOEVSA-N 0 2 312.263 0.495 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21 ZINC001386489848 987175543 /nfs/dbraw/zinc/17/55/43/987175543.db2.gz FLWNOKDXGYFAMW-OFPUPOEVSA-N 0 2 312.263 0.495 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001386644134 987262501 /nfs/dbraw/zinc/26/25/01/987262501.db2.gz CNLISJHPRMKTJX-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1cnn(C)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001386644134 987262506 /nfs/dbraw/zinc/26/25/06/987262506.db2.gz CNLISJHPRMKTJX-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN CC(C)(C(=O)[O-])n1ccc(NC(=O)NCCn2cc[nH+]c2)n1 ZINC001589674722 987683801 /nfs/dbraw/zinc/68/38/01/987683801.db2.gz GELHLJACYKHMCE-UHFFFAOYSA-N 0 2 306.326 0.721 20 0 DCADLN CCc1cc(=O)[nH]c(C[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001597488225 987997660 /nfs/dbraw/zinc/99/76/60/987997660.db2.gz YJOLDGFVXYBJKA-JTQLQIEISA-N 0 2 318.337 0.481 20 0 DCADLN CCc1cc(=O)[nH]c(C[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001597488225 987997662 /nfs/dbraw/zinc/99/76/62/987997662.db2.gz YJOLDGFVXYBJKA-JTQLQIEISA-N 0 2 318.337 0.481 20 0 DCADLN O=C(CCc1cnn[nH]1)NC[C@H]1C[C@@H]([NH2+]Cc2cscn2)C1 ZINC001388687363 988510009 /nfs/dbraw/zinc/51/00/09/988510009.db2.gz MDJJPDVVVUQCDB-KLPPZKSPSA-N 0 2 320.422 0.878 20 0 DCADLN CC1(C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001389089414 988811432 /nfs/dbraw/zinc/81/14/32/988811432.db2.gz BJSFBCYDBJEAAG-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)CCC1 ZINC001389089414 988811435 /nfs/dbraw/zinc/81/14/35/988811435.db2.gz BJSFBCYDBJEAAG-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN Cn1cncc1CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001389230330 989004917 /nfs/dbraw/zinc/00/49/17/989004917.db2.gz AHEOOLKXXFZPNI-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)NC(=O)C[N@@H+]2CC[C@H](C)C2)c1[O-] ZINC001389519359 989355802 /nfs/dbraw/zinc/35/58/02/989355802.db2.gz GGIPMWQTQPHALT-UWVGGRQHSA-N 0 2 323.397 0.000 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)NC(=O)C[N@H+]2CC[C@H](C)C2)c1[O-] ZINC001389519359 989355812 /nfs/dbraw/zinc/35/58/12/989355812.db2.gz GGIPMWQTQPHALT-UWVGGRQHSA-N 0 2 323.397 0.000 20 0 DCADLN COC[C@@H](C)C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001389806939 989598611 /nfs/dbraw/zinc/59/86/11/989598611.db2.gz FWMANZAYXFMCKX-SFYZADRCSA-N 0 2 314.279 0.934 20 0 DCADLN COC[C@@H](C)C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001389806939 989598613 /nfs/dbraw/zinc/59/86/13/989598613.db2.gz FWMANZAYXFMCKX-SFYZADRCSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ccn[nH]1 ZINC001389871959 989650860 /nfs/dbraw/zinc/65/08/60/989650860.db2.gz URJSUEXSAIIABR-ZETCQYMHSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1ccn[nH]1 ZINC001389871959 989650862 /nfs/dbraw/zinc/65/08/62/989650862.db2.gz URJSUEXSAIIABR-ZETCQYMHSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(C[C@H]1CCOC1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001390103553 989823526 /nfs/dbraw/zinc/82/35/26/989823526.db2.gz GKCPCWKHLJKSES-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@H]1CCOC1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001390103553 989823533 /nfs/dbraw/zinc/82/35/33/989823533.db2.gz GKCPCWKHLJKSES-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)(C)c1ccc(OC[C@H](O)C[NH+]2CC(O)(C(=O)[O-])C2)cc1 ZINC001590492789 990092217 /nfs/dbraw/zinc/09/22/17/990092217.db2.gz BEAAXCFQBDMHSL-CYBMUJFWSA-N 0 2 323.389 0.855 20 0 DCADLN CC(C)(C)c1noc(C[NH2+]CCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001590583363 990229461 /nfs/dbraw/zinc/22/94/61/990229461.db2.gz KXSQLGVYTOPOTP-RKDXNWHRSA-N 0 2 310.354 0.294 20 0 DCADLN CCc1ncsc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001390766318 990368574 /nfs/dbraw/zinc/36/85/74/990368574.db2.gz NJQLLZCFZJQBKK-UHFFFAOYSA-N 0 2 310.383 0.391 20 0 DCADLN CCc1ncsc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001390766318 990368580 /nfs/dbraw/zinc/36/85/80/990368580.db2.gz NJQLLZCFZJQBKK-UHFFFAOYSA-N 0 2 310.383 0.391 20 0 DCADLN Cc1ccc(CC(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001391154783 990625111 /nfs/dbraw/zinc/62/51/11/990625111.db2.gz ASNVZJFCGLGJTE-UHFFFAOYSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccc(CC(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001391154783 990625114 /nfs/dbraw/zinc/62/51/14/990625114.db2.gz ASNVZJFCGLGJTE-UHFFFAOYSA-N 0 2 319.365 0.735 20 0 DCADLN CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001391258156 990704834 /nfs/dbraw/zinc/70/48/34/990704834.db2.gz KTFRAOSDEGOFAJ-MXWKQRLJSA-N 0 2 309.370 0.111 20 0 DCADLN Cc1sccc1CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391502470 990899648 /nfs/dbraw/zinc/89/96/48/990899648.db2.gz UZLVWVMBNASUCL-UHFFFAOYSA-N 0 2 321.406 0.671 20 0 DCADLN Cn1nc(C(=O)[O-])cc1NC(=O)NCc1coc(C[NH+](C)C)n1 ZINC001598256810 991068045 /nfs/dbraw/zinc/06/80/45/991068045.db2.gz VYUUPANBZTWVSC-UHFFFAOYSA-N 0 2 322.325 0.490 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001451151615 1015616190 /nfs/dbraw/zinc/61/61/90/1015616190.db2.gz IUSGXIXFOFGDFW-PRJMDXOYSA-N 0 2 319.276 0.301 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001451151615 1015616197 /nfs/dbraw/zinc/61/61/97/1015616197.db2.gz IUSGXIXFOFGDFW-PRJMDXOYSA-N 0 2 319.276 0.301 20 0 DCADLN Cc1conc1C[NH2+]C[C@H](C)CNC(=O)[C@H]1C[N@H+](C)CCO1 ZINC001391879180 991249879 /nfs/dbraw/zinc/24/98/79/991249879.db2.gz AKTGCFKZMCVCFY-SMDDNHRTSA-N 0 2 310.398 0.156 20 0 DCADLN COc1ccnc(OC)c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001599722852 991568760 /nfs/dbraw/zinc/56/87/60/991568760.db2.gz VOPZUSQTFCGCFN-VIFPVBQESA-N 0 2 320.305 0.248 20 0 DCADLN COc1ccnc(OC)c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001599722852 991568772 /nfs/dbraw/zinc/56/87/72/991568772.db2.gz VOPZUSQTFCGCFN-VIFPVBQESA-N 0 2 320.305 0.248 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392311831 991736191 /nfs/dbraw/zinc/73/61/91/991736191.db2.gz IMFGEMGAEIMCLQ-LLVKDONJSA-N 0 2 321.381 0.872 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392311831 991736195 /nfs/dbraw/zinc/73/61/95/991736195.db2.gz IMFGEMGAEIMCLQ-LLVKDONJSA-N 0 2 321.381 0.872 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCC(=O)[O-] ZINC001591108955 991755715 /nfs/dbraw/zinc/75/57/15/991755715.db2.gz PPSOWRNMZZPCKI-LLVKDONJSA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCC(=O)[O-] ZINC001591108955 991755724 /nfs/dbraw/zinc/75/57/24/991755724.db2.gz PPSOWRNMZZPCKI-LLVKDONJSA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)C[C@H](C(=O)NC1(C(=O)[O-])CC(CO)C1)n1cc[nH+]c1 ZINC001591117951 991779390 /nfs/dbraw/zinc/77/93/90/991779390.db2.gz JAWIKAVJMLXCHB-MQYJIDSJSA-N 0 2 309.366 0.812 20 0 DCADLN C[N@H+](C[C@@H](O)C(F)(F)F)[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598432266 991797989 /nfs/dbraw/zinc/79/79/89/991797989.db2.gz CZZOHOIFGYXKSH-DTWKUNHWSA-N 0 2 312.288 0.307 20 0 DCADLN C[N@@H+](C[C@@H](O)C(F)(F)F)[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598432266 991798001 /nfs/dbraw/zinc/79/80/01/991798001.db2.gz CZZOHOIFGYXKSH-DTWKUNHWSA-N 0 2 312.288 0.307 20 0 DCADLN CS(=O)(=O)CC1CCN(c2cc(CC(=O)[O-])cc[nH+]2)CC1 ZINC001599748818 991963926 /nfs/dbraw/zinc/96/39/26/991963926.db2.gz IOKNTCSAAPXTNQ-UHFFFAOYSA-N 0 2 312.391 0.970 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C(=O)NC1CC(CC(=O)[O-])C1 ZINC001598485526 992200843 /nfs/dbraw/zinc/20/08/43/992200843.db2.gz OTUUQXZQRCMIIS-UHFFFAOYSA-N 0 2 322.365 0.101 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001392719655 992317589 /nfs/dbraw/zinc/31/75/89/992317589.db2.gz YYZJUYSICHSCBP-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001392719655 992317600 /nfs/dbraw/zinc/31/76/00/992317600.db2.gz YYZJUYSICHSCBP-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001392719653 992317731 /nfs/dbraw/zinc/31/77/31/992317731.db2.gz YYZJUYSICHSCBP-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001392719653 992317740 /nfs/dbraw/zinc/31/77/40/992317740.db2.gz YYZJUYSICHSCBP-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001392878656 992491576 /nfs/dbraw/zinc/49/15/76/992491576.db2.gz ZQWGSSWWVUYAIZ-WDEREUQCSA-N 0 2 319.365 0.988 20 0 DCADLN C[N@H+](Cc1c[nH]c(C(=O)[O-])c1)Cc1ccccc1S(N)(=O)=O ZINC001598505758 992500134 /nfs/dbraw/zinc/50/01/34/992500134.db2.gz TXBXIZSXWLOZMV-UHFFFAOYSA-N 0 2 323.374 0.992 20 0 DCADLN C[N@@H+](Cc1c[nH]c(C(=O)[O-])c1)Cc1ccccc1S(N)(=O)=O ZINC001598505758 992500141 /nfs/dbraw/zinc/50/01/41/992500141.db2.gz TXBXIZSXWLOZMV-UHFFFAOYSA-N 0 2 323.374 0.992 20 0 DCADLN CC(C)[N@H+](CC(=O)NCC(=O)[O-])CC(=O)N1CCCCCC1 ZINC001591561066 992762758 /nfs/dbraw/zinc/76/27/58/992762758.db2.gz IJRUHFSPQXSSDX-UHFFFAOYSA-N 0 2 313.398 0.300 20 0 DCADLN CC(C)[N@@H+](CC(=O)NCC(=O)[O-])CC(=O)N1CCCCCC1 ZINC001591561066 992762760 /nfs/dbraw/zinc/76/27/60/992762760.db2.gz IJRUHFSPQXSSDX-UHFFFAOYSA-N 0 2 313.398 0.300 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001393347098 992901448 /nfs/dbraw/zinc/90/14/48/992901448.db2.gz NYPDPASHTVJNJH-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001393347098 992901459 /nfs/dbraw/zinc/90/14/59/992901459.db2.gz NYPDPASHTVJNJH-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001393347073 992902518 /nfs/dbraw/zinc/90/25/18/992902518.db2.gz NYPDPASHTVJNJH-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001393347073 992902524 /nfs/dbraw/zinc/90/25/24/992902524.db2.gz NYPDPASHTVJNJH-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)NCC1(O)C[NH+](Cc2ccccc2)C1 ZINC001393359618 992917618 /nfs/dbraw/zinc/91/76/18/992917618.db2.gz PHCUBCYKLIQYRE-UHFFFAOYSA-N 0 2 305.422 0.690 20 0 DCADLN COC[C@H](C)C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001393676466 993172644 /nfs/dbraw/zinc/17/26/44/993172644.db2.gz DIMYZEYOPTZOCE-JGVFFNPUSA-N 0 2 314.279 0.934 20 0 DCADLN COC[C@H](C)C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001393676466 993172650 /nfs/dbraw/zinc/17/26/50/993172650.db2.gz DIMYZEYOPTZOCE-JGVFFNPUSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1CCCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394132421 993502581 /nfs/dbraw/zinc/50/25/81/993502581.db2.gz FVFLRIXKVZEUIR-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1CCCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394132421 993502586 /nfs/dbraw/zinc/50/25/86/993502586.db2.gz FVFLRIXKVZEUIR-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN C[N@@H+]1CCN(C(=O)C(=O)c2ccc(O)cc2)C[C@H](C(=O)[O-])C1 ZINC001598603493 993604344 /nfs/dbraw/zinc/60/43/44/993604344.db2.gz IODKRRUBKAWESO-LLVKDONJSA-N 0 2 306.318 0.050 20 0 DCADLN C[N@H+]1CCN(C(=O)C(=O)c2ccc(O)cc2)C[C@H](C(=O)[O-])C1 ZINC001598603493 993604346 /nfs/dbraw/zinc/60/43/46/993604346.db2.gz IODKRRUBKAWESO-LLVKDONJSA-N 0 2 306.318 0.050 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc2c(c1)COC2)Cc1n[nH]c(=O)[n-]1 ZINC001399607169 993604993 /nfs/dbraw/zinc/60/49/93/993604993.db2.gz WTBIBIXQABYKJR-UHFFFAOYSA-N 0 2 317.349 0.402 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc2c(c1)COC2)Cc1n[nH]c(=O)[n-]1 ZINC001399607169 993604995 /nfs/dbraw/zinc/60/49/95/993604995.db2.gz WTBIBIXQABYKJR-UHFFFAOYSA-N 0 2 317.349 0.402 20 0 DCADLN CC[N@H+](CCNC(=O)c1ncccc1C)Cc1n[nH]c(=O)[n-]1 ZINC001399692576 993676957 /nfs/dbraw/zinc/67/69/57/993676957.db2.gz SBTKXNLSIAWBQB-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ncccc1C)Cc1n[nH]c(=O)[n-]1 ZINC001399692576 993676959 /nfs/dbraw/zinc/67/69/59/993676959.db2.gz SBTKXNLSIAWBQB-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCOC[C@H]1C)Cc1n[nH]c(=O)[n-]1 ZINC001399770059 993755665 /nfs/dbraw/zinc/75/56/65/993755665.db2.gz WYDHNECSGBYPQH-MNOVXSKESA-N 0 2 311.386 0.121 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCOC[C@H]1C)Cc1n[nH]c(=O)[n-]1 ZINC001399770059 993755655 /nfs/dbraw/zinc/75/56/55/993755655.db2.gz WYDHNECSGBYPQH-MNOVXSKESA-N 0 2 311.386 0.121 20 0 DCADLN CC1(CC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001399831197 993824135 /nfs/dbraw/zinc/82/41/35/993824135.db2.gz IRWSYWHKSOMONH-IONNQARKSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001399831197 993824138 /nfs/dbraw/zinc/82/41/38/993824138.db2.gz IRWSYWHKSOMONH-IONNQARKSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001399887103 993880619 /nfs/dbraw/zinc/88/06/19/993880619.db2.gz YGAMFWGJKCGJFD-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001399887103 993880628 /nfs/dbraw/zinc/88/06/28/993880628.db2.gz YGAMFWGJKCGJFD-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[N@@H+]1CCO[C@H](CS(=O)(=O)Oc2cccc(C(=O)[O-])c2)C1 ZINC001598623712 994051515 /nfs/dbraw/zinc/05/15/15/994051515.db2.gz OINXVIXSTPIAIX-LBPRGKRZSA-N 0 2 315.347 0.424 20 0 DCADLN C[N@H+]1CCO[C@H](CS(=O)(=O)Oc2cccc(C(=O)[O-])c2)C1 ZINC001598623712 994051524 /nfs/dbraw/zinc/05/15/24/994051524.db2.gz OINXVIXSTPIAIX-LBPRGKRZSA-N 0 2 315.347 0.424 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@](C)(CC(=O)[O-])c2ccccn2)C1 ZINC001598623966 994056038 /nfs/dbraw/zinc/05/60/38/994056038.db2.gz CPWUTHLEAFUTOG-XHDPSFHLSA-N 0 2 307.350 0.218 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N[C@](C)(CC(=O)[O-])c2ccccn2)C1 ZINC001598623966 994056045 /nfs/dbraw/zinc/05/60/45/994056045.db2.gz CPWUTHLEAFUTOG-XHDPSFHLSA-N 0 2 307.350 0.218 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ncccn1 ZINC001394807001 994176496 /nfs/dbraw/zinc/17/64/96/994176496.db2.gz MRIDWFUCUDFRDM-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ncccn1 ZINC001394807001 994176499 /nfs/dbraw/zinc/17/64/99/994176499.db2.gz MRIDWFUCUDFRDM-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN C[C@]([NH2+]CC(=O)N1CCOCC1)(C(=O)[O-])c1ccc(F)cc1 ZINC001593232940 994388927 /nfs/dbraw/zinc/38/89/27/994388927.db2.gz XWKONRFSHMDKKC-OAHLLOKOSA-N 0 2 310.325 0.574 20 0 DCADLN O=C(NCC1CN(Cc2nncs2)C1)C(F)C(F)(F)F ZINC001400485975 994575057 /nfs/dbraw/zinc/57/50/57/994575057.db2.gz JXFZJGOKPMNPLL-MRVPVSSYSA-N 0 2 312.292 0.987 20 0 DCADLN O=C(NCC1CN(Cc2nncs2)C1)[C@@H](F)C(F)(F)F ZINC001400485975 994575079 /nfs/dbraw/zinc/57/50/79/994575079.db2.gz JXFZJGOKPMNPLL-MRVPVSSYSA-N 0 2 312.292 0.987 20 0 DCADLN C[C@H]([NH2+]Cc1ccccc1OCC(=O)[O-])C1N=NC(=O)N1C ZINC001593305560 994764927 /nfs/dbraw/zinc/76/49/27/994764927.db2.gz WZMWBEFUZOXLEJ-VIFPVBQESA-N 0 2 306.322 0.835 20 0 DCADLN C[C@H]([NH2+]Cc1cn(CC(=O)[O-])nn1)c1ccc([S@](C)=O)cc1 ZINC001593310412 994772736 /nfs/dbraw/zinc/77/27/36/994772736.db2.gz IEBNKQJRIHFEDW-MGUXCZDKSA-N 0 2 322.390 0.951 20 0 DCADLN CNc1cc(N2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)nc[nH+]1 ZINC001598678343 994884778 /nfs/dbraw/zinc/88/47/78/994884778.db2.gz QXAMVWOYTDKLOB-SECBINFHSA-N 0 2 303.326 0.650 20 0 DCADLN CNc1cc(N2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)[nH+]cn1 ZINC001598678343 994884786 /nfs/dbraw/zinc/88/47/86/994884786.db2.gz QXAMVWOYTDKLOB-SECBINFHSA-N 0 2 303.326 0.650 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CCC1)C(F)C(F)(F)F ZINC001395746751 994925533 /nfs/dbraw/zinc/92/55/33/994925533.db2.gz YIPDMKWANHVWOM-SFYZADRCSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CCC1)[C@H](F)C(F)(F)F ZINC001395746751 994925552 /nfs/dbraw/zinc/92/55/52/994925552.db2.gz YIPDMKWANHVWOM-SFYZADRCSA-N 0 2 300.252 0.280 20 0 DCADLN COC(=O)[C@@H](C)Oc1ccc(C[NH+]2CC(O)(C(=O)[O-])C2)cc1 ZINC001598689951 995049464 /nfs/dbraw/zinc/04/94/64/995049464.db2.gz AOFPCVUEAKHLSS-SNVBAGLBSA-N 0 2 309.318 0.258 20 0 DCADLN CCC(=O)N(C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001396066562 995160915 /nfs/dbraw/zinc/16/09/15/995160915.db2.gz YBHMCHLXVIGCTC-IONNQARKSA-N 0 2 302.268 0.575 20 0 DCADLN CCC(=O)N(C)C[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001396066562 995160919 /nfs/dbraw/zinc/16/09/19/995160919.db2.gz YBHMCHLXVIGCTC-IONNQARKSA-N 0 2 302.268 0.575 20 0 DCADLN CC(C)CC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001396419669 995381365 /nfs/dbraw/zinc/38/13/65/995381365.db2.gz QXQBCVRJRKZXAF-WEDXCCLWSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)CC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC001396419669 995381370 /nfs/dbraw/zinc/38/13/70/995381370.db2.gz QXQBCVRJRKZXAF-WEDXCCLWSA-N 0 2 314.279 0.621 20 0 DCADLN CC(=O)N[C@](C)(C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C(C)C ZINC001573417680 1163431324 /nfs/dbraw/zinc/43/13/24/1163431324.db2.gz KTHRYLBSWFOYBY-RISCZKNCSA-N 0 2 310.354 0.072 20 0 DCADLN CC(=O)N[C@](C)(C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C(C)C ZINC001573417680 1163431329 /nfs/dbraw/zinc/43/13/29/1163431329.db2.gz KTHRYLBSWFOYBY-RISCZKNCSA-N 0 2 310.354 0.072 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C)CC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001598758047 995744845 /nfs/dbraw/zinc/74/48/45/995744845.db2.gz RRCUPKJVHVTRMC-PWSUYJOCSA-N 0 2 310.354 0.179 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C)CC[N@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001598758047 995744848 /nfs/dbraw/zinc/74/48/48/995744848.db2.gz RRCUPKJVHVTRMC-PWSUYJOCSA-N 0 2 310.354 0.179 20 0 DCADLN C[C@H](OC[C@H]1CCCO1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593607992 995791680 /nfs/dbraw/zinc/79/16/80/995791680.db2.gz ASHXVUXKQNSYKR-MVWJERBFSA-N 0 2 311.338 0.106 20 0 DCADLN C[C@H](OC[C@H]1CCCO1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593607992 995791686 /nfs/dbraw/zinc/79/16/86/995791686.db2.gz ASHXVUXKQNSYKR-MVWJERBFSA-N 0 2 311.338 0.106 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])N(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001598776507 995935466 /nfs/dbraw/zinc/93/54/66/995935466.db2.gz OSLLRBYQVRTHAC-ONGXEEELSA-N 0 2 309.322 0.125 20 0 DCADLN C[C@@H](C(=O)N(C)C)[N@@H+]1CC[C@H]1CN(C)C(=O)C[NH+]1CCCC1 ZINC001397553976 996064108 /nfs/dbraw/zinc/06/41/08/996064108.db2.gz KWKIOSCTTLTLRM-KBPBESRZSA-N 0 2 310.442 0.092 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@]1(F)CCOC1 ZINC001397936932 996267547 /nfs/dbraw/zinc/26/75/47/996267547.db2.gz HCTKILULPYJERO-OIBJUYFYSA-N 0 2 318.242 0.590 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@@]1(F)CCOC1 ZINC001397936932 996267554 /nfs/dbraw/zinc/26/75/54/996267554.db2.gz HCTKILULPYJERO-OIBJUYFYSA-N 0 2 318.242 0.590 20 0 DCADLN C[C@@]1(Br)C[C@H]1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593740354 996356898 /nfs/dbraw/zinc/35/68/98/996356898.db2.gz RNESCXBBYUMVQC-VAOFZXAKSA-N 0 2 316.155 0.695 20 0 DCADLN C[C@@]1(Br)C[C@H]1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593740354 996356903 /nfs/dbraw/zinc/35/69/03/996356903.db2.gz RNESCXBBYUMVQC-VAOFZXAKSA-N 0 2 316.155 0.695 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001593784116 996500730 /nfs/dbraw/zinc/50/07/30/996500730.db2.gz BMXDZAIJKBSWTQ-OAHLLOKOSA-N 0 2 316.317 0.596 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)[NH2+]Cc2nnc(C(C)C)o2)c1[O-] ZINC001398921048 996750606 /nfs/dbraw/zinc/75/06/06/996750606.db2.gz LHTQAVCEYQKSEB-QMMMGPOBSA-N 0 2 322.369 0.838 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001398988261 996797935 /nfs/dbraw/zinc/79/79/35/996797935.db2.gz GQAXRMHIJBKMPQ-RKDXNWHRSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001398988261 996797941 /nfs/dbraw/zinc/79/79/41/996797941.db2.gz GQAXRMHIJBKMPQ-RKDXNWHRSA-N 0 2 324.278 0.945 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])NC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001598892249 997014514 /nfs/dbraw/zinc/01/45/14/997014514.db2.gz KQHZIQKFWXHAEO-LLVKDONJSA-N 0 2 319.317 0.779 20 0 DCADLN COC[C@@]1(C)C[N@@H+]([C@H]2CCCN(CCC(=O)[O-])C2=O)CCO1 ZINC001599195153 997200451 /nfs/dbraw/zinc/20/04/51/997200451.db2.gz MWVIABLXBDFMQV-SWLSCSKDSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@@]1(C)C[N@H+]([C@H]2CCCN(CCC(=O)[O-])C2=O)CCO1 ZINC001599195153 997200462 /nfs/dbraw/zinc/20/04/62/997200462.db2.gz MWVIABLXBDFMQV-SWLSCSKDSA-N 0 2 314.382 0.189 20 0 DCADLN COCc1nc(C[N@@H+]2C[C@H](C(=O)[O-])[C@H](C(=O)OC)C2)cs1 ZINC001599301106 997234655 /nfs/dbraw/zinc/23/46/55/997234655.db2.gz YRQDKBUCAPRMGH-VHSXEESVSA-N 0 2 314.363 0.595 20 0 DCADLN COCc1nc(C[N@H+]2C[C@H](C(=O)[O-])[C@H](C(=O)OC)C2)cs1 ZINC001599301106 997234667 /nfs/dbraw/zinc/23/46/67/997234667.db2.gz YRQDKBUCAPRMGH-VHSXEESVSA-N 0 2 314.363 0.595 20 0 DCADLN COCc1ncc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])s1 ZINC001599304151 997284883 /nfs/dbraw/zinc/28/48/83/997284883.db2.gz HQFVZVQQBNNAOP-MRVPVSSYSA-N 0 2 310.335 0.369 20 0 DCADLN COCc1noc(C[N@@H+]2[C@@H]3C[C@@H](C(=O)[O-])O[C@H]3CC[C@H]2C)n1 ZINC001599307895 997358165 /nfs/dbraw/zinc/35/81/65/997358165.db2.gz HMZKYFMGCATZBO-ZNSHCXBVSA-N 0 2 311.338 0.811 20 0 DCADLN COCc1noc(C[N@H+]2[C@@H]3C[C@@H](C(=O)[O-])O[C@H]3CC[C@H]2C)n1 ZINC001599307895 997358174 /nfs/dbraw/zinc/35/81/74/997358174.db2.gz HMZKYFMGCATZBO-ZNSHCXBVSA-N 0 2 311.338 0.811 20 0 DCADLN COc1ccc([C@@H](NC(=O)CCn2cc[nH+]c2)C(=O)[O-])cc1O ZINC001599515097 997370525 /nfs/dbraw/zinc/37/05/25/997370525.db2.gz BZQQWPOEVRSVIC-CQSZACIVSA-N 0 2 319.317 0.930 20 0 DCADLN C[N@H+](CCNC(=O)CCc1ccncc1)Cc1n[nH]c(=O)[n-]1 ZINC001399510038 997461004 /nfs/dbraw/zinc/46/10/04/997461004.db2.gz IEBUQXJFQMTOKR-UHFFFAOYSA-N 0 2 304.354 0.086 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1ccncc1)Cc1n[nH]c(=O)[n-]1 ZINC001399510038 997461011 /nfs/dbraw/zinc/46/10/11/997461011.db2.gz IEBUQXJFQMTOKR-UHFFFAOYSA-N 0 2 304.354 0.086 20 0 DCADLN O=C(CCCc1cccs1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404841030 997514546 /nfs/dbraw/zinc/51/45/46/997514546.db2.gz JQDOYLVOHPGYNW-UHFFFAOYSA-N 0 2 321.406 0.895 20 0 DCADLN COc1ccc([C@@H]([NH2+]CC(=O)NC(N)=O)C(=O)[O-])cc1Cl ZINC001599528487 997606107 /nfs/dbraw/zinc/60/61/07/997606107.db2.gz WCAXUPAHOQVMLR-SNVBAGLBSA-N 0 2 315.713 0.259 20 0 DCADLN COC(=O)c1cc(C(=O)O[C@H]2C[C@H](C(=O)[O-])[N@H+](C)C2)c(C)o1 ZINC001598926708 997660992 /nfs/dbraw/zinc/66/09/92/997660992.db2.gz RHQONVDMOZHPRQ-WCBMZHEXSA-N 0 2 311.290 0.689 20 0 DCADLN COC(=O)c1cc(C(=O)O[C@H]2C[C@H](C(=O)[O-])[N@@H+](C)C2)c(C)o1 ZINC001598926708 997660996 /nfs/dbraw/zinc/66/09/96/997660996.db2.gz RHQONVDMOZHPRQ-WCBMZHEXSA-N 0 2 311.290 0.689 20 0 DCADLN COc1ccc(C=O)cc1C(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001599542812 997871748 /nfs/dbraw/zinc/87/17/48/997871748.db2.gz LRYDOYQFBBFSFE-UHFFFAOYSA-N 0 2 306.318 0.350 20 0 DCADLN CC[C@@H](CNC(=O)C[N@H+](C)C1CCC1)[NH2+]Cc1nc(C)no1 ZINC001405373278 998164235 /nfs/dbraw/zinc/16/42/35/998164235.db2.gz LOVKLHDYWRVWAF-LBPRGKRZSA-N 0 2 309.414 0.847 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)[C@@H]2CCCC[C@H]2C(=O)[O-])CC[N@H+]1C ZINC001594176013 998676590 /nfs/dbraw/zinc/67/65/90/998676590.db2.gz DAFPSYMIGUHDKF-YVECIDJPSA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)[C@@H]2CCCC[C@H]2C(=O)[O-])CC[N@@H+]1C ZINC001594176013 998676593 /nfs/dbraw/zinc/67/65/93/998676593.db2.gz DAFPSYMIGUHDKF-YVECIDJPSA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)C1CCC(C(=O)[O-])CC1 ZINC001594176798 998681988 /nfs/dbraw/zinc/68/19/88/998681988.db2.gz MBEBXMGXOKEDCS-ZFDZMSFRSA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)C1CCC(C(=O)[O-])CC1 ZINC001594176798 998681992 /nfs/dbraw/zinc/68/19/92/998681992.db2.gz MBEBXMGXOKEDCS-ZFDZMSFRSA-N 0 2 318.439 0.984 20 0 DCADLN COC(=O)c1ccc2[nH]c(C[NH2+][C@@]3(C(=O)[O-])CCOC3)nc2c1 ZINC001598989667 998725357 /nfs/dbraw/zinc/72/53/57/998725357.db2.gz HAXCVTTXKNZXPS-HNNXBMFYSA-N 0 2 319.317 0.683 20 0 DCADLN C[C@@H]1C[C@@H](O)C[N@@H+]1Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001594266065 999032208 /nfs/dbraw/zinc/03/22/08/999032208.db2.gz LSXCKYLFDSABHD-PSASIEDQSA-N 0 2 303.318 0.989 20 0 DCADLN C[C@@H]1C[C@@H](O)C[N@H+]1Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001594266065 999032212 /nfs/dbraw/zinc/03/22/12/999032212.db2.gz LSXCKYLFDSABHD-PSASIEDQSA-N 0 2 303.318 0.989 20 0 DCADLN C[C@H](NC(=O)C[N@H+](C)[C@@H]1CCSC1)c1nc(C(=O)[O-])n[nH]1 ZINC001573561116 1163501688 /nfs/dbraw/zinc/50/16/88/1163501688.db2.gz CEUVFDYYALTFFG-JGVFFNPUSA-N 0 2 313.383 0.117 20 0 DCADLN C[C@H](NC(=O)C[N@@H+](C)[C@@H]1CCSC1)c1nc(C(=O)[O-])n[nH]1 ZINC001573561116 1163501702 /nfs/dbraw/zinc/50/17/02/1163501702.db2.gz CEUVFDYYALTFFG-JGVFFNPUSA-N 0 2 313.383 0.117 20 0 DCADLN C[C@H]1CCc2[nH]nc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])c2C1 ZINC001594446878 999640662 /nfs/dbraw/zinc/64/06/62/999640662.db2.gz DCAQPHZVZCLGQY-CABZTGNLSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@H]1CCn2ncc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])c2C1 ZINC001594452180 999651947 /nfs/dbraw/zinc/65/19/47/999651947.db2.gz LXGOMSFHEQAZIQ-CMPLNLGQSA-N 0 2 317.349 0.545 20 0 DCADLN Cc1cc(=O)[nH]c(C(C)(C)NC(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC001469697963 1016355548 /nfs/dbraw/zinc/35/55/48/1016355548.db2.gz HVICVUHESNHFOR-ZETCQYMHSA-N 0 2 323.378 0.398 20 0 DCADLN CO[C@H](C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001419128443 1000801506 /nfs/dbraw/zinc/80/15/06/1000801506.db2.gz WYDVSWQYANNICH-JQWIXIFHSA-N 0 2 311.386 0.214 20 0 DCADLN C[C@@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCO1 ZINC001402032496 1000959742 /nfs/dbraw/zinc/95/97/42/1000959742.db2.gz FPNYFWABTIOIEG-CMPLNLGQSA-N 0 2 323.397 0.358 20 0 DCADLN COC(=O)c1cccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001470583151 1016463235 /nfs/dbraw/zinc/46/32/35/1016463235.db2.gz QPWTVOFOJXCOAR-UHFFFAOYSA-N 0 2 303.274 0.604 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)[NH2+][C@H](C)c2csnn2)c1[O-] ZINC001419733308 1001261818 /nfs/dbraw/zinc/26/18/18/1001261818.db2.gz WUHCCBFTLAMBTO-NKWVEPMBSA-N 0 2 310.383 0.744 20 0 DCADLN Cc1nscc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419961030 1001725826 /nfs/dbraw/zinc/72/58/26/1001725826.db2.gz PQUDCOCYGSLGLE-UHFFFAOYSA-N 0 2 310.383 0.479 20 0 DCADLN Cc1nscc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419961030 1001725830 /nfs/dbraw/zinc/72/58/30/1001725830.db2.gz PQUDCOCYGSLGLE-UHFFFAOYSA-N 0 2 310.383 0.479 20 0 DCADLN C[C@H](CNC(=O)C(C)(C)NC(=O)C(F)(F)F)c1ncon1 ZINC001420014498 1001764671 /nfs/dbraw/zinc/76/46/71/1001764671.db2.gz MVNHMNMGWFALKX-ZCFIWIBFSA-N 0 2 308.260 0.746 20 0 DCADLN Cc1nnc(CN(C)[C@@H](C)CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001424949272 1002177948 /nfs/dbraw/zinc/17/79/48/1002177948.db2.gz MIJHSWKMLHDWJL-IMTBSYHQSA-N 0 2 311.283 0.950 20 0 DCADLN C[N@H+](CCNC(=O)CC1CC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001403625413 1002226630 /nfs/dbraw/zinc/22/66/30/1002226630.db2.gz WOEHLRJNKHBYCC-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN C[N@@H+](CCNC(=O)CC1CC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001403625413 1002226639 /nfs/dbraw/zinc/22/66/39/1002226639.db2.gz WOEHLRJNKHBYCC-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN CCc1c[nH]c(=O)c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420325895 1002228149 /nfs/dbraw/zinc/22/81/49/1002228149.db2.gz WHSKGUUBXJCJHM-UHFFFAOYSA-N 0 2 320.353 0.035 20 0 DCADLN CCc1c[nH]c(=O)c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420325895 1002228152 /nfs/dbraw/zinc/22/81/52/1002228152.db2.gz WHSKGUUBXJCJHM-UHFFFAOYSA-N 0 2 320.353 0.035 20 0 DCADLN Cc1nc(SCCC(=O)N[C@@H](C)C2=NN(C)CC2=O)n[nH]1 ZINC001420440126 1002421792 /nfs/dbraw/zinc/42/17/92/1002421792.db2.gz NQQMVUYQKQATCY-ZETCQYMHSA-N 0 2 310.383 0.912 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425246392 1002473810 /nfs/dbraw/zinc/47/38/10/1002473810.db2.gz VDOBVNYVUWXMII-MWLCHTKSSA-N 0 2 311.386 0.262 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C)nnc1C)Cc1n[nH]c(=O)[n-]1 ZINC001403863529 1002645319 /nfs/dbraw/zinc/64/53/19/1002645319.db2.gz CFQBULJUBNROMJ-UHFFFAOYSA-N 0 2 319.369 0.169 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C)nnc1C)Cc1n[nH]c(=O)[n-]1 ZINC001403863529 1002645324 /nfs/dbraw/zinc/64/53/24/1002645324.db2.gz CFQBULJUBNROMJ-UHFFFAOYSA-N 0 2 319.369 0.169 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001420636260 1002720552 /nfs/dbraw/zinc/72/05/52/1002720552.db2.gz WFUXPDAWTGGLHS-JGVFFNPUSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)(F)F ZINC001420636260 1002720561 /nfs/dbraw/zinc/72/05/61/1002720561.db2.gz WFUXPDAWTGGLHS-JGVFFNPUSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H](C)n1cccn1 ZINC001420649734 1002747760 /nfs/dbraw/zinc/74/77/60/1002747760.db2.gz SIBQZXVVVUWKQR-GHMZBOCLSA-N 0 2 321.385 0.295 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H](C)n1cccn1 ZINC001420649734 1002747772 /nfs/dbraw/zinc/74/77/72/1002747772.db2.gz SIBQZXVVVUWKQR-GHMZBOCLSA-N 0 2 321.385 0.295 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ccccc1C)Cc1n[nH]c(=O)[n-]1 ZINC001403947340 1002783381 /nfs/dbraw/zinc/78/33/81/1002783381.db2.gz YAVHUJPEMIHRQR-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ccccc1C)Cc1n[nH]c(=O)[n-]1 ZINC001403947340 1002783393 /nfs/dbraw/zinc/78/33/93/1002783393.db2.gz YAVHUJPEMIHRQR-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN Cc1c[nH]cc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420706800 1002825960 /nfs/dbraw/zinc/82/59/60/1002825960.db2.gz SDWPOCBHHGRREM-UHFFFAOYSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1c[nH]cc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420706800 1002825972 /nfs/dbraw/zinc/82/59/72/1002825972.db2.gz SDWPOCBHHGRREM-UHFFFAOYSA-N 0 2 304.354 0.541 20 0 DCADLN Cn1nnc(CNC[C@H](NC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001420724649 1002860061 /nfs/dbraw/zinc/86/00/61/1002860061.db2.gz AGAFQRCRWUEOFZ-IONNQARKSA-N 0 2 324.282 0.095 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001404058523 1002952994 /nfs/dbraw/zinc/95/29/94/1002952994.db2.gz ZYKXUYXMOLISIT-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001404058523 1002953005 /nfs/dbraw/zinc/95/30/05/1002953005.db2.gz ZYKXUYXMOLISIT-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CCc1c[nH]c(CC(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n1 ZINC001472061323 1016717217 /nfs/dbraw/zinc/71/72/17/1016717217.db2.gz LITOYXSAVZRTQP-UHFFFAOYSA-N 0 2 319.365 0.725 20 0 DCADLN CCc1c[nH]c(CC(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)n1 ZINC001472061323 1016717277 /nfs/dbraw/zinc/71/72/77/1016717277.db2.gz LITOYXSAVZRTQP-UHFFFAOYSA-N 0 2 319.365 0.725 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC2CC(NCC(F)(F)F)C2)S1 ZINC001472198936 1016757032 /nfs/dbraw/zinc/75/70/32/1016757032.db2.gz DPYKFKGTYXGGOV-AHXFUIDQSA-N 0 2 324.328 0.342 20 0 DCADLN CC(C)CO[C@H](C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421113884 1003333055 /nfs/dbraw/zinc/33/30/55/1003333055.db2.gz OHCSZSUGAYEZHQ-SNVBAGLBSA-N 0 2 311.386 0.119 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)CC(=O)N(C)C2CC2)c1[O-] ZINC001406098053 1003358079 /nfs/dbraw/zinc/35/80/79/1003358079.db2.gz MQHPUGGCFNGMCF-UHFFFAOYSA-N 0 2 323.397 0.048 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)CC(=O)N(C)C2CC2)c1[O-] ZINC001406098053 1003358087 /nfs/dbraw/zinc/35/80/87/1003358087.db2.gz MQHPUGGCFNGMCF-UHFFFAOYSA-N 0 2 323.397 0.048 20 0 DCADLN C[C@@H](NC(=O)CCC[C@]1(C)NC(=O)NC1=O)c1nn(C)cc1O ZINC001472237962 1016769268 /nfs/dbraw/zinc/76/92/68/1016769268.db2.gz XFCOSDIAQPZDAC-CLAHSXSESA-N 0 2 323.353 0.071 20 0 DCADLN CC(=O)Nc1ccc(O)c(C(=O)N=S2(=O)CCOCC2)c1 ZINC001472275345 1016775485 /nfs/dbraw/zinc/77/54/85/1016775485.db2.gz KUWHYHBRDLJNTQ-UHFFFAOYSA-N 0 2 312.347 0.989 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2Cc3ccccc3[C@H]2O)S1 ZINC001472309299 1016782461 /nfs/dbraw/zinc/78/24/61/1016782461.db2.gz LOQZCRCBFGROMD-CKYFFXLPSA-N 0 2 305.359 0.317 20 0 DCADLN CC(C)c1ocnc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406688081 1004001075 /nfs/dbraw/zinc/00/10/75/1004001075.db2.gz GEJCSGNGTARXLL-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1ocnc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406688081 1004001086 /nfs/dbraw/zinc/00/10/86/1004001086.db2.gz GEJCSGNGTARXLL-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cnon1)C(=O)C(F)C(F)(F)F ZINC001426774053 1004026727 /nfs/dbraw/zinc/02/67/27/1004026727.db2.gz QXCLTXXUQMNGNV-XPUUQOCRSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cnon1)C(=O)[C@H](F)C(F)(F)F ZINC001426774053 1004026732 /nfs/dbraw/zinc/02/67/32/1004026732.db2.gz QXCLTXXUQMNGNV-XPUUQOCRSA-N 0 2 324.234 0.643 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001406895651 1004196474 /nfs/dbraw/zinc/19/64/74/1004196474.db2.gz FIFDBHTZKLZFJZ-SNVBAGLBSA-N 0 2 321.406 0.813 20 0 DCADLN O=C(CCCF)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001428929871 1004273779 /nfs/dbraw/zinc/27/37/79/1004273779.db2.gz LESQMXJNBBJGBW-BKPPORCPSA-N 0 2 318.242 0.324 20 0 DCADLN O=C(CCCF)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001428929871 1004273785 /nfs/dbraw/zinc/27/37/85/1004273785.db2.gz LESQMXJNBBJGBW-BKPPORCPSA-N 0 2 318.242 0.324 20 0 DCADLN Cc1nc(C[NH2+]C2(CNC(=O)Cn3cc[nH+]c3C)CC2)co1 ZINC001406995633 1004294288 /nfs/dbraw/zinc/29/42/88/1004294288.db2.gz OXEZSPYGAPJUBG-UHFFFAOYSA-N 0 2 303.366 0.927 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cnn(C)c1 ZINC001429299143 1004515501 /nfs/dbraw/zinc/51/55/01/1004515501.db2.gz DIKCSZZRNLYGRB-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cc1cnn(C)c1 ZINC001429299143 1004515509 /nfs/dbraw/zinc/51/55/09/1004515509.db2.gz DIKCSZZRNLYGRB-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)[C@@]1(F)CCOC1)C(=O)C(F)C(F)(F)F ZINC001429441562 1004600265 /nfs/dbraw/zinc/60/02/65/1004600265.db2.gz QAWCOQPWSLPFPQ-OIBJUYFYSA-N 0 2 318.242 0.590 20 0 DCADLN CN(CCNC(=O)[C@@]1(F)CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001429441562 1004600268 /nfs/dbraw/zinc/60/02/68/1004600268.db2.gz QAWCOQPWSLPFPQ-OIBJUYFYSA-N 0 2 318.242 0.590 20 0 DCADLN O=C(NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001427922359 1004682886 /nfs/dbraw/zinc/68/28/86/1004682886.db2.gz MEBCVJJAAMMPFI-HTQZYQBOSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001427922359 1004682889 /nfs/dbraw/zinc/68/28/89/1004682889.db2.gz MEBCVJJAAMMPFI-HTQZYQBOSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001407504922 1004696678 /nfs/dbraw/zinc/69/66/78/1004696678.db2.gz WARYGDSMRBVKNP-PSASIEDQSA-N 0 2 322.262 0.570 20 0 DCADLN CO[C@@H](C)CN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001407513603 1004703189 /nfs/dbraw/zinc/70/31/89/1004703189.db2.gz IULVWBBIZIQVLD-JGVFFNPUSA-N 0 2 302.268 0.085 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001407769217 1004848043 /nfs/dbraw/zinc/84/80/43/1004848043.db2.gz WVNLWDGNEPEWKR-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001407769217 1004848047 /nfs/dbraw/zinc/84/80/47/1004848047.db2.gz WVNLWDGNEPEWKR-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN CC[C@H](C(=O)N[C@H]1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1)[NH+](C)C ZINC001407842317 1004894175 /nfs/dbraw/zinc/89/41/75/1004894175.db2.gz KQRNSQMFAXBKQT-YRGRVCCFSA-N 0 2 321.425 0.364 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cccnc2C(F)(F)F)n1 ZINC001414326880 1005288859 /nfs/dbraw/zinc/28/88/59/1005288859.db2.gz DHZWGMYYZHPRIC-UHFFFAOYSA-N 0 2 308.245 0.425 20 0 DCADLN CC(=O)N(CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001414348166 1005294176 /nfs/dbraw/zinc/29/41/76/1005294176.db2.gz SEZVGCUPSHLXDX-SNVBAGLBSA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N(CCO)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001414348166 1005294178 /nfs/dbraw/zinc/29/41/78/1005294178.db2.gz SEZVGCUPSHLXDX-SNVBAGLBSA-N 0 2 314.279 0.719 20 0 DCADLN COCC1(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001414689326 1005384524 /nfs/dbraw/zinc/38/45/24/1005384524.db2.gz JNWNJRWIJXIBGF-JTQLQIEISA-N 0 2 309.370 0.826 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)F)C[C@H]21)C(F)C(F)(F)F ZINC001415073974 1005451013 /nfs/dbraw/zinc/45/10/13/1005451013.db2.gz HFCUHGCDUNOLRW-DPYQTVNSSA-N 0 2 304.190 0.725 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)F)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001415073974 1005451014 /nfs/dbraw/zinc/45/10/14/1005451014.db2.gz HFCUHGCDUNOLRW-DPYQTVNSSA-N 0 2 304.190 0.725 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)[nH]1 ZINC001415134991 1005466407 /nfs/dbraw/zinc/46/64/07/1005466407.db2.gz WTRSLIQFGVRCET-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)[nH]1 ZINC001415134991 1005466410 /nfs/dbraw/zinc/46/64/10/1005466410.db2.gz WTRSLIQFGVRCET-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN C[C@H](CCNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001415364586 1005509147 /nfs/dbraw/zinc/50/91/47/1005509147.db2.gz NXOGXFBYDNJPCE-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1cnn(C)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001415364586 1005509149 /nfs/dbraw/zinc/50/91/49/1005509149.db2.gz NXOGXFBYDNJPCE-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CN(CCNC(=O)c1[nH]ncc1F)C(=O)c1n[nH]c2c1CCC2 ZINC001415608270 1005574748 /nfs/dbraw/zinc/57/47/48/1005574748.db2.gz JOUJKWGRPYOEFC-UHFFFAOYSA-N 0 2 320.328 0.263 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001416038129 1005653782 /nfs/dbraw/zinc/65/37/82/1005653782.db2.gz XRWMMRNESKNRRQ-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC001416038129 1005653783 /nfs/dbraw/zinc/65/37/83/1005653783.db2.gz XRWMMRNESKNRRQ-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN CC[C@H](CNC(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001416219136 1005672954 /nfs/dbraw/zinc/67/29/54/1005672954.db2.gz OMQNDMJVQMNQNP-RQJHMYQMSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)c1ncc[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001416219136 1005672955 /nfs/dbraw/zinc/67/29/55/1005672955.db2.gz OMQNDMJVQMNQNP-RQJHMYQMSA-N 0 2 310.251 0.935 20 0 DCADLN CCc1onc(C)c1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001417585283 1005846832 /nfs/dbraw/zinc/84/68/32/1005846832.db2.gz KKAHIHGHJQLKLL-UHFFFAOYSA-N 0 2 316.321 0.246 20 0 DCADLN COC1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC1 ZINC001417606247 1005850298 /nfs/dbraw/zinc/85/02/98/1005850298.db2.gz DXXHUOUHAMYFEN-UHFFFAOYSA-N 0 2 318.333 0.517 20 0 DCADLN CCC1(CNC(=O)Cc2c(C)nc3cc[nH]n3c2=O)COC1 ZINC001436287542 1006104599 /nfs/dbraw/zinc/10/45/99/1006104599.db2.gz JUMIFAKUMKFWGW-UHFFFAOYSA-N 0 2 304.350 0.416 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC[C@]12CCOC2 ZINC001451687643 1006359699 /nfs/dbraw/zinc/35/96/99/1006359699.db2.gz OXBBFMDADKGPRL-OAHLLOKOSA-N 0 2 316.317 0.271 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CCOCC1 ZINC001439381492 1006787045 /nfs/dbraw/zinc/78/70/45/1006787045.db2.gz BQPWMUWBYUTFOR-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CCOCC1 ZINC001439381492 1006787052 /nfs/dbraw/zinc/78/70/52/1006787052.db2.gz BQPWMUWBYUTFOR-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n1C ZINC001452664480 1006975887 /nfs/dbraw/zinc/97/58/87/1006975887.db2.gz GTQBYARJFAFNGU-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)n1C ZINC001452664480 1006975894 /nfs/dbraw/zinc/97/58/94/1006975894.db2.gz GTQBYARJFAFNGU-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN C[N@H+](CCNC(=O)c1cc(C(C)(C)C)n[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001437483982 1007029392 /nfs/dbraw/zinc/02/93/92/1007029392.db2.gz XDXTXKXXHSCMTH-UHFFFAOYSA-N 0 2 321.385 0.393 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc(C(C)(C)C)n[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001437483982 1007029406 /nfs/dbraw/zinc/02/94/06/1007029406.db2.gz XDXTXKXXHSCMTH-UHFFFAOYSA-N 0 2 321.385 0.393 20 0 DCADLN CCc1ccc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)nc1 ZINC001437517641 1007082604 /nfs/dbraw/zinc/08/26/04/1007082604.db2.gz FTGXKCJMEGMNFD-UHFFFAOYSA-N 0 2 318.381 0.258 20 0 DCADLN CCc1ccc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nc1 ZINC001437517641 1007082615 /nfs/dbraw/zinc/08/26/15/1007082615.db2.gz FTGXKCJMEGMNFD-UHFFFAOYSA-N 0 2 318.381 0.258 20 0 DCADLN Cc1ncc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)[nH]1 ZINC001453416707 1007461759 /nfs/dbraw/zinc/46/17/59/1007461759.db2.gz QXOGEBFYVDSVEA-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1ncc(C(=O)NC2(CNC(=O)[C@H](F)C(F)(F)F)CC2)[nH]1 ZINC001453416707 1007461769 /nfs/dbraw/zinc/46/17/69/1007461769.db2.gz QXOGEBFYVDSVEA-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN CC(C)(C)CC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001440250231 1007612445 /nfs/dbraw/zinc/61/24/45/1007612445.db2.gz QKQKABRDDVENQF-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(CF)CCC2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001440504507 1007844439 /nfs/dbraw/zinc/84/44/39/1007844439.db2.gz XNEZXPAGRKZFJM-ZJUUUORDSA-N 0 2 311.361 0.729 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(CF)CCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001440504507 1007844448 /nfs/dbraw/zinc/84/44/48/1007844448.db2.gz XNEZXPAGRKZFJM-ZJUUUORDSA-N 0 2 311.361 0.729 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(CF)CCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001440504507 1007844460 /nfs/dbraw/zinc/84/44/60/1007844460.db2.gz XNEZXPAGRKZFJM-ZJUUUORDSA-N 0 2 311.361 0.729 20 0 DCADLN CC[C@H](C[N@@H+]1CCO[C@H](CNC(=O)C[NH+]2CCCC2)C1)OC ZINC001438587283 1008013756 /nfs/dbraw/zinc/01/37/56/1008013756.db2.gz MDWUYBXDORJBJW-HUUCEWRRSA-N 0 2 313.442 0.324 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)C(F)(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440958998 1008143623 /nfs/dbraw/zinc/14/36/23/1008143623.db2.gz XRHVKBLENVYMKW-RQJHMYQMSA-N 0 2 309.292 0.645 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)C(F)(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440958998 1008143628 /nfs/dbraw/zinc/14/36/28/1008143628.db2.gz XRHVKBLENVYMKW-RQJHMYQMSA-N 0 2 309.292 0.645 20 0 DCADLN CCc1nc(C)c(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001440979687 1008155239 /nfs/dbraw/zinc/15/52/39/1008155239.db2.gz CGWVWDRQPMFAKA-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1nc(C)c(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001440979687 1008155242 /nfs/dbraw/zinc/15/52/42/1008155242.db2.gz CGWVWDRQPMFAKA-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN C[C@@H](O)[C@H]1CCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001453587716 1008595775 /nfs/dbraw/zinc/59/57/75/1008595775.db2.gz JDQYEVIPHLEGIH-BXKDBHETSA-N 0 2 318.333 0.252 20 0 DCADLN O=C(NCC1(O)CN(Cc2ccon2)C1)C(F)C(F)(F)F ZINC001421448865 1008604042 /nfs/dbraw/zinc/60/40/42/1008604042.db2.gz WKGVKEOMRFUSPZ-MRVPVSSYSA-N 0 2 311.235 0.238 20 0 DCADLN O=C(NCC1(O)CN(Cc2ccon2)C1)[C@@H](F)C(F)(F)F ZINC001421448865 1008604048 /nfs/dbraw/zinc/60/40/48/1008604048.db2.gz WKGVKEOMRFUSPZ-MRVPVSSYSA-N 0 2 311.235 0.238 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001433432478 1008780838 /nfs/dbraw/zinc/78/08/38/1008780838.db2.gz FPMQPHAGTOIZKI-SVRRBLITSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001433432478 1008780847 /nfs/dbraw/zinc/78/08/47/1008780847.db2.gz FPMQPHAGTOIZKI-SVRRBLITSA-N 0 2 310.251 0.853 20 0 DCADLN COCC(=O)NC[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001454415629 1009126181 /nfs/dbraw/zinc/12/61/81/1009126181.db2.gz FZZBVCOKVOPBCQ-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NC[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001454415629 1009126187 /nfs/dbraw/zinc/12/61/87/1009126187.db2.gz FZZBVCOKVOPBCQ-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NCC[NH+]1CCC1)c1nc[nH]c(=O)c1Br ZINC001433839198 1009241212 /nfs/dbraw/zinc/24/12/12/1009241212.db2.gz VTOHKNJINMARSI-UHFFFAOYSA-N 0 2 301.144 0.380 20 0 DCADLN CS[C@@H](C)C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001433954502 1009394564 /nfs/dbraw/zinc/39/45/64/1009394564.db2.gz SDQREHQTZSVTPE-JTQLQIEISA-N 0 2 310.423 0.777 20 0 DCADLN CS[C@H](C)C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001433954503 1009397341 /nfs/dbraw/zinc/39/73/41/1009397341.db2.gz SDQREHQTZSVTPE-SNVBAGLBSA-N 0 2 310.423 0.777 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1CCc2cccnc21)Cc1n[nH]c(=O)[n-]1 ZINC001442502700 1009421423 /nfs/dbraw/zinc/42/14/23/1009421423.db2.gz IXYQBJQYYMERPH-LLVKDONJSA-N 0 2 316.365 0.183 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1CCc2cccnc21)Cc1n[nH]c(=O)[n-]1 ZINC001442502700 1009421427 /nfs/dbraw/zinc/42/14/27/1009421427.db2.gz IXYQBJQYYMERPH-LLVKDONJSA-N 0 2 316.365 0.183 20 0 DCADLN CC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1snnc1C ZINC001442650008 1009576131 /nfs/dbraw/zinc/57/61/31/1009576131.db2.gz CZMGOWISTMHAKR-UHFFFAOYSA-N 0 2 324.410 0.836 20 0 DCADLN CC[N@@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1snnc1C ZINC001442650008 1009576147 /nfs/dbraw/zinc/57/61/47/1009576147.db2.gz CZMGOWISTMHAKR-UHFFFAOYSA-N 0 2 324.410 0.836 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)CC[N@H+](C)CC[C@@H]2CCCO2)c1[O-] ZINC001422158160 1009578023 /nfs/dbraw/zinc/57/80/23/1009578023.db2.gz ZRRUVYARIDDGRE-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)CC[N@@H+](C)CC[C@@H]2CCCO2)c1[O-] ZINC001422158160 1009578035 /nfs/dbraw/zinc/57/80/35/1009578035.db2.gz ZRRUVYARIDDGRE-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC[C@H](F)C1 ZINC001434154346 1009615733 /nfs/dbraw/zinc/61/57/33/1009615733.db2.gz OXHRFXSYOSEGCQ-JTQLQIEISA-N 0 2 306.297 0.840 20 0 DCADLN CC(=O)N[C@@H](C)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001434281210 1009723863 /nfs/dbraw/zinc/72/38/63/1009723863.db2.gz XLRITKGKVILDAA-JOYOIKCWSA-N 0 2 317.349 0.435 20 0 DCADLN CC[C@H](C[C@H](C)O)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434297671 1009732993 /nfs/dbraw/zinc/73/29/93/1009732993.db2.gz QPDXZOYGMDXFRG-GXSJLCMTSA-N 0 2 320.349 0.546 20 0 DCADLN C[C@@H](NC(=O)c1cn(C[C@H]2CCOC2)nn1)c1nn(C)cc1O ZINC001434405666 1009912665 /nfs/dbraw/zinc/91/26/65/1009912665.db2.gz BDRILPSWTCBKLT-NXEZZACHSA-N 0 2 320.353 0.245 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cc(C(F)F)on1 ZINC001455852437 1009997866 /nfs/dbraw/zinc/99/78/66/1009997866.db2.gz DKNMSSPXDYOXSZ-UHFFFAOYSA-N 0 2 324.247 0.313 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(c3ncon3)CC2)S1 ZINC001456003319 1010065524 /nfs/dbraw/zinc/06/55/24/1010065524.db2.gz JIJKFSQTTHKZKU-MRVPVSSYSA-N 0 2 309.351 0.332 20 0 DCADLN CCCC[N@@H+](C)C[C@H](C)NS(=O)(=O)N1CC[NH+](C)CC1 ZINC001434571738 1010104491 /nfs/dbraw/zinc/10/44/91/1010104491.db2.gz IMIQTQZGOCMYPZ-ZDUSSCGKSA-N 0 2 306.476 0.189 20 0 DCADLN COCC(C)(C)CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456305962 1010183767 /nfs/dbraw/zinc/18/37/67/1010183767.db2.gz JMZMRHQZIIZGNP-UHFFFAOYSA-N 0 2 318.399 0.028 20 0 DCADLN CC[C@@H](C)CCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456311044 1010187521 /nfs/dbraw/zinc/18/75/21/1010187521.db2.gz NNDQXNRQLFCORT-SECBINFHSA-N 0 2 302.400 0.792 20 0 DCADLN Cc1cnc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001422547955 1010276220 /nfs/dbraw/zinc/27/62/20/1010276220.db2.gz HIUNXEFIELNATN-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cnc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1C ZINC001422547955 1010276225 /nfs/dbraw/zinc/27/62/25/1010276225.db2.gz HIUNXEFIELNATN-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN CCN(C(=O)Cc1ccco1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443876469 1010719379 /nfs/dbraw/zinc/71/93/79/1010719379.db2.gz CQYSCNWRVSFUTD-NSHDSACASA-N 0 2 319.365 0.769 20 0 DCADLN CCN(C(=O)Cc1ccco1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443876469 1010719388 /nfs/dbraw/zinc/71/93/88/1010719388.db2.gz CQYSCNWRVSFUTD-NSHDSACASA-N 0 2 319.365 0.769 20 0 DCADLN O=C(CCc1cccs1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423155634 1010929446 /nfs/dbraw/zinc/92/94/46/1010929446.db2.gz LPHJRHUBKNDBND-JTQLQIEISA-N 0 2 321.406 0.895 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1cnon1)C(F)C(F)(F)F ZINC001457218302 1011613881 /nfs/dbraw/zinc/61/38/81/1011613881.db2.gz RMWXRUXWWWPBLZ-HTRCEHHLSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1cnon1)[C@@H](F)C(F)(F)F ZINC001457218302 1011613887 /nfs/dbraw/zinc/61/38/87/1011613887.db2.gz RMWXRUXWWWPBLZ-HTRCEHHLSA-N 0 2 324.234 0.691 20 0 DCADLN CO[C@@H](C)C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001432192658 1012113448 /nfs/dbraw/zinc/11/34/48/1012113448.db2.gz IFCSMCGHGYDGKG-BQBZGAKWSA-N 0 2 300.252 0.687 20 0 DCADLN CO[C@@H](C)C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001432192658 1012113456 /nfs/dbraw/zinc/11/34/56/1012113456.db2.gz IFCSMCGHGYDGKG-BQBZGAKWSA-N 0 2 300.252 0.687 20 0 DCADLN C[C@@H](CNC(=O)Cc1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001458425111 1012174002 /nfs/dbraw/zinc/17/40/02/1012174002.db2.gz HHHCITHJSSEEBE-XVKPBYJWSA-N 0 2 322.262 0.540 20 0 DCADLN C[C@@H](CNC(=O)Cc1cncnc1)NC(=O)[C@H](F)C(F)(F)F ZINC001458425111 1012174009 /nfs/dbraw/zinc/17/40/09/1012174009.db2.gz HHHCITHJSSEEBE-XVKPBYJWSA-N 0 2 322.262 0.540 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n(C)n1 ZINC001432260842 1012220164 /nfs/dbraw/zinc/22/01/64/1012220164.db2.gz QFGRRAAVSBUDDG-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n(C)n1 ZINC001432260842 1012220177 /nfs/dbraw/zinc/22/01/77/1012220177.db2.gz QFGRRAAVSBUDDG-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN CCOCC1(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001445764902 1012228948 /nfs/dbraw/zinc/22/89/48/1012228948.db2.gz OGMKZSUNSWNENS-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CCOCC1(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001445764902 1012228963 /nfs/dbraw/zinc/22/89/63/1012228963.db2.gz OGMKZSUNSWNENS-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cccc3oc(=O)[nH]c32)C(=O)N1C ZINC001557576656 1012281909 /nfs/dbraw/zinc/28/19/09/1012281909.db2.gz LWRYWEOTAIFHLO-LURJTMIESA-N 0 2 304.262 0.461 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1 ZINC001557577348 1012283303 /nfs/dbraw/zinc/28/33/03/1012283303.db2.gz PWNSUVRDCWPOIP-MRVPVSSYSA-N 0 2 305.290 0.440 20 0 DCADLN CN(CCC[N@H+](C)Cc1cnn(C)n1)C(=O)[C@@H]1CCCC[NH+]1C ZINC001424313353 1012347159 /nfs/dbraw/zinc/34/71/59/1012347159.db2.gz WCZJFSGWHOMNKW-HNNXBMFYSA-N 0 2 322.457 0.580 20 0 DCADLN C[C@@H](NC(=O)c1cc(Cn2cccn2)on1)c1nn(C)cc1O ZINC001558683852 1012377137 /nfs/dbraw/zinc/37/71/37/1012377137.db2.gz OJKRTHUHVZXZHJ-SECBINFHSA-N 0 2 316.321 0.850 20 0 DCADLN Cc1nnc2c(N3CCC[C@@H](c4n[nH]c(=O)[nH]4)C3)nccn12 ZINC001559188481 1012407769 /nfs/dbraw/zinc/40/77/69/1012407769.db2.gz CREMXTJMAMGIQW-SECBINFHSA-N 0 2 300.326 0.640 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C2CC2)C1)C(F)C(F)(F)F ZINC001424356363 1012424001 /nfs/dbraw/zinc/42/40/01/1012424001.db2.gz RVDHSSDIGNYBKF-QMMMGPOBSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C2CC2)C1)[C@H](F)C(F)(F)F ZINC001424356363 1012424014 /nfs/dbraw/zinc/42/40/14/1012424014.db2.gz RVDHSSDIGNYBKF-QMMMGPOBSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(CCC1CC1)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001424358198 1012424512 /nfs/dbraw/zinc/42/45/12/1012424512.db2.gz OTJSUAPRYMECKX-NSHDSACASA-N 0 2 321.381 0.160 20 0 DCADLN Cc1cc(CCC(=O)Nc2cc(S(N)(=O)=O)ccc2O)n[nH]1 ZINC001546106795 1012596829 /nfs/dbraw/zinc/59/68/29/1012596829.db2.gz MTFKIELWCHYOQL-UHFFFAOYSA-N 0 2 324.362 0.642 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001429841243 1012849809 /nfs/dbraw/zinc/84/98/09/1012849809.db2.gz UFXOJODRLWYKMR-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001429841243 1012849828 /nfs/dbraw/zinc/84/98/28/1012849828.db2.gz UFXOJODRLWYKMR-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001429931525 1012961790 /nfs/dbraw/zinc/96/17/90/1012961790.db2.gz NLNNXHHWVOHQFE-BDAKNGLRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001429931525 1012961801 /nfs/dbraw/zinc/96/18/01/1012961801.db2.gz NLNNXHHWVOHQFE-BDAKNGLRSA-N 0 2 322.262 0.935 20 0 DCADLN CC(C)(C)/C=C\C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001494649336 1017912645 /nfs/dbraw/zinc/91/26/45/1017912645.db2.gz UILGAYNIADTZCX-XXJOZFEBSA-N 0 2 305.382 0.898 20 0 DCADLN Cn1cc(CN2CCC[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001479904014 1017951902 /nfs/dbraw/zinc/95/19/02/1017951902.db2.gz RDBZCQSKSQUORQ-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CCC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001479904014 1017951915 /nfs/dbraw/zinc/95/19/15/1017951915.db2.gz RDBZCQSKSQUORQ-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN COCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001499543819 1017964418 /nfs/dbraw/zinc/96/44/18/1017964418.db2.gz PPEADMMPBGOTSX-TXEJJXNPSA-N 0 2 323.397 0.502 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(CCNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001497474414 1018156373 /nfs/dbraw/zinc/15/63/73/1018156373.db2.gz KOPAOCOQSTWTQL-UHFFFAOYSA-N 0 2 321.425 0.331 20 0 DCADLN O=C(NCCC1CCN(Cc2cnsn2)CC1)c1cnn[nH]1 ZINC001495521455 1018555586 /nfs/dbraw/zinc/55/55/86/1018555586.db2.gz VHLPJFIVUGIRBB-UHFFFAOYSA-N 0 2 321.410 0.688 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001496124266 1019093512 /nfs/dbraw/zinc/09/35/12/1019093512.db2.gz YZEBGKCMLSOKTF-JGVFFNPUSA-N 0 2 300.252 0.498 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001496124266 1019093516 /nfs/dbraw/zinc/09/35/16/1019093516.db2.gz YZEBGKCMLSOKTF-JGVFFNPUSA-N 0 2 300.252 0.498 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC(CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001498638933 1019292719 /nfs/dbraw/zinc/29/27/19/1019292719.db2.gz YORBCUIQTGCIAO-UHFFFAOYSA-N 0 2 321.425 0.177 20 0 DCADLN CCN(CCNC(=O)c1cnon1)C(=O)C(F)C(F)(F)F ZINC001496920756 1019761690 /nfs/dbraw/zinc/76/16/90/1019761690.db2.gz ZFZYRDAESPSGAC-SSDOTTSWSA-N 0 2 312.223 0.548 20 0 DCADLN CCN(CCNC(=O)c1cnon1)C(=O)[C@@H](F)C(F)(F)F ZINC001496920756 1019761706 /nfs/dbraw/zinc/76/17/06/1019761706.db2.gz ZFZYRDAESPSGAC-SSDOTTSWSA-N 0 2 312.223 0.548 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001608610216 1170718437 /nfs/dbraw/zinc/71/84/37/1170718437.db2.gz HBZDDHABIOHGPS-NWDGAFQWSA-N 0 2 309.391 0.898 20 0 DCADLN COc1ccc(OC)c2c1CN(Cc1n[nH]c(=O)[nH]1)C[C@H]2O ZINC000092617446 185329263 /nfs/dbraw/zinc/32/92/63/185329263.db2.gz ABRZSGMPXIZFKJ-SECBINFHSA-N 0 2 306.322 0.577 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CC[C@@H](O)C3)=N2)cc1 ZINC000272651942 210056748 /nfs/dbraw/zinc/05/67/48/210056748.db2.gz NCVSGXLXJBDSRH-LLVKDONJSA-N 0 2 303.318 0.793 20 0 DCADLN C[C@@H]1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C[C@H](CO)O1 ZINC000187913277 200175700 /nfs/dbraw/zinc/17/57/00/200175700.db2.gz JBWCQDNDXMASBL-DGCLKSJQSA-N 0 2 317.345 0.800 20 0 DCADLN CN(C)C(=O)c1ccnc(NS(=O)(=O)c2cccnc2)c1 ZINC000156141804 291223883 /nfs/dbraw/zinc/22/38/83/291223883.db2.gz OHVYRAKKTHRNRE-UHFFFAOYSA-N 0 2 306.347 0.979 20 0 DCADLN CC(=O)NC[C@@H]1C[N@@H+]([C@H](C(=O)[O-])c2ccccc2C)CCO1 ZINC000566359322 291296625 /nfs/dbraw/zinc/29/66/25/291296625.db2.gz HTYFEYPKIBCMNW-HIFRSBDPSA-N 0 2 306.362 0.958 20 0 DCADLN CC(=O)NC[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2ccccc2C)CCO1 ZINC000566359322 291296626 /nfs/dbraw/zinc/29/66/26/291296626.db2.gz HTYFEYPKIBCMNW-HIFRSBDPSA-N 0 2 306.362 0.958 20 0 DCADLN COc1ccc(-c2noc(C[N@H+](C)C(C)(C)C(=O)[O-])n2)nn1 ZINC000566920092 291329705 /nfs/dbraw/zinc/32/97/05/291329705.db2.gz GLAZGSZDDPUXSO-UHFFFAOYSA-N 0 2 307.310 0.830 20 0 DCADLN COc1ccc(-c2noc(C[N@@H+](C)C(C)(C)C(=O)[O-])n2)nn1 ZINC000566920092 291329706 /nfs/dbraw/zinc/32/97/06/291329706.db2.gz GLAZGSZDDPUXSO-UHFFFAOYSA-N 0 2 307.310 0.830 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1cc(C)ccc1F ZINC000421812071 240105234 /nfs/dbraw/zinc/10/52/34/240105234.db2.gz KBYVYBFVXQLFMP-GFCCVEGCSA-N 0 2 307.281 0.738 20 0 DCADLN CCOC(=O)NCCC(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000494676170 241206371 /nfs/dbraw/zinc/20/63/71/241206371.db2.gz GAFOLMHZPVTHMD-UHFFFAOYSA-N 0 2 311.342 0.353 20 0 DCADLN CC(=O)OC(C)(C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000275267375 212235023 /nfs/dbraw/zinc/23/50/23/212235023.db2.gz JFVRUBUIFXNACX-UHFFFAOYSA-N 0 2 322.368 0.190 20 0 DCADLN COC[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)c1ccc(C)o1 ZINC000275078695 212108585 /nfs/dbraw/zinc/10/85/85/212108585.db2.gz DBSUNEYLTBLTIL-QMMMGPOBSA-N 0 2 312.351 0.595 20 0 DCADLN CC(C)c1nnc(NC(=O)CSc2n[nH]c(=O)[nH]2)s1 ZINC000274908129 212024744 /nfs/dbraw/zinc/02/47/44/212024744.db2.gz SHQXLCMAXOOBCQ-UHFFFAOYSA-N 0 2 300.369 0.804 20 0 DCADLN CN1CC[C@@H](Sc2nnnn2-c2ccc3c(c2)OCO3)C1=O ZINC000265231427 204470357 /nfs/dbraw/zinc/47/03/57/204470357.db2.gz CVBLXAIDHQWRLI-LLVKDONJSA-N 0 2 319.346 0.714 20 0 DCADLN COc1ccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)c(OC)c1 ZINC000274884854 212007580 /nfs/dbraw/zinc/00/75/80/212007580.db2.gz JPCTVJSTSBIIDV-UHFFFAOYSA-N 0 2 324.362 0.524 20 0 DCADLN CNC(=O)C1CN(C(=O)C(=O)Nc2ccccc2OC(C)C)C1 ZINC000274514803 211679786 /nfs/dbraw/zinc/67/97/86/211679786.db2.gz OAVVTWHLMXHKMY-UHFFFAOYSA-N 0 2 319.361 0.617 20 0 DCADLN O=C([O-])[C@H]1CCCN1c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000262171327 304188282 /nfs/dbraw/zinc/18/82/82/304188282.db2.gz VBXOUPIQHBBXNM-VXGBXAGGSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@H]1CCCN1c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000262171327 304188283 /nfs/dbraw/zinc/18/82/83/304188283.db2.gz VBXOUPIQHBBXNM-VXGBXAGGSA-N 0 2 306.366 0.739 20 0 DCADLN CCS(=O)(=O)CCN1CCN(c2ncc(F)cn2)CC1 ZINC000273723739 211006127 /nfs/dbraw/zinc/00/61/27/211006127.db2.gz PVAKIIKKTLQURP-UHFFFAOYSA-N 0 2 302.375 0.172 20 0 DCADLN Cc1nn(C)c(C)c1C[C@H](C)C(=O)N[C@H]1CCCN(C)C1=O ZINC000331114518 252648917 /nfs/dbraw/zinc/64/89/17/252648917.db2.gz UZFLSYQERQCYEE-HZMBPMFUSA-N 0 2 306.410 0.953 20 0 DCADLN CCCC[C@H](COC)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000119631609 195005990 /nfs/dbraw/zinc/00/59/90/195005990.db2.gz RUOQQFZDLYSICA-SNVBAGLBSA-N 0 2 320.349 0.546 20 0 DCADLN O=C(Nc1ccc(-c2nc(=O)o[nH]2)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000339396286 253077187 /nfs/dbraw/zinc/07/71/87/253077187.db2.gz NIOBNCFELDIMQV-VIFPVBQESA-N 0 2 323.330 0.403 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1cccc(-n2cnnn2)c1 ZINC000340045324 253190308 /nfs/dbraw/zinc/19/03/08/253190308.db2.gz DKLFTPRAVBSPMT-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN CNC(=O)c1ccc(C)c(NS(=O)(=O)c2ccnn2C)c1 ZINC000340075527 253197055 /nfs/dbraw/zinc/19/70/55/253197055.db2.gz BHPYHAZVJJFYSF-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(Cn2cncn2)cc1 ZINC000340077958 253197075 /nfs/dbraw/zinc/19/70/75/253197075.db2.gz NGPLPEFFCPOYRM-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccccc1-n1ccnn1 ZINC000340080560 253197629 /nfs/dbraw/zinc/19/76/29/253197629.db2.gz ISBNHCYEUFLXLP-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN CNS(=O)(=O)c1ccccc1NS(=O)(=O)C[C@@H](C)OC ZINC000340382729 253246830 /nfs/dbraw/zinc/24/68/30/253246830.db2.gz AACNISCVGZDGLW-SECBINFHSA-N 0 2 322.408 0.371 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn([C@H](C)C3CC3)c2)c1O ZINC000340793648 253305287 /nfs/dbraw/zinc/30/52/87/253305287.db2.gz JSGXUAQBCVMWGT-LDYMZIIASA-N 0 2 306.322 0.842 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(OCCO)cc1 ZINC000340943560 253325726 /nfs/dbraw/zinc/32/57/26/253325726.db2.gz AUURXDSATPKNRE-UHFFFAOYSA-N 0 2 311.363 0.900 20 0 DCADLN CCNC(=O)[C@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348729850 254250723 /nfs/dbraw/zinc/25/07/23/254250723.db2.gz MFVGFOXKAQSOML-QMMMGPOBSA-N 0 2 304.306 0.284 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2ccc3c(c2)oc(=O)n3C)n1 ZINC000349703177 254293783 /nfs/dbraw/zinc/29/37/83/254293783.db2.gz NWHONBAOJNKIIA-UHFFFAOYSA-N 0 2 308.319 0.666 20 0 DCADLN CCCN(CC(=O)NC)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348892909 254263914 /nfs/dbraw/zinc/26/39/14/254263914.db2.gz NDATZAHQBWSUIX-UHFFFAOYSA-N 0 2 318.333 0.628 20 0 DCADLN C[C@H]1CCN(S(=O)(=O)Nc2ccc(F)cc2C(N)=O)C1 ZINC000349778111 254297428 /nfs/dbraw/zinc/29/74/28/254297428.db2.gz PJXAQBMOSNZNCV-QMMMGPOBSA-N 0 2 301.343 0.923 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2cnoc2C)cn1 ZINC000350302591 254315222 /nfs/dbraw/zinc/31/52/22/254315222.db2.gz SNTPODJDHJYNDC-UHFFFAOYSA-N 0 2 300.296 0.153 20 0 DCADLN COC(=O)CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C(=O)OC ZINC000294795331 533448250 /nfs/dbraw/zinc/44/82/50/533448250.db2.gz CWPOQFNMWXGGPD-IYSWYEEDSA-N 0 2 303.208 0.498 20 0 DCADLN COC(=O)CC[C@@H](NC(=O)C(F)C(F)(F)F)C(=O)OC ZINC000294795331 533448254 /nfs/dbraw/zinc/44/82/54/533448254.db2.gz CWPOQFNMWXGGPD-IYSWYEEDSA-N 0 2 303.208 0.498 20 0 DCADLN COC(=O)CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C(=O)OC ZINC000294795334 533448259 /nfs/dbraw/zinc/44/82/59/533448259.db2.gz CWPOQFNMWXGGPD-VDTYLAMSSA-N 0 2 303.208 0.498 20 0 DCADLN COC(=O)CC[C@@H](NC(=O)C(F)C(F)(F)F)C(=O)OC ZINC000294795334 533448262 /nfs/dbraw/zinc/44/82/62/533448262.db2.gz CWPOQFNMWXGGPD-VDTYLAMSSA-N 0 2 303.208 0.498 20 0 DCADLN COCCS(=O)(=O)Nc1ccc(C(=O)OC)cc1OC ZINC000275738275 130372818 /nfs/dbraw/zinc/37/28/18/130372818.db2.gz WXENLWFOVPAIMN-UHFFFAOYSA-N 0 2 303.336 0.870 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NCC(=O)N(C)C)=N1 ZINC000084382515 395697484 /nfs/dbraw/zinc/69/74/84/395697484.db2.gz JGLUPNJVWOSZRX-UHFFFAOYSA-N 0 2 316.361 0.958 20 0 DCADLN CS(=O)(=O)Nc1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000040443288 395733879 /nfs/dbraw/zinc/73/38/79/395733879.db2.gz AQZHPNVEGQPQTG-UHFFFAOYSA-N 0 2 316.364 0.582 20 0 DCADLN O=C(N[C@@H]1CCN(c2ccc(F)cc2F)C1)c1nc(=O)[nH][nH]1 ZINC000106923007 395784503 /nfs/dbraw/zinc/78/45/03/395784503.db2.gz OCAHFOSMJZFFNY-MRVPVSSYSA-N 0 2 309.276 0.385 20 0 DCADLN CNC(=O)CS(=O)(=O)c1n[nH]c(-c2ccc3c(c2)CCC3)n1 ZINC000195212924 395821936 /nfs/dbraw/zinc/82/19/36/395821936.db2.gz DYYAALCFUDIIGA-UHFFFAOYSA-N 0 2 320.374 0.480 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cc(C(=O)OC)co2)no1 ZINC000272364938 395886981 /nfs/dbraw/zinc/88/69/81/395886981.db2.gz WRLNZWHADINZFH-UHFFFAOYSA-N 0 2 301.280 0.812 20 0 DCADLN CCN(CC)C(=O)Cc1ccc(NC(=O)c2nc(=O)[nH][nH]2)cc1 ZINC000129423479 395919740 /nfs/dbraw/zinc/91/97/40/395919740.db2.gz IMCBAAIXTDLCOQ-UHFFFAOYSA-N 0 2 317.349 0.761 20 0 DCADLN CCOCCN(C)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000271378084 395862674 /nfs/dbraw/zinc/86/26/74/395862674.db2.gz HSXGKSVHTIBYMI-UHFFFAOYSA-N 0 2 306.322 0.853 20 0 DCADLN CCO[N-]C(=O)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000134740837 395934774 /nfs/dbraw/zinc/93/47/74/395934774.db2.gz JLAVCJHTKXRHSU-UHFFFAOYSA-N 0 2 320.393 0.440 20 0 DCADLN Cc1ccc(CN(CCO)C(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000276802670 396028764 /nfs/dbraw/zinc/02/87/64/396028764.db2.gz KZNFKJJMFIUPMJ-UHFFFAOYSA-N 0 2 322.390 0.520 20 0 DCADLN C[C@H]1C[N@H+](CC(=O)[N-]OCc2ccccc2)CC[S@](=O)C1 ZINC000277528464 396072866 /nfs/dbraw/zinc/07/28/66/396072866.db2.gz RHVFSEPRKOFQND-ZSEKCTLFSA-N 0 2 310.419 0.935 20 0 DCADLN C[C@H]1C[N@@H+](CC(=O)[N-]OCc2ccccc2)CC[S@](=O)C1 ZINC000277528464 396072869 /nfs/dbraw/zinc/07/28/69/396072869.db2.gz RHVFSEPRKOFQND-ZSEKCTLFSA-N 0 2 310.419 0.935 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H]1CCOc2ccccc21 ZINC000276624622 396016015 /nfs/dbraw/zinc/01/60/15/396016015.db2.gz ONYCASBDQRUKPQ-SECBINFHSA-N 0 2 306.347 0.830 20 0 DCADLN Cn1cc(-c2nn(C)cc2NS(=O)(=O)c2ccnn2C)cn1 ZINC000277900512 396094042 /nfs/dbraw/zinc/09/40/42/396094042.db2.gz OYSHVERPCXWHCW-UHFFFAOYSA-N 0 2 321.366 0.355 20 0 DCADLN CCC[N@H+](CC(=O)NCC(=O)[O-])CC(=O)Nc1ccccc1C ZINC000262230829 396113747 /nfs/dbraw/zinc/11/37/47/396113747.db2.gz NCUYKYMDTIZPNR-UHFFFAOYSA-N 0 2 321.377 0.846 20 0 DCADLN CCC[N@@H+](CC(=O)NCC(=O)[O-])CC(=O)Nc1ccccc1C ZINC000262230829 396113750 /nfs/dbraw/zinc/11/37/50/396113750.db2.gz NCUYKYMDTIZPNR-UHFFFAOYSA-N 0 2 321.377 0.846 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])CC(=O)Nc1cccc(Cl)c1 ZINC000262273386 396117394 /nfs/dbraw/zinc/11/73/94/396117394.db2.gz VDEBOBPHVOMZBB-UHFFFAOYSA-N 0 2 313.741 0.411 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])CC(=O)Nc1cccc(Cl)c1 ZINC000262273386 396117397 /nfs/dbraw/zinc/11/73/97/396117397.db2.gz VDEBOBPHVOMZBB-UHFFFAOYSA-N 0 2 313.741 0.411 20 0 DCADLN Cc1n[nH]c(NC(=O)c2cc(S(=O)(=O)N(C)C)c(C)o2)n1 ZINC000176617077 396158060 /nfs/dbraw/zinc/15/80/60/396158060.db2.gz SWEHYPBPVQHRSX-UHFFFAOYSA-N 0 2 313.339 0.517 20 0 DCADLN CNC(=O)N1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000078437709 396244877 /nfs/dbraw/zinc/24/48/77/396244877.db2.gz SEVDFOAVTCYIKC-UHFFFAOYSA-N 0 2 307.379 0.442 20 0 DCADLN CCS(=O)(=O)NCCCNC(=O)c1cccc2[nH]nnc21 ZINC000183518584 396271171 /nfs/dbraw/zinc/27/11/71/396271171.db2.gz XFCIFFKHPJCABX-UHFFFAOYSA-N 0 2 311.367 0.017 20 0 DCADLN CCOc1ccccc1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000186999081 396361675 /nfs/dbraw/zinc/36/16/75/396361675.db2.gz BTPYPXFBHPCFPQ-UHFFFAOYSA-N 0 2 308.363 0.905 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(Cc3ncnn3CC(F)F)C2)[nH]1 ZINC000580797559 396500883 /nfs/dbraw/zinc/50/08/83/396500883.db2.gz IUYKZOHQPIYFKF-MRVPVSSYSA-N 0 2 313.312 0.747 20 0 DCADLN CC[N@H+]1CCCN(C(=O)NCC[NH+]2CCOC(C)(C)C2)CC1 ZINC000372027629 396483285 /nfs/dbraw/zinc/48/32/85/396483285.db2.gz ZNOUUACSZCTBGB-UHFFFAOYSA-N 0 2 312.458 0.834 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nc(C)n(C)n2)cs1 ZINC000292529158 396554773 /nfs/dbraw/zinc/55/47/73/396554773.db2.gz RBJZLGGIGXAGTG-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN Cc1nc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)c(C)o1 ZINC000292524226 396554863 /nfs/dbraw/zinc/55/48/63/396554863.db2.gz PGJCHLUTVZBYED-UHFFFAOYSA-N 0 2 317.352 0.767 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)nn1C ZINC000292635376 396560006 /nfs/dbraw/zinc/56/00/06/396560006.db2.gz ZFYVBDDQZOLTLB-UHFFFAOYSA-N 0 2 321.362 0.210 20 0 DCADLN CC[C@H](C)[C@@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000581941311 396595132 /nfs/dbraw/zinc/59/51/32/396595132.db2.gz AXKMSDIYLAYJFU-NWDGAFQWSA-N 0 2 322.427 0.127 20 0 DCADLN Cc1n[nH]c(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)c1C ZINC000533549429 396605278 /nfs/dbraw/zinc/60/52/78/396605278.db2.gz JIACXHFOYJBLEB-JTQLQIEISA-N 0 2 311.367 0.985 20 0 DCADLN C[C@@H](O)CNc1cc(N2CCc3[nH]nc(C(=O)[O-])c3C2)nc[nH+]1 ZINC000563213614 396714834 /nfs/dbraw/zinc/71/48/34/396714834.db2.gz CZFYJUQOSOMLNE-MRVPVSSYSA-N 0 2 318.337 0.253 20 0 DCADLN C[C@@H](O)CNc1cc(N2CCc3[nH]nc(C(=O)[O-])c3C2)[nH+]cn1 ZINC000563213614 396714839 /nfs/dbraw/zinc/71/48/39/396714839.db2.gz CZFYJUQOSOMLNE-MRVPVSSYSA-N 0 2 318.337 0.253 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CCn2cnccc2=O)s[nH]1 ZINC000634149409 396795806 /nfs/dbraw/zinc/79/58/06/396795806.db2.gz WSFJJKRQHJTWJX-UHFFFAOYSA-N 0 2 323.378 0.427 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@H]2CCc3nncn3C2)s[nH]1 ZINC000634150001 396796495 /nfs/dbraw/zinc/79/64/95/396796495.db2.gz TUCUKHVJPSABAR-QMMMGPOBSA-N 0 2 322.394 0.634 20 0 DCADLN CO[C@@H](C)c1nc(=NC(=O)N2CCn3c[nH+]cc3C2)s[n-]1 ZINC000564429076 396833252 /nfs/dbraw/zinc/83/32/52/396833252.db2.gz HWSMBAYSDOLCLW-QMMMGPOBSA-N 0 2 308.367 0.912 20 0 DCADLN CN1CC[N@H+](C)[C@H](CNc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000317171060 396801744 /nfs/dbraw/zinc/80/17/44/396801744.db2.gz ZTFHSUQJZQZLQC-LLVKDONJSA-N 0 2 308.338 0.951 20 0 DCADLN CN1CC[N@@H+](C)[C@H](CNc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000317171060 396801750 /nfs/dbraw/zinc/80/17/50/396801750.db2.gz ZTFHSUQJZQZLQC-LLVKDONJSA-N 0 2 308.338 0.951 20 0 DCADLN COc1ccc2c(c1)C1=NN(c3nccn(C)c3=O)C(=O)[C@@H]1C2 ZINC000634613900 396891821 /nfs/dbraw/zinc/89/18/21/396891821.db2.gz LONZLGXGZKUSSY-GFCCVEGCSA-N 0 2 310.313 0.712 20 0 DCADLN Cc1cc(CNS(=O)(=O)c2cnn(CC(=O)[O-])c2)cc(C)[nH+]1 ZINC000564919771 396898771 /nfs/dbraw/zinc/89/87/71/396898771.db2.gz PIXFRPDCOYCRDG-UHFFFAOYSA-N 0 2 324.362 0.458 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@H+](C)Cc1ccc(OC)c(O)c1 ZINC000569909829 396923628 /nfs/dbraw/zinc/92/36/28/396923628.db2.gz WDQOQWYQJLVSPM-CQSZACIVSA-N 0 2 312.410 0.772 20 0 DCADLN CSC[C@@](C)(O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597282768 396931805 /nfs/dbraw/zinc/93/18/05/396931805.db2.gz RRCUPDTZMUSSSE-AWEZNQCLSA-N 0 2 323.374 0.874 20 0 DCADLN O=C(C[C@@H](n1cccn1)C(F)(F)F)NCc1n[nH]c(=O)[nH]1 ZINC000611862632 396940607 /nfs/dbraw/zinc/94/06/07/396940607.db2.gz OYDZAOUKYDJQDT-ZCFIWIBFSA-N 0 2 304.232 0.517 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)Cc2cn3ccccc3[nH+]2)CCOC1 ZINC000630205121 396960423 /nfs/dbraw/zinc/96/04/23/396960423.db2.gz PAUNWGGKPHQJNW-HNNXBMFYSA-N 0 2 303.318 0.627 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@@H+]1CCC[C@]1(COC)C(=O)[O-] ZINC000591655276 396965703 /nfs/dbraw/zinc/96/57/03/396965703.db2.gz RTMWEWUAMKXKBK-AWEZNQCLSA-N 0 2 315.370 0.178 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@H+]1CCC[C@]1(COC)C(=O)[O-] ZINC000591655276 396965707 /nfs/dbraw/zinc/96/57/07/396965707.db2.gz RTMWEWUAMKXKBK-AWEZNQCLSA-N 0 2 315.370 0.178 20 0 DCADLN CC(C)[NH+]1CCN([C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC000571730943 396980402 /nfs/dbraw/zinc/98/04/02/396980402.db2.gz KMDZGRUAOYWTOF-OAHLLOKOSA-N 0 2 305.426 0.510 20 0 DCADLN C[C@@H](C[S@](C)=O)N(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597499277 396992415 /nfs/dbraw/zinc/99/24/15/396992415.db2.gz YBBHAOILUPNNNK-AUADJRAKSA-N 0 2 323.374 0.869 20 0 DCADLN COCCC[N@@H+](C)CCNC(=O)[C@H]1CCn2cc(C)[nH+]c2C1 ZINC000625807838 397008428 /nfs/dbraw/zinc/00/84/28/397008428.db2.gz YFUCPTRYVPLQNF-AWEZNQCLSA-N 0 2 308.426 0.838 20 0 DCADLN Cn1[n-]c(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)cc1=O ZINC000612008693 396979103 /nfs/dbraw/zinc/97/91/03/396979103.db2.gz KOUFSYFPKKAERZ-UHFFFAOYSA-N 0 2 316.361 0.789 20 0 DCADLN COCCOCCOCCS(=O)(=O)Nc1cc(C)n(C)n1 ZINC000572965447 397117549 /nfs/dbraw/zinc/11/75/49/397117549.db2.gz PFXRNZJZHCVINO-UHFFFAOYSA-N 0 2 321.399 0.150 20 0 DCADLN COCCNC(=O)c1ccccc1NS(=O)(=O)N(C)C ZINC000380714557 397271044 /nfs/dbraw/zinc/27/10/44/397271044.db2.gz IOGHAFRAKFTIEM-UHFFFAOYSA-N 0 2 301.368 0.281 20 0 DCADLN COC(=O)C(C)(C)N1CC[NH+](Cc2cc(C(=O)[O-])nn2C)CC1 ZINC000602065400 397293816 /nfs/dbraw/zinc/29/38/16/397293816.db2.gz LBGLMAYBBOLLGP-UHFFFAOYSA-N 0 2 324.381 0.188 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](CCOCC(F)(F)C(F)F)CC1 ZINC000574880643 397308222 /nfs/dbraw/zinc/30/82/22/397308222.db2.gz OVTSOEZPKXSQIT-UHFFFAOYSA-N 0 2 316.251 0.132 20 0 DCADLN CN(CCOCCO)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614497700 397449924 /nfs/dbraw/zinc/44/99/24/397449924.db2.gz TWSLSDIAQHESEL-UHFFFAOYSA-N 0 2 307.306 0.111 20 0 DCADLN C[C@@H]1OCC[C@]1(O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614205464 397399588 /nfs/dbraw/zinc/39/95/88/397399588.db2.gz UNEGSNRVOUCLMQ-VFZGTOFNSA-N 0 2 319.317 0.300 20 0 DCADLN O=C([C@@H]1CCCc2nn[nH]c21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518571 397463039 /nfs/dbraw/zinc/46/30/39/397463039.db2.gz IDSPXKYQRIKMGO-DTWKUNHWSA-N 0 2 317.353 0.455 20 0 DCADLN Cc1ccc2[nH+]c(CNC(=O)c3c[n-]n4c3nccc4=O)cn2c1 ZINC000487963048 397480214 /nfs/dbraw/zinc/48/02/14/397480214.db2.gz ZSKSWNQYHJEDFN-UHFFFAOYSA-N 0 2 322.328 0.909 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cccnc1-n1cccn1 ZINC000370421042 397482603 /nfs/dbraw/zinc/48/26/03/397482603.db2.gz MRIZIIPCSMOINT-UHFFFAOYSA-N 0 2 317.334 0.822 20 0 DCADLN CCOC(=O)c1ccsc1NC(=O)C[N@H+](C)CC(=O)[O-] ZINC000578992351 397510690 /nfs/dbraw/zinc/51/06/90/397510690.db2.gz LPRGCQFIRFBTJT-UHFFFAOYSA-N 0 2 300.336 0.880 20 0 DCADLN CCOC(=O)c1ccsc1NC(=O)C[N@@H+](C)CC(=O)[O-] ZINC000578992351 397510691 /nfs/dbraw/zinc/51/06/91/397510691.db2.gz LPRGCQFIRFBTJT-UHFFFAOYSA-N 0 2 300.336 0.880 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2cn(C)nc2C)[nH]n1 ZINC000579753735 397592147 /nfs/dbraw/zinc/59/21/47/397592147.db2.gz MNFXJPOGVXLWTL-UHFFFAOYSA-N 0 2 313.339 0.429 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@@H](O)[C@@H]3CCOC3)c[nH]c2n1 ZINC000605895438 397674643 /nfs/dbraw/zinc/67/46/43/397674643.db2.gz WCHFMLUSKPYFOP-ZWNOBZJWSA-N 0 2 317.345 0.771 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NC[C@H](O)[C@@H]2CCOC2)s[nH]1 ZINC000605920617 397674646 /nfs/dbraw/zinc/67/46/46/397674646.db2.gz QVJHTFXQIZDSRQ-BDAKNGLRSA-N 0 2 314.411 0.776 20 0 DCADLN Cc1c(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)nnn1C ZINC000607490644 397703165 /nfs/dbraw/zinc/70/31/65/397703165.db2.gz SKXWUBGKCPIBCG-UHFFFAOYSA-N 0 2 300.278 0.719 20 0 DCADLN CSCCNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000609950311 397798622 /nfs/dbraw/zinc/79/86/22/397798622.db2.gz QCSDLIQPLABMSM-UHFFFAOYSA-N 0 2 302.425 0.675 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc3c2OCCC3)c1O ZINC000348600498 285945045 /nfs/dbraw/zinc/94/50/45/285945045.db2.gz XEQMAXOOQYBQDJ-NSHDSACASA-N 0 2 304.302 1.000 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCC[C@@]1(C)CO)c2=O ZINC000119350440 158185401 /nfs/dbraw/zinc/18/54/01/158185401.db2.gz HKZYUUPIFDDHSB-NHYWBVRUSA-N 0 2 304.350 0.955 20 0 DCADLN CCNC(=O)CN(CC)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000132597600 158312486 /nfs/dbraw/zinc/31/24/86/158312486.db2.gz DFBMRDQBKCQACG-UHFFFAOYSA-N 0 2 305.338 0.272 20 0 DCADLN COC(=O)[C@H]1C[C@@H](O)CN1Cc1nc(=O)c2sccc2[nH]1 ZINC000168997842 158372507 /nfs/dbraw/zinc/37/25/07/158372507.db2.gz VIPWDNYXZBVLBM-VXNVDRBHSA-N 0 2 309.347 0.093 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)N3CCCC3)C2)[nH]1 ZINC000328829082 159033576 /nfs/dbraw/zinc/03/35/76/159033576.db2.gz KBQDCIPHVFIHHH-SECBINFHSA-N 0 2 301.372 0.030 20 0 DCADLN C[C@@H]1CN(C(=O)CN2CCCC[C@H]2c2n[nH]c(=O)[nH]2)C[C@H](C)O1 ZINC000329585718 159104938 /nfs/dbraw/zinc/10/49/38/159104938.db2.gz MGQOQPCVQXDDME-TUAOUCFPSA-N 0 2 323.397 0.673 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)[C@H]2CNC(=O)C2)[nH]1 ZINC000329602869 159106581 /nfs/dbraw/zinc/10/65/81/159106581.db2.gz LAWHOKJLULEEOR-ZJUUUORDSA-N 0 2 307.354 0.981 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC000341708935 159222522 /nfs/dbraw/zinc/22/25/22/159222522.db2.gz AIPRTRBZOGTYIM-UHFFFAOYSA-N 0 2 320.330 0.745 20 0 DCADLN COc1cc2c(cc1OC)C[N@H+](CCNC(=O)C(=O)[O-])CC2 ZINC000360405466 159268458 /nfs/dbraw/zinc/26/84/58/159268458.db2.gz AEPXIEDGRFJQNF-UHFFFAOYSA-N 0 2 308.334 0.263 20 0 DCADLN COc1cc2c(cc1OC)C[N@@H+](CCNC(=O)C(=O)[O-])CC2 ZINC000360405466 159268459 /nfs/dbraw/zinc/26/84/59/159268459.db2.gz AEPXIEDGRFJQNF-UHFFFAOYSA-N 0 2 308.334 0.263 20 0 DCADLN Cc1ncc2c(n1)CC[C@H](NS(=O)(=O)NCC(F)(F)F)C2 ZINC000367029738 159306760 /nfs/dbraw/zinc/30/67/60/159306760.db2.gz KRIXDSAMIKAIMG-VIFPVBQESA-N 0 2 324.328 0.629 20 0 DCADLN C[C@@H]1OCC[C@H]1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000408084481 160032650 /nfs/dbraw/zinc/03/26/50/160032650.db2.gz QIMMJPIYFGIZBZ-NTSWFWBYSA-N 0 2 306.369 0.273 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2CCSC2)s1 ZINC000408302870 160080127 /nfs/dbraw/zinc/08/01/27/160080127.db2.gz KAEKMGFLXUOTIY-YFKPBYRVSA-N 0 2 308.410 0.601 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)CC[C@H]2CC[C@@H](C)O2)cnn1C ZINC000408484849 160118348 /nfs/dbraw/zinc/11/83/48/160118348.db2.gz ONMNDEFVTCKAPP-MWLCHTKSSA-N 0 2 315.395 0.881 20 0 DCADLN Cc1ccc(OCC(=O)NCCCc2n[nH]c(=O)[nH]2)c(C)c1 ZINC000080974940 286928078 /nfs/dbraw/zinc/92/80/78/286928078.db2.gz BCMQWKNSOTWBJD-UHFFFAOYSA-N 0 2 304.350 0.843 20 0 DCADLN O=C(NCCc1cnccn1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000342426945 415172957 /nfs/dbraw/zinc/17/29/57/415172957.db2.gz SDFRYVRUBXMCQW-UHFFFAOYSA-N 0 2 311.301 0.792 20 0 DCADLN CC[C@H](C)[C@@H](O)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268550213 415239184 /nfs/dbraw/zinc/23/91/84/415239184.db2.gz DHFQRYWVTCRTAS-XVKPBYJWSA-N 0 2 320.349 0.881 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000271266826 415262823 /nfs/dbraw/zinc/26/28/23/415262823.db2.gz MEWUSVMIEXGXBK-VXNVDRBHSA-N 0 2 304.306 0.733 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2cc(F)ccc2F)cn1 ZINC000047251715 415267110 /nfs/dbraw/zinc/26/71/10/415267110.db2.gz VFRHSVQRXJGECM-UHFFFAOYSA-N 0 2 316.289 0.447 20 0 DCADLN CC(=O)c1cccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC000342785344 415293461 /nfs/dbraw/zinc/29/34/61/415293461.db2.gz IRGFQHCHULRRIC-UHFFFAOYSA-N 0 2 322.346 0.372 20 0 DCADLN CC[C@H]1CC[C@@H](C)N1c1nc(NCCO)[nH+]c(NC(C)C)n1 ZINC000342940565 415369230 /nfs/dbraw/zinc/36/92/30/415369230.db2.gz SYBSNROZEGYKBV-NEPJUHHUSA-N 0 2 308.430 0.707 20 0 DCADLN NC(=O)[C@H]1CC[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)O1 ZINC000353087976 415433452 /nfs/dbraw/zinc/43/34/52/415433452.db2.gz VSEIDEGJUOTQNY-NXEZZACHSA-N 0 2 318.289 0.001 20 0 DCADLN NC(=O)CCOc1ccc(NC(=O)C(N)C(F)(F)F)cc1 ZINC000353148823 415453570 /nfs/dbraw/zinc/45/35/70/415453570.db2.gz LZPFZDJRUBLBLF-JTQLQIEISA-N 0 2 305.256 0.769 20 0 DCADLN NC(=O)CCOc1ccc(NC(=O)[C@H](N)C(F)(F)F)cc1 ZINC000353148823 415453580 /nfs/dbraw/zinc/45/35/80/415453580.db2.gz LZPFZDJRUBLBLF-JTQLQIEISA-N 0 2 305.256 0.769 20 0 DCADLN CSCc1ccccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000353335242 415508502 /nfs/dbraw/zinc/50/85/02/415508502.db2.gz HSTMYOHSHCENTB-UHFFFAOYSA-N 0 2 321.362 0.628 20 0 DCADLN CCCC[NH+]1CCOC[C@@H]1C(=O)N[C@H]1CC[N@@H+](CCOC)C1 ZINC000353438053 415541784 /nfs/dbraw/zinc/54/17/84/415541784.db2.gz BFYZUBGGAIWIDD-LSDHHAIUSA-N 0 2 313.442 0.324 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CC(=O)N(C)C2)c1 ZINC000424645172 287311997 /nfs/dbraw/zinc/31/19/97/287311997.db2.gz SKEDMVCRRWMLMI-UZJPJQLHSA-N 0 2 310.375 0.150 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1NC(=O)NC1=O)c1ccc2n[nH]cc2c1 ZINC000343411927 415590225 /nfs/dbraw/zinc/59/02/25/415590225.db2.gz NGPWGRUKOLYVBT-CPCISQLKSA-N 0 2 301.306 0.338 20 0 DCADLN O=C(CC1CCCCC1)N1CCN(C(=O)c2nc(=O)[nH][nH]2)CC1 ZINC000101672673 415594618 /nfs/dbraw/zinc/59/46/18/415594618.db2.gz PRUJCNSKNYQYMB-UHFFFAOYSA-N 0 2 321.381 0.353 20 0 DCADLN CCCCCNC(=O)CS(=O)(=O)c1n[nH]c(COC)n1 ZINC000353900991 415688165 /nfs/dbraw/zinc/68/81/65/415688165.db2.gz ZEYTXWZEYKTURM-UHFFFAOYSA-N 0 2 304.372 0.031 20 0 DCADLN C[N@H+]1CC[C@@H]2CN(c3cc(N4CCC[C@H](CO)C4)[nH+]cn3)C[C@@H]21 ZINC000333635906 415701203 /nfs/dbraw/zinc/70/12/03/415701203.db2.gz BCNPZTYXHJFNJR-ZNMIVQPWSA-N 0 2 317.437 0.826 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccn(-c2ccc(F)cc2F)n1 ZINC000343845680 415750729 /nfs/dbraw/zinc/75/07/29/415750729.db2.gz YXIOZVFVSIHTHP-UHFFFAOYSA-N 0 2 320.259 0.904 20 0 DCADLN COCC[N@H+](C)CCNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000120255629 415759398 /nfs/dbraw/zinc/75/93/98/415759398.db2.gz HRXCPPVCFUCSHX-CQSZACIVSA-N 0 2 321.425 0.538 20 0 DCADLN COCCn1cc(NS(=O)(=O)c2cncc(F)c2)cn1 ZINC000355046901 415837562 /nfs/dbraw/zinc/83/75/62/415837562.db2.gz DDXUSAWPMHMMOA-UHFFFAOYSA-N 0 2 300.315 0.864 20 0 DCADLN C[C@@H](C[S@@](C)=O)NC(=O)c1cccnc1N1CCOCC1 ZINC000334034404 415787647 /nfs/dbraw/zinc/78/76/47/415787647.db2.gz QTKANKWKKZHPMJ-WIUDPPPLSA-N 0 2 311.407 0.415 20 0 DCADLN CC(C)N1C[C@H](NC(=O)N2CCCc3c(cnn3C)C2)CC1=O ZINC000334027406 415788262 /nfs/dbraw/zinc/78/82/62/415788262.db2.gz IEPRQLFXNONJSZ-CYBMUJFWSA-N 0 2 319.409 0.887 20 0 DCADLN Cc1nc(N2CCC[C@H](NC(=O)N(C)C)C2)cc2nncn21 ZINC000334024008 415788570 /nfs/dbraw/zinc/78/85/70/415788570.db2.gz FWAXBZNOQDAAFH-NSHDSACASA-N 0 2 303.370 0.673 20 0 DCADLN O=C(N[C@@H]1CCC[N@H+](CCCO)C1)c1ccc2[nH]nnc2c1 ZINC000295416417 415892356 /nfs/dbraw/zinc/89/23/56/415892356.db2.gz JRBXPPNBLAZQCO-GFCCVEGCSA-N 0 2 303.366 0.535 20 0 DCADLN O=C(NC[C@H]1CCCS1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000130401554 415917744 /nfs/dbraw/zinc/91/77/44/415917744.db2.gz IUGVWYBAXLXISK-MRVPVSSYSA-N 0 2 306.347 0.237 20 0 DCADLN O=C(CCC(=O)N1CCOCC1)Nc1ccc2nn[nH]c2c1 ZINC000153176896 415976781 /nfs/dbraw/zinc/97/67/81/415976781.db2.gz DEHCUNJRBLYVFC-UHFFFAOYSA-N 0 2 303.322 0.535 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)c1ncnn1C ZINC000356727603 415978235 /nfs/dbraw/zinc/97/82/35/415978235.db2.gz CIYKZQRXKISPEQ-SSDOTTSWSA-N 0 2 301.310 0.293 20 0 DCADLN O=C(N[C@H](CO)[C@H]1CCCO1)C1=NN(c2ccccc2)CC1=O ZINC000344884239 416002211 /nfs/dbraw/zinc/00/22/11/416002211.db2.gz YKVKWXHXWYVSPT-TZMCWYRMSA-N 0 2 317.345 0.848 20 0 DCADLN COCCc1nsc(NC[C@H]2CCc3nnc(C)n3C2)n1 ZINC000426881890 287323674 /nfs/dbraw/zinc/32/36/74/287323674.db2.gz GQOPVWRCZNVOAI-SNVBAGLBSA-N 0 2 308.411 0.723 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)NCCCn2cc[nH+]c2)C1 ZINC000319470954 416119530 /nfs/dbraw/zinc/11/95/30/416119530.db2.gz NELMNXDZRODYKS-AWEZNQCLSA-N 0 2 310.354 0.406 20 0 DCADLN Cc1c(NS(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)cnn1C ZINC000176970424 416138506 /nfs/dbraw/zinc/13/85/06/416138506.db2.gz CQMPEAAQZGPILN-UHFFFAOYSA-N 0 2 307.335 0.699 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H](C)[C@@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000357883013 416147155 /nfs/dbraw/zinc/14/71/55/416147155.db2.gz AIEQHNGAESPGGA-XVKPBYJWSA-N 0 2 318.333 0.587 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC1CCC(C(N)=O)CC1)c2=O ZINC000358329696 416223098 /nfs/dbraw/zinc/22/30/98/416223098.db2.gz HSUOFEMXDUJBRD-UHFFFAOYSA-N 0 2 317.349 0.448 20 0 DCADLN CC(C)OC(=O)CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358352827 416225981 /nfs/dbraw/zinc/22/59/81/416225981.db2.gz REURMXFRJSUEDA-UHFFFAOYSA-N 0 2 306.322 0.745 20 0 DCADLN Cn1cc(-c2nc(C(=O)NCc3n[nH]c(=O)[nH]3)cs2)cn1 ZINC000358357663 416228512 /nfs/dbraw/zinc/22/85/12/416228512.db2.gz NTJLKYBKMVIKRS-UHFFFAOYSA-N 0 2 305.323 0.297 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(N2CCCC2=O)c1 ZINC000358360803 416229779 /nfs/dbraw/zinc/22/97/79/416229779.db2.gz UHGVOYSULAPVLQ-UHFFFAOYSA-N 0 2 301.306 0.567 20 0 DCADLN O=C(Nc1cccc(C(=O)NCc2n[nH]c(=O)[nH]2)c1)NC1CC1 ZINC000358363788 416230100 /nfs/dbraw/zinc/23/01/00/416230100.db2.gz XJKWCDVJAVEVRX-UHFFFAOYSA-N 0 2 316.321 0.724 20 0 DCADLN COC(=O)c1coc(S(=O)(=O)Nc2nc3ccccn3n2)c1 ZINC000358803867 416276467 /nfs/dbraw/zinc/27/64/67/416276467.db2.gz UGCLPXHSGLAGEG-UHFFFAOYSA-N 0 2 322.302 0.910 20 0 DCADLN COC(=O)[C@@H](C)N(C1CCC1)S(=O)(=O)NCC(F)(F)F ZINC000195470043 416326602 /nfs/dbraw/zinc/32/66/02/416326602.db2.gz WSCTZHXEQSIDAZ-SSDOTTSWSA-N 0 2 318.317 0.799 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)o1 ZINC000360763761 416408976 /nfs/dbraw/zinc/40/89/76/416408976.db2.gz LHPHRWVCYHQTJB-UHFFFAOYSA-N 0 2 317.348 0.582 20 0 DCADLN C[C@H]1CN(C(=O)NC[C@@H]2C[NH+](C)CCO2)CC[N@@H+](C2CC2)C1 ZINC000458310944 416450877 /nfs/dbraw/zinc/45/08/77/416450877.db2.gz LCJFRIGAZVBAKY-UKRRQHHQSA-N 0 2 310.442 0.443 20 0 DCADLN C[C@@H]1CN(C(=O)NC[C@H]2C[NH+](C)CCO2)CC[N@@H+](C2CC2)C1 ZINC000458310945 416452312 /nfs/dbraw/zinc/45/23/12/416452312.db2.gz LCJFRIGAZVBAKY-ZFWWWQNUSA-N 0 2 310.442 0.443 20 0 DCADLN O=C(CN1CCCNC1=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000535231747 416518798 /nfs/dbraw/zinc/51/87/98/416518798.db2.gz VCDBWGJMLLWHRY-UHFFFAOYSA-N 0 2 318.362 0.376 20 0 DCADLN Cc1oc(-c2cnn(C)c2)nc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000439077193 416606804 /nfs/dbraw/zinc/60/68/04/416606804.db2.gz LUGCAUFHGMKKII-UHFFFAOYSA-N 0 2 303.282 0.137 20 0 DCADLN CC1(C)C[C@H]1CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000437245331 416580527 /nfs/dbraw/zinc/58/05/27/416580527.db2.gz JSJVSMPWJLIZOJ-ZETCQYMHSA-N 0 2 324.362 0.760 20 0 DCADLN CC(C)Cc1nsc(NC2CCN(c3nnnn3C)CC2)n1 ZINC000364292189 416582669 /nfs/dbraw/zinc/58/26/69/416582669.db2.gz PRDCLYKXUZDYCT-UHFFFAOYSA-N 0 2 322.442 0.763 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)c2cccc(C)c2)n1 ZINC000424223111 416629847 /nfs/dbraw/zinc/62/98/47/416629847.db2.gz OPYFMJOUZFEUBK-UHFFFAOYSA-N 0 2 324.362 0.950 20 0 DCADLN CC[C@@H]1C[N@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])CCO1 ZINC000424402221 416640706 /nfs/dbraw/zinc/64/07/06/416640706.db2.gz GBCRNVFWRHHZAC-GFCCVEGCSA-N 0 2 315.414 0.897 20 0 DCADLN CC[C@@H]1C[N@@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])CCO1 ZINC000424402221 416640710 /nfs/dbraw/zinc/64/07/10/416640710.db2.gz GBCRNVFWRHHZAC-GFCCVEGCSA-N 0 2 315.414 0.897 20 0 DCADLN Cc1cc(C[NH3+])cc(NC(=O)C(=O)N[C@H](C)Cn2cc[nH+]c2)c1 ZINC000424440638 416645562 /nfs/dbraw/zinc/64/55/62/416645562.db2.gz RCGXVEZDDZWTEW-GFCCVEGCSA-N 0 2 315.377 0.794 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@H](CO)C2CC2)c1 ZINC000424827477 416669670 /nfs/dbraw/zinc/66/96/70/416669670.db2.gz ICICADSFPNBBOR-LAJNKCICSA-N 0 2 311.403 0.938 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1c2c(nn1C)CCCC2 ZINC000631802266 416746717 /nfs/dbraw/zinc/74/67/17/416746717.db2.gz KEDHYFJFNFKPRN-UHFFFAOYSA-N 0 2 309.395 0.809 20 0 DCADLN CC(C)[C@@](C)(NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(N)=O ZINC000614716728 416696119 /nfs/dbraw/zinc/69/61/19/416696119.db2.gz OIHKDEIEUBTNOC-OAHLLOKOSA-N 0 2 318.333 0.660 20 0 DCADLN Cc1cc(NC(=O)CC[NH+]2CCN(c3[nH]cc[nH+]3)CC2)no1 ZINC000515581155 416704440 /nfs/dbraw/zinc/70/44/40/416704440.db2.gz RRJYPGCPPSDFBT-UHFFFAOYSA-N 0 2 304.354 0.857 20 0 DCADLN COCCc1noc(C[NH+]2CCC(C[NH+]3CCOCC3)CC2)n1 ZINC000368995943 416715645 /nfs/dbraw/zinc/71/56/45/416715645.db2.gz PLOGSBQCMZKIAQ-UHFFFAOYSA-N 0 2 324.425 0.803 20 0 DCADLN CCC(=O)N[C@@H]1CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000442986311 416724968 /nfs/dbraw/zinc/72/49/68/416724968.db2.gz ZHZHOWYHCQXTAN-MRVPVSSYSA-N 0 2 317.333 0.374 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2COC[C@H]2OC)c1 ZINC000516652838 416733239 /nfs/dbraw/zinc/73/32/39/416733239.db2.gz MPTNGQOBHCECIK-SQHYZVFZSA-N 0 2 313.375 0.333 20 0 DCADLN Cc1cc(NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)n[nH]1 ZINC000426332946 416758397 /nfs/dbraw/zinc/75/83/97/416758397.db2.gz XVTRSNICGWYBCV-UHFFFAOYSA-N 0 2 308.367 0.866 20 0 DCADLN Cc1cccc(NC(=O)NCC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000443522063 416766843 /nfs/dbraw/zinc/76/68/43/416766843.db2.gz XHLIRHLEWNERES-UHFFFAOYSA-N 0 2 304.310 0.257 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCc1ccc2c(c1)CCO2 ZINC000558540491 416777710 /nfs/dbraw/zinc/77/77/10/416777710.db2.gz RLBMRHIYBSASLD-UHFFFAOYSA-N 0 2 320.374 0.896 20 0 DCADLN C[C@@H]1CC[C@@H](NS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000444226286 416820474 /nfs/dbraw/zinc/82/04/74/416820474.db2.gz QYFRKEMSRSBSNS-HTQZYQBOSA-N 0 2 324.362 0.903 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)N(C)Cc1cccc(O)c1 ZINC000559924171 416827586 /nfs/dbraw/zinc/82/75/86/416827586.db2.gz GOQHIDCMMZNSKD-UHFFFAOYSA-N 0 2 302.334 0.699 20 0 DCADLN Cc1cccc([C@H](CN=c2nn[n-]n2C)[NH+]2CCOCC2)c1 ZINC000518065883 416835872 /nfs/dbraw/zinc/83/58/72/416835872.db2.gz OKXPMAUJGJUPOJ-AWEZNQCLSA-N 0 2 302.382 0.426 20 0 DCADLN CO[C@H](C)c1nsc(NC[C@@H](CO)Cc2cnn(C)c2)n1 ZINC000641646422 416960823 /nfs/dbraw/zinc/96/08/23/416960823.db2.gz HSJJGWIRVGHLDW-KOLCDFICSA-N 0 2 311.411 0.664 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ncn(CC(F)(F)F)n2)cn1 ZINC000446948171 416954686 /nfs/dbraw/zinc/95/46/86/416954686.db2.gz MSGBQPJMMBARJQ-UHFFFAOYSA-N 0 2 324.288 0.858 20 0 DCADLN CC[C@H](C)NC(=O)C[NH+]1CCN(c2ncccc2C(=O)[O-])CC1 ZINC000641959395 417019686 /nfs/dbraw/zinc/01/96/86/417019686.db2.gz YQFXDAKMNZAJCA-LBPRGKRZSA-N 0 2 320.393 0.817 20 0 DCADLN COCCOCCOCCS(=O)(=O)Nc1conc1C ZINC000641694056 416979476 /nfs/dbraw/zinc/97/94/76/416979476.db2.gz NFYKGTUPAILJLA-UHFFFAOYSA-N 0 2 308.356 0.404 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2ccc(C)nc2)cn1 ZINC000430982153 417096942 /nfs/dbraw/zinc/09/69/42/417096942.db2.gz NIYQEOYFGGONCQ-UHFFFAOYSA-N 0 2 309.351 0.133 20 0 DCADLN Cc1cc(C(=O)NCc2cc[nH]n2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000525380259 417138715 /nfs/dbraw/zinc/13/87/15/417138715.db2.gz FQJWJSAAOGIFEH-UHFFFAOYSA-N 0 2 300.278 0.398 20 0 DCADLN Cc1cccc2c1O[C@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)C2 ZINC000450007160 417193982 /nfs/dbraw/zinc/19/39/82/417193982.db2.gz YGRQCGTUUIQWJV-NSHDSACASA-N 0 2 302.334 0.871 20 0 DCADLN CS(=O)(=O)N1CCC[N@@H+]([C@H](C(=O)[O-])c2ccsc2)CC1 ZINC000568990605 417203595 /nfs/dbraw/zinc/20/35/95/417203595.db2.gz MCBFTDDUTLQLHS-NSHDSACASA-N 0 2 318.420 0.841 20 0 DCADLN CS(=O)(=O)N1CCC[N@H+]([C@H](C(=O)[O-])c2ccsc2)CC1 ZINC000568990605 417203603 /nfs/dbraw/zinc/20/36/03/417203603.db2.gz MCBFTDDUTLQLHS-NSHDSACASA-N 0 2 318.420 0.841 20 0 DCADLN CC(C)[N@H+]1CC[C@@H](N(C)C(=O)C(=O)N(C)Cc2[nH]cc[nH+]2)C1 ZINC000632639936 417210030 /nfs/dbraw/zinc/21/00/30/417210030.db2.gz GPZUEDGLYJTZLN-GFCCVEGCSA-N 0 2 307.398 0.309 20 0 DCADLN C[C@H]1C[C@H]1c1nnc2ccc(NCCCc3n[nH]c(=O)[nH]3)nn21 ZINC000450361482 417221771 /nfs/dbraw/zinc/22/17/71/417221771.db2.gz FLHAROZURKUJES-DTWKUNHWSA-N 0 2 314.353 1.116 20 0 DCADLN O=C([O-])[C@@H]1CN2C(=O)N=NC2C[N@@H+]1CCOC1CCCCCC1 ZINC000569592998 417272796 /nfs/dbraw/zinc/27/27/96/417272796.db2.gz ZJJAGHIXMUGGIL-LBPRGKRZSA-N 0 2 324.381 0.992 20 0 DCADLN O=C([O-])[C@@H]1CN2C(=O)N=NC2C[N@H+]1CCOC1CCCCCC1 ZINC000569592998 417272801 /nfs/dbraw/zinc/27/28/01/417272801.db2.gz ZJJAGHIXMUGGIL-LBPRGKRZSA-N 0 2 324.381 0.992 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000570450267 417354836 /nfs/dbraw/zinc/35/48/36/417354836.db2.gz AENDWKHUXAKSFD-GWCFXTLKSA-N 0 2 301.387 0.058 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000570450267 417354844 /nfs/dbraw/zinc/35/48/44/417354844.db2.gz AENDWKHUXAKSFD-GWCFXTLKSA-N 0 2 301.387 0.058 20 0 DCADLN CCNC(=O)c1ccc(NS(=O)(=O)c2c(C)onc2N)cc1 ZINC000570890882 417401752 /nfs/dbraw/zinc/40/17/52/417401752.db2.gz SIQNEOHFVWSEQY-UHFFFAOYSA-N 0 2 324.362 1.116 20 0 DCADLN C[N@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccc2c(c1)OCO2 ZINC000570976183 417409490 /nfs/dbraw/zinc/40/94/90/417409490.db2.gz PLTVMGFWNATSPR-UHFFFAOYSA-N 0 2 304.306 0.723 20 0 DCADLN C[N@@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccc2c(c1)OCO2 ZINC000570976183 417409495 /nfs/dbraw/zinc/40/94/95/417409495.db2.gz PLTVMGFWNATSPR-UHFFFAOYSA-N 0 2 304.306 0.723 20 0 DCADLN C[C@H]1CN(C(=O)C2=NN(c3ccccc3)CC2=O)CC(=O)N1 ZINC000296369587 225806273 /nfs/dbraw/zinc/80/62/73/225806273.db2.gz VRRGXPWOIYJYIG-JTQLQIEISA-N 0 2 300.318 0.538 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2cccc(C(N)=O)c2)cn1 ZINC000439036978 287380879 /nfs/dbraw/zinc/38/08/79/287380879.db2.gz CECZUTAJQMWWKV-UHFFFAOYSA-N 0 2 324.362 0.429 20 0 DCADLN O=C(N[C@H]1COC[C@H]1O)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000633855329 417497767 /nfs/dbraw/zinc/49/77/67/417497767.db2.gz YVHFNDYQTNZOFS-CMPLNLGQSA-N 0 2 323.736 0.721 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)CCOC)c(OC)c1 ZINC000530143978 417541455 /nfs/dbraw/zinc/54/14/55/417541455.db2.gz WLXWAUYZRIHYPC-UHFFFAOYSA-N 0 2 302.352 0.443 20 0 DCADLN COCCCn1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cn1 ZINC000530268381 417559750 /nfs/dbraw/zinc/55/97/50/417559750.db2.gz WEWFZYWEXNQLTM-UHFFFAOYSA-N 0 2 308.342 0.106 20 0 DCADLN COc1c(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c(C)nn1C ZINC000575048331 417504324 /nfs/dbraw/zinc/50/43/24/417504324.db2.gz RPNACHKSVAWXRU-SECBINFHSA-N 0 2 320.353 0.581 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@H](CO)O1 ZINC000530356300 417572670 /nfs/dbraw/zinc/57/26/70/417572670.db2.gz RRVSRWQGPJOOTD-JOYOIKCWSA-N 0 2 319.317 0.252 20 0 DCADLN CC[C@@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(=O)NC ZINC000457062857 417681128 /nfs/dbraw/zinc/68/11/28/417681128.db2.gz VDWWJXCOQAPPLH-LLVKDONJSA-N 0 2 302.334 0.832 20 0 DCADLN O=C(N[C@@H]1CCOC[C@H]1O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000629237378 417765407 /nfs/dbraw/zinc/76/54/07/417765407.db2.gz VVNPLCAZVCUTDY-DGCLKSJQSA-N 0 2 321.308 0.597 20 0 DCADLN O=C([O-])c1cn(CCNc2cc(NCC3CC3)[nH+]cn2)nn1 ZINC000580718143 417724369 /nfs/dbraw/zinc/72/43/69/417724369.db2.gz PUUHQMYSMVLKDM-UHFFFAOYSA-N 0 2 303.326 0.700 20 0 DCADLN O=C([O-])c1cn(CCNc2cc(NCC3CC3)nc[nH+]2)nn1 ZINC000580718143 417724373 /nfs/dbraw/zinc/72/43/73/417724373.db2.gz PUUHQMYSMVLKDM-UHFFFAOYSA-N 0 2 303.326 0.700 20 0 DCADLN O=C([C@@H]1C[C@H]1c1c[nH+]c[nH]1)N1CC[NH+](C[C@H]2CCOC2)CC1 ZINC000635654928 417732637 /nfs/dbraw/zinc/73/26/37/417732637.db2.gz IDXHTDJLFDRZIH-MGPQQGTHSA-N 0 2 304.394 0.694 20 0 DCADLN O=C([C@@H]1C[C@H]1c1c[nH]c[nH+]1)N1CC[NH+](C[C@H]2CCOC2)CC1 ZINC000635654928 417732643 /nfs/dbraw/zinc/73/26/43/417732643.db2.gz IDXHTDJLFDRZIH-MGPQQGTHSA-N 0 2 304.394 0.694 20 0 DCADLN CC[C@@H](C)N(CC(=O)[O-])S(=O)(=O)CCC[NH+]1CCOCC1 ZINC000645939398 417826365 /nfs/dbraw/zinc/82/63/65/417826365.db2.gz LFLHWFVGEDPVPO-GFCCVEGCSA-N 0 2 322.427 0.224 20 0 DCADLN CC(C)(C)n1cnc(=NC(=O)C(=O)N2CCCC(F)(F)C2)[nH]1 ZINC000651964973 417826986 /nfs/dbraw/zinc/82/69/86/417826986.db2.gz UERYKFXDYVGOHZ-UHFFFAOYSA-N 0 2 315.324 0.651 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2ccc(C3CC3)n[nH]2)[C@H](C)CO1 ZINC000652005446 417830371 /nfs/dbraw/zinc/83/03/71/417830371.db2.gz ZVIINGXKFCPQDW-PWSUYJOCSA-N 0 2 318.377 0.740 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCCC(C)(F)F)[nH]1 ZINC000651830148 417790691 /nfs/dbraw/zinc/79/06/91/417790691.db2.gz AMLLWFHAGNGVKP-UHFFFAOYSA-N 0 2 303.313 0.771 20 0 DCADLN CC[C@@H](C)[C@@H](CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)OC ZINC000651832424 417791241 /nfs/dbraw/zinc/79/12/41/417791241.db2.gz PFJODTFAITWWKV-GHMZBOCLSA-N 0 2 311.386 0.397 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@H](C3CC3)[C@H]2C2CC2)[nH]1 ZINC000651837362 417791763 /nfs/dbraw/zinc/79/17/63/417791763.db2.gz AGDHCOHCLZERNN-CHWSQXEVSA-N 0 2 317.393 0.866 20 0 DCADLN Cc1cc(CNS(=O)(=O)N(C)CCC(=O)[O-])cc(C)[nH+]1 ZINC000645972301 417838878 /nfs/dbraw/zinc/83/88/78/417838878.db2.gz DVZOGRCRJTVVHX-UHFFFAOYSA-N 0 2 301.368 0.439 20 0 DCADLN CCOC1CCN(C(=O)C(=O)N=c2cc(C)c(C)n[nH]2)CC1 ZINC000651909590 417814269 /nfs/dbraw/zinc/81/42/69/417814269.db2.gz PQBPQKUWLFCWGO-UHFFFAOYSA-N 0 2 306.366 0.481 20 0 DCADLN Cc1cn2c([nH+]1)C[C@H](C(=O)N[C@H](CO)C[NH+]1CCCC1)CC2 ZINC000640318453 417818164 /nfs/dbraw/zinc/81/81/64/417818164.db2.gz LYSHOLROMNOLMB-KGLIPLIRSA-N 0 2 306.410 0.327 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000646679085 417896580 /nfs/dbraw/zinc/89/65/80/417896580.db2.gz SQSODHOEQHNBMB-VIFPVBQESA-N 0 2 306.322 0.379 20 0 DCADLN O=C(NCc1ncc2c(n1)CCOC2)c1cccc2[nH]nnc21 ZINC000652753966 417964725 /nfs/dbraw/zinc/96/47/25/417964725.db2.gz ZDNRXMBIOHVSMO-UHFFFAOYSA-N 0 2 310.317 0.751 20 0 DCADLN CNC(=O)CCOC1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000647451256 418010397 /nfs/dbraw/zinc/01/03/97/418010397.db2.gz ROFLFZSWWWRLEO-UHFFFAOYSA-N 0 2 307.350 0.901 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC000647445625 418010670 /nfs/dbraw/zinc/01/06/70/418010670.db2.gz KMODGYOGCKAFNV-JTQLQIEISA-N 0 2 313.379 0.545 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)Cc2ccccc2S(N)(=O)=O)c1O ZINC000647118203 417991171 /nfs/dbraw/zinc/99/11/71/417991171.db2.gz KSOWSVCZDIOLAW-UHFFFAOYSA-N 0 2 324.362 0.343 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2ccc(C(=O)[O-])cn2)[C@@H](C)CO1 ZINC000663127678 417993484 /nfs/dbraw/zinc/99/34/84/417993484.db2.gz YRUGRRHANSDIIX-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2ccc(C(=O)[O-])cn2)[C@@H](C)CO1 ZINC000663127678 417993487 /nfs/dbraw/zinc/99/34/87/417993487.db2.gz YRUGRRHANSDIIX-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H](NC(=O)c1ccc(C(=O)[O-])nc1)[C@@H](C)[NH+]1CCOCC1 ZINC000653308115 418055884 /nfs/dbraw/zinc/05/58/84/418055884.db2.gz BBECFQBBSNRYQX-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN O=C([O-])CC1(NC(=O)Cc2cn3c([nH+]2)CCCC3)CCOCC1 ZINC000659127675 418065247 /nfs/dbraw/zinc/06/52/47/418065247.db2.gz OFMVNUXHOQRKGU-UHFFFAOYSA-N 0 2 321.377 0.902 20 0 DCADLN CO[C@H]1CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)[C@H](C(=O)[O-])C1 ZINC000659221562 418071049 /nfs/dbraw/zinc/07/10/49/418071049.db2.gz MFDSHRTTWJHVPV-STQMWFEESA-N 0 2 321.377 0.853 20 0 DCADLN Cn1ncc(C2CCC2)c1CNC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000659607678 418124803 /nfs/dbraw/zinc/12/48/03/418124803.db2.gz XIGKFBDYHRNLQM-ZDUSSCGKSA-N 0 2 320.441 0.472 20 0 DCADLN NC(=O)[C@H]1CC[C@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000654687062 418176680 /nfs/dbraw/zinc/17/66/80/418176680.db2.gz NMHIVAGMBQLZMG-VHSXEESVSA-N 0 2 302.290 0.480 20 0 DCADLN O=C(NC[C@@H]1C[NH2+]CCO1)[C@H]1CCC[N@@H+]1Cc1ccccn1 ZINC000649367749 418248076 /nfs/dbraw/zinc/24/80/76/418248076.db2.gz LMSVQRSQGPWIIQ-LSDHHAIUSA-N 0 2 304.394 0.151 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](O)CC[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000649199948 418209727 /nfs/dbraw/zinc/20/97/27/418209727.db2.gz RCTUJYQJYSNEST-RKDXNWHRSA-N 0 2 318.333 0.730 20 0 DCADLN O=C([O-])c1cc(N[C@H]2CCC(=O)N[C@@H]2[C@@H]2CCCO2)cc[nH+]1 ZINC000650771008 418323407 /nfs/dbraw/zinc/32/34/07/418323407.db2.gz KOMKLJSNWAOPSL-JKOKRWQUSA-N 0 2 305.334 0.440 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cc(C(C)(C)C)nn2C)[nH]n1 ZINC000650816466 418326053 /nfs/dbraw/zinc/32/60/53/418326053.db2.gz WNRGISGXAIIBBP-UHFFFAOYSA-N 0 2 316.365 0.541 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)Cc2ccc3c(c2)CCO3)[nH]n1 ZINC000650815419 418326142 /nfs/dbraw/zinc/32/61/42/418326142.db2.gz PYVPVSVTBXEMTI-UHFFFAOYSA-N 0 2 312.329 0.374 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C[C@H](C)n2nc(C)cc2C)[nH]n1 ZINC000650815880 418326310 /nfs/dbraw/zinc/32/63/10/418326310.db2.gz CXSJQQGZFYMSKM-NSHDSACASA-N 0 2 316.365 0.661 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2c(C)noc2C(C)C)[nH]n1 ZINC000650815250 418326331 /nfs/dbraw/zinc/32/63/31/418326331.db2.gz NCSHFDWGLNRQDX-UHFFFAOYSA-N 0 2 303.322 0.930 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000655598211 418279649 /nfs/dbraw/zinc/27/96/49/418279649.db2.gz YZRFOUWSGLPVIC-QWHCGFSZSA-N 0 2 314.345 0.653 20 0 DCADLN NC(=O)c1ccc(F)cc1NS(=O)(=O)N1CCCOCC1 ZINC000656634004 418367656 /nfs/dbraw/zinc/36/76/56/418367656.db2.gz SFPGRLMCGAHIRW-UHFFFAOYSA-N 0 2 317.342 0.304 20 0 DCADLN CO[C@@H](CS(=O)(=O)NCC(F)(F)C(F)F)[C@H]1CCOC1 ZINC000656733674 418374960 /nfs/dbraw/zinc/37/49/60/418374960.db2.gz MGUNGECKQAVWPE-YUMQZZPRSA-N 0 2 323.308 0.858 20 0 DCADLN Cc1cc(C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)oc1C(=O)[O-] ZINC000656762494 418375522 /nfs/dbraw/zinc/37/55/22/418375522.db2.gz DJTFFXXNGKAKPD-SNVBAGLBSA-N 0 2 316.379 0.752 20 0 DCADLN Cc1cc(C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)oc1C(=O)[O-] ZINC000656762494 418375526 /nfs/dbraw/zinc/37/55/26/418375526.db2.gz DJTFFXXNGKAKPD-SNVBAGLBSA-N 0 2 316.379 0.752 20 0 DCADLN Cc1cccc(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)c1 ZINC000651501339 418385649 /nfs/dbraw/zinc/38/56/49/418385649.db2.gz PKMSEDINZLOZNN-UHFFFAOYSA-N 0 2 301.350 0.844 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H](CO)C2CCCCC2)[nH]1 ZINC000651507328 418385841 /nfs/dbraw/zinc/38/58/41/418385841.db2.gz SWUXAPWPPSNCAI-GFCCVEGCSA-N 0 2 323.397 0.277 20 0 DCADLN C[C@H]1COc2ccccc2C[N@@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662212153 418389384 /nfs/dbraw/zinc/38/93/84/418389384.db2.gz VMZCFIMAAGSAKR-AAEUAGOBSA-N 0 2 304.346 0.955 20 0 DCADLN C[C@H]1COc2ccccc2C[N@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662212153 418389386 /nfs/dbraw/zinc/38/93/86/418389386.db2.gz VMZCFIMAAGSAKR-AAEUAGOBSA-N 0 2 304.346 0.955 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@H](O)CC(C)(C)C)[nH]1 ZINC000651574098 418393086 /nfs/dbraw/zinc/39/30/86/418393086.db2.gz VIVXAXRQFWVUBA-JTQLQIEISA-N 0 2 311.386 0.133 20 0 DCADLN CCCCN(CCCO)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651726425 418406951 /nfs/dbraw/zinc/40/69/51/418406951.db2.gz MLARUWDNOLDIPB-UHFFFAOYSA-N 0 2 311.386 0.231 20 0 DCADLN COc1ccc(NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)cc1 ZINC000651678865 418403233 /nfs/dbraw/zinc/40/32/33/418403233.db2.gz GUFARCGILONYHY-UHFFFAOYSA-N 0 2 303.322 0.867 20 0 DCADLN COC[C@@H]1CCCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651675329 418403302 /nfs/dbraw/zinc/40/33/02/418403302.db2.gz PRDIPMFUIYQKKK-GFCCVEGCSA-N 0 2 323.397 0.495 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)c1cnccn1 ZINC000492501834 287576712 /nfs/dbraw/zinc/57/67/12/287576712.db2.gz KTNAIBRBJNSMNX-ONEGZZNKSA-N 0 2 307.335 0.211 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NCCc2cn[nH]c2)s[nH]1 ZINC000354503117 261227965 /nfs/dbraw/zinc/22/79/65/261227965.db2.gz IFXSUPSGCGHEPG-UHFFFAOYSA-N 0 2 322.394 0.278 20 0 DCADLN CN(C)C(=O)[C@H]1CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355864918 261371673 /nfs/dbraw/zinc/37/16/73/261371673.db2.gz XIDXPUCPCMXEIK-LLVKDONJSA-N 0 2 317.349 0.367 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc(C(F)F)c1 ZINC000355982991 261381284 /nfs/dbraw/zinc/38/12/84/261381284.db2.gz UAMKFIKAYSGFBR-UHFFFAOYSA-N 0 2 311.248 0.703 20 0 DCADLN C[C@@H](C[S@](C)=O)N(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000362405399 262030999 /nfs/dbraw/zinc/03/09/99/262030999.db2.gz WVUZZTXHACKVJY-AUADJRAKSA-N 0 2 323.374 0.869 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2C[C@@]23CCOC3)s1 ZINC000363795680 262131476 /nfs/dbraw/zinc/13/14/76/262131476.db2.gz HIVAAPPABKCGCL-QUBYGPBYSA-N 0 2 318.380 0.275 20 0 DCADLN CCCc1nc(=NC(=O)C(=O)N2CC[N@H+](C)C[C@@H]2C)s[n-]1 ZINC000411407846 262170432 /nfs/dbraw/zinc/17/04/32/262170432.db2.gz NCSDKLDSRCPOMK-VIFPVBQESA-N 0 2 311.411 0.014 20 0 DCADLN CCCc1nc(=NC(=O)C(=O)N2CC[N@@H+](C)C[C@@H]2C)s[n-]1 ZINC000411407846 262170433 /nfs/dbraw/zinc/17/04/33/262170433.db2.gz NCSDKLDSRCPOMK-VIFPVBQESA-N 0 2 311.411 0.014 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2C(=O)NCC[C@@H]2C)c1 ZINC000424829432 262508831 /nfs/dbraw/zinc/50/88/31/262508831.db2.gz RWYISNYPVSCTBE-LXGJKDISSA-N 0 2 324.402 0.444 20 0 DCADLN Cc1cnc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)o1 ZINC000359537538 271124943 /nfs/dbraw/zinc/12/49/43/271124943.db2.gz ZHXGSYRCEIAORV-UHFFFAOYSA-N 0 2 301.262 0.662 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)Cc1cccnc1 ZINC000492612878 272137517 /nfs/dbraw/zinc/13/75/17/272137517.db2.gz BUVXPOQDHHDUTP-AATRIKPKSA-N 0 2 320.374 0.957 20 0 DCADLN O=C(CNC(=O)OCC(F)(F)F)NOC[C@H]1CCOC1 ZINC000492721107 272144516 /nfs/dbraw/zinc/14/45/16/272144516.db2.gz BXJVVEPKLNLARI-ZETCQYMHSA-N 0 2 300.233 0.359 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/c2cnccc2C)cnn1C ZINC000493040417 272164702 /nfs/dbraw/zinc/16/47/02/272164702.db2.gz RPCUREXQDRECTI-SNAWJCMRSA-N 0 2 320.374 0.950 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C/c1ccn(C)c1 ZINC000493266960 272178190 /nfs/dbraw/zinc/17/81/90/272178190.db2.gz WTKFKPAMXNUIFY-AATRIKPKSA-N 0 2 322.390 0.894 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCC(=O)NC(C)C)cn1 ZINC000495051634 272237956 /nfs/dbraw/zinc/23/79/56/272237956.db2.gz XBWFHIBGSJFHMG-UHFFFAOYSA-N 0 2 318.381 0.938 20 0 DCADLN COC(=O)NC(C)(C)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000496513433 272320271 /nfs/dbraw/zinc/32/02/71/272320271.db2.gz OFRYTHORJXQBHT-QMMMGPOBSA-N 0 2 311.342 0.351 20 0 DCADLN O=C([O-])[C@H]1Cc2ccccc2C[N@@H+]1Cc1nnc2n1CCOC2 ZINC000515305716 272420071 /nfs/dbraw/zinc/42/00/71/272420071.db2.gz HBGFIVBRQQGTHO-CYBMUJFWSA-N 0 2 314.345 0.820 20 0 DCADLN O=C([O-])[C@H]1Cc2ccccc2C[N@H+]1Cc1nnc2n1CCOC2 ZINC000515305716 272420072 /nfs/dbraw/zinc/42/00/72/272420072.db2.gz HBGFIVBRQQGTHO-CYBMUJFWSA-N 0 2 314.345 0.820 20 0 DCADLN CC(C)CN1C[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)CC1=O ZINC000531358391 287776011 /nfs/dbraw/zinc/77/60/11/287776011.db2.gz KYCFKCPDJQCAHR-SNVBAGLBSA-N 0 2 309.370 0.064 20 0 DCADLN O=C(Nc1ccc(C[NH+]2CCOCC2)cc1)c1n[nH]c(=O)[n-]1 ZINC000537518887 287866424 /nfs/dbraw/zinc/86/64/24/287866424.db2.gz PEMZMNQDMARVSA-UHFFFAOYSA-N 0 2 303.322 0.595 20 0 DCADLN CC(=O)N[C@H]1CCCN(C(=O)C[N@@H+]2CCCC[C@@H]2C(=O)[O-])C1 ZINC000262276791 278177323 /nfs/dbraw/zinc/17/73/23/278177323.db2.gz JRWBHEXFEAQOHI-QWHCGFSZSA-N 0 2 311.382 0.053 20 0 DCADLN CC(=O)N[C@H]1CCCN(C(=O)C[N@H+]2CCCC[C@@H]2C(=O)[O-])C1 ZINC000262276791 278177324 /nfs/dbraw/zinc/17/73/24/278177324.db2.gz JRWBHEXFEAQOHI-QWHCGFSZSA-N 0 2 311.382 0.053 20 0 DCADLN CC1(C)C[C@@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C(=O)O1 ZINC000270960505 278368477 /nfs/dbraw/zinc/36/84/77/278368477.db2.gz YUHGWSMHNPSINZ-MRVPVSSYSA-N 0 2 318.289 0.260 20 0 DCADLN O=c1cc(CN2CCO[C@H](COCC3CC3)C2)nc2cc[nH]n21 ZINC000547624290 288063167 /nfs/dbraw/zinc/06/31/67/288063167.db2.gz XBXNKTIYADGSEB-AWEZNQCLSA-N 0 2 318.377 0.650 20 0 DCADLN CC1(CS(=O)(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)COC1 ZINC000549451731 288199049 /nfs/dbraw/zinc/19/90/49/288199049.db2.gz FPNNQCHEYKDNFZ-SECBINFHSA-N 0 2 316.383 0.056 20 0 DCADLN CC(=O)N1CCC[C@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000549766471 288204262 /nfs/dbraw/zinc/20/42/62/288204262.db2.gz PADFSLRFEPRXEA-QWRGUYRKSA-N 0 2 307.354 0.227 20 0 DCADLN Cc1cc(C(=O)N(C)[C@H]2CCCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000553194415 288290060 /nfs/dbraw/zinc/29/00/60/288290060.db2.gz XFRIYJQKTGQDOD-VIFPVBQESA-N 0 2 318.333 0.995 20 0 DCADLN CSCC[C@H](O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000561682446 288549854 /nfs/dbraw/zinc/54/98/54/288549854.db2.gz LERIOCPMPPXOFY-BDAKNGLRSA-N 0 2 300.384 0.330 20 0 DCADLN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2S(=O)(=O)NCC(F)(F)F ZINC000375677423 294703411 /nfs/dbraw/zinc/70/34/11/294703411.db2.gz IDISSHYNICKDPF-RXKWGBCNSA-N 0 2 314.329 0.738 20 0 DCADLN Cc1cc(C(=O)N[C@H]2[C@@H]3COC[C@@H]32)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000330114297 301149125 /nfs/dbraw/zinc/14/91/25/301149125.db2.gz SSJSDNMHKYEUGB-MTBHXBHISA-N 0 2 302.290 0.119 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)CNC(=O)C1CC1 ZINC000582072271 333200399 /nfs/dbraw/zinc/20/03/99/333200399.db2.gz RSCBNQCSJCOKIT-UHFFFAOYSA-N 0 2 315.333 0.950 20 0 DCADLN C[C@H](CN(C)c1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1)C(=O)[O-] ZINC000582847374 337209838 /nfs/dbraw/zinc/20/98/38/337209838.db2.gz MCGCXYMELDMTJN-GRYCIOLGSA-N 0 2 308.382 0.841 20 0 DCADLN C[C@H](CN(C)c1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1)C(=O)[O-] ZINC000582847374 337209839 /nfs/dbraw/zinc/20/98/39/337209839.db2.gz MCGCXYMELDMTJN-GRYCIOLGSA-N 0 2 308.382 0.841 20 0 DCADLN COCC[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000582966615 337223522 /nfs/dbraw/zinc/22/35/22/337223522.db2.gz OZOGSQMBSKFGDE-UONOGXRCSA-N 0 2 306.410 0.673 20 0 DCADLN CCO[C@H]1C[C@H]1NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000576579406 341853399 /nfs/dbraw/zinc/85/33/99/341853399.db2.gz GNQHBSHWYPCKLS-SFYZADRCSA-N 0 2 312.395 0.490 20 0 DCADLN CN(C1CC1)S(=O)(=O)CCCS(=O)(=O)Nc1ccon1 ZINC000349521575 341976998 /nfs/dbraw/zinc/97/69/98/341976998.db2.gz UDQUXQIHSVVSKK-UHFFFAOYSA-N 0 2 323.396 0.230 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCOC1 ZINC001447512463 1159654045 /nfs/dbraw/zinc/65/40/45/1159654045.db2.gz BKAWRLBKJJSCSX-LURQLKTLSA-N 0 2 312.263 0.687 20 0 DCADLN COC(=O)CC[C@@H](C(=O)OC)[NH+]1CCC(CC(=O)[O-])CC1 ZINC001598846288 1159776565 /nfs/dbraw/zinc/77/65/65/1159776565.db2.gz CFADDLQEPHCIEA-NSHDSACASA-N 0 2 301.339 0.668 20 0 DCADLN CCc1ccccc1S(=O)(=O)Nc1ncn(CC(=O)NC)n1 ZINC000188050706 521929951 /nfs/dbraw/zinc/92/99/51/521929951.db2.gz GRSZDSIVQMJJRN-UHFFFAOYSA-N 0 2 323.378 0.387 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NC(CO)CO)=N1 ZINC000132495418 524962545 /nfs/dbraw/zinc/96/25/45/524962545.db2.gz GOWOSNXGWYRVSP-UHFFFAOYSA-N 0 2 309.297 0.108 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2CCCS2)s1 ZINC000266543488 525229257 /nfs/dbraw/zinc/22/92/57/525229257.db2.gz LNGBOVWJYZTQGJ-YFKPBYRVSA-N 0 2 308.410 0.744 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC2CN(C(C)=O)C2)c1 ZINC000424682716 536922673 /nfs/dbraw/zinc/92/26/73/536922673.db2.gz HMVPHQUNBUZATC-OAQYLSRUSA-N 0 2 310.375 0.150 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N(C)Cc1cccs1 ZINC000049607252 545652467 /nfs/dbraw/zinc/65/24/67/545652467.db2.gz CGILAYLFJJOELH-UHFFFAOYSA-N 0 2 300.380 0.740 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N(C)Cc1cccs1 ZINC000049607252 545652470 /nfs/dbraw/zinc/65/24/70/545652470.db2.gz CGILAYLFJJOELH-UHFFFAOYSA-N 0 2 300.380 0.740 20 0 DCADLN CC(C)(C)C(=O)N1CSC[C@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000333345486 546078163 /nfs/dbraw/zinc/07/81/63/546078163.db2.gz QFVGIXSCVWGJLB-ZETCQYMHSA-N 0 2 313.383 0.074 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CCc2ccon2)s1 ZINC000666974997 546536793 /nfs/dbraw/zinc/53/67/93/546536793.db2.gz DTHUFFIFXUHGMO-UHFFFAOYSA-N 0 2 317.352 0.469 20 0 DCADLN COCCOCCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000667187361 546567889 /nfs/dbraw/zinc/56/78/89/546567889.db2.gz DRJBURBQNXKCBL-UHFFFAOYSA-N 0 2 307.306 0.423 20 0 DCADLN CCN(CC(=O)N(C)C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000668288773 546722114 /nfs/dbraw/zinc/72/21/14/546722114.db2.gz GRTBXKTYDVBAEX-UHFFFAOYSA-N 0 2 318.333 0.580 20 0 DCADLN Cc1c[nH]nc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000669597305 546995809 /nfs/dbraw/zinc/99/58/09/546995809.db2.gz JITBHQMIYRLLNS-UHFFFAOYSA-N 0 2 302.341 0.193 20 0 DCADLN CCCS(=O)(=O)CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000670410704 547081393 /nfs/dbraw/zinc/08/13/93/547081393.db2.gz IPRDYDFRHRBBIJ-SECBINFHSA-N 0 2 316.383 0.041 20 0 DCADLN Cn1cnc2c1ncnc2N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000672279835 547338777 /nfs/dbraw/zinc/33/87/77/547338777.db2.gz CYEPNCYBLFBWOA-MRVPVSSYSA-N 0 2 300.326 0.571 20 0 DCADLN COc1nc(C)cc(C)c1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000672847109 547414474 /nfs/dbraw/zinc/41/44/74/547414474.db2.gz SUXNEOMTXWESOX-UHFFFAOYSA-N 0 2 323.378 0.939 20 0 DCADLN C[C@@H](c1ccccc1)[C@H](CO)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000673056993 547442201 /nfs/dbraw/zinc/44/22/01/547442201.db2.gz OSMUSXODIKVZMQ-ONGXEEELSA-N 0 2 322.390 0.883 20 0 DCADLN O=C(CCn1cc(Br)cn1)NCc1n[nH]c(=O)[nH]1 ZINC000674718599 547619705 /nfs/dbraw/zinc/61/97/05/547619705.db2.gz AFHOCYGGRSRXQV-UHFFFAOYSA-N 0 2 315.131 0.176 20 0 DCADLN Cc1[nH+]c2ccccc2n1CC(=O)N1CCO[C@@H](C(=O)[O-])C1 ZINC000676018890 547727467 /nfs/dbraw/zinc/72/74/67/547727467.db2.gz GDDOSNZVXWUDEK-CYBMUJFWSA-N 0 2 303.318 0.657 20 0 DCADLN Cc1[nH+]c2ccccc2n1CC(=O)N1CCO[C@H](C(=O)[O-])C1 ZINC000676018891 547727506 /nfs/dbraw/zinc/72/75/06/547727506.db2.gz GDDOSNZVXWUDEK-ZDUSSCGKSA-N 0 2 303.318 0.657 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+]([C@@H]2CCCN(c3ccccc3)C2=O)CCO1 ZINC000676231215 547747074 /nfs/dbraw/zinc/74/70/74/547747074.db2.gz JKBWJYUZPWHSFD-KGLIPLIRSA-N 0 2 304.346 0.967 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+]([C@@H]2CCCN(c3ccccc3)C2=O)CCO1 ZINC000676231215 547747076 /nfs/dbraw/zinc/74/70/76/547747076.db2.gz JKBWJYUZPWHSFD-KGLIPLIRSA-N 0 2 304.346 0.967 20 0 DCADLN C[C@H](C(=O)N(C)Cc1ccccc1)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676230993 547747308 /nfs/dbraw/zinc/74/73/08/547747308.db2.gz AWDWBBRPDQXAHZ-OCCSQVGLSA-N 0 2 306.362 0.819 20 0 DCADLN C[C@H](C(=O)N(C)Cc1ccccc1)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676230993 547747311 /nfs/dbraw/zinc/74/73/11/547747311.db2.gz AWDWBBRPDQXAHZ-OCCSQVGLSA-N 0 2 306.362 0.819 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H](C(=O)N3CCCC3)C2)c1O ZINC000676698820 547804986 /nfs/dbraw/zinc/80/49/86/547804986.db2.gz SEDCXFOYCYUSHU-LLVKDONJSA-N 0 2 306.366 0.898 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC(=O)Nc2cc(C)ccn2)c1O ZINC000676696778 547805442 /nfs/dbraw/zinc/80/54/42/547805442.db2.gz GRBQJBTYFDFNRN-UHFFFAOYSA-N 0 2 303.322 0.838 20 0 DCADLN CCCCN(C(=O)c1n[nH]c(C)c1O)[C@@H]1CCS(=O)(=O)C1 ZINC000676706840 547806105 /nfs/dbraw/zinc/80/61/05/547806105.db2.gz DAEBXKSSEBACCY-SNVBAGLBSA-N 0 2 315.395 0.853 20 0 DCADLN Cc1cc(NC(=O)c2nc(-c3ccc(C(N)=O)nc3)no2)no1 ZINC000680746406 548169422 /nfs/dbraw/zinc/16/94/22/548169422.db2.gz NFQPXVKHQAZUKT-UHFFFAOYSA-N 0 2 314.261 0.779 20 0 DCADLN Cc1nc2ccccc2n1CCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000681301187 548232672 /nfs/dbraw/zinc/23/26/72/548232672.db2.gz JXNZMTBHTVRBKJ-UHFFFAOYSA-N 0 2 300.322 0.875 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCCn2cncn2)cn1 ZINC000681713944 548284538 /nfs/dbraw/zinc/28/45/38/548284538.db2.gz RWJNRUGGPQVXAT-UHFFFAOYSA-N 0 2 314.353 0.705 20 0 DCADLN Cc1nc(-c2cccc(NS(=O)(=O)c3cnnn3C)c2)n[nH]1 ZINC000682832886 548429811 /nfs/dbraw/zinc/42/98/11/548429811.db2.gz NLCZONHOFOYVCI-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)Cc3ncnn3C)n[nH]2)cc1 ZINC000683684671 548510400 /nfs/dbraw/zinc/51/04/00/548510400.db2.gz AYDRHOOADOWODK-UHFFFAOYSA-N 0 2 318.362 0.883 20 0 DCADLN O=C(CC[C@H]1NC(=O)NC1=O)Nc1nc2cc(F)ccc2[nH]1 ZINC000683883632 548530505 /nfs/dbraw/zinc/53/05/05/548530505.db2.gz FOQDWKWSGJQIRV-MRVPVSSYSA-N 0 2 305.269 0.629 20 0 DCADLN O=C(CC[C@H]1NC(=O)NC1=O)Nc1nc2ccc(F)cc2[nH]1 ZINC000683883632 548530509 /nfs/dbraw/zinc/53/05/09/548530509.db2.gz FOQDWKWSGJQIRV-MRVPVSSYSA-N 0 2 305.269 0.629 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCN(c2ccccc2F)C1 ZINC000685770918 548733429 /nfs/dbraw/zinc/73/34/29/548733429.db2.gz XKFIZHWMKPIYPJ-SECBINFHSA-N 0 2 305.313 0.792 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC000817772341 597474275 /nfs/dbraw/zinc/47/42/75/597474275.db2.gz VCIXJSWVJCDZSE-SNVBAGLBSA-N 0 2 319.361 0.922 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC000817772341 597474277 /nfs/dbraw/zinc/47/42/77/597474277.db2.gz VCIXJSWVJCDZSE-SNVBAGLBSA-N 0 2 319.361 0.922 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC000821530811 597804799 /nfs/dbraw/zinc/80/47/99/597804799.db2.gz PUDFNXDYTJETHT-SNVBAGLBSA-N 0 2 307.354 0.062 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC000821530811 597804801 /nfs/dbraw/zinc/80/48/01/597804801.db2.gz PUDFNXDYTJETHT-SNVBAGLBSA-N 0 2 307.354 0.062 20 0 DCADLN CCc1nn(CCOC(C)C)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736707020 598640218 /nfs/dbraw/zinc/64/02/18/598640218.db2.gz OLVQZPBSIGGBAZ-UHFFFAOYSA-N 0 2 306.370 0.973 20 0 DCADLN CCc1nn(CC(=O)N(C)CC)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736704943 598641139 /nfs/dbraw/zinc/64/11/39/598641139.db2.gz ATBPAAJMPJLWGI-UHFFFAOYSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1[nH]c(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)c(C)c1C(=O)[O-] ZINC000738153368 599700967 /nfs/dbraw/zinc/70/09/67/599700967.db2.gz BMBYAMKSWASZIP-VIFPVBQESA-N 0 2 309.366 0.780 20 0 DCADLN Cc1[nH]c(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)c(C)c1C(=O)[O-] ZINC000738153368 599700969 /nfs/dbraw/zinc/70/09/69/599700969.db2.gz BMBYAMKSWASZIP-VIFPVBQESA-N 0 2 309.366 0.780 20 0 DCADLN Cc1cc(NCC[N@@H+]2CCO[C@H](C)C2)n2nc(C(=O)[O-])nc2n1 ZINC000738461979 599706783 /nfs/dbraw/zinc/70/67/83/599706783.db2.gz ZZJQLXJLHATEQK-SNVBAGLBSA-N 0 2 320.353 0.264 20 0 DCADLN Cc1cc(NCC[N@H+]2CCO[C@H](C)C2)n2nc(C(=O)[O-])nc2n1 ZINC000738461979 599706784 /nfs/dbraw/zinc/70/67/84/599706784.db2.gz ZZJQLXJLHATEQK-SNVBAGLBSA-N 0 2 320.353 0.264 20 0 DCADLN O=C([O-])NCCC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000739938210 599710272 /nfs/dbraw/zinc/71/02/72/599710272.db2.gz NMVZQEKVXHCALB-AWEZNQCLSA-N 0 2 321.377 0.661 20 0 DCADLN O=C([O-])NCCC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000739938210 599710274 /nfs/dbraw/zinc/71/02/74/599710274.db2.gz NMVZQEKVXHCALB-AWEZNQCLSA-N 0 2 321.377 0.661 20 0 DCADLN CC(C)C[NH+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC000037838280 599766328 /nfs/dbraw/zinc/76/63/28/599766328.db2.gz HFHBEKYFNLVEHH-UHFFFAOYSA-N 0 2 316.379 0.940 20 0 DCADLN NS(=O)(=O)c1ccc(C[NH2+]Cc2cc(C(=O)[O-])co2)s1 ZINC000739189970 599842684 /nfs/dbraw/zinc/84/26/84/599842684.db2.gz PCIKEDKKHBQXAC-UHFFFAOYSA-N 0 2 316.360 0.977 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)CN1CCc2c([nH+]cn2C)C1)C(=O)[O-] ZINC000736887936 599925106 /nfs/dbraw/zinc/92/51/06/599925106.db2.gz SNPZAPMLZBXNRE-HZMBPMFUSA-N 0 2 308.382 0.394 20 0 DCADLN COC(=O)C(C)(C)[NH2+]CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC000737565536 599927033 /nfs/dbraw/zinc/92/70/33/599927033.db2.gz XTYZJNNYHYVCRM-YFHOEESVSA-N 0 2 316.379 0.419 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2nc(CC(=O)[O-])cs2)C1 ZINC000737528661 599959812 /nfs/dbraw/zinc/95/98/12/599959812.db2.gz BGSUNGGSCXRTDF-VIFPVBQESA-N 0 2 314.367 0.222 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2nc(CC(=O)[O-])cs2)C1 ZINC000737528661 599959813 /nfs/dbraw/zinc/95/98/13/599959813.db2.gz BGSUNGGSCXRTDF-VIFPVBQESA-N 0 2 314.367 0.222 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000737572658 600229232 /nfs/dbraw/zinc/22/92/32/600229232.db2.gz DTHJWQAGLPAAFO-GHMZBOCLSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000737572658 600229234 /nfs/dbraw/zinc/22/92/34/600229234.db2.gz DTHJWQAGLPAAFO-GHMZBOCLSA-N 0 2 300.355 0.239 20 0 DCADLN Cc1nc(C2([NH2+]CCC(=O)NCC(=O)[O-])CCCCC2)no1 ZINC000738850545 600292997 /nfs/dbraw/zinc/29/29/97/600292997.db2.gz PSOZCAICKWFGRZ-UHFFFAOYSA-N 0 2 310.354 0.718 20 0 DCADLN O=S(=O)(Cc1cccc(F)c1)Nc1n[nH]cc1-c1nn[nH]n1 ZINC000826486480 607462396 /nfs/dbraw/zinc/46/23/96/607462396.db2.gz DRLJSLDPCUSMHD-UHFFFAOYSA-N 0 2 323.313 0.671 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CC[C@H]([NH+]3CC=CC3)C2)o1 ZINC000833324085 600771539 /nfs/dbraw/zinc/77/15/39/600771539.db2.gz VALHOZCQDMATOB-JTQLQIEISA-N 0 2 312.347 0.613 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2occc2C(=O)[O-])CC1 ZINC000832731065 601028288 /nfs/dbraw/zinc/02/82/88/601028288.db2.gz WRXNNQJXZNLKHS-HXUWFJFHSA-N 0 2 300.380 0.474 20 0 DCADLN CN1CC[C@H]([N@H+](C)Cn2nc(C(=O)[O-])c3ccccc32)C1=O ZINC000830923999 601401681 /nfs/dbraw/zinc/40/16/81/601401681.db2.gz YHAKXQFAUCHVAZ-LBPRGKRZSA-N 0 2 302.334 0.855 20 0 DCADLN CN1CC[C@H]([N@@H+](C)Cn2nc(C(=O)[O-])c3ccccc32)C1=O ZINC000830923999 601401682 /nfs/dbraw/zinc/40/16/82/601401682.db2.gz YHAKXQFAUCHVAZ-LBPRGKRZSA-N 0 2 302.334 0.855 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@@H+]3CC[C@@](F)(C(=O)[O-])C3)C2=O)n1 ZINC000833054930 601446641 /nfs/dbraw/zinc/44/66/41/601446641.db2.gz CWPUQRIGNSEOFQ-HZMBPMFUSA-N 0 2 310.329 0.414 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@H+]3CC[C@@](F)(C(=O)[O-])C3)C2=O)n1 ZINC000833054930 601446643 /nfs/dbraw/zinc/44/66/43/601446643.db2.gz CWPUQRIGNSEOFQ-HZMBPMFUSA-N 0 2 310.329 0.414 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NCCOc1cccc(F)c1)C1CC1 ZINC000833247896 601462213 /nfs/dbraw/zinc/46/22/13/601462213.db2.gz ZVJRSQVPDNVVHI-UHFFFAOYSA-N 0 2 310.325 0.870 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NCCOc1cccc(F)c1)C1CC1 ZINC000833247896 601462216 /nfs/dbraw/zinc/46/22/16/601462216.db2.gz ZVJRSQVPDNVVHI-UHFFFAOYSA-N 0 2 310.325 0.870 20 0 DCADLN COC(=O)CCCc1nc(C[N@H+]2C[C@H](C(=O)[O-])[C@H](C)C2)no1 ZINC000831156073 601543510 /nfs/dbraw/zinc/54/35/10/601543510.db2.gz WNZOIQNEBSRBAN-ZJUUUORDSA-N 0 2 311.338 0.718 20 0 DCADLN COC(=O)CCCc1nc(C[N@@H+]2C[C@H](C(=O)[O-])[C@H](C)C2)no1 ZINC000831156073 601543511 /nfs/dbraw/zinc/54/35/11/601543511.db2.gz WNZOIQNEBSRBAN-ZJUUUORDSA-N 0 2 311.338 0.718 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@H+](CCn2cnc3ccccc3c2=O)C1 ZINC000833176402 601553489 /nfs/dbraw/zinc/55/34/89/601553489.db2.gz YRWYAGTZDSFMRM-HNNXBMFYSA-N 0 2 305.309 0.895 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@@H+](CCn2cnc3ccccc3c2=O)C1 ZINC000833176402 601553490 /nfs/dbraw/zinc/55/34/90/601553490.db2.gz YRWYAGTZDSFMRM-HNNXBMFYSA-N 0 2 305.309 0.895 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@H+]2CCC[C@H](CO)C2)n1 ZINC000833268806 601635162 /nfs/dbraw/zinc/63/51/62/601635162.db2.gz GNCSPVAFRGGNFH-VIFPVBQESA-N 0 2 313.379 0.413 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@@H+]2CCC[C@H](CO)C2)n1 ZINC000833268806 601635163 /nfs/dbraw/zinc/63/51/63/601635163.db2.gz GNCSPVAFRGGNFH-VIFPVBQESA-N 0 2 313.379 0.413 20 0 DCADLN C[C@@H](CS(=O)(=O)NCCc1cn2c([nH+]1)CCCC2)C(=O)[O-] ZINC000827562582 601774610 /nfs/dbraw/zinc/77/46/10/601774610.db2.gz BWSMSFIUNRIMDZ-JTQLQIEISA-N 0 2 315.395 0.402 20 0 DCADLN Cc1cc(C[NH+]2CCN(c3cnc(C(=O)[O-])cn3)CC2)on1 ZINC000832882753 601930622 /nfs/dbraw/zinc/93/06/22/601930622.db2.gz MSLBBSWVNMSUOA-UHFFFAOYSA-N 0 2 303.322 0.793 20 0 DCADLN C[C@H](NC(=O)N1CC[C@@](C)(C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000827646529 602067242 /nfs/dbraw/zinc/06/72/42/602067242.db2.gz RSDYNULQMMPKIH-SLEUVZQESA-N 0 2 313.398 0.602 20 0 DCADLN CN(CCCC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000737425948 602280915 /nfs/dbraw/zinc/28/09/15/602280915.db2.gz KDVWUHUNDCLVJV-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN CN(CCCC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000737425948 602280916 /nfs/dbraw/zinc/28/09/16/602280916.db2.gz KDVWUHUNDCLVJV-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN O=C([O-])CSCCNC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000833262415 602344990 /nfs/dbraw/zinc/34/49/90/602344990.db2.gz PPRRLHSLOMFJKO-UHFFFAOYSA-N 0 2 308.363 0.951 20 0 DCADLN O=C(CCC1CCOCC1)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000831427466 603368358 /nfs/dbraw/zinc/36/83/58/603368358.db2.gz CYRJUXZROSCQOS-UHFFFAOYSA-N 0 2 313.398 0.605 20 0 DCADLN C[C@H](CNC(=O)CN(C[C@@H]1CCCO1)C(=O)[O-])Cn1cc[nH+]c1 ZINC000824921191 603494414 /nfs/dbraw/zinc/49/44/14/603494414.db2.gz CFFSXAJCLINVTI-OLZOCXBDSA-N 0 2 324.381 0.794 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc3ccccn3c2)[C@H](CNC(=O)[O-])C1 ZINC000828506085 603502328 /nfs/dbraw/zinc/50/23/28/603502328.db2.gz DDEWKGSXKLJTLL-CQSZACIVSA-N 0 2 316.361 0.963 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc3ccccn3c2)[C@H](CNC(=O)[O-])C1 ZINC000828506085 603502330 /nfs/dbraw/zinc/50/23/30/603502330.db2.gz DDEWKGSXKLJTLL-CQSZACIVSA-N 0 2 316.361 0.963 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)[C@@H]1CC[C@@H](NC(=O)[O-])C1 ZINC000825734511 603563849 /nfs/dbraw/zinc/56/38/49/603563849.db2.gz NXOABDXSWBINRE-VXGBXAGGSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)[C@@H]1CC[C@@H](NC(=O)[O-])C1 ZINC000825734511 603563851 /nfs/dbraw/zinc/56/38/51/603563851.db2.gz NXOABDXSWBINRE-VXGBXAGGSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H]1CC[C@H](NC(=O)[O-])C1 ZINC000824908216 603578227 /nfs/dbraw/zinc/57/82/27/603578227.db2.gz RHOYEKNIBFURHJ-AGIUHOORSA-N 0 2 312.414 0.175 20 0 DCADLN C[N@H+](CN1C(=O)CC2(CCCC2)C1=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000828346136 603595159 /nfs/dbraw/zinc/59/51/59/603595159.db2.gz RTODWBXCBOSDCK-NSHDSACASA-N 0 2 309.366 0.947 20 0 DCADLN C[N@@H+](CN1C(=O)CC2(CCCC2)C1=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000828346136 603595161 /nfs/dbraw/zinc/59/51/61/603595161.db2.gz RTODWBXCBOSDCK-NSHDSACASA-N 0 2 309.366 0.947 20 0 DCADLN C[C@@H]1C[N@H+](CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)CCS1 ZINC000826056324 603602382 /nfs/dbraw/zinc/60/23/82/603602382.db2.gz BUADPMBEOQEOJI-GHMZBOCLSA-N 0 2 314.411 0.481 20 0 DCADLN C[C@@H]1C[N@@H+](CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)CCS1 ZINC000826056324 603602385 /nfs/dbraw/zinc/60/23/85/603602385.db2.gz BUADPMBEOQEOJI-GHMZBOCLSA-N 0 2 314.411 0.481 20 0 DCADLN C[C@@H]1C[N@@H+]([C@@H]2CCN(C3CCOCC3)C2=O)CCN1C(=O)[O-] ZINC000826049196 603625631 /nfs/dbraw/zinc/62/56/31/603625631.db2.gz KSVZHAZKINSCGL-DGCLKSJQSA-N 0 2 311.382 0.450 20 0 DCADLN C[C@@H]1C[N@H+]([C@@H]2CCN(C3CCOCC3)C2=O)CCN1C(=O)[O-] ZINC000826049196 603625637 /nfs/dbraw/zinc/62/56/37/603625637.db2.gz KSVZHAZKINSCGL-DGCLKSJQSA-N 0 2 311.382 0.450 20 0 DCADLN C[C@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000826102133 603796804 /nfs/dbraw/zinc/79/68/04/603796804.db2.gz URJRCALEDLPRJC-KOLCDFICSA-N 0 2 308.338 0.770 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@@H+]3CC[C@H](N(C)C(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073401 603818303 /nfs/dbraw/zinc/81/83/03/603818303.db2.gz QQOKPPQVPDKNET-NWDGAFQWSA-N 0 2 321.381 0.518 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@H+]3CC[C@H](N(C)C(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073401 603818304 /nfs/dbraw/zinc/81/83/04/603818304.db2.gz QQOKPPQVPDKNET-NWDGAFQWSA-N 0 2 321.381 0.518 20 0 DCADLN CCCCS(=O)(=O)NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000826847514 603911145 /nfs/dbraw/zinc/91/11/45/603911145.db2.gz ISNMCESPEODNHQ-UHFFFAOYSA-N 0 2 307.416 0.392 20 0 DCADLN O=C([O-])N[C@@H]1CC[C@H](C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)C1 ZINC000832247328 603928375 /nfs/dbraw/zinc/92/83/75/603928375.db2.gz OWHMUXPIIMICAS-XQQFMLRXSA-N 0 2 311.382 0.356 20 0 DCADLN Cn1cccc1CNC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000831026344 604076417 /nfs/dbraw/zinc/07/64/17/604076417.db2.gz CIAYMMLMCUJNML-UHFFFAOYSA-N 0 2 309.370 0.120 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCC(C)(C)NC(=O)[O-])CCO1 ZINC000826058165 604083517 /nfs/dbraw/zinc/08/35/17/604083517.db2.gz MSXBDGXNMOGIPB-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCC(C)(C)NC(=O)[O-])CCO1 ZINC000826058165 604083521 /nfs/dbraw/zinc/08/35/21/604083521.db2.gz MSXBDGXNMOGIPB-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NCC(C)(C)NC(=O)[O-])[NH+]1CCOCC1 ZINC000825160745 604116435 /nfs/dbraw/zinc/11/64/35/604116435.db2.gz DOCZHJBESJOLOR-WDEREUQCSA-N 0 2 316.402 0.441 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(Cl)o2)[C@@H](CNC(=O)[O-])C1 ZINC000828513615 604395033 /nfs/dbraw/zinc/39/50/33/604395033.db2.gz NFSISPRXAIVFNB-QMMMGPOBSA-N 0 2 301.730 0.957 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(Cl)o2)[C@@H](CNC(=O)[O-])C1 ZINC000828513615 604395035 /nfs/dbraw/zinc/39/50/35/604395035.db2.gz NFSISPRXAIVFNB-QMMMGPOBSA-N 0 2 301.730 0.957 20 0 DCADLN C[C@H](C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-])c1cccnc1 ZINC000823670074 604395442 /nfs/dbraw/zinc/39/54/42/604395442.db2.gz RQRZXNNHDFNDMG-AAEUAGOBSA-N 0 2 306.366 0.595 20 0 DCADLN C[C@H](C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-])c1cccnc1 ZINC000823670074 604395445 /nfs/dbraw/zinc/39/54/45/604395445.db2.gz RQRZXNNHDFNDMG-AAEUAGOBSA-N 0 2 306.366 0.595 20 0 DCADLN CCCn1c(CO)nn(C[N@H+]2C[C@H](C(=O)[O-])[C@H](C)C2)c1=S ZINC000829580282 604398588 /nfs/dbraw/zinc/39/85/88/604398588.db2.gz UQBRKXQONVMLDB-ZJUUUORDSA-N 0 2 314.411 0.926 20 0 DCADLN CCCn1c(CO)nn(C[N@@H+]2C[C@H](C(=O)[O-])[C@H](C)C2)c1=S ZINC000829580282 604398591 /nfs/dbraw/zinc/39/85/91/604398591.db2.gz UQBRKXQONVMLDB-ZJUUUORDSA-N 0 2 314.411 0.926 20 0 DCADLN Cc1ccc(CCC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])o1 ZINC000830296186 604400562 /nfs/dbraw/zinc/40/05/62/604400562.db2.gz HRIRMLIQLFPAFM-GFCCVEGCSA-N 0 2 309.366 0.931 20 0 DCADLN Cc1ccc(CCC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])o1 ZINC000830296186 604400564 /nfs/dbraw/zinc/40/05/64/604400564.db2.gz HRIRMLIQLFPAFM-GFCCVEGCSA-N 0 2 309.366 0.931 20 0 DCADLN CCO[C@H](CC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)C(C)C ZINC000827371837 604435225 /nfs/dbraw/zinc/43/52/25/604435225.db2.gz LZBPDDKBISOPJX-CYBMUJFWSA-N 0 2 315.414 0.849 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)N[C@@H]2CCC(F)(F)C2)CC1 ZINC000831688474 604436115 /nfs/dbraw/zinc/43/61/15/604436115.db2.gz CWUKIBMXZDLPHX-SNVBAGLBSA-N 0 2 320.340 0.769 20 0 DCADLN O=C([O-])[C@]1([NH2+]CC(=O)Nc2c(F)cccc2F)CCOC1 ZINC000833765147 604487842 /nfs/dbraw/zinc/48/78/42/604487842.db2.gz YJOSIWQCXJNJFA-ZDUSSCGKSA-N 0 2 300.261 0.737 20 0 DCADLN Cc1cc(C[N@@H+]2CCO[C@@H](CO)C2)cc(C)c1OCC(=O)[O-] ZINC000833745640 604640586 /nfs/dbraw/zinc/64/05/86/604640586.db2.gz QRSNMGUPIWEYOB-CQSZACIVSA-N 0 2 309.362 0.960 20 0 DCADLN Cc1cc(C[N@H+]2CCO[C@@H](CO)C2)cc(C)c1OCC(=O)[O-] ZINC000833745640 604640589 /nfs/dbraw/zinc/64/05/89/604640589.db2.gz QRSNMGUPIWEYOB-CQSZACIVSA-N 0 2 309.362 0.960 20 0 DCADLN Cc1cn2cc(NC(=O)[C@@H]3CN(C(=O)[O-])CCO3)ccc2[nH+]1 ZINC000830619506 604808792 /nfs/dbraw/zinc/80/87/92/604808792.db2.gz FKEQEZOBSSEINN-NSHDSACASA-N 0 2 304.306 0.960 20 0 DCADLN O=C([O-])N1CCO[C@H](CC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)C1 ZINC000832060553 604831036 /nfs/dbraw/zinc/83/10/36/604831036.db2.gz NFZLOAJLKHGMNX-QWHCGFSZSA-N 0 2 322.365 0.816 20 0 DCADLN CC(C)CC(=O)NCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833473106 605079944 /nfs/dbraw/zinc/07/99/44/605079944.db2.gz GRUPXNLCPCNYEG-NSHDSACASA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)CC(=O)NCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833473106 605079949 /nfs/dbraw/zinc/07/99/49/605079949.db2.gz GRUPXNLCPCNYEG-NSHDSACASA-N 0 2 310.354 0.074 20 0 DCADLN Cc1nc(C)n([C@@H]2CCC[N@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC000833755175 605177356 /nfs/dbraw/zinc/17/73/56/605177356.db2.gz COWBVHDATXZBQR-CHWSQXEVSA-N 0 2 321.381 0.422 20 0 DCADLN Cc1nc(C)n([C@@H]2CCC[N@@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC000833755175 605177358 /nfs/dbraw/zinc/17/73/58/605177358.db2.gz COWBVHDATXZBQR-CHWSQXEVSA-N 0 2 321.381 0.422 20 0 DCADLN O=C(CO[C@H]1CCCN(C(=O)[O-])C1)N[C@@H]1CCc2[nH+]ccn2C1 ZINC000831514600 605282518 /nfs/dbraw/zinc/28/25/18/605282518.db2.gz YMDSHBBWAZIZBO-NEPJUHHUSA-N 0 2 322.365 0.473 20 0 DCADLN CC1(C)CC(=O)N(C[N@H+](C2CC2)[C@H]2CCN(C(=O)[O-])C2)C1=O ZINC000825706672 605453954 /nfs/dbraw/zinc/45/39/54/605453954.db2.gz JKGNYOFHICDASI-NSHDSACASA-N 0 2 309.366 0.946 20 0 DCADLN CC1(C)CC(=O)N(C[N@@H+](C2CC2)[C@H]2CCN(C(=O)[O-])C2)C1=O ZINC000825706672 605453957 /nfs/dbraw/zinc/45/39/57/605453957.db2.gz JKGNYOFHICDASI-NSHDSACASA-N 0 2 309.366 0.946 20 0 DCADLN O=C([O-])N1CC[C@@H]([NH+]2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC000834084775 605582330 /nfs/dbraw/zinc/58/23/30/605582330.db2.gz OECUYEABWXXBBX-CQSZACIVSA-N 0 2 324.425 0.369 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NC(C)(C)CNC(=O)[O-])CCO1 ZINC000826059295 605596298 /nfs/dbraw/zinc/59/62/98/605596298.db2.gz SPZSBUJWCHVYIZ-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NC(C)(C)CNC(=O)[O-])CCO1 ZINC000826059295 605596299 /nfs/dbraw/zinc/59/62/99/605596299.db2.gz SPZSBUJWCHVYIZ-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN O=C(C[C@@H]1CSCCN1C(=O)[O-])N[C@@H]1CCc2[nH+]ccn2C1 ZINC000831408033 605638153 /nfs/dbraw/zinc/63/81/53/605638153.db2.gz KWGUAUDKXBAVJY-GHMZBOCLSA-N 0 2 324.406 0.800 20 0 DCADLN Cc1ncc(CNC(=O)C[NH+]2CCC(NC(=O)[O-])CC2)s1 ZINC000830808630 605666467 /nfs/dbraw/zinc/66/64/67/605666467.db2.gz DVVYXQWHRNNNMM-UHFFFAOYSA-N 0 2 312.395 0.800 20 0 DCADLN COc1ccc(N(C)C(=O)C[NH+]2CCC(NC(=O)[O-])CC2)cn1 ZINC000829424663 605668015 /nfs/dbraw/zinc/66/80/15/605668015.db2.gz RMKZVQJGKPHBNY-UHFFFAOYSA-N 0 2 322.365 0.785 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000736415180 605700488 /nfs/dbraw/zinc/70/04/88/605700488.db2.gz IKHHTWYFKMKSBC-RYUDHWBXSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000736415180 605700492 /nfs/dbraw/zinc/70/04/92/605700492.db2.gz IKHHTWYFKMKSBC-RYUDHWBXSA-N 0 2 301.387 0.506 20 0 DCADLN O=C([O-])NCC1CCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC000834225896 605767818 /nfs/dbraw/zinc/76/78/18/605767818.db2.gz NVXBFPCXPDQOAF-GFCCVEGCSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N[C@@H](CNC(=O)NCCNc1cccc[nH+]1)C1CC1 ZINC000834144536 605849317 /nfs/dbraw/zinc/84/93/17/605849317.db2.gz KTILBKNXAINKQS-NSHDSACASA-N 0 2 307.354 0.839 20 0 DCADLN CNC(=O)CCC[N@H+](C)Cc1nc(C(C)(C)NC(=O)[O-])no1 ZINC000833875046 605975996 /nfs/dbraw/zinc/97/59/96/605975996.db2.gz HOONCAFPUDERAF-UHFFFAOYSA-N 0 2 313.358 0.530 20 0 DCADLN CNC(=O)CCC[N@@H+](C)Cc1nc(C(C)(C)NC(=O)[O-])no1 ZINC000833875046 605975998 /nfs/dbraw/zinc/97/59/98/605975998.db2.gz HOONCAFPUDERAF-UHFFFAOYSA-N 0 2 313.358 0.530 20 0 DCADLN O=C(COC1CN(C(=O)[O-])C1)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000834009007 605988237 /nfs/dbraw/zinc/98/82/37/605988237.db2.gz ALFHDPAIFQWLKL-NSHDSACASA-N 0 2 320.349 0.155 20 0 DCADLN CC(C)C1(CNc2c(-c3nn[nH]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000820032351 606608608 /nfs/dbraw/zinc/60/86/08/606608608.db2.gz MGTIQZWGVXCTNA-UHFFFAOYSA-N 0 2 319.369 0.112 20 0 DCADLN CCC[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)cn1)c1nn[nH]n1 ZINC000821020944 606912632 /nfs/dbraw/zinc/91/26/32/606912632.db2.gz KCLCUWGTYGEMHT-MRVPVSSYSA-N 0 2 314.313 0.046 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)nc1 ZINC000826322381 608057433 /nfs/dbraw/zinc/05/74/33/608057433.db2.gz KENGAIGWOHZRAR-UHFFFAOYSA-N 0 2 306.311 0.094 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)NC[C@H](C)O ZINC000822005045 608580673 /nfs/dbraw/zinc/58/06/73/608580673.db2.gz NMHSRXSDLDKAAR-ZETCQYMHSA-N 0 2 320.353 0.014 20 0 DCADLN CN(C(=O)c1cnon1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646274 665406396 /nfs/dbraw/zinc/40/63/96/665406396.db2.gz BRAYJSNSVGYGRM-SVRRBLITSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C(=O)c1cnon1)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938646274 665406397 /nfs/dbraw/zinc/40/63/97/665406397.db2.gz BRAYJSNSVGYGRM-SVRRBLITSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC000977712548 660423692 /nfs/dbraw/zinc/42/36/92/660423692.db2.gz SXIKWPNDYKIONR-SFYZADRCSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC000977712548 660423695 /nfs/dbraw/zinc/42/36/95/660423695.db2.gz SXIKWPNDYKIONR-SFYZADRCSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1ncc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001027785173 660726658 /nfs/dbraw/zinc/72/66/58/660726658.db2.gz OGTJPXCRNMNMMN-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1cncc(F)c1 ZINC001027817509 660781492 /nfs/dbraw/zinc/78/14/92/660781492.db2.gz RJUPCEULNYSDAB-LLVKDONJSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1cccnc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027818819 660783715 /nfs/dbraw/zinc/78/37/15/660783715.db2.gz VGWIZWWQIFCCDK-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cccnc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027818819 660783716 /nfs/dbraw/zinc/78/37/16/660783716.db2.gz VGWIZWWQIFCCDK-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCC1(F)F ZINC001027959662 660976619 /nfs/dbraw/zinc/97/66/19/660976619.db2.gz MMMDYGZNRVYURK-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCC1(F)F ZINC001027959662 660976620 /nfs/dbraw/zinc/97/66/20/660976620.db2.gz MMMDYGZNRVYURK-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCC1(F)F ZINC001027959662 660976621 /nfs/dbraw/zinc/97/66/21/660976621.db2.gz MMMDYGZNRVYURK-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCC1(F)F ZINC001027959659 660976845 /nfs/dbraw/zinc/97/68/45/660976845.db2.gz MMMDYGZNRVYURK-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCC1(F)F ZINC001027959659 660976847 /nfs/dbraw/zinc/97/68/47/660976847.db2.gz MMMDYGZNRVYURK-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCC1(F)F ZINC001027959659 660976848 /nfs/dbraw/zinc/97/68/48/660976848.db2.gz MMMDYGZNRVYURK-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)[C@H](C)C2)c1[O-] ZINC000947211215 661045363 /nfs/dbraw/zinc/04/53/63/661045363.db2.gz LRZPCMLPTMPNRO-SCZZXKLOSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)[C@H](C)C2)c1[O-] ZINC000947211215 661045366 /nfs/dbraw/zinc/04/53/66/661045366.db2.gz LRZPCMLPTMPNRO-SCZZXKLOSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@]12C[C@H]1CCC2 ZINC000981653845 661187641 /nfs/dbraw/zinc/18/76/41/661187641.db2.gz GWTAIAGNMYWOBA-IAQYHMDHSA-N 0 2 305.382 0.735 20 0 DCADLN CC(C)(C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C(F)F ZINC000981918425 661245700 /nfs/dbraw/zinc/24/57/00/661245700.db2.gz WZCZMZNIZVKUCJ-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001032623971 665433440 /nfs/dbraw/zinc/43/34/40/665433440.db2.gz AAUZIRJHDUABJN-VWYCJHECSA-N 0 2 317.349 0.692 20 0 DCADLN C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001032632583 665445930 /nfs/dbraw/zinc/44/59/30/665445930.db2.gz DSNMXYDIUPEPHT-CIUDSAMLSA-N 0 2 315.324 0.587 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC000970623509 657713803 /nfs/dbraw/zinc/71/38/03/657713803.db2.gz SKBWJZSCFVSJPJ-SNVBAGLBSA-N 0 2 316.365 0.464 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2ncc(Cl)s2)C1)c1cn[nH]n1 ZINC001022354126 657783031 /nfs/dbraw/zinc/78/30/31/657783031.db2.gz DYPMIXDDHJPWRQ-KNVOCYPGSA-N 0 2 312.786 0.965 20 0 DCADLN Cc1csc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038227195 657817208 /nfs/dbraw/zinc/81/72/08/657817208.db2.gz DPRDNNLHGAWWPH-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN COC(=O)c1[nH]c(C)cc1NC(=O)CC1SC(=N)NC1=O ZINC000742647393 657850732 /nfs/dbraw/zinc/85/07/32/657850732.db2.gz CJQKTAFMLDFTOV-ZETCQYMHSA-N 0 2 310.335 0.605 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC000969441920 657886839 /nfs/dbraw/zinc/88/68/39/657886839.db2.gz BCEOTQSURONWQW-ZETCQYMHSA-N 0 2 320.353 0.365 20 0 DCADLN CCn1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000998786724 665528048 /nfs/dbraw/zinc/52/80/48/665528048.db2.gz HKCJNMUGGGYDRR-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC000998786724 665528054 /nfs/dbraw/zinc/52/80/54/665528054.db2.gz HKCJNMUGGGYDRR-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN Cc1cccnc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969469709 657910127 /nfs/dbraw/zinc/91/01/27/657910127.db2.gz PORFDBMMJHSQMX-SNVBAGLBSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969471519 657912684 /nfs/dbraw/zinc/91/26/84/657912684.db2.gz BVPNJQXAQUWMRY-QMMMGPOBSA-N 0 2 304.354 0.397 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970820878 657949720 /nfs/dbraw/zinc/94/97/20/657949720.db2.gz LHSNPKDZXJDJEX-MRVPVSSYSA-N 0 2 319.369 0.046 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969554265 657981653 /nfs/dbraw/zinc/98/16/53/657981653.db2.gz MASFKNWLWZESFM-JTQLQIEISA-N 0 2 318.381 0.408 20 0 DCADLN CCn1cccc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970887432 658020877 /nfs/dbraw/zinc/02/08/77/658020877.db2.gz SMMUBDCABNSXQT-JTQLQIEISA-N 0 2 318.381 0.582 20 0 DCADLN CCc1nnc(C[NH2+][C@@H]2CCN(C(=O)[C@@H](C(C)C)[NH+](C)C)C2)o1 ZINC000969846255 658203475 /nfs/dbraw/zinc/20/34/75/658203475.db2.gz QMTKRNAEFVKCIF-IUODEOHRSA-N 0 2 323.441 0.909 20 0 DCADLN COCC(=O)N1C[C@H]2CCN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC000971071673 658243185 /nfs/dbraw/zinc/24/31/85/658243185.db2.gz FBSAVEBOUKCTGJ-NQMVMOMDSA-N 0 2 312.263 0.593 20 0 DCADLN COCC(=O)N1C[C@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21 ZINC000971071673 658243187 /nfs/dbraw/zinc/24/31/87/658243187.db2.gz FBSAVEBOUKCTGJ-NQMVMOMDSA-N 0 2 312.263 0.593 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)on1 ZINC000972795623 658421701 /nfs/dbraw/zinc/42/17/01/658421701.db2.gz HQKBBERHCLZNRA-SNVBAGLBSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000972845943 658478408 /nfs/dbraw/zinc/47/84/08/658478408.db2.gz NHKQTCVMYNPTMI-VIFPVBQESA-N 0 2 320.353 0.447 20 0 DCADLN Cc1nc(C(=O)NC[C@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001024404306 658602640 /nfs/dbraw/zinc/60/26/40/658602640.db2.gz WDVBKZUYLFQPFK-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC1(F)F ZINC001024432482 658617796 /nfs/dbraw/zinc/61/77/96/658617796.db2.gz YHVBUDACTFHNMP-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC1(F)F ZINC001024432482 658617800 /nfs/dbraw/zinc/61/78/00/658617800.db2.gz YHVBUDACTFHNMP-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC1(F)F ZINC001024432482 658617802 /nfs/dbraw/zinc/61/78/02/658617802.db2.gz YHVBUDACTFHNMP-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@H](O)C[N@H+]1CCCC[C@H]1CNC(=O)c1c[nH]cc2ncnc1-2 ZINC001024452581 658625035 /nfs/dbraw/zinc/62/50/35/658625035.db2.gz NNKIKLKCCAWYEJ-NEPJUHHUSA-N 0 2 317.393 0.923 20 0 DCADLN Cc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)CCc3c[nH]nn3)C2)on1 ZINC000972372977 658636694 /nfs/dbraw/zinc/63/66/94/658636694.db2.gz JDTFABREOSZEKY-CYBMUJFWSA-N 0 2 318.381 0.767 20 0 DCADLN Cn1nnc(CN[C@H]2CCCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000999211793 665601939 /nfs/dbraw/zinc/60/19/39/665601939.db2.gz WPQYLQRMSYEIJB-IONNQARKSA-N 0 2 324.282 0.191 20 0 DCADLN CC(C)(F)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001022902498 658784292 /nfs/dbraw/zinc/78/42/92/658784292.db2.gz HQPGQZREHUYPFH-QYNIQEEDSA-N 0 2 318.242 0.323 20 0 DCADLN CC(C)(F)C(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001022902498 658784296 /nfs/dbraw/zinc/78/42/96/658784296.db2.gz HQPGQZREHUYPFH-QYNIQEEDSA-N 0 2 318.242 0.323 20 0 DCADLN CN(Cc1nncn1C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971576277 658823956 /nfs/dbraw/zinc/82/39/56/658823956.db2.gz PFMCFOQIUOYMOD-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1nncn1C)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000971576277 658823967 /nfs/dbraw/zinc/82/39/67/658823967.db2.gz PFMCFOQIUOYMOD-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240390 658894774 /nfs/dbraw/zinc/89/47/74/658894774.db2.gz SIXBNNWNWWFZIC-VIFPVBQESA-N 0 2 322.262 0.903 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011240390 658894779 /nfs/dbraw/zinc/89/47/79/658894779.db2.gz SIXBNNWNWWFZIC-VIFPVBQESA-N 0 2 322.262 0.903 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ccoc3)C[C@H]21 ZINC000976923625 659493151 /nfs/dbraw/zinc/49/31/51/659493151.db2.gz UGVCUHVRUWNRAQ-JYAVWHMHSA-N 0 2 314.345 0.740 20 0 DCADLN CC1(C)C[N@@H+](CCCO)C[C@@H]1NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000974743301 659652445 /nfs/dbraw/zinc/65/24/45/659652445.db2.gz QNWJJWUACLYDBF-KGLIPLIRSA-N 0 2 320.437 0.655 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]n1 ZINC000977405269 659681189 /nfs/dbraw/zinc/68/11/89/659681189.db2.gz SVUZNPNCHRNZPU-VXNVDRBHSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1ccn[nH]1 ZINC000977405269 659681190 /nfs/dbraw/zinc/68/11/90/659681190.db2.gz SVUZNPNCHRNZPU-VXNVDRBHSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]n1 ZINC000977405265 659681333 /nfs/dbraw/zinc/68/13/33/659681333.db2.gz SVUZNPNCHRNZPU-CBAPKCEASA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1ccn[nH]1 ZINC000977405265 659681334 /nfs/dbraw/zinc/68/13/34/659681334.db2.gz SVUZNPNCHRNZPU-CBAPKCEASA-N 0 2 322.262 0.983 20 0 DCADLN CC1(C)C[N@H+](CCO)C[C@@H]1NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000975038982 659770181 /nfs/dbraw/zinc/77/01/81/659770181.db2.gz IWFZPRNHMAONJY-AAEUAGOBSA-N 0 2 306.410 0.649 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)O1 ZINC000975070293 659777342 /nfs/dbraw/zinc/77/73/42/659777342.db2.gz HKJKVCHGJMACLG-MXWKQRLJSA-N 0 2 323.397 0.404 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC000940136410 665707732 /nfs/dbraw/zinc/70/77/32/665707732.db2.gz ZSHPLTWGXWQNJQ-ZJUUUORDSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC000940136410 665707733 /nfs/dbraw/zinc/70/77/33/665707733.db2.gz ZSHPLTWGXWQNJQ-ZJUUUORDSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(C(C1CC1)C1CC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032723032 665742683 /nfs/dbraw/zinc/74/26/83/665742683.db2.gz PJNPGPPACJVOLE-RYUDHWBXSA-N 0 2 317.393 0.732 20 0 DCADLN Cc1conc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028249084 661346802 /nfs/dbraw/zinc/34/68/02/661346802.db2.gz PCMRPRUPRADGGD-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000982851420 661700587 /nfs/dbraw/zinc/70/05/87/661700587.db2.gz ZDGFAPAWLXBGSQ-HTRCEHHLSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(C=C1CCC1)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001042490078 662046794 /nfs/dbraw/zinc/04/67/94/662046794.db2.gz HMDVIXVDSNKDLG-SNVBAGLBSA-N 0 2 324.274 0.687 20 0 DCADLN O=C(C=C1CCC1)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001042490078 662046796 /nfs/dbraw/zinc/04/67/96/662046796.db2.gz HMDVIXVDSNKDLG-SNVBAGLBSA-N 0 2 324.274 0.687 20 0 DCADLN C/C(=C\C(=O)N(C[C@H](C)C(=O)[O-])C1CC1)C[NH+]1CCOCC1 ZINC000909453074 662112266 /nfs/dbraw/zinc/11/22/66/662112266.db2.gz CEESTJMWQNCPHW-SRXBQZRASA-N 0 2 310.394 0.977 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCOC1 ZINC001000505687 665857345 /nfs/dbraw/zinc/85/73/45/665857345.db2.gz JROXEFJTZNMITH-GFCCVEGCSA-N 0 2 321.381 0.185 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCOC1 ZINC001000505687 665857347 /nfs/dbraw/zinc/85/73/47/665857347.db2.gz JROXEFJTZNMITH-GFCCVEGCSA-N 0 2 321.381 0.185 20 0 DCADLN C[C@H]1[C@@H]([NH2+]CC(=O)N2CCC2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC000986209085 662569683 /nfs/dbraw/zinc/56/96/83/662569683.db2.gz CDLRDGGDGHZQMK-STQMWFEESA-N 0 2 319.409 0.154 20 0 DCADLN CCOC(=O)[C@H](C)[C@@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000753335132 662766196 /nfs/dbraw/zinc/76/61/96/662766196.db2.gz JZELJAOUCANTBM-PRJMDXOYSA-N 0 2 301.368 0.247 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@H]([NH2+]Cc3ccon3)C[C@@H]2C)c1[O-] ZINC000988631827 662807909 /nfs/dbraw/zinc/80/79/09/662807909.db2.gz HUSQOSSOJOKFQS-GZMMTYOYSA-N 0 2 305.338 0.805 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000937847784 662830224 /nfs/dbraw/zinc/83/02/24/662830224.db2.gz GJSFAHMBFBPBGV-XHNCKOQMSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000937847784 662830225 /nfs/dbraw/zinc/83/02/25/662830225.db2.gz GJSFAHMBFBPBGV-XHNCKOQMSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cscn1 ZINC000990480839 663055585 /nfs/dbraw/zinc/05/55/85/663055585.db2.gz ZJLLDACICVVWJJ-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cscn1 ZINC000990480839 663055586 /nfs/dbraw/zinc/05/55/86/663055586.db2.gz ZJLLDACICVVWJJ-ZETCQYMHSA-N 0 2 311.260 0.984 20 0 DCADLN CC(C)=CC[NH+]1CC(N(C)C(=O)[C@@H]2C[N@@H+]3CCC[C@@H]3CO2)C1 ZINC001042756865 663077036 /nfs/dbraw/zinc/07/70/36/663077036.db2.gz UVRQIYOMWHJLMX-ZBFHGGJFSA-N 0 2 307.438 0.958 20 0 DCADLN CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)c2n[nH]c(C)c2C)C1=O ZINC001038117262 663084431 /nfs/dbraw/zinc/08/44/31/663084431.db2.gz AAMJTFLOIQRQTG-STQMWFEESA-N 0 2 319.409 0.451 20 0 DCADLN CC(C)Oc1cncc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1 ZINC000899034944 663119928 /nfs/dbraw/zinc/11/99/28/663119928.db2.gz XWFBKUHZLNCXHP-VIFPVBQESA-N 0 2 306.322 0.796 20 0 DCADLN CON(C)C(=O)CCn1cnc2c1nc(C(F)(F)F)[nH]c2=O ZINC000899898513 663198507 /nfs/dbraw/zinc/19/85/07/663198507.db2.gz HTWSCSXGRKHDRB-UHFFFAOYSA-N 0 2 319.243 0.961 20 0 DCADLN COC1CC(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000815716 665937877 /nfs/dbraw/zinc/93/78/77/665937877.db2.gz HFUHDQXKHXREHE-UHFFFAOYSA-N 0 2 321.381 0.184 20 0 DCADLN COC1CC(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000815716 665937878 /nfs/dbraw/zinc/93/78/78/665937878.db2.gz HFUHDQXKHXREHE-UHFFFAOYSA-N 0 2 321.381 0.184 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000901666030 663360845 /nfs/dbraw/zinc/36/08/45/663360845.db2.gz LDBRGRHIXZNGJG-IAQYHMDHSA-N 0 2 307.350 0.538 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)C1(C(=O)[O-])C(C)(C)C1(C)C ZINC000901967707 663376230 /nfs/dbraw/zinc/37/62/30/663376230.db2.gz OGUBHTJYHYEYFM-LLVKDONJSA-N 0 2 312.410 0.960 20 0 DCADLN COC(=O)c1cn(CN2CCOC[C@H]2C[C@@H]2CCCO2)nn1 ZINC000902612380 663422285 /nfs/dbraw/zinc/42/22/85/663422285.db2.gz YXWZDXOBYYNMBC-NEPJUHHUSA-N 0 2 310.354 0.292 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC000902667376 663426951 /nfs/dbraw/zinc/42/69/51/663426951.db2.gz HEWHOYIVNOASKO-RYUDHWBXSA-N 0 2 300.399 0.960 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC000902667376 663426953 /nfs/dbraw/zinc/42/69/53/663426953.db2.gz HEWHOYIVNOASKO-RYUDHWBXSA-N 0 2 300.399 0.960 20 0 DCADLN CS(=O)(=O)c1ccnc(NS(=O)(=O)CC2(F)CC2)c1 ZINC000903629153 663471483 /nfs/dbraw/zinc/47/14/83/663471483.db2.gz RDFFUUKDRPSFAG-UHFFFAOYSA-N 0 2 308.356 0.729 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cnc(C)cn1)C1CCOCC1 ZINC000903657180 663473335 /nfs/dbraw/zinc/47/33/35/663473335.db2.gz XFSZOXKWTRBIMZ-LBPRGKRZSA-N 0 2 315.395 0.968 20 0 DCADLN COCc1ccnc(NS(=O)(=O)c2cn3c(n2)CCCC3)n1 ZINC000903674333 663473662 /nfs/dbraw/zinc/47/36/62/663473662.db2.gz CQVVIIHFERFNGG-UHFFFAOYSA-N 0 2 323.378 0.957 20 0 DCADLN CN(C)c1nc(N2CC[C@@](O)(C(=O)[O-])C2)[nH+]c2ccccc21 ZINC000906150619 663602453 /nfs/dbraw/zinc/60/24/53/663602453.db2.gz OGGVGTKBUFYCSK-HNNXBMFYSA-N 0 2 302.334 0.722 20 0 DCADLN C[C@@H](CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001032806241 665991752 /nfs/dbraw/zinc/99/17/52/665991752.db2.gz PTDCKPWQVKUJHT-DLOVCJGASA-N 0 2 305.382 0.732 20 0 DCADLN CO[C@H]1CN(C(=O)NCCCCn2cc[nH+]c2)[C@](C)(C(=O)[O-])C1 ZINC000908862124 663772570 /nfs/dbraw/zinc/77/25/70/663772570.db2.gz LKTAVZBVMAMPIJ-DOMZBBRYSA-N 0 2 324.381 0.937 20 0 DCADLN CSC[C@@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913796 663774084 /nfs/dbraw/zinc/77/40/84/663774084.db2.gz IXJZNDQFTUWIOP-SNVBAGLBSA-N 0 2 319.427 0.213 20 0 DCADLN CSC[C@@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913796 663774085 /nfs/dbraw/zinc/77/40/85/663774085.db2.gz IXJZNDQFTUWIOP-SNVBAGLBSA-N 0 2 319.427 0.213 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C1CCOCC1 ZINC000910017277 663836490 /nfs/dbraw/zinc/83/64/90/663836490.db2.gz GUCHQRSIHDKVBQ-YPMHNXCESA-N 0 2 307.350 0.442 20 0 DCADLN O=C([O-])CC1(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCOCC1 ZINC000910119543 663847297 /nfs/dbraw/zinc/84/72/97/663847297.db2.gz BVEYOIIGVKPDPQ-GFCCVEGCSA-N 0 2 321.377 0.833 20 0 DCADLN CC(C)(C(=O)[O-])[NH+]1CCN(C(=O)c2cc(C(F)F)[nH]n2)CC1 ZINC000910179559 663852517 /nfs/dbraw/zinc/85/25/17/663852517.db2.gz ZATHSBZQXRJYLU-UHFFFAOYSA-N 0 2 316.308 0.968 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(C(=O)Cc2cn3cccc(C)c3[nH+]2)C1 ZINC000910218067 663854390 /nfs/dbraw/zinc/85/43/90/663854390.db2.gz CAWUWEZCIRBANA-MRXNPFEDSA-N 0 2 317.345 0.887 20 0 DCADLN C[C@H](CN(C)c1cc(N2CCC(C)(O)CC2)nc[nH+]1)C(=O)[O-] ZINC000910308240 663867727 /nfs/dbraw/zinc/86/77/27/663867727.db2.gz DDIRUDJRHSQHMD-LLVKDONJSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@H](CN(C)c1cc(N2CCC(C)(O)CC2)[nH+]cn1)C(=O)[O-] ZINC000910308240 663867730 /nfs/dbraw/zinc/86/77/30/663867730.db2.gz DDIRUDJRHSQHMD-LLVKDONJSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(NCC3(C(=O)[O-])CC3)[nH+]cn2)C1 ZINC000910429590 663882508 /nfs/dbraw/zinc/88/25/08/663882508.db2.gz KJHCVLOZYGBFBU-MNOVXSKESA-N 0 2 306.366 0.960 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(NCC3(C(=O)[O-])CC3)nc[nH+]2)C1 ZINC000910429590 663882511 /nfs/dbraw/zinc/88/25/11/663882511.db2.gz KJHCVLOZYGBFBU-MNOVXSKESA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C(F)(F)F ZINC000911158251 663994387 /nfs/dbraw/zinc/99/43/87/663994387.db2.gz NKWIGDTXBXBFBK-HTQZYQBOSA-N 0 2 305.256 0.967 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(F)(F)F ZINC000911157606 663994435 /nfs/dbraw/zinc/99/44/35/663994435.db2.gz KDEQZEZJZGJCBY-YUMQZZPRSA-N 0 2 305.256 0.967 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N(CCC(=O)[O-])Cc1ccncc1 ZINC000911524639 664074732 /nfs/dbraw/zinc/07/47/32/664074732.db2.gz MRRFPASXFFOFPW-UHFFFAOYSA-N 0 2 302.334 0.861 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(C(=O)Cn2cc(C(=O)[O-])cn2)C1 ZINC000911588884 664082200 /nfs/dbraw/zinc/08/22/00/664082200.db2.gz QFKVBXXSVMUFHY-NSHDSACASA-N 0 2 317.349 0.721 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCC[C@@H](O)[C@@H]3C(=O)[O-])[nH+]c12 ZINC000911636861 664089912 /nfs/dbraw/zinc/08/99/12/664089912.db2.gz OCDCEZRSPXRLKS-TZMCWYRMSA-N 0 2 317.345 0.622 20 0 DCADLN Cn1cc(CN(CCn2cc[nH+]c2)C(=O)CCCC(=O)[O-])cn1 ZINC000911741088 664105116 /nfs/dbraw/zinc/10/51/16/664105116.db2.gz GQURGCRZKOYFKW-UHFFFAOYSA-N 0 2 319.365 0.900 20 0 DCADLN O=C(NOCCN1CCCC1=O)[C@@]1(c2ccccc2)C[C@@H](O)C1 ZINC000912629216 664198505 /nfs/dbraw/zinc/19/85/05/664198505.db2.gz OCUQYSIJPUFVMO-KDYLLFBJSA-N 0 2 318.373 0.749 20 0 DCADLN C[C@H]1C[C@H]1c1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001030302829 664507159 /nfs/dbraw/zinc/50/71/59/664507159.db2.gz NQBWAAWQLXPKSG-WCBMZHEXSA-N 0 2 317.349 0.841 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001030343066 664517074 /nfs/dbraw/zinc/51/70/74/664517074.db2.gz HSXFBXIFJGDRRD-JFGNBEQYSA-N 0 2 303.366 0.413 20 0 DCADLN Cc1ccc(F)cc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038561779 664551842 /nfs/dbraw/zinc/55/18/42/664551842.db2.gz CGRAQQALZXIHCF-NSHDSACASA-N 0 2 319.340 0.962 20 0 DCADLN COCc1sccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030526137 664577515 /nfs/dbraw/zinc/57/75/15/664577515.db2.gz DHNBVIFLCSGRNO-UHFFFAOYSA-N 0 2 323.378 0.332 20 0 DCADLN O=C(C[C@@H]1CC[C@H](C2CC2)O1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030713901 664644792 /nfs/dbraw/zinc/64/47/92/664644792.db2.gz PQSGLLWDKPRLMU-NWDGAFQWSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(C2CCC2)CCC1 ZINC001030752498 664655694 /nfs/dbraw/zinc/65/56/94/664655694.db2.gz PMVVNXSIIXGOPZ-UHFFFAOYSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC1C[NH+](C[C@H]2CCCOC2)C1)[C@H]1CCCc2n[nH]nc21 ZINC001030860052 664689081 /nfs/dbraw/zinc/68/90/81/664689081.db2.gz TXTRHWYVZGQYNG-YPMHNXCESA-N 0 2 319.409 0.452 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)C[C@@]23CC[N@H+](C2)CCC3)C[C@H]1C ZINC000914289817 664769639 /nfs/dbraw/zinc/76/96/39/664769639.db2.gz XHELMLQYXYUVME-CABCVRRESA-N 0 2 315.483 0.828 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc2c(c1)OCO2 ZINC000730512823 664783495 /nfs/dbraw/zinc/78/34/95/664783495.db2.gz YUBZHWIPQRNZRJ-UHFFFAOYSA-N 0 2 313.273 0.439 20 0 DCADLN CN(C)c1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1 ZINC000730522259 664785062 /nfs/dbraw/zinc/78/50/62/664785062.db2.gz PPDVPLLGXRPSMD-UHFFFAOYSA-N 0 2 312.333 0.776 20 0 DCADLN Cc1ccc(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)o1 ZINC000730525958 664785219 /nfs/dbraw/zinc/78/52/19/664785219.db2.gz BURPHTMGSVKQKC-UHFFFAOYSA-N 0 2 301.306 0.332 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cccn2C)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993041093 664794974 /nfs/dbraw/zinc/79/49/74/664794974.db2.gz SQNSBHRSVCNSRB-MNOVXSKESA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cccn2C)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993041093 664794975 /nfs/dbraw/zinc/79/49/75/664794975.db2.gz SQNSBHRSVCNSRB-MNOVXSKESA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccon2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993097376 664807492 /nfs/dbraw/zinc/80/74/92/664807492.db2.gz STMGGRINLQGMNZ-BDAKNGLRSA-N 0 2 306.326 0.281 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cnsn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993120818 664817638 /nfs/dbraw/zinc/81/76/38/664817638.db2.gz WYZXRRVIDLYUJO-SFYZADRCSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@@H]1[C@H](NC(=O)Cc2ccon2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993148362 664835677 /nfs/dbraw/zinc/83/56/77/664835677.db2.gz GQQPRXZMVDPSAX-MWLCHTKSSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1[C@H](NC(=O)Cc2ccon2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993148362 664835681 /nfs/dbraw/zinc/83/56/81/664835681.db2.gz GQQPRXZMVDPSAX-MWLCHTKSSA-N 0 2 320.353 0.210 20 0 DCADLN CON(C)C(=O)c1ccc(NS(=O)(=O)c2ccnn2C)cc1 ZINC000731434649 664861985 /nfs/dbraw/zinc/86/19/85/664861985.db2.gz QXTUPXNLEQUNMC-UHFFFAOYSA-N 0 2 324.362 0.854 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)co1 ZINC000993232711 664914542 /nfs/dbraw/zinc/91/45/42/664914542.db2.gz INEULEDWIICPTK-PSASIEDQSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1ccc(F)c(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031288833 664967515 /nfs/dbraw/zinc/96/75/15/664967515.db2.gz FQADCOKSAMPVOW-UHFFFAOYSA-N 0 2 319.340 0.501 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cnco2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993645016 664988087 /nfs/dbraw/zinc/98/80/87/664988087.db2.gz PNACHNNWBUPWKH-IUCAKERBSA-N 0 2 306.326 0.281 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000993735518 664997186 /nfs/dbraw/zinc/99/71/86/664997186.db2.gz WULUTXYQWBUGAU-MWLCHTKSSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccncn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994033579 665034318 /nfs/dbraw/zinc/03/43/18/665034318.db2.gz CQMHNJOPTMNREV-ZJUUUORDSA-N 0 2 317.353 0.083 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cc1F ZINC001031335459 665092259 /nfs/dbraw/zinc/09/22/59/665092259.db2.gz NPQCAYYWWXOADK-UHFFFAOYSA-N 0 2 309.276 0.403 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2ccc[nH]2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994462993 665110379 /nfs/dbraw/zinc/11/03/79/665110379.db2.gz LOLPJKQMVJMRAA-JQWIXIFHSA-N 0 2 318.381 0.550 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2ccc[nH]2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994462993 665110381 /nfs/dbraw/zinc/11/03/81/665110381.db2.gz LOLPJKQMVJMRAA-JQWIXIFHSA-N 0 2 318.381 0.550 20 0 DCADLN C[C@H]1[C@H](NC(=O)Cc2ccc[nH]2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994462991 665110485 /nfs/dbraw/zinc/11/04/85/665110485.db2.gz LOLPJKQMVJMRAA-CMPLNLGQSA-N 0 2 318.381 0.550 20 0 DCADLN C[C@H]1[C@H](NC(=O)Cc2ccc[nH]2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994462991 665110488 /nfs/dbraw/zinc/11/04/88/665110488.db2.gz LOLPJKQMVJMRAA-CMPLNLGQSA-N 0 2 318.381 0.550 20 0 DCADLN Cc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1C ZINC001031369522 665120703 /nfs/dbraw/zinc/12/07/03/665120703.db2.gz RFHBCLATEHNRNU-UHFFFAOYSA-N 0 2 304.354 0.080 20 0 DCADLN CC(C)Oc1ccc(F)cc1S(=O)(=O)Nc1nnn(C)n1 ZINC000919218177 665201616 /nfs/dbraw/zinc/20/16/16/665201616.db2.gz GJASJSXUHSNRFF-UHFFFAOYSA-N 0 2 315.330 0.937 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@@H]1C1CCCC1 ZINC001032083643 666285336 /nfs/dbraw/zinc/28/53/36/666285336.db2.gz AKQVZTSUZVTBBY-CHWSQXEVSA-N 0 2 319.409 0.885 20 0 DCADLN CCc1nnc(CN2CCC(NC(=O)c3cnn[nH]3)CC2)o1 ZINC001003231304 666285663 /nfs/dbraw/zinc/28/56/63/666285663.db2.gz LSOYFWHKZIJRRN-UHFFFAOYSA-N 0 2 305.342 0.145 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccnn2C)CC[C@@H]1NC(=O)c1nc[nH]n1 ZINC000943674544 666306773 /nfs/dbraw/zinc/30/67/73/666306773.db2.gz IVXHBUIJWRDCPR-JQWIXIFHSA-N 0 2 303.370 0.179 20 0 DCADLN CCn1ccc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001003738132 666352562 /nfs/dbraw/zinc/35/25/62/666352562.db2.gz XGHLKFDRMMJOHV-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CC=C[C@@H]3CO)=N2)cc1 ZINC000922269984 666361694 /nfs/dbraw/zinc/36/16/94/666361694.db2.gz FFZKZVXRHKHYCR-GFCCVEGCSA-N 0 2 315.329 0.959 20 0 DCADLN CN(C(=O)c1ccccn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032861408 666361762 /nfs/dbraw/zinc/36/17/62/666361762.db2.gz KPODKVUDMJHPCU-SNVBAGLBSA-N 0 2 302.338 0.252 20 0 DCADLN COc1cccc(C[NH+]2CCC(NC(=O)c3nnc[nH]3)CC2)n1 ZINC001003814267 666369872 /nfs/dbraw/zinc/36/98/72/666369872.db2.gz RIHVVKKLCQRBQX-UHFFFAOYSA-N 0 2 316.365 0.603 20 0 DCADLN COc1cccc(C[NH+]2CCC(NC(=O)c3ncn[nH]3)CC2)n1 ZINC001003814267 666369875 /nfs/dbraw/zinc/36/98/75/666369875.db2.gz RIHVVKKLCQRBQX-UHFFFAOYSA-N 0 2 316.365 0.603 20 0 DCADLN Cc1cncc(C[NH+]2CCC(NC(=O)c3ncn[nH]3)CC2)c1 ZINC001003814208 666370196 /nfs/dbraw/zinc/37/01/96/666370196.db2.gz QQAFUCSSLSTDPK-UHFFFAOYSA-N 0 2 300.366 0.903 20 0 DCADLN Cc1noc(C)c1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032895852 666377728 /nfs/dbraw/zinc/37/77/28/666377728.db2.gz NBLNYIKWVLYFAS-JTQLQIEISA-N 0 2 320.353 0.462 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001032964903 666410167 /nfs/dbraw/zinc/41/01/67/666410167.db2.gz MMBKENALMDEDRV-JTQLQIEISA-N 0 2 319.369 0.197 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001033198255 666615563 /nfs/dbraw/zinc/61/55/63/666615563.db2.gz PDDJNDXPKPNWCV-NSHDSACASA-N 0 2 318.381 0.802 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001033198255 666615566 /nfs/dbraw/zinc/61/55/66/666615566.db2.gz PDDJNDXPKPNWCV-NSHDSACASA-N 0 2 318.381 0.802 20 0 DCADLN O=C(Cc1cc[nH]n1)NNC(=O)c1ccc(Br)cn1 ZINC000186130595 666691968 /nfs/dbraw/zinc/69/19/68/666691968.db2.gz DWJVHCHONGXEPT-UHFFFAOYSA-N 0 2 324.138 0.571 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001033410115 666703539 /nfs/dbraw/zinc/70/35/39/666703539.db2.gz NXXVKWLDESJORJ-QWRGUYRKSA-N 0 2 319.365 0.940 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001033410115 666703541 /nfs/dbraw/zinc/70/35/41/666703541.db2.gz NXXVKWLDESJORJ-QWRGUYRKSA-N 0 2 319.365 0.940 20 0 DCADLN CN(C(=O)CC1=CCOCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033401336 666707894 /nfs/dbraw/zinc/70/78/94/666707894.db2.gz RMJHCOQZLXSGDT-LBPRGKRZSA-N 0 2 321.381 0.280 20 0 DCADLN CN(C(=O)CC1=CCOCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033401336 666707896 /nfs/dbraw/zinc/70/78/96/666707896.db2.gz RMJHCOQZLXSGDT-LBPRGKRZSA-N 0 2 321.381 0.280 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)N(C)[C@H]2CC[NH+](CC(=O)NC3CC3)C2)C1 ZINC001033420473 666710834 /nfs/dbraw/zinc/71/08/34/666710834.db2.gz PNGKPYLZGCISJP-ZFWWWQNUSA-N 0 2 322.453 0.140 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N(C)[C@H]2CC[NH+](CC(=O)NC3CC3)C2)C1 ZINC001033420471 666710962 /nfs/dbraw/zinc/71/09/62/666710962.db2.gz PNGKPYLZGCISJP-HIFRSBDPSA-N 0 2 322.453 0.140 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033442653 666716137 /nfs/dbraw/zinc/71/61/37/666716137.db2.gz PWRQGAPZPBJRGQ-QCNOEVLYSA-N 0 2 321.381 0.111 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033442653 666716139 /nfs/dbraw/zinc/71/61/39/666716139.db2.gz PWRQGAPZPBJRGQ-QCNOEVLYSA-N 0 2 321.381 0.111 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)[C@@H]1CC[N@@H+]([C@@H](C)C(=O)N(C)C)C1 ZINC001033668109 666787670 /nfs/dbraw/zinc/78/76/70/666787670.db2.gz LAYIJPDNTWVEFQ-GXTWGEPZSA-N 0 2 321.425 0.201 20 0 DCADLN CCn1cnc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000996492155 666800497 /nfs/dbraw/zinc/80/04/97/666800497.db2.gz MUUAGVGMVWLBEK-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1cnc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC000996492155 666800501 /nfs/dbraw/zinc/80/05/01/666800501.db2.gz MUUAGVGMVWLBEK-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCN(C(=O)c1cc(C)on1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033745777 666814939 /nfs/dbraw/zinc/81/49/39/666814939.db2.gz VAQYZSYVQLJYSS-JTQLQIEISA-N 0 2 320.353 0.543 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1csnn1 ZINC000996596069 666827579 /nfs/dbraw/zinc/82/75/79/666827579.db2.gz FXFKACKLZMZXGO-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1csnn1 ZINC000996596069 666827581 /nfs/dbraw/zinc/82/75/81/666827581.db2.gz FXFKACKLZMZXGO-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN CCN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)[C@H]1CC[N@H+](CCCO)C1 ZINC001033876126 666849996 /nfs/dbraw/zinc/84/99/96/666849996.db2.gz OIHDUSUQVDCJPC-CABCVRRESA-N 0 2 320.437 0.751 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCOC1 ZINC001034126091 666922285 /nfs/dbraw/zinc/92/22/85/666922285.db2.gz SVTGSWHODYYQQB-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCOC1 ZINC001034126091 666922287 /nfs/dbraw/zinc/92/22/87/666922287.db2.gz SVTGSWHODYYQQB-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1ccnc(CN2CCCC[C@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001034285003 666973973 /nfs/dbraw/zinc/97/39/73/666973973.db2.gz JRIPLUIBFAXEAC-LBPRGKRZSA-N 0 2 315.381 0.688 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2ncccn2)C1)c1cnn[n-]1 ZINC001034286736 666977870 /nfs/dbraw/zinc/97/78/70/666977870.db2.gz VSAJDNSTYLPUSO-NSHDSACASA-N 0 2 301.354 0.379 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2ncccn2)C1)c1cnn[n-]1 ZINC001034286736 666977873 /nfs/dbraw/zinc/97/78/73/666977873.db2.gz VSAJDNSTYLPUSO-NSHDSACASA-N 0 2 301.354 0.379 20 0 DCADLN O=C(N[C@H]1CCNC1=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000862153741 667023283 /nfs/dbraw/zinc/02/32/83/667023283.db2.gz UQGNNMIHTDDEDA-ZETCQYMHSA-N 0 2 319.239 0.076 20 0 DCADLN CCc1occc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032382826 667138341 /nfs/dbraw/zinc/13/83/41/667138341.db2.gz MMEOQKQFWNSLAD-UWVGGRQHSA-N 0 2 317.349 0.765 20 0 DCADLN COCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001032405510 667179761 /nfs/dbraw/zinc/17/97/61/667179761.db2.gz GVWQZGFHLDMEOH-IHRRRGAJSA-N 0 2 304.394 0.761 20 0 DCADLN O=C(CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F)N1CCC1 ZINC001032416887 667216945 /nfs/dbraw/zinc/21/69/45/667216945.db2.gz OQWARXZZDVTVBJ-ATZCPNFKSA-N 0 2 323.290 0.404 20 0 DCADLN O=C(CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)C(F)(F)F)N1CCC1 ZINC001032416887 667216948 /nfs/dbraw/zinc/21/69/48/667216948.db2.gz OQWARXZZDVTVBJ-ATZCPNFKSA-N 0 2 323.290 0.404 20 0 DCADLN O=C(NC[C@@H]1C[N@H+](Cc2ccsc2)CCO1)c1cnn[n-]1 ZINC001035431146 667341811 /nfs/dbraw/zinc/34/18/11/667341811.db2.gz RYIGULVRANIJHN-LLVKDONJSA-N 0 2 307.379 0.497 20 0 DCADLN O=C(NC[C@@H]1C[N@@H+](Cc2ccsc2)CCO1)c1cnn[n-]1 ZINC001035431146 667341813 /nfs/dbraw/zinc/34/18/13/667341813.db2.gz RYIGULVRANIJHN-LLVKDONJSA-N 0 2 307.379 0.497 20 0 DCADLN CC(C)N1CC[C@H](NS(=O)(=O)N=S2(=O)CCCC2)C1=O ZINC000866791939 667399081 /nfs/dbraw/zinc/39/90/81/667399081.db2.gz OFUUHXQCVYHPSO-JTQLQIEISA-N 0 2 323.440 0.092 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)[C@H]2C[C@H]21 ZINC000923194299 667473985 /nfs/dbraw/zinc/47/39/85/667473985.db2.gz LEXFBFZEEUCSGO-SEBNEYGDSA-N 0 2 322.405 0.855 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)[C@H]2C[C@H]21 ZINC000923194299 667473987 /nfs/dbraw/zinc/47/39/87/667473987.db2.gz LEXFBFZEEUCSGO-SEBNEYGDSA-N 0 2 322.405 0.855 20 0 DCADLN C[C@H](NC(=O)c1ncc[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006051950 667510944 /nfs/dbraw/zinc/51/09/44/667510944.db2.gz ZKAWQARTJPUUDM-XPUUQOCRSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)c1ncc[nH]1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001006051950 667510946 /nfs/dbraw/zinc/51/09/46/667510946.db2.gz ZKAWQARTJPUUDM-XPUUQOCRSA-N 0 2 322.262 0.887 20 0 DCADLN O=C(/C=C\CN1CCOCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000868093286 667533635 /nfs/dbraw/zinc/53/36/35/667533635.db2.gz DKAUNYRORMNWQX-QQHVMHFCSA-N 0 2 321.381 0.105 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006386798 667684291 /nfs/dbraw/zinc/68/42/91/667684291.db2.gz BPGDOZFHODCJTH-SVGQVSJJSA-N 0 2 323.250 0.282 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCOC[C@H]1CC1CC1 ZINC000886464272 667770440 /nfs/dbraw/zinc/77/04/40/667770440.db2.gz RXRLRZSQXMNZBQ-LLVKDONJSA-N 0 2 317.349 0.099 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2cn3ccccc3n2)S1 ZINC000742504076 667792336 /nfs/dbraw/zinc/79/23/36/667792336.db2.gz FPVLMOFWLPOSEW-SNVBAGLBSA-N 0 2 317.374 0.549 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnn(C[C@H]3CCCO3)c2)S1 ZINC000742553424 667793817 /nfs/dbraw/zinc/79/38/17/667793817.db2.gz GMWRDVBNNOLJIW-NXEZZACHSA-N 0 2 323.378 0.557 20 0 DCADLN COc1cccc(CCNC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000742543933 667793832 /nfs/dbraw/zinc/79/38/32/667793832.db2.gz NVHLMXGJTPSCLV-LLVKDONJSA-N 0 2 307.375 0.910 20 0 DCADLN CN(CCNC(=O)C[C@@H]1SC(=N)NC1=O)CC(F)(F)F ZINC000742666276 667796279 /nfs/dbraw/zinc/79/62/79/667796279.db2.gz VUUTYEUAJPTDMD-LURJTMIESA-N 0 2 312.317 0.153 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(c3cn[nH]c3)CC2)S1 ZINC000742690742 667797332 /nfs/dbraw/zinc/79/73/32/667797332.db2.gz RLGDLUHNSYKKQU-SNVBAGLBSA-N 0 2 307.379 0.672 20 0 DCADLN CCSCC[C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000742959620 667801304 /nfs/dbraw/zinc/80/13/04/667801304.db2.gz SGFZMDDFBUNCSS-SECBINFHSA-N 0 2 309.395 0.719 20 0 DCADLN CNC(=O)NC[C@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC000871219012 667801406 /nfs/dbraw/zinc/80/14/06/667801406.db2.gz ILTLVVKWZBBNQW-BQBZGAKWSA-N 0 2 301.240 0.043 20 0 DCADLN CNC(=O)NC[C@H]1COCCN1C(=O)[C@H](F)C(F)(F)F ZINC000871219012 667801408 /nfs/dbraw/zinc/80/14/08/667801408.db2.gz ILTLVVKWZBBNQW-BQBZGAKWSA-N 0 2 301.240 0.043 20 0 DCADLN Cn1cnc2cc(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)ccc21 ZINC000743780179 667816534 /nfs/dbraw/zinc/81/65/34/667816534.db2.gz HOYFQICYWPKEGC-UHFFFAOYSA-N 0 2 323.316 0.597 20 0 DCADLN CO[C@]1(C)C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000744719751 667831621 /nfs/dbraw/zinc/83/16/21/667831621.db2.gz IFYZWRMUBJTEKS-MEBBXXQBSA-N 0 2 319.365 0.391 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@]3(CCCOC3)C2)S1 ZINC000746874266 667888514 /nfs/dbraw/zinc/88/85/14/667888514.db2.gz GSZQRGVJEBJSPT-HZMBPMFUSA-N 0 2 311.407 0.962 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)Nc1cccn(C)c1=O ZINC000872352825 667891828 /nfs/dbraw/zinc/89/18/28/667891828.db2.gz IJEDOMYWQMMLEC-UHFFFAOYSA-N 0 2 307.397 0.550 20 0 DCADLN O=C(NCc1cn[nH]n1)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000748006652 667916459 /nfs/dbraw/zinc/91/64/59/667916459.db2.gz JJGSYAHUESQNNW-UHFFFAOYSA-N 0 2 302.319 0.672 20 0 DCADLN C[C@@]1(C(=O)NCc2n[nH]c(=O)[nH]2)Cc2ccccc2C(=O)O1 ZINC000748057095 667917584 /nfs/dbraw/zinc/91/75/84/667917584.db2.gz JUDXRCKKCWYCKP-AWEZNQCLSA-N 0 2 302.290 0.298 20 0 DCADLN COC(=O)[C@H]1CCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000888059319 667943603 /nfs/dbraw/zinc/94/36/03/667943603.db2.gz BEDZTZGMBPNMGU-LLVKDONJSA-N 0 2 301.302 0.965 20 0 DCADLN O=C([O-])C1CC(Nc2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000873074158 667969689 /nfs/dbraw/zinc/96/96/89/667969689.db2.gz JPFHVKYEFWFCLR-UNXYVOJBSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])C1CC(Nc2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000873074158 667969690 /nfs/dbraw/zinc/96/96/90/667969690.db2.gz JPFHVKYEFWFCLR-UNXYVOJBSA-N 0 2 306.366 0.960 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N[C@H]3C[C@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873076382 667969818 /nfs/dbraw/zinc/96/98/18/667969818.db2.gz JHOIWAISEGTCHS-WRWGMCAJSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N[C@H]3C[C@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873076382 667969822 /nfs/dbraw/zinc/96/98/22/667969822.db2.gz JHOIWAISEGTCHS-WRWGMCAJSA-N 0 2 306.366 0.959 20 0 DCADLN Cc1cnc([C@H](C)NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000750086167 667971281 /nfs/dbraw/zinc/97/12/81/667971281.db2.gz FVJUIECERGXLRP-QMMMGPOBSA-N 0 2 318.362 0.714 20 0 DCADLN CCOC(=O)[C@H](CC1CC1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000752724353 668021136 /nfs/dbraw/zinc/02/11/36/668021136.db2.gz KMCKIYZSXGSARP-DTWKUNHWSA-N 0 2 313.379 0.391 20 0 DCADLN CSc1nsc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000753331490 668028709 /nfs/dbraw/zinc/02/87/09/668028709.db2.gz USDGOIYHDKGTSE-UHFFFAOYSA-N 0 2 323.363 0.283 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@@H](C3CCOCC3)C2)S1 ZINC000755217232 668057939 /nfs/dbraw/zinc/05/79/39/668057939.db2.gz YEMLPTCQDNCEKA-GHMZBOCLSA-N 0 2 311.407 0.818 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCc3cc[nH]c(=O)c3C2)S1 ZINC000757647748 668144319 /nfs/dbraw/zinc/14/43/19/668144319.db2.gz PCVLKNBUFXBOCW-SECBINFHSA-N 0 2 306.347 0.228 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000760917922 668294201 /nfs/dbraw/zinc/29/42/01/668294201.db2.gz BVIVVHOFBCFPJA-YUSALJHKSA-N 0 2 317.349 0.145 20 0 DCADLN C[C@H](C[C@H](O)c1ccco1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000761875910 668339201 /nfs/dbraw/zinc/33/92/01/668339201.db2.gz WDCIEQHAPCUCBH-KHQFGBGNSA-N 0 2 311.363 0.764 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](CCO)c2ccccc2)S1 ZINC000761895416 668340062 /nfs/dbraw/zinc/34/00/62/668340062.db2.gz KVVRFMOFFPNMFW-MNOVXSKESA-N 0 2 307.375 0.783 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](O)COc2ccccc2)S1 ZINC000762357566 668358331 /nfs/dbraw/zinc/35/83/31/668358331.db2.gz ZFDBENIXLIVZTM-ONGXEEELSA-N 0 2 323.374 0.099 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC(F)(F)Br)S1 ZINC000805246711 668358866 /nfs/dbraw/zinc/35/88/66/668358866.db2.gz KTPUPONNVDXLTE-VKHMYHEASA-N 0 2 316.127 0.647 20 0 DCADLN Cc1ccccc1[C@H](O)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000762443798 668360930 /nfs/dbraw/zinc/36/09/30/668360930.db2.gz NZUVEBBFZHQHJI-GHMZBOCLSA-N 0 2 307.375 0.701 20 0 DCADLN COC(=O)CN(C(=O)C[C@H]1SC(=N)NC1=O)C1CCCC1 ZINC000762544475 668366442 /nfs/dbraw/zinc/36/64/42/668366442.db2.gz CURJNIMFAHOURB-SECBINFHSA-N 0 2 313.379 0.487 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2c3ccccc3C[C@H]2O)S1 ZINC000763086040 668397209 /nfs/dbraw/zinc/39/72/09/668397209.db2.gz UPCWMGLUXDNOFT-FOGDFJRCSA-N 0 2 305.359 0.317 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CN(C(=O)OC2CCOCC2)C[C@H]1C(=O)[O-] ZINC000926487285 668409281 /nfs/dbraw/zinc/40/92/81/668409281.db2.gz VSUXXAVAVWJXMG-VXGBXAGGSA-N 0 2 323.349 0.836 20 0 DCADLN CCC1(CO)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000765360153 668485565 /nfs/dbraw/zinc/48/55/65/668485565.db2.gz DSUKHZKQVJOHBY-UHFFFAOYSA-N 0 2 319.365 0.082 20 0 DCADLN COCc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1 ZINC000767185213 668562165 /nfs/dbraw/zinc/56/21/65/668562165.db2.gz YEFSHCUQPBUOLU-UHFFFAOYSA-N 0 2 313.317 0.856 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](CO)Cc2ccco2)S1 ZINC000769111122 668635208 /nfs/dbraw/zinc/63/52/08/668635208.db2.gz NLHNOTTUIUETNF-WCBMZHEXSA-N 0 2 311.363 0.103 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCS[C@@H]3COCC[C@@H]32)S1 ZINC000769343663 668644460 /nfs/dbraw/zinc/64/44/60/668644460.db2.gz KIRBZMVIPUPRNR-XHNCKOQMSA-N 0 2 315.420 0.276 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC2(CCO)CCCCC2)S1 ZINC000771580330 668743257 /nfs/dbraw/zinc/74/32/57/668743257.db2.gz WVJSWLZGJBEGHU-JTQLQIEISA-N 0 2 313.423 0.992 20 0 DCADLN CC(C)[C@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000772491274 668774592 /nfs/dbraw/zinc/77/45/92/668774592.db2.gz SOBOUHNDDDCJNB-CMPLNLGQSA-N 0 2 319.365 0.391 20 0 DCADLN C[C@@H]1CN(c2ccnc(C(=O)NN3CCCC3=O)c2)C[C@H](C)O1 ZINC000772539280 668777517 /nfs/dbraw/zinc/77/75/17/668777517.db2.gz YKDKGVXSDWZYGJ-TXEJJXNPSA-N 0 2 318.377 0.962 20 0 DCADLN C[C@@H]1CN(c2cc[nH+]c(C(=O)[N-]N3CCCC3=O)c2)C[C@H](C)O1 ZINC000772539280 668777518 /nfs/dbraw/zinc/77/75/18/668777518.db2.gz YKDKGVXSDWZYGJ-TXEJJXNPSA-N 0 2 318.377 0.962 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc(-n2cnnc2)cc1 ZINC000773106131 668793093 /nfs/dbraw/zinc/79/30/93/668793093.db2.gz KRZQJNWXXIDRRI-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN Cc1cccc([C@H](CC(=O)OCc2n[nH]c(=O)[nH]2)NC(N)=O)c1 ZINC000774913038 668850740 /nfs/dbraw/zinc/85/07/40/668850740.db2.gz JJBITIAGPXSDQP-JTQLQIEISA-N 0 2 319.321 0.662 20 0 DCADLN O=C(CCNC(=O)c1ccc(F)cc1)OCc1n[nH]c(=O)[nH]1 ZINC000774933455 668851997 /nfs/dbraw/zinc/85/19/97/668851997.db2.gz OVFUBOUEMMHOKP-UHFFFAOYSA-N 0 2 308.269 0.513 20 0 DCADLN Cc1nc(C(=O)OCc2n[nH]c(=O)[nH]2)nn1-c1ccccc1 ZINC000774950596 668852504 /nfs/dbraw/zinc/85/25/04/668852504.db2.gz VEHVBZDYMUUMMB-UHFFFAOYSA-N 0 2 300.278 0.756 20 0 DCADLN COC(=O)CN(CC(=O)OC)C(=O)c1cccc(Cl)c1O ZINC000775699693 668866930 /nfs/dbraw/zinc/86/69/30/668866930.db2.gz BNFOEFBVQCQMSM-UHFFFAOYSA-N 0 2 315.709 0.834 20 0 DCADLN COCCN1CCN(C(=O)c2cccc(Cl)c2O)CC1=O ZINC000775812322 668868362 /nfs/dbraw/zinc/86/83/62/668868362.db2.gz YJTUKHZKSCIDMQ-UHFFFAOYSA-N 0 2 312.753 0.976 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2ccc(CO)cc2)S1 ZINC000775880887 668868889 /nfs/dbraw/zinc/86/88/89/668868889.db2.gz MHNYTGHHRHUKBF-LLVKDONJSA-N 0 2 307.375 0.394 20 0 DCADLN CC(C)(CNC(=O)N1CCCC[C@@H]1C(=O)[O-])[NH+]1CCOCC1 ZINC000780340762 668979250 /nfs/dbraw/zinc/97/92/50/668979250.db2.gz AIFIVRPHHCZTOB-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CC(C)[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000780340827 668979475 /nfs/dbraw/zinc/97/94/75/668979475.db2.gz BTLHLJICGKNEOV-NSHDSACASA-N 0 2 301.387 0.506 20 0 DCADLN O=C(Nn1ccccc1=O)c1ccc(CN2CCOCC2)o1 ZINC000879396700 669029267 /nfs/dbraw/zinc/02/92/67/669029267.db2.gz DMOYWOFSPIZPDL-UHFFFAOYSA-N 0 2 303.318 0.657 20 0 DCADLN O=C(Nc1nc(SCCO)n[nH]1)c1cc2n[nH]nc2cc1F ZINC000781948162 669070527 /nfs/dbraw/zinc/07/05/27/669070527.db2.gz ACAWTUFKGRNNTF-UHFFFAOYSA-N 0 2 323.313 0.552 20 0 DCADLN CCc1csc(-n2cc(C(=O)NN3CN=NC3=O)cn2)n1 ZINC000782127752 669081356 /nfs/dbraw/zinc/08/13/56/669081356.db2.gz RFPJCGLOMNTDHH-UHFFFAOYSA-N 0 2 305.323 0.572 20 0 DCADLN COc1cnccc1[C@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000783868686 669179411 /nfs/dbraw/zinc/17/94/11/669179411.db2.gz XWONKGFHAKYSAH-XVKPBYJWSA-N 0 2 308.363 0.824 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(c2c(F)cccc2F)=NO1 ZINC000786275235 669302774 /nfs/dbraw/zinc/30/27/74/669302774.db2.gz ZRWJLUXPFRQUBR-SECBINFHSA-N 0 2 323.259 0.598 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1(CC2CC2)CC1 ZINC000881517512 669351226 /nfs/dbraw/zinc/35/12/26/669351226.db2.gz DANUOVPMPGEIDZ-UHFFFAOYSA-N 0 2 301.350 0.768 20 0 DCADLN CO[C@H](CCNC(=O)C[C@H]1SC(=N)NC1=O)C(F)(F)F ZINC000787460257 669374890 /nfs/dbraw/zinc/37/48/90/669374890.db2.gz APKSKBYPHUDLSY-PHDIDXHHSA-N 0 2 313.301 0.626 20 0 DCADLN Cc1ccc(CN(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc1 ZINC000789868497 669515361 /nfs/dbraw/zinc/51/53/61/669515361.db2.gz XZSZWFSTGRNYDP-UHFFFAOYSA-N 0 2 312.333 0.433 20 0 DCADLN CCn1cccc(CNC(=O)C[C@H]2SC(=N)NC2=O)c1=O ZINC000790083504 669537397 /nfs/dbraw/zinc/53/73/97/669537397.db2.gz NMYPWFSXAGRLMN-SECBINFHSA-N 0 2 308.363 0.041 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2C[C@H](O)Cc3ccccc32)S1 ZINC000790158030 669541293 /nfs/dbraw/zinc/54/12/93/669541293.db2.gz UEVBXALZIQHZLI-KOLCDFICSA-N 0 2 305.359 0.493 20 0 DCADLN COC(=O)[C@]1(O)CCN(C(=O)c2cc(F)cc(Cl)c2O)C1 ZINC000882850904 669604330 /nfs/dbraw/zinc/60/43/30/669604330.db2.gz WCTGIUYLDIDDNQ-ZDUSSCGKSA-N 0 2 317.700 0.935 20 0 DCADLN O=C(CCOCC(F)(F)C(F)F)OCc1n[nH]c(=O)[nH]1 ZINC000791686057 669622562 /nfs/dbraw/zinc/62/25/62/669622562.db2.gz SZYVHQNHSUEMRY-UHFFFAOYSA-N 0 2 301.196 0.861 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CCS(=O)(=O)c2ccccc21 ZINC000792818749 669688138 /nfs/dbraw/zinc/68/81/38/669688138.db2.gz SEFIZCOQLFCEAS-SECBINFHSA-N 0 2 323.330 0.515 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H](O)c1cccc(C(F)(F)F)c1 ZINC000796902672 669947399 /nfs/dbraw/zinc/94/73/99/669947399.db2.gz FROWRUDQHVJSCE-VIFPVBQESA-N 0 2 316.239 0.879 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000798080930 669985447 /nfs/dbraw/zinc/98/54/47/669985447.db2.gz QYWMGCMSZPABFT-VGMNWLOBSA-N 0 2 313.379 0.391 20 0 DCADLN O=C(c1cc(F)ccc1O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000798148598 669988521 /nfs/dbraw/zinc/98/85/21/669988521.db2.gz JTVBUEMCZCILME-UHFFFAOYSA-N 0 2 307.285 0.168 20 0 DCADLN CCN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ncccc1C ZINC000798549354 670008467 /nfs/dbraw/zinc/00/84/67/670008467.db2.gz DQAIISDNTHSGPG-UHFFFAOYSA-N 0 2 312.333 0.828 20 0 DCADLN Cc1ccoc1CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950392012 670014800 /nfs/dbraw/zinc/01/48/00/670014800.db2.gz WJJHSQZDNYOGPX-UHFFFAOYSA-N 0 2 305.338 0.299 20 0 DCADLN CC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCO1 ZINC000798743915 670021456 /nfs/dbraw/zinc/02/14/56/670021456.db2.gz MZMWFLVEEYGPTJ-SNVBAGLBSA-N 0 2 305.338 0.099 20 0 DCADLN O=C(c1[nH]ccc1C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948693521 670159364 /nfs/dbraw/zinc/15/93/64/670159364.db2.gz JLAVLWHJZAIQKW-UHFFFAOYSA-N 0 2 316.365 0.674 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N(CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950953907 670160732 /nfs/dbraw/zinc/16/07/32/670160732.db2.gz CNFCFNHHIBHQQO-NEPJUHHUSA-N 0 2 323.397 0.358 20 0 DCADLN Cn1ncc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000951097354 670195148 /nfs/dbraw/zinc/19/51/48/670195148.db2.gz KDWOJYHUUBPRJF-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)NC[C@@H]2CCN2C(=O)[C@H](F)C(F)(F)F)n1 ZINC000951097354 670195150 /nfs/dbraw/zinc/19/51/50/670195150.db2.gz KDWOJYHUUBPRJF-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccn[nH]1 ZINC000948901259 670210803 /nfs/dbraw/zinc/21/08/03/670210803.db2.gz RSDXKDZCQJNDFO-SVRRBLITSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ccn[nH]1 ZINC000948901259 670210804 /nfs/dbraw/zinc/21/08/04/670210804.db2.gz RSDXKDZCQJNDFO-SVRRBLITSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccon1 ZINC000948970941 670228939 /nfs/dbraw/zinc/22/89/39/670228939.db2.gz HDGRZXXXWXRZKX-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ccon1 ZINC000948970941 670228941 /nfs/dbraw/zinc/22/89/41/670228941.db2.gz HDGRZXXXWXRZKX-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N1CCOC2(CC[NH+](CCF)CC2)C1 ZINC000949141847 670271880 /nfs/dbraw/zinc/27/18/80/670271880.db2.gz NEJWGCSSOLHIBP-UHFFFAOYSA-N 0 2 324.400 0.854 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC2(CCC2)CC1 ZINC000804624356 670302362 /nfs/dbraw/zinc/30/23/62/670302362.db2.gz QFFLVWCIYITCNP-UHFFFAOYSA-N 0 2 301.350 0.864 20 0 DCADLN CCc1nocc1C(=O)N(CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951697502 670342375 /nfs/dbraw/zinc/34/23/75/670342375.db2.gz VXOVGJNJQUMYCD-UHFFFAOYSA-N 0 2 320.353 0.407 20 0 DCADLN CCN(C(=O)c1snnc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949654317 670396073 /nfs/dbraw/zinc/39/60/73/670396073.db2.gz WYTDHXOAWXUVGL-UHFFFAOYSA-N 0 2 323.382 0.017 20 0 DCADLN CN(C)S(=O)(=O)NCCc1[nH]c2ccc(C(=O)[O-])cc2[nH+]1 ZINC000809552602 670454529 /nfs/dbraw/zinc/45/45/29/670454529.db2.gz KKLVHWYGUWQJGR-UHFFFAOYSA-N 0 2 312.351 0.200 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(CCn2cncn2)CC1 ZINC000810429712 670485590 /nfs/dbraw/zinc/48/55/90/670485590.db2.gz PTZVCRMKUMQIKK-SECBINFHSA-N 0 2 309.267 0.323 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(F)C(F)(F)F ZINC000811497530 670532090 /nfs/dbraw/zinc/53/20/90/670532090.db2.gz AHPFWDBVFFOUJL-FXQIFTODSA-N 0 2 320.308 0.721 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)[C@H](F)C(F)(F)F ZINC000811497530 670532094 /nfs/dbraw/zinc/53/20/94/670532094.db2.gz AHPFWDBVFFOUJL-FXQIFTODSA-N 0 2 320.308 0.721 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cccc3oc(=O)[nH]c32)C1=O ZINC000848009137 670621208 /nfs/dbraw/zinc/62/12/08/670621208.db2.gz YGCZFUQVPKSCBW-AWEZNQCLSA-N 0 2 318.289 0.899 20 0 DCADLN CC[C@@H](COCC1CC1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855282079 670695792 /nfs/dbraw/zinc/69/57/92/670695792.db2.gz UMXRCGOCEXWFEB-NSHDSACASA-N 0 2 319.365 0.393 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2C[C@@H](O)c3ccccc32)S1 ZINC000855718927 670751556 /nfs/dbraw/zinc/75/15/56/670751556.db2.gz JJYJGEXBPJCQJD-MXWKQRLJSA-N 0 2 305.359 0.837 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2COc3cc(F)ccc3C2)S1 ZINC000855808953 670756923 /nfs/dbraw/zinc/75/69/23/670756923.db2.gz RWYWGCZCKDOREF-GXSJLCMTSA-N 0 2 323.349 0.802 20 0 DCADLN CCNC(=O)COC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000816105830 670787128 /nfs/dbraw/zinc/78/71/28/670787128.db2.gz UJKMMQMDZPQORJ-UHFFFAOYSA-N 0 2 321.358 0.515 20 0 DCADLN C[C@H](O)[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1ccccc1 ZINC000856432811 670819017 /nfs/dbraw/zinc/81/90/17/670819017.db2.gz IBBZJCHRQSTMTG-XRNSZHNASA-N 0 2 307.375 0.781 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)Nc1nnc2[nH]cnn12 ZINC000816655514 670832126 /nfs/dbraw/zinc/83/21/26/670832126.db2.gz HQEIYXODCJHGFK-YFKPBYRVSA-N 0 2 319.247 0.094 20 0 DCADLN CCn1ncn(NC(=O)c2csc(-c3ccccn3)n2)c1=O ZINC000816737291 670854184 /nfs/dbraw/zinc/85/41/84/670854184.db2.gz HKNVKFRTWXARPB-UHFFFAOYSA-N 0 2 316.346 0.967 20 0 DCADLN CCn1ncn(NC(=O)c2nc(-c3cnn(C)c3)oc2C)c1=O ZINC000816736706 670854762 /nfs/dbraw/zinc/85/47/62/670854762.db2.gz IXNALKIVPCNLTR-UHFFFAOYSA-N 0 2 317.309 0.146 20 0 DCADLN O=C(C[C@H](n1cccn1)C(F)(F)F)OCc1n[nH]c(=O)[nH]1 ZINC000849906713 670865001 /nfs/dbraw/zinc/86/50/01/670865001.db2.gz WHWRHYDKVRZRDR-LURJTMIESA-N 0 2 305.216 0.944 20 0 DCADLN CNC(=O)Oc1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000817022795 670890867 /nfs/dbraw/zinc/89/08/67/670890867.db2.gz KGEAYQPAFKYSPB-SECBINFHSA-N 0 2 322.346 0.900 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2C[C@H](CO)c3ccccc32)S1 ZINC000817791174 670937334 /nfs/dbraw/zinc/93/73/34/670937334.db2.gz VGJRETTZXDIXER-LDYMZIIASA-N 0 2 305.359 0.666 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cccc3c2OCC3)S1 ZINC000857810847 670952300 /nfs/dbraw/zinc/95/23/00/670952300.db2.gz BTUSRFPTRXPEEZ-JTQLQIEISA-N 0 2 305.359 0.794 20 0 DCADLN Cn1cc(CCOC(=O)C(C)(C)NC(=O)C(F)(F)F)nn1 ZINC000851374246 670983553 /nfs/dbraw/zinc/98/35/53/670983553.db2.gz VZMUMTUWNQKEAT-UHFFFAOYSA-N 0 2 308.260 0.358 20 0 DCADLN CN(C[C@](C)(O)c1ccccc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000819706828 671134249 /nfs/dbraw/zinc/13/42/49/671134249.db2.gz LDHWWHDMXVTFLX-ABAIWWIYSA-N 0 2 321.402 0.909 20 0 DCADLN CCN(C(=O)OC(C)(C)C)[C@H](C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000859831778 671148817 /nfs/dbraw/zinc/14/88/17/671148817.db2.gz CINCAQRJTMXWSR-MRVPVSSYSA-N 0 2 313.358 0.772 20 0 DCADLN O=C(NCc1ccc(C(=O)O[C@H]2CCNC2=O)o1)C(F)(F)F ZINC000860308818 671197969 /nfs/dbraw/zinc/19/79/69/671197969.db2.gz BZAHSAZSECGJDG-ZETCQYMHSA-N 0 2 320.223 0.503 20 0 DCADLN COCCn1ccc(NS(=O)(=O)N=S2(=O)CCCC2)n1 ZINC000821168530 671258229 /nfs/dbraw/zinc/25/82/29/671258229.db2.gz GRFSGDUQOWGMSM-UHFFFAOYSA-N 0 2 322.412 0.448 20 0 DCADLN O=C(c1cc(F)cc(F)c1O)N1CCN(Cc2nc[nH]n2)CC1 ZINC000824024144 671416723 /nfs/dbraw/zinc/41/67/23/671416723.db2.gz SPMLXEFMPDGHCM-UHFFFAOYSA-N 0 2 323.303 0.747 20 0 DCADLN O=C(c1cc(F)cc(F)c1O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000824024144 671416725 /nfs/dbraw/zinc/41/67/25/671416725.db2.gz SPMLXEFMPDGHCM-UHFFFAOYSA-N 0 2 323.303 0.747 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@H+](CN2C(=O)N[C@](C)(C3CC3)C2=O)C1 ZINC000827889438 671539077 /nfs/dbraw/zinc/53/90/77/671539077.db2.gz HKLOBTXKULYXKQ-IINYFYTJSA-N 0 2 310.354 0.349 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@@H+](CN2C(=O)N[C@](C)(C3CC3)C2=O)C1 ZINC000827889438 671539078 /nfs/dbraw/zinc/53/90/78/671539078.db2.gz HKLOBTXKULYXKQ-IINYFYTJSA-N 0 2 310.354 0.349 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000831271197 671662492 /nfs/dbraw/zinc/66/24/92/671662492.db2.gz AWLGDZMHPCQBRO-SRVKXCTJSA-N 0 2 308.338 0.160 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000832049982 671698407 /nfs/dbraw/zinc/69/84/07/671698407.db2.gz LLIKSHCUOKPQDL-LBPRGKRZSA-N 0 2 320.349 0.109 20 0 DCADLN CC(=O)N[C@H](CCC(C)C)C(=O)NCCc1n[nH]c(=S)o1 ZINC000832362607 671716165 /nfs/dbraw/zinc/71/61/65/671716165.db2.gz CPKJDXOFCINADF-SNVBAGLBSA-N 0 2 314.411 0.958 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ncc(Cl)s2)S1 ZINC000834562048 671771063 /nfs/dbraw/zinc/77/10/63/671771063.db2.gz ZXVAHEFHQODGFK-BYPYZUCNSA-N 0 2 304.784 0.969 20 0 DCADLN O=C(OCCOCCNC(=O)C(F)(F)F)c1cc[n+]([O-])cc1 ZINC000842751541 672033643 /nfs/dbraw/zinc/03/36/43/672033643.db2.gz FKAZAXYGNKMKNL-UHFFFAOYSA-N 0 2 322.239 0.172 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@]1(O)CCC[C@@H]1C ZINC000867312577 672048074 /nfs/dbraw/zinc/04/80/74/672048074.db2.gz GRLRZWHZPGXTHQ-WDEREUQCSA-N 0 2 312.457 0.880 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NC1(CF)CCOCC1 ZINC000867332890 672119089 /nfs/dbraw/zinc/11/90/89/672119089.db2.gz FLRTWUYGXQGREZ-UHFFFAOYSA-N 0 2 314.404 0.601 20 0 DCADLN Cn1cc(C=CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000843878072 672126751 /nfs/dbraw/zinc/12/67/51/672126751.db2.gz KVAHFMBNWWXIMH-ZWNMCFTASA-N 0 2 302.338 0.663 20 0 DCADLN C[C@H]1CC2(CN1C(=O)C[C@@H]1SC(=N)NC1=O)CCOCC2 ZINC000844093947 672147435 /nfs/dbraw/zinc/14/74/35/672147435.db2.gz LUBSMOFLTKBNLP-UWVGGRQHSA-N 0 2 311.407 0.960 20 0 DCADLN CC[C@@H]1CO[C@@H](CC)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000844786813 672202895 /nfs/dbraw/zinc/20/28/95/672202895.db2.gz DYAMXICCXVIAJW-MNOVXSKESA-N 0 2 319.365 0.487 20 0 DCADLN CO[C@@H]1CCCC[C@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000844864741 672206755 /nfs/dbraw/zinc/20/67/55/672206755.db2.gz PNSZEBFQFCKXOE-GHMZBOCLSA-N 0 2 305.338 0.145 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@@H]1C[C@@H]2CCCCN2C1=O ZINC000867377764 672214786 /nfs/dbraw/zinc/21/47/86/672214786.db2.gz MMTRZKLMKOMDET-DQPNGWRMSA-N 0 2 323.440 0.092 20 0 DCADLN CCO[N-]C(=O)CNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000845550166 672260406 /nfs/dbraw/zinc/26/04/06/672260406.db2.gz DJYAHESOCRCNOL-UHFFFAOYSA-N 0 2 321.381 0.417 20 0 DCADLN CCO[N-]C(=O)CNC(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000846497157 672319318 /nfs/dbraw/zinc/31/93/18/672319318.db2.gz LRLNCXYALSZDDF-UHFFFAOYSA-N 0 2 302.334 0.729 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(F)c(C(=O)[O-])c1 ZINC000388564470 685255246 /nfs/dbraw/zinc/25/52/46/685255246.db2.gz RIBHGXKYBBOMRO-UHFFFAOYSA-N 0 2 313.310 0.736 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954944053 686191273 /nfs/dbraw/zinc/19/12/73/686191273.db2.gz UINXISLREQLYMQ-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954944053 686191278 /nfs/dbraw/zinc/19/12/78/686191278.db2.gz UINXISLREQLYMQ-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN CCc1cc(C(=O)N2CC[C@@](C)(NC(=O)c3cnn[nH]3)C2)[nH]n1 ZINC000957184277 686988830 /nfs/dbraw/zinc/98/88/30/686988830.db2.gz QWSHKPOYBDFOOV-CQSZACIVSA-N 0 2 317.353 0.125 20 0 DCADLN C[C@@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@@H]1CCCO1 ZINC000957463164 687065592 /nfs/dbraw/zinc/06/55/92/687065592.db2.gz YCHHUOUTNMQGNQ-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CC(C)(C)[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038503967 694101048 /nfs/dbraw/zinc/10/10/48/694101048.db2.gz QXHAKVSZQJSNIB-HBNTYKKESA-N 0 2 307.398 0.883 20 0 DCADLN Cc1cccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1 ZINC000958154242 687388951 /nfs/dbraw/zinc/38/89/51/687388951.db2.gz WKMUVBIXAGDRKH-IMRBUKKESA-N 0 2 313.361 0.679 20 0 DCADLN Cc1cccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1 ZINC000958154242 687388957 /nfs/dbraw/zinc/38/89/57/687388957.db2.gz WKMUVBIXAGDRKH-IMRBUKKESA-N 0 2 313.361 0.679 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccc(Cl)o1 ZINC000958241563 687471079 /nfs/dbraw/zinc/47/10/79/687471079.db2.gz UKSHCFBLQSBKGL-IIDWOEFGSA-N 0 2 323.740 0.617 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccc(Cl)o1 ZINC000958241563 687471083 /nfs/dbraw/zinc/47/10/83/687471083.db2.gz UKSHCFBLQSBKGL-IIDWOEFGSA-N 0 2 323.740 0.617 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccs4)C[C@H]32)c1[O-] ZINC000958585308 687774548 /nfs/dbraw/zinc/77/45/48/687774548.db2.gz ZAOZBSVFXUUYMQ-JZYVYDRUSA-N 0 2 319.390 0.740 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccs4)C[C@H]32)c1[O-] ZINC000958585308 687774552 /nfs/dbraw/zinc/77/45/52/687774552.db2.gz ZAOZBSVFXUUYMQ-JZYVYDRUSA-N 0 2 319.390 0.740 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC(F)CC1 ZINC001038658284 694151579 /nfs/dbraw/zinc/15/15/79/694151579.db2.gz SHYXRXFTEZAOND-VQXHTEKXSA-N 0 2 311.361 0.729 20 0 DCADLN Cc1cnccc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958804733 687932311 /nfs/dbraw/zinc/93/23/11/687932311.db2.gz INQLMORJDHHRLQ-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1cnccc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958804733 687932319 /nfs/dbraw/zinc/93/23/19/687932319.db2.gz INQLMORJDHHRLQ-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1occc1Cl ZINC001038671355 694156466 /nfs/dbraw/zinc/15/64/66/694156466.db2.gz PBSGFWNIADSMQG-SSDOTTSWSA-N 0 2 311.729 0.761 20 0 DCADLN CCc1c(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccn1C ZINC001038699942 694166457 /nfs/dbraw/zinc/16/64/57/694166457.db2.gz PCKSWRBJWUKERS-JTQLQIEISA-N 0 2 318.381 0.416 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038722270 694174616 /nfs/dbraw/zinc/17/46/16/694174616.db2.gz MZLAEMULQJDODM-GRYCIOLGSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)on1 ZINC001038771735 694187262 /nfs/dbraw/zinc/18/72/62/694187262.db2.gz HVQPUDUUPWQOKP-SECBINFHSA-N 0 2 320.353 0.626 20 0 DCADLN COc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038836810 694209787 /nfs/dbraw/zinc/20/97/87/694209787.db2.gz OHNXQTLKMPPEAU-QMMMGPOBSA-N 0 2 307.310 0.116 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)C(C)(F)F ZINC000964619199 689271875 /nfs/dbraw/zinc/27/18/75/689271875.db2.gz RDMBGJPOGYGCCH-YUMQZZPRSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccon1 ZINC000965329846 689414474 /nfs/dbraw/zinc/41/44/74/689414474.db2.gz XYSHBFHQHGRVOU-WPRPVWTQSA-N 0 2 306.326 0.139 20 0 DCADLN CCc1ncc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001039078400 694294816 /nfs/dbraw/zinc/29/48/16/694294816.db2.gz LUHMHDAUYDXPIU-QMMMGPOBSA-N 0 2 322.394 0.534 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000968176981 690191116 /nfs/dbraw/zinc/19/11/16/690191116.db2.gz PIXGSUDFWJXXCF-KCJUWKMLSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@H]2C)n1 ZINC000968399087 690252091 /nfs/dbraw/zinc/25/20/91/690252091.db2.gz AEXKAKKWDXSKPZ-PSASIEDQSA-N 0 2 319.369 0.182 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([NH2+][C@H](C)c3ncccn3)C2)c1[O-] ZINC000968416372 690258241 /nfs/dbraw/zinc/25/82/41/690258241.db2.gz YULXKPCXNLXDEK-GHMZBOCLSA-N 0 2 316.365 0.779 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cn[nH]c1 ZINC000968766705 690387887 /nfs/dbraw/zinc/38/78/87/690387887.db2.gz AVZUQIMJLCAQDB-NSHDSACASA-N 0 2 319.369 0.408 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cn[nH]c1 ZINC000968766705 690387892 /nfs/dbraw/zinc/38/78/92/690387892.db2.gz AVZUQIMJLCAQDB-NSHDSACASA-N 0 2 319.369 0.408 20 0 DCADLN CNC(=O)C[N@H+]1CCCCC[C@H]1CNC(=O)[C@@H]1CCC[NH+]1C ZINC000968781706 690391039 /nfs/dbraw/zinc/39/10/39/690391039.db2.gz PMQOKGSADPLFGO-KBPBESRZSA-N 0 2 310.442 0.187 20 0 DCADLN O=C(N[C@]12CCC[C@@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1ccon1 ZINC000989979366 690531466 /nfs/dbraw/zinc/53/14/66/690531466.db2.gz HGFNFJURTDTQND-HZMBPMFUSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@]12CCC[C@@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1ccon1 ZINC000989979366 690531468 /nfs/dbraw/zinc/53/14/68/690531468.db2.gz HGFNFJURTDTQND-HZMBPMFUSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(C[NH+]1CCCC1)N[C@H]1CCC[N@H+](CCN2CCCC2=O)C1 ZINC001009525712 691055402 /nfs/dbraw/zinc/05/54/02/691055402.db2.gz WJXKLXVCSPYWDQ-HNNXBMFYSA-N 0 2 322.453 0.285 20 0 DCADLN O=C(C[NH+]1CCCC1)N[C@@H]1CCC[N@H+](CCN2CCCC2=O)C1 ZINC001009525714 691055549 /nfs/dbraw/zinc/05/55/49/691055549.db2.gz WJXKLXVCSPYWDQ-OAHLLOKOSA-N 0 2 322.453 0.285 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3ccns3)C2)c1[O-] ZINC001010635819 691332123 /nfs/dbraw/zinc/33/21/23/691332123.db2.gz FOKGJDSOKPDUKW-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3ccns3)C2)c1[O-] ZINC001010635819 691332125 /nfs/dbraw/zinc/33/21/25/691332125.db2.gz FOKGJDSOKPDUKW-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN Cc1nc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)c[nH]1 ZINC001010716306 691359280 /nfs/dbraw/zinc/35/92/80/691359280.db2.gz LKRKRUFAGPINEM-SECBINFHSA-N 0 2 322.262 0.903 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010765138 691374029 /nfs/dbraw/zinc/37/40/29/691374029.db2.gz BRXFDPZZWTXCIW-JTQLQIEISA-N 0 2 304.354 0.162 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)s1 ZINC001014891561 692124193 /nfs/dbraw/zinc/12/41/93/692124193.db2.gz VTCCVHFGUNXKNR-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1occc1Cl ZINC001015662419 692385883 /nfs/dbraw/zinc/38/58/83/692385883.db2.gz LEVUMYFLHAXHME-SSDOTTSWSA-N 0 2 311.729 0.761 20 0 DCADLN O=C(Cc1ccc(F)cc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016152766 692582804 /nfs/dbraw/zinc/58/28/04/692582804.db2.gz SCXWHDIOXHEXRR-GFCCVEGCSA-N 0 2 319.340 0.583 20 0 DCADLN CCc1noc(C)c1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016240326 692611318 /nfs/dbraw/zinc/61/13/18/692611318.db2.gz ZQJIEXCYRALWOC-SECBINFHSA-N 0 2 320.353 0.373 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)s1 ZINC001016390335 692670272 /nfs/dbraw/zinc/67/02/72/692670272.db2.gz XPHNWHQHHUICFW-ZETCQYMHSA-N 0 2 311.342 0.715 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](NC(=O)c3cn[nH]n3)CC[C@@H]2C)n[nH]1 ZINC001018250064 693070042 /nfs/dbraw/zinc/07/00/42/693070042.db2.gz JRMYSRGZEZCBCV-VHSXEESVSA-N 0 2 317.353 0.259 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2CC[C@H]([NH2+]Cc3cncc(F)c3)C2)C1 ZINC001018663460 693186222 /nfs/dbraw/zinc/18/62/22/693186222.db2.gz DDQXJZHZPRIYDU-GJZGRUSLSA-N 0 2 322.384 0.242 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CCN(C(=O)CCc3nc[nH]n3)C2)nn1C ZINC001018686540 693193914 /nfs/dbraw/zinc/19/39/14/693193914.db2.gz IZUGFXXMIKEUCZ-LBPRGKRZSA-N 0 2 317.397 0.170 20 0 DCADLN Cc1nc(C[NH2+][C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)co1 ZINC001018722911 693207204 /nfs/dbraw/zinc/20/72/04/693207204.db2.gz DTTJPTBOBZXTKL-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN CC(C)=CC(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074153976 694828336 /nfs/dbraw/zinc/82/83/36/694828336.db2.gz BBDLJRBXXZHRGH-NEPJUHHUSA-N 0 2 321.381 0.278 20 0 DCADLN O=C(c1ccc[nH]1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075543586 694957897 /nfs/dbraw/zinc/95/78/97/694957897.db2.gz OBSPDJZRKXVBJB-GXSJLCMTSA-N 0 2 302.338 0.185 20 0 DCADLN O=C(c1ccc[nH]1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075543586 694957898 /nfs/dbraw/zinc/95/78/98/694957898.db2.gz OBSPDJZRKXVBJB-GXSJLCMTSA-N 0 2 302.338 0.185 20 0 DCADLN O=C(c1cncs1)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075589692 694961278 /nfs/dbraw/zinc/96/12/78/694961278.db2.gz JDGZCZQDQYVBHA-DTWKUNHWSA-N 0 2 320.378 0.313 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCNC(=O)c1cn[nH]c1 ZINC001075735999 694972155 /nfs/dbraw/zinc/97/21/55/694972155.db2.gz SWDAZXWYVKQPFG-VIFPVBQESA-N 0 2 304.354 0.309 20 0 DCADLN CC1(CC(=O)N2CC[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)CC1 ZINC001076059404 694996481 /nfs/dbraw/zinc/99/64/81/694996481.db2.gz YVSBYAYGLATHNS-WDEREUQCSA-N 0 2 305.382 0.733 20 0 DCADLN CC1(CC(=O)N2CC[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)CC1 ZINC001076059404 694996482 /nfs/dbraw/zinc/99/64/82/694996482.db2.gz YVSBYAYGLATHNS-WDEREUQCSA-N 0 2 305.382 0.733 20 0 DCADLN O=C([C@H]1CC12CCC2)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076299311 695012090 /nfs/dbraw/zinc/01/20/90/695012090.db2.gz USMNQWCXQBQTMD-QJPTWQEYSA-N 0 2 317.393 0.733 20 0 DCADLN O=C([C@H]1CC12CCC2)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076299311 695012091 /nfs/dbraw/zinc/01/20/91/695012091.db2.gz USMNQWCXQBQTMD-QJPTWQEYSA-N 0 2 317.393 0.733 20 0 DCADLN O=C(C=C1CCC1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571685410 1162901505 /nfs/dbraw/zinc/90/15/05/1162901505.db2.gz PSUIAVLZHQARLY-UHFFFAOYSA-N 0 2 303.366 0.869 20 0 DCADLN O=C(C=C1CCC1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571685410 1162901509 /nfs/dbraw/zinc/90/15/09/1162901509.db2.gz PSUIAVLZHQARLY-UHFFFAOYSA-N 0 2 303.366 0.869 20 0 DCADLN CN(CCCNC(=O)c1cn[nH]n1)C(=O)C(F)C(F)(F)F ZINC001687537904 1158885228 /nfs/dbraw/zinc/88/52/28/1158885228.db2.gz DKBNIYUWYQXCSL-SSDOTTSWSA-N 0 2 311.239 0.283 20 0 DCADLN O=C(CCc1nn[nH]n1)N1CCc2[nH]cnc2[C@H]1c1cccnc1 ZINC001299914376 1159153100 /nfs/dbraw/zinc/15/31/00/1159153100.db2.gz RQMHDYTYFRIGLL-OAHLLOKOSA-N 0 2 324.348 0.425 20 0 DCADLN C[C@H](CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001446127347 1159348815 /nfs/dbraw/zinc/34/88/15/1159348815.db2.gz OTSHUGFQAPQVRX-VXGBXAGGSA-N 0 2 318.381 0.654 20 0 DCADLN CN(C(=O)CCc1cccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001446410795 1159406024 /nfs/dbraw/zinc/40/60/24/1159406024.db2.gz FRHIEVMUKQHFDL-UHFFFAOYSA-N 0 2 321.406 0.847 20 0 DCADLN CC(=O)N[C@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)C1CC1 ZINC001447241621 1159603753 /nfs/dbraw/zinc/60/37/53/1159603753.db2.gz GEKWOVUYIBHIOF-CYBMUJFWSA-N 0 2 316.365 0.455 20 0 DCADLN CC(C)(C)CC(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001447395763 1159632380 /nfs/dbraw/zinc/63/23/80/1159632380.db2.gz KBRDTKNJERPTIA-JTQLQIEISA-N 0 2 323.397 0.406 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001447512463 1159654043 /nfs/dbraw/zinc/65/40/43/1159654043.db2.gz BKAWRLBKJJSCSX-LURQLKTLSA-N 0 2 312.263 0.687 20 0 DCADLN COC(=O)c1ccc(CC(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC001567853230 1160501862 /nfs/dbraw/zinc/50/18/62/1160501862.db2.gz UPMOZXXHRCAYMH-UHFFFAOYSA-N 0 2 322.346 0.441 20 0 DCADLN CC(C)C[C@](C)(CNS(=O)(=O)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001573503056 1163452331 /nfs/dbraw/zinc/45/23/31/1163452331.db2.gz UPRDQYXXUHMTOV-CYBMUJFWSA-N 0 2 321.443 0.205 20 0 DCADLN Cc1[nH]c(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)c(C)c1C(=O)[O-] ZINC001574111006 1163638517 /nfs/dbraw/zinc/63/85/17/1163638517.db2.gz BKBGMTDKSYCFSR-NSHDSACASA-N 0 2 317.349 0.840 20 0 DCADLN O=C([O-])c1csc(N2CC[NH+](CCc3c[nH]nn3)CC2)n1 ZINC001574422601 1163719040 /nfs/dbraw/zinc/71/90/40/1163719040.db2.gz HUKFCNZDYUKPHK-UHFFFAOYSA-N 0 2 308.367 0.324 20 0 DCADLN O=C([O-])c1csc(N2CC[NH+](CCc3cn[nH]n3)CC2)n1 ZINC001574422601 1163719043 /nfs/dbraw/zinc/71/90/43/1163719043.db2.gz HUKFCNZDYUKPHK-UHFFFAOYSA-N 0 2 308.367 0.324 20 0 DCADLN Cc1ncncc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001574911817 1163798110 /nfs/dbraw/zinc/79/81/10/1163798110.db2.gz DDUJNHAEYDGCBX-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1ncncc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001574911817 1163798117 /nfs/dbraw/zinc/79/81/17/1163798117.db2.gz DDUJNHAEYDGCBX-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN C[C@H](NC(=O)c1cccc(-c2nn[nH]n2)c1)c1nn(C)cc1O ZINC001575339375 1163980715 /nfs/dbraw/zinc/98/07/15/1163980715.db2.gz VQMOCLKGOLSMRW-QMMMGPOBSA-N 0 2 313.321 0.797 20 0 DCADLN Cc1c[nH]cc1C(=O)N(C)C[C@H]1CC[N@H+]1CCc1c[nH]nn1 ZINC001577246193 1164648337 /nfs/dbraw/zinc/64/83/37/1164648337.db2.gz USAUWPOIVHCJLU-CYBMUJFWSA-N 0 2 302.382 0.830 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(Cc2conc2C)c1 ZINC001579477210 1165324097 /nfs/dbraw/zinc/32/40/97/1165324097.db2.gz FCTIXORFNYIHPP-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)c1cnn(C)c1 ZINC001580595813 1165648482 /nfs/dbraw/zinc/64/84/82/1165648482.db2.gz BOOGKVHZBKLUEH-UHFFFAOYSA-N 0 2 314.309 0.286 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCO[C@H](C)CC1 ZINC001580600035 1165651049 /nfs/dbraw/zinc/65/10/49/1165651049.db2.gz LFOPCHAEHIFDJD-SECBINFHSA-N 0 2 318.337 0.527 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@](C)(CO)C(C)C ZINC001580599815 1165651648 /nfs/dbraw/zinc/65/16/48/1165651648.db2.gz GVVRKJRVAVQKMP-CQSZACIVSA-N 0 2 320.353 0.413 20 0 DCADLN Cc1[nH]nc(NC(=O)Cc2n[nH]c(C3CC3)n2)c1-c1nn[nH]n1 ZINC001581402781 1165821768 /nfs/dbraw/zinc/82/17/68/1165821768.db2.gz JVPGUVVTOXJSGY-UHFFFAOYSA-N 0 2 314.313 0.075 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CC/C=C\c2ccccc2)c1=O ZINC001581753718 1165867966 /nfs/dbraw/zinc/86/79/66/1165867966.db2.gz POWGLIICTZWSRE-UITAMQMPSA-N 0 2 324.344 0.831 20 0 DCADLN C[C@@H]1CCCC[C@@]1(CNC(=O)c1ncc[nH]1)NC(=O)CC(N)=O ZINC001582667080 1166063288 /nfs/dbraw/zinc/06/32/88/1166063288.db2.gz OVENTQOQDJQMLI-BMIGLBTASA-N 0 2 321.381 0.080 20 0 DCADLN Cc1cccc(CC(=O)N[C@](C)(CNC(=O)C(N)=O)C2CC2)c1 ZINC001582656441 1166063641 /nfs/dbraw/zinc/06/36/41/1166063641.db2.gz QMWVWSOCWGREPN-QGZVFWFLSA-N 0 2 317.389 0.424 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCCc1cccc(F)c1 ZINC001582806548 1166087007 /nfs/dbraw/zinc/08/70/07/1166087007.db2.gz NJVWZFHUFAQIMX-UHFFFAOYSA-N 0 2 316.296 0.901 20 0 DCADLN CC(C)[C@H](O)CCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001589479329 1166661206 /nfs/dbraw/zinc/66/12/06/1166661206.db2.gz CWBGUIPKYDHWFY-CYBMUJFWSA-N 0 2 303.366 0.924 20 0 DCADLN CCC[C@@H](CC)S(=O)(=O)Nc1nn(C)cc1-c1nn[nH]n1 ZINC001590554374 1166942870 /nfs/dbraw/zinc/94/28/70/1166942870.db2.gz BFWDNDLJNMAYGF-MRVPVSSYSA-N 0 2 313.387 0.921 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CC(F)(F)CC)c1=O ZINC001590949258 1167056925 /nfs/dbraw/zinc/05/69/25/1167056925.db2.gz DRNNQPADRIGNMS-UHFFFAOYSA-N 0 2 300.269 0.255 20 0 DCADLN C[N@H+](CC1CCOCC1)Cn1cccc(-c2nn[n-]n2)c1=O ZINC001591063900 1167097975 /nfs/dbraw/zinc/09/79/75/1167097975.db2.gz DKERRZPSXYFSOF-UHFFFAOYSA-N 0 2 304.354 0.344 20 0 DCADLN C[N@@H+](CC1CCOCC1)Cn1cccc(-c2nn[n-]n2)c1=O ZINC001591063900 1167097978 /nfs/dbraw/zinc/09/79/78/1167097978.db2.gz DKERRZPSXYFSOF-UHFFFAOYSA-N 0 2 304.354 0.344 20 0 DCADLN CO[C@@H]1CC[N@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CC1(C)C ZINC001591358935 1167234138 /nfs/dbraw/zinc/23/41/38/1167234138.db2.gz SSMWUMZYEVFDJA-GFCCVEGCSA-N 0 2 318.381 0.733 20 0 DCADLN CO[C@@H]1CC[N@@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CC1(C)C ZINC001591358935 1167234148 /nfs/dbraw/zinc/23/41/48/1167234148.db2.gz SSMWUMZYEVFDJA-GFCCVEGCSA-N 0 2 318.381 0.733 20 0 DCADLN COCC(=O)Cn1c(=O)c(-c2nn[nH]n2)ccc1C(F)(F)F ZINC001591363828 1167238773 /nfs/dbraw/zinc/23/87/73/1167238773.db2.gz FSXVIQCVEROGOQ-UHFFFAOYSA-N 0 2 317.227 0.263 20 0 DCADLN COCCS(=O)(=O)Nc1ccc(Cl)cc1-c1nn[nH]n1 ZINC001591446579 1167290135 /nfs/dbraw/zinc/29/01/35/1167290135.db2.gz NWDWQBOWUVYYBK-UHFFFAOYSA-N 0 2 317.758 0.908 20 0 DCADLN COCOCCCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001591451805 1167291358 /nfs/dbraw/zinc/29/13/58/1167291358.db2.gz CTCRCDNLMKPUFO-UHFFFAOYSA-N 0 2 322.325 0.016 20 0 DCADLN COc1cc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)sn1 ZINC001591540137 1167327358 /nfs/dbraw/zinc/32/73/58/1167327358.db2.gz OCCMHEBESKDEHH-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCOCC1CC1 ZINC001591835565 1167390315 /nfs/dbraw/zinc/39/03/15/1167390315.db2.gz LPZFSXSWERGIAO-UHFFFAOYSA-N 0 2 318.337 0.432 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)C[C@H]1CCOC1 ZINC001591833469 1167391241 /nfs/dbraw/zinc/39/12/41/1167391241.db2.gz BDHJRHDXAOUVFO-SECBINFHSA-N 0 2 318.337 0.384 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)cc1C(=O)N1CCc2[nH]nnc2C1 ZINC001592098088 1167453337 /nfs/dbraw/zinc/45/33/37/1167453337.db2.gz ZFUWLUNGZBUAJM-UHFFFAOYSA-N 0 2 310.321 0.492 20 0 DCADLN Cc1ccn(CN2CCOC(C)(C)C2)c(=O)c1-c1nn[nH]n1 ZINC001592266435 1167479754 /nfs/dbraw/zinc/47/97/54/1167479754.db2.gz BWTRYNWRVKQRJI-UHFFFAOYSA-N 0 2 304.354 0.405 20 0 DCADLN Cc1ccn(CN2CCCOC(C)(C)C2)c(=O)c1-c1nn[nH]n1 ZINC001592267665 1167480823 /nfs/dbraw/zinc/48/08/23/1167480823.db2.gz UKFISMDUGNPAGO-UHFFFAOYSA-N 0 2 318.381 0.795 20 0 DCADLN Cc1nn(CCC(=O)OC(C)(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436862 1167523067 /nfs/dbraw/zinc/52/30/67/1167523067.db2.gz DLJHRKAQWDSBGO-UHFFFAOYSA-N 0 2 320.353 0.772 20 0 DCADLN Cc1nn(Cc2nnc(C3CC3)o2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592438142 1167523710 /nfs/dbraw/zinc/52/37/10/1167523710.db2.gz SFDPSGQVQLNPIK-UHFFFAOYSA-N 0 2 314.309 0.349 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H](C)CC[S@](C)=O ZINC001592498693 1167539628 /nfs/dbraw/zinc/53/96/28/1167539628.db2.gz DGLSNAANCKYQDC-SGWXMTNESA-N 0 2 311.367 0.655 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@H]2CCCCS2)c(-c2nn[nH]n2)c1=O ZINC001592545505 1167548896 /nfs/dbraw/zinc/54/88/96/1167548896.db2.gz BMNNVOZODFCGNJ-SSDOTTSWSA-N 0 2 323.382 0.364 20 0 DCADLN Cn1c(=O)[nH]c(SCC2CCCC2)c(-c2nn[nH]n2)c1=O ZINC001592545441 1167550558 /nfs/dbraw/zinc/55/05/58/1167550558.db2.gz XDIKAUBZZDLUHB-UHFFFAOYSA-N 0 2 308.367 0.536 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2CC[C@H]3C[C@H]3C2)c(-c2nn[nH]n2)c1=O ZINC001592546234 1167551334 /nfs/dbraw/zinc/55/13/34/1167551334.db2.gz LJLAALXHNVWPGK-BIIVOSGPSA-N 0 2 303.326 0.267 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@@H]2CC=CCC2)c(-c2nn[nH]n2)c1=O ZINC001592546997 1167553164 /nfs/dbraw/zinc/55/31/64/1167553164.db2.gz ZVPAXPZQQQPHLD-MRVPVSSYSA-N 0 2 303.326 0.434 20 0 DCADLN Cn1c(=O)[nH]c(NCC[C@@H]2C[C@H]2C2CC2)c(-c2nn[nH]n2)c1=O ZINC001592546869 1167553978 /nfs/dbraw/zinc/55/39/78/1167553978.db2.gz TWUQCOISKQSSPI-BDAKNGLRSA-N 0 2 317.353 0.514 20 0 DCADLN Cn1ccc(C(=O)Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)c1 ZINC001592578191 1167567449 /nfs/dbraw/zinc/56/74/49/1167567449.db2.gz FKXNNTVYVPOVSH-UHFFFAOYSA-N 0 2 324.344 0.739 20 0 DCADLN Nc1ccc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3)cn1 ZINC001592759579 1167634975 /nfs/dbraw/zinc/63/49/75/1167634975.db2.gz QTQMJPUSNROPHT-UHFFFAOYSA-N 0 2 323.360 0.933 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1ccncc1Cl ZINC001592941758 1167670337 /nfs/dbraw/zinc/67/03/37/1167670337.db2.gz USUCSRNYYKPPHM-UHFFFAOYSA-N 0 2 317.696 0.355 20 0 DCADLN O=C(NCc1nc(-c2nn[nH]n2)cs1)C(F)C(F)(F)F ZINC001593150662 1167725822 /nfs/dbraw/zinc/72/58/22/1167725822.db2.gz YXEXDTSNWRYNTC-YFKPBYRVSA-N 0 2 310.236 0.840 20 0 DCADLN O=C(NCc1nc(-c2nn[nH]n2)cs1)[C@H](F)C(F)(F)F ZINC001593150662 1167725831 /nfs/dbraw/zinc/72/58/31/1167725831.db2.gz YXEXDTSNWRYNTC-YFKPBYRVSA-N 0 2 310.236 0.840 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cc(Cl)ccn1 ZINC001593489749 1167874047 /nfs/dbraw/zinc/87/40/47/1167874047.db2.gz YTIOKYAZJXNYHD-UHFFFAOYSA-N 0 2 305.685 0.226 20 0 DCADLN O=c1[nH]nc(C2CCN(c3ccc(-c4nn[nH]n4)cn3)CC2)[nH]1 ZINC001593497797 1167880907 /nfs/dbraw/zinc/88/09/07/1167880907.db2.gz LZZJMELTNVQGHZ-UHFFFAOYSA-N 0 2 313.325 0.469 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1CCOC1CCSCC1 ZINC001593495178 1167881000 /nfs/dbraw/zinc/88/10/00/1167881000.db2.gz XYVFLPIYLKIWBW-UHFFFAOYSA-N 0 2 308.367 0.336 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cnnn1-c1ccccc1 ZINC001593495556 1167881371 /nfs/dbraw/zinc/88/13/71/1167881371.db2.gz INOWAPUIMDMOJC-UHFFFAOYSA-N 0 2 321.304 0.052 20 0 DCADLN c1nc(NCc2n[nH]c([C@@H]3CCCO3)n2)cc(-c2nn[nH]n2)n1 ZINC001593591128 1167954383 /nfs/dbraw/zinc/95/43/83/1167954383.db2.gz LVBTZTDGSODWQJ-QMMMGPOBSA-N 0 2 314.313 0.238 20 0 DCADLN c1sc(CNC2(c3nn[nH]n3)CCCC2)nc1-c1nn[nH]n1 ZINC001593595656 1167958852 /nfs/dbraw/zinc/95/88/52/1167958852.db2.gz BONDZNHLDUVWKU-UHFFFAOYSA-N 0 2 318.370 0.395 20 0 DCADLN Cc1c(NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)cnn1CC(=O)[O-] ZINC001600046438 1168106731 /nfs/dbraw/zinc/10/67/31/1168106731.db2.gz BSEHXJKEMKXRTQ-NXEZZACHSA-N 0 2 303.322 0.752 20 0 DCADLN Cc1cc(F)cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1N ZINC001600229228 1168141150 /nfs/dbraw/zinc/14/11/50/1168141150.db2.gz KMDJNYIBAINAQN-LLVKDONJSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1cc(F)cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1N ZINC001600229228 1168141158 /nfs/dbraw/zinc/14/11/58/1168141158.db2.gz KMDJNYIBAINAQN-LLVKDONJSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@H](CO)[C@H](O)C1 ZINC001600355344 1168172689 /nfs/dbraw/zinc/17/26/89/1168172689.db2.gz SGEKIIXBCYOTRD-TZMCWYRMSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@H](CO)[C@H](O)C1 ZINC001600355344 1168172696 /nfs/dbraw/zinc/17/26/96/1168172696.db2.gz SGEKIIXBCYOTRD-TZMCWYRMSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1cn2c(n1)C[C@@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])CC2 ZINC001600615099 1168213756 /nfs/dbraw/zinc/21/37/56/1168213756.db2.gz OEZQPZZWJHVIHG-NWDGAFQWSA-N 0 2 317.349 0.220 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CCC([C@@H](O)C(=O)[O-])CC3)ccn12 ZINC001600627191 1168219863 /nfs/dbraw/zinc/21/98/63/1168219863.db2.gz VJAYHCKSYSPJFF-CQSZACIVSA-N 0 2 317.345 0.940 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1CN1C[C@H](C(=O)[O-])CC1=O ZINC001600778856 1168292052 /nfs/dbraw/zinc/29/20/52/1168292052.db2.gz YEEMAFZZEJRJLG-YPMHNXCESA-N 0 2 306.366 0.756 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCC[N@H+]1CN1C[C@H](C(=O)[O-])CC1=O ZINC001600778856 1168292068 /nfs/dbraw/zinc/29/20/68/1168292068.db2.gz YEEMAFZZEJRJLG-YPMHNXCESA-N 0 2 306.366 0.756 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1CCO[C@H](CC(N)=O)C1 ZINC001600785815 1168296510 /nfs/dbraw/zinc/29/65/10/1168296510.db2.gz JMIGNUSQAVPJET-GFCCVEGCSA-N 0 2 324.381 0.051 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1CCO[C@H](CC(N)=O)C1 ZINC001600785815 1168296513 /nfs/dbraw/zinc/29/65/13/1168296513.db2.gz JMIGNUSQAVPJET-GFCCVEGCSA-N 0 2 324.381 0.051 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC001600905135 1168500835 /nfs/dbraw/zinc/50/08/35/1168500835.db2.gz WUNNIZXOQVHGEO-UHFFFAOYSA-N 0 2 309.366 0.564 20 0 DCADLN Cn1c(C[N@@H+]2CCC[C@H](CS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600914505 1168507796 /nfs/dbraw/zinc/50/77/96/1168507796.db2.gz JGRLJOOWALBTCP-NSHDSACASA-N 0 2 314.407 0.980 20 0 DCADLN Cn1c(C[N@H+]2CCC[C@H](CS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600914505 1168507800 /nfs/dbraw/zinc/50/78/00/1168507800.db2.gz JGRLJOOWALBTCP-NSHDSACASA-N 0 2 314.407 0.980 20 0 DCADLN Cn1cc[nH+]c1CCNC(=O)CCCn1ccc(C(=O)[O-])n1 ZINC001600985598 1168546555 /nfs/dbraw/zinc/54/65/55/1168546555.db2.gz STENORGIENUVIW-UHFFFAOYSA-N 0 2 305.338 0.454 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001600993450 1168550331 /nfs/dbraw/zinc/55/03/31/1168550331.db2.gz OTVAJSMYDBSFEJ-VTWZXRTESA-N 0 2 307.350 0.491 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@@H]1CCCn2nc(C(=O)[O-])cc21 ZINC001600993881 1168551263 /nfs/dbraw/zinc/55/12/63/1168551263.db2.gz ZALVJJPUONDGRM-LLVKDONJSA-N 0 2 317.349 0.899 20 0 DCADLN Cn1nc2c(c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])CCC2 ZINC001601017880 1168565879 /nfs/dbraw/zinc/56/58/79/1168565879.db2.gz PQAPZQWOKFTNQY-NSHDSACASA-N 0 2 303.322 0.058 20 0 DCADLN Cn1nc2c(c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])CCC2 ZINC001601017880 1168565893 /nfs/dbraw/zinc/56/58/93/1168565893.db2.gz PQAPZQWOKFTNQY-NSHDSACASA-N 0 2 303.322 0.058 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@H](NC(=O)/C=C/c2cc(C(=O)[O-])co2)C1 ZINC001601150641 1168603876 /nfs/dbraw/zinc/60/38/76/1168603876.db2.gz CLSMQRHAUWUJIK-UFFNRZRYSA-N 0 2 321.333 0.057 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@H](NC(=O)/C=C/c2cc(C(=O)[O-])co2)C1 ZINC001601150641 1168603883 /nfs/dbraw/zinc/60/38/83/1168603883.db2.gz CLSMQRHAUWUJIK-UFFNRZRYSA-N 0 2 321.333 0.057 20 0 DCADLN O=C(CCOC[C@H]1CCCO1)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601281625 1168690236 /nfs/dbraw/zinc/69/02/36/1168690236.db2.gz SILMIBHANHOIEA-VXGBXAGGSA-N 0 2 311.338 0.107 20 0 DCADLN O=C(CCOC[C@H]1CCCO1)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601281625 1168690241 /nfs/dbraw/zinc/69/02/41/1168690241.db2.gz SILMIBHANHOIEA-VXGBXAGGSA-N 0 2 311.338 0.107 20 0 DCADLN O=C(CCc1c[nH]c[nH+]1)N[C@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001601286621 1168691601 /nfs/dbraw/zinc/69/16/01/1168691601.db2.gz GVOAFFDOTCWWLB-VTWZXRTESA-N 0 2 307.350 0.871 20 0 DCADLN O=C(CCc1c[nH+]c[nH]1)N[C@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001601286621 1168691606 /nfs/dbraw/zinc/69/16/06/1168691606.db2.gz GVOAFFDOTCWWLB-VTWZXRTESA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)COCc1cccnc1 ZINC001601339032 1168712603 /nfs/dbraw/zinc/71/26/03/1168712603.db2.gz CDXVMCXGARCKBJ-LBPRGKRZSA-N 0 2 304.306 0.133 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)COCc1cccnc1 ZINC001601339032 1168712609 /nfs/dbraw/zinc/71/26/09/1168712609.db2.gz CDXVMCXGARCKBJ-LBPRGKRZSA-N 0 2 304.306 0.133 20 0 DCADLN O=C(Cc1ccc(-n2cc[nH+]c2)cc1)N[C@@]1(C(=O)[O-])C[C@@H](O)C1 ZINC001601355119 1168717403 /nfs/dbraw/zinc/71/74/03/1168717403.db2.gz WIYMQQKHYADTGL-AKAXFMLLSA-N 0 2 315.329 0.509 20 0 DCADLN O=C([O-])c1ccn(CC(=O)NCC[NH+]2Cc3ccccc3C2)n1 ZINC001601385396 1168729258 /nfs/dbraw/zinc/72/92/58/1168729258.db2.gz SFSLOPQSLIEFHC-UHFFFAOYSA-N 0 2 314.345 0.713 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc2n[nH]nc2cc1F ZINC001601425430 1168741032 /nfs/dbraw/zinc/74/10/32/1168741032.db2.gz AGUUUGNLHQFNQI-LLVKDONJSA-N 0 2 318.268 0.177 20 0 DCADLN O=C([O-])C1(CNC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC001601469052 1168757596 /nfs/dbraw/zinc/75/75/96/1168757596.db2.gz NCSSLHASWREHBF-NSHDSACASA-N 0 2 304.350 0.824 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCC1(O)C[NH+](CC2=CCCCC2)C1 ZINC001601778735 1168882038 /nfs/dbraw/zinc/88/20/38/1168882038.db2.gz JSPPEAMFBIJAOY-CHWSQXEVSA-N 0 2 308.378 0.370 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCC[NH+]1CCN(C/C=C/Cl)CC1 ZINC001601784904 1168896993 /nfs/dbraw/zinc/89/69/93/1168896993.db2.gz WGZFJPYOFDAEFU-FGXISPTISA-N 0 2 315.801 0.193 20 0 DCADLN O=C([O-])[C@H]1Cc2ccccc2CN1C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC001601864854 1168920241 /nfs/dbraw/zinc/92/02/41/1168920241.db2.gz TXRQKWXRIPKPMH-OLZOCXBDSA-N 0 2 301.302 0.481 20 0 DCADLN O=C([O-])C[C@]1([NH2+]Cc2nnn(CC(F)(F)F)n2)CCCOC1 ZINC001601938026 1168940852 /nfs/dbraw/zinc/94/08/52/1168940852.db2.gz RMYFBIAQBGPFTR-SNVBAGLBSA-N 0 2 323.275 0.349 20 0 DCADLN O=C([O-])CCCCC(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001601980453 1168952593 /nfs/dbraw/zinc/95/25/93/1168952593.db2.gz YQTJOAFBKDIAEP-GFCCVEGCSA-N 0 2 312.366 0.528 20 0 DCADLN O=C([O-])CN(CCN1CCOCC1)Cc1c[nH+]c2n1CCC2 ZINC001602055061 1168977277 /nfs/dbraw/zinc/97/72/77/1168977277.db2.gz DWHHLXBYUAADLZ-UHFFFAOYSA-N 0 2 308.382 0.048 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]Cc2cc3ccccc3[nH]c2=O)nn1 ZINC001602161860 1169008561 /nfs/dbraw/zinc/00/85/61/1169008561.db2.gz LWDJXJRLPUVCPG-UHFFFAOYSA-N 0 2 313.317 0.906 20 0 DCADLN O=C([O-])[C@H]1C(=O)N(C[N@@H+]2CCCOCC2)C[C@@H]1c1ccccc1 ZINC001602209088 1169031305 /nfs/dbraw/zinc/03/13/05/1169031305.db2.gz DWFNURWYKKGRQF-HUUCEWRRSA-N 0 2 318.373 0.993 20 0 DCADLN O=C([O-])[C@H]1C(=O)N(C[N@H+]2CCCOCC2)C[C@@H]1c1ccccc1 ZINC001602209088 1169031313 /nfs/dbraw/zinc/03/13/13/1169031313.db2.gz DWFNURWYKKGRQF-HUUCEWRRSA-N 0 2 318.373 0.993 20 0 DCADLN O=C([O-])c1ccc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)cc1 ZINC001602339302 1169062782 /nfs/dbraw/zinc/06/27/82/1169062782.db2.gz VHNTWRGIWOHXGE-UHFFFAOYSA-N 0 2 301.350 0.821 20 0 DCADLN O=C([O-])c1ccc(C[NH+]2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC001602339302 1169062790 /nfs/dbraw/zinc/06/27/90/1169062790.db2.gz VHNTWRGIWOHXGE-UHFFFAOYSA-N 0 2 301.350 0.821 20 0 DCADLN O=C([O-])c1cccc2c1C[N@H+](CN1CC3(COC3)CC1=O)CC2 ZINC001602460534 1169082118 /nfs/dbraw/zinc/08/21/18/1169082118.db2.gz ZHHSKBFHIDUEGY-UHFFFAOYSA-N 0 2 316.357 0.949 20 0 DCADLN O=C([O-])c1cccc2c1C[N@@H+](CN1CC3(COC3)CC1=O)CC2 ZINC001602460534 1169082127 /nfs/dbraw/zinc/08/21/27/1169082127.db2.gz ZHHSKBFHIDUEGY-UHFFFAOYSA-N 0 2 316.357 0.949 20 0 DCADLN C/C(=C/C(=O)N[C@H]1C[C@H]2C[C@H](C(=O)[O-])C[C@H]21)C[NH+]1CCOCC1 ZINC001602954525 1169220409 /nfs/dbraw/zinc/22/04/09/1169220409.db2.gz DRLXDMBRKCYSTC-QRXCQFRZSA-N 0 2 322.405 0.880 20 0 DCADLN CC(C)C(C)(C)CNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001603206679 1169276215 /nfs/dbraw/zinc/27/62/15/1169276215.db2.gz QJEPOKFHQYBAHL-UHFFFAOYSA-N 0 2 321.385 0.358 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CCC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001603499235 1169313987 /nfs/dbraw/zinc/31/39/87/1169313987.db2.gz DQAJOKQLJPSDJI-JTQLQIEISA-N 0 2 310.354 0.988 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CCC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC001603499235 1169313994 /nfs/dbraw/zinc/31/39/94/1169313994.db2.gz DQAJOKQLJPSDJI-JTQLQIEISA-N 0 2 310.354 0.988 20 0 DCADLN CC(C)(C)n1cnc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001603568022 1169324963 /nfs/dbraw/zinc/32/49/63/1169324963.db2.gz OAGWRZJNHMXPSR-VIFPVBQESA-N 0 2 306.326 0.113 20 0 DCADLN CC(C)C[N@H+](Cc1cnn(C)c1)Cc1cn(CC(=O)[O-])nn1 ZINC001603815273 1169402775 /nfs/dbraw/zinc/40/27/75/1169402775.db2.gz WGPZSNYLUAPFGT-UHFFFAOYSA-N 0 2 306.370 0.754 20 0 DCADLN CC(C)C[N@@H+](Cc1cnn(C)c1)Cc1cn(CC(=O)[O-])nn1 ZINC001603815273 1169402779 /nfs/dbraw/zinc/40/27/79/1169402779.db2.gz WGPZSNYLUAPFGT-UHFFFAOYSA-N 0 2 306.370 0.754 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])nn1C ZINC001603988413 1169465607 /nfs/dbraw/zinc/46/56/07/1169465607.db2.gz PNUAFFBKBCPBIP-LLVKDONJSA-N 0 2 305.338 0.623 20 0 DCADLN CC(C)c1nnc2n1CC[N@@H+](CCC(=O)N(C)CC(=O)[O-])[C@H]2C ZINC001604027059 1169474956 /nfs/dbraw/zinc/47/49/56/1169474956.db2.gz ZJRZMZFLMCELQH-NSHDSACASA-N 0 2 323.397 0.711 20 0 DCADLN CC(C)c1nnc2n1CC[N@H+](CCC(=O)N(C)CC(=O)[O-])[C@H]2C ZINC001604027059 1169474959 /nfs/dbraw/zinc/47/49/59/1169474959.db2.gz ZJRZMZFLMCELQH-NSHDSACASA-N 0 2 323.397 0.711 20 0 DCADLN C[C@H](CNC(=O)Cc1ccc(C(=O)[O-])nc1)[NH+]1CCN(C)CC1 ZINC001604173980 1169513550 /nfs/dbraw/zinc/51/35/50/1169513550.db2.gz GWLMQTUPHXAIDK-GFCCVEGCSA-N 0 2 320.393 0.074 20 0 DCADLN C[C@@H](Cc1ccc(NC(=O)CN2CC[NH2+]CC2=O)cc1)C(=O)[O-] ZINC001604223839 1169528282 /nfs/dbraw/zinc/52/82/82/1169528282.db2.gz DINSTTBAGWNVBJ-NSHDSACASA-N 0 2 319.361 0.320 20 0 DCADLN CCN(CC)C(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001604281607 1169545255 /nfs/dbraw/zinc/54/52/55/1169545255.db2.gz HDJXPJVKWYCZDT-UHFFFAOYSA-N 0 2 316.365 0.386 20 0 DCADLN CC1(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC[NH+](Cc2ccon2)CC1 ZINC001604589612 1169625291 /nfs/dbraw/zinc/62/52/91/1169625291.db2.gz UTYBWBWNBRIEOH-VXGBXAGGSA-N 0 2 307.350 0.866 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NC[C@H]1C[C@H]1C(=O)[O-] ZINC001604672196 1169648582 /nfs/dbraw/zinc/64/85/82/1169648582.db2.gz HXEZWLGTEBSUMF-FDYHWXHSSA-N 0 2 311.382 0.212 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(/C=C\C(=O)[O-])o2)CC[N@@H+]1CCO ZINC001604780939 1169679568 /nfs/dbraw/zinc/67/95/68/1169679568.db2.gz QHRAXDMVEZDIHN-USEMIUTHSA-N 0 2 308.334 0.516 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(/C=C\C(=O)[O-])o2)CC[N@H+]1CCO ZINC001604780939 1169679570 /nfs/dbraw/zinc/67/95/70/1169679570.db2.gz QHRAXDMVEZDIHN-USEMIUTHSA-N 0 2 308.334 0.516 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NC[C@@H](CC(=O)[O-])C2CC2)CCO1 ZINC001604795338 1169686313 /nfs/dbraw/zinc/68/63/13/1169686313.db2.gz AUUXJXCAUKXSQM-DGCLKSJQSA-N 0 2 313.398 0.507 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NC[C@@H](CC(=O)[O-])C2CC2)CCO1 ZINC001604795338 1169686320 /nfs/dbraw/zinc/68/63/20/1169686320.db2.gz AUUXJXCAUKXSQM-DGCLKSJQSA-N 0 2 313.398 0.507 20 0 DCADLN C[C@H]1C[N@H+](CCCN2C(=O)CS/C2=C\C(=O)[O-])CCC1=O ZINC001604796127 1169688251 /nfs/dbraw/zinc/68/82/51/1169688251.db2.gz MJZJWMVDDUFZCK-BNDQCTAISA-N 0 2 312.391 0.789 20 0 DCADLN C[C@H]1C[N@@H+](CCCN2C(=O)CS/C2=C\C(=O)[O-])CCC1=O ZINC001604796127 1169688255 /nfs/dbraw/zinc/68/82/55/1169688255.db2.gz MJZJWMVDDUFZCK-BNDQCTAISA-N 0 2 312.391 0.789 20 0 DCADLN COC(=O)[C@H]1CCCC[C@H]1Cn1cncc(-c2nn[nH]n2)c1=O ZINC001604926181 1169748297 /nfs/dbraw/zinc/74/82/97/1169748297.db2.gz UWCXSNAXKBBUSX-UWVGGRQHSA-N 0 2 318.337 0.403 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC(=O)C2CCC2)c1 ZINC001604964240 1169765267 /nfs/dbraw/zinc/76/52/67/1169765267.db2.gz KSYQPOXOMJLCPF-UHFFFAOYSA-N 0 2 317.305 0.184 20 0 DCADLN CCN(CC)C(=O)CCCC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001605422292 1169917605 /nfs/dbraw/zinc/91/76/05/1169917605.db2.gz OXODFXCVNATZSH-LBPRGKRZSA-N 0 2 324.381 0.491 20 0 DCADLN CCOC(=O)[C@@H]1C[N@@H+]([C@@H](C)c2nc(C(=O)[O-])co2)CC12COC2 ZINC001605525840 1169947651 /nfs/dbraw/zinc/94/76/51/1169947651.db2.gz DVRWVNPTOYFVIU-UWVGGRQHSA-N 0 2 324.333 0.945 20 0 DCADLN CCOC(=O)[C@@H]1C[N@H+]([C@@H](C)c2nc(C(=O)[O-])co2)CC12COC2 ZINC001605525840 1169947656 /nfs/dbraw/zinc/94/76/56/1169947656.db2.gz DVRWVNPTOYFVIU-UWVGGRQHSA-N 0 2 324.333 0.945 20 0 DCADLN CN(C(=O)C[NH+]1CCC(O)(CO)CC1)c1ccccc1C(=O)[O-] ZINC001605960587 1170038564 /nfs/dbraw/zinc/03/85/64/1170038564.db2.gz CLZLXPFNHXYUQP-UHFFFAOYSA-N 0 2 322.361 0.167 20 0 DCADLN Cn1c(=O)[nH]c(N2CCCC3(CC3)CC2)c(-c2nn[nH]n2)c1=O ZINC001606202514 1170111563 /nfs/dbraw/zinc/11/15/63/1170111563.db2.gz PIOAFXLYSHGKDT-UHFFFAOYSA-N 0 2 317.353 0.024 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1CC[C@@H](OC(=O)N(C)C)C1 ZINC001606332146 1170161151 /nfs/dbraw/zinc/16/11/51/1170161151.db2.gz PZDKMJDFNCPXTP-NXEZZACHSA-N 0 2 302.327 0.165 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1CC[C@@H](OC(=O)N(C)C)C1 ZINC001606332146 1170161156 /nfs/dbraw/zinc/16/11/56/1170161156.db2.gz PZDKMJDFNCPXTP-NXEZZACHSA-N 0 2 302.327 0.165 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCCn1ccnc1C ZINC001606373592 1170173952 /nfs/dbraw/zinc/17/39/52/1170173952.db2.gz LLONUWUAGHZYAA-OLZOCXBDSA-N 0 2 309.366 0.920 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCCn1ccnc1C ZINC001606373592 1170173956 /nfs/dbraw/zinc/17/39/56/1170173956.db2.gz LLONUWUAGHZYAA-OLZOCXBDSA-N 0 2 309.366 0.920 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2nccn2CC(F)F)C[C@@H]1C(=O)[O-] ZINC001606431458 1170198695 /nfs/dbraw/zinc/19/86/95/1170198695.db2.gz KSQIUKSNQXKQIA-DTWKUNHWSA-N 0 2 317.292 0.454 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2nccn2CC(F)F)C[C@@H]1C(=O)[O-] ZINC001606431458 1170198699 /nfs/dbraw/zinc/19/86/99/1170198699.db2.gz KSQIUKSNQXKQIA-DTWKUNHWSA-N 0 2 317.292 0.454 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)CCC1 ZINC001606709124 1170271080 /nfs/dbraw/zinc/27/10/80/1170271080.db2.gz VOOGAFSPKATQDF-NXEZZACHSA-N 0 2 311.338 0.977 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)CCC1 ZINC001606709124 1170271084 /nfs/dbraw/zinc/27/10/84/1170271084.db2.gz VOOGAFSPKATQDF-NXEZZACHSA-N 0 2 311.338 0.977 20 0 DCADLN COCCn1nnc2c1CCN(c1ccc(C)c(C(=O)[O-])[nH+]1)C2 ZINC001606933582 1170334035 /nfs/dbraw/zinc/33/40/35/1170334035.db2.gz MHIPWFBGWOPQBH-UHFFFAOYSA-N 0 2 317.349 0.889 20 0 DCADLN CO[C@]12CCC[C@@]1(C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])CCO2 ZINC001607004684 1170351791 /nfs/dbraw/zinc/35/17/91/1170351791.db2.gz MPHVVJSNCQYUKM-UGFHNGPFSA-N 0 2 323.349 0.455 20 0 DCADLN CO[C@]12CCC[C@@]1(C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])CCO2 ZINC001607004684 1170351798 /nfs/dbraw/zinc/35/17/98/1170351798.db2.gz MPHVVJSNCQYUKM-UGFHNGPFSA-N 0 2 323.349 0.455 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCc1ccccc1F ZINC001607088605 1170387538 /nfs/dbraw/zinc/38/75/38/1170387538.db2.gz OLKYODLJRWLQJL-UHFFFAOYSA-N 0 2 302.269 0.511 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)NC[C@H]2C[C@H]2C(=O)[O-])c[nH+]1 ZINC001607619681 1170484706 /nfs/dbraw/zinc/48/47/06/1170484706.db2.gz AXODFTCUSYKNIS-ZYHUDNBSSA-N 0 2 305.334 0.152 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@H](O)[C@@H](CO)C1 ZINC001607741884 1170502201 /nfs/dbraw/zinc/50/22/01/1170502201.db2.gz YFEXBCRJEBKOTF-OCCSQVGLSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@H](O)[C@@H](CO)C1 ZINC001607741884 1170502205 /nfs/dbraw/zinc/50/22/05/1170502205.db2.gz YFEXBCRJEBKOTF-OCCSQVGLSA-N 0 2 322.361 0.307 20 0 DCADLN Cc1nnc(N2CC[NH+](Cc3oncc3C(=O)[O-])CC2)n1C ZINC001608015006 1170547699 /nfs/dbraw/zinc/54/76/99/1170547699.db2.gz JYTZHOZPVQOINS-UHFFFAOYSA-N 0 2 306.326 0.132 20 0 DCADLN Cn1c(=O)oc2cc(C[N@@H+]3CCOC[C@@H]3CC(=O)[O-])ccc21 ZINC001608077184 1170564849 /nfs/dbraw/zinc/56/48/49/1170564849.db2.gz HRPIBGMZTJRYMI-NSHDSACASA-N 0 2 306.318 0.807 20 0 DCADLN Cn1c(=O)oc2cc(C[N@H+]3CCOC[C@@H]3CC(=O)[O-])ccc21 ZINC001608077184 1170564855 /nfs/dbraw/zinc/56/48/55/1170564855.db2.gz HRPIBGMZTJRYMI-NSHDSACASA-N 0 2 306.318 0.807 20 0 DCADLN Cn1cc(C(=O)[O-])cc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC001608100486 1170572519 /nfs/dbraw/zinc/57/25/19/1170572519.db2.gz WEAHYUCYJHZFEQ-UHFFFAOYSA-N 0 2 310.335 0.165 20 0 DCADLN Cn1cnnc1N1CC[NH+](Cc2ccc(C(=O)[O-])cn2)CC1 ZINC001608140143 1170593318 /nfs/dbraw/zinc/59/33/18/1170593318.db2.gz OHASIIFBBHODNB-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)NC[C@H](C(=O)[O-])c1ccccc1 ZINC001608281534 1170641395 /nfs/dbraw/zinc/64/13/95/1170641395.db2.gz JNVCALRAVPRLFP-SZTZYQKNSA-N 0 2 318.373 0.859 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C[C@H]1Cc2ccccc2O1 ZINC001608299489 1170645228 /nfs/dbraw/zinc/64/52/28/1170645228.db2.gz GPTLMTWHCSQNDE-CHWSQXEVSA-N 0 2 315.329 0.915 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C[C@H]1Cc2ccccc2O1 ZINC001608299489 1170645232 /nfs/dbraw/zinc/64/52/32/1170645232.db2.gz GPTLMTWHCSQNDE-CHWSQXEVSA-N 0 2 315.329 0.915 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1ccc(O)c(F)c1 ZINC001608363646 1170659957 /nfs/dbraw/zinc/65/99/57/1170659957.db2.gz UKTNDUNPYJFOBD-NSHDSACASA-N 0 2 307.281 0.609 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1ccc(O)c(F)c1 ZINC001608363646 1170659959 /nfs/dbraw/zinc/65/99/59/1170659959.db2.gz UKTNDUNPYJFOBD-NSHDSACASA-N 0 2 307.281 0.609 20 0 DCADLN O=C([O-])c1cn(CCOC(=O)Cc2cn3c([nH+]2)CCCC3)nn1 ZINC001608368910 1170659983 /nfs/dbraw/zinc/65/99/83/1170659983.db2.gz GBZSMLZYAJSORA-UHFFFAOYSA-N 0 2 319.321 0.295 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CC[C@H](NC(=O)NC3CC3)C2)cn1 ZINC001608405824 1170671323 /nfs/dbraw/zinc/67/13/23/1170671323.db2.gz DBRUPGOEJJHHGX-JTQLQIEISA-N 0 2 305.338 0.211 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CC[C@H](NC(=O)NC3CC3)C2)cn1 ZINC001608405824 1170671327 /nfs/dbraw/zinc/67/13/27/1170671327.db2.gz DBRUPGOEJJHHGX-JTQLQIEISA-N 0 2 305.338 0.211 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001608610216 1170718431 /nfs/dbraw/zinc/71/84/31/1170718431.db2.gz HBZDDHABIOHGPS-NWDGAFQWSA-N 0 2 309.391 0.898 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NC1C[NH+](CCOc2ccccc2)C1 ZINC001608612469 1170722095 /nfs/dbraw/zinc/72/20/95/1170722095.db2.gz WDUYKFWONCRBIU-UONOGXRCSA-N 0 2 304.346 0.587 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)/C=C\C[NH+]2CCOCC2)CCCOC1 ZINC001608682801 1170736131 /nfs/dbraw/zinc/73/61/31/1170736131.db2.gz LHAOFKMATKRNTK-BGGTVIOKSA-N 0 2 312.366 0.015 20 0 DCADLN O=C([O-])C[C@H]1CSCCN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001608704088 1170740973 /nfs/dbraw/zinc/74/09/73/1170740973.db2.gz KTWGZPMELCUKBU-JTQLQIEISA-N 0 2 319.408 0.105 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)[C@H]2CCC(=O)c3ccccc32)CC1 ZINC001608748807 1170748846 /nfs/dbraw/zinc/74/88/46/1170748846.db2.gz UHRUSWZNOZGDIX-AWEZNQCLSA-N 0 2 316.357 0.976 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@@H]2CCN(c3ccccc3)C2=O)nn1 ZINC001608797461 1170756261 /nfs/dbraw/zinc/75/62/61/1170756261.db2.gz IFTWVNPZFZFFMD-CYBMUJFWSA-N 0 2 315.333 0.258 20 0 DCADLN O=C([O-])c1coc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC001608990602 1170781777 /nfs/dbraw/zinc/78/17/77/1170781777.db2.gz GPSXBDPLGDGQJB-VIFPVBQESA-N 0 2 311.319 0.468 20 0 DCADLN C[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CC(C)(C)O1 ZINC001609173814 1170844803 /nfs/dbraw/zinc/84/48/03/1170844803.db2.gz NFDAVUPCMHXWBB-JTQLQIEISA-N 0 2 304.354 0.485 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccc(F)cc2)c1=O ZINC001609257586 1170901016 /nfs/dbraw/zinc/90/10/16/1170901016.db2.gz DDTLKGHPMGNHDJ-UHFFFAOYSA-N 0 2 316.296 0.397 20 0 DCADLN CCc1oncc1NS(=O)(=O)c1cncc(-c2nn[nH]n2)c1 ZINC001609256860 1170901552 /nfs/dbraw/zinc/90/15/52/1170901552.db2.gz MRBFVVBUGLVDRE-UHFFFAOYSA-N 0 2 321.322 0.613 20 0 DCADLN COC(=O)N1CCC[C@H](NCc2nc(-c3nn[nH]n3)cs2)C1 ZINC001609300246 1170921572 /nfs/dbraw/zinc/92/15/72/1170921572.db2.gz KGARBOSAXDIEKH-QMMMGPOBSA-N 0 2 323.382 0.644 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CN1CC[C@@H](F)C1)CCC2 ZINC001609604163 1171039829 /nfs/dbraw/zinc/03/98/29/1171039829.db2.gz XPNRCFIFGBWJJT-SNVBAGLBSA-N 0 2 304.329 0.518 20 0 DCADLN CC(=O)Nc1cccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001609625196 1171053619 /nfs/dbraw/zinc/05/36/19/1171053619.db2.gz PHYWRJAAVUIVFY-ZDUSSCGKSA-N 0 2 316.317 0.725 20 0 DCADLN CC(C)([NH2+]CCN1CCCS1(=O)=O)c1nocc1C(=O)[O-] ZINC001609680324 1171066513 /nfs/dbraw/zinc/06/65/13/1171066513.db2.gz HEDHFTKZSIBKAR-UHFFFAOYSA-N 0 2 317.367 0.233 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)C23CCC(C(=O)[O-])(CC2)C3)CCO1 ZINC001609874747 1171111750 /nfs/dbraw/zinc/11/17/50/1171111750.db2.gz RFTJRODQERRYCC-UWTIGNOOSA-N 0 2 310.394 0.858 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)C23CCC(C(=O)[O-])(CC2)C3)CCO1 ZINC001609874747 1171111754 /nfs/dbraw/zinc/11/17/54/1171111754.db2.gz RFTJRODQERRYCC-UWTIGNOOSA-N 0 2 310.394 0.858 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@H+](C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC001610036212 1171144896 /nfs/dbraw/zinc/14/48/96/1171144896.db2.gz YMJPWAOKSSHDQB-IUCAKERBSA-N 0 2 312.288 0.571 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@@H+](C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC001610036212 1171144897 /nfs/dbraw/zinc/14/48/97/1171144897.db2.gz YMJPWAOKSSHDQB-IUCAKERBSA-N 0 2 312.288 0.571 20 0 DCADLN COC(=O)C(C)(C)[C@@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001610150124 1171178403 /nfs/dbraw/zinc/17/84/03/1171178403.db2.gz XIYGFROWLXZEDZ-SNVBAGLBSA-N 0 2 310.354 0.845 20 0 DCADLN COC(=O)C(C)(C)[C@@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001610150124 1171178407 /nfs/dbraw/zinc/17/84/07/1171178407.db2.gz XIYGFROWLXZEDZ-SNVBAGLBSA-N 0 2 310.354 0.845 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCCn2cc[nH+]c2)[C@@H](C(=O)[O-])C1 ZINC001610164622 1171183981 /nfs/dbraw/zinc/18/39/81/1171183981.db2.gz CWCUMVZHAHBMJZ-VXGBXAGGSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1Cc1ccc(C(N)=O)cc1 ZINC001610164432 1171184052 /nfs/dbraw/zinc/18/40/52/1171184052.db2.gz OOSJKIXBIAITGD-OLZOCXBDSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1Cc1ccc(C(N)=O)cc1 ZINC001610164432 1171184057 /nfs/dbraw/zinc/18/40/57/1171184057.db2.gz OOSJKIXBIAITGD-OLZOCXBDSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@H](C(=O)[O-])C1 ZINC001610165651 1171184252 /nfs/dbraw/zinc/18/42/52/1171184252.db2.gz HGUDFOGZENKUTP-MNOVXSKESA-N 0 2 309.322 0.138 20 0 DCADLN COC(=O)N(C)CCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610188962 1171193060 /nfs/dbraw/zinc/19/30/60/1171193060.db2.gz XAXYFKRWHCCEDK-JTQLQIEISA-N 0 2 312.326 0.000 20 0 DCADLN COC(=O)N(C)CCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610188962 1171193065 /nfs/dbraw/zinc/19/30/65/1171193065.db2.gz XAXYFKRWHCCEDK-JTQLQIEISA-N 0 2 312.326 0.000 20 0 DCADLN COCCC[N@@H+](Cc1ccncc1)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001610255120 1171209896 /nfs/dbraw/zinc/20/98/96/1171209896.db2.gz KWUHINXJBBDRFB-AWEZNQCLSA-N 0 2 321.377 0.811 20 0 DCADLN COCCC[N@H+](Cc1ccncc1)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001610255120 1171209898 /nfs/dbraw/zinc/20/98/98/1171209898.db2.gz KWUHINXJBBDRFB-AWEZNQCLSA-N 0 2 321.377 0.811 20 0 DCADLN COc1cc(-c2noc(C[NH2+][C@](C)(C(=O)[O-])C3CC3)n2)ncn1 ZINC001610279312 1171215765 /nfs/dbraw/zinc/21/57/65/1171215765.db2.gz BHUGHKQHVLUTLA-AWEZNQCLSA-N 0 2 319.321 0.878 20 0 DCADLN COc1cccc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c1F ZINC001610357114 1171224363 /nfs/dbraw/zinc/22/43/63/1171224363.db2.gz CBAMFMODVKHABK-NSHDSACASA-N 0 2 310.325 1.000 20 0 DCADLN COc1cccc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c1F ZINC001610357114 1171224371 /nfs/dbraw/zinc/22/43/71/1171224371.db2.gz CBAMFMODVKHABK-NSHDSACASA-N 0 2 310.325 1.000 20 0 DCADLN CS(=O)(=O)C[C@@H]1CCC[N@H+](Cc2c[nH]c(C(=O)[O-])c2)C1 ZINC001610372262 1171226424 /nfs/dbraw/zinc/22/64/24/1171226424.db2.gz LRVXYZKIWKQPPL-SNVBAGLBSA-N 0 2 300.380 0.970 20 0 DCADLN CS(=O)(=O)C[C@@H]1CCC[N@@H+](Cc2c[nH]c(C(=O)[O-])c2)C1 ZINC001610372262 1171226427 /nfs/dbraw/zinc/22/64/27/1171226427.db2.gz LRVXYZKIWKQPPL-SNVBAGLBSA-N 0 2 300.380 0.970 20 0 DCADLN O=C([O-])c1ccc(NC(=O)NCCNc2cccc[nH+]2)nn1 ZINC001610693562 1171292585 /nfs/dbraw/zinc/29/25/85/1171292585.db2.gz RZIIEAGFDDRZFL-UHFFFAOYSA-N 0 2 302.294 0.803 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001610717132 1171297968 /nfs/dbraw/zinc/29/79/68/1171297968.db2.gz DHVAQAVLVRSJQA-CHWSQXEVSA-N 0 2 303.293 0.932 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+]1Cc1ccc(Cl)cc1-n1cncn1 ZINC001610733950 1171301515 /nfs/dbraw/zinc/30/15/15/1171301515.db2.gz CCBRWSPZANROHP-WCQYABFASA-N 0 2 322.752 0.941 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+]1Cc1ccc(Cl)cc1-n1cncn1 ZINC001610733950 1171301524 /nfs/dbraw/zinc/30/15/24/1171301524.db2.gz CCBRWSPZANROHP-WCQYABFASA-N 0 2 322.752 0.941 20 0 DCADLN O=C([O-])CCCCCS(=O)(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001610768438 1171317862 /nfs/dbraw/zinc/31/78/62/1171317862.db2.gz ZERXBUUGLMTVCY-NSHDSACASA-N 0 2 315.395 0.762 20 0 DCADLN O=C(CCc1nn[nH]n1)NCc1nnc(-c2ccc(F)cc2)[nH]1 ZINC001616804950 1171381771 /nfs/dbraw/zinc/38/17/71/1171381771.db2.gz KUYFZDUSLIGHDP-UHFFFAOYSA-N 0 2 316.300 0.373 20 0 DCADLN Cn1cc[nH+]c1CCNS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000040639452 1171494796 /nfs/dbraw/zinc/49/47/96/1171494796.db2.gz MCIQYXJAKLZXNK-UHFFFAOYSA-N 0 2 309.347 0.639 20 0 DCADLN CN(CCCCO)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001633035175 1171631152 /nfs/dbraw/zinc/63/11/52/1171631152.db2.gz UAIXSRLFVUFOFH-UHFFFAOYSA-N 0 2 306.322 0.111 20 0 DCADLN CC(C)NC(=O)C(=O)NNC(=O)c1cc(-c2cccnc2)on1 ZINC000049602871 1171698745 /nfs/dbraw/zinc/69/87/45/1171698745.db2.gz YGVTYJDWCKBHOH-UHFFFAOYSA-N 0 2 317.305 0.022 20 0 DCADLN NS(=O)(=O)c1cc(NC(=O)c2cnc3nc[nH]c3c2)cs1 ZINC001636049894 1171723069 /nfs/dbraw/zinc/72/30/69/1171723069.db2.gz KNTOABHELCDHRS-UHFFFAOYSA-N 0 2 323.359 0.919 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cnc(CCC(F)(F)F)s1 ZINC001638138002 1171787704 /nfs/dbraw/zinc/78/77/04/1171787704.db2.gz WNEYYJNPIQITJA-UHFFFAOYSA-N 0 2 322.268 0.835 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[NH2+]Cc1nc2c(s1)COCC2 ZINC001647543672 1172961148 /nfs/dbraw/zinc/96/11/48/1172961148.db2.gz CZDLEWFJXANFLQ-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)N[C@H]1CNOC1 ZINC001647579324 1172980369 /nfs/dbraw/zinc/98/03/69/1172980369.db2.gz RGTKQKVMFDNBQP-ZETCQYMHSA-N 0 2 309.351 0.442 20 0 DCADLN Nc1[nH+]ccc2c1CN(C(=O)c1c[n-]n3c1nccc3=O)CC2 ZINC001648428127 1173074922 /nfs/dbraw/zinc/07/49/22/1173074922.db2.gz UQVMHAGQDDYHTM-UHFFFAOYSA-N 0 2 310.317 0.198 20 0 DCADLN CC(C)[NH+]1CCN(C(=O)N[C@H](C)[C@H]2C[N@H+](C)CCN2C)CC1 ZINC001649311774 1173096999 /nfs/dbraw/zinc/09/69/99/1173096999.db2.gz MCAMIQCHCMRCLE-HUUCEWRRSA-N 0 2 311.474 0.356 20 0 DCADLN O=C(CCc1cncnc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001649855095 1173262495 /nfs/dbraw/zinc/26/24/95/1173262495.db2.gz WIVSUQRNEJOUSN-NSHDSACASA-N 0 2 302.338 0.639 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@H]3C[C@]34CCOC4)CC2)CC1 ZINC001649954579 1173334680 /nfs/dbraw/zinc/33/46/80/1173334680.db2.gz VBLYDRWCGRFZJK-WBVHZDCISA-N 0 2 307.438 0.651 20 0 DCADLN CCn1[nH]cc(-c2nnc(N3CCN(C)C(=O)C3)n2CC)c1=O ZINC001650309597 1173561424 /nfs/dbraw/zinc/56/14/24/1173561424.db2.gz BJNDLRDPAJPKRQ-UHFFFAOYSA-N 0 2 319.369 0.165 20 0 DCADLN COc1ncc(C=CC(=O)N[C@@H](C)c2nn(C)cc2O)cn1 ZINC001653245479 1173784483 /nfs/dbraw/zinc/78/44/83/1173784483.db2.gz QOUBQZOGGRYZCD-MOVJSRMASA-N 0 2 303.322 0.815 20 0 DCADLN CC(C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)=C1CCC1 ZINC001675305308 1175343534 /nfs/dbraw/zinc/34/35/34/1175343534.db2.gz LQHZYIVGZJRFJR-SNVBAGLBSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NCCOCC1CC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001678616067 1175662288 /nfs/dbraw/zinc/66/22/88/1175662288.db2.gz DWXGQTRXEYDANT-LLVKDONJSA-N 0 2 309.370 0.826 20 0 DCADLN COC(=O)c1ncsc1S(=O)(=O)Nc1nnc(C)o1 ZINC000127700651 1177315373 /nfs/dbraw/zinc/31/53/73/1177315373.db2.gz DNMWRVAECHDBDV-UHFFFAOYSA-N 0 2 304.309 0.422 20 0 DCADLN CC(C)COCC[N@@H+]1CC[C@](O)(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001699908984 1178366433 /nfs/dbraw/zinc/36/64/33/1178366433.db2.gz XHYAPLJCTDPUBI-INIZCTEOSA-N 0 2 324.425 0.178 20 0 DCADLN COCCO[C@@H](C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720130770 1178721181 /nfs/dbraw/zinc/72/11/81/1178721181.db2.gz ZALKUSZDLZOVIT-JGVFFNPUSA-N 0 2 318.267 0.171 20 0 DCADLN COCCO[C@@H](C)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720130770 1178721185 /nfs/dbraw/zinc/72/11/85/1178721185.db2.gz ZALKUSZDLZOVIT-JGVFFNPUSA-N 0 2 318.267 0.171 20 0 DCADLN CC(C)(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1c[nH]cn1 ZINC001703219915 1179401849 /nfs/dbraw/zinc/40/18/49/1179401849.db2.gz ZOXXYCFQRRIQGN-QMMMGPOBSA-N 0 2 324.278 0.820 20 0 DCADLN Cn1ccc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001703372405 1179507128 /nfs/dbraw/zinc/50/71/28/1179507128.db2.gz ZEOCBCDZIYVSKD-MRVPVSSYSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1ccc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001703372405 1179507131 /nfs/dbraw/zinc/50/71/31/1179507131.db2.gz ZEOCBCDZIYVSKD-MRVPVSSYSA-N 0 2 310.251 0.557 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001704528980 1180044717 /nfs/dbraw/zinc/04/47/17/1180044717.db2.gz ZZAHFZVORKTZCZ-ZETCQYMHSA-N 0 2 312.263 0.829 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001704528980 1180044720 /nfs/dbraw/zinc/04/47/20/1180044720.db2.gz ZZAHFZVORKTZCZ-ZETCQYMHSA-N 0 2 312.263 0.829 20 0 DCADLN NC(=O)N1CCCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000153597412 1180548839 /nfs/dbraw/zinc/54/88/39/1180548839.db2.gz TXWHOQNPDTXUDO-UHFFFAOYSA-N 0 2 307.379 0.571 20 0 DCADLN CC(C)(C)/C=C/C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001715277751 1181750998 /nfs/dbraw/zinc/75/09/98/1181750998.db2.gz LKVOAUBSKZSEMK-ZWNMCFTASA-N 0 2 323.397 0.430 20 0 DCADLN CC(C)=C(F)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001718622879 1183292602 /nfs/dbraw/zinc/29/26/02/1183292602.db2.gz YRYKGIJGFMSUJD-HTRCEHHLSA-N 0 2 318.242 0.744 20 0 DCADLN CC(C)=C(F)C(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001718622879 1183292607 /nfs/dbraw/zinc/29/26/07/1183292607.db2.gz YRYKGIJGFMSUJD-HTRCEHHLSA-N 0 2 318.242 0.744 20 0 DCADLN COCCCCC[NH+]1CC(N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001723750987 1184343579 /nfs/dbraw/zinc/34/35/79/1184343579.db2.gz RORDXKYXLSADMT-UHFFFAOYSA-N 0 2 310.398 0.997 20 0 DCADLN COC[C@@H](OC)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001736947665 1187190790 /nfs/dbraw/zinc/19/07/90/1187190790.db2.gz CXNBAGUXWNMFJM-HTQZYQBOSA-N 0 2 318.267 0.171 20 0 DCADLN COC[C@@H](OC)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736947665 1187190792 /nfs/dbraw/zinc/19/07/92/1187190792.db2.gz CXNBAGUXWNMFJM-HTQZYQBOSA-N 0 2 318.267 0.171 20 0 DCADLN Cn1ccc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)n1 ZINC001738514919 1187580992 /nfs/dbraw/zinc/58/09/92/1187580992.db2.gz ZSGSLDCIBURNTD-XADBCAIWSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1ccc(C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001738514919 1187580995 /nfs/dbraw/zinc/58/09/95/1187580995.db2.gz ZSGSLDCIBURNTD-XADBCAIWSA-N 0 2 322.262 0.723 20 0 DCADLN CC(C)OCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001742771490 1188047388 /nfs/dbraw/zinc/04/73/88/1188047388.db2.gz UYYRBIPHOWELMV-VIFPVBQESA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)OCC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001742771490 1188047391 /nfs/dbraw/zinc/04/73/91/1188047391.db2.gz UYYRBIPHOWELMV-VIFPVBQESA-N 0 2 302.268 0.934 20 0 DCADLN CC[N@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@H]1CCCNC1=O ZINC001752172952 1188396762 /nfs/dbraw/zinc/39/67/62/1188396762.db2.gz PLZUFDBAMIEUCD-NSHDSACASA-N 0 2 323.397 0.144 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@H]1CCCNC1=O ZINC001752172952 1188396766 /nfs/dbraw/zinc/39/67/66/1188396766.db2.gz PLZUFDBAMIEUCD-NSHDSACASA-N 0 2 323.397 0.144 20 0 DCADLN O=C(Cc1c[nH]cn1)NC[C@H]1CC[N@H+]1CCOCC(F)F ZINC001754495695 1188866541 /nfs/dbraw/zinc/86/65/41/1188866541.db2.gz PLUUWHJANFHSJN-LLVKDONJSA-N 0 2 302.325 0.424 20 0 DCADLN C[C@@H](CNC(=O)C=Cc1ccc[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001755296718 1189071720 /nfs/dbraw/zinc/07/17/20/1189071720.db2.gz PZOZRSQKWIOUTP-OMMCCPJFSA-N 0 2 304.354 0.488 20 0 DCADLN C[C@@H](CNC(=O)C=Cc1ccc[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001755296718 1189071724 /nfs/dbraw/zinc/07/17/24/1189071724.db2.gz PZOZRSQKWIOUTP-OMMCCPJFSA-N 0 2 304.354 0.488 20 0 DCADLN O=C(NCCCNC(=O)c1ccc2[nH]nnc2c1)c1ccn[nH]1 ZINC001758127101 1189738956 /nfs/dbraw/zinc/73/89/56/1189738956.db2.gz DCYBFXAMZIDVQU-UHFFFAOYSA-N 0 2 313.321 0.231 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCCOC1)C(F)C(F)(F)F ZINC001758140819 1189749137 /nfs/dbraw/zinc/74/91/37/1189749137.db2.gz QVJWESOMFNMJTJ-IUCAKERBSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCCOC1)[C@H](F)C(F)(F)F ZINC001758140819 1189749142 /nfs/dbraw/zinc/74/91/42/1189749142.db2.gz QVJWESOMFNMJTJ-IUCAKERBSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCNC(=O)[C@]12C[C@H]1COC2)C(F)C(F)(F)F ZINC001758191707 1189774413 /nfs/dbraw/zinc/77/44/13/1189774413.db2.gz RFFZZLNQBZFFLS-LAEOZQHASA-N 0 2 312.263 0.546 20 0 DCADLN O=C(NCCCNC(=O)[C@]12C[C@H]1COC2)[C@H](F)C(F)(F)F ZINC001758191707 1189774417 /nfs/dbraw/zinc/77/44/17/1189774417.db2.gz RFFZZLNQBZFFLS-LAEOZQHASA-N 0 2 312.263 0.546 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001758386519 1189863792 /nfs/dbraw/zinc/86/37/92/1189863792.db2.gz ROQJMPJPCMFEEI-SSDOTTSWSA-N 0 2 311.239 0.283 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001758386519 1189863795 /nfs/dbraw/zinc/86/37/95/1189863795.db2.gz ROQJMPJPCMFEEI-SSDOTTSWSA-N 0 2 311.239 0.283 20 0 DCADLN O=C(NCC=CCNC(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC001759718103 1190278632 /nfs/dbraw/zinc/27/86/32/1190278632.db2.gz NYXQXQZEHFAOII-XRHZSYRXSA-N 0 2 309.223 0.107 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nc(C)n1 ZINC001575311504 1163969027 /nfs/dbraw/zinc/96/90/27/1163969027.db2.gz LEPLBAXWGBMSTQ-VIFPVBQESA-N 0 2 322.262 0.840 20 0 DCADLN Cc1cc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)nc(C)n1 ZINC001575311504 1163969040 /nfs/dbraw/zinc/96/90/40/1163969040.db2.gz LEPLBAXWGBMSTQ-VIFPVBQESA-N 0 2 322.262 0.840 20 0 DCADLN CC(C)[C@@H]1CCO[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042736916 751876470 /nfs/dbraw/zinc/87/64/70/751876470.db2.gz OJDBOPRBPNVHET-AAEUAGOBSA-N 0 2 323.397 0.214 20 0 DCADLN CC[C@@H](C(=O)NCC=CCNc1ncnc2[nH]cnc21)[NH+](C)C ZINC001107274639 751987255 /nfs/dbraw/zinc/98/72/55/751987255.db2.gz GBUFITIAQMSBDD-QRGHLMKCSA-N 0 2 317.397 0.729 20 0 DCADLN Cc1ccc(CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001043249623 752152489 /nfs/dbraw/zinc/15/24/89/752152489.db2.gz TXWHNUAIMPBGSN-UHFFFAOYSA-N 0 2 315.377 0.704 20 0 DCADLN O=C(NC[C@@H]1CN(c2ncccn2)CCO1)C(F)C(F)(F)F ZINC001104352018 747252546 /nfs/dbraw/zinc/25/25/46/747252546.db2.gz YNMDSKJARSLSET-BDAKNGLRSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NC[C@@H]1CN(c2ncccn2)CCO1)[C@H](F)C(F)(F)F ZINC001104352018 747252549 /nfs/dbraw/zinc/25/25/49/747252549.db2.gz YNMDSKJARSLSET-BDAKNGLRSA-N 0 2 322.262 0.698 20 0 DCADLN CCCCc1noc(C[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001125734445 747543504 /nfs/dbraw/zinc/54/35/04/747543504.db2.gz QAFDRSZYSXJBEJ-UHFFFAOYSA-N 0 2 322.369 0.669 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H]2CC23CC3)C1)C(F)C(F)(F)F ZINC001043373541 752200487 /nfs/dbraw/zinc/20/04/87/752200487.db2.gz AXFZMXCJTHQMEW-HTQZYQBOSA-N 0 2 324.274 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H]2CC23CC3)C1)[C@@H](F)C(F)(F)F ZINC001043373541 752200493 /nfs/dbraw/zinc/20/04/93/752200493.db2.gz AXFZMXCJTHQMEW-HTQZYQBOSA-N 0 2 324.274 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H]2CC23CC3)C1)C(F)C(F)(F)F ZINC001043373544 752200762 /nfs/dbraw/zinc/20/07/62/752200762.db2.gz AXFZMXCJTHQMEW-SFYZADRCSA-N 0 2 324.274 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@H]2CC23CC3)C1)[C@H](F)C(F)(F)F ZINC001043373544 752200771 /nfs/dbraw/zinc/20/07/71/752200771.db2.gz AXFZMXCJTHQMEW-SFYZADRCSA-N 0 2 324.274 0.376 20 0 DCADLN CC(C)=CC[NH+]1CC(N(C)C(=O)[C@@H]2C[N@@H+]3CC4CC3(C4)CO2)C1 ZINC001043387970 752210931 /nfs/dbraw/zinc/21/09/31/752210931.db2.gz AIKYEWPHVUAWHD-HQVVEAJESA-N 0 2 319.449 0.958 20 0 DCADLN C[C@H]1CCC[N@@H+]1CC(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105327298 748137773 /nfs/dbraw/zinc/13/77/73/748137773.db2.gz ZXTZZSFFFREFBB-LBPRGKRZSA-N 0 2 324.404 0.205 20 0 DCADLN CCCc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001043501924 752260157 /nfs/dbraw/zinc/26/01/57/752260157.db2.gz BCXFHEXZINPVRJ-UHFFFAOYSA-N 0 2 319.369 0.142 20 0 DCADLN C[C@]1(CNC(=O)c2ccoc2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107736181 752267180 /nfs/dbraw/zinc/26/71/80/752267180.db2.gz RRXDAIVWADGEKM-AWEZNQCLSA-N 0 2 321.337 0.124 20 0 DCADLN C[C@H]1[C@H](Nc2[nH+]cccc2-n2cccc2)C(=O)N1S(=O)(=O)[O-] ZINC001167857750 748440289 /nfs/dbraw/zinc/44/02/89/748440289.db2.gz HWGFWZCPRMGPBZ-ONGXEEELSA-N 0 2 322.346 0.686 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccn[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087507038 748897684 /nfs/dbraw/zinc/89/76/84/748897684.db2.gz BQHXKDRZOAZUSG-WCBMZHEXSA-N 0 2 305.342 0.016 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccn[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087507038 748897688 /nfs/dbraw/zinc/89/76/88/748897688.db2.gz BQHXKDRZOAZUSG-WCBMZHEXSA-N 0 2 305.342 0.016 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[NH+](CCCOC(C)C)CC2)c1[O-] ZINC001112768174 761905028 /nfs/dbraw/zinc/90/50/28/761905028.db2.gz RSTNTKLTMJNDCI-UHFFFAOYSA-N 0 2 310.398 0.997 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CC[N@@H+]1Cc1cnoc1C ZINC001087767677 749045876 /nfs/dbraw/zinc/04/58/76/749045876.db2.gz OILPCKGRENLGPU-NWDGAFQWSA-N 0 2 304.354 0.884 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cncs2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088484739 749492999 /nfs/dbraw/zinc/49/29/99/749492999.db2.gz XLFVTFDTGDTFRF-SFYZADRCSA-N 0 2 308.367 0.360 20 0 DCADLN COC(=O)c1nc2ccc(N[C@@H]3CCOC[C@H]3OC)nc2[nH]1 ZINC001168197339 749636958 /nfs/dbraw/zinc/63/69/58/749636958.db2.gz XGAKTGHTAYWERF-PSASIEDQSA-N 0 2 306.322 0.960 20 0 DCADLN Cc1noc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)c1C ZINC001088648480 749827825 /nfs/dbraw/zinc/82/78/25/749827825.db2.gz MAUMKHLRSOTXCU-ZJUUUORDSA-N 0 2 320.353 0.508 20 0 DCADLN CCc1cnc(C[N@@H+]2CC[C@H](NC(=O)c3cnn[n-]3)[C@H]2C)o1 ZINC001088694820 749911942 /nfs/dbraw/zinc/91/19/42/749911942.db2.gz VPOJBTCBUZMLID-KOLCDFICSA-N 0 2 304.354 0.748 20 0 DCADLN CCc1cnc(C[N@H+]2CC[C@H](NC(=O)c3cnn[n-]3)[C@H]2C)o1 ZINC001088694820 749911948 /nfs/dbraw/zinc/91/19/48/749911948.db2.gz VPOJBTCBUZMLID-KOLCDFICSA-N 0 2 304.354 0.748 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2csnn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071713130 762083244 /nfs/dbraw/zinc/08/32/44/762083244.db2.gz ZBZIQCCFUPWJRN-YUMQZZPRSA-N 0 2 323.382 0.145 20 0 DCADLN CC(C)=CC[NH+]1CCN(C(=O)CCCn2c(=O)[n-][nH]c2=O)CC1 ZINC001113042026 762108876 /nfs/dbraw/zinc/10/88/76/762108876.db2.gz IQKDRSZFEGEIHJ-UHFFFAOYSA-N 0 2 323.397 0.580 20 0 DCADLN C[C@@H]1CCCC[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043687273 752350554 /nfs/dbraw/zinc/35/05/54/752350554.db2.gz NMDSAEBAVPWMCS-PWSUYJOCSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1ncccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043701719 752355794 /nfs/dbraw/zinc/35/57/94/752355794.db2.gz FDOYEEYISHOEIP-UHFFFAOYSA-N 0 2 302.338 0.170 20 0 DCADLN O=C(c1ccoc1)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045712333 753299835 /nfs/dbraw/zinc/29/98/35/753299835.db2.gz QSWUEZOKPWHAPH-NEPJUHHUSA-N 0 2 317.349 0.982 20 0 DCADLN O=C(c1ccoc1)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045712333 753299838 /nfs/dbraw/zinc/29/98/38/753299838.db2.gz QSWUEZOKPWHAPH-NEPJUHHUSA-N 0 2 317.349 0.982 20 0 DCADLN Cc1cc(C[NH2+][C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C23CCC3)no1 ZINC001078664964 753332724 /nfs/dbraw/zinc/33/27/24/753332724.db2.gz CQTFHTRORHUBOR-QWHCGFSZSA-N 0 2 316.365 0.932 20 0 DCADLN CC[N@@H+]1CC[C@@H](N2CCN(C(=O)c3[nH]nc(C)c3[O-])CC2)C1 ZINC001046023954 753428070 /nfs/dbraw/zinc/42/80/70/753428070.db2.gz ZVMVUKCKNUAJKB-GFCCVEGCSA-N 0 2 307.398 0.276 20 0 DCADLN CC[N@H+]1CC[C@@H](N2CCN(C(=O)c3[nH]nc(C)c3[O-])CC2)C1 ZINC001046023954 753428072 /nfs/dbraw/zinc/42/80/72/753428072.db2.gz ZVMVUKCKNUAJKB-GFCCVEGCSA-N 0 2 307.398 0.276 20 0 DCADLN CCOCC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCC[NH+]2C)[C@H](OC)C1 ZINC001212465537 753511802 /nfs/dbraw/zinc/51/18/02/753511802.db2.gz LOBMBNWYLIQUDO-RBSFLKMASA-N 0 2 313.442 0.323 20 0 DCADLN Cc1ccncc1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046213277 753563261 /nfs/dbraw/zinc/56/32/61/753563261.db2.gz VNDJWVFZTVAYSS-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccncc1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046213277 753563264 /nfs/dbraw/zinc/56/32/64/753563264.db2.gz VNDJWVFZTVAYSS-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)cnn1 ZINC001046273770 753605574 /nfs/dbraw/zinc/60/55/74/753605574.db2.gz YNTJIQMSSVNAJY-AWEZNQCLSA-N 0 2 317.353 0.003 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046295392 753625472 /nfs/dbraw/zinc/62/54/72/753625472.db2.gz NVLFYQCWJKBITD-OAHLLOKOSA-N 0 2 318.381 0.795 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046295392 753625478 /nfs/dbraw/zinc/62/54/78/753625478.db2.gz NVLFYQCWJKBITD-OAHLLOKOSA-N 0 2 318.381 0.795 20 0 DCADLN CCc1noc([C@H](C)[N@@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046442828 753722471 /nfs/dbraw/zinc/72/24/71/753722471.db2.gz ROHILBNAUXIBJI-XPTSAGLGSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1noc([C@H](C)[N@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046442828 753722476 /nfs/dbraw/zinc/72/24/76/753722476.db2.gz ROHILBNAUXIBJI-XPTSAGLGSA-N 0 2 319.369 0.706 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046502706 753753260 /nfs/dbraw/zinc/75/32/60/753753260.db2.gz LMIQRUOKQIZNLK-ZETOZRRWSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046502706 753753264 /nfs/dbraw/zinc/75/32/64/753753264.db2.gz LMIQRUOKQIZNLK-ZETOZRRWSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C(F)F)C(F)C(F)(F)F ZINC001061639407 754168710 /nfs/dbraw/zinc/16/87/10/754168710.db2.gz QOLZAAXGPCLDBM-RITPCOANSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C(F)F)[C@H](F)C(F)(F)F ZINC001061639407 754168719 /nfs/dbraw/zinc/16/87/19/754168719.db2.gz QOLZAAXGPCLDBM-RITPCOANSA-N 0 2 322.205 0.496 20 0 DCADLN COCCC[C@H](C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113507647 762345997 /nfs/dbraw/zinc/34/59/97/762345997.db2.gz SCUUPOWYVJCNPJ-NSHDSACASA-N 0 2 311.386 0.217 20 0 DCADLN CC(F)(F)C(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049280595 755214709 /nfs/dbraw/zinc/21/47/09/755214709.db2.gz PAQSMGGERDLKRR-IUCAKERBSA-N 0 2 315.324 0.731 20 0 DCADLN COC(=O)c1nc(Cl)c(F)c(N[C@H]2SC(=O)NC2=O)n1 ZINC001163665257 755291583 /nfs/dbraw/zinc/29/15/83/755291583.db2.gz LWFNNRAQBQNOFQ-ZETCQYMHSA-N 0 2 320.689 0.199 20 0 DCADLN Cc1nnc([C@H](C)N2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnn[nH]2)C3)[nH]1 ZINC001097413590 755659593 /nfs/dbraw/zinc/65/95/93/755659593.db2.gz PJRRNOXPUQIIFB-UYAIAHHASA-N 0 2 316.369 0.328 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079653664 755945847 /nfs/dbraw/zinc/94/58/47/755945847.db2.gz SWRWXWBKVWSWRP-BXKDBHETSA-N 0 2 318.381 0.651 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079653664 755945851 /nfs/dbraw/zinc/94/58/51/755945851.db2.gz SWRWXWBKVWSWRP-BXKDBHETSA-N 0 2 318.381 0.651 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCCOCC1 ZINC001079639773 755950851 /nfs/dbraw/zinc/95/08/51/755950851.db2.gz RTDSATLKVNLSAF-IJLUTSLNSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCCOCC1 ZINC001079639773 755950853 /nfs/dbraw/zinc/95/08/53/755950853.db2.gz RTDSATLKVNLSAF-IJLUTSLNSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001080298800 756155887 /nfs/dbraw/zinc/15/58/87/756155887.db2.gz CZCWHFHNTDWAIN-LDMBFOFVSA-N 0 2 305.382 0.493 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001080298800 756155893 /nfs/dbraw/zinc/15/58/93/756155893.db2.gz CZCWHFHNTDWAIN-LDMBFOFVSA-N 0 2 305.382 0.493 20 0 DCADLN O=C(c1ccco1)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072403288 762473114 /nfs/dbraw/zinc/47/31/14/762473114.db2.gz NWNHQOGRKNZEQG-UHFFFAOYSA-N 0 2 303.322 0.451 20 0 DCADLN O=C(c1ccco1)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072403288 762473119 /nfs/dbraw/zinc/47/31/19/762473119.db2.gz NWNHQOGRKNZEQG-UHFFFAOYSA-N 0 2 303.322 0.451 20 0 DCADLN CCC[N@@H+](C)CC(=O)NC[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001097989624 756785388 /nfs/dbraw/zinc/78/53/88/756785388.db2.gz BXDOEXUHYPDIJA-JTQLQIEISA-N 0 2 305.386 0.611 20 0 DCADLN CC[C@H](C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001057023207 757036966 /nfs/dbraw/zinc/03/69/66/757036966.db2.gz UUSXZLMMBPJQCB-DTWKUNHWSA-N 0 2 316.295 0.870 20 0 DCADLN CC[C@H](C)C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001057023207 757036974 /nfs/dbraw/zinc/03/69/74/757036974.db2.gz UUSXZLMMBPJQCB-DTWKUNHWSA-N 0 2 316.295 0.870 20 0 DCADLN O=C(c1cccs1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084165765 757701775 /nfs/dbraw/zinc/70/17/75/757701775.db2.gz FEHWFYLFBKPHOW-NXEZZACHSA-N 0 2 319.390 0.918 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084253489 757738178 /nfs/dbraw/zinc/73/81/78/757738178.db2.gz HKXDWQZJTOADPR-OPVGQWETSA-N 0 2 303.366 0.509 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084253489 757738185 /nfs/dbraw/zinc/73/81/85/757738185.db2.gz HKXDWQZJTOADPR-OPVGQWETSA-N 0 2 303.366 0.509 20 0 DCADLN O=C(N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1(CF)CC1 ZINC001084650937 757948961 /nfs/dbraw/zinc/94/89/61/757948961.db2.gz ULKCQDASSBUZGH-NXEZZACHSA-N 0 2 309.345 0.293 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)c1ccsc1 ZINC001050813465 758103866 /nfs/dbraw/zinc/10/38/66/758103866.db2.gz VQHVAYDYNDXDNP-JTQLQIEISA-N 0 2 323.378 0.203 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1)C(F)F ZINC001065236248 758299937 /nfs/dbraw/zinc/29/99/37/758299937.db2.gz BJLRGZSQZLDJOP-NTSWFWBYSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1)C(F)F ZINC001065236248 758299944 /nfs/dbraw/zinc/29/99/44/758299944.db2.gz BJLRGZSQZLDJOP-NTSWFWBYSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC12CCC2 ZINC001051143098 758464016 /nfs/dbraw/zinc/46/40/16/758464016.db2.gz WPCRGKXXXZHPCR-MNOVXSKESA-N 0 2 321.381 0.018 20 0 DCADLN CCc1cc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]n1 ZINC001085493664 758947006 /nfs/dbraw/zinc/94/70/06/758947006.db2.gz ZOYDUWJITRTHNH-SNVBAGLBSA-N 0 2 319.369 0.142 20 0 DCADLN Cc1nc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001085559604 759050520 /nfs/dbraw/zinc/05/05/20/759050520.db2.gz SUGVEHUBYFCDJA-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001085564993 759057141 /nfs/dbraw/zinc/05/71/41/759057141.db2.gz ZQCZIFIEKROHFX-NSHDSACASA-N 0 2 305.338 0.758 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@@H](CO)c1cccnc1 ZINC000828677769 759058897 /nfs/dbraw/zinc/05/88/97/759058897.db2.gz LXPAARNYCBZJJQ-VIFPVBQESA-N 0 2 319.283 0.688 20 0 DCADLN CN(C[C@@H]1CC[N@@H+]1C/C=C\Cl)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699848 759211663 /nfs/dbraw/zinc/21/16/63/759211663.db2.gz XMOVZPXLTWZEKH-LTMVXPGCSA-N 0 2 313.745 0.182 20 0 DCADLN CN(C[C@@H]1CC[N@H+]1C/C=C\Cl)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699848 759211665 /nfs/dbraw/zinc/21/16/65/759211665.db2.gz XMOVZPXLTWZEKH-LTMVXPGCSA-N 0 2 313.745 0.182 20 0 DCADLN Cc1ccc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001122761316 767870785 /nfs/dbraw/zinc/87/07/85/767870785.db2.gz QGLRLXFNWVCZKR-APPZFPTMSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccc(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001122761316 767870790 /nfs/dbraw/zinc/87/07/90/767870790.db2.gz QGLRLXFNWVCZKR-APPZFPTMSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccnc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122762241 767871648 /nfs/dbraw/zinc/87/16/48/767871648.db2.gz XDVOLYVGVZITIX-JGVFFNPUSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccnc(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001122762241 767871653 /nfs/dbraw/zinc/87/16/53/767871653.db2.gz XDVOLYVGVZITIX-JGVFFNPUSA-N 0 2 310.251 0.574 20 0 DCADLN CC[N@@H+]1CCn2ncc(CNC(=O)c3[nH]nc(C)c3[O-])c2C1 ZINC001069857826 760905522 /nfs/dbraw/zinc/90/55/22/760905522.db2.gz NWROBBLIXOMTCI-UHFFFAOYSA-N 0 2 304.354 0.386 20 0 DCADLN CC[N@H+]1CCn2ncc(CNC(=O)c3[nH]nc(C)c3[O-])c2C1 ZINC001069857826 760905525 /nfs/dbraw/zinc/90/55/25/760905525.db2.gz NWROBBLIXOMTCI-UHFFFAOYSA-N 0 2 304.354 0.386 20 0 DCADLN CC(F)(F)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109686949 761508274 /nfs/dbraw/zinc/50/82/74/761508274.db2.gz QMNCUXKUSWCQAC-VGMNWLOBSA-N 0 2 315.324 0.777 20 0 DCADLN CCC(=O)N1C[C@H](C)O[C@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071116868 761631171 /nfs/dbraw/zinc/63/11/71/761631171.db2.gz QRGAZTFNYINGQC-HZMBPMFUSA-N 0 2 309.370 0.112 20 0 DCADLN CCC(=O)N1C[C@H](C)O[C@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071116868 761631173 /nfs/dbraw/zinc/63/11/73/761631173.db2.gz QRGAZTFNYINGQC-HZMBPMFUSA-N 0 2 309.370 0.112 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cn[nH]c2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071361322 761795960 /nfs/dbraw/zinc/79/59/60/761795960.db2.gz OOTPWPFQWPTOHD-WPRPVWTQSA-N 0 2 305.342 0.016 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cscn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071378686 761809268 /nfs/dbraw/zinc/80/92/68/761809268.db2.gz NPPFZCWXNGJURI-RKDXNWHRSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccn(C)c2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071428280 761842558 /nfs/dbraw/zinc/84/25/58/761842558.db2.gz YKWLORUTWMDSOX-JQWIXIFHSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccn(C)c2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071428280 761842567 /nfs/dbraw/zinc/84/25/67/761842567.db2.gz YKWLORUTWMDSOX-JQWIXIFHSA-N 0 2 318.381 0.632 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001071464243 761880753 /nfs/dbraw/zinc/88/07/53/761880753.db2.gz ZIMPQNJCJZGJDC-PSASIEDQSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(CCC1CCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099776633 763029807 /nfs/dbraw/zinc/02/98/07/763029807.db2.gz VNZVVFHBAMRHIM-NWDGAFQWSA-N 0 2 323.397 0.142 20 0 DCADLN CCC(=CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O)CC ZINC001099781656 763034458 /nfs/dbraw/zinc/03/44/58/763034458.db2.gz ZSRRHGBVRQMFDK-RYUDHWBXSA-N 0 2 323.397 0.308 20 0 DCADLN CCCC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CC1 ZINC001100003265 763203202 /nfs/dbraw/zinc/20/32/02/763203202.db2.gz CELFXHMURUJBPO-MNOVXSKESA-N 0 2 323.397 0.142 20 0 DCADLN CN(CCNc1nccn2nnnc12)C(=O)C(F)C(F)(F)F ZINC001102002842 764479071 /nfs/dbraw/zinc/47/90/71/764479071.db2.gz CEWBOAVSQGWPSW-ZCFIWIBFSA-N 0 2 321.238 0.290 20 0 DCADLN CN(CCNc1nccn2nnnc12)C(=O)[C@@H](F)C(F)(F)F ZINC001102002842 764479080 /nfs/dbraw/zinc/47/90/80/764479080.db2.gz CEWBOAVSQGWPSW-ZCFIWIBFSA-N 0 2 321.238 0.290 20 0 DCADLN O=C(NC[C@H]1COCCN1c1ncccn1)C(F)C(F)(F)F ZINC001111724338 765368465 /nfs/dbraw/zinc/36/84/65/765368465.db2.gz OEVGZGIOAMYFFZ-IUCAKERBSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NC[C@H]1COCCN1c1ncccn1)[C@H](F)C(F)(F)F ZINC001111724338 765368470 /nfs/dbraw/zinc/36/84/70/765368470.db2.gz OEVGZGIOAMYFFZ-IUCAKERBSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NCC1(Nc2[nH+]cnc3nc[nH]c32)CCC1)c1nnc[n-]1 ZINC001111831109 765400925 /nfs/dbraw/zinc/40/09/25/765400925.db2.gz ROXONVZYDAUGTE-UHFFFAOYSA-N 0 2 313.325 0.236 20 0 DCADLN Cc1nc(NC[C@H]2CN(C(=O)C[N@@H+](C)C(C)C)CCO2)cc[nH+]1 ZINC001111950670 765437321 /nfs/dbraw/zinc/43/73/21/765437321.db2.gz WQXYHPSBMQTOOF-AWEZNQCLSA-N 0 2 321.425 0.765 20 0 DCADLN CN(C[C@H](O)CNc1ncccn1)C(=O)C(F)C(F)(F)F ZINC001124809611 768308468 /nfs/dbraw/zinc/30/84/68/768308468.db2.gz YBNKNERMOGGARJ-SFYZADRCSA-N 0 2 310.251 0.608 20 0 DCADLN CN(C[C@H](O)CNc1ncccn1)C(=O)[C@H](F)C(F)(F)F ZINC001124809611 768308475 /nfs/dbraw/zinc/30/84/75/768308475.db2.gz YBNKNERMOGGARJ-SFYZADRCSA-N 0 2 310.251 0.608 20 0 DCADLN CC(C)C[NH2+]C1(CNC(=O)c2n[nH]c(=O)[n-]c2=O)CCCCC1 ZINC001115615600 765768930 /nfs/dbraw/zinc/76/89/30/765768930.db2.gz ILGHTYRLCLTYMA-UHFFFAOYSA-N 0 2 323.397 0.961 20 0 DCADLN NC(=O)c1cc(C(=O)NN2C(=O)NC3(CCCCC3)C2=O)co1 ZINC001116578838 765885333 /nfs/dbraw/zinc/88/53/33/765885333.db2.gz FDPOPUMVOXQYEQ-UHFFFAOYSA-N 0 2 320.305 0.278 20 0 DCADLN CC(C)(C)c1cnc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)s1 ZINC001116858510 765920468 /nfs/dbraw/zinc/92/04/68/765920468.db2.gz ZHJWKJGLQJSVCT-UHFFFAOYSA-N 0 2 324.366 0.519 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H](O)c1cccc(Cl)c1 ZINC001144833211 767056684 /nfs/dbraw/zinc/05/66/84/767056684.db2.gz KKQSMOITCXUCPD-OIBJUYFYSA-N 0 2 300.723 0.799 20 0 DCADLN COCc1nc(CNC(=O)c2cc(C)cc(C=O)c2O)n[nH]1 ZINC001146259815 767422046 /nfs/dbraw/zinc/42/20/46/767422046.db2.gz LMTHREZCUCOFEU-UHFFFAOYSA-N 0 2 304.306 0.708 20 0 DCADLN Cc1nc(NCCNC(=O)c2ccc3oc(=O)nc-3[n-]2)cc[nH+]1 ZINC001093761134 768717232 /nfs/dbraw/zinc/71/72/32/768717232.db2.gz RVEXWNXUOREPEL-UHFFFAOYSA-N 0 2 314.305 0.869 20 0 DCADLN CN(C)C(=O)N1CC[NH+](Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231789101 769285027 /nfs/dbraw/zinc/28/50/27/769285027.db2.gz AUMKQZPAHOHBDH-UHFFFAOYSA-N 0 2 321.377 0.949 20 0 DCADLN CO[C@@H](C)CCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233827717 769465756 /nfs/dbraw/zinc/46/57/56/769465756.db2.gz KTTVLDBCTWZVFJ-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN CCOc1nnc(C[NH2+]CCNC(=O)C[N@@H+](C)C(C)C)s1 ZINC001152444937 769558178 /nfs/dbraw/zinc/55/81/78/769558178.db2.gz CIQQGTKADWKTGC-UHFFFAOYSA-N 0 2 315.443 0.483 20 0 DCADLN COC(=O)c1n[nH]c(C(=O)OC)c1OC1=C(C)[C@H](C)OC1=O ZINC001234191258 769579383 /nfs/dbraw/zinc/57/93/83/769579383.db2.gz YGNMSRWVYDAMRO-LURJTMIESA-N 0 2 310.262 0.581 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc2c(=O)cc[nH]c2c1 ZINC001152570421 769603607 /nfs/dbraw/zinc/60/36/07/769603607.db2.gz YIXSXMZNKFTZGR-GFCCVEGCSA-N 0 2 303.299 0.567 20 0 DCADLN NC(=O)c1n[nH]cc1NC(=O)c1cc(=O)c2cccc(O)c2[nH]1 ZINC001152668951 769620882 /nfs/dbraw/zinc/62/08/82/769620882.db2.gz BKTDOTGEAOKAQU-UHFFFAOYSA-N 0 2 313.273 0.720 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)[nH]n1 ZINC001095212877 769660836 /nfs/dbraw/zinc/66/08/36/769660836.db2.gz IEXTWZLGZPVNMH-YWVKMMECSA-N 0 2 317.353 0.077 20 0 DCADLN COCCn1cc(NS(=O)(=O)c2cc(N)ccc2N)cn1 ZINC001174553039 769764694 /nfs/dbraw/zinc/76/46/94/769764694.db2.gz PCVLDJVZEYFWLQ-UHFFFAOYSA-N 0 2 311.367 0.495 20 0 DCADLN COCCOC1CN(C(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153857104 769965358 /nfs/dbraw/zinc/96/53/58/769965358.db2.gz ITFOSYDBKSMFDQ-UHFFFAOYSA-N 0 2 303.318 0.411 20 0 DCADLN CN1C[C@@H]2CN(C(=O)c3c[nH]c4cccnc4c3=O)C[C@@H]2C1=O ZINC001153858605 769965398 /nfs/dbraw/zinc/96/53/98/769965398.db2.gz VLUMATOGFYTPMM-KOLCDFICSA-N 0 2 312.329 0.083 20 0 DCADLN O=C(N[C@H]1CCc2n[nH]cc2C1)c1c[nH]c2cccnc2c1=O ZINC001153862249 769970940 /nfs/dbraw/zinc/97/09/40/769970940.db2.gz RNOVVTRRYIFXRG-JTQLQIEISA-N 0 2 309.329 0.934 20 0 DCADLN CC1(C)C(=O)NC[C@@H]1NC(=O)c1c[nH]c2cccnc2c1=O ZINC001153863489 769971108 /nfs/dbraw/zinc/97/11/08/769971108.db2.gz PNFNSQWNSIKTCO-JTQLQIEISA-N 0 2 300.318 0.178 20 0 DCADLN OCCn1cc(Nc2nonc2-c2cn3ccncc3n2)cn1 ZINC001176472083 770111309 /nfs/dbraw/zinc/11/13/09/770111309.db2.gz TYVYVTPROBSCOP-UHFFFAOYSA-N 0 2 312.293 0.712 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[NH2+]Cc2noc(C(C)C)n2)c1[O-] ZINC001156620595 770874886 /nfs/dbraw/zinc/87/48/86/770874886.db2.gz ODLGMHGQPLPTCN-UHFFFAOYSA-N 0 2 322.369 0.840 20 0 DCADLN O=C(COc1ccc(C[C@@H]2SC(=O)NC2=O)cc1)NCCO ZINC001182543495 771508548 /nfs/dbraw/zinc/50/85/48/771508548.db2.gz WHKVZGYTKHAXLT-NSHDSACASA-N 0 2 324.358 0.068 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@]1([C@@H]2CCCCO2)CCCO1 ZINC001182832176 771562928 /nfs/dbraw/zinc/56/29/28/771562928.db2.gz FPFJZNMWYMXFTM-XHDPSFHLSA-N 0 2 324.381 0.677 20 0 DCADLN COCC[N@H+](C)C[C@H]1CCCCN1C(=O)[C@H]1C[NH+](C)CCO1 ZINC001157747930 771663421 /nfs/dbraw/zinc/66/34/21/771663421.db2.gz LASKEXJBPZPALZ-HUUCEWRRSA-N 0 2 313.442 0.276 20 0 DCADLN O=C(CC[C@H]1NC(=O)NC1=O)Nc1[nH][nH]c2cc(=O)ccc1-2 ZINC001159056477 771854310 /nfs/dbraw/zinc/85/43/10/771854310.db2.gz WLISPKLDZCTKFU-MRVPVSSYSA-N 0 2 303.278 0.195 20 0 DCADLN O=S(=O)(Nc1ncccn1)c1ccc(-c2nn[nH]n2)cc1 ZINC001185556215 771927434 /nfs/dbraw/zinc/92/74/34/771927434.db2.gz XGCCUJAAOSFZMZ-UHFFFAOYSA-N 0 2 303.307 0.458 20 0 DCADLN O=C(CCC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110411163 772503818 /nfs/dbraw/zinc/50/38/18/772503818.db2.gz ZCRHSDVBTXKKQN-UTUOFQBUSA-N 0 2 305.382 0.922 20 0 DCADLN O=C(CCC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110411163 772503821 /nfs/dbraw/zinc/50/38/21/772503821.db2.gz ZCRHSDVBTXKKQN-UTUOFQBUSA-N 0 2 305.382 0.922 20 0 DCADLN COC(=O)c1nc2ccc(N[C@@H]3C=CS(=O)(=O)C3)nc2[nH]1 ZINC001164019635 772605562 /nfs/dbraw/zinc/60/55/62/772605562.db2.gz BKTDIHMUDXWSQS-SSDOTTSWSA-N 0 2 308.319 0.467 20 0 DCADLN COC(=O)c1nc2ccc(N[C@@H]3C=CS(=O)(=O)C3)[nH]c-2n1 ZINC001164019635 772605563 /nfs/dbraw/zinc/60/55/63/772605563.db2.gz BKTDIHMUDXWSQS-SSDOTTSWSA-N 0 2 308.319 0.467 20 0 DCADLN COC(=O)NCCNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001190304154 772614453 /nfs/dbraw/zinc/61/44/53/772614453.db2.gz WATWLXZLLFVKIV-UHFFFAOYSA-N 0 2 323.758 0.840 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccccc1-n1ccnn1 ZINC001190396007 772623204 /nfs/dbraw/zinc/62/32/04/772623204.db2.gz COZZAHXFSYEMCW-NSHDSACASA-N 0 2 303.303 0.306 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnc(Br)o1 ZINC001191725883 772811479 /nfs/dbraw/zinc/81/14/79/772811479.db2.gz OHJPWBMKSPAQRB-RXMQYKEDSA-N 0 2 306.097 0.476 20 0 DCADLN NC(=O)c1ncc(NS(=O)(=O)c2cnccc2Cl)cn1 ZINC001192854665 772970231 /nfs/dbraw/zinc/97/02/31/772970231.db2.gz BSCKLLFYQULDEN-UHFFFAOYSA-N 0 2 313.726 0.425 20 0 DCADLN C[C@@H]1CN(Cc2nncn2C)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207023324 773163686 /nfs/dbraw/zinc/16/36/86/773163686.db2.gz UIAYJKLIBJYZMN-NQMVMOMDSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H]1CN(Cc2nncn2C)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001207023324 773163689 /nfs/dbraw/zinc/16/36/89/773163689.db2.gz UIAYJKLIBJYZMN-NQMVMOMDSA-N 0 2 323.294 0.652 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2nc(Cl)nc3[nH]cnc32)[nH]n1 ZINC001194279167 773165766 /nfs/dbraw/zinc/16/57/66/773165766.db2.gz QXGKTUADEJRWBP-UHFFFAOYSA-N 0 2 321.684 0.768 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cnc(OC)cc2OC)[nH]n1 ZINC001194283256 773175902 /nfs/dbraw/zinc/17/59/02/773175902.db2.gz MJLYVWZDKZGTLR-UHFFFAOYSA-N 0 2 306.278 0.861 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cnc(OC)cc2OC)n[nH]1 ZINC001194283256 773175905 /nfs/dbraw/zinc/17/59/05/773175905.db2.gz MJLYVWZDKZGTLR-UHFFFAOYSA-N 0 2 306.278 0.861 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cnc3c(cnn3C)c2)[nH]n1 ZINC001194283244 773176460 /nfs/dbraw/zinc/17/64/60/773176460.db2.gz MFAJXQSLVXKDIR-UHFFFAOYSA-N 0 2 300.278 0.730 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cnc3c(cnn3C)c2)n[nH]1 ZINC001194283244 773176461 /nfs/dbraw/zinc/17/64/61/773176461.db2.gz MFAJXQSLVXKDIR-UHFFFAOYSA-N 0 2 300.278 0.730 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2c(C)cnn2C2COC2)cn1 ZINC001195693568 773464217 /nfs/dbraw/zinc/46/42/17/773464217.db2.gz SOKCUGUCFXOMIX-UHFFFAOYSA-N 0 2 324.362 0.967 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccnc(OC(F)F)c1 ZINC001195941431 773499440 /nfs/dbraw/zinc/49/94/40/773499440.db2.gz CWGUWDQVYPESSN-UHFFFAOYSA-N 0 2 310.278 0.988 20 0 DCADLN COCC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001211163090 773776515 /nfs/dbraw/zinc/77/65/15/773776515.db2.gz DNUMWHYVUABVRX-GMTAPVOTSA-N 0 2 311.386 0.119 20 0 DCADLN COCC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001211163090 773776518 /nfs/dbraw/zinc/77/65/18/773776518.db2.gz DNUMWHYVUABVRX-GMTAPVOTSA-N 0 2 311.386 0.119 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@H](C)C(C)C ZINC001213513694 773855923 /nfs/dbraw/zinc/85/59/23/773855923.db2.gz DATDTKUECWRDLT-GMTAPVOTSA-N 0 2 311.386 0.118 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2cccc(Cl)n2)S1 ZINC001198246046 773885556 /nfs/dbraw/zinc/88/55/56/773885556.db2.gz XBHLYQZTZNIRJC-SSDOTTSWSA-N 0 2 307.740 0.322 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccc3nnc(C)n3c2)n1C ZINC001198360741 773916203 /nfs/dbraw/zinc/91/62/03/773916203.db2.gz DOMRRJDMEXULRL-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cc(F)cc(C(N)=O)c2)n1C ZINC001198400840 773917357 /nfs/dbraw/zinc/91/73/57/773917357.db2.gz SHBGMBLOGVDRGK-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)nn1Cc1ccccn1 ZINC001199661654 774167677 /nfs/dbraw/zinc/16/76/77/774167677.db2.gz CQBFLTNBCMVOTD-UHFFFAOYSA-N 0 2 313.321 0.389 20 0 DCADLN CN(C)c1nc(NC(=O)[C@H](N)C(F)(F)F)c(N=O)c(=O)[nH]1 ZINC001218662434 774276263 /nfs/dbraw/zinc/27/62/63/774276263.db2.gz FQYSSOKZXLXMLH-BYPYZUCNSA-N 0 2 308.220 0.474 20 0 DCADLN CN(C)c1nc(NC(=O)C(N)C(F)(F)F)c(N=O)c(=O)[nH]1 ZINC001218662434 774276266 /nfs/dbraw/zinc/27/62/66/774276266.db2.gz FQYSSOKZXLXMLH-BYPYZUCNSA-N 0 2 308.220 0.474 20 0 DCADLN [NH3+][C@H](Cc1cnc[nH]1)C(=O)Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC001219549854 774532759 /nfs/dbraw/zinc/53/27/59/774532759.db2.gz DBSFNPRCGJEZGP-CYBMUJFWSA-N 0 2 312.333 0.810 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2ccnc(CO)c2)c1 ZINC001201843934 774564673 /nfs/dbraw/zinc/56/46/73/774564673.db2.gz PTRGJPRONCUICE-UHFFFAOYSA-N 0 2 323.330 0.556 20 0 DCADLN COC(=O)CCCS(=O)(=O)Nc1ncnc(OC)c1OC ZINC001201904935 774575435 /nfs/dbraw/zinc/57/54/35/774575435.db2.gz AOXVQRYSWZNYCK-UHFFFAOYSA-N 0 2 319.339 0.189 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccsn1 ZINC001095598889 775254309 /nfs/dbraw/zinc/25/43/09/775254309.db2.gz CDENLRUWARGJCE-JEZHCXPESA-N 0 2 320.378 0.502 20 0 DCADLN CC(C)(C)C(=O)C(=O)NCC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001222672408 775412006 /nfs/dbraw/zinc/41/20/06/775412006.db2.gz LUUPZAXLVOHKMY-UHFFFAOYSA-N 0 2 323.397 0.454 20 0 DCADLN C[C@H](Oc1[nH]c(=O)nc2c(=O)[nH]c(=O)[nH]c21)C1CCOCC1 ZINC001226887498 775891612 /nfs/dbraw/zinc/89/16/12/775891612.db2.gz HJRHFWMPIVLIAY-LURJTMIESA-N 0 2 308.294 0.731 20 0 DCADLN CC[C@H](C)OCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226925525 775897659 /nfs/dbraw/zinc/89/76/59/775897659.db2.gz BADDJBUCJVBBAL-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN Cn1ncc(CN2CCC(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001227474353 775977031 /nfs/dbraw/zinc/97/70/31/775977031.db2.gz PQDLPDSWCBTPNI-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CCC(NC(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001227474353 775977037 /nfs/dbraw/zinc/97/70/37/775977037.db2.gz PQDLPDSWCBTPNI-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnc2nc(=O)[nH]c(O[C@@H]3[C@H]4C[C@H]5C(=O)O[C@H]3[C@H]5C4)c21 ZINC001228677457 776112329 /nfs/dbraw/zinc/11/23/29/776112329.db2.gz QPSRSPFJPZENLV-RFCKSZSTSA-N 0 2 302.290 0.398 20 0 DCADLN CC(C)(C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C(F)F ZINC001229124576 776161510 /nfs/dbraw/zinc/16/15/10/776161510.db2.gz FUVOBOJGSXKNNA-UHFFFAOYSA-N 0 2 317.340 0.882 20 0 DCADLN CCC(=O)NCCCN(Cc1n[nH]c(=O)[nH]1)Cc1cccnc1 ZINC001230632497 776360959 /nfs/dbraw/zinc/36/09/59/776360959.db2.gz IWBITCXEYFULOO-UHFFFAOYSA-N 0 2 318.381 0.824 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C=Cc1ccco1 ZINC001230639413 776363953 /nfs/dbraw/zinc/36/39/53/776363953.db2.gz VQBULNLXIIOYCV-WYGGZMRJSA-N 0 2 317.349 0.849 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CC[C@]2(CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001041713157 777443384 /nfs/dbraw/zinc/44/33/84/777443384.db2.gz DMBCOMPICXDTBB-BBRMVZONSA-N 0 2 319.409 0.141 20 0 DCADLN COC(=O)[C@@H]1CC[N@H+](CC2(CC(=O)[O-])CC2)[C@@H](C(=O)OC)C1 ZINC001598797533 1168038303 /nfs/dbraw/zinc/03/83/03/1168038303.db2.gz FADLPGVBLSUKFS-GHMZBOCLSA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)[C@@H]1CC[N@@H+](CC2(CC(=O)[O-])CC2)[C@@H](C(=O)OC)C1 ZINC001598797533 1168038426 /nfs/dbraw/zinc/03/84/26/1168038426.db2.gz FADLPGVBLSUKFS-GHMZBOCLSA-N 0 2 313.350 0.668 20 0 DCADLN O=C([O-])CN(C1CCCCC1)S(=O)(=O)CCn1cc[nH+]c1 ZINC001602053890 1168977791 /nfs/dbraw/zinc/97/77/91/1168977791.db2.gz RHIKFKLSSKUEHB-UHFFFAOYSA-N 0 2 315.395 0.932 20 0 DCADLN O=C(COCC(F)F)NCCNC(=O)C(F)C(F)(F)F ZINC001283057904 939215363 /nfs/dbraw/zinc/21/53/63/939215363.db2.gz JLRBPMQRZFWKDW-SSDOTTSWSA-N 0 2 310.194 0.401 20 0 DCADLN O=C(COCC(F)F)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001283057904 939215367 /nfs/dbraw/zinc/21/53/67/939215367.db2.gz JLRBPMQRZFWKDW-SSDOTTSWSA-N 0 2 310.194 0.401 20 0 DCADLN C[N@H+](CCNC(=O)c1cn2ccccc2n1)Cc1n[nH]c(=O)[n-]1 ZINC001267229952 939396760 /nfs/dbraw/zinc/39/67/60/939396760.db2.gz QTABPNKSBGJRRC-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN C[N@@H+](CCNC(=O)c1cn2ccccc2n1)Cc1n[nH]c(=O)[n-]1 ZINC001267229952 939396763 /nfs/dbraw/zinc/39/67/63/939396763.db2.gz QTABPNKSBGJRRC-UHFFFAOYSA-N 0 2 315.337 0.020 20 0 DCADLN CN(CCNC(=O)CCCC(N)=O)C(=O)C(F)C(F)(F)F ZINC001408612984 939469125 /nfs/dbraw/zinc/46/91/25/939469125.db2.gz PZVMZTIYCYCCEB-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN CN(CCNC(=O)CCCC(N)=O)C(=O)[C@@H](F)C(F)(F)F ZINC001408612984 939469126 /nfs/dbraw/zinc/46/91/26/939469126.db2.gz PZVMZTIYCYCCEB-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN Cc1nc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c(C)o1 ZINC001481191541 939603352 /nfs/dbraw/zinc/60/33/52/939603352.db2.gz GQNPRBMHHZDXIC-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1nc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c(C)o1 ZINC001481191541 939603354 /nfs/dbraw/zinc/60/33/54/939603354.db2.gz GQNPRBMHHZDXIC-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2csnn2)c1[O-] ZINC001268528647 940218229 /nfs/dbraw/zinc/21/82/29/940218229.db2.gz KADRDATVHYVMQK-NSCUHMNNSA-N 0 2 308.367 0.351 20 0 DCADLN CC(C)(F)C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001269041165 940599985 /nfs/dbraw/zinc/59/99/85/940599985.db2.gz VCQSDVLJCQBHFZ-UHFFFAOYSA-N 0 2 323.284 0.943 20 0 DCADLN CCOCCC[NH+]1CCO[C@H](CNC(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001270629329 940948259 /nfs/dbraw/zinc/94/82/59/940948259.db2.gz OBKRDQRKXRBPAF-CABCVRRESA-N 0 2 313.442 0.324 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(F)cn1 ZINC001482591412 941543016 /nfs/dbraw/zinc/54/30/16/941543016.db2.gz JKOAOWZFDWWYAD-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(F)cn1 ZINC001482591412 941543018 /nfs/dbraw/zinc/54/30/18/941543018.db2.gz JKOAOWZFDWWYAD-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CCc1nc(C[NH2+]C[C@H](C)NC(=O)Cc2n[nH]c(C)n2)cs1 ZINC001483226040 941949265 /nfs/dbraw/zinc/94/92/65/941949265.db2.gz FPCOQXGWBMEMPS-VIFPVBQESA-N 0 2 322.438 0.969 20 0 DCADLN COc1cccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001483407882 942340624 /nfs/dbraw/zinc/34/06/24/942340624.db2.gz IBRDEGHJMOPLSG-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN COc1cccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001483407882 942340631 /nfs/dbraw/zinc/34/06/31/942340631.db2.gz IBRDEGHJMOPLSG-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)c2cnc(C(=O)[O-])cn2)C1 ZINC001600983813 970893549 /nfs/dbraw/zinc/89/35/49/970893549.db2.gz BSBLEQAHJNVUKZ-SECBINFHSA-N 0 2 301.306 0.538 20 0 DCADLN COC1([C@@H](C)NC(=O)C[C@H]2SC(=N)NC2=O)CCOCC1 ZINC001413129012 942515975 /nfs/dbraw/zinc/51/59/75/942515975.db2.gz CQLJPFSXRUDKFM-RKDXNWHRSA-N 0 2 315.395 0.243 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)N(C)C[C@@H](C)C(=O)[O-])ccc2[nH+]1 ZINC001611215507 970937814 /nfs/dbraw/zinc/93/78/14/970937814.db2.gz CIWOSSYAFOXFHK-SECBINFHSA-N 0 2 318.333 0.760 20 0 DCADLN Cn1cc(CN2CC[C@](C)(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001484045483 943108069 /nfs/dbraw/zinc/10/80/69/943108069.db2.gz SPRYZLMFNKSZLQ-KOLCDFICSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CC[C@](C)(NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001484045483 943108078 /nfs/dbraw/zinc/10/80/78/943108078.db2.gz SPRYZLMFNKSZLQ-KOLCDFICSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@@]1(NC(=O)Cn2cc[nH+]c2)CC[N@H+](CCOCC2CC2)C1 ZINC001484072872 943134112 /nfs/dbraw/zinc/13/41/12/943134112.db2.gz CVRKTEATSHNDJR-MRXNPFEDSA-N 0 2 306.410 0.890 20 0 DCADLN CC(F)(F)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056787608 943416944 /nfs/dbraw/zinc/41/69/44/943416944.db2.gz LLYYZQUQSAJCKY-ZCFIWIBFSA-N 0 2 324.221 0.479 20 0 DCADLN CC(F)(F)C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001056787608 943416946 /nfs/dbraw/zinc/41/69/46/943416946.db2.gz LLYYZQUQSAJCKY-ZCFIWIBFSA-N 0 2 324.221 0.479 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2CCCCC2)[nH]1 ZINC001413669769 943561188 /nfs/dbraw/zinc/56/11/88/943561188.db2.gz DMHOWTRDQCXZQX-UHFFFAOYSA-N 0 2 300.384 0.546 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cnn(CC3CCC3)c2)S1 ZINC001413718935 943605721 /nfs/dbraw/zinc/60/57/21/943605721.db2.gz WKNOEPLCDOSXQZ-LLVKDONJSA-N 0 2 321.406 0.856 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CC(=O)N(C(C)(C)C)C1)c1nn(C)cc1O ZINC001413758814 943668581 /nfs/dbraw/zinc/66/85/81/943668581.db2.gz IJIGLOVYLNARLY-NXEZZACHSA-N 0 2 308.382 0.950 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)NC(=O)c1ccco1)c1nn(C)cc1O ZINC001413761346 943669370 /nfs/dbraw/zinc/66/93/70/943669370.db2.gz LTVIGPAVNNOYPL-BDAKNGLRSA-N 0 2 306.322 0.714 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)[C@H](C)[NH2+]Cc2csnn2)c1[O-] ZINC001485140494 943726832 /nfs/dbraw/zinc/72/68/32/943726832.db2.gz RKFXIWBIKVDNSC-BQBZGAKWSA-N 0 2 310.383 0.572 20 0 DCADLN CCNC(=O)CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491179556 943808692 /nfs/dbraw/zinc/80/86/92/943808692.db2.gz ZRTVQNFUJHYTEG-VIFPVBQESA-N 0 2 315.267 0.036 20 0 DCADLN CCNC(=O)CCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491179556 943808695 /nfs/dbraw/zinc/80/86/95/943808695.db2.gz ZRTVQNFUJHYTEG-VIFPVBQESA-N 0 2 315.267 0.036 20 0 DCADLN C[C@@H](CNC(=O)CCc1cn[nH]n1)[N@H+](C)Cc1ccnn1C ZINC001485351407 943867068 /nfs/dbraw/zinc/86/70/68/943867068.db2.gz FKTWQLUGPAHXOY-NSHDSACASA-N 0 2 305.386 0.108 20 0 DCADLN C[C@H](NC(=O)CC1CCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485494991 943962437 /nfs/dbraw/zinc/96/24/37/943962437.db2.gz MJWHJRIHIWVTSX-JTQLQIEISA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](CC[NH2+]Cc1n[nH]c(=O)[n-]1)NC(=O)C(F)C(F)(F)F ZINC001272791086 944228512 /nfs/dbraw/zinc/22/85/12/944228512.db2.gz NEFCPPOFJNCOOG-FSPLSTOPSA-N 0 2 313.255 0.395 20 0 DCADLN C[C@@H](CC[NH2+]Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](F)C(F)(F)F ZINC001272791086 944228520 /nfs/dbraw/zinc/22/85/20/944228520.db2.gz NEFCPPOFJNCOOG-FSPLSTOPSA-N 0 2 313.255 0.395 20 0 DCADLN C[N@H+](CC(=O)NCC1(O)C[NH+](CCCF)C1)CC(C)(C)C ZINC001446882913 1013057293 /nfs/dbraw/zinc/05/72/93/1013057293.db2.gz FLUCEFDOYLRULY-UHFFFAOYSA-N 0 2 303.422 0.487 20 0 DCADLN CC/C(C)=C\C(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001316964249 944690218 /nfs/dbraw/zinc/69/02/18/944690218.db2.gz VXFULZLPLCGYMY-BENRWUELSA-N 0 2 322.413 0.100 20 0 DCADLN COCC[NH+](CCNC(=O)[C@@H]1CCC[N@@H+]1C)Cc1conc1C ZINC001486427438 944874483 /nfs/dbraw/zinc/87/44/83/944874483.db2.gz PVNHPWBABNNTCM-HNNXBMFYSA-N 0 2 324.425 0.642 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccnc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001317477909 944989912 /nfs/dbraw/zinc/98/99/12/944989912.db2.gz ZATAYASMYFIAPY-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccnc(F)c1)Cc1n[nH]c(=O)[n-]1 ZINC001317477909 944989919 /nfs/dbraw/zinc/98/99/19/944989919.db2.gz ZATAYASMYFIAPY-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN CC[N@H+](CCNC(=O)C=Cc1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001317474330 944990109 /nfs/dbraw/zinc/99/01/09/944990109.db2.gz GKVPBIDUXVMSMY-WAYWQWQTSA-N 0 2 305.338 0.755 20 0 DCADLN CC[N@@H+](CCNC(=O)C=Cc1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001317474330 944990112 /nfs/dbraw/zinc/99/01/12/944990112.db2.gz GKVPBIDUXVMSMY-WAYWQWQTSA-N 0 2 305.338 0.755 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnccn1 ZINC001486891522 945373893 /nfs/dbraw/zinc/37/38/93/945373893.db2.gz FISGPDNNHTYMHJ-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cnccn1 ZINC001486891522 945373902 /nfs/dbraw/zinc/37/39/02/945373902.db2.gz FISGPDNNHTYMHJ-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN O=C([O-])Cc1ccccc1OS(=O)(=O)CCn1cc[nH+]c1 ZINC001602150003 971157026 /nfs/dbraw/zinc/15/70/26/971157026.db2.gz YIEXOSIHGIAQPA-UHFFFAOYSA-N 0 2 310.331 0.919 20 0 DCADLN CCC(=CC(=O)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)CC ZINC001278770537 946334055 /nfs/dbraw/zinc/33/40/55/946334055.db2.gz AEEIVZDVBIBGJI-OAHLLOKOSA-N 0 2 323.397 0.310 20 0 DCADLN CCC(=CC(=O)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)CC ZINC001278770537 946334065 /nfs/dbraw/zinc/33/40/65/946334065.db2.gz AEEIVZDVBIBGJI-OAHLLOKOSA-N 0 2 323.397 0.310 20 0 DCADLN COCCC(C)C(=O)N1CCC1CNC(=O)c1nccnc1N ZINC001410538896 946533743 /nfs/dbraw/zinc/53/37/43/946533743.db2.gz PLKLSZPZGPYHFX-WDEREUQCSA-N 0 2 321.381 0.062 20 0 DCADLN Cc1onc(-c2cccnc2)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001322073767 946568545 /nfs/dbraw/zinc/56/85/45/946568545.db2.gz PZDGCWOEHIQXEB-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001410581540 946601402 /nfs/dbraw/zinc/60/14/02/946601402.db2.gz WKQWNPGZOZBRGD-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001410581540 946601411 /nfs/dbraw/zinc/60/14/11/946601411.db2.gz WKQWNPGZOZBRGD-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ncoc1[C@@H]1CCCO1 ZINC001322296865 946640264 /nfs/dbraw/zinc/64/02/64/946640264.db2.gz PHZWTKNYXSQLOO-QMMMGPOBSA-N 0 2 307.310 0.712 20 0 DCADLN CO[C@@H](C)C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001410678136 946760200 /nfs/dbraw/zinc/76/02/00/946760200.db2.gz DAWZZYGXWFHOMJ-NKWVEPMBSA-N 0 2 300.252 0.687 20 0 DCADLN CO[C@@H](C)C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001410678136 946760206 /nfs/dbraw/zinc/76/02/06/946760206.db2.gz DAWZZYGXWFHOMJ-NKWVEPMBSA-N 0 2 300.252 0.687 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cc(S(C)(=O)=O)ccc1C ZINC001259024696 946896965 /nfs/dbraw/zinc/89/69/65/946896965.db2.gz FCBWGAJWVHOIPI-UHFFFAOYSA-N 0 2 321.376 0.313 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)[nH]c(=O)[nH]c3=O)cn1 ZINC001259826710 946990932 /nfs/dbraw/zinc/99/09/32/946990932.db2.gz KAXXSFIUYBBDAW-UHFFFAOYSA-N 0 2 321.318 0.575 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)NC(=O)NC3)cn1 ZINC001259827600 946991621 /nfs/dbraw/zinc/99/16/21/946991621.db2.gz HSTRIJHMKXUQCN-UHFFFAOYSA-N 0 2 307.335 0.856 20 0 DCADLN Cc1nn(C)cc1NS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001260667198 947136026 /nfs/dbraw/zinc/13/60/26/947136026.db2.gz ICQWMTNLWCFYGT-UHFFFAOYSA-N 0 2 322.346 0.860 20 0 DCADLN CCC(=O)NC[C@@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001411136680 947378851 /nfs/dbraw/zinc/37/88/51/947378851.db2.gz CHPHVKCBFVYJTE-SCZZXKLOSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)NC[C@@]1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001411136680 947378862 /nfs/dbraw/zinc/37/88/62/947378862.db2.gz CHPHVKCBFVYJTE-SCZZXKLOSA-N 0 2 300.252 0.376 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cncs1 ZINC001411182626 947448433 /nfs/dbraw/zinc/44/84/33/947448433.db2.gz POZUHSDRTMTCSE-UHFFFAOYSA-N 0 2 324.410 0.949 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cncs1 ZINC001411182626 947448440 /nfs/dbraw/zinc/44/84/40/947448440.db2.gz POZUHSDRTMTCSE-UHFFFAOYSA-N 0 2 324.410 0.949 20 0 DCADLN C/C(=C/C(=O)Nc1cnn(CC(=O)[O-])c1)C[NH+]1CCOCC1 ZINC001602736503 971425988 /nfs/dbraw/zinc/42/59/88/971425988.db2.gz XNPRPRJNVHKEEL-WDZFZDKYSA-N 0 2 308.338 0.185 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001487801114 948284056 /nfs/dbraw/zinc/28/40/56/948284056.db2.gz YMFJMNSARHNCLI-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001487801114 948284065 /nfs/dbraw/zinc/28/40/65/948284065.db2.gz YMFJMNSARHNCLI-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001487884386 948323651 /nfs/dbraw/zinc/32/36/51/948323651.db2.gz VSLLRHVEDUKIIV-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@H](C(=O)N[C@@H](Cc1ccncc1)C(=O)[O-])[NH+]1CCSCC1 ZINC001602789382 971543824 /nfs/dbraw/zinc/54/38/24/971543824.db2.gz RKHTXBLSCZKEEL-YPMHNXCESA-N 0 2 323.418 0.631 20 0 DCADLN N#Cc1ccc(OCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000378724888 971575040 /nfs/dbraw/zinc/57/50/40/971575040.db2.gz SVHAPSUGHBEQOA-ZDUSSCGKSA-N 0 2 314.301 0.472 20 0 DCADLN N#Cc1ccc(OCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000378724888 971575047 /nfs/dbraw/zinc/57/50/47/971575047.db2.gz SVHAPSUGHBEQOA-ZDUSSCGKSA-N 0 2 314.301 0.472 20 0 DCADLN CC(C)(O)C1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC001364476609 949389257 /nfs/dbraw/zinc/38/92/57/949389257.db2.gz ICSSZVVXDNEESP-UHFFFAOYSA-N 0 2 319.365 0.081 20 0 DCADLN Cc1cc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001364570497 949569543 /nfs/dbraw/zinc/56/95/43/949569543.db2.gz TZNXLKOGZDDEDN-SNVBAGLBSA-N 0 2 317.353 0.698 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)cn1 ZINC001595268092 949782986 /nfs/dbraw/zinc/78/29/86/949782986.db2.gz PTBXISGQECSPAB-NSHDSACASA-N 0 2 320.349 0.112 20 0 DCADLN COCC(=O)N1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001489750881 949985230 /nfs/dbraw/zinc/98/52/30/949985230.db2.gz IJACVTBIJDXEDR-VXNVDRBHSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N1CC[C@@H]1CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001489750881 949985242 /nfs/dbraw/zinc/98/52/42/949985242.db2.gz IJACVTBIJDXEDR-VXNVDRBHSA-N 0 2 300.252 0.593 20 0 DCADLN C[C@]1(CO)C[C@@H](O)C[N@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001604584997 1169623952 /nfs/dbraw/zinc/62/39/52/1169623952.db2.gz SKVFAMMMRVGEDJ-AMIZOPFISA-N 0 2 314.363 0.202 20 0 DCADLN CN(Cc1ncccn1)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001548764874 1013101272 /nfs/dbraw/zinc/10/12/72/1013101272.db2.gz DUDOKNDAWUBRIS-UHFFFAOYSA-N 0 2 304.272 0.892 20 0 DCADLN Cn1nc(NC(=O)CC2SC(=N)NC2=O)cc1C(F)(F)F ZINC001364998967 950330896 /nfs/dbraw/zinc/33/08/96/950330896.db2.gz WUUPPOIGCQXVBU-BYPYZUCNSA-N 0 2 321.284 0.934 20 0 DCADLN C[C@H](NC(=O)[C@H]1CS[C@@]2(C)CCC(=O)N12)c1nn(C)cc1O ZINC001365119578 950552156 /nfs/dbraw/zinc/55/21/56/950552156.db2.gz JWVMANYHYOSPSN-QIBSRJKCSA-N 0 2 324.406 0.757 20 0 DCADLN Cc1nc(CNC(=O)c2ncc(Br)cc2O)n[nH]1 ZINC001365143076 950595272 /nfs/dbraw/zinc/59/52/72/950595272.db2.gz YHILEEJZBVRRQH-UHFFFAOYSA-N 0 2 312.127 0.906 20 0 DCADLN COC(=O)C[C@@H]1CC[C@H](NC(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC001365146961 950607335 /nfs/dbraw/zinc/60/73/35/950607335.db2.gz HURZSOHHSXLINC-HRDYMLBCSA-N 0 2 313.379 0.391 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2ncnc3c2CCC3)[nH]1 ZINC001365240384 950791547 /nfs/dbraw/zinc/79/15/47/950791547.db2.gz SYJGCUBZYSJGNM-UHFFFAOYSA-N 0 2 308.367 0.240 20 0 DCADLN O=C(NCc1cccnc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365306349 950925357 /nfs/dbraw/zinc/92/53/57/950925357.db2.gz ZNOLXUZVUCINME-NSHDSACASA-N 0 2 302.338 0.995 20 0 DCADLN CC[C@H](C(N)=O)[N@H+](C)C[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001490776005 951096109 /nfs/dbraw/zinc/09/61/09/951096109.db2.gz XMTGSPNRYAUXFU-CHWSQXEVSA-N 0 2 321.425 0.387 20 0 DCADLN O=C(CCc1nc2ccccc2oc1=O)NCc1n[nH]c(=O)[nH]1 ZINC001331721013 951269324 /nfs/dbraw/zinc/26/93/24/951269324.db2.gz GTEOLCQDHDEHRU-UHFFFAOYSA-N 0 2 315.289 0.261 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001365570497 951277907 /nfs/dbraw/zinc/27/79/07/951277907.db2.gz GNZXBTATEHKOSS-VWYCJHECSA-N 0 2 309.370 0.967 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC001595048563 951457379 /nfs/dbraw/zinc/45/73/79/951457379.db2.gz WXYSXEWQGUEERK-NSHDSACASA-N 0 2 308.338 0.028 20 0 DCADLN Cc1nc([C@H](C)NS(=O)(=O)c2cc(O)cc(F)c2)n[nH]1 ZINC001365705558 951459471 /nfs/dbraw/zinc/45/94/71/951459471.db2.gz WNXCKCMVVFKLMP-LURJTMIESA-N 0 2 300.315 0.997 20 0 DCADLN Cn1[n-]c(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)cc1=O ZINC001274805722 951845334 /nfs/dbraw/zinc/84/53/34/951845334.db2.gz YIUBUILIQUTDPZ-UHFFFAOYSA-N 0 2 301.350 0.412 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC000381882182 971784775 /nfs/dbraw/zinc/78/47/75/971784775.db2.gz OCDFESMQMPJMQT-UONOGXRCSA-N 0 2 306.362 0.555 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC000381882182 971784784 /nfs/dbraw/zinc/78/47/84/971784784.db2.gz OCDFESMQMPJMQT-UONOGXRCSA-N 0 2 306.362 0.555 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@H](C[C@@H]3CCCOC3)C2=O)[nH]1 ZINC001333584217 952109089 /nfs/dbraw/zinc/10/90/89/952109089.db2.gz XGXPHZCKBPHMAK-UWVGGRQHSA-N 0 2 323.353 0.188 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)ccn1 ZINC000382526232 971820336 /nfs/dbraw/zinc/82/03/36/971820336.db2.gz BEZUMZFXEWEMOX-CYBMUJFWSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)[nH]n1 ZINC001595207681 952340995 /nfs/dbraw/zinc/34/09/95/952340995.db2.gz BKSNCQQUKFRIJR-SNVBAGLBSA-N 0 2 302.290 0.796 20 0 DCADLN CCC[C@@H](NC(=O)c1cnc(C2CC2)[nH]c1=O)c1nn[nH]n1 ZINC001411861231 952488287 /nfs/dbraw/zinc/48/82/87/952488287.db2.gz ZNKPAFMLNRICDL-SECBINFHSA-N 0 2 303.326 0.844 20 0 DCADLN O=C(C=CCOC1CCOCC1)NCCc1n[nH]c(=S)o1 ZINC001335572679 952557644 /nfs/dbraw/zinc/55/76/44/952557644.db2.gz KJJKYTQEMYHKLD-OWOJBTEDSA-N 0 2 313.379 0.769 20 0 DCADLN Cc1n[nH]c(SCC(=O)N2Cc3nc(C)[nH]c(=O)c3C2)n1 ZINC001412404781 952780399 /nfs/dbraw/zinc/78/03/99/952780399.db2.gz BMRUJUWBRUUSRJ-UHFFFAOYSA-N 0 2 306.351 0.552 20 0 DCADLN NC(=O)C1CN(C(=O)C2=NN(c3ccc(F)cc3)CC2=O)C1 ZINC001412491992 952843512 /nfs/dbraw/zinc/84/35/12/952843512.db2.gz PEDJQKAOKPBRRK-UHFFFAOYSA-N 0 2 304.281 0.274 20 0 DCADLN CSC[C@@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412581147 952910971 /nfs/dbraw/zinc/91/09/71/952910971.db2.gz SPJFRQOJYVAXSZ-MRVPVSSYSA-N 0 2 308.363 0.748 20 0 DCADLN COCCOC1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC001339531636 953101490 /nfs/dbraw/zinc/10/14/90/953101490.db2.gz DBCGSFQRMSQARC-JTQLQIEISA-N 0 2 315.395 0.197 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC001589289300 953587535 /nfs/dbraw/zinc/58/75/35/953587535.db2.gz IEWNVSFVLCMVED-LPWJVIDDSA-N 0 2 311.382 0.354 20 0 DCADLN C[C@@H](NC(=O)C=Cc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001282683520 953644546 /nfs/dbraw/zinc/64/45/46/953644546.db2.gz XDRIIEVOCNIBDF-UMCURTJPSA-N 0 2 317.349 0.753 20 0 DCADLN O=C([O-])c1cncc(NC(=O)C(=O)N2CC[NH+](C3CC3)CC2)c1 ZINC001594816927 953870704 /nfs/dbraw/zinc/87/07/04/953870704.db2.gz RLFNLAWKVFGGLH-UHFFFAOYSA-N 0 2 318.333 0.025 20 0 DCADLN O=C([O-])[C@H](OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C1CC1 ZINC001594843723 954108279 /nfs/dbraw/zinc/10/82/79/954108279.db2.gz OCICUSIZLCBVJC-FRRDWIJNSA-N 0 2 313.350 0.273 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001347976278 954184647 /nfs/dbraw/zinc/18/46/47/954184647.db2.gz AKLIFSHSUNGCRA-JTQLQIEISA-N 0 2 312.333 0.766 20 0 DCADLN O=C([O-])C1(C(=O)Nc2ccn(CC[NH+]3CCOCC3)n2)CC1 ZINC001594878509 954397271 /nfs/dbraw/zinc/39/72/71/954397271.db2.gz UOXXPPYDQUYZLK-UHFFFAOYSA-N 0 2 308.338 0.019 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C)C(=O)[O-] ZINC001589400436 954420382 /nfs/dbraw/zinc/42/03/82/954420382.db2.gz QJRCDPWHGIOGOY-XQQFMLRXSA-N 0 2 315.414 0.752 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C)C(=O)[O-] ZINC001589400436 954420389 /nfs/dbraw/zinc/42/03/89/954420389.db2.gz QJRCDPWHGIOGOY-XQQFMLRXSA-N 0 2 315.414 0.752 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC001589408838 954495550 /nfs/dbraw/zinc/49/55/50/954495550.db2.gz OXBZOKSCVQOFTA-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC001589408838 954495559 /nfs/dbraw/zinc/49/55/59/954495559.db2.gz OXBZOKSCVQOFTA-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCCCCC(=O)[O-] ZINC001348944424 954542553 /nfs/dbraw/zinc/54/25/53/954542553.db2.gz OKUDOJWYXYJQPL-LBPRGKRZSA-N 0 2 301.387 0.603 20 0 DCADLN Cn1c(N2CCC[C@@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001593774044 954575839 /nfs/dbraw/zinc/57/58/39/954575839.db2.gz MGEXLYASXPTPRP-HFAKWTLXSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC[C@@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001593774044 954575847 /nfs/dbraw/zinc/57/58/47/954575847.db2.gz MGEXLYASXPTPRP-HFAKWTLXSA-N 0 2 323.397 0.028 20 0 DCADLN CCN(CC)C(=O)C[NH+]1CCC[C@H]1CNC(=O)[C@H]1CCC[N@H+]1C ZINC001366663699 954609488 /nfs/dbraw/zinc/60/94/88/954609488.db2.gz RXJPJCIZVWPKFY-LSDHHAIUSA-N 0 2 324.469 0.530 20 0 DCADLN C[C@]1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCOC1 ZINC001349163963 954623289 /nfs/dbraw/zinc/62/32/89/954623289.db2.gz VLBWEBRRFBQEAO-XCBNKYQSSA-N 0 2 300.252 0.546 20 0 DCADLN C[C@]1(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)CCOC1 ZINC001349163963 954623297 /nfs/dbraw/zinc/62/32/97/954623297.db2.gz VLBWEBRRFBQEAO-XCBNKYQSSA-N 0 2 300.252 0.546 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@]1(C(=O)[O-])CCCO1 ZINC001593788418 954743258 /nfs/dbraw/zinc/74/32/58/954743258.db2.gz QZHHVVFFXKJOQW-BBRMVZONSA-N 0 2 304.346 0.923 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@]1(C(=O)[O-])CCCO1 ZINC001593788418 954743266 /nfs/dbraw/zinc/74/32/66/954743266.db2.gz QZHHVVFFXKJOQW-BBRMVZONSA-N 0 2 304.346 0.923 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NC[C@H](C)C[NH2+]Cc1csnn1 ZINC001367287409 955588925 /nfs/dbraw/zinc/58/89/25/955588925.db2.gz HUONDKDZPPCUNK-SNVBAGLBSA-N 0 2 308.411 0.585 20 0 DCADLN C[C@@H](NC(=O)C[NH2+][C@](C)(C(=O)[O-])c1ccccc1)C(=O)N(C)C ZINC001589096466 955988968 /nfs/dbraw/zinc/98/89/68/955988968.db2.gz YJDXUXSSGSINMP-BZNIZROVSA-N 0 2 321.377 0.169 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C(=O)[O-])nc1 ZINC000388547122 972175921 /nfs/dbraw/zinc/17/59/21/972175921.db2.gz VKTSUCGUWPNCKD-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN COC[C@H]([NH2+]CCS(=O)(=O)CC(=O)[O-])c1ccc(C)o1 ZINC001593957943 956453921 /nfs/dbraw/zinc/45/39/21/956453921.db2.gz VHZBNUJQNBJUFA-JTQLQIEISA-N 0 2 305.352 0.365 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2ncncc2Cl)[nH]1 ZINC001472889631 956557885 /nfs/dbraw/zinc/55/78/85/956557885.db2.gz ZBWXUCHQELSSFZ-UHFFFAOYSA-N 0 2 302.747 0.405 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(C(=O)Nc2cc(C)[nH+]cc2C)CCO1 ZINC001593977584 956679845 /nfs/dbraw/zinc/67/98/45/956679845.db2.gz BZXKYQMRXHIZDE-OAHLLOKOSA-N 0 2 323.349 0.454 20 0 DCADLN C/C=C(\C)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001289644796 956769968 /nfs/dbraw/zinc/76/99/68/956769968.db2.gz MCHUSDZDWRUAPV-GXKQQMQTSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(\C)C(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001289644796 956769974 /nfs/dbraw/zinc/76/99/74/956769974.db2.gz MCHUSDZDWRUAPV-GXKQQMQTSA-N 0 2 312.263 0.541 20 0 DCADLN Cc1cnn(CC(=O)NCCNC(=O)C(F)C(F)(F)F)c1 ZINC001292548454 957016306 /nfs/dbraw/zinc/01/63/06/957016306.db2.gz AULIDMKTOYSEKV-SECBINFHSA-N 0 2 310.251 0.324 20 0 DCADLN Cc1cnn(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001292548454 957016321 /nfs/dbraw/zinc/01/63/21/957016321.db2.gz AULIDMKTOYSEKV-SECBINFHSA-N 0 2 310.251 0.324 20 0 DCADLN CCCCOCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292598315 957055697 /nfs/dbraw/zinc/05/56/97/957055697.db2.gz HMXLKKLBUHRRII-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN CCCCOCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001292598315 957055708 /nfs/dbraw/zinc/05/57/08/957055708.db2.gz HMXLKKLBUHRRII-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN Cc1n[nH]c(C(=O)NCCNC(=O)C[C@H](C)n2cc[nH+]c2)c1[O-] ZINC001292643958 957085806 /nfs/dbraw/zinc/08/58/06/957085806.db2.gz MWOOPWRVZAVSIW-VIFPVBQESA-N 0 2 320.353 0.118 20 0 DCADLN Cc1nonc1CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292845224 957170243 /nfs/dbraw/zinc/17/02/43/957170243.db2.gz WTVMOMBZRXNEBY-MRVPVSSYSA-N 0 2 312.223 0.053 20 0 DCADLN Cc1nonc1CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001292845224 957170254 /nfs/dbraw/zinc/17/02/54/957170254.db2.gz WTVMOMBZRXNEBY-MRVPVSSYSA-N 0 2 312.223 0.053 20 0 DCADLN CCOCC(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC001294126717 957485187 /nfs/dbraw/zinc/48/51/87/957485187.db2.gz GBCDYLLTDZRXJF-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCOCC(=O)N(C)CCCNC(=O)[C@H](F)C(F)(F)F ZINC001294126717 957485189 /nfs/dbraw/zinc/48/51/89/957485189.db2.gz GBCDYLLTDZRXJF-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)c2cc(=O)c3ccccc3[nH]2)CC1 ZINC000390354288 972278446 /nfs/dbraw/zinc/27/84/46/972278446.db2.gz YVWUGGBEYKTNCR-UHFFFAOYSA-N 0 2 315.329 0.371 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c2nccn21 ZINC001361450527 957978939 /nfs/dbraw/zinc/97/89/39/957978939.db2.gz JUNIYNSTLCFJRY-SCZZXKLOSA-N 0 2 313.321 0.090 20 0 DCADLN CO[C@@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C12CCC2 ZINC001361495768 958023948 /nfs/dbraw/zinc/02/39/48/958023948.db2.gz FVTVWUAGNAGLMV-WDEREUQCSA-N 0 2 317.349 0.145 20 0 DCADLN Cc1n[nH]c(NC(=O)C[C@@H]2NC(=O)c3ccccc3NC2=O)n1 ZINC001361644990 958168890 /nfs/dbraw/zinc/16/88/90/958168890.db2.gz FLNWOORYVQYGFT-JTQLQIEISA-N 0 2 314.305 0.192 20 0 DCADLN C[C@@]12CSC[C@@H]1CN(C(=O)Cn1[nH]cc3c(=O)ncnc1-3)C2 ZINC001361672636 958202061 /nfs/dbraw/zinc/20/20/61/958202061.db2.gz WGZQSNVDUVHHSL-LKFCYVNXSA-N 0 2 319.390 0.283 20 0 DCADLN C[C@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1cncc(F)c1 ZINC001361725483 958258992 /nfs/dbraw/zinc/25/89/92/958258992.db2.gz JCRZOZNJQUJESE-QMMMGPOBSA-N 0 2 317.280 0.910 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCc2ccc(=O)[nH]c2)cn1 ZINC001297594288 958296542 /nfs/dbraw/zinc/29/65/42/958296542.db2.gz GLYNOCJIFPDSGU-UHFFFAOYSA-N 0 2 312.333 0.883 20 0 DCADLN C[C@H](NC(=O)N1CC[C@](C)(C(=O)[O-])C1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001603226696 972342770 /nfs/dbraw/zinc/34/27/70/972342770.db2.gz AIEFGWVZKSDXCI-HUBLWGQQSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@H](NC(=O)N1CC[C@](C)(C(=O)[O-])C1)[C@@H]1CN(C)CC[N@H+]1C ZINC001603226696 972342775 /nfs/dbraw/zinc/34/27/75/972342775.db2.gz AIEFGWVZKSDXCI-HUBLWGQQSA-N 0 2 312.414 0.127 20 0 DCADLN CCc1nccc(C)c1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361971156 958556788 /nfs/dbraw/zinc/55/67/88/958556788.db2.gz RMIHCBCZQWLDOF-UHFFFAOYSA-N 0 2 312.333 0.976 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1CC(F)(F)C1 ZINC001367797570 958604569 /nfs/dbraw/zinc/60/45/69/958604569.db2.gz QKYXASXNBXXKJQ-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1CC(F)(F)C1 ZINC001367797570 958604572 /nfs/dbraw/zinc/60/45/72/958604572.db2.gz QKYXASXNBXXKJQ-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NC[C@@H]2CCCNC2=O)cn1 ZINC001362059653 958671372 /nfs/dbraw/zinc/67/13/72/958671372.db2.gz HWWRPAKOFZNBAW-JTQLQIEISA-N 0 2 316.365 0.549 20 0 DCADLN COC(=O)Nc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)c(C)c1 ZINC001362076787 958696292 /nfs/dbraw/zinc/69/62/92/958696292.db2.gz WMMCVRFRVJZFKH-UHFFFAOYSA-N 0 2 305.294 0.927 20 0 DCADLN CC(C)(C)[C@@H](Cn1cc[nH+]c1)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001362088978 958715627 /nfs/dbraw/zinc/71/56/27/958715627.db2.gz VCVIUYSOPOWTQO-SECBINFHSA-N 0 2 308.342 0.117 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCCn1cccn1 ZINC001367855530 958719831 /nfs/dbraw/zinc/71/98/31/958719831.db2.gz PMXDIWOSIUUXPU-NSHDSACASA-N 0 2 321.385 0.124 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCCn1cccn1 ZINC001367855530 958719841 /nfs/dbraw/zinc/71/98/41/958719841.db2.gz PMXDIWOSIUUXPU-NSHDSACASA-N 0 2 321.385 0.124 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367931505 958892253 /nfs/dbraw/zinc/89/22/53/958892253.db2.gz MJUBPFUILBQNHM-WDEREUQCSA-N 0 2 311.386 0.262 20 0 DCADLN Cn1nncc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001367941674 958917981 /nfs/dbraw/zinc/91/79/81/958917981.db2.gz VEIAQKWGVDQQSZ-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1nncc1CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001367941674 958917989 /nfs/dbraw/zinc/91/79/89/958917989.db2.gz VEIAQKWGVDQQSZ-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN CC1(C)CO[C@H](CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001367945928 958929449 /nfs/dbraw/zinc/92/94/49/958929449.db2.gz DTJVPAMTHRQQRE-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001367965861 958971412 /nfs/dbraw/zinc/97/14/12/958971412.db2.gz ZRGRVJZHLPWMCJ-VXGBXAGGSA-N 0 2 322.413 0.160 20 0 DCADLN CC(C)CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001367965861 958971429 /nfs/dbraw/zinc/97/14/29/958971429.db2.gz ZRGRVJZHLPWMCJ-VXGBXAGGSA-N 0 2 322.413 0.160 20 0 DCADLN CCC[C@](C)(CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362246391 958999857 /nfs/dbraw/zinc/99/98/57/958999857.db2.gz RACWRPOPXHQINC-OAHLLOKOSA-N 0 2 320.349 0.547 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)NCCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001491732206 959160257 /nfs/dbraw/zinc/16/02/57/959160257.db2.gz VNNAUDIEMQVFRU-CYBMUJFWSA-N 0 2 321.425 0.449 20 0 DCADLN CO[C@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001368148086 959327604 /nfs/dbraw/zinc/32/76/04/959327604.db2.gz IKUYGWNUEXDCCU-SMDDNHRTSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001368148086 959327618 /nfs/dbraw/zinc/32/76/18/959327618.db2.gz IKUYGWNUEXDCCU-SMDDNHRTSA-N 0 2 309.370 0.016 20 0 DCADLN CC(C)(C(=O)[O-])n1cc(NC(=O)/C=C\C[NH+]2CCOCC2)cn1 ZINC001588617425 959524102 /nfs/dbraw/zinc/52/41/02/959524102.db2.gz UAELDKMNLBDKMV-ARJAWSKDSA-N 0 2 322.365 0.530 20 0 DCADLN Cc1c(-c2cc(C[NH2+][C@@]3(C(=O)[O-])CCOC3)on2)cnn1C ZINC001594253669 959636327 /nfs/dbraw/zinc/63/63/27/959636327.db2.gz GSSOIHJCHHATRN-AWEZNQCLSA-N 0 2 306.322 0.717 20 0 DCADLN O=C(c1cnn2c1NCCC2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362586033 959649817 /nfs/dbraw/zinc/64/98/17/959649817.db2.gz RZBPCKSKHHXRKZ-VIFPVBQESA-N 0 2 317.353 0.542 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNC(=O)[C@@]1(C)CCOC1 ZINC001377205031 959687539 /nfs/dbraw/zinc/68/75/39/959687539.db2.gz SKXKVVGDFFGXNK-BMIGLBTASA-N 0 2 308.382 0.308 20 0 DCADLN COC[C@H](C)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377411203 960050053 /nfs/dbraw/zinc/05/00/53/960050053.db2.gz NFJVFLGTABFXIH-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@H](C)CC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377411203 960050064 /nfs/dbraw/zinc/05/00/64/960050064.db2.gz NFJVFLGTABFXIH-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCc2cnc(=O)[nH]c2)cn1 ZINC001306888767 960136673 /nfs/dbraw/zinc/13/66/73/960136673.db2.gz QATPGNLUKSTQML-UHFFFAOYSA-N 0 2 313.321 0.278 20 0 DCADLN C[C@@H](CCO)N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363004287 960287533 /nfs/dbraw/zinc/28/75/33/960287533.db2.gz UQGRIZPDAZUJDX-VIFPVBQESA-N 0 2 306.322 0.109 20 0 DCADLN O=C(NC[C@@H]1CCC[C@H]1O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363049228 960345196 /nfs/dbraw/zinc/34/51/96/960345196.db2.gz CTLBWJXQZGJVCK-CMPLNLGQSA-N 0 2 318.333 0.157 20 0 DCADLN O=C(N[C@H](CO)C1CCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363053506 960350270 /nfs/dbraw/zinc/35/02/70/960350270.db2.gz JMFGONMZVXEONL-GFCCVEGCSA-N 0 2 318.333 0.157 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001363051997 960350414 /nfs/dbraw/zinc/35/04/14/960350414.db2.gz FXGPICWLWOJZRW-KXUCPTDWSA-N 0 2 307.354 0.118 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001378381709 960673331 /nfs/dbraw/zinc/67/33/31/960673331.db2.gz WVLWBBMFXJOZQQ-RQJHMYQMSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001378381709 960673343 /nfs/dbraw/zinc/67/33/43/960673343.db2.gz WVLWBBMFXJOZQQ-RQJHMYQMSA-N 0 2 323.250 0.046 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378490322 960849252 /nfs/dbraw/zinc/84/92/52/960849252.db2.gz MWOMAYVFKRYHAW-KOLCDFICSA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)C1([C@@]2(O)CCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001363334466 960923305 /nfs/dbraw/zinc/92/33/05/960923305.db2.gz RLXBUAGWYZOGJI-CQSZACIVSA-N 0 2 310.354 0.181 20 0 DCADLN COC(=O)C1([C@@]2(O)CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001363334466 960923324 /nfs/dbraw/zinc/92/33/24/960923324.db2.gz RLXBUAGWYZOGJI-CQSZACIVSA-N 0 2 310.354 0.181 20 0 DCADLN Cc1ccc(CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001377950099 961042140 /nfs/dbraw/zinc/04/21/40/961042140.db2.gz RKWXZRBHBKGXBT-UHFFFAOYSA-N 0 2 321.406 0.813 20 0 DCADLN O=C([O-])c1ccc(C(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)cc1F ZINC001571095615 961155050 /nfs/dbraw/zinc/15/50/50/961155050.db2.gz AKWJDBQIXGCCCW-JTQLQIEISA-N 0 2 307.281 0.580 20 0 DCADLN O=C([O-])c1ccc(C(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)cc1F ZINC001571095615 961155059 /nfs/dbraw/zinc/15/50/59/961155059.db2.gz AKWJDBQIXGCCCW-JTQLQIEISA-N 0 2 307.281 0.580 20 0 DCADLN CCNC(=O)CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001378024233 961208210 /nfs/dbraw/zinc/20/82/10/961208210.db2.gz HLLSZQOMPUIWQB-OYNCUSHFSA-N 0 2 311.279 0.308 20 0 DCADLN CCNC(=O)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)C(F)(F)F ZINC001378024233 961208230 /nfs/dbraw/zinc/20/82/30/961208230.db2.gz HLLSZQOMPUIWQB-OYNCUSHFSA-N 0 2 311.279 0.308 20 0 DCADLN O=C(CCC1CCC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001378655924 961208621 /nfs/dbraw/zinc/20/86/21/961208621.db2.gz UTGZBXYICUPVEY-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CCC1CCC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001378655923 961209568 /nfs/dbraw/zinc/20/95/68/961209568.db2.gz UTGZBXYICUPVEY-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CCNC(=O)CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001378024230 961210629 /nfs/dbraw/zinc/21/06/29/961210629.db2.gz HLLSZQOMPUIWQB-NRPADANISA-N 0 2 311.279 0.308 20 0 DCADLN CCNC(=O)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)C(F)(F)F ZINC001378024230 961210645 /nfs/dbraw/zinc/21/06/45/961210645.db2.gz HLLSZQOMPUIWQB-NRPADANISA-N 0 2 311.279 0.308 20 0 DCADLN O=C(CCCC(F)(F)F)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378120229 961469388 /nfs/dbraw/zinc/46/93/88/961469388.db2.gz AMBADYMYOHPSDJ-MRVPVSSYSA-N 0 2 321.303 0.933 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCC(=O)c2cccn21 ZINC001571127723 961519486 /nfs/dbraw/zinc/51/94/86/961519486.db2.gz CNRXDMSPGOZARL-ZYHUDNBSSA-N 0 2 316.317 0.541 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCC(=O)c2cccn21 ZINC001571127723 961519496 /nfs/dbraw/zinc/51/94/96/961519496.db2.gz CNRXDMSPGOZARL-ZYHUDNBSSA-N 0 2 316.317 0.541 20 0 DCADLN Cc1noc(C)c1CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378208966 961668349 /nfs/dbraw/zinc/66/83/49/961668349.db2.gz AXRCIECNMPQEBI-QMMMGPOBSA-N 0 2 322.369 0.294 20 0 DCADLN Cc1noc(C)c1CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378208966 961668361 /nfs/dbraw/zinc/66/83/61/961668361.db2.gz AXRCIECNMPQEBI-QMMMGPOBSA-N 0 2 322.369 0.294 20 0 DCADLN CCCC[C@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363674026 961672043 /nfs/dbraw/zinc/67/20/43/961672043.db2.gz SQCBKKVFPLWAMH-VIFPVBQESA-N 0 2 302.400 0.792 20 0 DCADLN C[C@H]1CC[C@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001363672980 961673089 /nfs/dbraw/zinc/67/30/89/961673089.db2.gz OYGLQOXSOHCNKF-MGCOHNPYSA-N 0 2 314.411 0.792 20 0 DCADLN COc1ccc([C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C(=O)[O-])cc1O ZINC001571142202 961677717 /nfs/dbraw/zinc/67/77/17/961677717.db2.gz XZADPOVMRKBFOL-CQSZACIVSA-N 0 2 319.317 0.917 20 0 DCADLN COc1cc(C[N@H+](C)[C@@H](C)CNC(=O)C[NH+](C)C(C)C)on1 ZINC001378230847 961710395 /nfs/dbraw/zinc/71/03/95/961710395.db2.gz LXETTYIVUXNUFT-LBPRGKRZSA-N 0 2 312.414 0.960 20 0 DCADLN CS(=O)(=O)NC[C@H]1CC[N@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001571145000 961719583 /nfs/dbraw/zinc/71/95/83/961719583.db2.gz FWWAYFMLHYQWPQ-MRVPVSSYSA-N 0 2 319.408 0.212 20 0 DCADLN CS(=O)(=O)NC[C@H]1CC[N@@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001571145000 961719592 /nfs/dbraw/zinc/71/95/92/961719592.db2.gz FWWAYFMLHYQWPQ-MRVPVSSYSA-N 0 2 319.408 0.212 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2(C(F)F)CC2)[nH]1 ZINC001363703025 961727030 /nfs/dbraw/zinc/72/70/30/961727030.db2.gz JMQKBQLLPSZWFD-UHFFFAOYSA-N 0 2 308.310 0.011 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCCSCC(=O)[O-])[nH]n1 ZINC001334181421 961766449 /nfs/dbraw/zinc/76/64/49/961766449.db2.gz GXROTGLOQQVTPM-LLVKDONJSA-N 0 2 312.395 0.724 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCCSCC(=O)[O-])[nH]n1 ZINC001334181421 961766461 /nfs/dbraw/zinc/76/64/61/961766461.db2.gz GXROTGLOQQVTPM-LLVKDONJSA-N 0 2 312.395 0.724 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001363761742 961825962 /nfs/dbraw/zinc/82/59/62/961825962.db2.gz JUUYZFCDTKJIHB-YUMQZZPRSA-N 0 2 301.368 0.247 20 0 DCADLN Cc1noc(CCC[NH+]2CC(N(C)C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)n1 ZINC001571156361 961875826 /nfs/dbraw/zinc/87/58/26/961875826.db2.gz TWANWGBYKCLEAQ-NEPJUHHUSA-N 0 2 322.365 0.174 20 0 DCADLN CC(C)COC1CC[NH+](CCS(=O)(=O)CC(=O)[O-])CC1 ZINC001588875771 962119942 /nfs/dbraw/zinc/11/99/42/962119942.db2.gz VTUZTYSFKCHOEO-UHFFFAOYSA-N 0 2 307.412 0.623 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cn3c(n2)CCCC3)n1 ZINC001364050526 962371938 /nfs/dbraw/zinc/37/19/38/962371938.db2.gz ZHJLVPLJXJSUIG-UHFFFAOYSA-N 0 2 311.371 0.588 20 0 DCADLN CC1(C(=O)NC[C@H]2CCN2Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001379973824 962430523 /nfs/dbraw/zinc/43/05/23/962430523.db2.gz SNGUUWNQIHCTKL-GFCCVEGCSA-N 0 2 315.377 0.513 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1 ZINC001379982056 962448107 /nfs/dbraw/zinc/44/81/07/962448107.db2.gz OPZNFSPYQKGZHE-ZDUSSCGKSA-N 0 2 315.377 0.752 20 0 DCADLN NC(=O)c1cc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nc(Cl)n1 ZINC001364176347 962614961 /nfs/dbraw/zinc/61/49/61/962614961.db2.gz XVIGMERGWWRPQV-ZCFIWIBFSA-N 0 2 323.744 0.437 20 0 DCADLN Cc1nnc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1C ZINC001364202090 962662899 /nfs/dbraw/zinc/66/28/99/962662899.db2.gz NWKFNPOKEDOQSN-VIFPVBQESA-N 0 2 320.357 0.036 20 0 DCADLN COCCN(CCC(=O)[O-])C(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC001354042221 962695990 /nfs/dbraw/zinc/69/59/90/962695990.db2.gz ZGWAIEBOHKNIFY-ACCUITESSA-N 0 2 314.382 0.215 20 0 DCADLN O=C(NCCC1(O)CCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364272446 962792519 /nfs/dbraw/zinc/79/25/19/962792519.db2.gz LCDBOGJJUUMOJE-SNVBAGLBSA-N 0 2 309.370 0.704 20 0 DCADLN CO[C@H]1C[C@H](CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001364353483 962948219 /nfs/dbraw/zinc/94/82/19/962948219.db2.gz CRWMKGFAVXQFKL-GMTAPVOTSA-N 0 2 309.370 0.824 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2c3nc[nH]c3ccc2F)S1 ZINC001364358528 962955436 /nfs/dbraw/zinc/95/54/36/962955436.db2.gz WMDUBGQXNDIPME-SECBINFHSA-N 0 2 321.337 0.875 20 0 DCADLN Cc1cncn1CC(=O)NCc1ccc2c(c1)C[N@@H+](CCO)C2 ZINC001447498826 1013440131 /nfs/dbraw/zinc/44/01/31/1013440131.db2.gz ZDNIBSJJFRIYTI-UHFFFAOYSA-N 0 2 314.389 0.816 20 0 DCADLN COCCN1CC2(C1)C[C@H](NC(=O)C(F)C(F)(F)F)CO2 ZINC001381011373 963764036 /nfs/dbraw/zinc/76/40/36/963764036.db2.gz LKNDIINAHYKDFT-DTWKUNHWSA-N 0 2 314.279 0.493 20 0 DCADLN Cc1coc(C)c1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368946371 964175536 /nfs/dbraw/zinc/17/55/36/964175536.db2.gz KBCSHMBDEJGGGI-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN Cc1coc(C)c1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368946371 964175539 /nfs/dbraw/zinc/17/55/39/964175539.db2.gz KBCSHMBDEJGGGI-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN CCOc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001368965628 964204017 /nfs/dbraw/zinc/20/40/17/964204017.db2.gz FNRVAMRJTXWAPM-QMMMGPOBSA-N 0 2 324.341 0.147 20 0 DCADLN CCOc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001368965628 964204024 /nfs/dbraw/zinc/20/40/24/964204024.db2.gz FNRVAMRJTXWAPM-QMMMGPOBSA-N 0 2 324.341 0.147 20 0 DCADLN C[C@H](CNC(=O)C1(C(F)F)CCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368982308 964234493 /nfs/dbraw/zinc/23/44/93/964234493.db2.gz TVLXTBGOQDKJIM-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](CNC(=O)C1(C(F)F)CCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368982308 964234500 /nfs/dbraw/zinc/23/45/00/964234500.db2.gz TVLXTBGOQDKJIM-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(COC1CCCCC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001375323349 964255719 /nfs/dbraw/zinc/25/57/19/964255719.db2.gz MOBVEOJXUHVIKD-NSHDSACASA-N 0 2 323.397 0.550 20 0 DCADLN CCn1ncc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001368992700 964257676 /nfs/dbraw/zinc/25/76/76/964257676.db2.gz JJTWZJMZGVOUEA-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCn1ncc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001368992700 964257684 /nfs/dbraw/zinc/25/76/84/964257684.db2.gz JJTWZJMZGVOUEA-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CS[C@H](C)C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001369111627 964416482 /nfs/dbraw/zinc/41/64/82/964416482.db2.gz QYIDRMKPCQADKK-BDAKNGLRSA-N 0 2 313.427 0.588 20 0 DCADLN C[C@H](CNC(=O)Cc1ncc[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001375728649 964766698 /nfs/dbraw/zinc/76/66/98/964766698.db2.gz ZRULHHBERAWZRG-XCBNKYQSSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@H](CNC(=O)Cc1ncc[nH]1)CNC(=O)[C@H](F)C(F)(F)F ZINC001375728649 964766707 /nfs/dbraw/zinc/76/67/07/964766707.db2.gz ZRULHHBERAWZRG-XCBNKYQSSA-N 0 2 324.278 0.721 20 0 DCADLN Cn1ccc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001374085494 964994271 /nfs/dbraw/zinc/99/42/71/964994271.db2.gz PRJLJIHNZIHQIX-JTQLQIEISA-N 0 2 308.279 0.965 20 0 DCADLN Cn1ccc(CN2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001374085494 964994281 /nfs/dbraw/zinc/99/42/81/964994281.db2.gz PRJLJIHNZIHQIX-JTQLQIEISA-N 0 2 308.279 0.965 20 0 DCADLN O=C(NCCN(C(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]n1 ZINC001374271241 965209567 /nfs/dbraw/zinc/20/95/67/965209567.db2.gz HMHMRBYNXXFEDC-MRVPVSSYSA-N 0 2 323.250 0.426 20 0 DCADLN Cn1ncnc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001376127182 965241189 /nfs/dbraw/zinc/24/11/89/965241189.db2.gz WZHMQPUWKDPEDK-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncnc1CN1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001376127182 965241204 /nfs/dbraw/zinc/24/12/04/965241204.db2.gz WZHMQPUWKDPEDK-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN CCCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C(C)C)[NH+](C)C)C1 ZINC001369988861 965663334 /nfs/dbraw/zinc/66/33/34/965663334.db2.gz UXHIOQUZWFOJON-HIFRSBDPSA-N 0 2 312.458 0.289 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CCCOC2)C1)C(F)C(F)(F)F ZINC001374666700 965729208 /nfs/dbraw/zinc/72/92/08/965729208.db2.gz YFWMJNFYAGEPOM-VXNVDRBHSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H]2CCCOC2)C1)[C@@H](F)C(F)(F)F ZINC001374666700 965729217 /nfs/dbraw/zinc/72/92/17/965729217.db2.gz YFWMJNFYAGEPOM-VXNVDRBHSA-N 0 2 312.263 0.640 20 0 DCADLN CC(C)(C)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001371530190 967084895 /nfs/dbraw/zinc/08/48/95/967084895.db2.gz YLIBAHNSAAEOHE-CSMHCCOUSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)(C)C(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001371530190 967084899 /nfs/dbraw/zinc/08/48/99/967084899.db2.gz YLIBAHNSAAEOHE-CSMHCCOUSA-N 0 2 314.279 0.621 20 0 DCADLN CCc1occc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448024872 1013715260 /nfs/dbraw/zinc/71/52/60/1013715260.db2.gz UHQXQFMJCZLAAD-SECBINFHSA-N 0 2 307.354 0.916 20 0 DCADLN CCc1occc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448024872 1013715268 /nfs/dbraw/zinc/71/52/68/1013715268.db2.gz UHQXQFMJCZLAAD-SECBINFHSA-N 0 2 307.354 0.916 20 0 DCADLN CC(C)c1nnc(CN(C)[C@@H](C)CNC(=O)c2cnn[nH]2)[nH]1 ZINC001448035544 1013726205 /nfs/dbraw/zinc/72/62/05/1013726205.db2.gz DWIKJOQPHIWSHR-VIFPVBQESA-N 0 2 306.374 0.297 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C2=CCOCC2)C1)C(F)C(F)(F)F ZINC001372010874 967558329 /nfs/dbraw/zinc/55/83/29/967558329.db2.gz CHDVXAIYGMPDPZ-NXEZZACHSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C2=CCOCC2)C1)[C@@H](F)C(F)(F)F ZINC001372010874 967558335 /nfs/dbraw/zinc/55/83/35/967558335.db2.gz CHDVXAIYGMPDPZ-NXEZZACHSA-N 0 2 324.274 0.951 20 0 DCADLN CCOCC(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372272930 967820774 /nfs/dbraw/zinc/82/07/74/967820774.db2.gz YTEFOQAMYCWYHU-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001372272930 967820786 /nfs/dbraw/zinc/82/07/86/967820786.db2.gz YTEFOQAMYCWYHU-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001383002458 967843848 /nfs/dbraw/zinc/84/38/48/967843848.db2.gz FEWUKMMWBSGRLZ-SFYZADRCSA-N 0 2 300.252 0.232 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1CC1)C(=O)[C@H](F)C(F)(F)F ZINC001383002458 967843860 /nfs/dbraw/zinc/84/38/60/967843860.db2.gz FEWUKMMWBSGRLZ-SFYZADRCSA-N 0 2 300.252 0.232 20 0 DCADLN CCN(CC)C(=O)CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001372425790 967982421 /nfs/dbraw/zinc/98/24/21/967982421.db2.gz BXNZQYXUMZYYAG-SNVBAGLBSA-N 0 2 324.385 0.268 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cnco1 ZINC001372561624 968125596 /nfs/dbraw/zinc/12/55/96/968125596.db2.gz DXAGPVJCEPQEGT-ATRFCDNQSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cnco1 ZINC001372561624 968125602 /nfs/dbraw/zinc/12/56/02/968125602.db2.gz DXAGPVJCEPQEGT-ATRFCDNQSA-N 0 2 309.219 0.952 20 0 DCADLN Cc1ncc(CC(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001372814920 968413407 /nfs/dbraw/zinc/41/34/07/968413407.db2.gz KZDZOBBJOQGQPA-UHFFFAOYSA-N 0 2 320.353 0.130 20 0 DCADLN Cc1ncc(CC(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001372814920 968413412 /nfs/dbraw/zinc/41/34/12/968413412.db2.gz KZDZOBBJOQGQPA-UHFFFAOYSA-N 0 2 320.353 0.130 20 0 DCADLN CCOC1CC(CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001373531766 969193390 /nfs/dbraw/zinc/19/33/90/969193390.db2.gz SFWYPSOUWSVIMO-UHFFFAOYSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cc(COCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001448453101 1013917435 /nfs/dbraw/zinc/91/74/35/1013917435.db2.gz LBEHBYOWEBTMSF-JTQLQIEISA-N 0 2 321.337 0.730 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001431329625 1013999526 /nfs/dbraw/zinc/99/95/26/1013999526.db2.gz YDUXWVYFHPSHIJ-VIFPVBQESA-N 0 2 324.278 0.851 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001431329625 1013999532 /nfs/dbraw/zinc/99/95/32/1013999532.db2.gz YDUXWVYFHPSHIJ-VIFPVBQESA-N 0 2 324.278 0.851 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(Cc1ccccc1)C(=O)C(=O)[O-] ZINC001609904042 970600517 /nfs/dbraw/zinc/60/05/17/970600517.db2.gz XQDNBUUAULVWIQ-CYBMUJFWSA-N 0 2 306.362 0.821 20 0 DCADLN Cc1nnc(C[NH2+][C@@H](C)CN(C)C(=O)CCc2cn[nH]n2)s1 ZINC001448732727 1014015104 /nfs/dbraw/zinc/01/51/04/1014015104.db2.gz ZEKJOIRCTQCSIY-VIFPVBQESA-N 0 2 323.426 0.534 20 0 DCADLN CCC[N@H+](C)CC(=O)N(C)CCNC(=O)c1[nH]nc(C)c1C ZINC001448772081 1014032466 /nfs/dbraw/zinc/03/24/66/1014032466.db2.gz ZEUNITNLFABMQY-UHFFFAOYSA-N 0 2 309.414 0.557 20 0 DCADLN C[N@H+](CCc1nccc(C(F)(F)F)n1)CC(=O)NCC(=O)[O-] ZINC001604181346 972608007 /nfs/dbraw/zinc/60/80/07/972608007.db2.gz LHQQZQORFUTDES-UHFFFAOYSA-N 0 2 320.271 0.171 20 0 DCADLN C[N@@H+](CCc1nccc(C(F)(F)F)n1)CC(=O)NCC(=O)[O-] ZINC001604181346 972608013 /nfs/dbraw/zinc/60/80/13/972608013.db2.gz LHQQZQORFUTDES-UHFFFAOYSA-N 0 2 320.271 0.171 20 0 DCADLN O=C([O-])c1ccnc(NC[C@@H]2C[NH+]3CCN2CC3)c1[N+](=O)[O-] ZINC000394701608 972742706 /nfs/dbraw/zinc/74/27/06/972742706.db2.gz QKWPSXVAFDCGOE-SECBINFHSA-N 0 2 307.310 0.100 20 0 DCADLN O=C([O-])c1ccc([N+](=O)[O-])c(NC[C@@H]2C[NH+]3CCN2CC3)c1 ZINC000394706920 972743977 /nfs/dbraw/zinc/74/39/77/972743977.db2.gz TXIZAUQJGWJWEH-LLVKDONJSA-N 0 2 306.322 0.705 20 0 DCADLN O=C([O-])[C@]1(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CCCO1 ZINC001605916662 972795777 /nfs/dbraw/zinc/79/57/77/972795777.db2.gz ZFSZXTDZTLLMPZ-IUODEOHRSA-N 0 2 306.318 0.695 20 0 DCADLN O=C([O-])[C@@]1(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)CCCO1 ZINC001605929455 972850507 /nfs/dbraw/zinc/85/05/07/972850507.db2.gz FYXKPWUOOTUEFO-INIZCTEOSA-N 0 2 319.361 0.932 20 0 DCADLN C[C@@H]1CCN(C(=O)c2cn(CC(=O)[O-])nn2)C[C@H]1n1cc[nH+]c1 ZINC001603426127 973155381 /nfs/dbraw/zinc/15/53/81/973155381.db2.gz ABCLDMZLZNQFCQ-ZYHUDNBSSA-N 0 2 318.337 0.283 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cc(C(=O)[O-])nn2C)C[C@@]2(CCCOC2)O1 ZINC001603461074 973296106 /nfs/dbraw/zinc/29/61/06/973296106.db2.gz LBNLFCXLIGOFED-IAQYHMDHSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cc(C(=O)[O-])nn2C)C[C@@]2(CCCOC2)O1 ZINC001603461074 973296116 /nfs/dbraw/zinc/29/61/16/973296116.db2.gz LBNLFCXLIGOFED-IAQYHMDHSA-N 0 2 309.366 0.888 20 0 DCADLN CO[C@@]1(C(F)(F)F)CCC[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001604480169 973370165 /nfs/dbraw/zinc/37/01/65/973370165.db2.gz GBNDJNNMKMOKEA-SKDRFNHKSA-N 0 2 324.299 0.715 20 0 DCADLN CO[C@@]1(C(F)(F)F)CCC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001604480169 973370173 /nfs/dbraw/zinc/37/01/73/973370173.db2.gz GBNDJNNMKMOKEA-SKDRFNHKSA-N 0 2 324.299 0.715 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)C(C)(C)C(=O)[O-])C2 ZINC001605417085 973430931 /nfs/dbraw/zinc/43/09/31/973430931.db2.gz TWSROVUFHVYTBP-JTQLQIEISA-N 0 2 309.366 0.268 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)[C@H](C(=O)[O-])C(C)C)C2 ZINC001605417142 973432032 /nfs/dbraw/zinc/43/20/32/973432032.db2.gz XDGPLKSTWOYIKU-SMDDNHRTSA-N 0 2 323.393 0.514 20 0 DCADLN COC[C@@]1(C(=O)[O-])CC[N@H+](Cc2nnn(CC(F)(F)F)n2)C1 ZINC001604541378 973519642 /nfs/dbraw/zinc/51/96/42/973519642.db2.gz OSEWEGSWOSWYGN-SNVBAGLBSA-N 0 2 323.275 0.159 20 0 DCADLN COC[C@@]1(C(=O)[O-])CC[N@@H+](Cc2nnn(CC(F)(F)F)n2)C1 ZINC001604541378 973519646 /nfs/dbraw/zinc/51/96/46/973519646.db2.gz OSEWEGSWOSWYGN-SNVBAGLBSA-N 0 2 323.275 0.159 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@@H](C(=O)[O-])C3CCCC3)CC[NH2+]2)cn1 ZINC001605488079 973614113 /nfs/dbraw/zinc/61/41/13/973614113.db2.gz CSBCCASUDMOMEH-KBPBESRZSA-N 0 2 320.393 0.784 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[NH+]1CC(CNC(=O)C(F)(F)F)C1 ZINC001605538736 973730325 /nfs/dbraw/zinc/73/03/25/973730325.db2.gz FZULZJSMGQEHPJ-UHFFFAOYSA-N 0 2 320.271 0.229 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)NCC1(C(=O)[O-])CCC1 ZINC001603628467 973946911 /nfs/dbraw/zinc/94/69/11/973946911.db2.gz MXXDIHFTPQYUOV-GFCCVEGCSA-N 0 2 313.398 0.651 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)NCC1(C(=O)[O-])CCC1 ZINC001603628467 973946914 /nfs/dbraw/zinc/94/69/14/973946914.db2.gz MXXDIHFTPQYUOV-GFCCVEGCSA-N 0 2 313.398 0.651 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[NH2+][C@@H](C(=O)[O-])c1cccc(C)c1 ZINC001603796579 974264588 /nfs/dbraw/zinc/26/45/88/974264588.db2.gz NWADSGHJFHAKOU-OAHLLOKOSA-N 0 2 322.361 0.732 20 0 DCADLN CCOC(=O)c1cccc(C[N@H+](CCC(=O)OC)CC(=O)[O-])n1 ZINC001603815180 974293338 /nfs/dbraw/zinc/29/33/38/974293338.db2.gz YQZQHYDZCBFKQK-UHFFFAOYSA-N 0 2 324.333 0.708 20 0 DCADLN CCOC(=O)c1cccc(C[N@@H+](CCC(=O)OC)CC(=O)[O-])n1 ZINC001603815180 974293342 /nfs/dbraw/zinc/29/33/42/974293342.db2.gz YQZQHYDZCBFKQK-UHFFFAOYSA-N 0 2 324.333 0.708 20 0 DCADLN CC(C)c1nnc(C[NH2+]C2(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)[nH]1 ZINC001592019346 976704356 /nfs/dbraw/zinc/70/43/56/976704356.db2.gz CFIFDPNZXJMHHG-VHSXEESVSA-N 0 2 321.381 0.387 20 0 DCADLN CC(C)n1cc(C[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)nn1 ZINC001592126700 976947481 /nfs/dbraw/zinc/94/74/81/976947481.db2.gz AQOPCIHACAXAJF-NSHDSACASA-N 0 2 305.342 0.596 20 0 DCADLN CC(C)n1cc(C[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)nn1 ZINC001592126700 976947493 /nfs/dbraw/zinc/94/74/93/976947493.db2.gz AQOPCIHACAXAJF-NSHDSACASA-N 0 2 305.342 0.596 20 0 DCADLN C[C@H](CN(C)c1ncc(C(=O)[O-])cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001592593063 978523402 /nfs/dbraw/zinc/52/34/02/978523402.db2.gz CJBNNJMHLFSREI-SECBINFHSA-N 0 2 318.337 0.082 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC001592624609 978599954 /nfs/dbraw/zinc/59/99/54/978599954.db2.gz QUUFQNGXHJOINU-DGCLKSJQSA-N 0 2 313.398 0.507 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1cc(C(=O)[O-])co1 ZINC001592625144 978603833 /nfs/dbraw/zinc/60/38/33/978603833.db2.gz XILNWCIELBQGPR-JTQLQIEISA-N 0 2 311.338 0.498 20 0 DCADLN C[C@@H](CNC(=O)Cn1cc[nH+]c1)N(C)c1ccnc(C(=O)[O-])n1 ZINC001592655557 978638918 /nfs/dbraw/zinc/63/89/18/978638918.db2.gz SMNJUOOWADPLLH-JTQLQIEISA-N 0 2 318.337 0.012 20 0 DCADLN C[C@H](CNC(=O)c1cc(C(=O)[O-])on1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001592693751 979144181 /nfs/dbraw/zinc/14/41/81/979144181.db2.gz AIQGMKPPXVZUHU-BBBLOLIVSA-N 0 2 311.338 0.600 20 0 DCADLN C[C@H](CNC(=O)c1cc(C(=O)[O-])on1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001592693751 979144183 /nfs/dbraw/zinc/14/41/83/979144183.db2.gz AIQGMKPPXVZUHU-BBBLOLIVSA-N 0 2 311.338 0.600 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N[C@@H]3CNOC3)cc2[nH]c1=S ZINC001523105973 1014561454 /nfs/dbraw/zinc/56/14/54/1014561454.db2.gz XDYAZVYMJKMMNM-SECBINFHSA-N 0 2 320.374 0.338 20 0 DCADLN CC[C@H](COC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001595181599 979799321 /nfs/dbraw/zinc/79/93/21/979799321.db2.gz FXWMCTCDJZJKNT-UPJWGTAASA-N 0 2 315.366 0.520 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)ncn1 ZINC001530483851 1014766531 /nfs/dbraw/zinc/76/65/31/1014766531.db2.gz GDAGUFWIYLTXJW-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)ncn1 ZINC001530483851 1014766544 /nfs/dbraw/zinc/76/65/44/1014766544.db2.gz GDAGUFWIYLTXJW-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN CCN(CCCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)C(F)F ZINC001523914776 1014798636 /nfs/dbraw/zinc/79/86/36/1014798636.db2.gz LCHBYMYYNKHMSN-UHFFFAOYSA-N 0 2 302.325 0.881 20 0 DCADLN C[C@H](CNC(=O)Cc1ncc[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001450060313 1014879926 /nfs/dbraw/zinc/87/99/26/1014879926.db2.gz DMUITWRKSNVCEY-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN CCO[C@@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530697272 1014886876 /nfs/dbraw/zinc/88/68/76/1014886876.db2.gz PIBVPESWFLOCJR-CBAPKCEASA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@@H](C)C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001530697272 1014886888 /nfs/dbraw/zinc/88/68/88/1014886888.db2.gz PIBVPESWFLOCJR-CBAPKCEASA-N 0 2 314.279 0.886 20 0 DCADLN CCN(CCNc1ncc(C(=O)[O-])cn1)C(=O)Cc1c[nH+]c[nH]1 ZINC001596340793 983788997 /nfs/dbraw/zinc/78/89/97/983788997.db2.gz JKQXWSGGOVTNBZ-UHFFFAOYSA-N 0 2 318.337 0.401 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001596368552 983863681 /nfs/dbraw/zinc/86/36/81/983863681.db2.gz TXAXLXZUNPOOJV-GDBMZVCRSA-N 0 2 320.389 0.945 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001596368552 983863688 /nfs/dbraw/zinc/86/36/88/983863688.db2.gz TXAXLXZUNPOOJV-GDBMZVCRSA-N 0 2 320.389 0.945 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)[C@@H]2CCCC[C@H]2C(=O)[O-])CC1 ZINC001596436504 984121696 /nfs/dbraw/zinc/12/16/96/984121696.db2.gz SVYWUALLZIRYNY-VXGBXAGGSA-N 0 2 304.412 0.597 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)[O-])[C@@H](C)C1 ZINC001596436608 984122556 /nfs/dbraw/zinc/12/25/56/984122556.db2.gz WNQULXCJEKRSMS-QMMMGPOBSA-N 0 2 316.383 0.131 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)[O-])[C@@H](C)C1 ZINC001596436608 984122558 /nfs/dbraw/zinc/12/25/58/984122558.db2.gz WNQULXCJEKRSMS-QMMMGPOBSA-N 0 2 316.383 0.131 20 0 DCADLN CCN1OC[C@H]([N@@H+]2CC[C@H](c3ncc(C(=O)[O-])s3)C2)C1=O ZINC001596449145 984148001 /nfs/dbraw/zinc/14/80/01/984148001.db2.gz CSWNLXRQSRJDSW-IUCAKERBSA-N 0 2 311.363 0.793 20 0 DCADLN CCN1OC[C@H]([N@H+]2CC[C@H](c3ncc(C(=O)[O-])s3)C2)C1=O ZINC001596449145 984148004 /nfs/dbraw/zinc/14/80/04/984148004.db2.gz CSWNLXRQSRJDSW-IUCAKERBSA-N 0 2 311.363 0.793 20 0 DCADLN O=C(C[C@@H]1CCOC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450374004 1015096467 /nfs/dbraw/zinc/09/64/67/1015096467.db2.gz KVSDXBSPDRDMFX-OIBJUYFYSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(C[C@@H]1CCOC1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450374004 1015096473 /nfs/dbraw/zinc/09/64/73/1015096473.db2.gz KVSDXBSPDRDMFX-OIBJUYFYSA-N 0 2 312.263 0.640 20 0 DCADLN CC(=O)CCn1cc(C[NH+]2CCC3(C[C@@H]3C(=O)[O-])CC2)nn1 ZINC001589040894 985095779 /nfs/dbraw/zinc/09/57/79/985095779.db2.gz HPFGBLQFBCFJRI-CYBMUJFWSA-N 0 2 306.366 0.944 20 0 DCADLN CC(=O)CSCC(=O)N1CC[N@H+](CCC(=O)[O-])[C@H](C)C1 ZINC001589041640 985107282 /nfs/dbraw/zinc/10/72/82/985107282.db2.gz WMCFVETXIDEKAR-SNVBAGLBSA-N 0 2 302.396 0.316 20 0 DCADLN CC(=O)CSCC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC001589041640 985107285 /nfs/dbraw/zinc/10/72/85/985107285.db2.gz WMCFVETXIDEKAR-SNVBAGLBSA-N 0 2 302.396 0.316 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001383855271 985143370 /nfs/dbraw/zinc/14/33/70/985143370.db2.gz SBAGYOUBEOSGEV-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1cccnc1 ZINC001383855271 985143380 /nfs/dbraw/zinc/14/33/80/985143380.db2.gz SBAGYOUBEOSGEV-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN CCO[C@@H]1C[C@](NC(=O)C[C@H]([NH3+])C(F)F)(C(=O)[O-])C1(C)C ZINC001596818146 985401400 /nfs/dbraw/zinc/40/14/00/985401400.db2.gz KMDSQPBTZQOCKQ-DAROEXNTSA-N 0 2 308.325 0.744 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2C[C@@H](C)CO2)n[nH]1 ZINC001551655904 1015166999 /nfs/dbraw/zinc/16/69/99/1015166999.db2.gz IJOAVNNVJUUSTH-OJAKKHQRSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@H](C)n2cccn2)n[nH]1 ZINC001551662518 1015167603 /nfs/dbraw/zinc/16/76/03/1015167603.db2.gz FKTMQAGEOVJOTF-RTXFEEFZSA-N 0 2 318.381 0.902 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@]2(C)CCOC2)n[nH]1 ZINC001551668901 1015171554 /nfs/dbraw/zinc/17/15/54/1015171554.db2.gz IADXSHDLVYHGAZ-XUJVJEKNSA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2ccn(C)n2)n[nH]1 ZINC001551671664 1015172966 /nfs/dbraw/zinc/17/29/66/1015172966.db2.gz XWEXZODUDMMIED-CMPLNLGQSA-N 0 2 304.354 0.491 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2ccn(C)n2)n[nH]1 ZINC001551671666 1015174617 /nfs/dbraw/zinc/17/46/17/1015174617.db2.gz XWEXZODUDMMIED-JQWIXIFHSA-N 0 2 304.354 0.491 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCCNc1ccncn1 ZINC001525862357 1015176879 /nfs/dbraw/zinc/17/68/79/1015176879.db2.gz CLRPTEOJPBZODU-UHFFFAOYSA-N 0 2 320.271 0.889 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NC2CC(CC(=O)[O-])C2)[C@H](C)CO1 ZINC001594527554 986080255 /nfs/dbraw/zinc/08/02/55/986080255.db2.gz MDGHZAHLYMOCEY-OKZRHMCRSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NC2CC(CC(=O)[O-])C2)[C@H](C)CO1 ZINC001594527554 986080267 /nfs/dbraw/zinc/08/02/67/986080267.db2.gz MDGHZAHLYMOCEY-OKZRHMCRSA-N 0 2 313.398 0.648 20 0 DCADLN COCC(=O)N1CC[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385225910 986169920 /nfs/dbraw/zinc/16/99/20/986169920.db2.gz HBQDVUZQWDFCDQ-APPZFPTMSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N1CC[C@@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385225910 986169923 /nfs/dbraw/zinc/16/99/23/986169923.db2.gz HBQDVUZQWDFCDQ-APPZFPTMSA-N 0 2 300.252 0.593 20 0 DCADLN C[C@@H]1OCC[C@]12C[N@H+](Cc1cnc(C(=O)[O-])cn1)C[C@@H](C)O2 ZINC001594547371 986225064 /nfs/dbraw/zinc/22/50/64/986225064.db2.gz JRXUMSBUKGUMDR-ZETOZRRWSA-N 0 2 307.350 0.943 20 0 DCADLN C[C@@H]1OCC[C@]12C[N@@H+](Cc1cnc(C(=O)[O-])cn1)C[C@@H](C)O2 ZINC001594547371 986225073 /nfs/dbraw/zinc/22/50/73/986225073.db2.gz JRXUMSBUKGUMDR-ZETOZRRWSA-N 0 2 307.350 0.943 20 0 DCADLN C[C@@H](C(=O)N1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC001589356951 986342603 /nfs/dbraw/zinc/34/26/03/986342603.db2.gz SZFHQKIXBCMXRN-WDEREUQCSA-N 0 2 318.337 0.598 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001385650577 986495726 /nfs/dbraw/zinc/49/57/26/986495726.db2.gz CIYAWRGNZMFNDN-NTSWFWBYSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2nc[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001385650577 986495730 /nfs/dbraw/zinc/49/57/30/986495730.db2.gz CIYAWRGNZMFNDN-NTSWFWBYSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCCO3)C[C@H]21)C(F)C(F)(F)F ZINC001526199411 1015231160 /nfs/dbraw/zinc/23/11/60/1015231160.db2.gz WCJHFDQOOWYVOH-LRPJSKTQSA-N 0 2 324.274 0.639 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCCO3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001526199411 1015231166 /nfs/dbraw/zinc/23/11/66/1015231166.db2.gz WCJHFDQOOWYVOH-LRPJSKTQSA-N 0 2 324.274 0.639 20 0 DCADLN C[C@@H]1COCCC[N@@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001594607674 986584469 /nfs/dbraw/zinc/58/44/69/986584469.db2.gz VQDOFCUPWWUVCN-YGRLFVJLSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@@H]1COCCC[N@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001594607674 986584482 /nfs/dbraw/zinc/58/44/82/986584482.db2.gz VQDOFCUPWWUVCN-YGRLFVJLSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@@H]1CSCC[N@@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001594612189 986605851 /nfs/dbraw/zinc/60/58/51/986605851.db2.gz DKGVZNGZJZXOQM-NOZJJQNGSA-N 0 2 315.395 0.557 20 0 DCADLN C[C@@H]1CSCC[N@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001594612189 986605857 /nfs/dbraw/zinc/60/58/57/986605857.db2.gz DKGVZNGZJZXOQM-NOZJJQNGSA-N 0 2 315.395 0.557 20 0 DCADLN COCC(=O)NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001385826134 986612984 /nfs/dbraw/zinc/61/29/84/986612984.db2.gz VNZMNDPUXPIIQJ-APPZFPTMSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001385826134 986612989 /nfs/dbraw/zinc/61/29/89/986612989.db2.gz VNZMNDPUXPIIQJ-APPZFPTMSA-N 0 2 300.252 0.544 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386282188 987033776 /nfs/dbraw/zinc/03/37/76/987033776.db2.gz MWSWUESKAVUHTJ-OOJXKGFFSA-N 0 2 300.252 0.685 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001386282188 987033785 /nfs/dbraw/zinc/03/37/85/987033785.db2.gz MWSWUESKAVUHTJ-OOJXKGFFSA-N 0 2 300.252 0.685 20 0 DCADLN COCCC(C)(C)C(=O)N[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001386416064 987134802 /nfs/dbraw/zinc/13/48/02/987134802.db2.gz DMFSVXMPZMWULG-NSHDSACASA-N 0 2 324.425 0.944 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)CNC(=O)C(F)C(F)(F)F ZINC001532194349 1015303891 /nfs/dbraw/zinc/30/38/91/1015303891.db2.gz VKBRWGOAFIQBQU-APPZFPTMSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)CNC(=O)[C@H](F)C(F)(F)F ZINC001532194349 1015303892 /nfs/dbraw/zinc/30/38/92/1015303892.db2.gz VKBRWGOAFIQBQU-APPZFPTMSA-N 0 2 322.262 0.859 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ccn(C)n1 ZINC001386934682 987513967 /nfs/dbraw/zinc/51/39/67/987513967.db2.gz LORKJBIBSUJOEE-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cc1ccn(C)n1 ZINC001386934682 987513972 /nfs/dbraw/zinc/51/39/72/987513972.db2.gz LORKJBIBSUJOEE-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)c1cnc[nH]c1=O)C(=O)C(F)C(F)(F)F ZINC001386956241 987527614 /nfs/dbraw/zinc/52/76/14/987527614.db2.gz DDOANRHNLXDUPH-ZETCQYMHSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)c1cnc[nH]c1=O)C(=O)[C@H](F)C(F)(F)F ZINC001386956241 987527619 /nfs/dbraw/zinc/52/76/19/987527619.db2.gz DDOANRHNLXDUPH-ZETCQYMHSA-N 0 2 324.234 0.271 20 0 DCADLN C[C@H](CCNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001387424158 987824404 /nfs/dbraw/zinc/82/44/04/987824404.db2.gz YYNJLKLQPTYFLJ-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1ccnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001387424158 987824409 /nfs/dbraw/zinc/82/44/09/987824409.db2.gz YYNJLKLQPTYFLJ-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](C(C)C)[NH+](C)C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001388099036 988039146 /nfs/dbraw/zinc/03/91/46/988039146.db2.gz HTPXHPFZPSAFRW-WFASDCNBSA-N 0 2 323.441 0.549 20 0 DCADLN CC[C@@H](CNC(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001388122337 988064411 /nfs/dbraw/zinc/06/44/11/988064411.db2.gz TYDZUPDASIBQQB-CAHLUQPWSA-N 0 2 311.239 0.330 20 0 DCADLN O=C(NC1CN(C(=O)c2ccnnc2)C1)C(F)C(F)(F)F ZINC001387752411 988117832 /nfs/dbraw/zinc/11/78/32/988117832.db2.gz ZMSCKWDGEQZPBT-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2ccnnc2)C1)[C@@H](F)C(F)(F)F ZINC001387752411 988117837 /nfs/dbraw/zinc/11/78/37/988117837.db2.gz ZMSCKWDGEQZPBT-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnccn1 ZINC001387794025 988158044 /nfs/dbraw/zinc/15/80/44/988158044.db2.gz KDSVFHZDSGNEKI-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnccn1 ZINC001387794025 988158051 /nfs/dbraw/zinc/15/80/51/988158051.db2.gz KDSVFHZDSGNEKI-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN COCC(=O)NC[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001388352042 988223195 /nfs/dbraw/zinc/22/31/95/988223195.db2.gz VTJWLLBBTWFSAO-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)NC[C@H]1CCCN1C(=O)[C@H](F)C(F)(F)F ZINC001388352042 988223205 /nfs/dbraw/zinc/22/32/05/988223205.db2.gz VTJWLLBBTWFSAO-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@H](CNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001388068051 988453042 /nfs/dbraw/zinc/45/30/42/988453042.db2.gz AMTICXLWEBFHGX-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)c1ccnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001388068051 988453045 /nfs/dbraw/zinc/45/30/45/988453045.db2.gz AMTICXLWEBFHGX-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC(C)[C@H](CNC(=O)CC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001532699462 1015397438 /nfs/dbraw/zinc/39/74/38/1015397438.db2.gz UNKBWYQJZQDSKG-RCOVLWMOSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@H](CNC(=O)CC(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001532699462 1015397444 /nfs/dbraw/zinc/39/74/44/1015397444.db2.gz UNKBWYQJZQDSKG-RCOVLWMOSA-N 0 2 315.267 0.019 20 0 DCADLN CCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)no1 ZINC001597674136 988781065 /nfs/dbraw/zinc/78/10/65/988781065.db2.gz UUGQRWYEPBCORG-ZYUZMQFOSA-N 0 2 308.338 0.090 20 0 DCADLN CCc1ncc(Cl)c(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001597699750 988916052 /nfs/dbraw/zinc/91/60/52/988916052.db2.gz ATSBHFUEYVXICF-SECBINFHSA-N 0 2 323.740 0.841 20 0 DCADLN CCc1ncc(Cl)c(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001597699750 988916063 /nfs/dbraw/zinc/91/60/63/988916063.db2.gz ATSBHFUEYVXICF-SECBINFHSA-N 0 2 323.740 0.841 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(C(=O)Nc1ccc3[nH+]ccn3c1)C2 ZINC001552445053 1015440365 /nfs/dbraw/zinc/44/03/65/1015440365.db2.gz AETWIYMRTAWEBH-BONVTDFDSA-N 0 2 316.317 0.899 20 0 DCADLN CCc1nnc(C[NH2+]C/C=C/CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])s1 ZINC001597729697 989039535 /nfs/dbraw/zinc/03/95/35/989039535.db2.gz WSUCJADEGZNAOC-HIHHVFROSA-N 0 2 324.406 0.583 20 0 DCADLN C[C@@H](CNC(=O)COCC(F)F)NC(=O)C(F)C(F)(F)F ZINC001389385059 989183699 /nfs/dbraw/zinc/18/36/99/989183699.db2.gz VNCYYLLNFGNUAN-YLWLKBPMSA-N 0 2 324.221 0.789 20 0 DCADLN C[C@@H](CNC(=O)COCC(F)F)NC(=O)[C@@H](F)C(F)(F)F ZINC001389385059 989183704 /nfs/dbraw/zinc/18/37/04/989183704.db2.gz VNCYYLLNFGNUAN-YLWLKBPMSA-N 0 2 324.221 0.789 20 0 DCADLN CCc1onc(C)c1C[NH+]1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001597813682 989434819 /nfs/dbraw/zinc/43/48/19/989434819.db2.gz JMCWMTPDRQCKEG-MRXNPFEDSA-N 0 2 323.393 0.907 20 0 DCADLN CC(C)(C)OC(=O)NCCC[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001590194438 989551777 /nfs/dbraw/zinc/55/17/77/989551777.db2.gz HJMWFNLVAUDMFE-JTQLQIEISA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)NCCC[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001590194438 989551781 /nfs/dbraw/zinc/55/17/81/989551781.db2.gz HJMWFNLVAUDMFE-JTQLQIEISA-N 0 2 315.370 0.176 20 0 DCADLN CCn1nncc1CN(C)CCNC(=O)C(F)C(F)(F)F ZINC001390770779 990372416 /nfs/dbraw/zinc/37/24/16/990372416.db2.gz QQPMWJGVLYMIBR-VIFPVBQESA-N 0 2 311.283 0.746 20 0 DCADLN CCn1nncc1CN(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001390770779 990372419 /nfs/dbraw/zinc/37/24/19/990372419.db2.gz QQPMWJGVLYMIBR-VIFPVBQESA-N 0 2 311.283 0.746 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391031970 990527503 /nfs/dbraw/zinc/52/75/03/990527503.db2.gz UQAOEEDGTNVIAD-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391031970 990527508 /nfs/dbraw/zinc/52/75/08/990527508.db2.gz UQAOEEDGTNVIAD-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN CN(C)C(=O)CC1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001598122183 990549023 /nfs/dbraw/zinc/54/90/23/990549023.db2.gz RUWWKVBLWOVCCK-UHFFFAOYSA-N 0 2 309.370 0.053 20 0 DCADLN CC(C)(CS(=O)(=O)N[C@H]1CCn2cc[nH+]c2C1)C(=O)[O-] ZINC001590804578 990640274 /nfs/dbraw/zinc/64/02/74/990640274.db2.gz XYAVULKXZQXZOC-VIFPVBQESA-N 0 2 301.368 0.228 20 0 DCADLN C[C@H](CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCO1 ZINC001391517582 990908646 /nfs/dbraw/zinc/90/86/46/990908646.db2.gz SBEIVFOXEISXOY-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN COc1cccn2c(=O)n(C[N@H+](CCC(=O)[O-])C(C)C)nc12 ZINC001599719290 991508766 /nfs/dbraw/zinc/50/87/66/991508766.db2.gz JLJKFJAEDBLNOU-UHFFFAOYSA-N 0 2 308.338 0.647 20 0 DCADLN COc1cccn2c(=O)n(C[N@@H+](CCC(=O)[O-])C(C)C)nc12 ZINC001599719290 991508778 /nfs/dbraw/zinc/50/87/78/991508778.db2.gz JLJKFJAEDBLNOU-UHFFFAOYSA-N 0 2 308.338 0.647 20 0 DCADLN CN(CCCNC(=O)Cn1ccc(C(=O)[O-])n1)c1cccc[nH+]1 ZINC001598480352 992138946 /nfs/dbraw/zinc/13/89/46/992138946.db2.gz JPOFPUDZKLBGSG-UHFFFAOYSA-N 0 2 317.349 0.619 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1C[C@@H]1C(F)F ZINC001392636791 992182945 /nfs/dbraw/zinc/18/29/45/992182945.db2.gz HPWHBVKKYLARPU-FXQIFTODSA-N 0 2 303.313 0.348 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1C[C@@H]1C(F)F ZINC001392636791 992182952 /nfs/dbraw/zinc/18/29/52/992182952.db2.gz HPWHBVKKYLARPU-FXQIFTODSA-N 0 2 303.313 0.348 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001598485840 992205438 /nfs/dbraw/zinc/20/54/38/992205438.db2.gz VGRSYICQIWNKML-NEPJUHHUSA-N 0 2 315.395 0.788 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])CC(=O)Nc1ccccc1 ZINC001598489028 992255424 /nfs/dbraw/zinc/25/54/24/992255424.db2.gz JKPQBQGLPARDCI-STQMWFEESA-N 0 2 319.361 0.394 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])CC(=O)Nc1ccccc1 ZINC001598489028 992255431 /nfs/dbraw/zinc/25/54/31/992255431.db2.gz JKPQBQGLPARDCI-STQMWFEESA-N 0 2 319.361 0.394 20 0 DCADLN C[S@](=O)CC[NH+]1CCN([C@H](C(=O)[O-])c2ccsc2)CC1 ZINC001599777088 992317080 /nfs/dbraw/zinc/31/70/80/992317080.db2.gz OYWRQHSODSGKQZ-YUNKPMOVSA-N 0 2 316.448 0.870 20 0 DCADLN CN(CC[NH2+]Cc1nnc(C(C)(C)C)o1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001598495921 992361245 /nfs/dbraw/zinc/36/12/45/992361245.db2.gz UCYRLIMKQAHOTF-ZJUUUORDSA-N 0 2 324.381 0.636 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001392824146 992429237 /nfs/dbraw/zinc/42/92/37/992429237.db2.gz SKCFELGYOSSIHV-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001392824146 992429238 /nfs/dbraw/zinc/42/92/38/992429238.db2.gz SKCFELGYOSSIHV-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN Cn1nncc1CN1CC[C@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001393105969 992687947 /nfs/dbraw/zinc/68/79/47/992687947.db2.gz WCIXRAOFGDPZJF-KOLCDFICSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001393338870 992894386 /nfs/dbraw/zinc/89/43/86/992894386.db2.gz DNDHGVCNBDLGPI-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001393338870 992894390 /nfs/dbraw/zinc/89/43/90/992894390.db2.gz DNDHGVCNBDLGPI-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN CC(C)[NH+](C)CC(=O)NC[C@@H]1COCC[N@@H+]1C[C@@H]1CCOC1 ZINC001393517464 993047729 /nfs/dbraw/zinc/04/77/29/993047729.db2.gz DDNZLJKZRDOVBT-LSDHHAIUSA-N 0 2 313.442 0.180 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394053558 993439503 /nfs/dbraw/zinc/43/95/03/993439503.db2.gz ZMTULEHOMGKHFL-MEWQQHAOSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394053558 993439526 /nfs/dbraw/zinc/43/95/26/993439526.db2.gz ZMTULEHOMGKHFL-MEWQQHAOSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](CNC(=O)C(C)(C)C(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394110917 993485551 /nfs/dbraw/zinc/48/55/51/993485551.db2.gz PFJRUGOEJVNDIY-ZETCQYMHSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@@H](CNC(=O)C(C)(C)C(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394110917 993485553 /nfs/dbraw/zinc/48/55/53/993485553.db2.gz PFJRUGOEJVNDIY-ZETCQYMHSA-N 0 2 305.329 0.738 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1cccc(C(=O)[O-])n1 ZINC001598597647 993529289 /nfs/dbraw/zinc/52/92/89/993529289.db2.gz AUPLYPGYHSGBKW-LLVKDONJSA-N 0 2 321.333 0.746 20 0 DCADLN C[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1cccc(C(=O)[O-])n1 ZINC001598597647 993529291 /nfs/dbraw/zinc/52/92/91/993529291.db2.gz AUPLYPGYHSGBKW-LLVKDONJSA-N 0 2 321.333 0.746 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001399685295 993668956 /nfs/dbraw/zinc/66/89/56/993668956.db2.gz FUBJZEHOMYGAOR-SSDOTTSWSA-N 0 2 310.251 0.841 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001399685295 993668957 /nfs/dbraw/zinc/66/89/57/993668957.db2.gz FUBJZEHOMYGAOR-SSDOTTSWSA-N 0 2 310.251 0.841 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)C34CC(C(=O)[O-])(C3)C4)CC2)CC1 ZINC001598614497 993853105 /nfs/dbraw/zinc/85/31/05/993853105.db2.gz OFJIUBZAFHMDRZ-UHFFFAOYSA-N 0 2 321.421 0.480 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@@H+]1CCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001399869834 993862820 /nfs/dbraw/zinc/86/28/20/993862820.db2.gz GEXDYDNELQOLFL-QWRGUYRKSA-N 0 2 308.386 0.043 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@H+]1CCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001399869834 993862823 /nfs/dbraw/zinc/86/28/23/993862823.db2.gz GEXDYDNELQOLFL-QWRGUYRKSA-N 0 2 308.386 0.043 20 0 DCADLN CCCN(C(=O)c1[nH]nc(C)c1[O-])[C@H]1CC[N@H+](CCOC)C1 ZINC001400110809 994163457 /nfs/dbraw/zinc/16/34/57/994163457.db2.gz DGGCCPLTHQDFGA-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN CCCN(C(=O)c1[nH]nc(C)c1[O-])[C@H]1CC[N@@H+](CCOC)C1 ZINC001400110809 994163460 /nfs/dbraw/zinc/16/34/60/994163460.db2.gz DGGCCPLTHQDFGA-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN COc1cccc(C[NH+]2CC(CNC(=O)C[N@H+](C)C(C)C)C2)n1 ZINC001400573224 994666288 /nfs/dbraw/zinc/66/62/88/994666288.db2.gz LLTSGPFNHRWWAA-UHFFFAOYSA-N 0 2 320.437 0.978 20 0 DCADLN COc1cccc(C[C@H]([NH2+][C@H](C)c2nnnn2C)C(=O)[O-])c1 ZINC001599668975 994778265 /nfs/dbraw/zinc/77/82/65/994778265.db2.gz BTPKUBUCFCWRIU-SKDRFNHKSA-N 0 2 305.338 0.565 20 0 DCADLN CNc1cc(CNC(=O)Nc2c(C(=O)[O-])cnn2C)cc[nH+]1 ZINC001598676623 994855798 /nfs/dbraw/zinc/85/57/98/994855798.db2.gz ZMXHEXQFSCPJDL-UHFFFAOYSA-N 0 2 304.310 0.877 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)Cc2ncc[nH]2)C1 ZINC001400754934 994883803 /nfs/dbraw/zinc/88/38/03/994883803.db2.gz YNUPJRZYFFTOML-SNVBAGLBSA-N 0 2 322.262 0.522 20 0 DCADLN COc1cccc(N2CC[NH+]([C@@H](C)C(=O)NCC(=O)[O-])CC2)c1 ZINC001599678570 994939918 /nfs/dbraw/zinc/93/99/18/994939918.db2.gz GJMMXKDBWVLYQW-LBPRGKRZSA-N 0 2 321.377 0.407 20 0 DCADLN O=C(CCC(F)F)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395737548 994951119 /nfs/dbraw/zinc/95/11/19/994951119.db2.gz AUEBSFPBEGQZNU-XRGYYRRGSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001395737548 994951127 /nfs/dbraw/zinc/95/11/27/994951127.db2.gz AUEBSFPBEGQZNU-XRGYYRRGSA-N 0 2 324.221 0.525 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1ccoc1CC(=O)[O-] ZINC001598702071 995236448 /nfs/dbraw/zinc/23/64/48/995236448.db2.gz KVKJBUHQQRMJEF-JTQLQIEISA-N 0 2 321.289 0.075 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001396987035 995726348 /nfs/dbraw/zinc/72/63/48/995726348.db2.gz FIYDEAOKRDLZNB-JGVFFNPUSA-N 0 2 321.303 0.741 20 0 DCADLN C[C@@H](c1nnnn1C)[N@@H+]1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001593737929 996341415 /nfs/dbraw/zinc/34/14/15/996341415.db2.gz NHRZEVYFZNFHOG-JGVFFNPUSA-N 0 2 308.367 0.915 20 0 DCADLN C[C@@H](c1nnnn1C)[N@H+]1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001593737929 996341420 /nfs/dbraw/zinc/34/14/20/996341420.db2.gz NHRZEVYFZNFHOG-JGVFFNPUSA-N 0 2 308.367 0.915 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]n1 ZINC001398099427 996364340 /nfs/dbraw/zinc/36/43/40/996364340.db2.gz PJXVDUFHSBRTCW-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccn[nH]1 ZINC001398099427 996364348 /nfs/dbraw/zinc/36/43/48/996364348.db2.gz PJXVDUFHSBRTCW-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ncccc1F ZINC001404260346 996868731 /nfs/dbraw/zinc/86/87/31/996868731.db2.gz OOUWLODTAAFMIL-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ncccc1F ZINC001404260346 996868745 /nfs/dbraw/zinc/86/87/45/996868745.db2.gz OOUWLODTAAFMIL-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)c1ncccc1F ZINC001404260346 996868762 /nfs/dbraw/zinc/86/87/62/996868762.db2.gz OOUWLODTAAFMIL-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN COCc1cncc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001599298730 997195141 /nfs/dbraw/zinc/19/51/41/997195141.db2.gz UAXQWEBWJOPQOR-GFCCVEGCSA-N 0 2 304.306 0.308 20 0 DCADLN COc1cc(C[N@H+](CCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])C2CC2)on1 ZINC001599406479 997312939 /nfs/dbraw/zinc/31/29/39/997312939.db2.gz GFHYSZZPERGKMC-RYUDHWBXSA-N 0 2 323.349 0.485 20 0 DCADLN COc1cc(C[N@@H+](CCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])C2CC2)on1 ZINC001599406479 997312951 /nfs/dbraw/zinc/31/29/51/997312951.db2.gz GFHYSZZPERGKMC-RYUDHWBXSA-N 0 2 323.349 0.485 20 0 DCADLN COC[C@H](O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001404712344 997402751 /nfs/dbraw/zinc/40/27/51/997402751.db2.gz UXUGBHYFAHQBMX-VNHYZAJKSA-N 0 2 324.425 0.176 20 0 DCADLN COc1ccc([C@@H](NC(=O)Cn2cc[nH+]c2)C(=O)[O-])c(OC)c1 ZINC001599518300 997431347 /nfs/dbraw/zinc/43/13/47/997431347.db2.gz GCIBPRRCPSNXDU-CQSZACIVSA-N 0 2 319.317 0.842 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@@H]1[C@@H]1CCCO1 ZINC001598926404 997655550 /nfs/dbraw/zinc/65/55/50/997655550.db2.gz OJBCDCQHSIONQR-YPMHNXCESA-N 0 2 323.349 0.969 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@@H]1[C@@H]1CCCO1 ZINC001598926404 997655556 /nfs/dbraw/zinc/65/55/56/997655556.db2.gz OJBCDCQHSIONQR-YPMHNXCESA-N 0 2 323.349 0.969 20 0 DCADLN C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2cc(C(=O)[O-])cs2)C1 ZINC001594047214 997710681 /nfs/dbraw/zinc/71/06/81/997710681.db2.gz NCWTWIWDKOWPAM-NSHDSACASA-N 0 2 322.383 0.768 20 0 DCADLN CO[C@@H]1CC[N@H+](CC(=O)N2C[C@H](C)O[C@@H](C)C2)[C@@H](C(=O)[O-])C1 ZINC001599123030 997776026 /nfs/dbraw/zinc/77/60/26/997776026.db2.gz PDVLCPPHIZKNFN-WUHRBBMRSA-N 0 2 314.382 0.186 20 0 DCADLN CO[C@@H]1CC[N@@H+](CC(=O)N2C[C@H](C)O[C@@H](C)C2)[C@@H](C(=O)[O-])C1 ZINC001599123030 997776036 /nfs/dbraw/zinc/77/60/36/997776036.db2.gz PDVLCPPHIZKNFN-WUHRBBMRSA-N 0 2 314.382 0.186 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001405127904 997834534 /nfs/dbraw/zinc/83/45/34/997834534.db2.gz MKWPNSIGSLXPSB-SFYZADRCSA-N 0 2 321.303 0.741 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001405127904 997834544 /nfs/dbraw/zinc/83/45/44/997834544.db2.gz MKWPNSIGSLXPSB-SFYZADRCSA-N 0 2 321.303 0.741 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001405127904 997834553 /nfs/dbraw/zinc/83/45/53/997834553.db2.gz MKWPNSIGSLXPSB-SFYZADRCSA-N 0 2 321.303 0.741 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2C[C@H](CO)[C@H](O)C2)c1 ZINC001599450474 998086838 /nfs/dbraw/zinc/08/68/38/998086838.db2.gz GHNGDUJBOXWJNC-LERXQTSPSA-N 0 2 311.334 0.115 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@@H+]2C[C@H](CO)[C@H](O)C2)c1 ZINC001599450474 998086849 /nfs/dbraw/zinc/08/68/49/998086849.db2.gz GHNGDUJBOXWJNC-LERXQTSPSA-N 0 2 311.334 0.115 20 0 DCADLN COc1ccc(CN(C)C(=O)C[N@@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])cc1 ZINC001599557413 998119003 /nfs/dbraw/zinc/11/90/03/998119003.db2.gz XFHZGUDPOSDDGG-TZMCWYRMSA-N 0 2 322.361 0.173 20 0 DCADLN COc1ccc(CN(C)C(=O)C[N@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])cc1 ZINC001599557413 998119011 /nfs/dbraw/zinc/11/90/11/998119011.db2.gz XFHZGUDPOSDDGG-TZMCWYRMSA-N 0 2 322.361 0.173 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@@H+]2CCO[C@H](c3ccnn3C)C2)O1 ZINC001594103692 998140937 /nfs/dbraw/zinc/14/09/37/998140937.db2.gz CUROMXOWAKKYDW-RISCZKNCSA-N 0 2 307.350 0.941 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@H+]2CCO[C@H](c3ccnn3C)C2)O1 ZINC001594103692 998140944 /nfs/dbraw/zinc/14/09/44/998140944.db2.gz CUROMXOWAKKYDW-RISCZKNCSA-N 0 2 307.350 0.941 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)NCCOCC(=O)[O-])cc1 ZINC001599561353 998203177 /nfs/dbraw/zinc/20/31/77/998203177.db2.gz VDRAFTWBJFKEOI-CQSZACIVSA-N 0 2 322.361 0.487 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@@H]2C(=O)NCCOCC(=O)[O-])cc1 ZINC001599561353 998203189 /nfs/dbraw/zinc/20/31/89/998203189.db2.gz VDRAFTWBJFKEOI-CQSZACIVSA-N 0 2 322.361 0.487 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001598958723 998293409 /nfs/dbraw/zinc/29/34/09/998293409.db2.gz DQSVLKUFEWRGSK-LBPRGKRZSA-N 0 2 317.301 0.553 20 0 DCADLN COc1cc2c(cc1O)CC[N@@H+]([C@H](C)C(=O)NCC(=O)[O-])C2 ZINC001599464564 998334364 /nfs/dbraw/zinc/33/43/64/998334364.db2.gz FTEHKJDXFAWQNJ-SECBINFHSA-N 0 2 308.334 0.348 20 0 DCADLN COc1cc2c(cc1O)CC[N@H+]([C@H](C)C(=O)NCC(=O)[O-])C2 ZINC001599464564 998334377 /nfs/dbraw/zinc/33/43/77/998334377.db2.gz FTEHKJDXFAWQNJ-SECBINFHSA-N 0 2 308.334 0.348 20 0 DCADLN COCCn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CC[C@H](C(=O)[O-])C1 ZINC001599269456 998524211 /nfs/dbraw/zinc/52/42/11/998524211.db2.gz SOFFPMIBICLDDC-RYUDHWBXSA-N 0 2 323.397 0.602 20 0 DCADLN COCCn1c([C@@H]2CCC[N@H+]2C)nnc1N1CC[C@H](C(=O)[O-])C1 ZINC001599269456 998524221 /nfs/dbraw/zinc/52/42/21/998524221.db2.gz SOFFPMIBICLDDC-RYUDHWBXSA-N 0 2 323.397 0.602 20 0 DCADLN COC(=O)c1cccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001598992119 998747086 /nfs/dbraw/zinc/74/70/86/998747086.db2.gz IJUJIHUJMONLBC-NSHDSACASA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1cccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001598992119 998747089 /nfs/dbraw/zinc/74/70/89/998747089.db2.gz IJUJIHUJMONLBC-NSHDSACASA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1ccnc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001599006159 998856476 /nfs/dbraw/zinc/85/64/76/998856476.db2.gz MJAKBJMRFXVALW-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1ccnc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001599006159 998856480 /nfs/dbraw/zinc/85/64/80/998856480.db2.gz MJAKBJMRFXVALW-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)[C@H]1CO ZINC001594416281 999556282 /nfs/dbraw/zinc/55/62/82/999556282.db2.gz HACKGIBGLIDPNI-WPRPVWTQSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)[C@H]1CO ZINC001594416281 999556284 /nfs/dbraw/zinc/55/62/84/999556284.db2.gz HACKGIBGLIDPNI-WPRPVWTQSA-N 0 2 313.379 0.411 20 0 DCADLN O=C(Cc1n[nH]c(C2CC2)n1)NCc1nc([C@@H]2CCCO2)n[nH]1 ZINC001469518526 1016343197 /nfs/dbraw/zinc/34/31/97/1016343197.db2.gz FIFAUTJZDPFBGZ-VIFPVBQESA-N 0 2 317.353 0.511 20 0 DCADLN Cn1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)c(C(C)(C)C)n1 ZINC001418623195 1000401216 /nfs/dbraw/zinc/40/12/16/1000401216.db2.gz NJXFGTUYZGTSFE-UHFFFAOYSA-N 0 2 305.338 0.849 20 0 DCADLN CC[C@@H](C(=O)N(C)CC[N@@H+](C)CC(=O)N(C)CC)[NH+](C)C ZINC001401400617 1000408537 /nfs/dbraw/zinc/40/85/37/1000408537.db2.gz YAUAZUWIVMHWFL-ZDUSSCGKSA-N 0 2 300.447 0.195 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001402118165 1001030094 /nfs/dbraw/zinc/03/00/94/1001030094.db2.gz FGVWVFRCVHNNBS-TVQRCGJNSA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001402118165 1001030097 /nfs/dbraw/zinc/03/00/97/1001030097.db2.gz FGVWVFRCVHNNBS-TVQRCGJNSA-N 0 2 313.427 0.733 20 0 DCADLN COc1ccc(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)c(O)c1 ZINC001470932580 1016501465 /nfs/dbraw/zinc/50/14/65/1016501465.db2.gz WSRWSWYTWXOGSQ-SNVBAGLBSA-N 0 2 305.294 0.128 20 0 DCADLN CCC[C@]1(C(=O)[O-])CCCN1S(=O)(=O)N1CC[NH+](C)CC1 ZINC001573733478 1163543713 /nfs/dbraw/zinc/54/37/13/1163543713.db2.gz IWZFZRYMNIEFSD-CYBMUJFWSA-N 0 2 319.427 0.198 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001403097642 1001671793 /nfs/dbraw/zinc/67/17/93/1001671793.db2.gz MELBBGJSMIACHO-MRVPVSSYSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001403097642 1001671794 /nfs/dbraw/zinc/67/17/94/1001671794.db2.gz MELBBGJSMIACHO-MRVPVSSYSA-N 0 2 307.354 0.970 20 0 DCADLN C[C@@H](CNC(=O)CC[C@@H]1CCCO1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403104446 1001674788 /nfs/dbraw/zinc/67/47/88/1001674788.db2.gz BFTBRICXAHKFLW-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](CNC(=O)CC[C@@H]1CCCO1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403104446 1001674791 /nfs/dbraw/zinc/67/47/91/1001674791.db2.gz BFTBRICXAHKFLW-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1CCC(=O)O1 ZINC001471064844 1016517954 /nfs/dbraw/zinc/51/79/54/1016517954.db2.gz AHOGRDTZJNNMES-GHMZBOCLSA-N 0 2 316.317 0.616 20 0 DCADLN C[C@@H](NC(=O)CCC(F)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001403287481 1001783276 /nfs/dbraw/zinc/78/32/76/1001783276.db2.gz BAMPNBBPGGMBOH-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN CCn1nncc1C[N@@H+](C)CCN(C)C(=O)C[NH+]1CCCC1 ZINC001420051613 1001789036 /nfs/dbraw/zinc/78/90/36/1001789036.db2.gz QEVVCFGMOIJTIJ-UHFFFAOYSA-N 0 2 308.430 0.284 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001424712688 1001943692 /nfs/dbraw/zinc/94/36/92/1001943692.db2.gz SMOCGOQCRHQYGN-FTLITQJKSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001424712688 1001943699 /nfs/dbraw/zinc/94/36/99/1001943699.db2.gz SMOCGOQCRHQYGN-FTLITQJKSA-N 0 2 322.262 0.935 20 0 DCADLN CCCc1nc(C[NH2+]C[C@@H](O)CNC(=O)c2ncn[nH]2)cs1 ZINC001420196622 1002020376 /nfs/dbraw/zinc/02/03/76/1002020376.db2.gz NVENPFYWVWIKGT-SNVBAGLBSA-N 0 2 324.410 0.094 20 0 DCADLN CCCc1nc(C[NH2+]C[C@@H](O)CNC(=O)c2nc[nH]n2)cs1 ZINC001420196622 1002020390 /nfs/dbraw/zinc/02/03/90/1002020390.db2.gz NVENPFYWVWIKGT-SNVBAGLBSA-N 0 2 324.410 0.094 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1C[C@@H]1c1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403706314 1002392581 /nfs/dbraw/zinc/39/25/81/1002392581.db2.gz UWTRXTLBRHQFGH-OLZOCXBDSA-N 0 2 315.377 0.862 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1C[C@@H]1c1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403706314 1002392593 /nfs/dbraw/zinc/39/25/93/1002392593.db2.gz UWTRXTLBRHQFGH-OLZOCXBDSA-N 0 2 315.377 0.862 20 0 DCADLN CC[N@H+](CCNC(=O)C(C1CC1)C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001420437065 1002415831 /nfs/dbraw/zinc/41/58/31/1002415831.db2.gz NPQGLXYYDVKETN-UHFFFAOYSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@@H+](CCNC(=O)C(C1CC1)C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001420437065 1002415837 /nfs/dbraw/zinc/41/58/37/1002415837.db2.gz NPQGLXYYDVKETN-UHFFFAOYSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@H](NC(=O)CNc1ccccc1C(N)=O)c1nn(C)cc1O ZINC001420443633 1002424232 /nfs/dbraw/zinc/42/42/32/1002424232.db2.gz ZULVVPOOCYJMBN-VIFPVBQESA-N 0 2 317.349 0.514 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1nnc(C)[nH]1 ZINC001403853380 1002630308 /nfs/dbraw/zinc/63/03/08/1002630308.db2.gz XSDCRKKBYIDZRS-VIFPVBQESA-N 0 2 311.283 0.952 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1csc(=O)[nH]1 ZINC001420672129 1002785854 /nfs/dbraw/zinc/78/58/54/1002785854.db2.gz OCXFQCNBFKPGAB-UHFFFAOYSA-N 0 2 324.366 0.067 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1csc(=O)[nH]1 ZINC001420672129 1002785860 /nfs/dbraw/zinc/78/58/60/1002785860.db2.gz OCXFQCNBFKPGAB-UHFFFAOYSA-N 0 2 324.366 0.067 20 0 DCADLN C[C@H](NC(=O)c1ccccc1OCC(N)=O)c1nn(C)cc1O ZINC001472236340 1016763702 /nfs/dbraw/zinc/76/37/02/1016763702.db2.gz JKQROZTXKAMJJA-VIFPVBQESA-N 0 2 318.333 0.481 20 0 DCADLN C[C@H](NC(=O)CCC[C@]1(C)NC(=O)NC1=O)c1nn(C)cc1O ZINC001472237964 1016767088 /nfs/dbraw/zinc/76/70/88/1016767088.db2.gz XFCOSDIAQPZDAC-RTHLEPHNSA-N 0 2 323.353 0.071 20 0 DCADLN COCC1(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001428653914 1004091361 /nfs/dbraw/zinc/09/13/61/1004091361.db2.gz JBCSGQQCKNEZEA-JGVFFNPUSA-N 0 2 314.279 0.934 20 0 DCADLN COCC1(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001428653914 1004091367 /nfs/dbraw/zinc/09/13/67/1004091367.db2.gz JBCSGQQCKNEZEA-JGVFFNPUSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(CC[C@@H]1CCCCO1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001406886859 1004188918 /nfs/dbraw/zinc/18/89/18/1004188918.db2.gz YODBYDZJXKMMIJ-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)[nH]n1 ZINC001429175926 1004432847 /nfs/dbraw/zinc/43/28/47/1004432847.db2.gz WGFTZXLECBZFEI-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)[nH]n1 ZINC001429175926 1004432852 /nfs/dbraw/zinc/43/28/52/1004432852.db2.gz WGFTZXLECBZFEI-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)COCC(F)F ZINC001429297979 1004514752 /nfs/dbraw/zinc/51/47/52/1004514752.db2.gz RJPAJUKBKFESCU-MRVPVSSYSA-N 0 2 324.221 0.743 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)COCC(F)F ZINC001429297979 1004514757 /nfs/dbraw/zinc/51/47/57/1004514757.db2.gz RJPAJUKBKFESCU-MRVPVSSYSA-N 0 2 324.221 0.743 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001429518530 1004645208 /nfs/dbraw/zinc/64/52/08/1004645208.db2.gz VXDFMHOBFINWRA-APPZFPTMSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001429518530 1004645214 /nfs/dbraw/zinc/64/52/14/1004645214.db2.gz VXDFMHOBFINWRA-APPZFPTMSA-N 0 2 314.279 0.765 20 0 DCADLN CCCCCC(=O)N1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](O)C1 ZINC001513583737 1016899341 /nfs/dbraw/zinc/89/93/41/1016899341.db2.gz YAVLNFBWUAADLE-KGLIPLIRSA-N 0 2 322.409 0.529 20 0 DCADLN CCC(CC)C[N@H+]1CCOC[C@@H]1CNC(=O)Cc1nnc[nH]1 ZINC001407743641 1004831510 /nfs/dbraw/zinc/83/15/10/1004831510.db2.gz GWMRIPUOORSQPI-ZDUSSCGKSA-N 0 2 309.414 0.600 20 0 DCADLN Cc1cc(CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001408189880 1005100266 /nfs/dbraw/zinc/10/02/66/1005100266.db2.gz CSLVILXQKYFQHT-OIBJUYFYSA-N 0 2 324.278 0.782 20 0 DCADLN Cc1cc(CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001408189880 1005100267 /nfs/dbraw/zinc/10/02/67/1005100267.db2.gz CSLVILXQKYFQHT-OIBJUYFYSA-N 0 2 324.278 0.782 20 0 DCADLN CCn1cccc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506058268 1016956996 /nfs/dbraw/zinc/95/69/96/1016956996.db2.gz BHCAMYKJRRFSJN-JTQLQIEISA-N 0 2 306.370 0.582 20 0 DCADLN CCn1cccc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506058268 1016957006 /nfs/dbraw/zinc/95/70/06/1016957006.db2.gz BHCAMYKJRRFSJN-JTQLQIEISA-N 0 2 306.370 0.582 20 0 DCADLN CCO[C@@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001414486603 1005342759 /nfs/dbraw/zinc/34/27/59/1005342759.db2.gz HMMZTRBEHUBNRA-DTWKUNHWSA-N 0 2 314.279 0.983 20 0 DCADLN CCO[C@@H](C)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001414486603 1005342761 /nfs/dbraw/zinc/34/27/61/1005342761.db2.gz HMMZTRBEHUBNRA-DTWKUNHWSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414779155 1005400792 /nfs/dbraw/zinc/40/07/92/1005400792.db2.gz BKPDHHBWAVNBDR-GMSGAONNSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)ncn1 ZINC001415146227 1005469283 /nfs/dbraw/zinc/46/92/83/1005469283.db2.gz FUBDPCHDLFUYLC-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001415146227 1005469284 /nfs/dbraw/zinc/46/92/84/1005469284.db2.gz FUBDPCHDLFUYLC-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN O=C(NC1CN(C(=O)c2cc(F)c[nH]2)C1)C(F)C(F)(F)F ZINC001416026616 1005651296 /nfs/dbraw/zinc/65/12/96/1005651296.db2.gz CNQUPXFTDQRIPQ-MRVPVSSYSA-N 0 2 311.210 0.995 20 0 DCADLN O=C(NC1CN(C(=O)c2cc(F)c[nH]2)C1)[C@@H](F)C(F)(F)F ZINC001416026616 1005651297 /nfs/dbraw/zinc/65/12/97/1005651297.db2.gz CNQUPXFTDQRIPQ-MRVPVSSYSA-N 0 2 311.210 0.995 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001416720215 1005727276 /nfs/dbraw/zinc/72/72/76/1005727276.db2.gz GIBAQXVKNPCKDU-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1cccnc1 ZINC001416720215 1005727281 /nfs/dbraw/zinc/72/72/81/1005727281.db2.gz GIBAQXVKNPCKDU-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3NC(=O)C[C@@H]3C)=N2)cc1 ZINC001417604950 1005849996 /nfs/dbraw/zinc/84/99/96/1005849996.db2.gz KKEPYAFNIRZFLX-VIFPVBQESA-N 0 2 316.317 0.852 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3cnnc3)cc2)S1 ZINC001417712462 1005873737 /nfs/dbraw/zinc/87/37/37/1005873737.db2.gz FDDYOHMXUYBEDH-JTQLQIEISA-N 0 2 316.346 0.762 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc(O)c(F)cc1F ZINC001417889359 1005916157 /nfs/dbraw/zinc/91/61/57/1005916157.db2.gz QIOPRHCTASUSHJ-UHFFFAOYSA-N 0 2 321.243 0.694 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@H](O)[C@@H](CO)C1 ZINC001417934981 1005931745 /nfs/dbraw/zinc/93/17/45/1005931745.db2.gz WCBKLUGLSQEAKI-PWSUYJOCSA-N 0 2 303.318 0.003 20 0 DCADLN Cn1cnnc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001417942291 1005934533 /nfs/dbraw/zinc/93/45/33/1005934533.db2.gz VEIMAFWLZAYNKS-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cnnc1CN1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001417942291 1005934535 /nfs/dbraw/zinc/93/45/35/1005934535.db2.gz VEIMAFWLZAYNKS-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1CCO)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001434796214 1005981614 /nfs/dbraw/zinc/98/16/14/1005981614.db2.gz KHVVYJZTDJCSOW-ZIAGYGMSSA-N 0 2 321.425 0.344 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001451411903 1006157066 /nfs/dbraw/zinc/15/70/66/1006157066.db2.gz DTSQLMOTZXIGEE-JGVFFNPUSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccoc1 ZINC001451411903 1006157075 /nfs/dbraw/zinc/15/70/75/1006157075.db2.gz DTSQLMOTZXIGEE-JGVFFNPUSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(CCC1CC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001451420344 1006163846 /nfs/dbraw/zinc/16/38/46/1006163846.db2.gz SKTRVRWMRBPFDV-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001451420344 1006163853 /nfs/dbraw/zinc/16/38/53/1006163853.db2.gz SKTRVRWMRBPFDV-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@H](CNC(=O)c1ccn[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001451502445 1006219061 /nfs/dbraw/zinc/21/90/61/1006219061.db2.gz NTUPGONULUDHSA-SVRRBLITSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@H](CNC(=O)c1ccn[nH]1)CNC(=O)[C@H](F)C(F)(F)F ZINC001451502445 1006219071 /nfs/dbraw/zinc/21/90/71/1006219071.db2.gz NTUPGONULUDHSA-SVRRBLITSA-N 0 2 310.251 0.792 20 0 DCADLN CCC(CC)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452289415 1006717435 /nfs/dbraw/zinc/71/74/35/1006717435.db2.gz OZKIPRZMPPJBKH-IUCAKERBSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001452289415 1006717453 /nfs/dbraw/zinc/71/74/53/1006717453.db2.gz OZKIPRZMPPJBKH-IUCAKERBSA-N 0 2 316.295 0.916 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1nccs1 ZINC001439407211 1006829658 /nfs/dbraw/zinc/82/96/58/1006829658.db2.gz NQBXLHJWFMYUDN-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1nccs1 ZINC001439407211 1006829669 /nfs/dbraw/zinc/82/96/69/1006829669.db2.gz NQBXLHJWFMYUDN-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001452698225 1006994794 /nfs/dbraw/zinc/99/47/94/1006994794.db2.gz PORGBSBNXDPLTB-LPBLVHEISA-N 0 2 323.250 0.282 20 0 DCADLN C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001452698225 1006994805 /nfs/dbraw/zinc/99/48/05/1006994805.db2.gz PORGBSBNXDPLTB-LPBLVHEISA-N 0 2 323.250 0.282 20 0 DCADLN CC[C@H](C)[C@H](OC)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001439728454 1007159194 /nfs/dbraw/zinc/15/91/94/1007159194.db2.gz KSUNVDYIQIIMMM-CABZTGNLSA-N 0 2 311.386 0.214 20 0 DCADLN COC[C@H](C)[C@H](C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001439726857 1007159417 /nfs/dbraw/zinc/15/94/17/1007159417.db2.gz ZVNQGRLEWIUWCH-UWVGGRQHSA-N 0 2 311.386 0.072 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C)[nH]c1C)Cc1n[nH]c(=O)[n-]1 ZINC001437635831 1007199638 /nfs/dbraw/zinc/19/96/38/1007199638.db2.gz ZEMUDVIMURLLCV-UHFFFAOYSA-N 0 2 306.370 0.707 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C)[nH]c1C)Cc1n[nH]c(=O)[n-]1 ZINC001437635831 1007199643 /nfs/dbraw/zinc/19/96/43/1007199643.db2.gz ZEMUDVIMURLLCV-UHFFFAOYSA-N 0 2 306.370 0.707 20 0 DCADLN Cn1cnnc1CN1CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001439845289 1007244645 /nfs/dbraw/zinc/24/46/45/1007244645.db2.gz MQMFKNKFYQHQHT-GXSJLCMTSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CC[C@@](C)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001439845289 1007244654 /nfs/dbraw/zinc/24/46/54/1007244654.db2.gz MQMFKNKFYQHQHT-GXSJLCMTSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1nnc(CN2CC[C@@H](CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001437711288 1007300953 /nfs/dbraw/zinc/30/09/53/1007300953.db2.gz ZJPWNXMYFQTUBR-CBAPKCEASA-N 0 2 324.282 0.049 20 0 DCADLN Cn1nnc(CN2CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001437711288 1007300966 /nfs/dbraw/zinc/30/09/66/1007300966.db2.gz ZJPWNXMYFQTUBR-CBAPKCEASA-N 0 2 324.282 0.049 20 0 DCADLN Cc1n[nH]cc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001453414114 1007459152 /nfs/dbraw/zinc/45/91/52/1007459152.db2.gz BTXMRVHIYZQAQF-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1n[nH]cc1C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001453414114 1007459156 /nfs/dbraw/zinc/45/91/56/1007459156.db2.gz BTXMRVHIYZQAQF-QMMMGPOBSA-N 0 2 322.262 0.997 20 0 DCADLN CCOCC(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001453417480 1007461984 /nfs/dbraw/zinc/46/19/84/1007461984.db2.gz UDTUUPSQSQFIMI-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN CCOCC(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001453417480 1007461994 /nfs/dbraw/zinc/46/19/94/1007461994.db2.gz UDTUUPSQSQFIMI-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN CCCN(C(=O)c1cn[nH]c1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001437888634 1007481476 /nfs/dbraw/zinc/48/14/76/1007481476.db2.gz QPGLKTQTQMXNFU-LLVKDONJSA-N 0 2 319.369 0.360 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCc1ccon1 ZINC001440242625 1007604349 /nfs/dbraw/zinc/60/43/49/1007604349.db2.gz VLDAFGRDUMDGFX-LLVKDONJSA-N 0 2 320.353 0.164 20 0 DCADLN O=C(CCC(F)F)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001438167477 1007682433 /nfs/dbraw/zinc/68/24/33/1007682433.db2.gz GVUIHCDWWVEHOA-YUMQZZPRSA-N 0 2 301.297 0.341 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2nnn(C)n2)C1 ZINC001438242663 1007739076 /nfs/dbraw/zinc/73/90/76/1007739076.db2.gz GZFPYJWUAKFRBO-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(Cc2nnn(C)n2)C1 ZINC001438242663 1007739085 /nfs/dbraw/zinc/73/90/85/1007739085.db2.gz GZFPYJWUAKFRBO-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN CCc1nc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001440986545 1008159958 /nfs/dbraw/zinc/15/99/58/1008159958.db2.gz ZNWSPYYCQHRYRW-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1nc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001440986545 1008159961 /nfs/dbraw/zinc/15/99/61/1008159961.db2.gz ZNWSPYYCQHRYRW-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN O=c1[nH]nc(CN2C(=O)c3c(cccc3Cl)S2(=O)=O)[nH]1 ZINC001421442218 1008594270 /nfs/dbraw/zinc/59/42/70/1008594270.db2.gz SSGGOKUDBKULBK-UHFFFAOYSA-N 0 2 314.710 0.508 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@H]2CCOC2)C1 ZINC001453680646 1008662208 /nfs/dbraw/zinc/66/22/08/1008662208.db2.gz USKKLYWJXUPNRY-CBAPKCEASA-N 0 2 312.263 0.593 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)[C@H]2CCOC2)C1 ZINC001453680646 1008662211 /nfs/dbraw/zinc/66/22/11/1008662211.db2.gz USKKLYWJXUPNRY-CBAPKCEASA-N 0 2 312.263 0.593 20 0 DCADLN CN(C)C(=O)Cn1cnc(NC(=O)c2cccc(Cl)c2O)n1 ZINC001433425802 1008774886 /nfs/dbraw/zinc/77/48/86/1008774886.db2.gz VQWRPDGQNRQTIF-UHFFFAOYSA-N 0 2 323.740 0.978 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001454303602 1009056103 /nfs/dbraw/zinc/05/61/03/1009056103.db2.gz BKAWRLBKJJSCSX-XSPKLOCKSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC001454303602 1009056118 /nfs/dbraw/zinc/05/61/18/1009056118.db2.gz BKAWRLBKJJSCSX-XSPKLOCKSA-N 0 2 312.263 0.687 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001454316736 1009067190 /nfs/dbraw/zinc/06/71/90/1009067190.db2.gz UCUQSHGQHLZGMD-ZKWXMUAHSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC001454316736 1009067201 /nfs/dbraw/zinc/06/72/01/1009067201.db2.gz UCUQSHGQHLZGMD-ZKWXMUAHSA-N 0 2 322.262 0.698 20 0 DCADLN CC(C)[C@](C)(O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433740495 1009136125 /nfs/dbraw/zinc/13/61/25/1009136125.db2.gz XRQZOIVUJJOBLQ-OAHLLOKOSA-N 0 2 320.349 0.403 20 0 DCADLN O=C(Cc1ccon1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001433857635 1009266813 /nfs/dbraw/zinc/26/68/13/1009266813.db2.gz ITHXWCIKDVDAOO-VIFPVBQESA-N 0 2 323.246 0.883 20 0 DCADLN O=C(Cc1ccon1)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001433857635 1009266819 /nfs/dbraw/zinc/26/68/19/1009266819.db2.gz ITHXWCIKDVDAOO-VIFPVBQESA-N 0 2 323.246 0.883 20 0 DCADLN Cc1nnc(CN(C)CCN(C)C(=O)C(F)C(F)(F)F)[nH]1 ZINC001422164077 1009587473 /nfs/dbraw/zinc/58/74/73/1009587473.db2.gz IDSJQNOOTOIXTQ-SECBINFHSA-N 0 2 311.283 0.904 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)O1 ZINC001442674392 1009606061 /nfs/dbraw/zinc/60/60/61/1009606061.db2.gz FTIHFYZARPMIDX-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)O1 ZINC001442674392 1009606065 /nfs/dbraw/zinc/60/60/65/1009606065.db2.gz FTIHFYZARPMIDX-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)C(F)(F)C1(O)CCCCC1 ZINC001434241485 1009690574 /nfs/dbraw/zinc/69/05/74/1009690574.db2.gz BUIOHZIVKMWAJE-UHFFFAOYSA-N 0 2 303.265 0.350 20 0 DCADLN CC(C)(CNC(=O)c1cc(F)c(O)c(F)c1)CS(N)(=O)=O ZINC001434287798 1009727263 /nfs/dbraw/zinc/72/72/63/1009727263.db2.gz PCBRXHNTBWERES-UHFFFAOYSA-N 0 2 322.333 0.715 20 0 DCADLN CC1(C)CC[C@@H](CO)N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001434375038 1009856003 /nfs/dbraw/zinc/85/60/03/1009856003.db2.gz FYNHJKAVEXOYLK-JTQLQIEISA-N 0 2 319.365 0.081 20 0 DCADLN C[C@@H](NC(=O)[C@H]1COc2ccc(O)cc2O1)c1nn(C)cc1O ZINC001434407537 1009916515 /nfs/dbraw/zinc/91/65/15/1009916515.db2.gz PBWGCERNKZJSDA-AMIZOPFISA-N 0 2 319.317 0.849 20 0 DCADLN CCN1CC[C@H]([N@@H+]2CC[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)C1=O ZINC001442921831 1009941625 /nfs/dbraw/zinc/94/16/25/1009941625.db2.gz YVOYLAGPPVVLBU-OLZOCXBDSA-N 0 2 319.409 0.011 20 0 DCADLN COCC[N@H+](CCNC(=O)Cc1cn2c([nH+]1)CCCC2)C1CC1 ZINC001442995883 1010025581 /nfs/dbraw/zinc/02/55/81/1010025581.db2.gz MAGCXXOEJCCMFO-UHFFFAOYSA-N 0 2 320.437 0.989 20 0 DCADLN CCn1nccc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001442998690 1010032401 /nfs/dbraw/zinc/03/24/01/1010032401.db2.gz RHEXTJLNGWUQKI-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1nccc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001442998690 1010032410 /nfs/dbraw/zinc/03/24/10/1010032410.db2.gz RHEXTJLNGWUQKI-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1nc(C)c(CNS(=O)(=O)N=S2(=O)CCCC2)n1 ZINC001434578847 1010114032 /nfs/dbraw/zinc/11/40/32/1010114032.db2.gz UGHKSQNMFIOQBO-UHFFFAOYSA-N 0 2 321.428 0.203 20 0 DCADLN CCC(C)(C)N(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001434648770 1010156164 /nfs/dbraw/zinc/15/61/64/1010156164.db2.gz JGTWWLZXJASYLB-UHFFFAOYSA-N 0 2 317.415 0.679 20 0 DCADLN C[C@H](CN(C)C(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001443734672 1010617503 /nfs/dbraw/zinc/61/75/03/1010617503.db2.gz KBOLUKGTLACRAT-SCZZXKLOSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@H](CN(C)C(=O)Cn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001443734672 1010617505 /nfs/dbraw/zinc/61/75/05/1010617505.db2.gz KBOLUKGTLACRAT-SCZZXKLOSA-N 0 2 324.278 0.747 20 0 DCADLN COC[C@@H](C)CC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443828982 1010687971 /nfs/dbraw/zinc/68/79/71/1010687971.db2.gz BPVHONRPGIRZLN-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN COC[C@@H](C)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443828982 1010687977 /nfs/dbraw/zinc/68/79/77/1010687977.db2.gz BPVHONRPGIRZLN-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN CCc1noc(C[NH2+][C@@H](CC)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001443918926 1010747128 /nfs/dbraw/zinc/74/71/28/1010747128.db2.gz GVPNMARIXCNTHY-VIFPVBQESA-N 0 2 322.369 0.667 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1(C(F)(F)F)CC1 ZINC001423120974 1010903860 /nfs/dbraw/zinc/90/38/60/1010903860.db2.gz RNRMIWVYXIQDHF-SSDOTTSWSA-N 0 2 319.287 0.543 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)C[C@@H](C)[NH2+]Cc1nccn1C ZINC001423169138 1010938552 /nfs/dbraw/zinc/93/85/52/1010938552.db2.gz PURRTLMIQSJOQD-GFCCVEGCSA-N 0 2 304.398 0.562 20 0 DCADLN CC(C)(C)c1noc(CNC2(CNC(=O)c3cnn[nH]3)CC2)n1 ZINC001423239915 1011008808 /nfs/dbraw/zinc/00/88/08/1011008808.db2.gz OSEFIXUZUSUANM-UHFFFAOYSA-N 0 2 319.369 0.537 20 0 DCADLN O=C(NCC1(NCc2nc(C3CC3)no2)CC1)c1cccnn1 ZINC001423283641 1011045215 /nfs/dbraw/zinc/04/52/15/1011045215.db2.gz ODLBZQBTCXMJOV-UHFFFAOYSA-N 0 2 314.349 0.789 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccon1 ZINC001423561963 1011268443 /nfs/dbraw/zinc/26/84/43/1011268443.db2.gz XJNQKZLZVKFQAK-UHFFFAOYSA-N 0 2 322.369 0.410 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccon1 ZINC001423561963 1011268458 /nfs/dbraw/zinc/26/84/58/1011268458.db2.gz XJNQKZLZVKFQAK-UHFFFAOYSA-N 0 2 322.369 0.410 20 0 DCADLN C[C@@H]([NH2+]C[C@H](NC(=O)CCn1cc[nH+]c1)C(C)(C)C)C(N)=O ZINC001423609715 1011342149 /nfs/dbraw/zinc/34/21/49/1011342149.db2.gz RUJCNGPHGBOWGS-NEPJUHHUSA-N 0 2 309.414 0.268 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1cscn1 ZINC001445085176 1011389922 /nfs/dbraw/zinc/38/99/22/1011389922.db2.gz CIPBPUFWSIHUOH-UHFFFAOYSA-N 0 2 324.410 0.490 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1cscn1 ZINC001445085176 1011389931 /nfs/dbraw/zinc/38/99/31/1011389931.db2.gz CIPBPUFWSIHUOH-UHFFFAOYSA-N 0 2 324.410 0.490 20 0 DCADLN C[C@@H](CNC(=O)CCc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001534184434 1011553496 /nfs/dbraw/zinc/55/34/96/1011553496.db2.gz KJRGSZASKKOXIS-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CNC(=O)c1ccccc1O)NC(=O)c1[nH]ncc1F ZINC001431729451 1011593350 /nfs/dbraw/zinc/59/33/50/1011593350.db2.gz TUZMRGBOZMGYDC-MRVPVSSYSA-N 0 2 306.297 0.803 20 0 DCADLN O=C(Cc1c[nH]c2cnc(Cl)cc12)NCc1n[nH]c(=O)[nH]1 ZINC001445411533 1011745287 /nfs/dbraw/zinc/74/52/87/1011745287.db2.gz GFABCTXKSLRKSS-UHFFFAOYSA-N 0 2 306.713 0.899 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1COc2ccc(O)cc2O1 ZINC001457672315 1011799187 /nfs/dbraw/zinc/79/91/87/1011799187.db2.gz TZFYXKKOCKKPEL-NSHDSACASA-N 0 2 320.305 0.105 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001424043506 1011906932 /nfs/dbraw/zinc/90/69/32/1011906932.db2.gz AWNIYBKOVJRLNV-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1cnccn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001424043506 1011906943 /nfs/dbraw/zinc/90/69/43/1011906943.db2.gz AWNIYBKOVJRLNV-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)NC2CCOCC2)n[nH]1 ZINC001553379866 1011927052 /nfs/dbraw/zinc/92/70/52/1011927052.db2.gz UNNLNLYVGQURBK-CHWSQXEVSA-N 0 2 323.397 0.806 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc(F)cc2n[nH]nc21 ZINC001556283755 1012196166 /nfs/dbraw/zinc/19/61/66/1012196166.db2.gz CMNBYQRCYPICTA-UHFFFAOYSA-N 0 2 308.298 0.741 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001432259807 1012215504 /nfs/dbraw/zinc/21/55/04/1012215504.db2.gz JORSOWUZINLLCC-HZGVNTEJSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432259807 1012215521 /nfs/dbraw/zinc/21/55/21/1012215521.db2.gz JORSOWUZINLLCC-HZGVNTEJSA-N 0 2 300.252 0.639 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1)C(F)C(F)(F)F ZINC001432279810 1012252560 /nfs/dbraw/zinc/25/25/60/1012252560.db2.gz ZIIMONBSUKNHLJ-KDXUFGMBSA-N 0 2 324.274 0.544 20 0 DCADLN O=C(NC1(CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1)[C@H](F)C(F)(F)F ZINC001432279810 1012252576 /nfs/dbraw/zinc/25/25/76/1012252576.db2.gz ZIIMONBSUKNHLJ-KDXUFGMBSA-N 0 2 324.274 0.544 20 0 DCADLN Cn1nccc1C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001557911440 1012302627 /nfs/dbraw/zinc/30/26/27/1012302627.db2.gz MYTVUXUBQUCEJZ-LLVKDONJSA-N 0 2 312.333 0.958 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001458733409 1012329891 /nfs/dbraw/zinc/32/98/91/1012329891.db2.gz WICFTECVXDNJGE-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001458733409 1012329905 /nfs/dbraw/zinc/32/99/05/1012329905.db2.gz WICFTECVXDNJGE-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001432430442 1012479080 /nfs/dbraw/zinc/47/90/80/1012479080.db2.gz TWDWPWRONRSBKC-CBAPKCEASA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001432430442 1012479083 /nfs/dbraw/zinc/47/90/83/1012479083.db2.gz TWDWPWRONRSBKC-CBAPKCEASA-N 0 2 314.279 0.886 20 0 DCADLN CC(=O)N(CCO)[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001446093904 1012600808 /nfs/dbraw/zinc/60/08/08/1012600808.db2.gz FPCJDLASJXZJDR-VHSXEESVSA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N(CCO)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001446093904 1012600804 /nfs/dbraw/zinc/60/08/04/1012600804.db2.gz FPCJDLASJXZJDR-VHSXEESVSA-N 0 2 314.279 0.719 20 0 DCADLN C[C@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2cnon2)C1 ZINC001432555961 1012618999 /nfs/dbraw/zinc/61/89/99/1012618999.db2.gz AFCOUKQSOUOXSD-XVKPBYJWSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@]1(NC(=O)[C@H](F)C(F)(F)F)CCN(C(=O)c2cnon2)C1 ZINC001432555961 1012619013 /nfs/dbraw/zinc/61/90/13/1012619013.db2.gz AFCOUKQSOUOXSD-XVKPBYJWSA-N 0 2 324.234 0.691 20 0 DCADLN CO[C@H]1C[C@H](c2n[nH]c(C)n2)N(C(=O)c2cncc(O)c2)C1 ZINC001548498753 1012663017 /nfs/dbraw/zinc/66/30/17/1012663017.db2.gz TYPLFODWZZTEES-NWDGAFQWSA-N 0 2 303.322 0.816 20 0 DCADLN C[C@@H](C(=O)N(C)C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001446362263 1012776846 /nfs/dbraw/zinc/77/68/46/1012776846.db2.gz NGFIEVYVDLMTNJ-IONNQARKSA-N 0 2 313.295 0.506 20 0 DCADLN C[C@@H](C(=O)N(C)C)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001446362263 1012776856 /nfs/dbraw/zinc/77/68/56/1012776856.db2.gz NGFIEVYVDLMTNJ-IONNQARKSA-N 0 2 313.295 0.506 20 0 DCADLN C[C@@H](CNC(=O)c1cnns1)NC(=O)C(F)C(F)(F)F ZINC001494617050 1017866399 /nfs/dbraw/zinc/86/63/99/1017866399.db2.gz JWGGBPOGLUJBIE-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)c1cnns1)NC(=O)[C@@H](F)C(F)(F)F ZINC001494617050 1017866422 /nfs/dbraw/zinc/86/64/22/1017866422.db2.gz JWGGBPOGLUJBIE-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN Cn1cnnc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001480360250 1018464209 /nfs/dbraw/zinc/46/42/09/1018464209.db2.gz QIYGERRPGQXZQV-VIFPVBQESA-N 0 2 309.267 0.360 20 0 DCADLN Cn1cnnc1CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001480360250 1018464211 /nfs/dbraw/zinc/46/42/11/1018464211.db2.gz QIYGERRPGQXZQV-VIFPVBQESA-N 0 2 309.267 0.360 20 0 DCADLN C[C@H](C[NH2+]Cc1noc2c1CCCC2)NC(=O)c1nnc[nH]1 ZINC001497944776 1018530105 /nfs/dbraw/zinc/53/01/05/1018530105.db2.gz PDLIIOWEIPJZTD-SECBINFHSA-N 0 2 304.354 0.580 20 0 DCADLN CN(CCNC(=O)C1CCOCC1)C(=O)C(F)C(F)(F)F ZINC001495801991 1018703792 /nfs/dbraw/zinc/70/37/92/1018703792.db2.gz VVRIEWPZIUUJBF-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)C1CCOCC1)C(=O)[C@@H](F)C(F)(F)F ZINC001495801991 1018703799 /nfs/dbraw/zinc/70/37/99/1018703799.db2.gz VVRIEWPZIUUJBF-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN CS[C@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498223044 1018770945 /nfs/dbraw/zinc/77/09/45/1018770945.db2.gz JIBZGYVCLCFGAS-VDTYLAMSSA-N 0 2 302.293 0.965 20 0 DCADLN CS[C@H](C)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001498223044 1018770955 /nfs/dbraw/zinc/77/09/55/1018770955.db2.gz JIBZGYVCLCFGAS-VDTYLAMSSA-N 0 2 302.293 0.965 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498224158 1018776433 /nfs/dbraw/zinc/77/64/33/1018776433.db2.gz UCZSRRSMOSSTMU-VIFPVBQESA-N 0 2 308.235 0.180 20 0 DCADLN CC(C)[C@@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495871014 1018793697 /nfs/dbraw/zinc/79/36/97/1018793697.db2.gz QUKXYFWZVHPYKS-GHMZBOCLSA-N 0 2 311.386 0.119 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001498242676 1018809970 /nfs/dbraw/zinc/80/99/70/1018809970.db2.gz NSVWLDAGXKUZIT-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001498242676 1018809981 /nfs/dbraw/zinc/80/99/81/1018809981.db2.gz NSVWLDAGXKUZIT-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ccnc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001495982149 1018932000 /nfs/dbraw/zinc/93/20/00/1018932000.db2.gz BILODRZVMQBJPM-QMMMGPOBSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ccnc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)n1 ZINC001495982149 1018932007 /nfs/dbraw/zinc/93/20/07/1018932007.db2.gz BILODRZVMQBJPM-QMMMGPOBSA-N 0 2 322.262 0.874 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001496124269 1019091453 /nfs/dbraw/zinc/09/14/53/1019091453.db2.gz YZEBGKCMLSOKTF-YUMQZZPRSA-N 0 2 300.252 0.498 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001496124269 1019091474 /nfs/dbraw/zinc/09/14/74/1019091474.db2.gz YZEBGKCMLSOKTF-YUMQZZPRSA-N 0 2 300.252 0.498 20 0 DCADLN CC[C@@H](SC)C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493362131 1019358270 /nfs/dbraw/zinc/35/82/70/1019358270.db2.gz TZMPDZPUUYVHLQ-SNVBAGLBSA-N 0 2 313.427 0.733 20 0 DCADLN CC[C@@H](SC)C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001493362131 1019358286 /nfs/dbraw/zinc/35/82/86/1019358286.db2.gz TZMPDZPUUYVHLQ-SNVBAGLBSA-N 0 2 313.427 0.733 20 0 DCADLN O=C(Cn1cccn1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001501685738 1019381941 /nfs/dbraw/zinc/38/19/41/1019381941.db2.gz NXOJBQVYVNPTQY-JWXWKVPASA-N 0 2 322.262 0.572 20 0 DCADLN O=C(Cn1cccn1)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001501685738 1019381959 /nfs/dbraw/zinc/38/19/59/1019381959.db2.gz NXOJBQVYVNPTQY-JWXWKVPASA-N 0 2 322.262 0.572 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001502144608 1019761916 /nfs/dbraw/zinc/76/19/16/1019761916.db2.gz PTSFMPUTVACLFF-BWZBUEFSSA-N 0 2 302.268 0.525 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001502144608 1019761934 /nfs/dbraw/zinc/76/19/34/1019761934.db2.gz PTSFMPUTVACLFF-BWZBUEFSSA-N 0 2 302.268 0.525 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001499133196 1019778284 /nfs/dbraw/zinc/77/82/84/1019778284.db2.gz CPWPJSBGPRYBPA-HTQZYQBOSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001499133196 1019778300 /nfs/dbraw/zinc/77/83/00/1019778300.db2.gz CPWPJSBGPRYBPA-HTQZYQBOSA-N 0 2 310.251 0.935 20 0 DCADLN O=C([O-])[C@@H](Cn1cncn1)[NH2+]Cc1ccc(Br)o1 ZINC001646239114 1126248333 /nfs/dbraw/zinc/24/83/33/1126248333.db2.gz RBJUONXRXGIXEC-MRVPVSSYSA-N 0 2 315.127 0.877 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@H+](C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001610036208 1171145140 /nfs/dbraw/zinc/14/51/40/1171145140.db2.gz YMJPWAOKSSHDQB-BDAKNGLRSA-N 0 2 312.288 0.571 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@@H+](C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001610036208 1171145143 /nfs/dbraw/zinc/14/51/43/1171145143.db2.gz YMJPWAOKSSHDQB-BDAKNGLRSA-N 0 2 312.288 0.571 20 0 DCADLN C[NH+](C)[C@H](CNS(=O)(=O)CC(C)(C)C(=O)[O-])c1ccco1 ZINC001610098801 1171156646 /nfs/dbraw/zinc/15/66/46/1171156646.db2.gz XPTRMDVQTHMQGK-SNVBAGLBSA-N 0 2 318.395 0.913 20 0 DCADLN CNC(=O)[C@@]1(C)CC[N@H+](Cc2noc(CCCC(=O)[O-])n2)C1 ZINC001610141609 1171174320 /nfs/dbraw/zinc/17/43/20/1171174320.db2.gz AWRKIDSVZUOHPO-AWEZNQCLSA-N 0 2 310.354 0.435 20 0 DCADLN CNC(=O)[C@@]1(C)CC[N@@H+](Cc2noc(CCCC(=O)[O-])n2)C1 ZINC001610141609 1171174325 /nfs/dbraw/zinc/17/43/25/1171174325.db2.gz AWRKIDSVZUOHPO-AWEZNQCLSA-N 0 2 310.354 0.435 20 0 DCADLN CC[C@@](C)(NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(=O)OC ZINC000299706215 529505076 /nfs/dbraw/zinc/50/50/76/529505076.db2.gz SHWGZKNIJRCBQF-CQSZACIVSA-N 0 2 320.305 0.508 20 0 DCADLN CC[C@@]1(O)CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000331962125 529571546 /nfs/dbraw/zinc/57/15/46/529571546.db2.gz JSUHVMWDRYCONR-CQSZACIVSA-N 0 2 304.306 0.423 20 0 DCADLN CC(C)(CCNC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000424391087 535034550 /nfs/dbraw/zinc/03/45/50/535034550.db2.gz PHCGDODDCSUFJT-UHFFFAOYSA-N 0 2 315.414 0.897 20 0 DCADLN Cc1ccc(C(=O)N2CC(CC(N)=O)C2)cc1S(C)(=O)=O ZINC000279896036 215215041 /nfs/dbraw/zinc/21/50/41/215215041.db2.gz ARFQTWFRVWZZMD-UHFFFAOYSA-N 0 2 310.375 0.346 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)nn1C ZINC000161556123 237102121 /nfs/dbraw/zinc/10/21/21/237102121.db2.gz KVJWXXLDGWSDQD-UHFFFAOYSA-N 0 2 307.335 0.699 20 0 DCADLN CC(C)c1nc(NCC(=O)NCC(F)F)nc(N(C)C)n1 ZINC000281092504 216073528 /nfs/dbraw/zinc/07/35/28/216073528.db2.gz WCZRUMRSKQIPAW-UHFFFAOYSA-N 0 2 302.329 0.854 20 0 DCADLN CNC(=O)[C@]1(C)CCN(C(=O)c2nc3nc(C)cc(C)n3n2)C1 ZINC000281139812 216106324 /nfs/dbraw/zinc/10/63/24/216106324.db2.gz OFLRKKGUSBHYKD-OAHLLOKOSA-N 0 2 316.365 0.339 20 0 DCADLN C[C@]1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCCS1 ZINC000154477846 291214104 /nfs/dbraw/zinc/21/41/04/291214104.db2.gz LPUJXDZQRYFXCW-CQSZACIVSA-N 0 2 320.374 0.627 20 0 DCADLN C[C@H]1SCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@@H]1C ZINC000118374898 291258934 /nfs/dbraw/zinc/25/89/34/291258934.db2.gz ZBQOOFUJAQILAI-HTQZYQBOSA-N 0 2 320.374 0.577 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1ccc(C)c(C)c1 ZINC000421811186 240105654 /nfs/dbraw/zinc/10/56/54/240105654.db2.gz HXSNWMXYIVLRHN-ZDUSSCGKSA-N 0 2 303.318 0.907 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1cccc(OC)c1 ZINC000421817069 240106137 /nfs/dbraw/zinc/10/61/37/240106137.db2.gz ZOTBYYVMVGVTRB-LBPRGKRZSA-N 0 2 305.290 0.299 20 0 DCADLN C[C@@H](NS(=O)(=O)C[C@@H]1CCCS(=O)(=O)C1)C(F)(F)F ZINC000425187577 240305103 /nfs/dbraw/zinc/30/51/03/240305103.db2.gz FSMSSWCNAGSAHH-HTQZYQBOSA-N 0 2 323.358 0.681 20 0 DCADLN COc1ccnc(CNc2cc(N3CCOCC3)nc[nH+]2)n1 ZINC000425265519 240326653 /nfs/dbraw/zinc/32/66/53/240326653.db2.gz VQMSAFAKWKMEQE-UHFFFAOYSA-N 0 2 302.338 0.724 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000567914024 291391354 /nfs/dbraw/zinc/39/13/54/291391354.db2.gz LYQCOQIJUWINPB-ZJUUUORDSA-N 0 2 301.306 0.627 20 0 DCADLN CC(C)n1ccc(CNS(=O)(=O)NCC(F)(F)F)n1 ZINC000568616719 291436424 /nfs/dbraw/zinc/43/64/24/291436424.db2.gz JJSHLARJIGORSJ-UHFFFAOYSA-N 0 2 300.306 0.950 20 0 DCADLN O=C1OCC[C@@H]1Sc1nnnn1-c1ccc2c(c1)OCCO2 ZINC000265231417 204470781 /nfs/dbraw/zinc/47/07/81/204470781.db2.gz CUSLFQCONXICEP-NSHDSACASA-N 0 2 320.330 0.841 20 0 DCADLN COc1ccc(COCCNS(=O)(=O)[C@@H]2CCOC2)cc1 ZINC000271679983 209120656 /nfs/dbraw/zinc/12/06/56/209120656.db2.gz MQNHDVOBIJJYCZ-CQSZACIVSA-N 0 2 315.391 0.920 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000573488148 291635654 /nfs/dbraw/zinc/63/56/54/291635654.db2.gz NJPPEMUPTSKKDP-QWRGUYRKSA-N 0 2 307.350 0.644 20 0 DCADLN COCCNC(=O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000298127514 533002908 /nfs/dbraw/zinc/00/29/08/533002908.db2.gz WMSCJIJHKDQVRF-UHFFFAOYSA-N 0 2 318.333 0.070 20 0 DCADLN Cc1cccc2[nH+]c(CNC(=O)c3c[n-]n4c3nccc4=O)cn21 ZINC000339276911 253052999 /nfs/dbraw/zinc/05/29/99/253052999.db2.gz SZDGFBZOVARPEN-UHFFFAOYSA-N 0 2 322.328 0.909 20 0 DCADLN O=C(CCc1nnc[nH]1)N=c1nc(-c2cccnc2)[nH]s1 ZINC000339729431 253135161 /nfs/dbraw/zinc/13/51/61/253135161.db2.gz ZORQJIOCVJAHJJ-UHFFFAOYSA-N 0 2 301.335 0.711 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccccc1OCCC(N)=O ZINC000340081945 253198084 /nfs/dbraw/zinc/19/80/84/253198084.db2.gz WWIBYWRTBMMECZ-UHFFFAOYSA-N 0 2 324.362 0.475 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)N[C@H](C)C(F)(F)F ZINC000288653312 220323705 /nfs/dbraw/zinc/32/37/05/220323705.db2.gz QUUITUUASVOJGZ-RXMQYKEDSA-N 0 2 315.273 0.816 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@@H+]1CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000346333875 254037637 /nfs/dbraw/zinc/03/76/37/254037637.db2.gz OOVNSMGZFKNXHC-RYUDHWBXSA-N 0 2 320.345 0.492 20 0 DCADLN O=C([O-])[C@@H]1CCC[N@H+]1CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000346333875 254037639 /nfs/dbraw/zinc/03/76/39/254037639.db2.gz OOVNSMGZFKNXHC-RYUDHWBXSA-N 0 2 320.345 0.492 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1noc(C)n1 ZINC000351508072 254341244 /nfs/dbraw/zinc/34/12/44/254341244.db2.gz RVGHDMGLLSJXEB-UHFFFAOYSA-N 0 2 304.309 0.422 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)c1 ZINC000037838962 304264967 /nfs/dbraw/zinc/26/49/67/304264967.db2.gz SLIODWRYFYWTKT-UHFFFAOYSA-N 0 2 310.375 0.854 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(OCCOC)cc2)c1O ZINC000278262620 130676284 /nfs/dbraw/zinc/67/62/84/130676284.db2.gz UTAGUFFUYPXZBP-GFCCVEGCSA-N 0 2 322.317 0.700 20 0 DCADLN CON(C)C(=O)c1cc(F)ccc1NS(=O)(=O)N(C)C ZINC000283781928 131022235 /nfs/dbraw/zinc/02/22/35/131022235.db2.gz CUEXXBFPBIABGL-UHFFFAOYSA-N 0 2 305.331 0.677 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)N(C)Cc1ccc(O)cc1 ZINC000284251998 131064181 /nfs/dbraw/zinc/06/41/81/131064181.db2.gz RICYHKZSPMIHIG-UHFFFAOYSA-N 0 2 302.334 0.699 20 0 DCADLN O=C([O-])NCC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000285530010 131177669 /nfs/dbraw/zinc/17/76/69/131177669.db2.gz CLSIEHSKFBXELC-AWEZNQCLSA-N 0 2 321.377 0.314 20 0 DCADLN O=C(c1cccc(F)c1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000091517756 395725762 /nfs/dbraw/zinc/72/57/62/395725762.db2.gz ZAAJCVRNIMAFTJ-UHFFFAOYSA-N 0 2 305.313 0.195 20 0 DCADLN COCC[N@H+](CCOc1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC000091559906 395726061 /nfs/dbraw/zinc/72/60/61/395726061.db2.gz IRDWWVBCJXDGPN-UHFFFAOYSA-N 0 2 310.329 0.765 20 0 DCADLN COCC[N@@H+](CCOc1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC000091559906 395726062 /nfs/dbraw/zinc/72/60/62/395726062.db2.gz IRDWWVBCJXDGPN-UHFFFAOYSA-N 0 2 310.329 0.765 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cn[nH]c2)ccc1Cl ZINC000040443291 395733934 /nfs/dbraw/zinc/73/39/34/395733934.db2.gz QKTNEADRGWXSBB-UHFFFAOYSA-N 0 2 300.727 0.963 20 0 DCADLN COCC[N@H+](CC(=O)[N-]OCc1ccccc1)CC(=O)N(C)C ZINC000270787540 395809013 /nfs/dbraw/zinc/80/90/13/395809013.db2.gz LHSUJXFAXWMMHW-UHFFFAOYSA-N 0 2 323.393 0.271 20 0 DCADLN COCC[N@@H+](CC(=O)[N-]OCc1ccccc1)CC(=O)N(C)C ZINC000270787540 395809015 /nfs/dbraw/zinc/80/90/15/395809015.db2.gz LHSUJXFAXWMMHW-UHFFFAOYSA-N 0 2 323.393 0.271 20 0 DCADLN O=C(NCCc1ccco1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000048169033 395810021 /nfs/dbraw/zinc/81/00/21/395810021.db2.gz ZDRAIPQVILCQLM-UHFFFAOYSA-N 0 2 300.274 0.589 20 0 DCADLN CN(C(=O)c1nc(=O)[nH][nH]1)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000112402522 395817095 /nfs/dbraw/zinc/81/70/95/395817095.db2.gz MIDNWBFRJXYJRR-SNVBAGLBSA-N 0 2 319.296 0.115 20 0 DCADLN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)NCc1cn[nH]c1C ZINC000234579159 395888968 /nfs/dbraw/zinc/88/89/68/395888968.db2.gz UGYQZOUOMXYAAR-MNOVXSKESA-N 0 2 301.368 0.479 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2nc(C)cc(C)n2)cn1C ZINC000273475787 395923733 /nfs/dbraw/zinc/92/37/33/395923733.db2.gz PMUHLJRBDMFSTH-UHFFFAOYSA-N 0 2 323.378 0.592 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000132388379 395926886 /nfs/dbraw/zinc/92/68/86/395926886.db2.gz FAECTEZSEQBJKC-SNVBAGLBSA-N 0 2 318.308 0.972 20 0 DCADLN CC(C)[C@@H]1C(=O)NCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000119415013 395870492 /nfs/dbraw/zinc/87/04/92/395870492.db2.gz QBUPOVCRMWFCMD-OAHLLOKOSA-N 0 2 305.378 0.691 20 0 DCADLN CC(C)[C@@H]1C(=O)NCC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000119415013 395870494 /nfs/dbraw/zinc/87/04/94/395870494.db2.gz QBUPOVCRMWFCMD-OAHLLOKOSA-N 0 2 305.378 0.691 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1nnc2n1CCC2 ZINC000277075054 396048438 /nfs/dbraw/zinc/04/84/38/396048438.db2.gz LUYMMMDSBCCIIU-UHFFFAOYSA-N 0 2 319.287 0.298 20 0 DCADLN O=C([O-])[C@H]1CCC[N@@H+]1CC(=O)NC(=O)NCc1ccccc1 ZINC000262185764 396107929 /nfs/dbraw/zinc/10/79/29/396107929.db2.gz XPUGMRWRMDHXPG-GFCCVEGCSA-N 0 2 305.334 0.561 20 0 DCADLN O=C([O-])[C@H]1CCC[N@H+]1CC(=O)NC(=O)NCc1ccccc1 ZINC000262185764 396107933 /nfs/dbraw/zinc/10/79/33/396107933.db2.gz XPUGMRWRMDHXPG-GFCCVEGCSA-N 0 2 305.334 0.561 20 0 DCADLN CC(C)(O)CONC(=O)CNC(=O)c1ccc(F)c(F)c1 ZINC000278526421 396129664 /nfs/dbraw/zinc/12/96/64/396129664.db2.gz DEYXFIAUFJEFDM-UHFFFAOYSA-N 0 2 302.277 0.513 20 0 DCADLN C[S@@](=O)c1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000176272362 396153317 /nfs/dbraw/zinc/15/33/17/396153317.db2.gz LQHPIQMCSZBHKH-OAQYLSRUSA-N 0 2 308.363 0.198 20 0 DCADLN Cc1n[nH]c(NC(=O)CCOc2ccc(S(C)(=O)=O)cc2)n1 ZINC000264204574 396262153 /nfs/dbraw/zinc/26/21/53/396262153.db2.gz RNWAIFBOIAYOMI-UHFFFAOYSA-N 0 2 324.362 0.924 20 0 DCADLN CC(=O)N[C@@H](C)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000186662644 396354715 /nfs/dbraw/zinc/35/47/15/396354715.db2.gz MOXUEDIQFBNIHH-JTQLQIEISA-N 0 2 317.349 0.525 20 0 DCADLN C[C@@H]1CCCC[C@@H]1OCCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000186944518 396360568 /nfs/dbraw/zinc/36/05/68/396360568.db2.gz JBJKNHXHCQYOFS-ZJUUUORDSA-N 0 2 314.411 0.902 20 0 DCADLN CC[C@@H](CCO)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268686055 396367547 /nfs/dbraw/zinc/36/75/47/396367547.db2.gz YEEBSBUVPNPVJQ-VIFPVBQESA-N 0 2 320.349 0.883 20 0 DCADLN COCCC1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CC1 ZINC000269417421 396412984 /nfs/dbraw/zinc/41/29/84/396412984.db2.gz GSCSFGNIRYCFOD-UHFFFAOYSA-N 0 2 320.396 0.665 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)Cc3ccccc3)C2)[nH]1 ZINC000288947293 396450645 /nfs/dbraw/zinc/45/06/45/396450645.db2.gz OSWAWXLFLPLRDM-LBPRGKRZSA-N 0 2 322.390 0.807 20 0 DCADLN NS(=O)(=O)[C@H]1CCCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000371996966 396482088 /nfs/dbraw/zinc/48/20/88/396482088.db2.gz CLUSCDBTJIOLSD-QMMMGPOBSA-N 0 2 320.317 0.564 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nc(C)n(C)n2)cc1 ZINC000292491438 396552918 /nfs/dbraw/zinc/55/29/18/396552918.db2.gz OIDFUSDULCTZIY-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nc(C)n(C)n2)cc1OC ZINC000292577266 396557329 /nfs/dbraw/zinc/55/73/29/396557329.db2.gz VBLXHBHKRDCJHB-UHFFFAOYSA-N 0 2 312.351 0.942 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N(C)[C@@H]1COC[C@H]1O)c2=O ZINC000581567624 396566140 /nfs/dbraw/zinc/56/61/40/396566140.db2.gz GCMOIGMRQORAEQ-VXGBXAGGSA-N 0 2 303.318 0.476 20 0 DCADLN Cc1n[nH]c(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)c1C ZINC000533549430 396605196 /nfs/dbraw/zinc/60/51/96/396605196.db2.gz JIACXHFOYJBLEB-SNVBAGLBSA-N 0 2 311.367 0.985 20 0 DCADLN COC(=O)c1cccc(S(=O)(=O)Nc2ncn(C)n2)c1C ZINC000295251831 396687686 /nfs/dbraw/zinc/68/76/86/396687686.db2.gz WTLCCLGKFCIXBP-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccccc1-n1cncn1 ZINC000589429901 396714131 /nfs/dbraw/zinc/71/41/31/396714131.db2.gz MRNPHVGKNIFJJG-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN CO[C@@H]1[C@H]2C[C@H]3CN(S(=O)(=O)NCC(F)(F)F)[C@H]1[C@H]3C2 ZINC000375677421 396795233 /nfs/dbraw/zinc/79/52/33/396795233.db2.gz IDISSHYNICKDPF-BQHMLIOBSA-N 0 2 314.329 0.738 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)c2cc3n(n2)CCO3)s[nH]1 ZINC000634148870 396796235 /nfs/dbraw/zinc/79/62/35/396796235.db2.gz RHXVJEVQUQTSMW-UHFFFAOYSA-N 0 2 309.351 0.683 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cn(C)cn2)ccc1-n1cnnn1 ZINC000625075217 396763287 /nfs/dbraw/zinc/76/32/87/396763287.db2.gz QNBWXUKNUOVADH-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnn(CCO)c2)cc1F ZINC000625080651 396765916 /nfs/dbraw/zinc/76/59/16/396765916.db2.gz IXQPCCCYKXFVSE-UHFFFAOYSA-N 0 2 315.326 0.824 20 0 DCADLN CCNC(=O)CCC(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000634154502 396797641 /nfs/dbraw/zinc/79/76/41/396797641.db2.gz WBMNRWUAYNXMFV-UHFFFAOYSA-N 0 2 300.384 0.696 20 0 DCADLN CC[C@]1(O)CCCN(C(=O)[C@H]2CC(=O)N(c3cnn(C)c3)C2)C1 ZINC000634196525 396811035 /nfs/dbraw/zinc/81/10/35/396811035.db2.gz AKXXGWMHUQWEBK-LRDDRELGSA-N 0 2 320.393 0.537 20 0 DCADLN COC(=O)CC[N@H+]1CCN(C(=O)c2ccnc(C[NH3+])c2)[C@@H](C)C1 ZINC000564837557 396877279 /nfs/dbraw/zinc/87/72/79/396877279.db2.gz SJEQDKZSYMFRAU-LBPRGKRZSA-N 0 2 320.393 0.250 20 0 DCADLN Cc1cn2c([nH+]1)[C@H](CNC(=O)c1cnc([O-])n(C)c1=O)CCC2 ZINC000376212576 396896850 /nfs/dbraw/zinc/89/68/50/396896850.db2.gz UUPIVNWJGVBPDO-JTQLQIEISA-N 0 2 317.349 0.298 20 0 DCADLN O=C(NC[C@]1(O)CCSC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000611869133 396941687 /nfs/dbraw/zinc/94/16/87/396941687.db2.gz MDZLCFLXMMCUSR-CQSZACIVSA-N 0 2 321.358 0.628 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)NC[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177510 396954825 /nfs/dbraw/zinc/95/48/25/396954825.db2.gz KXXLYIYMVWXWOH-UONOGXRCSA-N 0 2 324.352 0.831 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)NC[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177510 396954835 /nfs/dbraw/zinc/95/48/35/396954835.db2.gz KXXLYIYMVWXWOH-UONOGXRCSA-N 0 2 324.352 0.831 20 0 DCADLN COC(=O)C(C)(C)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597374133 396956260 /nfs/dbraw/zinc/95/62/60/396956260.db2.gz QXMPHKLOOGITFH-UHFFFAOYSA-N 0 2 319.317 0.959 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCO[C@@H](c2ccco2)C1 ZINC000376871392 396989059 /nfs/dbraw/zinc/98/90/59/396989059.db2.gz KEEMOVCMTUALCS-SECBINFHSA-N 0 2 310.335 0.796 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCC([C@@H]2CCOC2)CC1 ZINC000376960647 397002823 /nfs/dbraw/zinc/00/28/23/397002823.db2.gz KREWRBZJWLOGEJ-SNVBAGLBSA-N 0 2 312.395 0.878 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCC([C@H]2CCOC2)CC1 ZINC000376960646 397002875 /nfs/dbraw/zinc/00/28/75/397002875.db2.gz KREWRBZJWLOGEJ-JTQLQIEISA-N 0 2 312.395 0.878 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H]1COc2cc(F)ccc2C1 ZINC000618649645 397003769 /nfs/dbraw/zinc/00/37/69/397003769.db2.gz FUMIBLPABXEAFB-SECBINFHSA-N 0 2 324.337 0.861 20 0 DCADLN COC(=O)[C@H](C)N(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597607074 397029845 /nfs/dbraw/zinc/02/98/45/397029845.db2.gz MRXVGMXSJWLCEP-QMMMGPOBSA-N 0 2 305.290 0.663 20 0 DCADLN C[C@H](NC(=O)c1ccc(NS(C)(=O)=O)nc1)c1nnc[nH]1 ZINC000625938724 397035476 /nfs/dbraw/zinc/03/54/76/397035476.db2.gz XSNRDSTVLBYYCP-ZETCQYMHSA-N 0 2 310.339 0.062 20 0 DCADLN [NH3+]Cc1cn([C@H]2CCN(c3cc(NCC4CC4)[nH+]cn3)C2)nn1 ZINC000630552484 397044814 /nfs/dbraw/zinc/04/48/14/397044814.db2.gz BGXMXCGYPCJJQX-ZDUSSCGKSA-N 0 2 314.397 0.800 20 0 DCADLN COC(=O)c1ccccc1N(C)C(=O)C[N@H+](C)[C@@H](C)C(=O)[O-] ZINC000573004165 397124587 /nfs/dbraw/zinc/12/45/87/397124587.db2.gz QKQFCWKRESLQDL-JTQLQIEISA-N 0 2 308.334 0.841 20 0 DCADLN COC(=O)c1ccccc1N(C)C(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-] ZINC000573004165 397124591 /nfs/dbraw/zinc/12/45/91/397124591.db2.gz QKQFCWKRESLQDL-JTQLQIEISA-N 0 2 308.334 0.841 20 0 DCADLN C[C@@H]1OCC[C@@]1(C)[NH2+]CC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000573275176 397152831 /nfs/dbraw/zinc/15/28/31/397152831.db2.gz PFPFZLXVYVGQAV-XJKSGUPXSA-N 0 2 313.442 0.371 20 0 DCADLN CN(CC(F)(F)F)C(=O)CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000377604314 397111380 /nfs/dbraw/zinc/11/13/80/397111380.db2.gz QNCIXEOKJMOBJK-QMMMGPOBSA-N 0 2 321.303 0.710 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H]1COc2ccccc2[C@H]1O ZINC000378132056 397197976 /nfs/dbraw/zinc/19/79/76/397197976.db2.gz AZOQDHLSHWHXIW-LDYMZIIASA-N 0 2 322.346 0.213 20 0 DCADLN CC1(C)[C@H](O)C[C@@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613678395 397299464 /nfs/dbraw/zinc/29/94/64/397299464.db2.gz GHKPWGMSTVENPU-WDEREUQCSA-N 0 2 303.318 0.919 20 0 DCADLN C[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@@H](CO)O1 ZINC000613858347 397337481 /nfs/dbraw/zinc/33/74/81/397337481.db2.gz NJJDHSGJZVCFFK-SKDRFNHKSA-N 0 2 319.317 0.252 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC2([S@@](C)=O)CC2)cn1 ZINC000577574075 397341965 /nfs/dbraw/zinc/34/19/65/397341965.db2.gz WDWNUBXGVBZCBO-JOCHJYFZSA-N 0 2 321.406 0.934 20 0 DCADLN CCOC(=O)Cn1nc(C)cc1NS(=O)(=O)c1cccnc1 ZINC000593280173 397348206 /nfs/dbraw/zinc/34/82/06/397348206.db2.gz CCSAAQRRACCJOR-UHFFFAOYSA-N 0 2 324.362 0.950 20 0 DCADLN CN(CCCC(=O)[O-])c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000416423638 397371327 /nfs/dbraw/zinc/37/13/27/397371327.db2.gz WDFFGHVNCKNXJJ-UHFFFAOYSA-N 0 2 307.354 0.056 20 0 DCADLN CN(CCCC(=O)[O-])c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000416423638 397371334 /nfs/dbraw/zinc/37/13/34/397371334.db2.gz WDFFGHVNCKNXJJ-UHFFFAOYSA-N 0 2 307.354 0.056 20 0 DCADLN O=C(N[C@@]1(CCO)CCOC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614076984 397379578 /nfs/dbraw/zinc/37/95/78/397379578.db2.gz HCTJBRFKHDNLCF-HNNXBMFYSA-N 0 2 319.317 0.301 20 0 DCADLN C[C@H]1OCC[C@]1(O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614205462 397399947 /nfs/dbraw/zinc/39/99/47/397399947.db2.gz UNEGSNRVOUCLMQ-PSLIRLAXSA-N 0 2 319.317 0.300 20 0 DCADLN C[C@]1(C(N)=O)CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000614310620 397419514 /nfs/dbraw/zinc/41/95/14/397419514.db2.gz XVAYNZHHOUHUDC-HNNXBMFYSA-N 0 2 316.317 0.367 20 0 DCADLN COc1cc(CCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)on1 ZINC000614518613 397463201 /nfs/dbraw/zinc/46/32/01/397463201.db2.gz JAUOBSRPKHLXRE-VIFPVBQESA-N 0 2 321.337 0.846 20 0 DCADLN CN(Cc1cn[nH]c1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000603916362 397628408 /nfs/dbraw/zinc/62/84/08/397628408.db2.gz SJLAIZFCPTVBOM-UHFFFAOYSA-N 0 2 300.278 0.431 20 0 DCADLN NC(=O)CCn1ccc(NS(=O)(=O)c2ccc(F)cc2)n1 ZINC000117740349 158173661 /nfs/dbraw/zinc/17/36/61/158173661.db2.gz QILBXHCHDYPFIX-UHFFFAOYSA-N 0 2 312.326 0.698 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC1CCC(CO)CC1)c2=O ZINC000128437079 158280767 /nfs/dbraw/zinc/28/07/67/158280767.db2.gz YSVGGUAOZTZTPC-UHFFFAOYSA-N 0 2 304.350 0.955 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1nnc(C(F)(F)F)s1 ZINC000136969654 158344047 /nfs/dbraw/zinc/34/40/47/158344047.db2.gz OGIIQSLCLBNGJN-UHFFFAOYSA-N 0 2 309.233 0.290 20 0 DCADLN CC(=O)N1CCC[C@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000328775606 159029061 /nfs/dbraw/zinc/02/90/61/159029061.db2.gz KRYZEXARKKHBAY-NEPJUHHUSA-N 0 2 321.381 0.475 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)NC3CCCC3)CC2)[nH]1 ZINC000328832513 159033531 /nfs/dbraw/zinc/03/35/31/159033531.db2.gz LIYFRZZGEQFRHL-UHFFFAOYSA-N 0 2 315.399 0.467 20 0 DCADLN Cc1ccn(CC(=O)N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)c(=O)c1 ZINC000329155875 159068199 /nfs/dbraw/zinc/06/81/99/159068199.db2.gz CLFNFBOFSBEDEU-NSHDSACASA-N 0 2 317.349 0.734 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)CN2CCCC2=O)[nH]1 ZINC000329603197 159106622 /nfs/dbraw/zinc/10/66/22/159106622.db2.gz LFRXDQLQDMQWIN-NSHDSACASA-N 0 2 321.381 0.627 20 0 DCADLN CC[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H]1O ZINC000408015741 160011565 /nfs/dbraw/zinc/01/15/65/160011565.db2.gz DCWRSLMGAMDMTI-XCBNKYQSSA-N 0 2 304.306 0.279 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)C[C@@H]1CCC(=O)[C@@H]1C ZINC000408453719 160111732 /nfs/dbraw/zinc/11/17/32/160111732.db2.gz SMCKEQXKRFIMOF-SCZZXKLOSA-N 0 2 313.379 0.539 20 0 DCADLN Cc1cc(C)nc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)c1 ZINC000126272280 286970689 /nfs/dbraw/zinc/97/06/89/286970689.db2.gz ZYDDDCCDPFZZIT-UHFFFAOYSA-N 0 2 311.301 0.876 20 0 DCADLN Cc1c(NC(=O)c2nc(=O)[nH][nH]2)cccc1C(=O)N1CCCC1 ZINC000133191285 287009578 /nfs/dbraw/zinc/00/95/78/287009578.db2.gz PQGFZLGFMVPAKY-UHFFFAOYSA-N 0 2 315.333 0.895 20 0 DCADLN COC(=O)[C@H](C)[C@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccccc1 ZINC000152479356 287041731 /nfs/dbraw/zinc/04/17/31/287041731.db2.gz CRKHRXNXUAYWGW-SCZZXKLOSA-N 0 2 304.306 0.378 20 0 DCADLN CC[C@@H]1CCC[C@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000162611757 287086034 /nfs/dbraw/zinc/08/60/34/287086034.db2.gz GYOPKRVFQMYREY-LDYMZIIASA-N 0 2 302.334 0.920 20 0 DCADLN Cc1cncc(/C=C\C(=O)NS(=O)(=O)c2cnn(C)c2)c1 ZINC000255428540 287125030 /nfs/dbraw/zinc/12/50/30/287125030.db2.gz CDSNQNINELJCNW-ARJAWSKDSA-N 0 2 306.347 0.642 20 0 DCADLN CC(C)[C@@](C)(O)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000352988876 415391357 /nfs/dbraw/zinc/39/13/57/415391357.db2.gz QNCAQSAXPWZKRM-SECBINFHSA-N 0 2 308.385 0.255 20 0 DCADLN Cn1nnnc1-c1cccc(NC(=O)C(N)C(F)(F)F)c1 ZINC000353174819 415462869 /nfs/dbraw/zinc/46/28/69/415462869.db2.gz FOWMUESDOSAJOE-QMMMGPOBSA-N 0 2 300.244 0.705 20 0 DCADLN Cn1nnnc1-c1cccc(NC(=O)[C@H](N)C(F)(F)F)c1 ZINC000353174819 415462872 /nfs/dbraw/zinc/46/28/72/415462872.db2.gz FOWMUESDOSAJOE-QMMMGPOBSA-N 0 2 300.244 0.705 20 0 DCADLN CCCC[NH+]1CCOC[C@H]1C(=O)N[C@H]1CC[N@@H+](CCOC)C1 ZINC000353438050 415541004 /nfs/dbraw/zinc/54/10/04/415541004.db2.gz BFYZUBGGAIWIDD-GJZGRUSLSA-N 0 2 313.442 0.324 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cccc2c1OCC(=O)N2 ZINC000343566281 415643254 /nfs/dbraw/zinc/64/32/54/415643254.db2.gz GGPAEPWRJBQBOB-UHFFFAOYSA-N 0 2 317.305 0.204 20 0 DCADLN COc1ncc(NS(=O)(=O)c2c[nH]c(C)n2)cc1C(N)=O ZINC000353830847 415673826 /nfs/dbraw/zinc/67/38/26/415673826.db2.gz XCCRYLVEORSHCI-UHFFFAOYSA-N 0 2 311.323 0.021 20 0 DCADLN COc1ccc(S(O)=CC(=O)NCC(=O)N2CCCC2)cc1 ZINC000108011893 415676416 /nfs/dbraw/zinc/67/64/16/415676416.db2.gz RASHQXTXYVHJMV-JOCHJYFZSA-N 0 2 324.402 0.541 20 0 DCADLN COCc1nc(S(=O)(=O)CC(=O)Nc2ccccc2C)n[nH]1 ZINC000353890923 415686453 /nfs/dbraw/zinc/68/64/53/415686453.db2.gz CLLOZFBZWNKBTE-UHFFFAOYSA-N 0 2 324.362 0.672 20 0 DCADLN CCCCNC(=O)[C@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000353902314 415689076 /nfs/dbraw/zinc/68/90/76/415689076.db2.gz LYCIMMKYESWHNV-QMMMGPOBSA-N 0 2 304.372 0.030 20 0 DCADLN COCc1nc(CNS(=O)(=O)c2c(N)noc2C)cs1 ZINC000290020626 415750641 /nfs/dbraw/zinc/75/06/41/415750641.db2.gz AWSZWGHUCUVAEW-UHFFFAOYSA-N 0 2 318.380 0.647 20 0 DCADLN CNS(=O)(=O)N1CCN(C(=O)C[C@H]2C=CCCC2)CC1 ZINC000334026292 415788679 /nfs/dbraw/zinc/78/86/79/415788679.db2.gz HLXBCNWCXFVJPX-LBPRGKRZSA-N 0 2 301.412 0.341 20 0 DCADLN COC(=O)C1(CNC(=O)c2c[nH]cc(C)c2=O)CCOCC1 ZINC000334036584 415789036 /nfs/dbraw/zinc/78/90/36/415789036.db2.gz BRHDQIYNOIQNTP-UHFFFAOYSA-N 0 2 308.334 0.383 20 0 DCADLN CCC1(O)CN(C(=O)[C@@H]2CC[C@H](C(=O)N3CC(O)(CC)C3)C2)C1 ZINC000334035882 415789049 /nfs/dbraw/zinc/78/90/49/415789049.db2.gz NHFWIKILCDIIML-BETUJISGSA-N 0 2 324.421 0.369 20 0 DCADLN COCc1nc2n(n1)C[C@H](NC(=O)[C@@H](F)C(F)(F)F)CC2 ZINC000290515978 415816396 /nfs/dbraw/zinc/81/63/96/415816396.db2.gz NIKTWFWIPIPAGT-HZGVNTEJSA-N 0 2 310.251 0.756 20 0 DCADLN COCc1nc2n(n1)C[C@H](NC(=O)C(F)C(F)(F)F)CC2 ZINC000290515978 415816404 /nfs/dbraw/zinc/81/64/04/415816404.db2.gz NIKTWFWIPIPAGT-HZGVNTEJSA-N 0 2 310.251 0.756 20 0 DCADLN CCNC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000128785824 415900739 /nfs/dbraw/zinc/90/07/39/415900739.db2.gz ROMIQTOBWLWNSO-UHFFFAOYSA-N 0 2 304.310 0.169 20 0 DCADLN CC[C@]1(C)CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000135336551 415960743 /nfs/dbraw/zinc/96/07/43/415960743.db2.gz QDWXHXYPUCGKHP-OAHLLOKOSA-N 0 2 318.333 0.253 20 0 DCADLN CNC(=O)COc1ccc(NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000356776583 415986032 /nfs/dbraw/zinc/98/60/32/415986032.db2.gz JQUBOLIZWSQBSX-UHFFFAOYSA-N 0 2 324.362 0.346 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)N[C@@H]1CCOC1 ZINC000344975077 416019001 /nfs/dbraw/zinc/01/90/01/416019001.db2.gz HNWUQIFNVCAYNC-LLVKDONJSA-N 0 2 317.349 0.972 20 0 DCADLN COC(=O)C1(CS(=O)(=O)Nc2ccn(C)n2)CCCC1 ZINC000357016936 416020332 /nfs/dbraw/zinc/02/03/32/416020332.db2.gz HADHICCNBIMRHD-UHFFFAOYSA-N 0 2 301.368 0.895 20 0 DCADLN CCN(C)C(=O)[C@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000357047543 416025303 /nfs/dbraw/zinc/02/53/03/416025303.db2.gz FUYDTFIKZWZHMI-VIFPVBQESA-N 0 2 318.333 0.627 20 0 DCADLN O=C(NCCCOCCO)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000357060183 416026989 /nfs/dbraw/zinc/02/69/89/416026989.db2.gz QEEMIQNLLCTFDC-UHFFFAOYSA-N 0 2 307.306 0.159 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2nc3cc(Cl)ccn3n2)n1 ZINC000357493666 416088847 /nfs/dbraw/zinc/08/88/47/416088847.db2.gz XXHZSUVJBKEPLM-UHFFFAOYSA-N 0 2 312.742 0.917 20 0 DCADLN O=C(Nc1cc(F)ccc1O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000174888595 416091246 /nfs/dbraw/zinc/09/12/46/416091246.db2.gz NWHLWJZDALQXGO-UHFFFAOYSA-N 0 2 316.248 0.708 20 0 DCADLN CC1CCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000175328842 416102708 /nfs/dbraw/zinc/10/27/08/416102708.db2.gz LRMQQNVZVOQJMU-UHFFFAOYSA-N 0 2 324.362 0.032 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCc1nncn1C1CC1)c2=O ZINC000179484762 416187801 /nfs/dbraw/zinc/18/78/01/416187801.db2.gz TZSWAFXXLPLYPQ-UHFFFAOYSA-N 0 2 313.321 0.530 20 0 DCADLN CS(=O)(=O)NCC[N@@H+]1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC000179513005 416188117 /nfs/dbraw/zinc/18/81/17/416188117.db2.gz OZZSXZOOTIBLCI-NSHDSACASA-N 0 2 313.427 0.411 20 0 DCADLN CS(=O)(=O)NCC[N@H+]1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC000179513005 416188120 /nfs/dbraw/zinc/18/81/20/416188120.db2.gz OZZSXZOOTIBLCI-NSHDSACASA-N 0 2 313.427 0.411 20 0 DCADLN COc1ccc(OC)c(CCC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000358368582 416229929 /nfs/dbraw/zinc/22/99/29/416229929.db2.gz WNUJIKLQPWXLOR-UHFFFAOYSA-N 0 2 306.322 0.777 20 0 DCADLN CCOC(=O)[C@@H](C)N(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358375184 416230700 /nfs/dbraw/zinc/23/07/00/416230700.db2.gz UNZRESMUGBKMQM-MRVPVSSYSA-N 0 2 306.322 0.698 20 0 DCADLN O=C(Nc1nncn1C1CC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000357950273 416158086 /nfs/dbraw/zinc/15/80/86/416158086.db2.gz DJQAXUPYEZYVJJ-UHFFFAOYSA-N 0 2 312.289 1.209 20 0 DCADLN O=C(NCCNc1cnccn1)c1cc(F)c(F)c(O)c1F ZINC000348573511 416241177 /nfs/dbraw/zinc/24/11/77/416241177.db2.gz HCRHXTWLNNACNQ-UHFFFAOYSA-N 0 2 312.251 1.441 20 0 DCADLN CCNS(=O)(=O)c1ccc(CC(=O)Nc2nc(C)n[nH]2)cc1 ZINC000183535326 416243948 /nfs/dbraw/zinc/24/39/48/416243948.db2.gz QKIDHOWRLSANPY-UHFFFAOYSA-N 0 2 323.378 0.593 20 0 DCADLN Cn1cnn(CC(=O)Nc2nc(-c3ccccc3F)n[nH]2)c1=O ZINC000183162866 416236865 /nfs/dbraw/zinc/23/68/65/416236865.db2.gz ZNYSIUPZTFDHDU-UHFFFAOYSA-N 0 2 317.284 0.145 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc(F)c(F)c1F ZINC000342072000 416279392 /nfs/dbraw/zinc/27/93/92/416279392.db2.gz RALZXKBDGHJZAG-UHFFFAOYSA-N 0 2 315.211 0.183 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000358865614 416287534 /nfs/dbraw/zinc/28/75/34/416287534.db2.gz PFYVFNODWZQCOX-NSHDSACASA-N 0 2 301.387 0.058 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000358865614 416287538 /nfs/dbraw/zinc/28/75/38/416287538.db2.gz PFYVFNODWZQCOX-NSHDSACASA-N 0 2 301.387 0.058 20 0 DCADLN CCOc1cc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)ccc1C ZINC000342200230 416292510 /nfs/dbraw/zinc/29/25/10/416292510.db2.gz LZIDZUVIINJQOJ-UHFFFAOYSA-N 0 2 319.321 0.472 20 0 DCADLN C[C@@H](CC[S@](C)=O)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000359451311 416346536 /nfs/dbraw/zinc/34/65/36/416346536.db2.gz YSYJSLLSYJGXAZ-AUADJRAKSA-N 0 2 323.374 0.917 20 0 DCADLN O=C(CNC(=O)C1CCCCC1)NCCCc1n[nH]c(=O)[nH]1 ZINC000359524716 416349284 /nfs/dbraw/zinc/34/92/84/416349284.db2.gz POSRNHPJFWTOAZ-UHFFFAOYSA-N 0 2 309.370 0.256 20 0 DCADLN COC(=O)C1(N(C)S(=O)(=O)NCC(F)(F)F)CCCC1 ZINC000195426690 416326236 /nfs/dbraw/zinc/32/62/36/416326236.db2.gz YTRPOLMOTFRJQW-UHFFFAOYSA-N 0 2 318.317 0.801 20 0 DCADLN COc1c(NS(=O)(=O)C[C@H]2CCCO2)cccc1C(N)=O ZINC000360278136 416383843 /nfs/dbraw/zinc/38/38/43/416383843.db2.gz IALNHUIIQPAQNJ-SECBINFHSA-N 0 2 314.363 0.715 20 0 DCADLN Cc1cncc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)c1 ZINC000361363278 416432075 /nfs/dbraw/zinc/43/20/75/416432075.db2.gz DYXPKLVICPBRQS-UHFFFAOYSA-N 0 2 313.364 0.865 20 0 DCADLN COCCOCCCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000436289576 416554546 /nfs/dbraw/zinc/55/45/46/416554546.db2.gz XMULLUIVGMKPQZ-UHFFFAOYSA-N 0 2 321.381 0.983 20 0 DCADLN O=C(c1cc(F)cc(Br)c1O)N1CCNC(=O)C1 ZINC000436845327 416572482 /nfs/dbraw/zinc/57/24/82/416572482.db2.gz CKSQEZPDPJLAAT-UHFFFAOYSA-N 0 2 317.114 0.866 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C2CC(F)(F)C2)s1 ZINC000440583455 416641496 /nfs/dbraw/zinc/64/14/96/416641496.db2.gz SOBNKUNFWKNEBC-UHFFFAOYSA-N 0 2 312.323 0.893 20 0 DCADLN CCC(CC)(CNC(=O)C(=O)NCCn1cc[nH+]c1)C(=O)[O-] ZINC000424470211 416652121 /nfs/dbraw/zinc/65/21/21/416652121.db2.gz PZEYSGJGODNHCI-UHFFFAOYSA-N 0 2 310.354 0.007 20 0 DCADLN CCCC[C@H](NC(N)=O)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000514937419 416684448 /nfs/dbraw/zinc/68/44/48/416684448.db2.gz AYSAEUQRMMEIRA-UWVGGRQHSA-N 0 2 324.385 0.443 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)NCC(CC)(CC)C(=O)[O-])CC1 ZINC000424494459 416657769 /nfs/dbraw/zinc/65/77/69/416657769.db2.gz PSNWAVSXIKMBDM-UHFFFAOYSA-N 0 2 313.398 0.158 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC(CC(N)=O)C2)c1 ZINC000424805893 416671021 /nfs/dbraw/zinc/67/10/21/416671021.db2.gz BWNSDWPGDMPSCY-OAQYLSRUSA-N 0 2 310.375 0.137 20 0 DCADLN CC(C)[C@@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(N)=O ZINC000614884611 416720950 /nfs/dbraw/zinc/72/09/50/416720950.db2.gz GJACJVWFWAPOAE-SNVBAGLBSA-N 0 2 304.306 0.270 20 0 DCADLN C/C=C\C[C@H](NS(=O)(=O)NCC(F)(F)F)C(=O)OCC ZINC000443040385 416727717 /nfs/dbraw/zinc/72/77/17/416727717.db2.gz JUKYKNFQRSTSCX-NHLYECAPSA-N 0 2 318.317 0.871 20 0 DCADLN CCc1nc(C(C)(C)NS(=O)(=O)NCC(F)(F)F)no1 ZINC000443142343 416734833 /nfs/dbraw/zinc/73/48/33/416734833.db2.gz GKFAXAYVBPWLLK-UHFFFAOYSA-N 0 2 316.305 0.853 20 0 DCADLN CC[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CC[NH+](C)[C@@H](C)C2)CCO1 ZINC000426066584 416738822 /nfs/dbraw/zinc/73/88/22/416738822.db2.gz KUAHIEHDZOXEOY-SOUVJXGZSA-N 0 2 312.458 0.879 20 0 DCADLN Cc1nc(C(C)(C)C)[nH]c(=O)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000443510391 416762708 /nfs/dbraw/zinc/76/27/08/416762708.db2.gz NFHGEPRZLUNFBM-UHFFFAOYSA-N 0 2 306.326 0.542 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@@H](C(=O)[O-])[C@H]3C)nc[nH+]2)C1 ZINC000517154942 416783873 /nfs/dbraw/zinc/78/38/73/416783873.db2.gz UBGDUDBAVUFCNL-YVECIDJPSA-N 0 2 320.393 0.983 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@@H](C(=O)[O-])[C@H]3C)[nH+]cn2)C1 ZINC000517154942 416783880 /nfs/dbraw/zinc/78/38/80/416783880.db2.gz UBGDUDBAVUFCNL-YVECIDJPSA-N 0 2 320.393 0.983 20 0 DCADLN CN1C(=O)CN(C(=O)C2=NN(c3ccccc3)CC2=O)CC1=O ZINC000559302889 416808907 /nfs/dbraw/zinc/80/89/07/416808907.db2.gz AHUPWKDPVRUFMP-UHFFFAOYSA-N 0 2 314.301 0.019 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCc2nc(N)no2)cn1 ZINC000427226780 416809210 /nfs/dbraw/zinc/80/92/10/416809210.db2.gz JFQWALZDJAWNHP-UHFFFAOYSA-N 0 2 316.325 0.231 20 0 DCADLN CCOC(=O)[C@H](C)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000428150027 416881838 /nfs/dbraw/zinc/88/18/38/416881838.db2.gz IMRDMFRPWITDSF-SECBINFHSA-N 0 2 319.317 0.959 20 0 DCADLN O=S(=O)([N-]CC(F)(F)F)N1CCC(n2cc[nH+]c2)CC1 ZINC000428325820 416888013 /nfs/dbraw/zinc/88/80/13/416888013.db2.gz MYRPQIGKBWFWML-UHFFFAOYSA-N 0 2 312.317 0.917 20 0 DCADLN COCC1(C)CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000447880158 417036897 /nfs/dbraw/zinc/03/68/97/417036897.db2.gz DDCNSNVCEZCMRN-UHFFFAOYSA-N 0 2 318.333 0.853 20 0 DCADLN O=C([O-])c1ccc(NC[C@@]2([NH+]3CCOCC3)CCSC2)nn1 ZINC000566294790 417043209 /nfs/dbraw/zinc/04/32/09/417043209.db2.gz KJJGBNVUWFKVIY-AWEZNQCLSA-N 0 2 324.406 0.795 20 0 DCADLN COC(=O)CCN1CC[C@@H](Nc2nnc(C(F)F)o2)C1=O ZINC000432349391 417173026 /nfs/dbraw/zinc/17/30/26/417173026.db2.gz VYTWIBHMLUXMKC-ZCFIWIBFSA-N 0 2 304.253 0.583 20 0 DCADLN CO[C@@H]1COC[C@@H]1NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000568960830 417198105 /nfs/dbraw/zinc/19/81/05/417198105.db2.gz RGNLTPXWNZMTLN-WDEREUQCSA-N 0 2 305.290 0.174 20 0 DCADLN Cc1nnc2n1C[C@H](CNC(=O)c1cccc3[nH]nnc31)CC2 ZINC000527108544 417211854 /nfs/dbraw/zinc/21/18/54/417211854.db2.gz OUPFEMKKROOWQK-JTQLQIEISA-N 0 2 311.349 0.850 20 0 DCADLN COCC1(N(C)C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000617473166 417224583 /nfs/dbraw/zinc/22/45/83/417224583.db2.gz QODDEMSVPFLFSS-UHFFFAOYSA-N 0 2 304.306 0.687 20 0 DCADLN CCOC[C@H](O)CNC(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000433355338 417255457 /nfs/dbraw/zinc/25/54/57/417255457.db2.gz VSDMTXKSBTWSGV-SNVBAGLBSA-N 0 2 305.334 0.771 20 0 DCADLN CO[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CCO1 ZINC000621967008 417357820 /nfs/dbraw/zinc/35/78/20/417357820.db2.gz UUNKAHPMTVYHIG-NSHDSACASA-N 0 2 305.290 0.475 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCCn2cnc3ccccc32)[C@H](C[NH3+])C1 ZINC000570501255 417359151 /nfs/dbraw/zinc/35/91/51/417359151.db2.gz OIQTWIVYSBITMA-CYBMUJFWSA-N 0 2 316.409 0.321 20 0 DCADLN C[C@H]1[C@H](CO)CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000622108279 417398070 /nfs/dbraw/zinc/39/80/70/417398070.db2.gz QTZGCYQCHOXKHB-IUCAKERBSA-N 0 2 318.333 0.669 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccccc1NCCC(N)=O ZINC000438774133 287375965 /nfs/dbraw/zinc/37/59/65/287375965.db2.gz IYRLBGKBUMPRGM-UHFFFAOYSA-N 0 2 323.378 0.508 20 0 DCADLN COc1cccc2c1[nH+]cc(C(=O)[O-])c2N1C[C@H](O)[C@@H](CO)C1 ZINC000574991892 417499910 /nfs/dbraw/zinc/49/99/10/417499910.db2.gz NPGOQCMUSDDESG-SKDRFNHKSA-N 0 2 318.329 0.731 20 0 DCADLN CCN1C[C@H](NC(=O)N=c2nc(C(C)(C)C)[nH]s2)CC1=O ZINC000454712782 417577246 /nfs/dbraw/zinc/57/72/46/417577246.db2.gz RYYKJKJABIAJHH-MRVPVSSYSA-N 0 2 311.411 1.000 20 0 DCADLN O=C(NC[C@@]1(O)CCSC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000531363909 417618371 /nfs/dbraw/zinc/61/83/71/417618371.db2.gz YJWBZZLQOKAUSM-AWEZNQCLSA-N 0 2 321.358 0.628 20 0 DCADLN CO[C@@H]1CN[C@@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)C1 ZINC000576701814 417629730 /nfs/dbraw/zinc/62/97/30/417629730.db2.gz KXZLDZMYNLDBFP-VHSXEESVSA-N 0 2 305.363 0.337 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)N[C@H](C)c2[nH+]ccn2C)C1 ZINC000635519550 417687397 /nfs/dbraw/zinc/68/73/97/417687397.db2.gz PIINNQUOOJJTPZ-YGRLFVJLSA-N 0 2 310.354 0.614 20 0 DCADLN CN(C[C@H](O)C[NH+]1CCOCC1)C(=O)C[N@H+](C)CC(C)(C)C ZINC000635658565 417732817 /nfs/dbraw/zinc/73/28/17/417732817.db2.gz HIYGREKLAYPKTD-AWEZNQCLSA-N 0 2 315.458 0.116 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCc2c(O)cccc2C1 ZINC000629155447 417747043 /nfs/dbraw/zinc/74/70/43/417747043.db2.gz QSYSKQCNUFYJIS-UHFFFAOYSA-N 0 2 306.347 0.893 20 0 DCADLN CC[C@H](NC(=O)C(=O)N=c1nc(C)n(C)[nH]1)c1ccccc1F ZINC000652009119 417832221 /nfs/dbraw/zinc/83/22/21/417832221.db2.gz BWQIQRZLTFVKBD-LBPRGKRZSA-N 0 2 319.340 0.891 20 0 DCADLN CCN(C(=O)C(=O)N=c1nc2n([nH]1)CCCC2)[C@@H]1CCCOC1 ZINC000652026216 417837679 /nfs/dbraw/zinc/83/76/79/417837679.db2.gz GKGLINULLDNOHE-LLVKDONJSA-N 0 2 321.381 0.002 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cnnn2C)ccc1C(=O)N(C)C ZINC000629288625 417771701 /nfs/dbraw/zinc/77/17/01/417771701.db2.gz CVYTVFCQNGRUDO-UHFFFAOYSA-N 0 2 323.378 0.626 20 0 DCADLN CCc1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC000635901461 417786294 /nfs/dbraw/zinc/78/62/94/417786294.db2.gz CVRMMHIHZWNVKU-VIFPVBQESA-N 0 2 304.354 0.826 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCCC2CCOCC2)[nH]1 ZINC000651830375 417789956 /nfs/dbraw/zinc/78/99/56/417789956.db2.gz OBNJIOWWDJEZMR-UHFFFAOYSA-N 0 2 323.397 0.542 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N2C[C@H](C)C[C@H](C)C2)[nH]1 ZINC000657104934 417811712 /nfs/dbraw/zinc/81/17/12/417811712.db2.gz VTIZHXGADUHMJF-AOOOYVTPSA-N 0 2 317.415 0.263 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N[C@@H](CO)C[NH+]3CCCC3)ccn12 ZINC000640320394 417817480 /nfs/dbraw/zinc/81/74/80/417817480.db2.gz QILALSRHOUXOPV-CQSZACIVSA-N 0 2 302.378 0.829 20 0 DCADLN Cc1nc(C[NH2+][C@H]2CCN(CC[NH+]3CCOCC3)C2)[nH]c1C ZINC000657362969 417853321 /nfs/dbraw/zinc/85/33/21/417853321.db2.gz KUNXBWUQCLZBEB-HNNXBMFYSA-N 0 2 307.442 0.523 20 0 DCADLN C[C@H]1CN(C(=O)N=c2ncn(Cc3ccccc3)[nH]2)CC(=O)N1 ZINC000652407774 417902101 /nfs/dbraw/zinc/90/21/01/417902101.db2.gz MWBVQWPGNBJKGU-NSHDSACASA-N 0 2 314.349 0.101 20 0 DCADLN COC[C@@](C)(CC(=O)[O-])NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000652063387 417842583 /nfs/dbraw/zinc/84/25/83/417842583.db2.gz KOZHPLJZCGQTKC-OAHLLOKOSA-N 0 2 309.366 0.758 20 0 DCADLN COC[C@H]1C[C@@H](O)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000652820668 417974826 /nfs/dbraw/zinc/97/48/26/417974826.db2.gz HWZGWDKPZSZUIQ-CHWSQXEVSA-N 0 2 317.345 0.800 20 0 DCADLN CC1(c2nc(=NC(=O)C[C@@H]3CCCS3(=O)=O)s[nH]2)CC1 ZINC000636254016 417913435 /nfs/dbraw/zinc/91/34/35/417913435.db2.gz ROVACRHVNBOGOD-QMMMGPOBSA-N 0 2 315.420 0.917 20 0 DCADLN CO[C@@H]1CS(=O)(=O)[C@H]2CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@H]21 ZINC000662886575 417938673 /nfs/dbraw/zinc/93/86/73/417938673.db2.gz IAYGSQGTTSIUTQ-AXFHLTTASA-N 0 2 312.347 0.028 20 0 DCADLN CCn1cc[nH+]c1[C@@H](C)NC(=O)CN1CCCC[C@H]([NH3+])C1=O ZINC000662901478 417943770 /nfs/dbraw/zinc/94/37/70/417943770.db2.gz IILHFONQZRFWFC-NEPJUHHUSA-N 0 2 307.398 0.420 20 0 DCADLN Cc1cn(C)nc1NS(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000663264757 418005822 /nfs/dbraw/zinc/00/58/22/418005822.db2.gz OKKQWCXWXWXNTD-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN C[C@@]1(C2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)NC(=O)NC1=O ZINC000647451190 418010775 /nfs/dbraw/zinc/01/07/75/418010775.db2.gz PBIWJQNJUVFKPS-HNNXBMFYSA-N 0 2 318.333 0.594 20 0 DCADLN COCCO[C@H]1C[C@H](CO)CN(c2[nH+]cccc2C(=O)[O-])C1 ZINC000647454242 418011858 /nfs/dbraw/zinc/01/18/58/418011858.db2.gz ILNZMOFKQVZSQK-RYUDHWBXSA-N 0 2 310.350 0.630 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000647491089 418015001 /nfs/dbraw/zinc/01/50/01/418015001.db2.gz AWSPQHGNPXZXTH-ZJUUUORDSA-N 0 2 316.317 0.642 20 0 DCADLN C[C@@H]1C[NH+](CCCNC(=O)NCCCc2nc[nH]n2)C[C@@H](C)O1 ZINC000663553299 418031219 /nfs/dbraw/zinc/03/12/19/418031219.db2.gz NVPGULKMMVYTOE-CHWSQXEVSA-N 0 2 324.429 0.536 20 0 DCADLN Cc1nc(=NC(=O)N2C[C@H](C(F)(F)F)O[C@@H](C)C2)[nH]n1C ZINC000653241397 418046349 /nfs/dbraw/zinc/04/63/49/418046349.db2.gz OYSKLYYMZSLKAC-POYBYMJQSA-N 0 2 307.276 0.729 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000663125934 417993823 /nfs/dbraw/zinc/99/38/23/417993823.db2.gz QKXDMHQALRLRIK-YUELXQCFSA-N 0 2 318.373 0.819 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000663125934 417993825 /nfs/dbraw/zinc/99/38/25/417993825.db2.gz QKXDMHQALRLRIK-YUELXQCFSA-N 0 2 318.373 0.819 20 0 DCADLN COc1ccc(NC(=O)CC[N@@H+]2CCOC[C@@H]2C(=O)[O-])cc1 ZINC000653379756 418065749 /nfs/dbraw/zinc/06/57/49/418065749.db2.gz GKCUSEXXJYCYDC-CYBMUJFWSA-N 0 2 308.334 0.809 20 0 DCADLN COc1ccc(NC(=O)CC[N@H+]2CCOC[C@@H]2C(=O)[O-])cc1 ZINC000653379756 418065751 /nfs/dbraw/zinc/06/57/51/418065751.db2.gz GKCUSEXXJYCYDC-CYBMUJFWSA-N 0 2 308.334 0.809 20 0 DCADLN CCN(C(=O)NCC[N@@H+]1CCOC[C@@H]1C)[C@H]1CC[NH+](CC)C1 ZINC000663990770 418072255 /nfs/dbraw/zinc/07/22/55/418072255.db2.gz KNCJYMNWANSRLO-GJZGRUSLSA-N 0 2 312.458 0.833 20 0 DCADLN CCn1cc[nH+]c1CNC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000659404309 418092672 /nfs/dbraw/zinc/09/26/72/418092672.db2.gz FGPJPGLJSWZQIN-QMTHXVAHSA-N 0 2 308.338 0.146 20 0 DCADLN Cc1[nH]c(=O)ccc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893601 418171343 /nfs/dbraw/zinc/17/13/43/418171343.db2.gz LCQXQOJJVATVRG-VIFPVBQESA-N 0 2 303.322 0.939 20 0 DCADLN CC(=O)N1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000665095993 418175461 /nfs/dbraw/zinc/17/54/61/418175461.db2.gz PWDIXXXQZZXWQM-UHFFFAOYSA-N 0 2 316.317 0.334 20 0 DCADLN Cc1noc([C@@H](C)N(C)S(=O)(=O)NCC(F)(F)F)n1 ZINC000655661025 418286212 /nfs/dbraw/zinc/28/62/12/418286212.db2.gz STSAOBRXPAUOFY-RXMQYKEDSA-N 0 2 302.278 0.768 20 0 DCADLN NC(=O)c1[nH]nnc1NC(=O)[C@H](C[C@H]1CCCO1)C(F)(F)F ZINC000650025576 418288421 /nfs/dbraw/zinc/28/84/21/418288421.db2.gz YZZGMCNEMMLZJD-RITPCOANSA-N 0 2 321.259 0.590 20 0 DCADLN C[C@@H](NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)C1=CC[N@H+](C)CC1 ZINC000661009306 418310456 /nfs/dbraw/zinc/31/04/56/418310456.db2.gz HGJPUHKSILATHR-GDBMZVCRSA-N 0 2 322.453 0.753 20 0 DCADLN C[C@@H](NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1)C1=CC[N@H+](C)CC1 ZINC000661009311 418310528 /nfs/dbraw/zinc/31/05/28/418310528.db2.gz HGJPUHKSILATHR-ZBFHGGJFSA-N 0 2 322.453 0.753 20 0 DCADLN O=C([O-])c1cc(N2CCC[C@](O)(Cn3ccnn3)C2)cc[nH+]1 ZINC000650770206 418323230 /nfs/dbraw/zinc/32/32/30/418323230.db2.gz PQFSJTPSFGELEP-CQSZACIVSA-N 0 2 303.322 0.403 20 0 DCADLN CC(C)NC(=O)CN1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650765829 418323606 /nfs/dbraw/zinc/32/36/06/418323606.db2.gz IQZAQLSBNOPNAX-UHFFFAOYSA-N 0 2 306.366 0.426 20 0 DCADLN CO[C@](C)(CO)CNC(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000655408250 418259641 /nfs/dbraw/zinc/25/96/41/418259641.db2.gz DKYCKYXVYWQSIQ-HNNXBMFYSA-N 0 2 305.334 0.771 20 0 DCADLN O=C(NC1CC1)[C@H]1Cc2ccccc2CN1Cc1n[nH]c(=O)[nH]1 ZINC000649661395 418266962 /nfs/dbraw/zinc/26/69/62/418266962.db2.gz ZFKMFGMGVDILJQ-CYBMUJFWSA-N 0 2 313.361 0.716 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H]2OCCc3ccccc32)[nH]n1 ZINC000650817404 418326277 /nfs/dbraw/zinc/32/62/77/418326277.db2.gz BMPKEKGPCRBGCZ-AWEZNQCLSA-N 0 2 312.329 0.511 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@H]([C@H]3CCOC3)C2)[nH]1 ZINC000651633243 418398928 /nfs/dbraw/zinc/39/89/28/418398928.db2.gz JLNDERYATVECKG-RYUDHWBXSA-N 0 2 321.381 0.104 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCN(c3ccc(O)cc3)CC2)C1=O ZINC000662203535 418388440 /nfs/dbraw/zinc/38/84/40/418388440.db2.gz FIYNYBYQUCRYLK-CQSZACIVSA-N 0 2 319.361 0.200 20 0 DCADLN C[C@]1(c2ccccc2)C[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CCO1 ZINC000662206127 418388740 /nfs/dbraw/zinc/38/87/40/418388740.db2.gz ONBQECVLJLFHQT-RHSMWYFYSA-N 0 2 318.373 0.920 20 0 DCADLN C[C@]1(c2ccccc2)C[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CCO1 ZINC000662206127 418388742 /nfs/dbraw/zinc/38/87/42/418388742.db2.gz ONBQECVLJLFHQT-RHSMWYFYSA-N 0 2 318.373 0.920 20 0 DCADLN CC[N@H+](CC1=Nc2ccccc2S(=O)(=O)N1)[C@H](C)C(=O)[O-] ZINC000662213900 418390291 /nfs/dbraw/zinc/39/02/91/418390291.db2.gz AYCGJXJYVPPKJK-SECBINFHSA-N 0 2 311.363 0.804 20 0 DCADLN CC[N@@H+](CC1=Nc2ccccc2S(=O)(=O)N1)[C@H](C)C(=O)[O-] ZINC000662213900 418390293 /nfs/dbraw/zinc/39/02/93/418390293.db2.gz AYCGJXJYVPPKJK-SECBINFHSA-N 0 2 311.363 0.804 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@@H](c3ccco3)C2)[nH]1 ZINC000651563908 418392329 /nfs/dbraw/zinc/39/23/29/418392329.db2.gz LVWJVAFSAAMDQJ-LLVKDONJSA-N 0 2 317.349 0.829 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCc2ccc(O)c(F)c2)[nH]1 ZINC000651582567 418394262 /nfs/dbraw/zinc/39/42/62/418394262.db2.gz AAGAKOXPMXCDID-UHFFFAOYSA-N 0 2 321.312 0.381 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@H]2CCCCS2)[nH]1 ZINC000651659714 418401772 /nfs/dbraw/zinc/40/17/72/418401772.db2.gz PSGHGTNAJLAZPF-JTQLQIEISA-N 0 2 311.411 0.621 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCC[C@@H]2CCCCO2)[nH]1 ZINC000651665381 418402159 /nfs/dbraw/zinc/40/21/59/418402159.db2.gz YCJBLXRXWCJWHZ-LBPRGKRZSA-N 0 2 323.397 0.685 20 0 DCADLN CCOC(=O)NCCOC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000497141479 287639900 /nfs/dbraw/zinc/63/99/00/287639900.db2.gz ALPVRINQYUDNOZ-UHFFFAOYSA-N 0 2 314.260 0.733 20 0 DCADLN CS(=O)(=O)c1ccc(NS(=O)(=O)c2ccoc2)cn1 ZINC000350038643 261187790 /nfs/dbraw/zinc/18/77/90/261187790.db2.gz WDKSEIBEWYUMHD-UHFFFAOYSA-N 0 2 302.333 0.879 20 0 DCADLN C[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)[NH+]1[C@H](C)CC[C@H]1C ZINC000354727404 261264248 /nfs/dbraw/zinc/26/42/48/261264248.db2.gz MIDYGGGUSRLOBA-IWSPIJDZSA-N 0 2 315.399 0.408 20 0 DCADLN Cc1n[nH]c(NC(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)n1 ZINC000355671333 261355563 /nfs/dbraw/zinc/35/55/63/261355563.db2.gz IFLMPTWTJVRKCM-UHFFFAOYSA-N 0 2 323.378 0.545 20 0 DCADLN O=C(NC[C@@H]1COCCO1)C1=NN(c2ccccc2)CC1=O ZINC000362640853 262055253 /nfs/dbraw/zinc/05/52/53/262055253.db2.gz CMCHLVKZYNDBFV-GFCCVEGCSA-N 0 2 303.318 0.723 20 0 DCADLN CCOC(=O)CN1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000368091371 262145122 /nfs/dbraw/zinc/14/51/22/262145122.db2.gz JWAQTZULXUJZCF-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN CCOC(=O)CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000368091371 262145126 /nfs/dbraw/zinc/14/51/26/262145126.db2.gz JWAQTZULXUJZCF-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN CC[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H](O)C(C)C ZINC000412758435 262194166 /nfs/dbraw/zinc/19/41/66/262194166.db2.gz VBHXJYTYRKLCFE-WDEREUQCSA-N 0 2 320.349 0.961 20 0 DCADLN NS(=O)(=O)CCOCCNc1nc(C2CCCC2)ns1 ZINC000420635591 262378980 /nfs/dbraw/zinc/37/89/80/262378980.db2.gz ZUDPOQXKVFWIQN-UHFFFAOYSA-N 0 2 320.440 0.335 20 0 DCADLN CC[C@H](CS(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)OC ZINC000420721548 262382696 /nfs/dbraw/zinc/38/26/96/262382696.db2.gz ILVABPNNNWQQTE-NXEZZACHSA-N 0 2 318.399 0.445 20 0 DCADLN Cn1cc(OCC(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)cn1 ZINC000356007976 271032446 /nfs/dbraw/zinc/03/24/46/271032446.db2.gz PDJYKMZJTTWTFV-UHFFFAOYSA-N 0 2 314.305 0.928 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@@](C)(O)C(F)(F)F)c2=O ZINC000358323270 271049352 /nfs/dbraw/zinc/04/93/52/271049352.db2.gz ALCLOSBGVAOTTO-LLVKDONJSA-N 0 2 318.255 0.717 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1C[C@@H]2CC[C@H](O)C[C@H]2C1 ZINC000398763587 271219090 /nfs/dbraw/zinc/21/90/90/271219090.db2.gz DBEZNRYPGKNJFG-CIUDSAMLSA-N 0 2 302.318 0.476 20 0 DCADLN C[C@H](NC(=O)c1cc(F)cc(Br)c1O)C(N)=O ZINC000436848081 271612466 /nfs/dbraw/zinc/61/24/66/271612466.db2.gz MYABTLNXBMSPCM-BYPYZUCNSA-N 0 2 305.103 0.897 20 0 DCADLN NC(=O)Nc1ccc(NC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000478622949 272034852 /nfs/dbraw/zinc/03/48/52/272034852.db2.gz DJLMIQUMLKIRIU-UHFFFAOYSA-N 0 2 308.323 0.732 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)Cc1ccccn1 ZINC000493163154 272172455 /nfs/dbraw/zinc/17/24/55/272172455.db2.gz UJZGKFPHKUDJHL-SREVYHEPSA-N 0 2 320.374 0.957 20 0 DCADLN CCONC(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC000494102062 272209050 /nfs/dbraw/zinc/20/90/50/272209050.db2.gz JTSFIEXOTWXIIF-NSHDSACASA-N 0 2 317.386 0.720 20 0 DCADLN C[C@@H](CONC(=O)C1=COCCO1)NC(=O)OC(C)(C)C ZINC000495235751 272242432 /nfs/dbraw/zinc/24/24/32/272242432.db2.gz CNRMCJAQOIFKMD-VIFPVBQESA-N 0 2 302.327 0.836 20 0 DCADLN CCOC(=O)N1CCC(NC(=O)C[N@H+](C)[C@H](C)C(=O)[O-])CC1 ZINC000496728882 272338773 /nfs/dbraw/zinc/33/87/73/272338773.db2.gz YKXFHTQUYUAAEL-SNVBAGLBSA-N 0 2 315.370 0.128 20 0 DCADLN CCOC(=O)N1CCC(NC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])CC1 ZINC000496728882 272338775 /nfs/dbraw/zinc/33/87/75/272338775.db2.gz YKXFHTQUYUAAEL-SNVBAGLBSA-N 0 2 315.370 0.128 20 0 DCADLN O=C(CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)N1CCCC1 ZINC000521381092 272532780 /nfs/dbraw/zinc/53/27/80/272532780.db2.gz SEEPFYVZGQNRNB-UHFFFAOYSA-N 0 2 316.317 0.382 20 0 DCADLN Cc1cc(Br)cn2cc(CN=c3nn[n-]n3C)[nH+]c12 ZINC000546757786 288030367 /nfs/dbraw/zinc/03/03/67/288030367.db2.gz KQZXJVOBVBGLKW-UHFFFAOYSA-N 0 2 322.170 0.963 20 0 DCADLN Cc1[nH][nH]c(=O)c1CCNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000547459178 288048148 /nfs/dbraw/zinc/04/81/48/288048148.db2.gz JHUDUGGXTDMMOE-LBPRGKRZSA-N 0 2 312.333 0.714 20 0 DCADLN CC(C)C[C@@H]1C(=O)NCCN1S(=O)(=O)NCC(F)(F)F ZINC000195465590 279012693 /nfs/dbraw/zinc/01/26/93/279012693.db2.gz JRJWFSRIXRRORK-MRVPVSSYSA-N 0 2 317.333 0.230 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(c3nccn(C4CC4)c3=O)C2)[nH]1 ZINC000550340975 288215324 /nfs/dbraw/zinc/21/53/24/288215324.db2.gz LZQDZHGYOGBCHY-SECBINFHSA-N 0 2 302.338 0.786 20 0 DCADLN O=c1cc(CN2CCOC[C@@H]2[C@@H]2CCCO2)nc2cc[nH]n21 ZINC000552138063 288266074 /nfs/dbraw/zinc/26/60/74/288266074.db2.gz NHPSRIALTBKPJE-OLZOCXBDSA-N 0 2 304.350 0.402 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2cc(C)nn2C)c1 ZINC000555780537 288361988 /nfs/dbraw/zinc/36/19/88/288361988.db2.gz FHZLUTXRUBYSOL-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCO[C@H]2CCC[C@H]21 ZINC000281481618 304594242 /nfs/dbraw/zinc/59/42/42/304594242.db2.gz DDSSXTBKMNVPOY-MNOVXSKESA-N 0 2 316.317 0.830 20 0 DCADLN Cc1cc(C(=O)N2CCOC[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265792967 301103416 /nfs/dbraw/zinc/10/34/16/301103416.db2.gz IYTODDKNHQXQSA-QMMMGPOBSA-N 0 2 304.306 0.605 20 0 DCADLN O=C(N[C@@H]1C[C@H]2OCCC[C@@H]12)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000253586760 303910488 /nfs/dbraw/zinc/91/04/88/303910488.db2.gz ZQODTQYYUSSBTB-JMJZKYOTSA-N 0 2 316.317 0.733 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC[C@@H](CO)[C@@H](O)C1 ZINC000574950975 332863376 /nfs/dbraw/zinc/86/33/76/332863376.db2.gz RPXFSUGJYGPFNL-AAEUAGOBSA-N 0 2 317.345 0.393 20 0 DCADLN Cc1ccc([C@H](C[NH+]2CCOCC2)N=c2nn[n-]n2C)cc1 ZINC000571139111 333669542 /nfs/dbraw/zinc/66/95/42/333669542.db2.gz QCIWWMOWDWXNSR-AWEZNQCLSA-N 0 2 302.382 0.426 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+](CC(=O)[O-])C(C)C)C(=O)OC ZINC000582847913 337210037 /nfs/dbraw/zinc/21/00/37/337210037.db2.gz CFVIGFFXHPYMMQ-GXFFZTMASA-N 0 2 302.371 0.485 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+](CC(=O)[O-])C(C)C)C(=O)OC ZINC000582847913 337210038 /nfs/dbraw/zinc/21/00/38/337210038.db2.gz CFVIGFFXHPYMMQ-GXFFZTMASA-N 0 2 302.371 0.485 20 0 DCADLN CO[C@H](C)c1nc(=NC(=O)NCC[NH+]2CCOCC2)s[n-]1 ZINC000576506397 341849734 /nfs/dbraw/zinc/84/97/34/341849734.db2.gz OSFVWRROALUEBR-SECBINFHSA-N 0 2 315.399 0.121 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(S(=O)(=O)c2cscn2)CC1 ZINC001604114068 1159788002 /nfs/dbraw/zinc/78/80/02/1159788002.db2.gz AIDFCCMLEFSGEL-SECBINFHSA-N 0 2 319.408 0.313 20 0 DCADLN CC1CC(NS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000267610026 520906797 /nfs/dbraw/zinc/90/67/97/520906797.db2.gz HDGPKSLQORCYDD-UHFFFAOYSA-N 0 2 310.335 0.513 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2ccc(OC)nc2)cn1 ZINC000269589515 522114830 /nfs/dbraw/zinc/11/48/30/522114830.db2.gz DADBCEJDJOEIBK-UHFFFAOYSA-N 0 2 312.351 0.734 20 0 DCADLN CC[C@@](C)(O)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266905823 523670463 /nfs/dbraw/zinc/67/04/63/523670463.db2.gz MICNJPLMLHOBDX-CQSZACIVSA-N 0 2 306.322 0.635 20 0 DCADLN CO[C@H](C)CCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266794723 523872829 /nfs/dbraw/zinc/87/28/29/523872829.db2.gz DCIVDJZVQFBLGY-MRVPVSSYSA-N 0 2 306.322 0.899 20 0 DCADLN CNC(=O)NC(=O)[C@@H](C)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000131766200 524077621 /nfs/dbraw/zinc/07/76/21/524077621.db2.gz GGTOVHNKFXAADI-SSDOTTSWSA-N 0 2 323.378 0.261 20 0 DCADLN O=C(CNC(=O)Cc1cccc(F)c1)NOC[C@@H]1CCOC1 ZINC000188018703 526834282 /nfs/dbraw/zinc/83/42/82/526834282.db2.gz CTGDJQCNLIFTSO-GFCCVEGCSA-N 0 2 310.325 0.569 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1ncc(C(N)=O)s1 ZINC000129972401 527657276 /nfs/dbraw/zinc/65/72/76/527657276.db2.gz GJTBVEVOKSLNHS-UHFFFAOYSA-N 0 2 315.380 0.393 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C(=O)Nc1c[nH+]ccc1OC)C(=O)[O-] ZINC000422667748 535957722 /nfs/dbraw/zinc/95/77/22/535957722.db2.gz ZWLKEOKXNQMBQN-KWQFWETISA-N 0 2 309.322 0.644 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N[C@@H](C)c1cccs1 ZINC000049607589 545653155 /nfs/dbraw/zinc/65/31/55/545653155.db2.gz SRJTUQWLLGCBQJ-JTQLQIEISA-N 0 2 300.380 0.958 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@H](C)c1cccs1 ZINC000049607589 545653157 /nfs/dbraw/zinc/65/31/57/545653157.db2.gz SRJTUQWLLGCBQJ-JTQLQIEISA-N 0 2 300.380 0.958 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)c1ccccc1[N-]S(C)(=O)=O ZINC000356781883 546185414 /nfs/dbraw/zinc/18/54/14/546185414.db2.gz PRTWJTGOYJTPDG-UHFFFAOYSA-N 0 2 308.363 0.722 20 0 DCADLN O=C([O-])[C@H]1c2ccccc2CC[N@H+]1CC(=O)N1CCOCC1 ZINC000387196814 546217250 /nfs/dbraw/zinc/21/72/50/546217250.db2.gz ZPKMBIZHDZZTCR-OAHLLOKOSA-N 0 2 304.346 0.529 20 0 DCADLN O=C([O-])[C@H]1c2ccccc2CC[N@@H+]1CC(=O)N1CCOCC1 ZINC000387196814 546217254 /nfs/dbraw/zinc/21/72/54/546217254.db2.gz ZPKMBIZHDZZTCR-OAHLLOKOSA-N 0 2 304.346 0.529 20 0 DCADLN Cc1nc(=NC(=O)NC[C@](C)(CO)Cc2ccccc2)[nH]n1C ZINC000455514808 546263057 /nfs/dbraw/zinc/26/30/57/546263057.db2.gz LCCCYVFLPUMRBE-MRXNPFEDSA-N 0 2 317.393 0.908 20 0 DCADLN CN(C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)[C@H]1CCN(C)C1=O ZINC000584675079 546302609 /nfs/dbraw/zinc/30/26/09/546302609.db2.gz YSAYGGQAKZAXLQ-NSHDSACASA-N 0 2 316.317 0.333 20 0 DCADLN CCCNC(=O)CCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666941152 546533093 /nfs/dbraw/zinc/53/30/93/546533093.db2.gz YOUSVBJUJMKQSZ-UHFFFAOYSA-N 0 2 318.333 0.676 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CC[C@@](F)(CO)C1 ZINC000670288735 547069870 /nfs/dbraw/zinc/06/98/70/547069870.db2.gz FZFHVIUVVDHKPB-AWEZNQCLSA-N 0 2 307.281 0.576 20 0 DCADLN O=c1[nH]nc(CNc2cc(S(=O)(=O)N3CCCC3)ccn2)[nH]1 ZINC000672232836 547333001 /nfs/dbraw/zinc/33/30/01/547333001.db2.gz OAVDECVRTUVUIG-UHFFFAOYSA-N 0 2 324.366 0.302 20 0 DCADLN COc1ccc(CN(C)C(=O)CSc2n[nH]c(=O)[nH]2)cn1 ZINC000673032278 547438777 /nfs/dbraw/zinc/43/87/77/547438777.db2.gz GFKYVSYNISKXBF-UHFFFAOYSA-N 0 2 309.351 0.665 20 0 DCADLN COc1ccc(NS(=O)(=O)c2cn(C)nc2C)c(OC)n1 ZINC000673469920 547482064 /nfs/dbraw/zinc/48/20/64/547482064.db2.gz SBXYKIYFTYEICG-UHFFFAOYSA-N 0 2 312.351 0.942 20 0 DCADLN O=C(CCCNC(=O)C1CC1)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000673493900 547485259 /nfs/dbraw/zinc/48/52/59/547485259.db2.gz JSTSERXFSSBILW-NSHDSACASA-N 0 2 321.381 0.870 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(Nc2ncccn2)c1 ZINC000673949003 547545620 /nfs/dbraw/zinc/54/56/20/547545620.db2.gz IZLOGXVTLBWWFU-UHFFFAOYSA-N 0 2 311.305 0.974 20 0 DCADLN O=C(CCc1ccc2c(c1)OCCO2)NCc1n[nH]c(=O)[nH]1 ZINC000673951584 547546479 /nfs/dbraw/zinc/54/64/79/547546479.db2.gz WJAFBRFKIFMCTB-UHFFFAOYSA-N 0 2 304.306 0.531 20 0 DCADLN Cc1[nH]nc(C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)c1O ZINC000676698214 547804886 /nfs/dbraw/zinc/80/48/86/547804886.db2.gz QSLRBDUUSRFIDK-UHFFFAOYSA-N 0 2 324.362 0.725 20 0 DCADLN O=C(N[C@H]1COc2cc(F)cc(F)c21)c1n[nH]c(=O)[nH]c1=O ZINC000680308581 548129700 /nfs/dbraw/zinc/12/97/00/548129700.db2.gz YONDKGBJISLKBY-LURJTMIESA-N 0 2 310.216 0.425 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccnc(O[C@@H]2CCOC2)c1 ZINC000680397952 548136930 /nfs/dbraw/zinc/13/69/30/548136930.db2.gz FDWRBXNAWPHBNL-SECBINFHSA-N 0 2 305.294 0.003 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(C(=O)NC2CC2)cc1 ZINC000682832880 548429915 /nfs/dbraw/zinc/42/99/15/548429915.db2.gz NCBZLXMXDJLFCT-UHFFFAOYSA-N 0 2 321.362 0.508 20 0 DCADLN O=C(NCc1nnc2n1CCOC2)c1c(O)cc(F)cc1F ZINC000682985300 548444926 /nfs/dbraw/zinc/44/49/26/548444926.db2.gz RIGDSNNGCWRURT-UHFFFAOYSA-N 0 2 310.260 0.722 20 0 DCADLN CN1C(=O)Cc2cc(NC(=O)NCc3n[nH]c(=O)[nH]3)ccc21 ZINC000683951342 548542162 /nfs/dbraw/zinc/54/21/62/548542162.db2.gz XRKMTDQJQRGXBK-UHFFFAOYSA-N 0 2 302.294 0.351 20 0 DCADLN Cn1nc([C@@H]2CCCOC2)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000684122866 548570877 /nfs/dbraw/zinc/57/08/77/548570877.db2.gz JJWPAYONAHYCFS-MRVPVSSYSA-N 0 2 321.341 0.460 20 0 DCADLN O=C(CCCn1cc[nH+]c1)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000684491782 548615539 /nfs/dbraw/zinc/61/55/39/548615539.db2.gz SDVFFUVMWSUUGT-UHFFFAOYSA-N 0 2 313.321 0.983 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(Cc2ncc(C)o2)c1 ZINC000736942055 599163909 /nfs/dbraw/zinc/16/39/09/599163909.db2.gz YLBLVCLOIBDELL-UHFFFAOYSA-N 0 2 316.277 0.160 20 0 DCADLN O=C([O-])CSCC(=O)N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000157618941 597095143 /nfs/dbraw/zinc/09/51/43/597095143.db2.gz XRLQFZDSDOAEBV-NSHDSACASA-N 0 2 302.396 0.127 20 0 DCADLN CC[C@@H]1CN(C(=O)CSCC(=O)[O-])C[C@H]1[NH+]1CCOCC1 ZINC000739267908 597127520 /nfs/dbraw/zinc/12/75/20/597127520.db2.gz REWHBDRBVZEVRD-VXGBXAGGSA-N 0 2 316.423 0.373 20 0 DCADLN C[C@H](c1cccnc1)[NH+]1CCN(C(=O)NCCC(=O)[O-])CC1 ZINC000818071453 597227116 /nfs/dbraw/zinc/22/71/16/597227116.db2.gz RQZKMAMLSDPICW-GFCCVEGCSA-N 0 2 306.366 0.945 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](C[C@H]2CN(c3ccccc3)C(=O)O2)CCO1 ZINC000738549157 597397644 /nfs/dbraw/zinc/39/76/44/597397644.db2.gz QPZNHXQHDZZEQH-QWHCGFSZSA-N 0 2 306.318 0.797 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](C[C@H]2CN(c3ccccc3)C(=O)O2)CCO1 ZINC000738549157 597397647 /nfs/dbraw/zinc/39/76/47/597397647.db2.gz QPZNHXQHDZZEQH-QWHCGFSZSA-N 0 2 306.318 0.797 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+]([C@H]2CCCN(Cc3ccccc3)C2=O)CCO1 ZINC000821512457 597459749 /nfs/dbraw/zinc/45/97/49/597459749.db2.gz ISIKRZJADCDWGW-LSDHHAIUSA-N 0 2 318.373 0.963 20 0 DCADLN O=C([O-])[C@H]1C[N@H+]([C@H]2CCCN(Cc3ccccc3)C2=O)CCO1 ZINC000821512457 597459755 /nfs/dbraw/zinc/45/97/55/597459755.db2.gz ISIKRZJADCDWGW-LSDHHAIUSA-N 0 2 318.373 0.963 20 0 DCADLN CCOC(=O)CN1CCCN(c2cc(C(=O)[O-])cc[nH+]2)CC1 ZINC000820364584 598219897 /nfs/dbraw/zinc/21/98/97/598219897.db2.gz OGNRPSCMEUKFHF-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cccc(-c3nn[nH]n3)c2)n(C)n1 ZINC000737279478 598438466 /nfs/dbraw/zinc/43/84/66/598438466.db2.gz DDIGRRLAFDKPEQ-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN CCc1nn(Cc2ccccn2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706088 598641777 /nfs/dbraw/zinc/64/17/77/598641777.db2.gz GULDUGVTIVCTPP-UHFFFAOYSA-N 0 2 311.349 0.992 20 0 DCADLN COC(=O)/C(C)=C/Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC000821639233 599342520 /nfs/dbraw/zinc/34/25/20/599342520.db2.gz SQFBDWABQGOWEI-FNORWQNLSA-N 0 2 304.310 0.160 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1nc(-c2ccccn2)no1 ZINC000823657017 599694497 /nfs/dbraw/zinc/69/44/97/599694497.db2.gz LTZHCLCTZUEYLU-UHFFFAOYSA-N 0 2 322.288 0.522 20 0 DCADLN C[C@@H](NC(=O)c1cccc(C(=O)[O-])n1)[C@H](C)[NH+]1CCOCC1 ZINC000736659023 599775528 /nfs/dbraw/zinc/77/55/28/599775528.db2.gz OLOGHFUGJGVTSS-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN CC(C)Nc1ncnc2c1ncn2C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000736484239 599778538 /nfs/dbraw/zinc/77/85/38/599778538.db2.gz XHEUNCWFZRDCJO-SNVBAGLBSA-N 0 2 320.353 0.390 20 0 DCADLN CC(C)Nc1ncnc2c1ncn2C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000736484239 599778540 /nfs/dbraw/zinc/77/85/40/599778540.db2.gz XHEUNCWFZRDCJO-SNVBAGLBSA-N 0 2 320.353 0.390 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)NCCCCC(=O)[O-])CCO1 ZINC000736736121 599792513 /nfs/dbraw/zinc/79/25/13/599792513.db2.gz WRKIBHQDTZORDX-UHFFFAOYSA-N 0 2 301.387 0.651 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)NCCCCC(=O)[O-])CCO1 ZINC000736736121 599792516 /nfs/dbraw/zinc/79/25/16/599792516.db2.gz WRKIBHQDTZORDX-UHFFFAOYSA-N 0 2 301.387 0.651 20 0 DCADLN CN(Cc1ccc(C(=O)[O-])cc1)C(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000320825464 599805979 /nfs/dbraw/zinc/80/59/79/599805979.db2.gz TWAZCIOPUVTFPI-AWEZNQCLSA-N 0 2 321.377 0.857 20 0 DCADLN CN(Cc1ccc(C(=O)[O-])cc1)C(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000320825464 599805981 /nfs/dbraw/zinc/80/59/81/599805981.db2.gz TWAZCIOPUVTFPI-AWEZNQCLSA-N 0 2 321.377 0.857 20 0 DCADLN COc1ccc([C@H]([NH2+]CC(=O)N2CCO[C@@H](C)C2)C(=O)[O-])cc1 ZINC000737974289 599863406 /nfs/dbraw/zinc/86/34/06/599863406.db2.gz UKIUMHLNEOVGQX-NHYWBVRUSA-N 0 2 322.361 0.658 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CCc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000738549107 599965616 /nfs/dbraw/zinc/96/56/16/599965616.db2.gz QCRUIUCQURBGMT-LBPRGKRZSA-N 0 2 303.318 0.663 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CCc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000738549107 599965619 /nfs/dbraw/zinc/96/56/19/599965619.db2.gz QCRUIUCQURBGMT-LBPRGKRZSA-N 0 2 303.318 0.663 20 0 DCADLN COc1ccc(OC)c([C@H](O)C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)c1 ZINC000738047338 599968078 /nfs/dbraw/zinc/96/80/78/599968078.db2.gz DFQZKFCOGLJKHR-TZMCWYRMSA-N 0 2 311.334 0.523 20 0 DCADLN COc1ccc(OC)c([C@H](O)C[N@H+]2CCO[C@@H](C(=O)[O-])C2)c1 ZINC000738047338 599968080 /nfs/dbraw/zinc/96/80/80/599968080.db2.gz DFQZKFCOGLJKHR-TZMCWYRMSA-N 0 2 311.334 0.523 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1CCOc1cccc(Cl)c1 ZINC000071244090 599982369 /nfs/dbraw/zinc/98/23/69/599982369.db2.gz ZRZIIKIAVZPOLL-GFCCVEGCSA-N 0 2 312.753 0.994 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1CCOc1cccc(Cl)c1 ZINC000071244090 599982371 /nfs/dbraw/zinc/98/23/71/599982371.db2.gz ZRZIIKIAVZPOLL-GFCCVEGCSA-N 0 2 312.753 0.994 20 0 DCADLN O=C([O-])[C@H]1CC[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000739597852 600024920 /nfs/dbraw/zinc/02/49/20/600024920.db2.gz BVGIKCSLVLFEJD-VIFPVBQESA-N 0 2 309.347 0.415 20 0 DCADLN O=C([O-])[C@H]1CC[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000739597852 600024924 /nfs/dbraw/zinc/02/49/24/600024924.db2.gz BVGIKCSLVLFEJD-VIFPVBQESA-N 0 2 309.347 0.415 20 0 DCADLN O=C([O-])[C@@H]1CC[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000739597851 600025183 /nfs/dbraw/zinc/02/51/83/600025183.db2.gz BVGIKCSLVLFEJD-SECBINFHSA-N 0 2 309.347 0.415 20 0 DCADLN O=C([O-])[C@@H]1CC[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000739597851 600025186 /nfs/dbraw/zinc/02/51/86/600025186.db2.gz BVGIKCSLVLFEJD-SECBINFHSA-N 0 2 309.347 0.415 20 0 DCADLN CC(=O)c1cccc(OC[C@H](O)C[N@@H+]2C[C@H](O)C[C@H]2C(=O)[O-])c1 ZINC000736196928 600230889 /nfs/dbraw/zinc/23/08/89/600230889.db2.gz QJVLTOWIMWOFHM-NFAWXSAZSA-N 0 2 323.345 0.149 20 0 DCADLN CC(=O)c1cccc(OC[C@H](O)C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])c1 ZINC000736196928 600230890 /nfs/dbraw/zinc/23/08/90/600230890.db2.gz QJVLTOWIMWOFHM-NFAWXSAZSA-N 0 2 323.345 0.149 20 0 DCADLN CCc1nc([C@@H]2CCCC[N@@H+]2CCC(=O)NCC(=O)[O-])no1 ZINC000737281143 600291555 /nfs/dbraw/zinc/29/15/55/600291555.db2.gz GNXPTUULRLMTCY-JTQLQIEISA-N 0 2 310.354 0.750 20 0 DCADLN CCc1nc([C@@H]2CCCC[N@H+]2CCC(=O)NCC(=O)[O-])no1 ZINC000737281143 600291557 /nfs/dbraw/zinc/29/15/57/600291557.db2.gz GNXPTUULRLMTCY-JTQLQIEISA-N 0 2 310.354 0.750 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)c[nH]1 ZINC000833301875 600739293 /nfs/dbraw/zinc/73/92/93/600739293.db2.gz NMOCNYVHHSZOLU-JTQLQIEISA-N 0 2 324.362 0.935 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000736646995 600746394 /nfs/dbraw/zinc/74/63/94/600746394.db2.gz ZRKLALHTIMWBFX-AVGNSLFASA-N 0 2 313.398 0.602 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N2C[C@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000830960590 600881475 /nfs/dbraw/zinc/88/14/75/600881475.db2.gz SWIZYEOLYGGMQO-CHWSQXEVSA-N 0 2 306.318 0.196 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2C[C@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000830960590 600881476 /nfs/dbraw/zinc/88/14/76/600881476.db2.gz SWIZYEOLYGGMQO-CHWSQXEVSA-N 0 2 306.318 0.196 20 0 DCADLN CCc1ccc(N2CC[NH+]([C@@H](C)C(=O)NCC(=O)[O-])CC2)cc1 ZINC000830253529 601039168 /nfs/dbraw/zinc/03/91/68/601039168.db2.gz DHVGKEPMQDMQQL-ZDUSSCGKSA-N 0 2 319.405 0.960 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN(Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000819901813 601044668 /nfs/dbraw/zinc/04/46/68/601044668.db2.gz VPFKMJDDPQPPFG-UHFFFAOYSA-N 0 2 305.378 0.766 20 0 DCADLN C/C(=C/C[N@H+](C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000825729870 601165135 /nfs/dbraw/zinc/16/51/35/601165135.db2.gz NNQIQCDHYXVSMC-WIGWWYOCSA-N 0 2 317.407 0.685 20 0 DCADLN C/C(=C/C[N@@H+](C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000825729870 601165138 /nfs/dbraw/zinc/16/51/38/601165138.db2.gz NNQIQCDHYXVSMC-WIGWWYOCSA-N 0 2 317.407 0.685 20 0 DCADLN COc1cccc(CNC(=O)C[N@@H+]2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000832553597 601446142 /nfs/dbraw/zinc/44/61/42/601446142.db2.gz AAGAAMXIPKBGEW-OAHLLOKOSA-N 0 2 310.325 0.810 20 0 DCADLN COc1cccc(CNC(=O)C[N@H+]2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000832553597 601446143 /nfs/dbraw/zinc/44/61/43/601446143.db2.gz AAGAAMXIPKBGEW-OAHLLOKOSA-N 0 2 310.325 0.810 20 0 DCADLN CN(C)C(=O)[C@@H](c1ccccc1)[N@@H+]1CCO[C@@H](CC(=O)[O-])C1 ZINC000830542979 601458634 /nfs/dbraw/zinc/45/86/34/601458634.db2.gz STMCZUZXAVNREJ-DZGCQCFKSA-N 0 2 306.362 0.991 20 0 DCADLN CN(C)C(=O)[C@@H](c1ccccc1)[N@H+]1CCO[C@@H](CC(=O)[O-])C1 ZINC000830542979 601458635 /nfs/dbraw/zinc/45/86/35/601458635.db2.gz STMCZUZXAVNREJ-DZGCQCFKSA-N 0 2 306.362 0.991 20 0 DCADLN CCOC(=O)C1CCN(C(=O)C[N@H+](CC(=O)[O-])C2CC2)CC1 ZINC000829837591 601465203 /nfs/dbraw/zinc/46/52/03/601465203.db2.gz JQRKTQGYSLLRSL-UHFFFAOYSA-N 0 2 312.366 0.337 20 0 DCADLN CCOC(=O)C1CCN(C(=O)C[N@@H+](CC(=O)[O-])C2CC2)CC1 ZINC000829837591 601465205 /nfs/dbraw/zinc/46/52/05/601465205.db2.gz JQRKTQGYSLLRSL-UHFFFAOYSA-N 0 2 312.366 0.337 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2ccc(C(=O)[O-])s2)CC1 ZINC000832731034 601960448 /nfs/dbraw/zinc/96/04/48/601960448.db2.gz WDANAAMRQRVNLQ-FQEVSTJZSA-N 0 2 316.448 0.942 20 0 DCADLN C[C@@H](CNC(=O)Nc1cc(C(=O)[O-])n(C)c1)[NH+]1CCOCC1 ZINC000827487262 602357950 /nfs/dbraw/zinc/35/79/50/602357950.db2.gz ZDJGBXUBPQLQPF-JTQLQIEISA-N 0 2 310.354 0.566 20 0 DCADLN C[C@@H](NC(=O)Nc1cc(C(=O)[O-])n(C)c1)[C@H](C)[NH+]1CCOCC1 ZINC000827671460 602373396 /nfs/dbraw/zinc/37/33/96/602373396.db2.gz IEPZTFZERNYMSC-MNOVXSKESA-N 0 2 324.381 0.954 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000736367258 602521257 /nfs/dbraw/zinc/52/12/57/602521257.db2.gz ZMMJPFKEDUXQAR-WOPDTQHZSA-N 0 2 301.387 0.504 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000736584644 603249386 /nfs/dbraw/zinc/24/93/86/603249386.db2.gz FKDFVEGELWQAJK-NWDGAFQWSA-N 0 2 314.386 0.149 20 0 DCADLN COCc1ccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000829041122 603504910 /nfs/dbraw/zinc/50/49/10/603504910.db2.gz WELMQMDSDKDZCW-CQSZACIVSA-N 0 2 321.377 0.857 20 0 DCADLN COCc1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000829041122 603504912 /nfs/dbraw/zinc/50/49/12/603504912.db2.gz WELMQMDSDKDZCW-CQSZACIVSA-N 0 2 321.377 0.857 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(F)cc2F)[C@H](CNC(=O)[O-])C1 ZINC000828513985 603517115 /nfs/dbraw/zinc/51/71/15/603517115.db2.gz SPHWYMVOGOODCG-SNVBAGLBSA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(F)cc2F)[C@H](CNC(=O)[O-])C1 ZINC000828513985 603517116 /nfs/dbraw/zinc/51/71/16/603517116.db2.gz SPHWYMVOGOODCG-SNVBAGLBSA-N 0 2 313.304 0.989 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(F)cc2F)[C@@H](CNC(=O)[O-])C1 ZINC000828513984 603517368 /nfs/dbraw/zinc/51/73/68/603517368.db2.gz SPHWYMVOGOODCG-JTQLQIEISA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(F)cc2F)[C@@H](CNC(=O)[O-])C1 ZINC000828513984 603517371 /nfs/dbraw/zinc/51/73/71/603517371.db2.gz SPHWYMVOGOODCG-JTQLQIEISA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+](CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000828345673 603597283 /nfs/dbraw/zinc/59/72/83/603597283.db2.gz GTRCHLPUADFXCC-SDDRHHMPSA-N 0 2 307.350 0.579 20 0 DCADLN C[N@@H+](CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000828345673 603597284 /nfs/dbraw/zinc/59/72/84/603597284.db2.gz GTRCHLPUADFXCC-SDDRHHMPSA-N 0 2 307.350 0.579 20 0 DCADLN CN(C[C@H]1CCC[N@@H+]1CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)[O-] ZINC000828223007 603610442 /nfs/dbraw/zinc/61/04/42/603610442.db2.gz GSVVNFJPLITVLL-ABAIWWIYSA-N 0 2 324.381 0.739 20 0 DCADLN CN(C[C@H]1CCC[N@H+]1CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)[O-] ZINC000828223007 603610447 /nfs/dbraw/zinc/61/04/47/603610447.db2.gz GSVVNFJPLITVLL-ABAIWWIYSA-N 0 2 324.381 0.739 20 0 DCADLN CC(C)C[C@H](CNC(=O)[O-])NC(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000824197303 603632986 /nfs/dbraw/zinc/63/29/86/603632986.db2.gz VKBDGJXPWBUCGR-NEPJUHHUSA-N 0 2 316.402 0.299 20 0 DCADLN CC(C)C[C@H](CNC(=O)[O-])NC(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000824197303 603632988 /nfs/dbraw/zinc/63/29/88/603632988.db2.gz VKBDGJXPWBUCGR-NEPJUHHUSA-N 0 2 316.402 0.299 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000826094294 603647642 /nfs/dbraw/zinc/64/76/42/603647642.db2.gz VYDXNAGOJCZLAR-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000826094294 603647650 /nfs/dbraw/zinc/64/76/50/603647650.db2.gz VYDXNAGOJCZLAR-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN CO[C@@H](C)CCNC(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000828823804 603737610 /nfs/dbraw/zinc/73/76/10/603737610.db2.gz IULPHWVFHDQUDE-NSHDSACASA-N 0 2 301.387 0.602 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000831274517 603742986 /nfs/dbraw/zinc/74/29/86/603742986.db2.gz XDBVCWXCXYIFJU-DCAQKATOSA-N 0 2 308.338 0.229 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)CC1(O)CCCC1 ZINC000828311906 603753723 /nfs/dbraw/zinc/75/37/23/603753723.db2.gz DOBUDQAIQNXLQS-UHFFFAOYSA-N 0 2 313.398 0.436 20 0 DCADLN COCCC(C)(C)NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000828933308 603761216 /nfs/dbraw/zinc/76/12/16/603761216.db2.gz ATDZCNMGXHQNDA-UHFFFAOYSA-N 0 2 316.402 0.396 20 0 DCADLN Cc1cc(NC(=O)C(C)(C)N2CCN(C(=O)[O-])CC2)cc[nH+]1 ZINC000830089978 603788591 /nfs/dbraw/zinc/78/85/91/603788591.db2.gz PNQDYPHRPWMIBI-UHFFFAOYSA-N 0 2 306.366 0.825 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)COC1CN(C(=O)[O-])C1)C2 ZINC000830799397 604014828 /nfs/dbraw/zinc/01/48/28/604014828.db2.gz NLOSZRVBQJDWPI-UHFFFAOYSA-N 0 2 308.338 0.221 20 0 DCADLN CC(C)(CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1)NC(=O)[O-] ZINC000823936625 604082284 /nfs/dbraw/zinc/08/22/84/604082284.db2.gz KVOMTTANOYCIFL-UHFFFAOYSA-N 0 2 316.402 0.443 20 0 DCADLN CC(C)(CNC(=O)NCC[N@H+]1CCOC(C)(C)C1)NC(=O)[O-] ZINC000823936625 604082288 /nfs/dbraw/zinc/08/22/88/604082288.db2.gz KVOMTTANOYCIFL-UHFFFAOYSA-N 0 2 316.402 0.443 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCOC[C@H]2C)CCN1C(=O)[O-] ZINC000826093849 604112168 /nfs/dbraw/zinc/11/21/68/604112168.db2.gz MVNIGIQOUGRUIT-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCOC[C@H]2C)CCN1C(=O)[O-] ZINC000826093849 604112173 /nfs/dbraw/zinc/11/21/73/604112173.db2.gz MVNIGIQOUGRUIT-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)C1(O)CCCCC1 ZINC000828313483 604153358 /nfs/dbraw/zinc/15/33/58/604153358.db2.gz ZFDKHWZBJMALLI-UHFFFAOYSA-N 0 2 313.398 0.436 20 0 DCADLN O=C([O-])N[C@@H](C(=O)N1CC[NH+](CCO)CC1)c1ccccc1 ZINC000832752334 604160478 /nfs/dbraw/zinc/16/04/78/604160478.db2.gz QLIKNSHGMFGVSB-CYBMUJFWSA-N 0 2 307.350 0.132 20 0 DCADLN C[C@@H](CNC(=O)CC1(NC(=O)[O-])CCC1)[NH+]1CCN(C)CC1 ZINC000824918716 604170261 /nfs/dbraw/zinc/17/02/61/604170261.db2.gz ZMIATCDXJZGNMT-LBPRGKRZSA-N 0 2 312.414 0.319 20 0 DCADLN C[C@]1(CNC(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)CCCO1 ZINC000825749216 604172911 /nfs/dbraw/zinc/17/29/11/604172911.db2.gz LMQYYXKKSSCKMH-CQSZACIVSA-N 0 2 314.386 0.150 20 0 DCADLN O=C([O-])N1CCC[C@@H](C(=O)N[C@@H]2CCC[N@H+](CCF)C2)C1 ZINC000831593095 604318010 /nfs/dbraw/zinc/31/80/10/604318010.db2.gz VAQPABCENXSSGF-VXGBXAGGSA-N 0 2 301.362 0.927 20 0 DCADLN O=C([O-])N1CCC[C@@H](C(=O)N[C@@H]2CCC[N@@H+](CCF)C2)C1 ZINC000831593095 604318014 /nfs/dbraw/zinc/31/80/14/604318014.db2.gz VAQPABCENXSSGF-VXGBXAGGSA-N 0 2 301.362 0.927 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H](O)c2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828487661 604394186 /nfs/dbraw/zinc/39/41/86/604394186.db2.gz JMEGYMLLXGSKGQ-QWHCGFSZSA-N 0 2 307.350 0.130 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H](O)c2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828487661 604394190 /nfs/dbraw/zinc/39/41/90/604394190.db2.gz JMEGYMLLXGSKGQ-QWHCGFSZSA-N 0 2 307.350 0.130 20 0 DCADLN C[C@H](C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])c1cccnc1 ZINC000823670078 604395627 /nfs/dbraw/zinc/39/56/27/604395627.db2.gz RQRZXNNHDFNDMG-WCQYABFASA-N 0 2 306.366 0.595 20 0 DCADLN C[C@H](C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])c1cccnc1 ZINC000823670078 604395631 /nfs/dbraw/zinc/39/56/31/604395631.db2.gz RQRZXNNHDFNDMG-WCQYABFASA-N 0 2 306.366 0.595 20 0 DCADLN Cc1cc(C)n(CCC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000830016851 604399008 /nfs/dbraw/zinc/39/90/08/604399008.db2.gz JUGWISCOVHJSBB-CYBMUJFWSA-N 0 2 323.397 0.300 20 0 DCADLN Cc1cc(C)n(CCC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])n1 ZINC000830016851 604399011 /nfs/dbraw/zinc/39/90/11/604399011.db2.gz JUGWISCOVHJSBB-CYBMUJFWSA-N 0 2 323.397 0.300 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccnc(Cl)c2)[C@@H](CNC(=O)[O-])C1 ZINC000828512989 604410638 /nfs/dbraw/zinc/41/06/38/604410638.db2.gz DQBVHYWWCVUFIK-JTQLQIEISA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccnc(Cl)c2)[C@@H](CNC(=O)[O-])C1 ZINC000828512989 604410639 /nfs/dbraw/zinc/41/06/39/604410639.db2.gz DQBVHYWWCVUFIK-JTQLQIEISA-N 0 2 312.757 0.759 20 0 DCADLN CNC(=O)c1ccc(C[N@@H+]2CCO[C@@H]([C@H](C)NC(=O)[O-])C2)cc1 ZINC000828562756 604632328 /nfs/dbraw/zinc/63/23/28/604632328.db2.gz XHDYVVGELXVMCT-SMDDNHRTSA-N 0 2 321.377 0.903 20 0 DCADLN CNC(=O)c1ccc(C[N@H+]2CCO[C@@H]([C@H](C)NC(=O)[O-])C2)cc1 ZINC000828562756 604632332 /nfs/dbraw/zinc/63/23/32/604632332.db2.gz XHDYVVGELXVMCT-SMDDNHRTSA-N 0 2 321.377 0.903 20 0 DCADLN C[N@H+](CC(=O)Nc1ccsc1C(=O)[O-])CC(C)(CO)CO ZINC000830683663 604648942 /nfs/dbraw/zinc/64/89/42/604648942.db2.gz BPVVPWPJSMASIG-UHFFFAOYSA-N 0 2 316.379 0.308 20 0 DCADLN C[N@@H+](CC(=O)Nc1ccsc1C(=O)[O-])CC(C)(CO)CO ZINC000830683663 604648944 /nfs/dbraw/zinc/64/89/44/604648944.db2.gz BPVVPWPJSMASIG-UHFFFAOYSA-N 0 2 316.379 0.308 20 0 DCADLN O=C([O-])N1CCO[C@H](CC(=O)N2CCC(n3cc[nH+]c3)CC2)C1 ZINC000832061207 604794182 /nfs/dbraw/zinc/79/41/82/604794182.db2.gz WRTIMVBFNMWJGB-CYBMUJFWSA-N 0 2 322.365 0.816 20 0 DCADLN COCCN(CC(=O)NCc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC000828976180 604873898 /nfs/dbraw/zinc/87/38/98/604873898.db2.gz JSRBLOWZHVKIHW-UHFFFAOYSA-N 0 2 306.322 0.577 20 0 DCADLN O=C([O-])N[C@@H]1CCN(C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000832376119 604904114 /nfs/dbraw/zinc/90/41/14/604904114.db2.gz QLPFCKTYKYOYRN-LLVKDONJSA-N 0 2 303.322 0.886 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N[C@@H]2CCc3[nH+]ccn3C2)c[nH]1 ZINC000833780440 604946525 /nfs/dbraw/zinc/94/65/25/604946525.db2.gz SKUPMRZWZXPISI-MRVPVSSYSA-N 0 2 310.335 0.203 20 0 DCADLN C[C@H](C(=O)Nc1nccs1)[NH+]1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833411981 604982100 /nfs/dbraw/zinc/98/21/00/604982100.db2.gz RQXYNELYHJOWLF-SCZZXKLOSA-N 0 2 313.379 0.628 20 0 DCADLN CN(CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1ccccc1 ZINC000833691657 605082362 /nfs/dbraw/zinc/08/23/62/605082362.db2.gz FRRWRXSMSAGZTB-ZDUSSCGKSA-N 0 2 302.334 0.658 20 0 DCADLN CN(CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1ccccc1 ZINC000833691657 605082368 /nfs/dbraw/zinc/08/23/68/605082368.db2.gz FRRWRXSMSAGZTB-ZDUSSCGKSA-N 0 2 302.334 0.658 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](CN2CC[NH+](CC[S@@](C)=O)CC2)O1 ZINC000833580785 605363239 /nfs/dbraw/zinc/36/32/39/605363239.db2.gz SSGKSQRQAVKLLE-LAJNKCICSA-N 0 2 316.423 0.130 20 0 DCADLN CC(C)n1nccc1NC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824534380 605451074 /nfs/dbraw/zinc/45/10/74/605451074.db2.gz JFVUHUSRVJRDTE-LLVKDONJSA-N 0 2 324.385 0.880 20 0 DCADLN CC(C)n1nccc1NC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824534380 605451077 /nfs/dbraw/zinc/45/10/77/605451077.db2.gz JFVUHUSRVJRDTE-LLVKDONJSA-N 0 2 324.385 0.880 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000824292351 605633866 /nfs/dbraw/zinc/63/38/66/605633866.db2.gz UVHKNRDMVJXPPB-NEPJUHHUSA-N 0 2 301.387 0.506 20 0 DCADLN O=C([O-])N1CC[C@@H](CCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000834037643 605759415 /nfs/dbraw/zinc/75/94/15/605759415.db2.gz LVNUQSPZBWYPIK-VXGBXAGGSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CCC(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC000834031860 605841917 /nfs/dbraw/zinc/84/19/17/605841917.db2.gz QWZRRFFECDOYIZ-LBPRGKRZSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CCOC[C@@H]1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000834016525 605975399 /nfs/dbraw/zinc/97/53/99/605975399.db2.gz DAKCXJGXAPTQBH-VXGBXAGGSA-N 0 2 320.349 0.155 20 0 DCADLN O=C([O-])N1CCOC[C@H]1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000834016513 605975676 /nfs/dbraw/zinc/97/56/76/605975676.db2.gz DAKCXJGXAPTQBH-NEPJUHHUSA-N 0 2 320.349 0.155 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)COC2CN(C(=O)[O-])C2)C1 ZINC000833953920 606083297 /nfs/dbraw/zinc/08/32/97/606083297.db2.gz FDDAGRVKUDPVPK-JTQLQIEISA-N 0 2 308.338 0.115 20 0 DCADLN CC(C)(C)c1nc(-c2nn[nH]n2)c(NNC2=[NH+]C=C([O-])C2)o1 ZINC000819966083 606165423 /nfs/dbraw/zinc/16/54/23/606165423.db2.gz VXXGQLIZTJOXMZ-UHFFFAOYSA-N 0 2 304.314 0.923 20 0 DCADLN CC[C@H](C)CN(CC)c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820870564 606241178 /nfs/dbraw/zinc/24/11/78/606241178.db2.gz VHJCUYRFRNVPHI-VIFPVBQESA-N 0 2 321.385 0.137 20 0 DCADLN Cc1nn(CCC2OCCCO2)c(=O)c(-c2nn[nH]n2)c1C ZINC000822500898 606638134 /nfs/dbraw/zinc/63/81/34/606638134.db2.gz LIUGOOPLADVRII-UHFFFAOYSA-N 0 2 306.326 0.193 20 0 DCADLN CCOC(=O)/C=C/Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC000821325783 606703801 /nfs/dbraw/zinc/70/38/01/606703801.db2.gz MWYYDKPZDOZAEB-AATRIKPKSA-N 0 2 304.310 0.160 20 0 DCADLN Cc1nc2cc(NC(=O)Cn3cnc(-c4nn[nH]n4)n3)ccc2[nH]1 ZINC000822458934 607205937 /nfs/dbraw/zinc/20/59/37/607205937.db2.gz JOCZSBTYJKLIRT-UHFFFAOYSA-N 0 2 324.308 0.282 20 0 DCADLN Cc1nc(C)c(-c2nn[nH]n2)c(SCc2n[nH]c(=O)[nH]2)n1 ZINC000826324141 608669570 /nfs/dbraw/zinc/66/95/70/608669570.db2.gz GDMBLRBVQMORSP-UHFFFAOYSA-N 0 2 305.327 0.390 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC000977996954 660468889 /nfs/dbraw/zinc/46/88/89/660468889.db2.gz VSKUNLDBQFFLEU-XPUUQOCRSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC000977996954 660468890 /nfs/dbraw/zinc/46/88/90/660468890.db2.gz VSKUNLDBQFFLEU-XPUUQOCRSA-N 0 2 323.250 0.378 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)cn1 ZINC001027757626 660700504 /nfs/dbraw/zinc/70/05/04/660700504.db2.gz GBKHUZRYQOQEPD-GFCCVEGCSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)cn1 ZINC001027757626 660700506 /nfs/dbraw/zinc/70/05/06/660700506.db2.gz GBKHUZRYQOQEPD-GFCCVEGCSA-N 0 2 316.365 0.608 20 0 DCADLN C[C@@H]1C[C@H](C[NH2+]Cc2cnsn2)CN1C(=O)Cc1ccn[nH]1 ZINC000980460295 660944814 /nfs/dbraw/zinc/94/48/14/660944814.db2.gz HWTHYQWPZZEPMA-GHMZBOCLSA-N 0 2 320.422 0.831 20 0 DCADLN C[C@H]1OCCC[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981053256 661067345 /nfs/dbraw/zinc/06/73/45/661067345.db2.gz YAJVTPCYUPDLOE-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CC[C@H](C)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981627062 661182800 /nfs/dbraw/zinc/18/28/00/661182800.db2.gz BEYDPWAWHHJXDS-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN CC[C@H](C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981627062 661182802 /nfs/dbraw/zinc/18/28/02/661182802.db2.gz BEYDPWAWHHJXDS-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001010363045 661247173 /nfs/dbraw/zinc/24/71/73/661247173.db2.gz XDYAJIPJVABLSZ-QMMMGPOBSA-N 0 2 308.367 0.280 20 0 DCADLN CC[C@H](F)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981937150 661249495 /nfs/dbraw/zinc/24/94/95/661249495.db2.gz GITUDEYXEUDHPM-JTQLQIEISA-N 0 2 311.361 0.683 20 0 DCADLN CC[C@H](F)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981937150 661249496 /nfs/dbraw/zinc/24/94/96/661249496.db2.gz GITUDEYXEUDHPM-JTQLQIEISA-N 0 2 311.361 0.683 20 0 DCADLN O=C([C@H]1CC12CC2)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000982037648 661282113 /nfs/dbraw/zinc/28/21/13/661282113.db2.gz OIXHRIWZCZVFRJ-LLVKDONJSA-N 0 2 317.393 0.735 20 0 DCADLN O=C([C@H]1CC12CC2)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000982037648 661282111 /nfs/dbraw/zinc/28/21/11/661282111.db2.gz OIXHRIWZCZVFRJ-LLVKDONJSA-N 0 2 317.393 0.735 20 0 DCADLN C[C@@]1(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)CCOC1 ZINC000998430150 665454006 /nfs/dbraw/zinc/45/40/06/665454006.db2.gz UJOHRNBBPWBBNR-LDYMZIIASA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@]1(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)CCOC1 ZINC000998430150 665454007 /nfs/dbraw/zinc/45/40/07/665454007.db2.gz UJOHRNBBPWBBNR-LDYMZIIASA-N 0 2 312.263 0.640 20 0 DCADLN CCc1ncoc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970394466 657468440 /nfs/dbraw/zinc/46/84/40/657468440.db2.gz HGOFASAYJOTUBI-QMMMGPOBSA-N 0 2 320.353 0.311 20 0 DCADLN Cc1oncc1C[NH2+]C1CC(N(C)C(=O)[C@@H]2C[N@H+](C)CCO2)C1 ZINC000998584979 665485138 /nfs/dbraw/zinc/48/51/38/665485138.db2.gz IOGZFFXSRHHMGA-NRXISQOPSA-N 0 2 322.409 0.393 20 0 DCADLN CN(CC[N@H+](C)CCS(=O)(=O)CC(=O)[O-])c1ccccc1 ZINC000262303359 657513532 /nfs/dbraw/zinc/51/35/32/657513532.db2.gz LLWZWEDJCBKWNT-UHFFFAOYSA-N 0 2 314.407 0.554 20 0 DCADLN CN(CC[N@@H+](C)CCS(=O)(=O)CC(=O)[O-])c1ccccc1 ZINC000262303359 657513536 /nfs/dbraw/zinc/51/35/36/657513536.db2.gz LLWZWEDJCBKWNT-UHFFFAOYSA-N 0 2 314.407 0.554 20 0 DCADLN CC1(C)C(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1(C)C ZINC001038142416 657522135 /nfs/dbraw/zinc/52/21/35/657522135.db2.gz ZUWLFVCAZWIYIX-VIFPVBQESA-N 0 2 307.398 0.883 20 0 DCADLN Cc1c(C(=O)NNC(=O)C(=O)NC(C)C)oc2c1C(=O)CCC2 ZINC000049602640 657557487 /nfs/dbraw/zinc/55/74/87/657557487.db2.gz MNBBQZRZVKIWET-UHFFFAOYSA-N 0 2 321.333 0.393 20 0 DCADLN Cc1nnc(C[NH2+]C2CC(N(C)C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC000998636716 665495051 /nfs/dbraw/zinc/49/50/51/665495051.db2.gz CJTRHAXNYRAHSN-UHFFFAOYSA-N 0 2 319.369 0.243 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CC12CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970505566 657582881 /nfs/dbraw/zinc/58/28/81/657582881.db2.gz DFTLIKFOSWEQJK-MWLCHTKSSA-N 0 2 305.382 0.637 20 0 DCADLN CCS(=O)(=O)N1CCN(C(=O)C(F)C(F)(F)F)[C@H](C)C1 ZINC000811527076 657632284 /nfs/dbraw/zinc/63/22/84/657632284.db2.gz IOBQTIVKAJYESU-SFYZADRCSA-N 0 2 320.308 0.769 20 0 DCADLN CCS(=O)(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)[C@H](C)C1 ZINC000811527076 657632292 /nfs/dbraw/zinc/63/22/92/657632292.db2.gz IOBQTIVKAJYESU-SFYZADRCSA-N 0 2 320.308 0.769 20 0 DCADLN CN(C(=O)Cc1c[nH+]c[nH]1)[C@H]1CCN(C(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC000936911851 657694567 /nfs/dbraw/zinc/69/45/67/657694567.db2.gz NAFRMUWNGLYNTO-KBPBESRZSA-N 0 2 319.409 0.106 20 0 DCADLN C[C@H](NC(=O)c1nccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970637290 657727693 /nfs/dbraw/zinc/72/76/93/657727693.db2.gz QCKJBDYOGTYCSR-ZETCQYMHSA-N 0 2 308.367 0.217 20 0 DCADLN O=C(NNC(=O)c1ccccc1-n1cnnn1)c1ccccn1 ZINC000070710818 658071479 /nfs/dbraw/zinc/07/14/79/658071479.db2.gz SPQNVGTYRGDJKR-UHFFFAOYSA-N 0 2 309.289 0.132 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H]([NH2+]Cc3ncccn3)C2)c1[O-] ZINC000998954400 665556609 /nfs/dbraw/zinc/55/66/09/665556609.db2.gz MNGGVSDILQXHPV-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2CCCN(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC000998953209 665556626 /nfs/dbraw/zinc/55/66/26/665556626.db2.gz JRWDTMNCLONFLM-JTQLQIEISA-N 0 2 319.369 0.245 20 0 DCADLN CCc1ccc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC000969978388 658313477 /nfs/dbraw/zinc/31/34/77/658313477.db2.gz DBZALCHARKACCJ-SECBINFHSA-N 0 2 319.365 0.916 20 0 DCADLN CC(F)(F)C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000972736867 658366031 /nfs/dbraw/zinc/36/60/31/658366031.db2.gz GMZDPOJVFBHZOR-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)no1 ZINC000972779337 658410441 /nfs/dbraw/zinc/41/04/41/658410441.db2.gz AZERNIHAEHGNPZ-JTQLQIEISA-N 0 2 320.353 0.447 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccoc1 ZINC000972807401 658435965 /nfs/dbraw/zinc/43/59/65/658435965.db2.gz AQFLCVPHNPKHQZ-SNVBAGLBSA-N 0 2 305.338 0.744 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C[C@@H]1CCCO1 ZINC000972826186 658465385 /nfs/dbraw/zinc/46/53/85/658465385.db2.gz WBQGVYVBESBVHR-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C[C@@H]1CCCO1 ZINC000972826186 658465391 /nfs/dbraw/zinc/46/53/91/658465391.db2.gz WBQGVYVBESBVHR-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)[nH]n1 ZINC000972830224 658466023 /nfs/dbraw/zinc/46/60/23/658466023.db2.gz DSKMCYGYGHBOSS-JTQLQIEISA-N 0 2 319.369 0.182 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)on1 ZINC001024320753 658558941 /nfs/dbraw/zinc/55/89/41/658558941.db2.gz WSUOXTATDPFEOB-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN Cn1cc(C(=O)NC[C@@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001024322165 658560814 /nfs/dbraw/zinc/56/08/14/658560814.db2.gz OYAZMZBRZHJMLR-NSHDSACASA-N 0 2 319.369 0.028 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001038137155 658564171 /nfs/dbraw/zinc/56/41/71/658564171.db2.gz OEGQECJHCVVFGN-DCQANWLSSA-N 0 2 305.382 0.637 20 0 DCADLN C/C=C(\C)C(=O)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972326856 658570985 /nfs/dbraw/zinc/57/09/85/658570985.db2.gz JJRCRNFRQFRSQB-MTDDYQMPSA-N 0 2 321.381 0.280 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOC1 ZINC001024356501 658587700 /nfs/dbraw/zinc/58/77/00/658587700.db2.gz LAVZSFWXSUSDBN-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOC1 ZINC001024356501 658587701 /nfs/dbraw/zinc/58/77/01/658587701.db2.gz LAVZSFWXSUSDBN-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1=CCOCC1 ZINC001024409412 658605798 /nfs/dbraw/zinc/60/57/98/658605798.db2.gz QJMOIBXHSFOCBT-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1=CCOCC1 ZINC001024409412 658605806 /nfs/dbraw/zinc/60/58/06/658605806.db2.gz QJMOIBXHSFOCBT-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOC[C@@H]2CC(F)F)S1 ZINC000804723804 658617434 /nfs/dbraw/zinc/61/74/34/658617434.db2.gz FRLMKQRMRCTZNS-NKWVEPMBSA-N 0 2 307.322 0.425 20 0 DCADLN CC[C@H](OC)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010612801 658626433 /nfs/dbraw/zinc/62/64/33/658626433.db2.gz NWVHXGZFTQCGGB-IUCAKERBSA-N 0 2 314.279 0.983 20 0 DCADLN CC[C@H](OC)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010612801 658626439 /nfs/dbraw/zinc/62/64/39/658626439.db2.gz NWVHXGZFTQCGGB-IUCAKERBSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H](NC(=O)c1cc(F)c[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970175167 658693865 /nfs/dbraw/zinc/69/38/65/658693865.db2.gz KYLZBBOMETXKAZ-ZETCQYMHSA-N 0 2 308.317 0.228 20 0 DCADLN O=C(CC1CC1)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001022892695 658764909 /nfs/dbraw/zinc/76/49/09/658764909.db2.gz HCXLYVSXTAZIQW-NQMVMOMDSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(CC1CC1)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001022892695 658764912 /nfs/dbraw/zinc/76/49/12/658764912.db2.gz HCXLYVSXTAZIQW-NQMVMOMDSA-N 0 2 312.263 0.375 20 0 DCADLN CC(C)(F)C(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001022902497 658784210 /nfs/dbraw/zinc/78/42/10/658784210.db2.gz HQPGQZREHUYPFH-FSDSQADBSA-N 0 2 318.242 0.323 20 0 DCADLN CC(C)(F)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001022902497 658784202 /nfs/dbraw/zinc/78/42/02/658784202.db2.gz HQPGQZREHUYPFH-FSDSQADBSA-N 0 2 318.242 0.323 20 0 DCADLN CN(Cc1cnn(C)n1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971577379 658824530 /nfs/dbraw/zinc/82/45/30/658824530.db2.gz YJDGKYMKRWNLCH-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1cnn(C)n1)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000971577379 658824535 /nfs/dbraw/zinc/82/45/35/658824535.db2.gz YJDGKYMKRWNLCH-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN Cc1ccc(C(=O)NNC(=O)c2ccc(-n3cnnn3)cc2)o1 ZINC000023159917 658831249 /nfs/dbraw/zinc/83/12/49/658831249.db2.gz VRUHKMUEUINNBR-UHFFFAOYSA-N 0 2 312.289 0.639 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCC[C@@H]1CCCO1 ZINC000177936927 658833843 /nfs/dbraw/zinc/83/38/43/658833843.db2.gz HOEIRWLDDMTEFB-NSHDSACASA-N 0 2 305.334 0.986 20 0 DCADLN Cc1[nH+]cc2n1C[C@@H](C(=O)N1CCN(C)CC[N@H+](C)CC1)CC2 ZINC000888983621 658860730 /nfs/dbraw/zinc/86/07/30/658860730.db2.gz JTTRLCBAHOZCAS-HNNXBMFYSA-N 0 2 319.453 0.460 20 0 DCADLN O=C([O-])C1(NC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCSCC1 ZINC000909347885 659070773 /nfs/dbraw/zinc/07/07/73/659070773.db2.gz SOEQWMMBHKFSEK-SNVBAGLBSA-N 0 2 309.391 0.912 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001006718361 659380403 /nfs/dbraw/zinc/38/04/03/659380403.db2.gz BIMDKBQCNWUJBY-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000974551516 659565519 /nfs/dbraw/zinc/56/55/19/659565519.db2.gz PAHCWPIIRKNJIH-JTQLQIEISA-N 0 2 318.381 0.787 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000974551516 659565521 /nfs/dbraw/zinc/56/55/21/659565521.db2.gz PAHCWPIIRKNJIH-JTQLQIEISA-N 0 2 318.381 0.787 20 0 DCADLN Cc1nnc([C@@H](C)N2C[C@H](NC(=O)c3cnn[nH]3)C(C)(C)C2)[nH]1 ZINC000974788957 659671214 /nfs/dbraw/zinc/67/12/14/659671214.db2.gz XOJUIQCVVAJKSA-KCJUWKMLSA-N 0 2 318.385 0.433 20 0 DCADLN Cc1cnc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)c1 ZINC001031866704 665694314 /nfs/dbraw/zinc/69/43/14/665694314.db2.gz SBSJJKMCPWNZGU-UHFFFAOYSA-N 0 2 316.365 0.384 20 0 DCADLN CC1(C)C[N@H+](CC(N)=O)C[C@H]1NC(=O)[C@@H](C1CC1)[NH+]1CCCC1 ZINC000974864178 659699244 /nfs/dbraw/zinc/69/92/44/659699244.db2.gz QBEUQSOEYBDFNF-UKRRQHHQSA-N 0 2 322.453 0.173 20 0 DCADLN Cc1nc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001028217378 661293632 /nfs/dbraw/zinc/29/36/32/661293632.db2.gz SIHUNFUWGITBJG-JTQLQIEISA-N 0 2 320.353 0.510 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000788264129 661315408 /nfs/dbraw/zinc/31/54/08/661315408.db2.gz TXAIBVJZHDYGOH-IUCAKERBSA-N 0 2 312.263 0.737 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000788264129 661315412 /nfs/dbraw/zinc/31/54/12/661315412.db2.gz TXAIBVJZHDYGOH-IUCAKERBSA-N 0 2 312.263 0.737 20 0 DCADLN COc1csc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031894707 665789525 /nfs/dbraw/zinc/78/95/25/665789525.db2.gz ZOTILVDBODXFGG-UHFFFAOYSA-N 0 2 323.378 0.442 20 0 DCADLN O=C(NNC(=O)c1cc2c(cc1O)OCO2)c1ccccn1 ZINC000048167993 661799938 /nfs/dbraw/zinc/79/99/38/661799938.db2.gz OUOXZSCXTQIFHB-UHFFFAOYSA-N 0 2 301.258 0.591 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccncc1F ZINC001038127369 661869118 /nfs/dbraw/zinc/86/91/18/661869118.db2.gz HTMUZWUVHQGBPU-MRVPVSSYSA-N 0 2 306.301 0.049 20 0 DCADLN O=C(C1CCOCC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029187188 662033364 /nfs/dbraw/zinc/03/33/64/662033364.db2.gz POXXAXOIHJUGKA-TXEJJXNPSA-N 0 2 321.381 0.112 20 0 DCADLN O=C(c1ccco1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029190346 662038447 /nfs/dbraw/zinc/03/84/47/662038447.db2.gz BDRHWHHRYKBACB-AOOOYVTPSA-N 0 2 303.322 0.592 20 0 DCADLN C[C@H]1CN(c2c3ccccc3[nH+]nc2C(=O)[O-])C[C@@H](CO)O1 ZINC000320007495 662047656 /nfs/dbraw/zinc/04/76/56/662047656.db2.gz QBWNZLSFFDBVQT-UWVGGRQHSA-N 0 2 303.318 0.914 20 0 DCADLN COCCOCC(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000738068821 662075795 /nfs/dbraw/zinc/07/57/95/662075795.db2.gz RKZIVWPNFINEOG-UHFFFAOYSA-N 0 2 323.349 0.091 20 0 DCADLN CO[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001029291180 662087456 /nfs/dbraw/zinc/08/74/56/662087456.db2.gz LXBYHLNVXURUJN-NTZNESFSSA-N 0 2 321.381 0.111 20 0 DCADLN CC1(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001029424933 662169867 /nfs/dbraw/zinc/16/98/67/662169867.db2.gz NBWUWSCZCLMOFR-PHIMTYICSA-N 0 2 305.382 0.876 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@@H](C[N@@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001029675396 662448093 /nfs/dbraw/zinc/44/80/93/662448093.db2.gz NIJHFRWQZUCERT-JTQLQIEISA-N 0 2 319.369 0.136 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@@H](C[N@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001029675396 662448095 /nfs/dbraw/zinc/44/80/95/662448095.db2.gz NIJHFRWQZUCERT-JTQLQIEISA-N 0 2 319.369 0.136 20 0 DCADLN Cn1ncc(C(=O)NNC(=O)c2ccccn2)c1-n1cccc1 ZINC000029505418 662472105 /nfs/dbraw/zinc/47/21/05/662472105.db2.gz UFJCZNUGHZSQAX-UHFFFAOYSA-N 0 2 310.317 0.681 20 0 DCADLN COC[C@H](NC(=O)Cc1c[nH+]cn1Cc1ccccc1)C(=O)[O-] ZINC000909659328 662533952 /nfs/dbraw/zinc/53/39/52/662533952.db2.gz OLKDIICHSVVNLD-AWEZNQCLSA-N 0 2 317.345 0.690 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([NH2+]Cc3ccon3)[C@@H]2C)c1[O-] ZINC000986155718 662561913 /nfs/dbraw/zinc/56/19/13/662561913.db2.gz CKIZPSCVHACTOX-ONGXEEELSA-N 0 2 305.338 0.805 20 0 DCADLN CCOCCOCCOC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000729189312 662643939 /nfs/dbraw/zinc/64/39/39/662643939.db2.gz AHBBZZPXGJDAOH-UHFFFAOYSA-N 0 2 323.349 0.470 20 0 DCADLN O=C([O-])[C@H](CC(F)(F)F)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000909673099 662720795 /nfs/dbraw/zinc/72/07/95/662720795.db2.gz ZNWFEGYIFWKJOZ-CBAPKCEASA-N 0 2 305.256 0.967 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H]2CCCN(C(=O)Cc3nnc[nH]3)C2)o1 ZINC001000661331 665905555 /nfs/dbraw/zinc/90/55/55/665905555.db2.gz VNHYLAVAVIFKFZ-KOLCDFICSA-N 0 2 319.369 0.380 20 0 DCADLN C[C@H](c1cnccn1)[N@@H+]1CCCN(C(=O)Cc2nnc[nH]2)CC1 ZINC000989451475 662936388 /nfs/dbraw/zinc/93/63/88/662936388.db2.gz HTXREWFSAAIKBM-GFCCVEGCSA-N 0 2 315.381 0.433 20 0 DCADLN C[C@H]1[C@@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CC[N@@H+]1C ZINC000925333150 663040522 /nfs/dbraw/zinc/04/05/22/663040522.db2.gz AQRYDVITFQCJJD-KKUMJFAQSA-N 0 2 310.442 0.585 20 0 DCADLN Cc1cnn(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000990392368 663041759 /nfs/dbraw/zinc/04/17/59/663041759.db2.gz PARRUIBHIMRAQY-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN Cc1cnn(CC(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC000990392368 663041760 /nfs/dbraw/zinc/04/17/60/663041760.db2.gz PARRUIBHIMRAQY-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN Cc1ncoc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990663407 663079891 /nfs/dbraw/zinc/07/98/91/663079891.db2.gz AJMANQVEJRQCAR-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1ncoc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990663407 663079892 /nfs/dbraw/zinc/07/98/92/663079892.db2.gz AJMANQVEJRQCAR-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN CCn1cc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c(C2CC2)n1 ZINC000899042243 663119257 /nfs/dbraw/zinc/11/92/57/663119257.db2.gz ZPWVDWUULXFSLJ-QMMMGPOBSA-N 0 2 305.338 0.708 20 0 DCADLN CS(=O)(=O)C[C@@H]1CN(c2ccc(C(=O)[O-])c[nH+]2)CCO1 ZINC000900976240 663296957 /nfs/dbraw/zinc/29/69/57/663296957.db2.gz DEBMMRCVPVLDLG-JTQLQIEISA-N 0 2 300.336 0.030 20 0 DCADLN COc1ccc(C[N@H+](C)CC(=O)N2CCC[C@H](C(=O)[O-])C2)cn1 ZINC000901625712 663356849 /nfs/dbraw/zinc/35/68/49/663356849.db2.gz FLOPCOWMJPHHAQ-ZDUSSCGKSA-N 0 2 321.377 0.845 20 0 DCADLN COc1ccc(C[N@@H+](C)CC(=O)N2CCC[C@H](C(=O)[O-])C2)cn1 ZINC000901625712 663356852 /nfs/dbraw/zinc/35/68/52/663356852.db2.gz FLOPCOWMJPHHAQ-ZDUSSCGKSA-N 0 2 321.377 0.845 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1CO[C@H](CCC(=O)[O-])C1)Cn1cc[nH+]c1 ZINC000901876688 663371623 /nfs/dbraw/zinc/37/16/23/663371623.db2.gz VJIWIBIUTUQZDM-FRRDWIJNSA-N 0 2 309.366 0.905 20 0 DCADLN Cc1cccc(OCCNC(=O)CON=C(N)CN(C)C)c1 ZINC000902613399 663422420 /nfs/dbraw/zinc/42/24/20/663422420.db2.gz UDUKRVVFHMMTMC-UHFFFAOYSA-N 0 2 308.382 0.550 20 0 DCADLN CCc1ccc(C[NH+]2CCN(C(=O)[C@@H](OC)C(=O)[O-])CC2)cc1 ZINC000902683685 663427463 /nfs/dbraw/zinc/42/74/63/663427463.db2.gz KHKQMGIJDSWNFB-OAHLLOKOSA-N 0 2 320.389 0.993 20 0 DCADLN Cc1ccn2cnnc2c1NS(=O)(=O)c1cnc2n1CCC2 ZINC000903129631 663447442 /nfs/dbraw/zinc/44/74/42/663447442.db2.gz ZUBKOVYLQYMOHU-UHFFFAOYSA-N 0 2 318.362 0.981 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@@H](CO)c1ccccn1 ZINC000908482240 663757933 /nfs/dbraw/zinc/75/79/33/663757933.db2.gz NJDJDSBSIBSGFH-VIFPVBQESA-N 0 2 319.283 0.688 20 0 DCADLN CC(C)(CNC(=O)N[C@H](CC1CC1)C(=O)[O-])[NH+]1CCOCC1 ZINC000908748779 663767848 /nfs/dbraw/zinc/76/78/48/663767848.db2.gz ZXTLDLNZHXVECA-GFCCVEGCSA-N 0 2 313.398 0.650 20 0 DCADLN CO[C@H]1CCN(C(=O)NCCCCn2cc[nH+]c2)[C@@H](C(=O)[O-])C1 ZINC000908862507 663772557 /nfs/dbraw/zinc/77/25/57/663772557.db2.gz NYTBQVKTQYEMPK-QWHCGFSZSA-N 0 2 324.381 0.937 20 0 DCADLN C[C@H](C(=O)[O-])N(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)C1CC1 ZINC000908914707 663774132 /nfs/dbraw/zinc/77/41/32/663774132.db2.gz OIYPNPIQBRGIIE-LLVKDONJSA-N 0 2 313.398 0.744 20 0 DCADLN C[C@H](C(=O)[O-])N(C(=O)NCC[N@H+]1CCOC(C)(C)C1)C1CC1 ZINC000908914707 663774134 /nfs/dbraw/zinc/77/41/34/663774134.db2.gz OIYPNPIQBRGIIE-LLVKDONJSA-N 0 2 313.398 0.744 20 0 DCADLN CCOCCCN(C[C@@H](C)C(=O)[O-])C(=O)Cc1c[nH+]cn1C ZINC000908985772 663777921 /nfs/dbraw/zinc/77/79/21/663777921.db2.gz WESGNDGDVJAXFR-GFCCVEGCSA-N 0 2 311.382 0.939 20 0 DCADLN CC[C@H](C(=O)N1CCO[C@@H](C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000909216027 663787602 /nfs/dbraw/zinc/78/76/02/663787602.db2.gz ISTKZWAUVRIWEA-JHJVBQTASA-N 0 2 314.382 0.188 20 0 DCADLN CC[C@H](C(=O)N1CCO[C@@H](C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000909216027 663787603 /nfs/dbraw/zinc/78/76/03/663787603.db2.gz ISTKZWAUVRIWEA-JHJVBQTASA-N 0 2 314.382 0.188 20 0 DCADLN O=C([O-])c1csc(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)n1 ZINC000909258929 663789746 /nfs/dbraw/zinc/78/97/46/663789746.db2.gz RUGLPMFXQGXXBR-MRVPVSSYSA-N 0 2 306.347 0.917 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000909710077 663796051 /nfs/dbraw/zinc/79/60/51/663796051.db2.gz DGIWKZIJMPRMHE-WBMJQRKESA-N 0 2 321.377 0.785 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC000909792090 663806062 /nfs/dbraw/zinc/80/60/62/663806062.db2.gz HDLNSRKVTIJVFZ-OAHLLOKOSA-N 0 2 315.329 0.840 20 0 DCADLN Cc1cccn2cc(CC(=O)N[C@@H]3CCO[C@@H]3C(=O)[O-])[nH+]c12 ZINC000909941863 663822806 /nfs/dbraw/zinc/82/28/06/663822806.db2.gz UQFMYWNPXSVAPD-YPMHNXCESA-N 0 2 303.318 0.544 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000909944863 663823223 /nfs/dbraw/zinc/82/32/23/663823223.db2.gz YQCCISZDJFWZSV-DZGCQCFKSA-N 0 2 315.329 0.773 20 0 DCADLN O=C([O-])[C@@]1(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)CCCOC1 ZINC000910307137 663866870 /nfs/dbraw/zinc/86/68/70/663866870.db2.gz MAIDWXBNOFYIFM-XHDPSFHLSA-N 0 2 307.350 0.443 20 0 DCADLN Cn1cnc(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)c1 ZINC000911168793 664000828 /nfs/dbraw/zinc/00/08/28/664000828.db2.gz ASQAYNRTVMCDNU-UHFFFAOYSA-N 0 2 315.333 0.476 20 0 DCADLN CC[C@H](O)[C@H](C)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911172557 664001303 /nfs/dbraw/zinc/00/13/03/664001303.db2.gz RRDXVJSRAROVJQ-AAEUAGOBSA-N 0 2 321.377 0.835 20 0 DCADLN CC(C)(CO)C(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911305646 664023917 /nfs/dbraw/zinc/02/39/17/664023917.db2.gz LTAOSHYXIBYMRR-UHFFFAOYSA-N 0 2 321.377 0.837 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCC[C@@H](O)[C@H]3C(=O)[O-])[nH+]c12 ZINC000911636860 664089888 /nfs/dbraw/zinc/08/98/88/664089888.db2.gz OCDCEZRSPXRLKS-OCCSQVGLSA-N 0 2 317.345 0.622 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCc1ccc(C(=O)[O-])cn1 ZINC000911732778 664106506 /nfs/dbraw/zinc/10/65/06/664106506.db2.gz YUPUBXGQASDUEU-VXGBXAGGSA-N 0 2 300.318 0.933 20 0 DCADLN CCc1noc(NS(=O)(=O)c2n[nH]c(C)c2C(=O)OC)n1 ZINC000912560822 664186081 /nfs/dbraw/zinc/18/60/81/664186081.db2.gz YJRXZYMKSPLDHJ-UHFFFAOYSA-N 0 2 315.311 0.251 20 0 DCADLN CCn1ncc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1C1CC1 ZINC000912817476 664234363 /nfs/dbraw/zinc/23/43/63/664234363.db2.gz WLZALUFEBHYOBI-MRVPVSSYSA-N 0 2 305.338 0.708 20 0 DCADLN O=C([O-])c1csc(S(=O)(=O)N[C@H]2CC[N@@H+](C3CC3)C2)c1 ZINC000044694624 664368172 /nfs/dbraw/zinc/36/81/72/664368172.db2.gz ILJGQAWMYFFEMJ-VIFPVBQESA-N 0 2 316.404 0.961 20 0 DCADLN O=C([O-])c1csc(S(=O)(=O)N[C@H]2CC[N@H+](C3CC3)C2)c1 ZINC000044694624 664368175 /nfs/dbraw/zinc/36/81/75/664368175.db2.gz ILJGQAWMYFFEMJ-VIFPVBQESA-N 0 2 316.404 0.961 20 0 DCADLN Cc1nnc(CN2CC[C@@]3(NC(=O)c4cnn[nH]4)CCC[C@@H]23)[nH]1 ZINC000991570647 664423315 /nfs/dbraw/zinc/42/33/15/664423315.db2.gz RPBQZNSLOHUNQI-RISCZKNCSA-N 0 2 316.369 0.158 20 0 DCADLN Cc1nccc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991830451 664579080 /nfs/dbraw/zinc/57/90/80/664579080.db2.gz ZXFIPSLWXXYSFR-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1nccc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000991830451 664579081 /nfs/dbraw/zinc/57/90/81/664579081.db2.gz ZXFIPSLWXXYSFR-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ccccc1OCC[NH+]1CC(NC(=O)c2cnn[n-]2)C1 ZINC001030601267 664600315 /nfs/dbraw/zinc/60/03/15/664600315.db2.gz HYSIRCFOKSMXCS-UHFFFAOYSA-N 0 2 301.350 0.606 20 0 DCADLN Cn1cc(Cl)cc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030645303 664618101 /nfs/dbraw/zinc/61/81/01/664618101.db2.gz WYDUBQORBQSYFI-UHFFFAOYSA-N 0 2 310.745 0.116 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@]12CCO[C@H]1CCCC2 ZINC001030664484 664624038 /nfs/dbraw/zinc/62/40/38/664624038.db2.gz QLGBEBHSCMGCPO-XHDPSFHLSA-N 0 2 321.381 0.160 20 0 DCADLN CN(C(=O)c1ccnn1C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953866395 664627915 /nfs/dbraw/zinc/62/79/15/664627915.db2.gz VRMILLZIJLQDTJ-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1ccnn1C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953866395 664627916 /nfs/dbraw/zinc/62/79/16/664627916.db2.gz VRMILLZIJLQDTJ-VIFPVBQESA-N 0 2 322.262 0.603 20 0 DCADLN CC1(C)CC[N@@H+](CC(=O)N[C@]23CCC[C@H]2[NH+](CC(N)=O)CC3)C1 ZINC000992171258 664674251 /nfs/dbraw/zinc/67/42/51/664674251.db2.gz WTBLBAVDEXDDOM-DYVFJYSZSA-N 0 2 322.453 0.317 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+]1CC[C@]2(NC(=O)C[NH+]3CCCC3)CCC[C@@H]12 ZINC000992483942 664725547 /nfs/dbraw/zinc/72/55/47/664725547.db2.gz GVPCAZFJZYMOAT-JJRVBVJISA-N 0 2 322.453 0.330 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1nccc2[nH]ccc21 ZINC001030973735 664743314 /nfs/dbraw/zinc/74/33/14/664743314.db2.gz GMGHKDWKMCHGMT-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1cccc(F)c1 ZINC000730526380 664785240 /nfs/dbraw/zinc/78/52/40/664785240.db2.gz RLAVUJORWCEXTM-UHFFFAOYSA-N 0 2 301.281 0.527 20 0 DCADLN C[C@@H](c1ccccn1)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730535718 664790132 /nfs/dbraw/zinc/79/01/32/664790132.db2.gz DOCSDXXEECZLBR-JTQLQIEISA-N 0 2 312.333 0.686 20 0 DCADLN CC(C)Cc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]1 ZINC000730588180 664795706 /nfs/dbraw/zinc/79/57/06/664795706.db2.gz AHZWKUNYXXTORK-UHFFFAOYSA-N 0 2 315.337 0.632 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccoc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993057369 664798366 /nfs/dbraw/zinc/79/83/66/664798366.db2.gz QVTOEVKJSMVXLV-ONGXEEELSA-N 0 2 305.338 0.886 20 0 DCADLN CCc1nc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cs1 ZINC000730658231 664799622 /nfs/dbraw/zinc/79/96/22/664799622.db2.gz RTZKKMXNDMSZRC-UHFFFAOYSA-N 0 2 319.346 0.834 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cnns2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993085387 664805258 /nfs/dbraw/zinc/80/52/58/664805258.db2.gz XSPMPSKCWFBGDL-YUMQZZPRSA-N 0 2 323.382 0.145 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(C2CC2)on1 ZINC001038576755 664961119 /nfs/dbraw/zinc/96/11/19/664961119.db2.gz XJUFPHMECJWFHY-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN C[C@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001031273660 664962691 /nfs/dbraw/zinc/96/26/91/664962691.db2.gz CGWNEDPWPOIOIR-VIFPVBQESA-N 0 2 302.338 0.009 20 0 DCADLN Cc1cc(F)ccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031287256 664967743 /nfs/dbraw/zinc/96/77/43/664967743.db2.gz KKUQHJAELMQSED-UHFFFAOYSA-N 0 2 305.313 0.572 20 0 DCADLN Cc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)sc1C ZINC001031361715 665113749 /nfs/dbraw/zinc/11/37/49/665113749.db2.gz ANWWQQDVXFGNDZ-UHFFFAOYSA-N 0 2 307.379 0.803 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000994553079 665155618 /nfs/dbraw/zinc/15/56/18/665155618.db2.gz YMLUPEAXQREQFV-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN Cn1nnc(NS(=O)(=O)N2CCC3(CCCCC3)CC2)n1 ZINC000919212233 665200463 /nfs/dbraw/zinc/20/04/63/665200463.db2.gz PDJJLTHPIRNUQH-UHFFFAOYSA-N 0 2 314.415 0.913 20 0 DCADLN Cc1cnccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031659306 665269663 /nfs/dbraw/zinc/26/96/63/665269663.db2.gz WPJCUKULRJNCPK-UHFFFAOYSA-N 0 2 302.338 0.076 20 0 DCADLN CN(C)C(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000920953275 665285150 /nfs/dbraw/zinc/28/51/50/665285150.db2.gz FRRBNHNWLGVSLH-JTQLQIEISA-N 0 2 320.374 0.883 20 0 DCADLN CCNC(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000920953400 665285260 /nfs/dbraw/zinc/28/52/60/665285260.db2.gz GSKJMCFHCPGQTN-JTQLQIEISA-N 0 2 320.374 0.931 20 0 DCADLN O=C(C[C@@H]1C[C@H]1C1CC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032530436 665312362 /nfs/dbraw/zinc/31/23/62/665312362.db2.gz NJCVEPUIEDMRDI-CYDGBPFRSA-N 0 2 317.393 0.732 20 0 DCADLN Cc1cocc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032571217 665363441 /nfs/dbraw/zinc/36/34/41/665363441.db2.gz UUTTZGJBVFIJEY-UWVGGRQHSA-N 0 2 303.322 0.511 20 0 DCADLN CC1(C)CC[C@@H]1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032579060 665368573 /nfs/dbraw/zinc/36/85/73/665368573.db2.gz UTMHPTFWQBCMRM-GARJFASQSA-N 0 2 305.382 0.732 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3cnn[nH]3)[C@@H](C)C2)nn1C ZINC000942215724 666131724 /nfs/dbraw/zinc/13/17/24/666131724.db2.gz HFTDELMVTFPDMI-GWCFXTLKSA-N 0 2 317.397 0.487 20 0 DCADLN Cn1ccnc1C[NH2+]C[C@@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000995244842 666165627 /nfs/dbraw/zinc/16/56/27/666165627.db2.gz VCFXFANCYXSIPN-KGLIPLIRSA-N 0 2 316.409 0.691 20 0 DCADLN CN1CCC[C@@H]([NH+]2CC[C@@H](NC(=O)[C@@H]3CCCC[N@@H+]3C)C2)C1=O ZINC001032839590 666299554 /nfs/dbraw/zinc/29/95/54/666299554.db2.gz BWCBTNBIXQRUKT-QLFBSQMISA-N 0 2 322.453 0.282 20 0 DCADLN Cc1cc(C)cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001032151690 666373396 /nfs/dbraw/zinc/37/33/96/666373396.db2.gz RCXLFQXBSIZVNF-UHFFFAOYSA-N 0 2 315.377 0.989 20 0 DCADLN Cc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)ccn1 ZINC001003823569 666374879 /nfs/dbraw/zinc/37/48/79/666374879.db2.gz KDVSMNZXCGJMDK-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN C[C@@H]1OCC[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003845253 666381536 /nfs/dbraw/zinc/38/15/36/666381536.db2.gz IQVJUZASNMAEGK-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC000944064698 666384936 /nfs/dbraw/zinc/38/49/36/666384936.db2.gz RZTSLFWXUGTBKR-SVRRBLITSA-N 0 2 323.250 0.426 20 0 DCADLN CC(C)[C@H]1C[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003873209 666388600 /nfs/dbraw/zinc/38/86/00/666388600.db2.gz LLMSNGSLAZVIHJ-VXGBXAGGSA-N 0 2 307.398 0.883 20 0 DCADLN CCc1nc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001033017883 666428839 /nfs/dbraw/zinc/42/88/39/666428839.db2.gz AXQGIDDTOKWHLK-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN CCn1nccc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033037320 666446728 /nfs/dbraw/zinc/44/67/28/666446728.db2.gz YHEQJPZJQKUJEG-JTQLQIEISA-N 0 2 319.369 0.073 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)s1 ZINC001032221365 666530354 /nfs/dbraw/zinc/53/03/54/666530354.db2.gz FQFVOAQXBFHGCG-UHFFFAOYSA-N 0 2 311.342 0.573 20 0 DCADLN O=C(CC[C@H]1CCCO1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032291460 666701636 /nfs/dbraw/zinc/70/16/36/666701636.db2.gz KZKUWXJWEKCJMH-SDDRHHMPSA-N 0 2 321.381 0.255 20 0 DCADLN CCc1ncoc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033402580 666707495 /nfs/dbraw/zinc/70/74/95/666707495.db2.gz CWNRZOVPAQEHTE-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN CN(C(=O)c1cncs1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005152422 666715766 /nfs/dbraw/zinc/71/57/66/666715766.db2.gz MNOOUOIKAXEYHP-UHFFFAOYSA-N 0 2 322.394 0.703 20 0 DCADLN CN(C(=O)Cc1ccco1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033517162 666741573 /nfs/dbraw/zinc/74/15/73/666741573.db2.gz KDIVICIPIWHSFX-JTQLQIEISA-N 0 2 305.338 0.379 20 0 DCADLN CN(C(=O)Cc1ccco1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033517162 666741576 /nfs/dbraw/zinc/74/15/76/666741576.db2.gz KDIVICIPIWHSFX-JTQLQIEISA-N 0 2 305.338 0.379 20 0 DCADLN Cc1ncoc1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005215823 666762956 /nfs/dbraw/zinc/76/29/56/666762956.db2.gz KWWLDBFSJJFVKH-UHFFFAOYSA-N 0 2 320.353 0.543 20 0 DCADLN CCN(C(=O)c1ccoc1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033750254 666815929 /nfs/dbraw/zinc/81/59/29/666815929.db2.gz QQBPBWZMTUGATI-NSHDSACASA-N 0 2 305.338 0.840 20 0 DCADLN CCN(C(=O)c1cnsn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033765957 666823845 /nfs/dbraw/zinc/82/38/45/666823845.db2.gz XNUDOEXQFYKUSX-MRVPVSSYSA-N 0 2 323.382 0.098 20 0 DCADLN CCN(C(=O)c1cc[nH]c1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033845514 666843880 /nfs/dbraw/zinc/84/38/80/666843880.db2.gz XRYYWPAEUVVJIQ-NSHDSACASA-N 0 2 304.354 0.575 20 0 DCADLN CCN(C(=O)c1cc[nH]c1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033845514 666843882 /nfs/dbraw/zinc/84/38/82/666843882.db2.gz XRYYWPAEUVVJIQ-NSHDSACASA-N 0 2 304.354 0.575 20 0 DCADLN CCN(C(=O)c1cnco1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033911920 666866455 /nfs/dbraw/zinc/86/64/55/666866455.db2.gz FGRJFHKSGPJDSI-VIFPVBQESA-N 0 2 306.326 0.235 20 0 DCADLN CCc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n(C)n1 ZINC000734782455 666908313 /nfs/dbraw/zinc/90/83/13/666908313.db2.gz XMGJBOXNYSJHCS-UHFFFAOYSA-N 0 2 301.310 0.006 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cccnc1 ZINC001034081331 666912920 /nfs/dbraw/zinc/91/29/20/666912920.db2.gz QKMMPAQBXVYOOS-GFCCVEGCSA-N 0 2 316.365 0.690 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cccnc1 ZINC001034081331 666912922 /nfs/dbraw/zinc/91/29/22/666912922.db2.gz QKMMPAQBXVYOOS-GFCCVEGCSA-N 0 2 316.365 0.690 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCCN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001034095395 666918597 /nfs/dbraw/zinc/91/85/97/666918597.db2.gz MPWXDHOCDBZBAF-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034187597 666946141 /nfs/dbraw/zinc/94/61/41/666946141.db2.gz PFUNYTKUOFWFEQ-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034187597 666946144 /nfs/dbraw/zinc/94/61/44/666946144.db2.gz PFUNYTKUOFWFEQ-LLVKDONJSA-N 0 2 318.381 0.931 20 0 DCADLN O=C(C[C@H]1CCCCO1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032350123 667007288 /nfs/dbraw/zinc/00/72/88/667007288.db2.gz FOMUPZORBXFKPU-SDDRHHMPSA-N 0 2 321.381 0.255 20 0 DCADLN CCOC(=O)C1=CC[C@@H](NC(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000862873236 667089082 /nfs/dbraw/zinc/08/90/82/667089082.db2.gz QSVAHCAWIIXYBE-BDAKNGLRSA-N 0 2 311.363 0.311 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)N2C[C@@H](C)C[C@H](C)C2)n1 ZINC000921532041 667161999 /nfs/dbraw/zinc/16/19/99/667161999.db2.gz JRAGLWYYVBAACX-UWVGGRQHSA-N 0 2 302.404 0.889 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000863824485 667186030 /nfs/dbraw/zinc/18/60/30/667186030.db2.gz FDFZJCZVKSWZMJ-SFYZADRCSA-N 0 2 320.308 0.675 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000863824485 667186033 /nfs/dbraw/zinc/18/60/33/667186033.db2.gz FDFZJCZVKSWZMJ-SFYZADRCSA-N 0 2 320.308 0.675 20 0 DCADLN Cn1cc(CN2C[C@@H]3C[C@H]2CN3C(=O)C(F)C(F)(F)F)nn1 ZINC001032416838 667216966 /nfs/dbraw/zinc/21/69/66/667216966.db2.gz NJYBPFFKHGDGSB-GUBZILKMSA-N 0 2 321.278 0.501 20 0 DCADLN Cn1cc(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@H](F)C(F)(F)F)nn1 ZINC001032416838 667216970 /nfs/dbraw/zinc/21/69/70/667216970.db2.gz NJYBPFFKHGDGSB-GUBZILKMSA-N 0 2 321.278 0.501 20 0 DCADLN O=C([O-])[C@]1(F)CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000864125101 667217487 /nfs/dbraw/zinc/21/74/87/667217487.db2.gz XHAGNGOOGHYVJA-DOMZBBRYSA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])[C@]1(F)CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000864125101 667217489 /nfs/dbraw/zinc/21/74/89/667217489.db2.gz XHAGNGOOGHYVJA-DOMZBBRYSA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])[C@H](CC1CC1)NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000864132993 667218056 /nfs/dbraw/zinc/21/80/56/667218056.db2.gz XRUSOCGGHFPBDQ-UONOGXRCSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])[C@H](CC1CC1)NC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000864132993 667218057 /nfs/dbraw/zinc/21/80/57/667218057.db2.gz XRUSOCGGHFPBDQ-UONOGXRCSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])C1=CC[C@@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000864169351 667221417 /nfs/dbraw/zinc/22/14/17/667221417.db2.gz SYUHSCSPLSKNFM-TZMCWYRMSA-N 0 2 308.378 0.919 20 0 DCADLN O=C([O-])C1=CC[C@@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000864169351 667221420 /nfs/dbraw/zinc/22/14/20/667221420.db2.gz SYUHSCSPLSKNFM-TZMCWYRMSA-N 0 2 308.378 0.919 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)C2 ZINC000864212125 667225226 /nfs/dbraw/zinc/22/52/26/667225226.db2.gz VHWVCUHUEPLZET-NVNVUAJTSA-N 0 2 322.405 0.999 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)C2 ZINC000864212125 667225229 /nfs/dbraw/zinc/22/52/29/667225229.db2.gz VHWVCUHUEPLZET-NVNVUAJTSA-N 0 2 322.405 0.999 20 0 DCADLN C[C@@H](NC(=O)c1nnc[nH]1)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC000997354997 667253609 /nfs/dbraw/zinc/25/36/09/667253609.db2.gz HRBWLOFTQLKOKN-LLVKDONJSA-N 0 2 317.397 0.569 20 0 DCADLN C[C@@H](NC(=O)c1ncn[nH]1)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC000997354997 667253613 /nfs/dbraw/zinc/25/36/13/667253613.db2.gz HRBWLOFTQLKOKN-LLVKDONJSA-N 0 2 317.397 0.569 20 0 DCADLN O=S(=O)(NC[C@@H]1COCCO1)c1onc(C2CC2)c1Cl ZINC000866560991 667381891 /nfs/dbraw/zinc/38/18/91/667381891.db2.gz ZKVNAJLKKJUKOW-MRVPVSSYSA-N 0 2 322.770 0.899 20 0 DCADLN O=C(C(F)F)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035633353 667390373 /nfs/dbraw/zinc/39/03/73/667390373.db2.gz LWORPBWBGACLIL-UHFFFAOYSA-N 0 2 301.297 0.200 20 0 DCADLN O=C(NC[C@H]1CC[N@@H+]1C1CCOCC1)[C@@H]1CCc2[nH+]ccn2C1 ZINC000885866056 667713761 /nfs/dbraw/zinc/71/37/61/667713761.db2.gz RCLVGQFAIDFLBA-UKRRQHHQSA-N 0 2 318.421 0.815 20 0 DCADLN COc1cccc([C@H]2CN(C(=O)CCc3nn[nH]n3)CCN2)c1 ZINC000870154220 667715352 /nfs/dbraw/zinc/71/53/52/667715352.db2.gz HGUMNIMETMIYNG-CYBMUJFWSA-N 0 2 316.365 0.314 20 0 DCADLN Cc1cc(O)cc(=O)n1CCC(=O)NCCc1n[nH]c(=S)o1 ZINC000788146771 667749307 /nfs/dbraw/zinc/74/93/07/667749307.db2.gz FJHLGLPQVLJBPW-UHFFFAOYSA-N 0 2 324.362 0.283 20 0 DCADLN CN(C)c1ccc([NH+]2CCC(N=c3nn[n-]n3C)CC2)cc1 ZINC000742416826 667791300 /nfs/dbraw/zinc/79/13/00/667791300.db2.gz GTZVJEMKLCFYTN-UHFFFAOYSA-N 0 2 301.398 0.779 20 0 DCADLN Cn1[n-]nnc1=NC1CCN(c2ccc([NH+](C)C)cc2)CC1 ZINC000742416826 667791303 /nfs/dbraw/zinc/79/13/03/667791303.db2.gz GTZVJEMKLCFYTN-UHFFFAOYSA-N 0 2 301.398 0.779 20 0 DCADLN Cc1ncc2c(n1)CC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C2 ZINC000742521174 667793274 /nfs/dbraw/zinc/79/32/74/667793274.db2.gz DCUSEYJWNIKQNM-ONGXEEELSA-N 0 2 319.390 0.315 20 0 DCADLN C[C@@H](COCC(F)(F)F)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742590311 667794451 /nfs/dbraw/zinc/79/44/51/667794451.db2.gz QGTDWDKALWAIRE-WDSKDSINSA-N 0 2 313.301 0.626 20 0 DCADLN COc1ccc(NC(=O)CC2SC(=N)NC2=O)c(OC)n1 ZINC000742588673 667794547 /nfs/dbraw/zinc/79/45/47/667794547.db2.gz BZEJOVGSZQWIQJ-ZETCQYMHSA-N 0 2 310.335 0.594 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742601021 667795277 /nfs/dbraw/zinc/79/52/77/667795277.db2.gz BTOXOTSIFSMVNG-BDAKNGLRSA-N 0 2 313.379 0.487 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCCC3(CCOCC3)C2)S1 ZINC000742708872 667798197 /nfs/dbraw/zinc/79/81/97/667798197.db2.gz YWCVJWYSEOUZKB-JTQLQIEISA-N 0 2 311.407 0.962 20 0 DCADLN CN(C)c1ncccc1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000745435937 667847687 /nfs/dbraw/zinc/84/76/87/667847687.db2.gz VWNXFKXRHDLZSQ-SECBINFHSA-N 0 2 307.379 0.320 20 0 DCADLN Cc1ccc(S(=O)(=O)NCC[NH+]2CCC2)c(C)c1C(=O)[O-] ZINC000871924003 667857396 /nfs/dbraw/zinc/85/73/96/667857396.db2.gz ZSACMVRJFGFXKW-UHFFFAOYSA-N 0 2 312.391 0.986 20 0 DCADLN Cn1nncc1-n1[nH]c2c(c1=O)CCN(C(=O)OC(C)(C)C)C2 ZINC000887290902 667866385 /nfs/dbraw/zinc/86/63/85/667866385.db2.gz HNVCAQXTMOBWBT-SECBINFHSA-N 0 2 320.353 0.775 20 0 DCADLN CCO[C@H](CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)C ZINC000746337814 667872447 /nfs/dbraw/zinc/87/24/47/667872447.db2.gz CAVASQMDERYEOH-GFCCVEGCSA-N 0 2 321.381 0.639 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCOCC1 ZINC000746362684 667873499 /nfs/dbraw/zinc/87/34/99/667873499.db2.gz VJNCPAPEFJQDDV-LBPRGKRZSA-N 0 2 319.365 0.393 20 0 DCADLN COc1ncc(C)cc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000746530386 667881370 /nfs/dbraw/zinc/88/13/70/667881370.db2.gz GHQBYKROJITACP-UHFFFAOYSA-N 0 2 314.305 0.422 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NC[C@H]1COCO1 ZINC000872495464 667903281 /nfs/dbraw/zinc/90/32/81/667903281.db2.gz PCQYYDPYBRVQPJ-QPFGOUBPSA-N 0 2 300.402 0.090 20 0 DCADLN CN(C(=O)C1CC1)c1ccccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748006150 667916181 /nfs/dbraw/zinc/91/61/81/667916181.db2.gz DSYULJTUPKQYGF-UHFFFAOYSA-N 0 2 315.333 0.813 20 0 DCADLN CNC(=O)CCOC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000749047701 667945122 /nfs/dbraw/zinc/94/51/22/667945122.db2.gz BOWGWKISUJEBTF-UHFFFAOYSA-N 0 2 321.358 0.515 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc3[nH]c(=O)[nH]c3c2)S1 ZINC000752817837 668022627 /nfs/dbraw/zinc/02/26/27/668022627.db2.gz CJFFCEPVEFIRHT-VIFPVBQESA-N 0 2 319.346 0.441 20 0 DCADLN CC(C)[C@@H](O)C(C)(C)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000754138516 668042052 /nfs/dbraw/zinc/04/20/52/668042052.db2.gz XRTNJPMBKGMNAI-WCBMZHEXSA-N 0 2 301.412 0.702 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000759466421 668220970 /nfs/dbraw/zinc/22/09/70/668220970.db2.gz YFNBOLGHMRXVJO-GHMZBOCLSA-N 0 2 321.406 0.948 20 0 DCADLN Cn1ncc(C(=O)OCc2n[nH]c(=O)[nH]2)c1Br ZINC000759626897 668229264 /nfs/dbraw/zinc/22/92/64/668229264.db2.gz ANCRTWYINJGGFO-UHFFFAOYSA-N 0 2 302.088 0.363 20 0 DCADLN CC(C)OC(=O)[C@@H](C)N(C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000760107781 668257199 /nfs/dbraw/zinc/25/71/99/668257199.db2.gz RYPPASIVNZXHOP-SFYZADRCSA-N 0 2 301.368 0.341 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](CCO)c2cccs2)S1 ZINC000761940488 668341373 /nfs/dbraw/zinc/34/13/73/668341373.db2.gz AIBIUWKGBXEYMW-CBAPKCEASA-N 0 2 313.404 0.844 20 0 DCADLN Cc1ccccc1[C@H](O)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762443803 668360809 /nfs/dbraw/zinc/36/08/09/668360809.db2.gz NZUVEBBFZHQHJI-MNOVXSKESA-N 0 2 307.375 0.701 20 0 DCADLN COC(=O)Cc1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000763825112 668424107 /nfs/dbraw/zinc/42/41/07/668424107.db2.gz VJCYJODPNAXQJG-JTQLQIEISA-N 0 2 321.358 0.897 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2nc3ncccn3n2)nc1Cl ZINC000764523608 668451978 /nfs/dbraw/zinc/45/19/78/668451978.db2.gz JAENATQDEWOSQU-UHFFFAOYSA-N 0 2 313.730 0.312 20 0 DCADLN CN(C[C@H](O)CN1CCOCC1)C(=O)C(F)C(F)(F)F ZINC000764610806 668454907 /nfs/dbraw/zinc/45/49/07/668454907.db2.gz HNOMFNABQQCTTN-IUCAKERBSA-N 0 2 302.268 0.038 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@@H]1C[C@H]1C1CC1 ZINC000927412239 668465810 /nfs/dbraw/zinc/46/58/10/668465810.db2.gz GCQITCZBIQOIBX-MNOVXSKESA-N 0 2 301.350 0.624 20 0 DCADLN COc1ccc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc1 ZINC000767533782 668576371 /nfs/dbraw/zinc/57/63/71/668576371.db2.gz DYKPCNGBJFCVDF-UHFFFAOYSA-N 0 2 315.289 0.218 20 0 DCADLN Cc1cccc([C@@H](O)CNC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000769303330 668642908 /nfs/dbraw/zinc/64/29/08/668642908.db2.gz JRMIYZYZRTXCSX-WDEREUQCSA-N 0 2 307.375 0.701 20 0 DCADLN COC(=O)C1CCC(NC(=O)C(F)(F)F)(C(=O)OC)CC1 ZINC000770246592 668685268 /nfs/dbraw/zinc/68/52/68/668685268.db2.gz LXIDEXIKKGAVSU-UHFFFAOYSA-N 0 2 311.256 0.940 20 0 DCADLN COc1nc(C(=O)OCCCNC(=O)C(F)(F)F)cc(=O)[nH]1 ZINC000770519311 668698647 /nfs/dbraw/zinc/69/86/47/668698647.db2.gz PFPAIPTZGOEOIV-UHFFFAOYSA-N 0 2 323.227 0.416 20 0 DCADLN Cc1ccc(S(C)(=O)=O)cc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774902510 668850482 /nfs/dbraw/zinc/85/04/82/668850482.db2.gz VEQFTNWXRIKJKN-UHFFFAOYSA-N 0 2 311.319 0.579 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000774912116 668850892 /nfs/dbraw/zinc/85/08/92/668850892.db2.gz CAJZZZNIBVIRBW-SNVBAGLBSA-N 0 2 323.353 0.481 20 0 DCADLN O=C(Cc1cc(F)cc2c1OCOC2)OCc1n[nH]c(=O)[nH]1 ZINC000774909253 668851105 /nfs/dbraw/zinc/85/11/05/668851105.db2.gz LVEJKJHXPADMNF-UHFFFAOYSA-N 0 2 309.253 0.802 20 0 DCADLN Cc1c(CC(=O)OCc2n[nH]c(=O)[nH]2)nnn1-c1ccccc1 ZINC000774935609 668851874 /nfs/dbraw/zinc/85/18/74/668851874.db2.gz XLMFVTHKRZPMTG-UHFFFAOYSA-N 0 2 314.305 0.685 20 0 DCADLN CC(C)(C)C(=O)N1CSC[C@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774945054 668852471 /nfs/dbraw/zinc/85/24/71/668852471.db2.gz FZBRGDTWEVTULQ-ZETCQYMHSA-N 0 2 314.367 0.501 20 0 DCADLN CNC(=O)CN1CCN(C(=O)c2cccc(Cl)c2O)CC1 ZINC000775769721 668867421 /nfs/dbraw/zinc/86/74/21/668867421.db2.gz UMVIVHADYJRBKU-UHFFFAOYSA-N 0 2 311.769 0.549 20 0 DCADLN CN1C(=O)CN(NC(=O)c2ccc(Cn3cccn3)o2)C1=O ZINC000776246518 668874148 /nfs/dbraw/zinc/87/41/48/668874148.db2.gz RYOBJAGUBCGXTA-UHFFFAOYSA-N 0 2 303.278 0.063 20 0 DCADLN CCOC(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cn1 ZINC000782733991 669119124 /nfs/dbraw/zinc/11/91/24/669119124.db2.gz STVGRNYHKDJWDF-SECBINFHSA-N 0 2 322.346 0.753 20 0 DCADLN COC(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cn1 ZINC000782808346 669123713 /nfs/dbraw/zinc/12/37/13/669123713.db2.gz DIAYQJHSLPDDML-MRVPVSSYSA-N 0 2 308.319 0.363 20 0 DCADLN CC1(C)C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000888807094 669188201 /nfs/dbraw/zinc/18/82/01/669188201.db2.gz ONJJZFLQPNOOPU-VIFPVBQESA-N 0 2 321.406 0.862 20 0 DCADLN CN(C[C@@H]1CC=CCC1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000784324142 669199701 /nfs/dbraw/zinc/19/97/01/669199701.db2.gz SKPLAEAJKHHPSZ-LLVKDONJSA-N 0 2 301.350 0.886 20 0 DCADLN CC(C)(C)n1cnc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000784478117 669206613 /nfs/dbraw/zinc/20/66/13/669206613.db2.gz WAXWVYAHRMHNMO-UHFFFAOYSA-N 0 2 316.325 0.056 20 0 DCADLN COc1ccc(Cn2cc(CNC(=O)C(F)(F)F)nn2)cn1 ZINC000881302381 669320766 /nfs/dbraw/zinc/32/07/66/669320766.db2.gz UIKMCXURGIKSIM-UHFFFAOYSA-N 0 2 315.255 0.909 20 0 DCADLN CCn1nnc(C)c1Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881382103 669332415 /nfs/dbraw/zinc/33/24/15/669332415.db2.gz JBLLWWBOUCUABG-UHFFFAOYSA-N 0 2 317.275 0.425 20 0 DCADLN O=C(NCc1cn(CCO[C@@H]2CC2(F)F)nn1)C(F)(F)F ZINC000881385910 669332884 /nfs/dbraw/zinc/33/28/84/669332884.db2.gz AVZDREMYWIBQCK-SSDOTTSWSA-N 0 2 314.214 0.881 20 0 DCADLN CC1(C)OC[C@@H](CCn2cc(CNC(=O)C(F)(F)F)nn2)O1 ZINC000881394527 669334341 /nfs/dbraw/zinc/33/43/41/669334341.db2.gz PMPHNCUKXJAXHS-SECBINFHSA-N 0 2 322.287 0.998 20 0 DCADLN CO[C@H](CCNC(=O)C[C@@H]1SC(=N)NC1=O)C(F)(F)F ZINC000787460249 669375079 /nfs/dbraw/zinc/37/50/79/669375079.db2.gz APKSKBYPHUDLSY-NTSWFWBYSA-N 0 2 313.301 0.626 20 0 DCADLN NC(=O)c1ncccc1C(=O)NN1CCc2ccccc2C1=O ZINC000787508350 669376685 /nfs/dbraw/zinc/37/66/85/669376685.db2.gz ILIHRGZSEXHHAE-UHFFFAOYSA-N 0 2 310.313 0.524 20 0 DCADLN Cc1ccc(NC(=O)C(=O)[N-]OC[C@H]2CCOC2)c(N(C)C)[nH+]1 ZINC000788348359 669433301 /nfs/dbraw/zinc/43/33/01/669433301.db2.gz HGTMVRCSAFQMEB-NSHDSACASA-N 0 2 322.365 0.479 20 0 DCADLN CC(=O)Nc1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cn1 ZINC000790081408 669536819 /nfs/dbraw/zinc/53/68/19/669536819.db2.gz NTOVZBICYOLHBE-VIFPVBQESA-N 0 2 321.362 0.213 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@@H]1SC(=N)NC1=O)C1CCCCC1 ZINC000791072268 669591612 /nfs/dbraw/zinc/59/16/12/669591612.db2.gz LNROJPWZBZHQTQ-IINYFYTJSA-N 0 2 313.423 0.990 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)C[C@H](C)[N@H+]1C ZINC000130954301 669647812 /nfs/dbraw/zinc/64/78/12/669647812.db2.gz FTTPJIFAUVDYQT-DTORHVGOSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)C[C@H](C)[N@@H+]1C ZINC000130954301 669647816 /nfs/dbraw/zinc/64/78/16/669647816.db2.gz FTTPJIFAUVDYQT-DTORHVGOSA-N 0 2 301.368 0.426 20 0 DCADLN Cc1cnn([C@@H]2CCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)c1 ZINC000794184716 669805020 /nfs/dbraw/zinc/80/50/20/669805020.db2.gz HKJLXRIXIHWZBS-ZJUUUORDSA-N 0 2 307.379 0.521 20 0 DCADLN O=C([N-]OC/C=C/Cl)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000936058519 669818696 /nfs/dbraw/zinc/81/86/96/669818696.db2.gz ISCGDLDJBZTPQZ-SLNCZRTGSA-N 0 2 304.774 0.667 20 0 DCADLN CC(F)(F)C1(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CC1 ZINC000950548829 670058361 /nfs/dbraw/zinc/05/83/61/670058361.db2.gz QCQXKQKWSWOBNQ-UHFFFAOYSA-N 0 2 315.324 0.590 20 0 DCADLN Cc1nn(C)c(Br)c1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000801505982 670132755 /nfs/dbraw/zinc/13/27/55/670132755.db2.gz RJOYIGHEXGHGPB-UHFFFAOYSA-N 0 2 316.115 0.672 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000948603983 670139197 /nfs/dbraw/zinc/13/91/97/670139197.db2.gz RBOPVFSRWCWCRQ-MNOVXSKESA-N 0 2 309.370 0.112 20 0 DCADLN O=C([C@@H]1CCC[C@H]2C[C@H]21)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948973627 670229361 /nfs/dbraw/zinc/22/93/61/670229361.db2.gz XGDSXTHOYNHMEH-QJPTWQEYSA-N 0 2 305.382 0.591 20 0 DCADLN O=C(N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@]12CCC[C@H]1OCC2 ZINC000949260795 670298701 /nfs/dbraw/zinc/29/87/01/670298701.db2.gz CAFXZCQLZFMXFR-ABAIWWIYSA-N 0 2 321.381 0.114 20 0 DCADLN O=C(N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1(C2CC2)CCC1 ZINC000949502021 670356614 /nfs/dbraw/zinc/35/66/14/670356614.db2.gz SEOAOZWSAFHTOU-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cncnc1 ZINC000949528512 670362693 /nfs/dbraw/zinc/36/26/93/670362693.db2.gz VURWIQRRMLLLPX-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cncnc1 ZINC000949528512 670362695 /nfs/dbraw/zinc/36/26/95/670362695.db2.gz VURWIQRRMLLLPX-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN CCN(C(=O)c1c(C)noc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949650367 670395002 /nfs/dbraw/zinc/39/50/02/670395002.db2.gz LJHYPXABSYWHST-UHFFFAOYSA-N 0 2 320.353 0.462 20 0 DCADLN CC[C@](C)(OC)c1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000810333208 670479097 /nfs/dbraw/zinc/47/90/97/670479097.db2.gz RRZFMYNZPCLSFV-ZDUSSCGKSA-N 0 2 304.310 0.774 20 0 DCADLN COc1cnc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)nc1 ZINC000847011202 670509452 /nfs/dbraw/zinc/50/94/52/670509452.db2.gz ZAWMWSDJVBWTSE-UHFFFAOYSA-N 0 2 306.244 0.881 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2C[C@H]2c2cccnc2)S1 ZINC000847155143 670522340 /nfs/dbraw/zinc/52/23/40/670522340.db2.gz KCZUBTLNLOHZHO-DCAQKATOSA-N 0 2 304.375 0.858 20 0 DCADLN CS(=O)(=O)NCC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000811523449 670531819 /nfs/dbraw/zinc/53/18/19/670531819.db2.gz BSSPVPHGVROWLZ-QMMMGPOBSA-N 0 2 320.308 0.675 20 0 DCADLN CS(=O)(=O)NCC1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000811523449 670531831 /nfs/dbraw/zinc/53/18/31/670531831.db2.gz BSSPVPHGVROWLZ-QMMMGPOBSA-N 0 2 320.308 0.675 20 0 DCADLN COC(=O)CCCONC(=O)[C@@H]1CN(C)C(=O)c2ccccc21 ZINC000811560937 670536094 /nfs/dbraw/zinc/53/60/94/670536094.db2.gz CXWWBUXDLPEFRD-CYBMUJFWSA-N 0 2 320.345 0.857 20 0 DCADLN CC(=O)[C@@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000854731761 670619795 /nfs/dbraw/zinc/61/97/95/670619795.db2.gz ILSAKZAVFWOPQT-NSHDSACASA-N 0 2 303.322 0.041 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)C(=O)OC3)S1 ZINC000813870125 670657020 /nfs/dbraw/zinc/65/70/20/670657020.db2.gz RMEAKDPEXRZHBO-VIFPVBQESA-N 0 2 305.315 0.852 20 0 DCADLN C[C@@]1(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1(F)F ZINC000855804372 670757209 /nfs/dbraw/zinc/75/72/09/670757209.db2.gz KANXZEAFBOBHGD-GFCCVEGCSA-N 0 2 311.292 0.623 20 0 DCADLN O=C(CSCc1ccncc1)NOCCN1CCCC1=O ZINC000856038602 670778917 /nfs/dbraw/zinc/77/89/17/670778917.db2.gz HNDKBNUGMDTMPE-UHFFFAOYSA-N 0 2 309.391 0.985 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)nc[nH+]2)C1 ZINC000849348662 670779128 /nfs/dbraw/zinc/77/91/28/670779128.db2.gz KYZYJTQZYNBKQO-QHUBEEEXSA-N 0 2 318.377 0.451 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)[nH+]cn2)C1 ZINC000849348662 670779131 /nfs/dbraw/zinc/77/91/31/670779131.db2.gz KYZYJTQZYNBKQO-QHUBEEEXSA-N 0 2 318.377 0.451 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOC[C@@H](C3CCC3)C2)S1 ZINC000817042103 670890716 /nfs/dbraw/zinc/89/07/16/670890716.db2.gz SNXGSEXJLZVVAM-QWRGUYRKSA-N 0 2 311.407 0.818 20 0 DCADLN Cc1cnc2c(c1)N(C(=O)Cn1[nH]cc3c(=O)ncnc1-3)CC2 ZINC000857878113 670957170 /nfs/dbraw/zinc/95/71/70/670957170.db2.gz MGHSQMFCYJUQSN-UHFFFAOYSA-N 0 2 310.317 0.364 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2C[C@@]3(CCCO3)[C@H]2C2CC2)S1 ZINC000819259745 671106782 /nfs/dbraw/zinc/10/67/82/671106782.db2.gz AXZNGWVXNOAQCQ-PXWWUCIGSA-N 0 2 309.391 0.713 20 0 DCADLN Cc1oc(C(=O)OCc2n[nH]c(=O)[nH]2)cc1S(C)(=O)=O ZINC000859775957 671146235 /nfs/dbraw/zinc/14/62/35/671146235.db2.gz MRWARTJYUOFLRJ-UHFFFAOYSA-N 0 2 301.280 0.172 20 0 DCADLN Cc1nn(CC(F)F)cc1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC000826831844 671502445 /nfs/dbraw/zinc/50/24/45/671502445.db2.gz LJNREXGVUJKBII-ZETCQYMHSA-N 0 2 315.280 0.384 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@H+](CN2C(=O)CC3(CCCC3)C2=O)C1 ZINC000827889016 671539173 /nfs/dbraw/zinc/53/91/73/671539173.db2.gz APGVIPDQWDVWKX-NSHDSACASA-N 0 2 309.366 0.947 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@@H+](CN2C(=O)CC3(CCCC3)C2=O)C1 ZINC000827889016 671539175 /nfs/dbraw/zinc/53/91/75/671539175.db2.gz APGVIPDQWDVWKX-NSHDSACASA-N 0 2 309.366 0.947 20 0 DCADLN Cn1[n-]c(C(=O)N2CCC([N@H+](C)CC(F)F)CC2)cc1=O ZINC000829047599 671566834 /nfs/dbraw/zinc/56/68/34/671566834.db2.gz HTZDGLDEDSCPAS-UHFFFAOYSA-N 0 2 302.325 0.927 20 0 DCADLN Cn1[n-]c(C(=O)N2CCC([N@@H+](C)CC(F)F)CC2)cc1=O ZINC000829047599 671566835 /nfs/dbraw/zinc/56/68/35/671566835.db2.gz HTZDGLDEDSCPAS-UHFFFAOYSA-N 0 2 302.325 0.927 20 0 DCADLN CCOCCONC(=O)[C@@H](CS(C)(=O)=O)c1ccccc1 ZINC000832385599 671717323 /nfs/dbraw/zinc/71/73/23/671717323.db2.gz DQHBDKUOQSBOPZ-ZDUSSCGKSA-N 0 2 315.391 0.899 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCCNc2cccc[nH+]2)c[nH]1 ZINC000833304262 671747138 /nfs/dbraw/zinc/74/71/38/671747138.db2.gz IKWYFLFULLCRRD-UHFFFAOYSA-N 0 2 310.335 0.498 20 0 DCADLN CO[C@](C)(CNS(=O)(=O)N=S1(=O)CCCC1)C1CC1 ZINC000867288515 671994537 /nfs/dbraw/zinc/99/45/37/671994537.db2.gz UZULVOGRUGQXTH-LLVKDONJSA-N 0 2 310.441 0.898 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn3c2C[C@H](C)CC3)C1=O ZINC000843873256 672126440 /nfs/dbraw/zinc/12/64/40/672126440.db2.gz MDDIRYZUFOTGPZ-RFAUZJTJSA-N 0 2 319.365 0.831 20 0 DCADLN COc1cccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000843878017 672126758 /nfs/dbraw/zinc/12/67/58/672126758.db2.gz KFZQBBDJASVVDW-SECBINFHSA-N 0 2 303.322 0.934 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H]2[C@H]2CCCOC2)S1 ZINC000843924483 672129991 /nfs/dbraw/zinc/12/99/91/672129991.db2.gz QDBPXVBSLOMZFC-DCAQKATOSA-N 0 2 311.407 0.960 20 0 DCADLN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc2O1)C(F)(F)F ZINC000843945965 672130213 /nfs/dbraw/zinc/13/02/13/672130213.db2.gz BIESMSSMIZLZHW-SSDOTTSWSA-N 0 2 309.265 0.900 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)c1ncccc1F)n1cc[nH+]c1 ZINC000845527484 672255748 /nfs/dbraw/zinc/25/57/48/672255748.db2.gz KAOALAWLGMDJTR-SECBINFHSA-N 0 2 312.326 0.873 20 0 DCADLN COC(=O)[C@@H](CCF)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000846427491 672312517 /nfs/dbraw/zinc/31/25/17/672312517.db2.gz ZICDYMBDGMKKIW-ZCFIWIBFSA-N 0 2 316.251 0.461 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H](C)[N@@H+]1CCc2ccccc2C1 ZINC000846495801 672318910 /nfs/dbraw/zinc/31/89/10/672318910.db2.gz KYFNJKRORNYOBP-LBPRGKRZSA-N 0 2 305.378 0.617 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H](C)[N@H+]1CCc2ccccc2C1 ZINC000846495801 672318911 /nfs/dbraw/zinc/31/89/11/672318911.db2.gz KYFNJKRORNYOBP-LBPRGKRZSA-N 0 2 305.378 0.617 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1n[nH]cc1F ZINC001024637730 693814101 /nfs/dbraw/zinc/81/41/01/693814101.db2.gz PHASAQONGSDABV-MRVPVSSYSA-N 0 2 323.332 0.157 20 0 DCADLN CN(C(=O)c1ccnnc1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955434450 686413697 /nfs/dbraw/zinc/41/36/97/686413697.db2.gz WEKRZDYEMDVEIA-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1ccnnc1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000955434450 686413700 /nfs/dbraw/zinc/41/37/00/686413700.db2.gz WEKRZDYEMDVEIA-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN O=C([C@@H]1C[C@@H]1C1CCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956970796 686924657 /nfs/dbraw/zinc/92/46/57/686924657.db2.gz NCYMWRXRLHNHEI-CHWSQXEVSA-N 0 2 319.409 0.981 20 0 DCADLN CO[C@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCCC1 ZINC000957485595 687070148 /nfs/dbraw/zinc/07/01/48/687070148.db2.gz QGIBCHITFCFWQS-ZDUSSCGKSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccccc1O ZINC000958279779 687506675 /nfs/dbraw/zinc/50/66/75/687506675.db2.gz PRGVFWMFAFSTHM-IWIIMEHWSA-N 0 2 315.333 0.076 20 0 DCADLN Cc1ncccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038653240 694147989 /nfs/dbraw/zinc/14/79/89/694147989.db2.gz ZDOQVQQONTYJJV-SNVBAGLBSA-N 0 2 302.338 0.218 20 0 DCADLN COCC(=O)N1C[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2C1 ZINC000959792095 688217461 /nfs/dbraw/zinc/21/74/61/688217461.db2.gz RUZUDHUGXRHTRI-KHQFGBGNSA-N 0 2 312.263 0.450 20 0 DCADLN COCC(=O)N1C[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]2C1 ZINC000959792095 688217464 /nfs/dbraw/zinc/21/74/64/688217464.db2.gz RUZUDHUGXRHTRI-KHQFGBGNSA-N 0 2 312.263 0.450 20 0 DCADLN O=C(NC[C@H]1CC[N@H+]1C/C=C/Cl)c1c[n-]n2c1nccc2=O ZINC001038778258 694188782 /nfs/dbraw/zinc/18/87/82/694188782.db2.gz LIVBTTDIRYBLBS-YEQXMLPJSA-N 0 2 321.768 0.579 20 0 DCADLN O=C(NC[C@H]1CC[N@@H+]1C/C=C/Cl)c1c[n-]n2c1nccc2=O ZINC001038778258 694188786 /nfs/dbraw/zinc/18/87/86/694188786.db2.gz LIVBTTDIRYBLBS-YEQXMLPJSA-N 0 2 321.768 0.579 20 0 DCADLN Cc1ncccc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000961633780 688683028 /nfs/dbraw/zinc/68/30/28/688683028.db2.gz NJRMRONAZHRLNN-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1ncccc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000961633780 688683029 /nfs/dbraw/zinc/68/30/29/688683029.db2.gz NJRMRONAZHRLNN-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC12CCCC2 ZINC001038883991 694229662 /nfs/dbraw/zinc/22/96/62/694229662.db2.gz FNRATGZWNPNDGC-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)ccn1 ZINC000962094869 688809878 /nfs/dbraw/zinc/80/98/78/688809878.db2.gz VDQNWSSSUOQNOR-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)ccn1 ZINC000962094869 688809881 /nfs/dbraw/zinc/80/98/81/688809881.db2.gz VDQNWSSSUOQNOR-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000962211708 688849324 /nfs/dbraw/zinc/84/93/24/688849324.db2.gz FUDLJPNGWTZTHJ-YWVKMMECSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC000962211708 688849328 /nfs/dbraw/zinc/84/93/28/688849328.db2.gz FUDLJPNGWTZTHJ-YWVKMMECSA-N 0 2 324.274 0.685 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccco1 ZINC000964653059 689280709 /nfs/dbraw/zinc/28/07/09/689280709.db2.gz BZGZSPJUPOLPSL-NXEZZACHSA-N 0 2 305.338 0.744 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@@H]2C)[nH]n1 ZINC000965375059 689427189 /nfs/dbraw/zinc/42/71/89/689427189.db2.gz UXVOHSWXADLBSR-GZMMTYOYSA-N 0 2 319.369 0.182 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CC=CC1 ZINC000965766377 689547740 /nfs/dbraw/zinc/54/77/40/689547740.db2.gz SCCKESCRBIWSRG-JQWIXIFHSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CC=CC1 ZINC000965766377 689547742 /nfs/dbraw/zinc/54/77/42/689547742.db2.gz SCCKESCRBIWSRG-JQWIXIFHSA-N 0 2 305.382 0.803 20 0 DCADLN Cc1ncoc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000965851122 689566528 /nfs/dbraw/zinc/56/65/28/689566528.db2.gz IJCLBROYPMADJR-WPRPVWTQSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@@H]2C)co1 ZINC000965941474 689590128 /nfs/dbraw/zinc/59/01/28/689590128.db2.gz QYKGDMIATLENRY-WCBMZHEXSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1nnc(CN[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)o1 ZINC000968752001 690384904 /nfs/dbraw/zinc/38/49/04/690384904.db2.gz GCJOMOUBYRIGHO-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN O=C(C[C@@H]1CCCCO1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007081523 690626025 /nfs/dbraw/zinc/62/60/25/690626025.db2.gz YMYNURSUROLYBW-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(Cc1ccoc1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007185230 690638336 /nfs/dbraw/zinc/63/83/36/690638336.db2.gz UJFSZVUKJCKUMW-NSHDSACASA-N 0 2 305.338 0.427 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001007651210 690722604 /nfs/dbraw/zinc/72/26/04/690722604.db2.gz AJDYAFUDIQAEKI-NSHDSACASA-N 0 2 318.381 0.850 20 0 DCADLN CCc1nnc([C@H](C)N2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001007761654 690743411 /nfs/dbraw/zinc/74/34/11/690743411.db2.gz TURXUZXQXPTNSA-VHSXEESVSA-N 0 2 318.385 0.441 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001008296592 690835304 /nfs/dbraw/zinc/83/53/04/690835304.db2.gz YMMJLVQSCKXIMW-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN CCc1ncoc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008475495 690873724 /nfs/dbraw/zinc/87/37/24/690873724.db2.gz SCCKWOUZAHIMRS-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN O=C(Cc1cscn1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008764408 690922576 /nfs/dbraw/zinc/92/25/76/690922576.db2.gz HXFKURWQPYUYNW-VIFPVBQESA-N 0 2 322.394 0.290 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CCC[N@@H+](C/C=C\Cl)C1 ZINC001009349429 691028210 /nfs/dbraw/zinc/02/82/10/691028210.db2.gz ALAPNRRKPWPTHY-MDHMXLOGSA-N 0 2 315.761 0.022 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CCC[N@H+](C/C=C\Cl)C1 ZINC001009349429 691028212 /nfs/dbraw/zinc/02/82/12/691028212.db2.gz ALAPNRRKPWPTHY-MDHMXLOGSA-N 0 2 315.761 0.022 20 0 DCADLN O=C(Cc1ccc[nH]1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009570948 691061309 /nfs/dbraw/zinc/06/13/09/691061309.db2.gz HZLSLAIEBFFORO-NSHDSACASA-N 0 2 304.354 0.162 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001010667725 691339983 /nfs/dbraw/zinc/33/99/83/691339983.db2.gz IXTUQZNLDSQWFY-SNVBAGLBSA-N 0 2 305.338 0.345 20 0 DCADLN O=C(c1cnon1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240497 691491923 /nfs/dbraw/zinc/49/19/23/691491923.db2.gz VRNFPTLGYMYBBD-SSDOTTSWSA-N 0 2 310.207 0.254 20 0 DCADLN O=C(c1cnon1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011240497 691491928 /nfs/dbraw/zinc/49/19/28/691491928.db2.gz VRNFPTLGYMYBBD-SSDOTTSWSA-N 0 2 310.207 0.254 20 0 DCADLN O=C(c1cnon1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240498 691492017 /nfs/dbraw/zinc/49/20/17/691492017.db2.gz VRNFPTLGYMYBBD-ZETCQYMHSA-N 0 2 310.207 0.254 20 0 DCADLN O=C(c1cnon1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011240498 691492020 /nfs/dbraw/zinc/49/20/20/691492020.db2.gz VRNFPTLGYMYBBD-ZETCQYMHSA-N 0 2 310.207 0.254 20 0 DCADLN Cn1cncc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240057 691492092 /nfs/dbraw/zinc/49/20/92/691492092.db2.gz NGGSMPAZTNLFFH-VIFPVBQESA-N 0 2 322.262 0.605 20 0 DCADLN Cn1cncc1C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011240057 691492094 /nfs/dbraw/zinc/49/20/94/691492094.db2.gz NGGSMPAZTNLFFH-VIFPVBQESA-N 0 2 322.262 0.605 20 0 DCADLN Cc1cc(C)nc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015040762 692165063 /nfs/dbraw/zinc/16/50/63/692165063.db2.gz ADRAAZFPHFURQI-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN CCCc1[nH]ccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015591657 692354117 /nfs/dbraw/zinc/35/41/17/692354117.db2.gz ZTLUSVINXTXMNL-SNVBAGLBSA-N 0 2 318.381 0.795 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC(F)CC1 ZINC001015658022 692384243 /nfs/dbraw/zinc/38/42/43/692384243.db2.gz FOABBPRKLSHFQG-ILDUYXDCSA-N 0 2 311.361 0.729 20 0 DCADLN CCc1c(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001015708046 692409162 /nfs/dbraw/zinc/40/91/62/692409162.db2.gz XBALFUJVOGSEDQ-JTQLQIEISA-N 0 2 318.381 0.416 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1C1CCC1 ZINC001015821885 692456443 /nfs/dbraw/zinc/45/64/43/692456443.db2.gz CGIJZALRFWXPNZ-GRYCIOLGSA-N 0 2 305.382 0.637 20 0 DCADLN CCc1onc(C)c1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016230254 692607688 /nfs/dbraw/zinc/60/76/88/692607688.db2.gz RFKOZYDOCWHPFI-VIFPVBQESA-N 0 2 320.353 0.373 20 0 DCADLN CCc1ncc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001016387433 692669204 /nfs/dbraw/zinc/66/92/04/692669204.db2.gz KIKLWXCNMUWYAZ-MRVPVSSYSA-N 0 2 322.394 0.534 20 0 DCADLN Cc1cnc(CN2CCCO[C@H](CNC(=O)c3cnn[nH]3)C2)o1 ZINC001073674749 694764932 /nfs/dbraw/zinc/76/49/32/694764932.db2.gz MNQMVBMXBCGDHO-LLVKDONJSA-N 0 2 320.353 0.122 20 0 DCADLN O=C(c1ccns1)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075845562 694980342 /nfs/dbraw/zinc/98/03/42/694980342.db2.gz KEXPLCGFBFCYBY-DTWKUNHWSA-N 0 2 320.378 0.313 20 0 DCADLN CC(C)CC(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001383005631 1159198819 /nfs/dbraw/zinc/19/88/19/1159198819.db2.gz SWFAAIZBPMATET-WPRPVWTQSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)CC(=O)NC[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001383005631 1159198823 /nfs/dbraw/zinc/19/88/23/1159198823.db2.gz SWFAAIZBPMATET-WPRPVWTQSA-N 0 2 316.295 0.868 20 0 DCADLN CCC(C)(C)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001447386607 1159628816 /nfs/dbraw/zinc/62/88/16/1159628816.db2.gz KDUPYQPMPBUORK-SNVBAGLBSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447445366 1159642539 /nfs/dbraw/zinc/64/25/39/1159642539.db2.gz GJIGMPLRVOSZMK-ATRFCDNQSA-N 0 2 324.234 0.655 20 0 DCADLN Cc1nonc1C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001447445366 1159642542 /nfs/dbraw/zinc/64/25/42/1159642542.db2.gz GJIGMPLRVOSZMK-ATRFCDNQSA-N 0 2 324.234 0.655 20 0 DCADLN Cn1nc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1C=O ZINC001568147509 1160585100 /nfs/dbraw/zinc/58/51/00/1160585100.db2.gz RYUBYHUTWZCXFU-QMMMGPOBSA-N 0 2 304.310 0.076 20 0 DCADLN C/C=C(/C)C(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001572469272 1163188324 /nfs/dbraw/zinc/18/83/24/1163188324.db2.gz CRXPGLDYTWZUNW-IUYFFOMESA-N 0 2 314.279 0.789 20 0 DCADLN C/C=C(/C)C(=O)N(C)C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001572469272 1163188328 /nfs/dbraw/zinc/18/83/28/1163188328.db2.gz CRXPGLDYTWZUNW-IUYFFOMESA-N 0 2 314.279 0.789 20 0 DCADLN CC(=O)Nc1ccc(C[NH2+]Cc2cn(CC(=O)[O-])nn2)cn1 ZINC001573448048 1163438406 /nfs/dbraw/zinc/43/84/06/1163438406.db2.gz RHGSUESYJVISLH-UHFFFAOYSA-N 0 2 304.310 0.006 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cn(C)cc1C(=O)[O-] ZINC001573544587 1163487283 /nfs/dbraw/zinc/48/72/83/1163487283.db2.gz QQNMZWVLCZEYGJ-SNVBAGLBSA-N 0 2 310.354 0.566 20 0 DCADLN C[C@@H](NC(=O)C[N@H+](C)[C@@H]1CCSC1)c1nc(C(=O)[O-])n[nH]1 ZINC001573561113 1163500998 /nfs/dbraw/zinc/50/09/98/1163500998.db2.gz CEUVFDYYALTFFG-HTQZYQBOSA-N 0 2 313.383 0.117 20 0 DCADLN C[C@@H](NC(=O)C[N@@H+](C)[C@@H]1CCSC1)c1nc(C(=O)[O-])n[nH]1 ZINC001573561113 1163501008 /nfs/dbraw/zinc/50/10/08/1163501008.db2.gz CEUVFDYYALTFFG-HTQZYQBOSA-N 0 2 313.383 0.117 20 0 DCADLN CN(CC(=O)[O-])C(=O)C[NH2+][C@@H](CO)c1cc(F)ccc1F ZINC001573864373 1163570898 /nfs/dbraw/zinc/57/08/98/1163570898.db2.gz ADQSTHZJAXHWOU-NSHDSACASA-N 0 2 302.277 0.131 20 0 DCADLN O=C([O-])CCCS(=O)(=O)NCc1[nH]c2c([nH+]1)CCCC2 ZINC001574362339 1163705798 /nfs/dbraw/zinc/70/57/98/1163705798.db2.gz SAPQHFDRQGIHQU-UHFFFAOYSA-N 0 2 301.368 0.573 20 0 DCADLN O=C([O-])C1=C(C[N@H+]2CC[C@H]2CO)NC(=O)N[C@H]1c1ccco1 ZINC001574435123 1163722565 /nfs/dbraw/zinc/72/25/65/1163722565.db2.gz KVEJQEUEZRVSBG-UFBFGSQYSA-N 0 2 307.306 0.039 20 0 DCADLN O=C([O-])C1=C(C[N@@H+]2CC[C@H]2CO)NC(=O)N[C@H]1c1ccco1 ZINC001574435123 1163722568 /nfs/dbraw/zinc/72/25/68/1163722568.db2.gz KVEJQEUEZRVSBG-UFBFGSQYSA-N 0 2 307.306 0.039 20 0 DCADLN C[C@H](CC(=O)N[C@H]1C[C@H]([NH2+]Cc2c[nH]nn2)C1)[C@@H]1CCCO1 ZINC001575512381 1164042013 /nfs/dbraw/zinc/04/20/13/1164042013.db2.gz OJGSAVWMMFSUAM-BYNQJWBRSA-N 0 2 307.398 0.747 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cccnn1 ZINC001575902188 1164181243 /nfs/dbraw/zinc/18/12/43/1164181243.db2.gz QYNUYPDJGBAJLR-MRVPVSSYSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1cccnn1 ZINC001575902188 1164181245 /nfs/dbraw/zinc/18/12/45/1164181245.db2.gz QYNUYPDJGBAJLR-MRVPVSSYSA-N 0 2 308.235 0.613 20 0 DCADLN COc1ncccc1C(=O)N(C)C1CC([NH2+]Cc2c[nH]nn2)C1 ZINC001577105739 1164602828 /nfs/dbraw/zinc/60/28/28/1164602828.db2.gz RHTFHOMXRCCWHY-UHFFFAOYSA-N 0 2 316.365 0.601 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnn1C ZINC001577106503 1164603649 /nfs/dbraw/zinc/60/36/49/1164603649.db2.gz PTBNBCQUMRMSOK-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccnn1C ZINC001577106503 1164603651 /nfs/dbraw/zinc/60/36/51/1164603651.db2.gz PTBNBCQUMRMSOK-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN C[S@@](=O)(=NS(=O)(=O)NCc1cn[nH]n1)c1ccccc1 ZINC001577108561 1164605036 /nfs/dbraw/zinc/60/50/36/1164605036.db2.gz PDIIVHJXDUWHPO-IBGZPJMESA-N 0 2 315.380 0.296 20 0 DCADLN O=C(CCc1nn[nH]n1)N[C@@H](c1cn[nH]n1)c1ccc(F)cc1 ZINC001577906595 1164831299 /nfs/dbraw/zinc/83/12/99/1164831299.db2.gz FWLDLIKDTLJBQV-CYBMUJFWSA-N 0 2 316.300 0.295 20 0 DCADLN O=C(Cc1cscn1)NC[C@@H]1CCCN(Cc2cn[nH]n2)C1 ZINC001577965639 1164865454 /nfs/dbraw/zinc/86/54/54/1164865454.db2.gz GKDFFTPQMFJYEW-NSHDSACASA-N 0 2 320.422 0.832 20 0 DCADLN O=C(Cc1cscn1)NC[C@@H]1CCCN(Cc2c[nH]nn2)C1 ZINC001577965639 1164865459 /nfs/dbraw/zinc/86/54/59/1164865459.db2.gz GKDFFTPQMFJYEW-NSHDSACASA-N 0 2 320.422 0.832 20 0 DCADLN CCO[C@@]1(C)C[C@H]1NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001578383506 1164994378 /nfs/dbraw/zinc/99/43/78/1164994378.db2.gz VMDLFUXBZKEKIU-OTYXRUKQSA-N 0 2 318.337 0.573 20 0 DCADLN CCc1cccc(Oc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)c1 ZINC001578533975 1165050637 /nfs/dbraw/zinc/05/06/37/1165050637.db2.gz HHBASPKVMGUFPM-UHFFFAOYSA-N 0 2 314.305 0.608 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCOC(C)(C)C1 ZINC001580600017 1165651516 /nfs/dbraw/zinc/65/15/16/1165651516.db2.gz KOICINSRAMFDPM-UHFFFAOYSA-N 0 2 318.337 0.527 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CCc2ccc(C(N)=O)cc2)c1 ZINC001580609378 1165655660 /nfs/dbraw/zinc/65/56/60/1165655660.db2.gz WDLPDZDPMLNHNV-UHFFFAOYSA-N 0 2 324.344 0.678 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2csc(-c3ncc[nH]3)n2)C(=O)N1C ZINC001585143549 1166404984 /nfs/dbraw/zinc/40/49/84/1166404984.db2.gz RPJVMQUAHHGBQG-ZCFIWIBFSA-N 0 2 320.334 0.461 20 0 DCADLN CC(C)C[C@H]1COCCN1Cn1cccc(-c2nn[nH]n2)c1=O ZINC001589574467 1166666745 /nfs/dbraw/zinc/66/67/45/1166666745.db2.gz VZLXHKHQSZOYIC-LBPRGKRZSA-N 0 2 318.381 0.733 20 0 DCADLN C[C@@H]1CC[N@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CCS1 ZINC001590273074 1166836322 /nfs/dbraw/zinc/83/63/22/1166836322.db2.gz UUARKXVFJMPQTL-SNVBAGLBSA-N 0 2 306.395 0.813 20 0 DCADLN C[C@@H]1CC[N@@H+](Cn2cccc(-c3nnn[n-]3)c2=O)CCS1 ZINC001590273074 1166836327 /nfs/dbraw/zinc/83/63/27/1166836327.db2.gz UUARKXVFJMPQTL-SNVBAGLBSA-N 0 2 306.395 0.813 20 0 DCADLN CC[C@@]1(C)CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCO1 ZINC001590490594 1166923053 /nfs/dbraw/zinc/92/30/53/1166923053.db2.gz QGRAMWGTGDLLNK-AWEZNQCLSA-N 0 2 304.354 0.487 20 0 DCADLN CCCc1nc(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)no1 ZINC001590661421 1166963680 /nfs/dbraw/zinc/96/36/80/1166963680.db2.gz BFWIXMBCVHYBAT-UHFFFAOYSA-N 0 2 301.310 0.721 20 0 DCADLN CCc1cccc(Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)c1 ZINC001590894526 1167044519 /nfs/dbraw/zinc/04/45/19/1167044519.db2.gz JUHOUBGDAQTGBF-UHFFFAOYSA-N 0 2 312.333 0.338 20 0 DCADLN COC[C@@H](C)N1N=C(c2cccc(-c3nn[nH]n3)c2)CC1=O ZINC001591375230 1167248205 /nfs/dbraw/zinc/24/82/05/1167248205.db2.gz NBSUEFQCWYVMDG-SECBINFHSA-N 0 2 300.322 0.838 20 0 DCADLN COC[C@@H](C)n1[nH]c(-c2cccc(-c3nn[nH]n3)c2)cc1=O ZINC001591375230 1167248209 /nfs/dbraw/zinc/24/82/09/1167248209.db2.gz NBSUEFQCWYVMDG-SECBINFHSA-N 0 2 300.322 0.838 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CCOC[C@@H]2CCCO2)c1 ZINC001591836591 1167390224 /nfs/dbraw/zinc/39/02/24/1167390224.db2.gz CJZKJOOUJRMAAR-NSHDSACASA-N 0 2 305.338 0.532 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)c(N[C@H](C)C2N=NC(=O)N2C)n1 ZINC001592082881 1167451833 /nfs/dbraw/zinc/45/18/33/1167451833.db2.gz ADHWJCMLKVDYEA-SSDOTTSWSA-N 0 2 301.314 0.577 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)cc1S(=O)(=O)Nc1cncnc1 ZINC001592111321 1167459405 /nfs/dbraw/zinc/45/94/05/1167459405.db2.gz KKEKUXNDVZETLG-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cc1ccccc1Oc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001592260156 1167480502 /nfs/dbraw/zinc/48/05/02/1167480502.db2.gz KOWACYPAUAHSJH-UHFFFAOYSA-N 0 2 300.278 0.354 20 0 DCADLN Cc1ccn(CN2CC[C@@H](C)[C@H](F)C2)c(=O)c1-c1nn[nH]n1 ZINC001592266960 1167480832 /nfs/dbraw/zinc/48/08/32/1167480832.db2.gz KQGXJLNRAZVOFH-MWLCHTKSSA-N 0 2 306.345 0.974 20 0 DCADLN Cc1nn(Cc2coc(C3CC3)n2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437433 1167521660 /nfs/dbraw/zinc/52/16/60/1167521660.db2.gz GPNRDTQZICGHGY-UHFFFAOYSA-N 0 2 313.321 0.954 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@]2(C)CC2(F)F)c(-c2nn[nH]n2)c1=O ZINC001592545616 1167549952 /nfs/dbraw/zinc/54/99/52/1167549952.db2.gz HADVLPLHNSFOPR-JTQLQIEISA-N 0 2 313.268 0.123 20 0 DCADLN Clc1ccc(N2CCN3CCOC[C@@H]3C2)nc1-c1nn[nH]n1 ZINC001592531918 1167550676 /nfs/dbraw/zinc/55/06/76/1167550676.db2.gz AFBZFKMUMWDIGC-VIFPVBQESA-N 0 2 321.772 0.436 20 0 DCADLN O=C(NC1CC1)N[C@@H]1CCN(Cc2ccc(-c3nn[nH]n3)o2)C1 ZINC001592966916 1167676027 /nfs/dbraw/zinc/67/60/27/1167676027.db2.gz GKKMABKAZUXDFU-SNVBAGLBSA-N 0 2 317.353 0.496 20 0 DCADLN O=C(NCc1ccc(-c2nn[nH]n2)cn1)c1[nH]nc2c1CCC2 ZINC001593133291 1167719011 /nfs/dbraw/zinc/71/90/11/1167719011.db2.gz LLOSZRPTYJMTSH-UHFFFAOYSA-N 0 2 310.321 0.404 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCOCC2(CCC2)C1 ZINC001593492834 1167875049 /nfs/dbraw/zinc/87/50/49/1167875049.db2.gz PVEOELRBKHQVRE-UHFFFAOYSA-N 0 2 316.365 0.488 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc(CN2CCOCC2)cn1CC1CC1 ZINC001593490559 1167877505 /nfs/dbraw/zinc/87/75/05/1167877505.db2.gz JSTKQTKBYAGHQH-UHFFFAOYSA-N 0 2 316.365 0.271 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1noc(C2CCC2)n1 ZINC001593495735 1167880331 /nfs/dbraw/zinc/88/03/31/1167880331.db2.gz SLQMALIZMCYSDT-UHFFFAOYSA-N 0 2 300.282 0.122 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@@H]1NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001595171644 1167969387 /nfs/dbraw/zinc/96/93/87/1167969387.db2.gz MDQKMHQENVDQOD-XQQFMLRXSA-N 0 2 311.382 0.356 20 0 DCADLN Cc1c(Cl)c(C(=O)[O-])nn1C[N@H+](C)C[C@@H]1COCCO1 ZINC001600012978 1168096334 /nfs/dbraw/zinc/09/63/34/1168096334.db2.gz VHARJCVSABSYJM-SECBINFHSA-N 0 2 303.746 0.848 20 0 DCADLN Cc1c(Cl)c(C(=O)[O-])nn1C[N@@H+](C)C[C@@H]1COCCO1 ZINC001600012978 1168096341 /nfs/dbraw/zinc/09/63/41/1168096341.db2.gz VHARJCVSABSYJM-SECBINFHSA-N 0 2 303.746 0.848 20 0 DCADLN Cc1c(F)ccc(N)c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600021683 1168100132 /nfs/dbraw/zinc/10/01/32/1168100132.db2.gz NCOHZIZLUSWRJK-LLVKDONJSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1c(F)ccc(N)c1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600021683 1168100137 /nfs/dbraw/zinc/10/01/37/1168100137.db2.gz NCOHZIZLUSWRJK-LLVKDONJSA-N 0 2 306.297 0.865 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@@H+](C)[C@@H](C)[C@H]2C)oc1C(=O)[O-] ZINC001600294155 1168158366 /nfs/dbraw/zinc/15/83/66/1168158366.db2.gz YWSUWPRONTUNQG-VHSXEESVSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@H+](C)[C@@H](C)[C@H]2C)oc1C(=O)[O-] ZINC001600294155 1168158372 /nfs/dbraw/zinc/15/83/72/1168158372.db2.gz YWSUWPRONTUNQG-VHSXEESVSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1cc(S(=O)(=O)NCC[N@H+]2CC=CCC2)oc1C(=O)[O-] ZINC001600300089 1168162079 /nfs/dbraw/zinc/16/20/79/1168162079.db2.gz YLONVJWFMIMVEM-UHFFFAOYSA-N 0 2 314.363 0.826 20 0 DCADLN Cc1cc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)oc1C(=O)[O-] ZINC001600300089 1168162083 /nfs/dbraw/zinc/16/20/83/1168162083.db2.gz YLONVJWFMIMVEM-UHFFFAOYSA-N 0 2 314.363 0.826 20 0 DCADLN Cc1ccc(N2CCN(C(=O)[C@H]3CCCO3)CC2)[nH+]c1C(=O)[O-] ZINC001600437439 1168183065 /nfs/dbraw/zinc/18/30/65/1168183065.db2.gz WUJHVRCMEWSBEO-GFCCVEGCSA-N 0 2 319.361 0.916 20 0 DCADLN Cc1cccc(OCC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001600544900 1168197775 /nfs/dbraw/zinc/19/77/75/1168197775.db2.gz NRLVLVPAYSXVIM-CYBMUJFWSA-N 0 2 303.318 0.840 20 0 DCADLN Cc1ccnc(C[NH2+]C/C=C\CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])n1 ZINC001600601665 1168209908 /nfs/dbraw/zinc/20/99/08/1168209908.db2.gz RQAOSUOWPDKKRR-JDEDCZITSA-N 0 2 304.350 0.268 20 0 DCADLN Cc1cnc(C[NH2+]C2(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)nc1 ZINC001600620845 1168216998 /nfs/dbraw/zinc/21/69/98/1168216998.db2.gz BVSLWYIRIMKKPN-QWRGUYRKSA-N 0 2 304.350 0.244 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3C[C@H](C)O[C@H](C(=O)[O-])C3)ccn12 ZINC001600625969 1168217575 /nfs/dbraw/zinc/21/75/75/1168217575.db2.gz BDBVNDGWINYIES-JQWIXIFHSA-N 0 2 303.318 0.957 20 0 DCADLN Cc1n[nH]c(C)c1[C@H](C)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600668210 1168238648 /nfs/dbraw/zinc/23/86/48/1168238648.db2.gz AJLSTZFBOCUAEC-CPCISQLKSA-N 0 2 305.338 0.665 20 0 DCADLN Cc1n[nH]c(C)c1[C@H](C)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600668210 1168238666 /nfs/dbraw/zinc/23/86/66/1168238666.db2.gz AJLSTZFBOCUAEC-CPCISQLKSA-N 0 2 305.338 0.665 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)c(C)c(C)[nH+]1 ZINC001600691578 1168251559 /nfs/dbraw/zinc/25/15/59/1168251559.db2.gz ZCTCXKAIUUDVML-STQMWFEESA-N 0 2 318.377 0.771 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[NH+]1CCC([C@@H](O)C(=O)[O-])CC1 ZINC001600771637 1168286695 /nfs/dbraw/zinc/28/66/95/1168286695.db2.gz SBKBLGRKWUTALH-CQSZACIVSA-N 0 2 324.381 0.133 20 0 DCADLN Cc1noc([C@@H](C)[NH2+][C@H](C)C(=O)N2CCC(C(=O)[O-])CC2)n1 ZINC001600803851 1168300761 /nfs/dbraw/zinc/30/07/61/1168300761.db2.gz DDHFKDCLCDFSDX-RKDXNWHRSA-N 0 2 310.354 0.740 20 0 DCADLN Cc1noc(C[NH+]2CCC(N(C)C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC001600812478 1168339773 /nfs/dbraw/zinc/33/97/73/1168339773.db2.gz HHHFZHKOCQXHFW-NEPJUHHUSA-N 0 2 322.365 0.522 20 0 DCADLN Cn1c(C[N@@H+]2CC[C@H](CS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600913623 1168506668 /nfs/dbraw/zinc/50/66/68/1168506668.db2.gz JKTKNOOXCWSXIP-JTQLQIEISA-N 0 2 300.380 0.590 20 0 DCADLN Cn1c(C[N@H+]2CC[C@H](CS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600913623 1168506680 /nfs/dbraw/zinc/50/66/80/1168506680.db2.gz JKTKNOOXCWSXIP-JTQLQIEISA-N 0 2 300.380 0.590 20 0 DCADLN Cn1cc([C@@H](CO)[NH2+]Cc2nc3cc(C(=O)[O-])ccc3[nH]2)cn1 ZINC001600939502 1168521193 /nfs/dbraw/zinc/52/11/93/1168521193.db2.gz PXEXGKMTUSRRDE-CYBMUJFWSA-N 0 2 315.333 0.818 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)c3ccc(C(=O)[O-])o3)CC[NH2+]2)cn1 ZINC001600943980 1168522872 /nfs/dbraw/zinc/52/28/72/1168522872.db2.gz OJDXAQWALIYHKP-SNVBAGLBSA-N 0 2 304.306 0.498 20 0 DCADLN Cn1nc(C(=O)[O-])cc1NC(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001601004736 1168559717 /nfs/dbraw/zinc/55/97/17/1168559717.db2.gz YPWMXCQCQYIOIQ-QMMMGPOBSA-N 0 2 304.310 0.451 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)NCCCCn1cc[nH+]c1 ZINC001601023755 1168567848 /nfs/dbraw/zinc/56/78/48/1168567848.db2.gz JZONBBVXKGVIIH-UHFFFAOYSA-N 0 2 306.326 0.917 20 0 DCADLN NS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(/C=C\C(=O)[O-])o2)C1 ZINC001601192207 1168646071 /nfs/dbraw/zinc/64/60/71/1168646071.db2.gz IXHXJIWCDXGFSE-FOQNGQEVSA-N 0 2 314.363 0.630 20 0 DCADLN NS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(/C=C\C(=O)[O-])o2)C1 ZINC001601192207 1168646076 /nfs/dbraw/zinc/64/60/76/1168646076.db2.gz IXHXJIWCDXGFSE-FOQNGQEVSA-N 0 2 314.363 0.630 20 0 DCADLN O=C([O-])[C@H]1CC[N@H+](CC(=O)Nc2ccon2)[C@H]([C@@H]2CCCO2)C1 ZINC001601305355 1168698306 /nfs/dbraw/zinc/69/83/06/1168698306.db2.gz KROJKMAPFPSRAV-SRVKXCTJSA-N 0 2 323.349 0.957 20 0 DCADLN O=C([O-])[C@H]1CC[N@@H+](CC(=O)Nc2ccon2)[C@H]([C@@H]2CCCO2)C1 ZINC001601305355 1168698307 /nfs/dbraw/zinc/69/83/07/1168698307.db2.gz KROJKMAPFPSRAV-SRVKXCTJSA-N 0 2 323.349 0.957 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ocnc1C(F)F ZINC001601425872 1168742079 /nfs/dbraw/zinc/74/20/79/1168742079.db2.gz MEQCZHFFBWQKSD-LURJTMIESA-N 0 2 300.221 0.692 20 0 DCADLN O=C([O-])[C@@]1(CNC(=O)Nc2ccc3[nH+]ccn3c2)CCOC1 ZINC001601474317 1168758469 /nfs/dbraw/zinc/75/84/69/1168758469.db2.gz XYZXKTISESTDRL-CQSZACIVSA-N 0 2 304.306 0.947 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC001601566156 1168782664 /nfs/dbraw/zinc/78/26/64/1168782664.db2.gz ZNXWZSZUKQIGPZ-LMSJBEHQSA-N 0 2 319.361 0.917 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC001601566156 1168782671 /nfs/dbraw/zinc/78/26/71/1168782671.db2.gz ZNXWZSZUKQIGPZ-LMSJBEHQSA-N 0 2 319.361 0.917 20 0 DCADLN O=C([O-])[C@@H](c1cccc(Cl)c1)[N@@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001601693447 1168818950 /nfs/dbraw/zinc/81/89/50/1168818950.db2.gz IVLRGMUUHLSTJZ-WDEREUQCSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@@H](c1cccc(Cl)c1)[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001601693447 1168818959 /nfs/dbraw/zinc/81/89/59/1168818959.db2.gz IVLRGMUUHLSTJZ-WDEREUQCSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@H]1CC[C@@H]2C(=O)N(CCCCn3cc[nH+]c3)C(=O)N2C1 ZINC001601797114 1168900221 /nfs/dbraw/zinc/90/02/21/1168900221.db2.gz DPZQFEZDADIGDQ-NWDGAFQWSA-N 0 2 320.349 0.791 20 0 DCADLN O=C([O-])[C@@H]1c2ccccc2CCN1C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC001601867738 1168920114 /nfs/dbraw/zinc/92/01/14/1168920114.db2.gz IWDDGUGEGZAEPE-STQMWFEESA-N 0 2 301.302 0.654 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@@H+]1[C@H]1CCC2(C[C@H]1F)OCCO2 ZINC001601965475 1168950415 /nfs/dbraw/zinc/95/04/15/1168950415.db2.gz KMCPWGZSKMNYAN-UTUOFQBUSA-N 0 2 303.330 0.796 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@H+]1[C@H]1CCC2(C[C@H]1F)OCCO2 ZINC001601965475 1168950418 /nfs/dbraw/zinc/95/04/18/1168950418.db2.gz KMCPWGZSKMNYAN-UTUOFQBUSA-N 0 2 303.330 0.796 20 0 DCADLN O=C([O-])CCN1CC[C@H]([N@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)C1=O ZINC001602010667 1168961838 /nfs/dbraw/zinc/96/18/38/1168961838.db2.gz YZTZQYDAHKMKII-ZJUUUORDSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])CCN1CC[C@H]([N@@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)C1=O ZINC001602010667 1168961841 /nfs/dbraw/zinc/96/18/41/1168961841.db2.gz YZTZQYDAHKMKII-ZJUUUORDSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])CCN1CC[C@H]([N@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)C1=O ZINC001602010667 1168961852 /nfs/dbraw/zinc/96/18/52/1168961852.db2.gz YZTZQYDAHKMKII-ZJUUUORDSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])c1cc2n(n1)CCC[C@H]2[NH2+]Cc1nnnn1C1CC1 ZINC001602308339 1169054026 /nfs/dbraw/zinc/05/40/26/1169054026.db2.gz JLCWEQSVBQYBOI-SECBINFHSA-N 0 2 303.326 0.527 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)s1 ZINC001602320257 1169058112 /nfs/dbraw/zinc/05/81/12/1169058112.db2.gz JWDLHYCIQUQWNE-VIFPVBQESA-N 0 2 306.347 0.956 20 0 DCADLN O=C([O-])c1occc1Cn1cc(CC[NH+]2CCOCC2)nn1 ZINC001602590563 1169129002 /nfs/dbraw/zinc/12/90/02/1169129002.db2.gz YQXMLFLLYLMLDY-UHFFFAOYSA-N 0 2 306.322 0.492 20 0 DCADLN Cc1cnc2nc(C(=O)N[C@@H](C)c3nn(C)cc3O)nn2c1 ZINC001603115643 1169248521 /nfs/dbraw/zinc/24/85/21/1169248521.db2.gz AIOJYEFZJQOHHK-QMMMGPOBSA-N 0 2 301.310 0.363 20 0 DCADLN CC(=O)c1cccc(OC[C@@H](O)C[N@@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])c1 ZINC001603119291 1169248759 /nfs/dbraw/zinc/24/87/59/1169248759.db2.gz QJVLTOWIMWOFHM-VNHYZAJKSA-N 0 2 323.345 0.149 20 0 DCADLN CC(=O)c1cccc(OC[C@@H](O)C[N@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])c1 ZINC001603119291 1169248768 /nfs/dbraw/zinc/24/87/68/1169248768.db2.gz QJVLTOWIMWOFHM-VNHYZAJKSA-N 0 2 323.345 0.149 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1C(=O)c1ccc(-c2nn[nH]n2)c([O-])c1 ZINC001603834413 1169408369 /nfs/dbraw/zinc/40/83/69/1169408369.db2.gz KEDZWDGMOALXDK-NXEZZACHSA-N 0 2 316.365 0.737 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1C(=O)c1ccc(-c2nn[nH]n2)c([O-])c1 ZINC001603834413 1169408386 /nfs/dbraw/zinc/40/83/86/1169408386.db2.gz KEDZWDGMOALXDK-NXEZZACHSA-N 0 2 316.365 0.737 20 0 DCADLN C[C@H](CC(=O)N(CCN1CCOCC1)CC(=O)[O-])n1cc[nH+]c1 ZINC001604064588 1169485036 /nfs/dbraw/zinc/48/50/36/1169485036.db2.gz LSQQFPZHXNPXPW-CYBMUJFWSA-N 0 2 324.381 0.080 20 0 DCADLN C[C@@](CC(=O)[O-])([NH2+]Cc1nnc2n1CCOC2)c1cccnc1 ZINC001604090355 1169489898 /nfs/dbraw/zinc/48/98/98/1169489898.db2.gz ONXMSBPRSQDTAY-HNNXBMFYSA-N 0 2 317.349 0.683 20 0 DCADLN C[C@@H](CC(=O)[O-])CC(=O)N1CCO[C@H](C[NH+]2CCOCC2)C1 ZINC001604105922 1169493199 /nfs/dbraw/zinc/49/31/99/1169493199.db2.gz VRPADDNCDHXGBB-CHWSQXEVSA-N 0 2 314.382 0.047 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001604167834 1169511616 /nfs/dbraw/zinc/51/16/16/1169511616.db2.gz VBEDELFOTKMNAQ-ZOWXZIJZSA-N 0 2 313.398 0.459 20 0 DCADLN CCCCn1nnnc1Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001604192340 1169520771 /nfs/dbraw/zinc/52/07/71/1169520771.db2.gz HBGSFXUJVPSMOC-UHFFFAOYSA-N 0 2 315.341 0.172 20 0 DCADLN CCCN(CC(=O)OC)Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001604200663 1169523841 /nfs/dbraw/zinc/52/38/41/1169523841.db2.gz QSKJVBRWSNEUJW-UHFFFAOYSA-N 0 2 320.353 0.179 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)N1CC[NH+](CC[S@@](C)=O)CC1 ZINC001604476394 1169599684 /nfs/dbraw/zinc/59/96/84/1169599684.db2.gz BJMOCWRWEUSAHV-LADRHHBVSA-N 0 2 315.395 0.430 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[NH+]1CCC2(CC1)NC(=O)NC2=O ZINC001604476801 1169600314 /nfs/dbraw/zinc/60/03/14/1169600314.db2.gz GILUBUOEMPIPER-SSDOTTSWSA-N 0 2 308.294 0.108 20 0 DCADLN C[C@]1(CO)C[C@@H](O)C[N@@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001604584997 1169623950 /nfs/dbraw/zinc/62/39/50/1169623950.db2.gz SKVFAMMMRVGEDJ-AMIZOPFISA-N 0 2 314.363 0.202 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=S)NCCCC(=O)[O-])[C@@H](C)CO1 ZINC001604795994 1169689833 /nfs/dbraw/zinc/68/98/33/1169689833.db2.gz JDUKNALBXGTLKE-QWRGUYRKSA-N 0 2 303.428 0.425 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=S)NCCCC(=O)[O-])[C@@H](C)CO1 ZINC001604795994 1169689837 /nfs/dbraw/zinc/68/98/37/1169689837.db2.gz JDUKNALBXGTLKE-QWRGUYRKSA-N 0 2 303.428 0.425 20 0 DCADLN CCC(=O)N1CCC[C@@H](C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])C1 ZINC001604860185 1169717720 /nfs/dbraw/zinc/71/77/20/1169717720.db2.gz OEUWEBWBIBGLIT-NEPJUHHUSA-N 0 2 322.365 0.101 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+](CC)CC(=O)N(C)C)C(=O)[O-] ZINC001604928578 1169748581 /nfs/dbraw/zinc/74/85/81/1169748581.db2.gz FWANMAACFUNEGZ-ZWNOBZJWSA-N 0 2 301.387 0.012 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+](CC)CC(=O)N(C)C)C(=O)[O-] ZINC001604928578 1169748588 /nfs/dbraw/zinc/74/85/88/1169748588.db2.gz FWANMAACFUNEGZ-ZWNOBZJWSA-N 0 2 301.387 0.012 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCC(F)(F)[C@@H](CO)C1)C(=O)[O-] ZINC001604929348 1169751309 /nfs/dbraw/zinc/75/13/09/1169751309.db2.gz VXUDXJDIZHSXRC-UMNHJUIQSA-N 0 2 322.352 0.551 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCC(F)(F)[C@@H](CO)C1)C(=O)[O-] ZINC001604929348 1169751310 /nfs/dbraw/zinc/75/13/10/1169751310.db2.gz VXUDXJDIZHSXRC-UMNHJUIQSA-N 0 2 322.352 0.551 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCO[C@H]2C)c1 ZINC001604964653 1169765151 /nfs/dbraw/zinc/76/51/51/1169765151.db2.gz YRNTVSVVBWCTDG-IUCAKERBSA-N 0 2 319.321 0.240 20 0 DCADLN COCCCONC(=O)C1(c2cccc(-c3nn[nH]n3)c2)CC1 ZINC001605090935 1169817853 /nfs/dbraw/zinc/81/78/53/1169817853.db2.gz FSPWQVDLURJQFM-UHFFFAOYSA-N 0 2 317.349 0.983 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CCC2CC2)c(=O)n1C1CC1 ZINC001605463012 1169929647 /nfs/dbraw/zinc/92/96/47/1169929647.db2.gz NMJWBZYYRGDAJU-UHFFFAOYSA-N 0 2 302.338 0.634 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](CC)CC(=O)[O-])C1 ZINC001605524052 1169948155 /nfs/dbraw/zinc/94/81/55/1169948155.db2.gz CPDAPILKDMZMCO-LLVKDONJSA-N 0 2 300.355 0.195 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](CC)CC(=O)[O-])C1 ZINC001605524052 1169948157 /nfs/dbraw/zinc/94/81/57/1169948157.db2.gz CPDAPILKDMZMCO-LLVKDONJSA-N 0 2 300.355 0.195 20 0 DCADLN Cc1ccn(C[C@@H]2C[C@@H]3COC[C@H]3O2)c(=O)c1-c1nn[nH]n1 ZINC001605950560 1170033211 /nfs/dbraw/zinc/03/32/11/1170033211.db2.gz YMCSBKPFKYGFMS-OUAUKWLOSA-N 0 2 303.322 0.141 20 0 DCADLN COC(=O)[C@@H]1CCC[C@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001606400687 1170185276 /nfs/dbraw/zinc/18/52/76/1170185276.db2.gz NGIOCQNCFHUBHR-HOSYDEDBSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H]1CCC[C@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001606400687 1170185281 /nfs/dbraw/zinc/18/52/81/1170185281.db2.gz NGIOCQNCFHUBHR-HOSYDEDBSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H](C(=O)[O-])C1 ZINC001606402338 1170185780 /nfs/dbraw/zinc/18/57/80/1170185780.db2.gz CFVRSMVTDURGAR-GXSJLCMTSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H](C(=O)[O-])C1 ZINC001606402338 1170185785 /nfs/dbraw/zinc/18/57/85/1170185785.db2.gz CFVRSMVTDURGAR-GXSJLCMTSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@@H+]2C[C@@H](O)C[C@H]2CO)c1 ZINC001606612983 1170242118 /nfs/dbraw/zinc/24/21/18/1170242118.db2.gz OLADTNKGNXKZAM-RWMBFGLXSA-N 0 2 309.318 0.026 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@H+]2C[C@@H](O)C[C@H]2CO)c1 ZINC001606612983 1170242120 /nfs/dbraw/zinc/24/21/20/1170242120.db2.gz OLADTNKGNXKZAM-RWMBFGLXSA-N 0 2 309.318 0.026 20 0 DCADLN COC(=O)c1cccc(C[N@H+]2C[C@H](OC)C[C@]2(C)C(=O)[O-])n1 ZINC001606616413 1170244029 /nfs/dbraw/zinc/24/40/29/1170244029.db2.gz JOIDWTMKNBEMEA-IAQYHMDHSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc(C[N@@H+]2C[C@H](OC)C[C@]2(C)C(=O)[O-])n1 ZINC001606616413 1170244032 /nfs/dbraw/zinc/24/40/32/1170244032.db2.gz JOIDWTMKNBEMEA-IAQYHMDHSA-N 0 2 308.334 0.932 20 0 DCADLN CO[C@@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])c1ccc(F)cc1 ZINC001606648990 1170251288 /nfs/dbraw/zinc/25/12/88/1170251288.db2.gz OLOYTCJEWWHSAF-QWHCGFSZSA-N 0 2 321.308 0.979 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cc(C2CC2)no1 ZINC001607088284 1170387077 /nfs/dbraw/zinc/38/70/77/1170387077.db2.gz HKTSXTCIUZZELP-UHFFFAOYSA-N 0 2 301.266 0.043 20 0 DCADLN COc1cc2c(cc1OC)C[N@@H+]([C@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001607193463 1170413596 /nfs/dbraw/zinc/41/35/96/1170413596.db2.gz SOKRBRKKZKYEGJ-SNVBAGLBSA-N 0 2 322.361 0.651 20 0 DCADLN COc1cc2c(cc1OC)C[N@H+]([C@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001607193463 1170413597 /nfs/dbraw/zinc/41/35/97/1170413597.db2.gz SOKRBRKKZKYEGJ-SNVBAGLBSA-N 0 2 322.361 0.651 20 0 DCADLN COc1ccc(S(=O)(=O)NC[C@H]2CC[N@@H+]2C)c(C(=O)[O-])c1 ZINC001607286958 1170423352 /nfs/dbraw/zinc/42/33/52/1170423352.db2.gz PBXKIMNVPXBOCI-SECBINFHSA-N 0 2 314.363 0.376 20 0 DCADLN COc1ccc(S(=O)(=O)NC[C@H]2CC[N@H+]2C)c(C(=O)[O-])c1 ZINC001607286958 1170423355 /nfs/dbraw/zinc/42/33/55/1170423355.db2.gz PBXKIMNVPXBOCI-SECBINFHSA-N 0 2 314.363 0.376 20 0 DCADLN C[C@H](NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1)C(=O)[O-] ZINC001607461328 1170459468 /nfs/dbraw/zinc/45/94/68/1170459468.db2.gz MKWMKEFMAPPFDM-JTQLQIEISA-N 0 2 309.347 0.683 20 0 DCADLN Cc1cc([C@@H]([NH2+]CC(=O)NC(=O)NC2CC2)C(=O)[O-])ccc1F ZINC001607611011 1170484513 /nfs/dbraw/zinc/48/45/13/1170484513.db2.gz DGMUUANJRYDEAW-CYBMUJFWSA-N 0 2 323.324 0.838 20 0 DCADLN Cc1cc(NC(=O)N2CCC([C@H](O)C(=O)[O-])CC2)c(C)c[nH+]1 ZINC001607682820 1170494885 /nfs/dbraw/zinc/49/48/85/1170494885.db2.gz SNNZTGBNNQKTKI-ZDUSSCGKSA-N 0 2 307.350 0.810 20 0 DCADLN Cc1nc(CC2CC[NH+](Cn3cnc(C(=O)[O-])n3)CC2)no1 ZINC001607953250 1170533094 /nfs/dbraw/zinc/53/30/94/1170533094.db2.gz XOGKFMPUPOBACH-UHFFFAOYSA-N 0 2 306.326 0.580 20 0 DCADLN Cc1nc(N(C)C2CCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC001607986773 1170540368 /nfs/dbraw/zinc/54/03/68/1170540368.db2.gz LXSALOWVOJMIKT-STQMWFEESA-N 0 2 318.377 0.933 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[N@H+](CC(=O)[O-])C1CCOCC1 ZINC001607998137 1170543772 /nfs/dbraw/zinc/54/37/72/1170543772.db2.gz COJSMSJMKQDJMW-UHFFFAOYSA-N 0 2 324.381 0.541 20 0 DCADLN Cc1nn(C)c(C)c1NC(=O)C[N@@H+](CC(=O)[O-])C1CCOCC1 ZINC001607998137 1170543774 /nfs/dbraw/zinc/54/37/74/1170543774.db2.gz COJSMSJMKQDJMW-UHFFFAOYSA-N 0 2 324.381 0.541 20 0 DCADLN Cn1c(=O)oc2cc(C[N@@H+]3CCOC[C@H]3CC(=O)[O-])ccc21 ZINC001608077183 1170564882 /nfs/dbraw/zinc/56/48/82/1170564882.db2.gz HRPIBGMZTJRYMI-LLVKDONJSA-N 0 2 306.318 0.807 20 0 DCADLN Cn1c(=O)oc2cc(C[N@H+]3CCOC[C@H]3CC(=O)[O-])ccc21 ZINC001608077183 1170564885 /nfs/dbraw/zinc/56/48/85/1170564885.db2.gz HRPIBGMZTJRYMI-LLVKDONJSA-N 0 2 306.318 0.807 20 0 DCADLN Cn1cc[nH+]c1CCCOC(=O)CN1CCC[C@@H](C(=O)[O-])C1=O ZINC001608132570 1170585961 /nfs/dbraw/zinc/58/59/61/1170585961.db2.gz HMWVOXIEYDTVHZ-LLVKDONJSA-N 0 2 323.349 0.219 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC001608327450 1170651044 /nfs/dbraw/zinc/65/10/44/1170651044.db2.gz PUWFIFWNCPIMBV-ZDUSSCGKSA-N 0 2 300.261 0.425 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC001608327450 1170651049 /nfs/dbraw/zinc/65/10/49/1170651049.db2.gz PUWFIFWNCPIMBV-ZDUSSCGKSA-N 0 2 300.261 0.425 20 0 DCADLN O=C([O-])[C@H]([NH2+]CC(=O)Nc1ccon1)c1ccc2c(c1)CCO2 ZINC001608341555 1170655308 /nfs/dbraw/zinc/65/53/08/1170655308.db2.gz YCANONDEWJKBJW-CQSZACIVSA-N 0 2 317.301 0.964 20 0 DCADLN O=C([O-])[C@@H](O)CNC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC001608459783 1170684185 /nfs/dbraw/zinc/68/41/85/1170684185.db2.gz SBKXNOHFTWJKEE-ZDUSSCGKSA-N 0 2 318.333 0.171 20 0 DCADLN O=C([O-])[C@H]1CC12CCN(C(=O)C1([NH+]3CCOCC3)CCC1)CC2 ZINC001608608172 1170715622 /nfs/dbraw/zinc/71/56/22/1170715622.db2.gz VCXAGCAENNNUQP-CYBMUJFWSA-N 0 2 322.405 0.955 20 0 DCADLN O=C([O-])[C@H]1CCN2C(=O)N(CCCn3cc[nH+]c3)C(=O)[C@@H]2C1 ZINC001608640729 1170728623 /nfs/dbraw/zinc/72/86/23/1170728623.db2.gz YWDOFYMURXPDLC-QWRGUYRKSA-N 0 2 306.322 0.401 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC001608675403 1170734934 /nfs/dbraw/zinc/73/49/34/1170734934.db2.gz GBCIBCRXWIODHY-OLZOCXBDSA-N 0 2 317.345 0.884 20 0 DCADLN O=C([O-])CCCc1nc(C[NH2+][C@H](CO)[C@H]2CCCOC2)no1 ZINC001608719386 1170742552 /nfs/dbraw/zinc/74/25/52/1170742552.db2.gz PUERSSRWCUDPFW-WDEREUQCSA-N 0 2 313.354 0.354 20 0 DCADLN O=C([O-])[C@@H](F)CNC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC001608801297 1170757040 /nfs/dbraw/zinc/75/70/40/1170757040.db2.gz PFXWQCQVSHCZOX-NSHDSACASA-N 0 2 315.370 0.998 20 0 DCADLN O=C([O-])c1coc(S(=O)(=O)NCCNc2cccc[nH+]2)c1 ZINC001608990752 1170781602 /nfs/dbraw/zinc/78/16/02/1170781602.db2.gz NRSJBADYBAPOBG-UHFFFAOYSA-N 0 2 311.319 0.763 20 0 DCADLN O=C([O-])[C@]1(O)CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001609027981 1170787413 /nfs/dbraw/zinc/78/74/13/1170787413.db2.gz HANIVWQFQYNLLG-HNNXBMFYSA-N 0 2 301.302 0.534 20 0 DCADLN O=Cc1ccccc1OCC(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001609050400 1170793420 /nfs/dbraw/zinc/79/34/20/1170793420.db2.gz IUASHHSPXVNLBV-UHFFFAOYSA-N 0 2 306.318 0.107 20 0 DCADLN CC[C@@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)C[C@@H](C)O1 ZINC001609202408 1170867832 /nfs/dbraw/zinc/86/78/32/1170867832.db2.gz HIMVVOBKQPIOLO-VXGBXAGGSA-N 0 2 318.381 0.794 20 0 DCADLN CC[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCS1 ZINC001609202606 1170867995 /nfs/dbraw/zinc/86/79/95/1170867995.db2.gz MEGIFTDGWLUNLK-SNVBAGLBSA-N 0 2 306.395 0.813 20 0 DCADLN CCCn1c(C)nnc1Cn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001609218576 1170873824 /nfs/dbraw/zinc/87/38/24/1170873824.db2.gz SNLMLXYTNLLVOL-UHFFFAOYSA-N 0 2 314.353 0.695 20 0 DCADLN CCc1nc(NC(=O)c2cccc(F)c2-c2nn[nH]n2)n[nH]1 ZINC001609254173 1170897027 /nfs/dbraw/zinc/89/70/27/1170897027.db2.gz NMUIYKPAVZYGAP-UHFFFAOYSA-N 0 2 302.273 0.939 20 0 DCADLN CCc1n[nH]c(NC(=O)c2cccc(F)c2-c2nn[nH]n2)n1 ZINC001609254173 1170897043 /nfs/dbraw/zinc/89/70/43/1170897043.db2.gz NMUIYKPAVZYGAP-UHFFFAOYSA-N 0 2 302.273 0.939 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc(CN2CCOCC2)cn1CCCF ZINC001609603919 1171039871 /nfs/dbraw/zinc/03/98/71/1171039871.db2.gz KVBBQBIYZBIJMO-UHFFFAOYSA-N 0 2 322.344 0.220 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1ccc(C(F)(F)F)cn1 ZINC001609604868 1171040150 /nfs/dbraw/zinc/04/01/50/1171040150.db2.gz ULKZDRKIBZWVPA-UHFFFAOYSA-N 0 2 323.238 0.886 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1nnc(-c2ccccc2)o1 ZINC001609604930 1171040655 /nfs/dbraw/zinc/04/06/55/1171040655.db2.gz YAGDJQLDIXXCSP-UHFFFAOYSA-N 0 2 322.288 0.522 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCCCC1CCOCC1 ZINC001609604484 1171041185 /nfs/dbraw/zinc/04/11/85/1171041185.db2.gz SOQVZTQFSDKHFY-UHFFFAOYSA-N 0 2 320.353 0.726 20 0 DCADLN CC(C)(C)n1ncc2c1nc[nH+]c2N1C[C@H](O)C[C@H]1C(=O)[O-] ZINC001609672740 1171063525 /nfs/dbraw/zinc/06/35/25/1171063525.db2.gz MINMDMBZUOJCRC-SCZZXKLOSA-N 0 2 305.338 0.606 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCSC[C@H]1CCO)C(=O)[O-] ZINC001609716379 1171073421 /nfs/dbraw/zinc/07/34/21/1171073421.db2.gz GKNJTCBUBSCLJI-PWSUYJOCSA-N 0 2 304.412 0.012 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCSC[C@H]1CCO)C(=O)[O-] ZINC001609716379 1171073430 /nfs/dbraw/zinc/07/34/30/1171073430.db2.gz GKNJTCBUBSCLJI-PWSUYJOCSA-N 0 2 304.412 0.012 20 0 DCADLN C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2cc(C(=O)[O-])co2)C1 ZINC001609824969 1171095521 /nfs/dbraw/zinc/09/55/21/1171095521.db2.gz HNZLCTHSFAGNJR-LLVKDONJSA-N 0 2 306.315 0.300 20 0 DCADLN CCCS(=O)(=O)CCN1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC001609981611 1171131177 /nfs/dbraw/zinc/13/11/77/1171131177.db2.gz KNLBCLYBHIHYSL-GFCCVEGCSA-N 0 2 306.428 0.292 20 0 DCADLN CCCS(=O)(=O)CCN1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001609981611 1171131178 /nfs/dbraw/zinc/13/11/78/1171131178.db2.gz KNLBCLYBHIHYSL-GFCCVEGCSA-N 0 2 306.428 0.292 20 0 DCADLN CCc1noc(CCCC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001610077275 1171150520 /nfs/dbraw/zinc/15/05/20/1171150520.db2.gz DCSCTLLQRQYGFZ-JTQLQIEISA-N 0 2 321.337 0.421 20 0 DCADLN CCn1cc(C(=O)C(=O)N2CC[NH+]([C@H](C)CC(=O)[O-])CC2)cn1 ZINC001610086769 1171152779 /nfs/dbraw/zinc/15/27/79/1171152779.db2.gz WDBRWPYVZGGPLH-LLVKDONJSA-N 0 2 322.365 0.093 20 0 DCADLN CCn1ncnc1C[NH+]1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001610086486 1171153861 /nfs/dbraw/zinc/15/38/61/1171153861.db2.gz QNVURYFMVVQZCT-UHFFFAOYSA-N 0 2 305.342 0.425 20 0 DCADLN CN1CC[C@@H]([NH+]2CCN(c3ccc(C(=O)[O-])s3)CC2)C1=O ZINC001610130771 1171166675 /nfs/dbraw/zinc/16/66/75/1171166675.db2.gz WKYWODRIKFFQSS-SNVBAGLBSA-N 0 2 309.391 0.799 20 0 DCADLN COC(=O)[C@H]1C[N@@H+]([C@@H](C(=O)[O-])C2(OC)CCC2)C[C@@H](C)O1 ZINC001610192753 1171194123 /nfs/dbraw/zinc/19/41/23/1171194123.db2.gz UEYOPXAAVYYGCQ-MXWKQRLJSA-N 0 2 301.339 0.271 20 0 DCADLN COC(=O)[C@H]1C[N@H+]([C@@H](C(=O)[O-])C2(OC)CCC2)C[C@@H](C)O1 ZINC001610192753 1171194125 /nfs/dbraw/zinc/19/41/25/1171194125.db2.gz UEYOPXAAVYYGCQ-MXWKQRLJSA-N 0 2 301.339 0.271 20 0 DCADLN Cc1ccc(N2CC[NH+](CC(=O)NCCC(=O)[O-])CC2)cc1 ZINC001610504529 1171244420 /nfs/dbraw/zinc/24/44/20/1171244420.db2.gz NMICHXSTESWQLU-UHFFFAOYSA-N 0 2 305.378 0.708 20 0 DCADLN Cc1noc(C2(C)CC[NH+](Cn3cc(C(=O)[O-])nn3)CC2)n1 ZINC001610577955 1171259540 /nfs/dbraw/zinc/25/95/40/1171259540.db2.gz YHCIGVQETSABGY-UHFFFAOYSA-N 0 2 306.326 0.679 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCC[N@@H+]1CC(=O)NCCC1CCCCC1 ZINC001610664016 1171284767 /nfs/dbraw/zinc/28/47/67/1171284767.db2.gz MPVKUEXYDBMWSW-UKRRQHHQSA-N 0 2 312.410 0.983 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCC[N@H+]1CC(=O)NCCC1CCCCC1 ZINC001610664016 1171284770 /nfs/dbraw/zinc/28/47/70/1171284770.db2.gz MPVKUEXYDBMWSW-UKRRQHHQSA-N 0 2 312.410 0.983 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc2c(s1)CCOC2 ZINC001610682433 1171290120 /nfs/dbraw/zinc/29/01/20/1171290120.db2.gz VSAOHODQTZABEW-SNVBAGLBSA-N 0 2 321.358 0.901 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1Cc1n[nH]c2ccccc12 ZINC001610782406 1171323644 /nfs/dbraw/zinc/32/36/44/1171323644.db2.gz ATOATBNBCMVJRQ-ZDUSSCGKSA-N 0 2 302.334 0.728 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1Cc1n[nH]c2ccccc12 ZINC001610782406 1171323654 /nfs/dbraw/zinc/32/36/54/1171323654.db2.gz ATOATBNBCMVJRQ-ZDUSSCGKSA-N 0 2 302.334 0.728 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)N2CC[NH2+]C[C@H]2C(F)F)c1 ZINC001610849726 1171339252 /nfs/dbraw/zinc/33/92/52/1171339252.db2.gz GTIGZXPYPMGRRV-JTQLQIEISA-N 0 2 320.317 0.612 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@@H+](Cc3cc(=O)c(O)co3)C2)nn1 ZINC001610858449 1171341122 /nfs/dbraw/zinc/34/11/22/1171341122.db2.gz GIIBRDBNFAYXLS-MRVPVSSYSA-N 0 2 306.278 0.082 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@H+](Cc3cc(=O)c(O)co3)C2)nn1 ZINC001610858449 1171341125 /nfs/dbraw/zinc/34/11/25/1171341125.db2.gz GIIBRDBNFAYXLS-MRVPVSSYSA-N 0 2 306.278 0.082 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CC(=O)c2ccccc21 ZINC001610884562 1171347344 /nfs/dbraw/zinc/34/73/44/1171347344.db2.gz VAVSCXVYXCYBLV-OLZOCXBDSA-N 0 2 313.313 0.892 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CC(=O)c2ccccc21 ZINC001610884562 1171347352 /nfs/dbraw/zinc/34/73/52/1171347352.db2.gz VAVSCXVYXCYBLV-OLZOCXBDSA-N 0 2 313.313 0.892 20 0 DCADLN CO[C@@H]1COCC[C@H]1CC(=O)NCCc1n[nH]c(=S)o1 ZINC001634591258 1171678550 /nfs/dbraw/zinc/67/85/50/1171678550.db2.gz XANJMZZGYFPPSG-DTWKUNHWSA-N 0 2 301.368 0.459 20 0 DCADLN O=C(Cn1cc[nH+]c1)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[n-]1 ZINC001635456739 1171703123 /nfs/dbraw/zinc/70/31/23/1171703123.db2.gz QZCYOUJYYSTHCO-GFCCVEGCSA-N 0 2 312.333 0.807 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc2[nH]cnc2c1F ZINC001642460360 1171947033 /nfs/dbraw/zinc/94/70/33/1171947033.db2.gz VXDYBUBUMFRZTF-UHFFFAOYSA-N 0 2 303.253 0.833 20 0 DCADLN Cc1nc2nc(C)cc(N3CCC[C@H](c4n[nH]c(=O)[nH]4)C3)n2n1 ZINC001642683808 1171954255 /nfs/dbraw/zinc/95/42/55/1171954255.db2.gz JOYWRVBTSIKODZ-JTQLQIEISA-N 0 2 314.353 0.949 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H]1CCNC1=O ZINC001643473509 1171977790 /nfs/dbraw/zinc/97/77/90/1171977790.db2.gz JMEXRYOUFGGCNM-GHMZBOCLSA-N 0 2 315.333 0.046 20 0 DCADLN O=C([O-])C[N@@H+]1CCCN(C(=O)c2n[nH]cc2C(F)(F)F)CC1 ZINC001646261303 1172309211 /nfs/dbraw/zinc/30/92/11/1172309211.db2.gz NZBQECRNXMMAER-UHFFFAOYSA-N 0 2 320.271 0.661 20 0 DCADLN O=C([O-])C[N@H+]1CCCN(C(=O)c2n[nH]cc2C(F)(F)F)CC1 ZINC001646261303 1172309220 /nfs/dbraw/zinc/30/92/20/1172309220.db2.gz NZBQECRNXMMAER-UHFFFAOYSA-N 0 2 320.271 0.661 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-n2cncn2)nc1)c1nn(C)cc1O ZINC001646310189 1172332448 /nfs/dbraw/zinc/33/24/48/1172332448.db2.gz OFLVYDXPZFUQSS-SECBINFHSA-N 0 2 313.321 0.592 20 0 DCADLN COCc1nnc(CNC(=O)c2cc(OC)c(O)c(OC)c2)[nH]1 ZINC001647299852 1172793367 /nfs/dbraw/zinc/79/33/67/1172793367.db2.gz MOWTZYLYLOYRLE-UHFFFAOYSA-N 0 2 322.321 0.604 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001647422601 1172879903 /nfs/dbraw/zinc/87/99/03/1172879903.db2.gz OUSFKLRPQZCQME-QJPTWQEYSA-N 0 2 307.350 0.584 20 0 DCADLN C[C@H]1CC[C@H](C(=O)[O-])C[N@@H+]1Cc1nnn(CC(F)(F)F)n1 ZINC001649881672 1173280026 /nfs/dbraw/zinc/28/00/26/1173280026.db2.gz CNHAXCPMUAXWTJ-YUMQZZPRSA-N 0 2 307.276 0.921 20 0 DCADLN C[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cc1nnn(CC(F)(F)F)n1 ZINC001649881672 1173280029 /nfs/dbraw/zinc/28/00/29/1173280029.db2.gz CNHAXCPMUAXWTJ-YUMQZZPRSA-N 0 2 307.276 0.921 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N2CC[C@@H](c3nnc[nH]3)C2)n[nH]1 ZINC001650021805 1173384183 /nfs/dbraw/zinc/38/41/83/1173384183.db2.gz BOWQWVBUNZHPGY-ZWNOBZJWSA-N 0 2 315.381 0.924 20 0 DCADLN COCCC[N@H+]1CC[C@H]1CN(C)C(=O)CCc1[nH+]ccn1C ZINC001656081111 1173837012 /nfs/dbraw/zinc/83/70/12/1173837012.db2.gz URUOXEBESRYJAT-AWEZNQCLSA-N 0 2 308.426 0.922 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@H+](Cc2cnon2)C2CC2)c1[O-] ZINC001660671171 1174034037 /nfs/dbraw/zinc/03/40/37/1174034037.db2.gz XIHCMOMBFDNFED-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@@H+](Cc2cnon2)C2CC2)c1[O-] ZINC001660671171 1174034047 /nfs/dbraw/zinc/03/40/47/1174034047.db2.gz XIHCMOMBFDNFED-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN CCC/C=C\CC[NH+]1CC(NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001665220287 1174492836 /nfs/dbraw/zinc/49/28/36/1174492836.db2.gz OYSMAXJJOVFUAK-PLNGDYQASA-N 0 2 309.370 0.236 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(OCC2CC2)nc1 ZINC000080974055 1174523491 /nfs/dbraw/zinc/52/34/91/1174523491.db2.gz LIBSIGLBZOYKFN-UHFFFAOYSA-N 0 2 317.349 0.644 20 0 DCADLN O=C(Cn1cccn1)NCCCNC(=O)C(F)C(F)(F)F ZINC001686888264 1176241792 /nfs/dbraw/zinc/24/17/92/1176241792.db2.gz YHEJCRUQXYDFBB-VIFPVBQESA-N 0 2 310.251 0.406 20 0 DCADLN O=C(Cn1cccn1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001686888264 1176241796 /nfs/dbraw/zinc/24/17/96/1176241796.db2.gz YHEJCRUQXYDFBB-VIFPVBQESA-N 0 2 310.251 0.406 20 0 DCADLN CC(C)(C(=O)NCCCNC(=O)Cn1cc[nH+]c1)c1c[nH]cn1 ZINC001687075540 1176273145 /nfs/dbraw/zinc/27/31/45/1176273145.db2.gz PESMLMQVKABXHU-UHFFFAOYSA-N 0 2 318.381 0.207 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001703325071 1179471189 /nfs/dbraw/zinc/47/11/89/1179471189.db2.gz AXBKVBOKDXPAMT-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)n(C)n1 ZINC001703325071 1179471195 /nfs/dbraw/zinc/47/11/95/1179471195.db2.gz AXBKVBOKDXPAMT-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN CC/C=C(/C)C(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001713072537 1180664949 /nfs/dbraw/zinc/66/49/49/1180664949.db2.gz GPNUYFBXPDOOFL-QCDXTXTGSA-N 0 2 322.413 0.100 20 0 DCADLN CC(C)=CC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001718622170 1183292525 /nfs/dbraw/zinc/29/25/25/1183292525.db2.gz PKXRMKWBWDICFH-VXNVDRBHSA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001718622170 1183292527 /nfs/dbraw/zinc/29/25/27/1183292527.db2.gz PKXRMKWBWDICFH-VXNVDRBHSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721754877 1184021409 /nfs/dbraw/zinc/02/14/09/1184021409.db2.gz YDAYFOFPPIDWTA-HUBQWXJRSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001721754877 1184021414 /nfs/dbraw/zinc/02/14/14/1184021414.db2.gz YDAYFOFPPIDWTA-HUBQWXJRSA-N 0 2 300.252 0.446 20 0 DCADLN O=C(NCCCNC(=O)C1CCOCC1)C(F)C(F)(F)F ZINC001736867070 1187146030 /nfs/dbraw/zinc/14/60/30/1187146030.db2.gz RLRGIPPMWQTBQX-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCNC(=O)C1CCOCC1)[C@H](F)C(F)(F)F ZINC001736867070 1187146034 /nfs/dbraw/zinc/14/60/34/1187146034.db2.gz RLRGIPPMWQTBQX-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN COCCOCC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001737716746 1187391208 /nfs/dbraw/zinc/39/12/08/1187391208.db2.gz USDBNASJCPQQJE-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN COCCOCC(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001737716746 1187391209 /nfs/dbraw/zinc/39/12/09/1187391209.db2.gz USDBNASJCPQQJE-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cnsn1 ZINC001742759044 1188044413 /nfs/dbraw/zinc/04/44/13/1188044413.db2.gz JDGJPOGHNGVDOF-ZCFIWIBFSA-N 0 2 314.264 0.675 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1cnsn1 ZINC001742759044 1188044415 /nfs/dbraw/zinc/04/44/15/1188044415.db2.gz JDGJPOGHNGVDOF-ZCFIWIBFSA-N 0 2 314.264 0.675 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCCNC(=O)c1[nH]nc2c1CCC2 ZINC001742916440 1188075961 /nfs/dbraw/zinc/07/59/61/1188075961.db2.gz OCOKJTUFPLGRHH-UHFFFAOYSA-N 0 2 321.425 0.475 20 0 DCADLN CC[N@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])Cc1cnn(C)c1 ZINC001752171695 1188396575 /nfs/dbraw/zinc/39/65/75/1188396575.db2.gz GCRYYEWBLVEBKO-UHFFFAOYSA-N 0 2 320.397 0.799 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])Cc1cnn(C)c1 ZINC001752171695 1188396579 /nfs/dbraw/zinc/39/65/79/1188396579.db2.gz GCRYYEWBLVEBKO-UHFFFAOYSA-N 0 2 320.397 0.799 20 0 DCADLN CC[N@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@@H]1CCCNC1=O ZINC001752172951 1188397188 /nfs/dbraw/zinc/39/71/88/1188397188.db2.gz PLZUFDBAMIEUCD-LLVKDONJSA-N 0 2 323.397 0.144 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@@H]1CCCNC1=O ZINC001752172951 1188397190 /nfs/dbraw/zinc/39/71/90/1188397190.db2.gz PLZUFDBAMIEUCD-LLVKDONJSA-N 0 2 323.397 0.144 20 0 DCADLN C[C@@H](CNC(=O)c1ccc2oc(=O)nc-2[n-]1)[NH2+]Cc1cnon1 ZINC001752912387 1188460670 /nfs/dbraw/zinc/46/06/70/1188460670.db2.gz CIWJLFWMIDCZDQ-ZETCQYMHSA-N 0 2 318.293 0.220 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)=C1CCCC1 ZINC001753399254 1188583505 /nfs/dbraw/zinc/58/35/05/1188583505.db2.gz CNZLIGBNRDQJNB-UHFFFAOYSA-N 0 2 309.345 0.856 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+][C@@H](C)c2ncccn2)c1[O-] ZINC001754113145 1188744909 /nfs/dbraw/zinc/74/49/09/1188744909.db2.gz USNGBIZSHVEHJC-UFFNRZRYSA-N 0 2 316.365 0.851 20 0 DCADLN C[C@@H](CNC(=O)C=Cc1ccc[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001755296719 1189071196 /nfs/dbraw/zinc/07/11/96/1189071196.db2.gz PZOZRSQKWIOUTP-PORFMDCZSA-N 0 2 304.354 0.488 20 0 DCADLN C[C@@H](CNC(=O)C=Cc1ccc[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001755296719 1189071198 /nfs/dbraw/zinc/07/11/98/1189071198.db2.gz PZOZRSQKWIOUTP-PORFMDCZSA-N 0 2 304.354 0.488 20 0 DCADLN C[C@@H]1[C@H](CO)CCCN1c1nnc(-c2n[nH]c(Cl)n2)n1C ZINC001772150681 1190615336 /nfs/dbraw/zinc/61/53/36/1190615336.db2.gz HVXCILFFDCDWGR-SFYZADRCSA-N 0 2 311.777 0.851 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC001772205387 1190629351 /nfs/dbraw/zinc/62/93/51/1190629351.db2.gz OJCCJIAVODYNNS-QWHCGFSZSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])CCc1ccc(S(=O)(=O)NCC[NH+]2CC=CC2)cc1 ZINC000392223579 1190701290 /nfs/dbraw/zinc/70/12/90/1190701290.db2.gz JVPNOKJVVORCJJ-UHFFFAOYSA-N 0 2 324.402 0.854 20 0 DCADLN O=C(/C=C/C1CC1)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001042329018 751583517 /nfs/dbraw/zinc/58/35/17/751583517.db2.gz CSQDACKPUFPUFJ-HMDXOVGESA-N 0 2 324.274 0.543 20 0 DCADLN O=C(/C=C/C1CC1)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001042329018 751583521 /nfs/dbraw/zinc/58/35/21/751583521.db2.gz CSQDACKPUFPUFJ-HMDXOVGESA-N 0 2 324.274 0.543 20 0 DCADLN CC[N@H+]1C[C@@H](NC(=O)c2cc(C[NH+]3CCCC3)on2)[C@@H](O)C1 ZINC001083782759 751871220 /nfs/dbraw/zinc/87/12/20/751871220.db2.gz KHTIFKCSHXWVQN-KGLIPLIRSA-N 0 2 308.382 0.065 20 0 DCADLN CN(C)c1noc(CNCCNC(=O)C(F)C(F)(F)F)n1 ZINC001127780418 752069531 /nfs/dbraw/zinc/06/95/31/752069531.db2.gz YRWFGCRGMDHELX-ZETCQYMHSA-N 0 2 313.255 0.242 20 0 DCADLN CCc1nnc([C@H](C)[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001125734211 747542701 /nfs/dbraw/zinc/54/27/01/747542701.db2.gz KDJYXBNYFNPRIW-QMMMGPOBSA-N 0 2 307.358 0.185 20 0 DCADLN CCCCC(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043373620 752201022 /nfs/dbraw/zinc/20/10/22/752201022.db2.gz BYTHKDHJQUNMLC-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CCCCC(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043373620 752201028 /nfs/dbraw/zinc/20/10/28/752201028.db2.gz BYTHKDHJQUNMLC-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CC[C@@H](C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377085 752202657 /nfs/dbraw/zinc/20/26/57/752202657.db2.gz RQRCRLNSJTYHDS-HTQZYQBOSA-N 0 2 314.279 0.622 20 0 DCADLN CC[C@@H](C)C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043377085 752202661 /nfs/dbraw/zinc/20/26/61/752202661.db2.gz RQRCRLNSJTYHDS-HTQZYQBOSA-N 0 2 314.279 0.622 20 0 DCADLN CCC(=O)N[C@@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H]1O ZINC001083296690 748014860 /nfs/dbraw/zinc/01/48/60/748014860.db2.gz CLTDFWULKWFHNO-ZJUUUORDSA-N 0 2 322.390 0.468 20 0 DCADLN CN(C(=O)[C@@H]1CCCC1(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043573088 752294538 /nfs/dbraw/zinc/29/45/38/752294538.db2.gz WUNBHMHGKMSAGB-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@@H]2CCCO2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088479658 749486824 /nfs/dbraw/zinc/48/68/24/749486824.db2.gz VEMYVQKOOOFSPL-VWYCJHECSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@@H]2CCCO2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088479658 749486825 /nfs/dbraw/zinc/48/68/25/749486825.db2.gz VEMYVQKOOOFSPL-VWYCJHECSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@@H]2CCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088501635 749516252 /nfs/dbraw/zinc/51/62/52/749516252.db2.gz DLNOMXXJAAEYCS-VWYCJHECSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@@H]2CCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088501635 749516256 /nfs/dbraw/zinc/51/62/56/749516256.db2.gz DLNOMXXJAAEYCS-VWYCJHECSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2cncs2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088640188 749822735 /nfs/dbraw/zinc/82/27/35/749822735.db2.gz JIUWTFQPRNCIMO-SCZZXKLOSA-N 0 2 322.394 0.289 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2cncs2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088640188 749822739 /nfs/dbraw/zinc/82/27/39/749822739.db2.gz JIUWTFQPRNCIMO-SCZZXKLOSA-N 0 2 322.394 0.289 20 0 DCADLN Cc1ccnc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106141866 750978000 /nfs/dbraw/zinc/97/80/00/750978000.db2.gz IQVGEAFVVWHWHI-JGVFFNPUSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccnc(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001106141866 750978001 /nfs/dbraw/zinc/97/80/01/750978001.db2.gz IQVGEAFVVWHWHI-JGVFFNPUSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1cc(F)cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001043961760 752493222 /nfs/dbraw/zinc/49/32/22/752493222.db2.gz NEPCFKMNQGLZOS-UHFFFAOYSA-N 0 2 319.340 0.914 20 0 DCADLN Cc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)[nH]1 ZINC001043977926 752502287 /nfs/dbraw/zinc/50/22/87/752502287.db2.gz LKLCDSPUWONTNV-UHFFFAOYSA-N 0 2 304.354 0.412 20 0 DCADLN CN(C(=O)C(C)(C)C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001044218369 752633561 /nfs/dbraw/zinc/63/35/61/752633561.db2.gz XRMQMFGLJZFPOG-UHFFFAOYSA-N 0 2 317.393 0.711 20 0 DCADLN CN(C(=O)c1ccc(CF)cc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044351075 752702300 /nfs/dbraw/zinc/70/23/00/752702300.db2.gz ZAHUYPWNNKMPCW-UHFFFAOYSA-N 0 2 319.340 0.936 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049285432 752771063 /nfs/dbraw/zinc/77/10/63/752771063.db2.gz QTCCLXMYXISXIE-ZJUUUORDSA-N 0 2 311.361 0.824 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049285432 752771066 /nfs/dbraw/zinc/77/10/66/752771066.db2.gz QTCCLXMYXISXIE-ZJUUUORDSA-N 0 2 311.361 0.824 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049285432 752771069 /nfs/dbraw/zinc/77/10/69/752771069.db2.gz QTCCLXMYXISXIE-ZJUUUORDSA-N 0 2 311.361 0.824 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC)[NH+](C)C ZINC001212461434 753492575 /nfs/dbraw/zinc/49/25/75/753492575.db2.gz ZRVLMCVSXDFNJY-RBSFLKMASA-N 0 2 324.425 0.639 20 0 DCADLN Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001046152130 753511596 /nfs/dbraw/zinc/51/15/96/753511596.db2.gz LRUYDYXTTBDBDX-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001046152130 753511602 /nfs/dbraw/zinc/51/16/02/753511602.db2.gz LRUYDYXTTBDBDX-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN CCOC1CC(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001046289482 753620263 /nfs/dbraw/zinc/62/02/63/753620263.db2.gz WEUJNUSTZNBVFT-QKFMDRJYSA-N 0 2 323.397 0.406 20 0 DCADLN CCOC1CC(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001046289482 753620267 /nfs/dbraw/zinc/62/02/67/753620267.db2.gz WEUJNUSTZNBVFT-QKFMDRJYSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1noc([C@@H](C)[N@@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046442827 753722369 /nfs/dbraw/zinc/72/23/69/753722369.db2.gz ROHILBNAUXIBJI-OTYXRUKQSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1noc([C@@H](C)[N@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046442827 753722370 /nfs/dbraw/zinc/72/23/70/753722370.db2.gz ROHILBNAUXIBJI-OTYXRUKQSA-N 0 2 319.369 0.706 20 0 DCADLN Cc1coc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001046556070 753788498 /nfs/dbraw/zinc/78/84/98/753788498.db2.gz CQRXAEKAZMCOBN-AWEZNQCLSA-N 0 2 305.338 0.806 20 0 DCADLN C[C@]1(NC(=O)c2ccc(Cl)[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046604366 753824270 /nfs/dbraw/zinc/82/42/70/753824270.db2.gz JUCNIOAWOKCTNP-ZDUSSCGKSA-N 0 2 324.772 0.886 20 0 DCADLN C[C@]1(NC(=O)c2ccc(Cl)[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046604366 753824281 /nfs/dbraw/zinc/82/42/81/753824281.db2.gz JUCNIOAWOKCTNP-ZDUSSCGKSA-N 0 2 324.772 0.886 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CC23CCC3)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046660737 753854891 /nfs/dbraw/zinc/85/48/91/753854891.db2.gz BXOOXRRAEZICMW-IINYFYTJSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CC23CCC3)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046660737 753854898 /nfs/dbraw/zinc/85/48/98/753854898.db2.gz BXOOXRRAEZICMW-IINYFYTJSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCC1(F)F)C1CC1 ZINC001046901872 754009665 /nfs/dbraw/zinc/00/96/65/754009665.db2.gz KMWCMKSKYSZTCE-SECBINFHSA-N 0 2 315.324 0.494 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CC12CC2 ZINC001047153378 754108882 /nfs/dbraw/zinc/10/88/82/754108882.db2.gz FCADJLNYMQZTFS-HTQZYQBOSA-N 0 2 324.274 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CC12CC2 ZINC001047153378 754108887 /nfs/dbraw/zinc/10/88/87/754108887.db2.gz FCADJLNYMQZTFS-HTQZYQBOSA-N 0 2 324.274 0.376 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2C[N@H+](CC[C@@H](C)F)C[C@@H]2O)c1[O-] ZINC001047350892 754205342 /nfs/dbraw/zinc/20/53/42/754205342.db2.gz QDMDQIXMTCPNLE-MIMYLULJSA-N 0 2 314.361 0.289 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2C[N@@H+](CC[C@@H](C)F)C[C@@H]2O)c1[O-] ZINC001047350892 754205345 /nfs/dbraw/zinc/20/53/45/754205345.db2.gz QDMDQIXMTCPNLE-MIMYLULJSA-N 0 2 314.361 0.289 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CN(C(=O)C2CC2)C[C@@H]1O ZINC001048958580 755041398 /nfs/dbraw/zinc/04/13/98/755041398.db2.gz ZKQOPULRNHWGSK-CIUDSAMLSA-N 0 2 312.263 0.327 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CN(C(=O)C2CC2)C[C@@H]1O ZINC001048958580 755041402 /nfs/dbraw/zinc/04/14/02/755041402.db2.gz ZKQOPULRNHWGSK-CIUDSAMLSA-N 0 2 312.263 0.327 20 0 DCADLN O=C(c1ncc[nH]1)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049461823 755273743 /nfs/dbraw/zinc/27/37/43/755273743.db2.gz XCINOPUMRQQRPE-VHSXEESVSA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1ncc[nH]1)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049461823 755273747 /nfs/dbraw/zinc/27/37/47/755273747.db2.gz XCINOPUMRQQRPE-VHSXEESVSA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1ccoc1)N1CCC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049972859 755475361 /nfs/dbraw/zinc/47/53/61/755475361.db2.gz NYAFRYPLYAZOGQ-CMPLNLGQSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccoc1)N1CCC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001049972859 755475364 /nfs/dbraw/zinc/47/53/64/755475364.db2.gz NYAFRYPLYAZOGQ-CMPLNLGQSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC2(CC2)C1 ZINC001097821961 755760842 /nfs/dbraw/zinc/76/08/42/755760842.db2.gz UFORRRGOFNEKLF-UTUOFQBUSA-N 0 2 317.393 0.922 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cccc(F)c1 ZINC001079377836 755800655 /nfs/dbraw/zinc/80/06/55/755800655.db2.gz RARNTLPRXBNJMV-BXKDBHETSA-N 0 2 319.340 0.900 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cccc(F)c1 ZINC001079377836 755800658 /nfs/dbraw/zinc/80/06/58/755800658.db2.gz RARNTLPRXBNJMV-BXKDBHETSA-N 0 2 319.340 0.900 20 0 DCADLN O=C(C1CCCC1)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053121778 755920494 /nfs/dbraw/zinc/92/04/94/755920494.db2.gz IPWFHEFAUCWCJS-UHFFFAOYSA-N 0 2 321.381 0.114 20 0 DCADLN CC(C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)=C1CCC1 ZINC001079675972 755955905 /nfs/dbraw/zinc/95/59/05/755955905.db2.gz HEFFWCCENUODKE-BXKDBHETSA-N 0 2 305.382 0.947 20 0 DCADLN CC(C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)=C1CCC1 ZINC001079675972 755955907 /nfs/dbraw/zinc/95/59/07/755955907.db2.gz HEFFWCCENUODKE-BXKDBHETSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@H]1CCC1(F)F ZINC001079937055 756059548 /nfs/dbraw/zinc/05/95/48/756059548.db2.gz NVTOIFCCDIEDEN-HRDYMLBCSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCC1(F)F ZINC001079937055 756059555 /nfs/dbraw/zinc/05/95/55/756059555.db2.gz NVTOIFCCDIEDEN-HRDYMLBCSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCC1(F)F ZINC001079937055 756059561 /nfs/dbraw/zinc/05/95/61/756059561.db2.gz NVTOIFCCDIEDEN-HRDYMLBCSA-N 0 2 315.324 0.492 20 0 DCADLN Cc1c(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)ccn1C ZINC001080401392 756199313 /nfs/dbraw/zinc/19/93/13/756199313.db2.gz ZGEXYYCQLRPIHW-BXKDBHETSA-N 0 2 318.381 0.408 20 0 DCADLN Cc1c(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)ccn1C ZINC001080401392 756199315 /nfs/dbraw/zinc/19/93/15/756199315.db2.gz ZGEXYYCQLRPIHW-BXKDBHETSA-N 0 2 318.381 0.408 20 0 DCADLN CC1(C)C[C@H]1C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053780893 756200656 /nfs/dbraw/zinc/20/06/56/756200656.db2.gz WFUOQIMHJNFHRH-ZJUUUORDSA-N 0 2 321.381 0.016 20 0 DCADLN CC(=O)N1CCC(CO)(NC(=O)C(F)C(F)(F)F)CC1 ZINC001080885040 756375335 /nfs/dbraw/zinc/37/53/35/756375335.db2.gz WYXPUBKULBFJAG-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N1CCC(CO)(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001080885040 756375337 /nfs/dbraw/zinc/37/53/37/756375337.db2.gz WYXPUBKULBFJAG-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@H]([NH2+]Cc3nncs3)[C@@H](C)C2)c1[O-] ZINC001054569770 756545356 /nfs/dbraw/zinc/54/53/56/756545356.db2.gz GUNMSAFDVGNSIP-CBAPKCEASA-N 0 2 322.394 0.526 20 0 DCADLN Cc1cc(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)co1 ZINC001084304471 757774719 /nfs/dbraw/zinc/77/47/19/757774719.db2.gz LMQKAGZVMASMSX-ZYHUDNBSSA-N 0 2 317.349 0.758 20 0 DCADLN O=C([C@H]1CC12CC2)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084684390 757968718 /nfs/dbraw/zinc/96/87/18/757968718.db2.gz IALBPKJTNFVLCY-GMTAPVOTSA-N 0 2 303.366 0.343 20 0 DCADLN CC[C@@H](F)C(=O)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001085007935 758163325 /nfs/dbraw/zinc/16/33/25/758163325.db2.gz FVLUGXBINDYIJU-MXWKQRLJSA-N 0 2 311.361 0.681 20 0 DCADLN CC[C@@H](F)C(=O)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001085007935 758163336 /nfs/dbraw/zinc/16/33/36/758163336.db2.gz FVLUGXBINDYIJU-MXWKQRLJSA-N 0 2 311.361 0.681 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CC1(F)F ZINC001085586920 759094659 /nfs/dbraw/zinc/09/46/59/759094659.db2.gz FGFGXZXCLKORBN-HTQZYQBOSA-N 0 2 301.297 0.198 20 0 DCADLN Cc1cocc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085766270 759283377 /nfs/dbraw/zinc/28/33/77/759283377.db2.gz FMMHXSZQUNQOEK-JTQLQIEISA-N 0 2 305.338 0.758 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CC12CCC2 ZINC001085848172 759374380 /nfs/dbraw/zinc/37/43/80/759374380.db2.gz SQBWUKXYMDISAV-GHMZBOCLSA-N 0 2 305.382 0.733 20 0 DCADLN CC(C)=CC(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829678 759572356 /nfs/dbraw/zinc/57/23/56/759572356.db2.gz UTFCWJSCUUOFTI-SNVBAGLBSA-N 0 2 314.279 0.790 20 0 DCADLN CC(C)=CC(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057829678 759572361 /nfs/dbraw/zinc/57/23/61/759572361.db2.gz UTFCWJSCUUOFTI-SNVBAGLBSA-N 0 2 314.279 0.790 20 0 DCADLN CC(F)(F)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829629 759573463 /nfs/dbraw/zinc/57/34/63/759573463.db2.gz SNQWPYCCDKOGEZ-ZCFIWIBFSA-N 0 2 324.221 0.479 20 0 DCADLN CC(F)(F)C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057829629 759573467 /nfs/dbraw/zinc/57/34/67/759573467.db2.gz SNQWPYCCDKOGEZ-ZCFIWIBFSA-N 0 2 324.221 0.479 20 0 DCADLN CC(C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829208 759573919 /nfs/dbraw/zinc/57/39/19/759573919.db2.gz HBCOFFCDZAMABW-MRVPVSSYSA-N 0 2 302.268 0.480 20 0 DCADLN CC(C)C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057829208 759573927 /nfs/dbraw/zinc/57/39/27/759573927.db2.gz HBCOFFCDZAMABW-MRVPVSSYSA-N 0 2 302.268 0.480 20 0 DCADLN Cc1nccc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122762380 767871933 /nfs/dbraw/zinc/87/19/33/767871933.db2.gz YNMICHDPFZICCJ-APPZFPTMSA-N 0 2 310.251 0.574 20 0 DCADLN CCOC(=O)[C@H]1CC[C@H](n2[nH]c3ccnc(=O)c-3c2N)CO1 ZINC001169370018 760658170 /nfs/dbraw/zinc/65/81/70/760658170.db2.gz JAJSXRDVDRTIQD-WCBMZHEXSA-N 0 2 306.322 0.542 20 0 DCADLN COC(=O)c1nc2ccc(Nc3c[nH]nc3C(=O)OC)[nH]c-2n1 ZINC001169575755 760736309 /nfs/dbraw/zinc/73/63/09/760736309.db2.gz UQNKWLKMQSWNAW-UHFFFAOYSA-N 0 2 316.277 0.998 20 0 DCADLN COC(=O)c1nc2nc(Nc3c[nH]nc3C(=O)OC)ccc2[nH]1 ZINC001169575755 760736317 /nfs/dbraw/zinc/73/63/17/760736317.db2.gz UQNKWLKMQSWNAW-UHFFFAOYSA-N 0 2 316.277 0.998 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001071158345 761667740 /nfs/dbraw/zinc/66/77/40/761667740.db2.gz KPOXOUJRXLFWGD-SVRRBLITSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)c2cnon2)C1)[C@H](F)C(F)(F)F ZINC001071158345 761667743 /nfs/dbraw/zinc/66/77/43/761667743.db2.gz KPOXOUJRXLFWGD-SVRRBLITSA-N 0 2 324.234 0.691 20 0 DCADLN CCN(C(=O)c1cc(S(=O)(=O)[O-])ccc1O)C1C[NH+](C)C1 ZINC001148926408 768061315 /nfs/dbraw/zinc/06/13/15/768061315.db2.gz MPQWRAIVZATRIJ-UHFFFAOYSA-N 0 2 314.363 0.415 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cocn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071388472 761814763 /nfs/dbraw/zinc/81/47/63/761814763.db2.gz GVLAJAMOHICHRE-RKDXNWHRSA-N 0 2 306.326 0.281 20 0 DCADLN CC(C)(C)/C=C\C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099750325 763005750 /nfs/dbraw/zinc/00/57/50/763005750.db2.gz OHOLINXEOYOMMG-FPNIURKZSA-N 0 2 323.397 0.164 20 0 DCADLN CSCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131781299 764028104 /nfs/dbraw/zinc/02/81/04/764028104.db2.gz XOVDUUIFJAWVHN-ZJUUUORDSA-N 0 2 313.427 0.733 20 0 DCADLN CSCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131781299 764028109 /nfs/dbraw/zinc/02/81/09/764028109.db2.gz XOVDUUIFJAWVHN-ZJUUUORDSA-N 0 2 313.427 0.733 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)/C=C/C2CC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131942663 764142397 /nfs/dbraw/zinc/14/23/97/764142397.db2.gz FSHQMYOIPCIVMD-FLPUTOKSSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)/C=C/C2CC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131942663 764142403 /nfs/dbraw/zinc/14/24/03/764142403.db2.gz FSHQMYOIPCIVMD-FLPUTOKSSA-N 0 2 305.382 0.946 20 0 DCADLN O=C(NC[C@@H]1COCCN1c1ncccn1)C(F)C(F)(F)F ZINC001111724339 765367899 /nfs/dbraw/zinc/36/78/99/765367899.db2.gz OEVGZGIOAMYFFZ-RKDXNWHRSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NC[C@@H]1COCCN1c1ncccn1)[C@@H](F)C(F)(F)F ZINC001111724339 765367901 /nfs/dbraw/zinc/36/79/01/765367901.db2.gz OEVGZGIOAMYFFZ-RKDXNWHRSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NCC1([NH2+]CC2CC2)CCCCC1)c1n[nH]c(=O)[n-]c1=O ZINC001115615541 765768911 /nfs/dbraw/zinc/76/89/11/765768911.db2.gz FAAUAVDLFKOVTQ-UHFFFAOYSA-N 0 2 321.381 0.715 20 0 DCADLN O=C(CC[C@@H]1NC(=O)NC1=O)NCc1ccc2[nH]cnc2c1 ZINC001118709374 766411548 /nfs/dbraw/zinc/41/15/48/766411548.db2.gz JCMUQBVJHUJTHR-JTQLQIEISA-N 0 2 301.306 0.167 20 0 DCADLN O=C(CC[C@@H]1NC(=O)NC1=O)NCc1ccc2nc[nH]c2c1 ZINC001118709374 766411554 /nfs/dbraw/zinc/41/15/54/766411554.db2.gz JCMUQBVJHUJTHR-JTQLQIEISA-N 0 2 301.306 0.167 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC001119586295 766740053 /nfs/dbraw/zinc/74/00/53/766740053.db2.gz DKLAIRUNBWTTLJ-HWKANZROSA-N 0 2 308.334 0.782 20 0 DCADLN Cn1c[nH+]cc1CC(=O)NCCC[NH2+]Cc1nnc(C2CC2)[nH]1 ZINC001171237347 767093283 /nfs/dbraw/zinc/09/32/83/767093283.db2.gz GGNZDDAZGHULRX-UHFFFAOYSA-N 0 2 317.397 0.254 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC[C@@H]1CCCO1 ZINC001230836913 768879561 /nfs/dbraw/zinc/87/95/61/768879561.db2.gz ANQQPQCVAIIZBW-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(NCc1nn[nH]n1)c1cc(Br)cc2[nH]ncc21 ZINC001151889127 769440182 /nfs/dbraw/zinc/44/01/82/769440182.db2.gz UADLQCKRJFUYDI-UHFFFAOYSA-N 0 2 322.126 0.769 20 0 DCADLN O=C(NCc1nn[nH]n1)c1cc(Br)cc2n[nH]cc21 ZINC001151889127 769440188 /nfs/dbraw/zinc/44/01/88/769440188.db2.gz UADLQCKRJFUYDI-UHFFFAOYSA-N 0 2 322.126 0.769 20 0 DCADLN CS[C@@H](C)CC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233771003 769446775 /nfs/dbraw/zinc/44/67/75/769446775.db2.gz NVZHSOKDDUTMDX-VHSXEESVSA-N 0 2 313.427 0.685 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)on1 ZINC001095171229 769630795 /nfs/dbraw/zinc/63/07/95/769630795.db2.gz LHGKTSOLBVKYNM-UTLUCORTSA-N 0 2 318.337 0.342 20 0 DCADLN CCNC(=O)CN1CC[C@@H]1CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001234423159 769652567 /nfs/dbraw/zinc/65/25/67/769652567.db2.gz DAAMCPJNUGXTLA-SCZZXKLOSA-N 0 2 313.295 0.556 20 0 DCADLN CCNC(=O)CN1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234423159 769652563 /nfs/dbraw/zinc/65/25/63/769652563.db2.gz DAAMCPJNUGXTLA-SCZZXKLOSA-N 0 2 313.295 0.556 20 0 DCADLN CN(C[C@H]1CCN1Cc1nnnn1C)C(=O)C(F)C(F)(F)F ZINC001234423203 769653131 /nfs/dbraw/zinc/65/31/31/769653131.db2.gz DNFNMCNTLINJGM-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@H]1CCN1Cc1nnnn1C)C(=O)[C@H](F)C(F)(F)F ZINC001234423203 769653138 /nfs/dbraw/zinc/65/31/38/769653138.db2.gz DNFNMCNTLINJGM-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@H]1CCN1Cc1ncnn1C)C(=O)C(F)C(F)(F)F ZINC001234423047 769653230 /nfs/dbraw/zinc/65/32/30/769653230.db2.gz BYYPUSIGVUZDMQ-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@H]1CCN1Cc1ncnn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001234423047 769653236 /nfs/dbraw/zinc/65/32/36/769653236.db2.gz BYYPUSIGVUZDMQ-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN COCCC1(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001235172256 769770779 /nfs/dbraw/zinc/77/07/79/769770779.db2.gz MKODEJODRAVGBP-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CC(C)(C)N(C[C@@H](O)CO)C(=O)c1c[nH]c2cccnc2c1=O ZINC001153856738 769965141 /nfs/dbraw/zinc/96/51/41/769965141.db2.gz KQKRMUFMUUIVPV-SNVBAGLBSA-N 0 2 319.361 0.517 20 0 DCADLN O=C(NCCCc1c[nH][nH]c1=O)c1c[nH]c2cccnc2c1=O ZINC001153860047 769966918 /nfs/dbraw/zinc/96/69/18/769966918.db2.gz IKGHXGNAGDPVOM-SECBINFHSA-N 0 2 313.317 0.165 20 0 DCADLN COc1ccc(N)cc1S(=O)(=O)Nc1cnc(N(C)C)nc1 ZINC001176332743 770072722 /nfs/dbraw/zinc/07/27/22/770072722.db2.gz VSNLFXNXWLNOQQ-UHFFFAOYSA-N 0 2 323.378 0.934 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc2c(=O)[nH][nH]c(=O)c2c1 ZINC001154319058 770139638 /nfs/dbraw/zinc/13/96/38/770139638.db2.gz NQDMRYYBCVGHOS-NSHDSACASA-N 0 2 320.286 0.080 20 0 DCADLN O=C(CCn1cc[nH+]c1)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001176878483 770204802 /nfs/dbraw/zinc/20/48/02/770204802.db2.gz SWVBHIFVTIUFHD-UHFFFAOYSA-N 0 2 320.330 0.344 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cn(-c2ccccc2)nn1 ZINC001154901280 770331699 /nfs/dbraw/zinc/33/16/99/770331699.db2.gz XAPZKBINXPLPSM-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN O=C(Cn1nnc2ccccc21)NCCc1n[nH]c(=S)o1 ZINC001154906616 770335685 /nfs/dbraw/zinc/33/56/85/770335685.db2.gz GDXRILKUCLVMTM-UHFFFAOYSA-N 0 2 304.335 0.462 20 0 DCADLN CCOC(=O)C1(NC(=O)[C@@H]2CCCC[N@@H+]2C)CC[NH+](C)CC1 ZINC001178701037 770842620 /nfs/dbraw/zinc/84/26/20/770842620.db2.gz GLWFVECPHCPYNT-ZDUSSCGKSA-N 0 2 311.426 0.614 20 0 DCADLN CCc1nc(C[NH2+]CCCNC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001156621786 770876621 /nfs/dbraw/zinc/87/66/21/770876621.db2.gz UOCQAAUXJDISTP-UHFFFAOYSA-N 0 2 308.342 0.279 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=Cc2cccnc2)[nH]1 ZINC001179021531 770921108 /nfs/dbraw/zinc/92/11/08/770921108.db2.gz VQETYBLXEHEXRH-PLNGDYQASA-N 0 2 321.362 0.074 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=Cc2ccccc2)[nH]1 ZINC001157032538 771520984 /nfs/dbraw/zinc/52/09/84/771520984.db2.gz IGNJIPDMIHNKKR-FPLPWBNLSA-N 0 2 320.374 0.679 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc2ccnn2c1C(F)F ZINC001182894172 771572953 /nfs/dbraw/zinc/57/29/53/771572953.db2.gz LXWAJVIYHBBQPJ-UHFFFAOYSA-N 0 2 309.236 0.421 20 0 DCADLN Cn1nc(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)cc1C=O ZINC001157354398 771594115 /nfs/dbraw/zinc/59/41/15/771594115.db2.gz DNRMSYLPAXUJOX-UHFFFAOYSA-N 0 2 312.289 0.976 20 0 DCADLN CCOC(=O)c1cc(NC(=O)C(CO)C(F)(F)F)n(C)n1 ZINC001183277928 771626266 /nfs/dbraw/zinc/62/62/66/771626266.db2.gz BXJWDIMLKNIUFA-LURJTMIESA-N 0 2 309.244 0.706 20 0 DCADLN CCOC(=O)c1cc(NC(=O)[C@H](CO)C(F)(F)F)n(C)n1 ZINC001183277928 771626268 /nfs/dbraw/zinc/62/62/68/771626268.db2.gz BXJWDIMLKNIUFA-LURJTMIESA-N 0 2 309.244 0.706 20 0 DCADLN CCOC(=O)c1nc(NC(=O)C(CO)C(F)(F)F)cn1C ZINC001183278968 771626277 /nfs/dbraw/zinc/62/62/77/771626277.db2.gz KCSNPTJQORJPPM-ZCFIWIBFSA-N 0 2 309.244 0.706 20 0 DCADLN CCOC(=O)c1nc(NC(=O)[C@@H](CO)C(F)(F)F)cn1C ZINC001183278968 771626279 /nfs/dbraw/zinc/62/62/79/771626279.db2.gz KCSNPTJQORJPPM-ZCFIWIBFSA-N 0 2 309.244 0.706 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H](O)Cc1c[nH]c2ccccc12 ZINC001184304322 771770120 /nfs/dbraw/zinc/77/01/20/771770120.db2.gz LDRBSRWQEXLJHK-LLVKDONJSA-N 0 2 301.306 0.211 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2cc(C)nc3ncnn32)n1 ZINC001185162012 771871695 /nfs/dbraw/zinc/87/16/95/771871695.db2.gz YHWCRZWEQSQDLV-UHFFFAOYSA-N 0 2 317.334 0.903 20 0 DCADLN COc1ncnc(NC(=O)c2nc(SC)ncc2O)c1OC ZINC001185169147 771872342 /nfs/dbraw/zinc/87/23/42/771872342.db2.gz WJFPWNHIVFDTQS-UHFFFAOYSA-N 0 2 323.334 0.964 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1S(=O)(=O)N1CC2(C1)C[NH+](C)C2 ZINC001185905120 771969623 /nfs/dbraw/zinc/96/96/23/771969623.db2.gz QRIJVCDKYMMKKP-UHFFFAOYSA-N 0 2 310.375 0.629 20 0 DCADLN CC(=O)NC[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC001185962230 771981101 /nfs/dbraw/zinc/98/11/01/771981101.db2.gz DTAMTTJBMUEGMB-LLVKDONJSA-N 0 2 303.322 0.046 20 0 DCADLN CCn1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c(C2CC2)n1 ZINC001160880145 772131306 /nfs/dbraw/zinc/13/13/06/772131306.db2.gz UTODGOLQKMELBF-UHFFFAOYSA-N 0 2 304.354 0.967 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H]1C[C@@H]1C(=O)c1ccccc1 ZINC001187225193 772157213 /nfs/dbraw/zinc/15/72/13/772157213.db2.gz JTPOKLHDUBKFOG-MWODSPESSA-N 0 2 304.327 0.931 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1ncnc2sccc21 ZINC001188349542 772297158 /nfs/dbraw/zinc/29/71/58/772297158.db2.gz CXTACDOMXHRKSW-UHFFFAOYSA-N 0 2 301.349 0.996 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cc2n(n1)CC1(CC1)CO2 ZINC001188360428 772300098 /nfs/dbraw/zinc/30/00/98/772300098.db2.gz PBGKJUTVSNFFPW-UHFFFAOYSA-N 0 2 315.351 0.361 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnccc1Br ZINC001189589585 772502747 /nfs/dbraw/zinc/50/27/47/772502747.db2.gz ZXLXKHVYYQQLSO-MRVPVSSYSA-N 0 2 316.136 0.883 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc(-n2cccn2)nc1 ZINC001189969022 772565317 /nfs/dbraw/zinc/56/53/17/772565317.db2.gz IGJKLOKIBSDMFE-NSHDSACASA-N 0 2 303.303 0.306 20 0 DCADLN O=C(c1c(F)ccc(F)c1O)N1CC(=O)N(C2CC2)C(=O)C1 ZINC001192693906 772948922 /nfs/dbraw/zinc/94/89/22/772948922.db2.gz NQKUPSULHFZMKU-UHFFFAOYSA-N 0 2 310.256 0.644 20 0 DCADLN COC(=O)CN1CCN(C(=O)c2cc(F)c(O)cc2F)CC1 ZINC001192868632 772966431 /nfs/dbraw/zinc/96/64/31/772966431.db2.gz ZXUIBCYPKDREGP-UHFFFAOYSA-N 0 2 314.288 0.601 20 0 DCADLN COc1cc(NS(=O)(=O)C[C@H]2CCCO2)nc(OC)n1 ZINC001193205680 773024841 /nfs/dbraw/zinc/02/48/41/773024841.db2.gz LVVYMHRDUZFPSQ-MRVPVSSYSA-N 0 2 303.340 0.415 20 0 DCADLN COc1nc(NS(=O)(=O)C[C@@H]2CCCO2)c(C)c(OC)n1 ZINC001193214409 773026246 /nfs/dbraw/zinc/02/62/46/773026246.db2.gz ZZOAWBZAXWHXKI-VIFPVBQESA-N 0 2 317.367 0.723 20 0 DCADLN O=C(Nc1ncnc2n[nH]nc21)c1cc(Br)n[nH]1 ZINC001193283533 773038410 /nfs/dbraw/zinc/03/84/10/773038410.db2.gz TYADMSQALQRFPB-UHFFFAOYSA-N 0 2 309.087 0.486 20 0 DCADLN COc1ccnc(C(=O)N=c2nc3[nH][nH]cc-3c(Cl)n2)c1O ZINC001193524850 773078354 /nfs/dbraw/zinc/07/83/54/773078354.db2.gz NGQUUCSQOSPMDC-UHFFFAOYSA-N 0 2 320.696 0.741 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC[C@@H]1CCOC1 ZINC001206868144 773114252 /nfs/dbraw/zinc/11/42/52/773114252.db2.gz GEYXFRGDPCHRMD-IJLUTSLNSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC[C@@H]1CCOC1 ZINC001206868144 773114253 /nfs/dbraw/zinc/11/42/53/773114253.db2.gz GEYXFRGDPCHRMD-IJLUTSLNSA-N 0 2 323.397 0.264 20 0 DCADLN CNC(=O)c1cccnc1NC(=O)c1cc(C(=O)OC)n[nH]1 ZINC001194281891 773175073 /nfs/dbraw/zinc/17/50/73/773175073.db2.gz AVQLYARKTMFOMV-UHFFFAOYSA-N 0 2 303.278 0.203 20 0 DCADLN CNC(=O)c1cccnc1NC(=O)c1cc(C(=O)OC)[nH]n1 ZINC001194281891 773175076 /nfs/dbraw/zinc/17/50/76/773175076.db2.gz AVQLYARKTMFOMV-UHFFFAOYSA-N 0 2 303.278 0.203 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccnn2Br)[nH]n1 ZINC001194283132 773176125 /nfs/dbraw/zinc/17/61/25/773176125.db2.gz KOYKMGXNNLRSQL-UHFFFAOYSA-N 0 2 314.099 0.803 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccnn2Br)n[nH]1 ZINC001194283132 773176129 /nfs/dbraw/zinc/17/61/29/773176129.db2.gz KOYKMGXNNLRSQL-UHFFFAOYSA-N 0 2 314.099 0.803 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2cccc3nccnc32)S1 ZINC001194989645 773301027 /nfs/dbraw/zinc/30/10/27/773301027.db2.gz LVWJJVRWAKHQGH-SNVBAGLBSA-N 0 2 324.343 0.217 20 0 DCADLN CCOC(=O)c1ccncc1S(=O)(=O)Nc1ccnnc1 ZINC001195598941 773441317 /nfs/dbraw/zinc/44/13/17/773441317.db2.gz UPNFEJQBBZYTRE-UHFFFAOYSA-N 0 2 308.319 0.849 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C(C)(C)C(F)F ZINC001208553539 773494204 /nfs/dbraw/zinc/49/42/04/773494204.db2.gz BTNUWOUTCHNISM-HTQZYQBOSA-N 0 2 317.340 0.738 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C(C)(C)C(F)F ZINC001208553539 773494205 /nfs/dbraw/zinc/49/42/05/773494205.db2.gz BTNUWOUTCHNISM-HTQZYQBOSA-N 0 2 317.340 0.738 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C(C)(C)C(F)F ZINC001208553539 773494207 /nfs/dbraw/zinc/49/42/07/773494207.db2.gz BTNUWOUTCHNISM-HTQZYQBOSA-N 0 2 317.340 0.738 20 0 DCADLN Cc1[nH]ncc1C[N@H+]1CC[C@H]2CO[C@H](CNC(=O)N(C)C)[C@H]2C1 ZINC001209552394 773616779 /nfs/dbraw/zinc/61/67/79/773616779.db2.gz DSSOKIKNELVNNH-AEGPPILISA-N 0 2 321.425 0.826 20 0 DCADLN C[C@H](C(N)=O)[N@@H+]1CCCN(C(=O)C[NH+](C)CC(C)(C)C)CC1 ZINC001197181116 773701615 /nfs/dbraw/zinc/70/16/15/773701615.db2.gz RYNWDPNILOWKJE-CYBMUJFWSA-N 0 2 312.458 0.372 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001110518290 773977996 /nfs/dbraw/zinc/97/79/96/773977996.db2.gz IYVWKYXOJRZMNR-HNCHTBHHSA-N 0 2 321.381 0.157 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cn(Cc2ccncc2)cn1 ZINC001199419257 774101986 /nfs/dbraw/zinc/10/19/86/774101986.db2.gz IUWCLOXAPAFEJH-LBPRGKRZSA-N 0 2 317.330 0.365 20 0 DCADLN CCCCC(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217305851 774168815 /nfs/dbraw/zinc/16/88/15/774168815.db2.gz KKDARTOXARZFEA-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN [NH3+][C@@H](Cc1nnn[n-]1)C(=O)Nc1c(Cl)ccc(O)c1Cl ZINC001218921794 774327735 /nfs/dbraw/zinc/32/77/35/774327735.db2.gz OPSGAXVRFCWBRP-YFKPBYRVSA-N 0 2 317.136 0.721 20 0 DCADLN C[C@H]([NH3+])c1ncc(C(=O)NCCCC[P@@](=O)([O-])O)s1 ZINC001220375196 774786245 /nfs/dbraw/zinc/78/62/45/774786245.db2.gz IOGVEKKWCUJXGI-ZETCQYMHSA-N 0 2 307.312 0.851 20 0 DCADLN C[C@H]([NH3+])c1ncc(C(=O)NCCCC[P@](=O)([O-])O)s1 ZINC001220375196 774786252 /nfs/dbraw/zinc/78/62/52/774786252.db2.gz IOGVEKKWCUJXGI-ZETCQYMHSA-N 0 2 307.312 0.851 20 0 DCADLN CC/C(C)=C/C(=O)N1C[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001221533804 775144603 /nfs/dbraw/zinc/14/46/03/775144603.db2.gz SRRWNBFILVKINT-QEFZOKHDSA-N 0 2 305.382 0.899 20 0 DCADLN CC/C(C)=C/C(=O)N1C[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001221533804 775144607 /nfs/dbraw/zinc/14/46/07/775144607.db2.gz SRRWNBFILVKINT-QEFZOKHDSA-N 0 2 305.382 0.899 20 0 DCADLN COCCC1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001227737198 776004073 /nfs/dbraw/zinc/00/40/73/776004073.db2.gz YJQJCIMDDGACFC-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN CC[C@H](O)C(=O)Oc1nc(I)cc(=O)[nH]1 ZINC001228946832 776140100 /nfs/dbraw/zinc/14/01/00/776140100.db2.gz OQZFMDLTFWBRQX-BYPYZUCNSA-N 0 2 324.074 0.463 20 0 DCADLN CC(C)CC(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041247478 777151913 /nfs/dbraw/zinc/15/19/13/777151913.db2.gz HVSIEBCTTBAUJJ-VIFPVBQESA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)CC(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001041247478 777151918 /nfs/dbraw/zinc/15/19/18/777151918.db2.gz HVSIEBCTTBAUJJ-VIFPVBQESA-N 0 2 314.279 0.622 20 0 DCADLN O=C(C(F)F)N1CC[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001041876239 777561277 /nfs/dbraw/zinc/56/12/77/777561277.db2.gz LHOXNZASTLKRIM-JGVFFNPUSA-N 0 2 301.297 0.198 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@H+](C)[C@H](C)[C@H]2C)oc1C(=O)[O-] ZINC001600294153 1168157988 /nfs/dbraw/zinc/15/79/88/1168157988.db2.gz YWSUWPRONTUNQG-NXEZZACHSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1ccc(NCCCN(C)S(C)(=O)=O)[nH+]c1C(=O)[O-] ZINC001600459325 1168187888 /nfs/dbraw/zinc/18/78/88/1168187888.db2.gz MWZPTVLWPXACLN-UHFFFAOYSA-N 0 2 301.368 0.782 20 0 DCADLN NS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(/C=C\C(=O)[O-])o2)C1 ZINC001601192206 1168648938 /nfs/dbraw/zinc/64/89/38/1168648938.db2.gz IXHXJIWCDXGFSE-FJOGCWAESA-N 0 2 314.363 0.630 20 0 DCADLN NS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(/C=C\C(=O)[O-])o2)C1 ZINC001601192206 1168648969 /nfs/dbraw/zinc/64/89/69/1168648969.db2.gz IXHXJIWCDXGFSE-FJOGCWAESA-N 0 2 314.363 0.630 20 0 DCADLN O=C([O-])c1ccnc(S(=O)(=O)N2CC[NH2+]C[C@@H]2C(F)F)c1 ZINC001602484444 1169088488 /nfs/dbraw/zinc/08/84/88/1169088488.db2.gz KZGADRWYWUGZBD-MRVPVSSYSA-N 0 2 321.305 0.007 20 0 DCADLN CC(=O)OCC(C)(C)CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001603088505 1169245736 /nfs/dbraw/zinc/24/57/36/1169245736.db2.gz WQMFXBCECZYBLG-NSHDSACASA-N 0 2 311.338 0.432 20 0 DCADLN C[N@H+](CCNC(=O)c1cccc2c[nH]nc21)Cc1n[nH]c(=O)[n-]1 ZINC001266306180 939062034 /nfs/dbraw/zinc/06/20/34/939062034.db2.gz GJNPWFRVXXJWIE-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN C[N@@H+](CCNC(=O)c1cccc2c[nH]nc21)Cc1n[nH]c(=O)[n-]1 ZINC001266306180 939062039 /nfs/dbraw/zinc/06/20/39/939062039.db2.gz GJNPWFRVXXJWIE-UHFFFAOYSA-N 0 2 315.337 0.248 20 0 DCADLN Cc1[nH]nc(Cl)c1C(=O)NCCN(C)Cc1n[nH]c(=O)[nH]1 ZINC001480871093 939099834 /nfs/dbraw/zinc/09/98/34/939099834.db2.gz CJQFEZWUBDTKTL-UHFFFAOYSA-N 0 2 313.749 0.057 20 0 DCADLN CCCN(CCNC(=O)C(=O)C(C)(C)C)Cc1n[nH]c(=O)[nH]1 ZINC001266556220 939162693 /nfs/dbraw/zinc/16/26/93/939162693.db2.gz HCDBRMWJIAUBOL-UHFFFAOYSA-N 0 2 311.386 0.454 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267678642 939622514 /nfs/dbraw/zinc/62/25/14/939622514.db2.gz FZQCRPQUFGVKBA-ARJAWSKDSA-N 0 2 302.338 0.100 20 0 DCADLN Cc1ncc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001481561543 939938530 /nfs/dbraw/zinc/93/85/30/939938530.db2.gz PXOXSXLVAVCXAE-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN Cc1ncc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001481561543 939938531 /nfs/dbraw/zinc/93/85/31/939938531.db2.gz PXOXSXLVAVCXAE-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN CCCSCC(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481715425 940074808 /nfs/dbraw/zinc/07/48/08/940074808.db2.gz JNZOBSVZMJUAAO-JTQLQIEISA-N 0 2 313.427 0.686 20 0 DCADLN CN(C(=O)C[C@@](C)(O)C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481723027 940087507 /nfs/dbraw/zinc/08/75/07/940087507.db2.gz PZCJGTSXNWYAAA-XHDPSFHLSA-N 0 2 323.397 0.094 20 0 DCADLN CN(C(=O)C[C@@](C)(O)C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481723027 940087509 /nfs/dbraw/zinc/08/75/09/940087509.db2.gz PZCJGTSXNWYAAA-XHDPSFHLSA-N 0 2 323.397 0.094 20 0 DCADLN CCN(C(=O)[C@@H](F)C(C)C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481773054 940132821 /nfs/dbraw/zinc/13/28/21/940132821.db2.gz NXTZWCDSJUFNTM-PWSUYJOCSA-N 0 2 313.377 0.927 20 0 DCADLN CC[N@H+]1CCO[C@@H](C(=O)NC/C=C\C[NH2+]Cc2cnoc2C)C1 ZINC001268626530 940305735 /nfs/dbraw/zinc/30/57/35/940305735.db2.gz BGRCMDGMQZVGEU-FOSCPCJNSA-N 0 2 322.409 0.466 20 0 DCADLN CC(C)OCCCC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001269356971 940690893 /nfs/dbraw/zinc/69/08/93/940690893.db2.gz VWHDJIRJGGTFDE-NSHDSACASA-N 0 2 311.386 0.406 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cn[nH]c1 ZINC001285488826 941234016 /nfs/dbraw/zinc/23/40/16/941234016.db2.gz XHXWHPSNQGYWSJ-SLYZXXNYSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1cn[nH]c1 ZINC001285488826 941234018 /nfs/dbraw/zinc/23/40/18/941234018.db2.gz XHXWHPSNQGYWSJ-SLYZXXNYSA-N 0 2 308.235 0.712 20 0 DCADLN CN1CC2(CN(C(=O)c3cc(F)c(O)c(F)c3)C2)OCC1=O ZINC001271995000 941503595 /nfs/dbraw/zinc/50/35/95/941503595.db2.gz WUXJSMOZPXNKDH-UHFFFAOYSA-N 0 2 312.272 0.354 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C=Cc1ccc[nH]1 ZINC001271997652 941504397 /nfs/dbraw/zinc/50/43/97/941504397.db2.gz BNFPGAIFAKIRGG-AATRIKPKSA-N 0 2 304.354 0.442 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C=Cc1ccc[nH]1 ZINC001271997652 941504399 /nfs/dbraw/zinc/50/43/99/941504399.db2.gz BNFPGAIFAKIRGG-AATRIKPKSA-N 0 2 304.354 0.442 20 0 DCADLN CC(C)c1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001482634909 941604955 /nfs/dbraw/zinc/60/49/55/941604955.db2.gz YIOXZFYXTFKWJN-UHFFFAOYSA-N 0 2 322.369 0.826 20 0 DCADLN CC(C)c1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001482634909 941604957 /nfs/dbraw/zinc/60/49/57/941604957.db2.gz YIOXZFYXTFKWJN-UHFFFAOYSA-N 0 2 322.369 0.826 20 0 DCADLN Cc1nsc(C)c1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001482652380 941611686 /nfs/dbraw/zinc/61/16/86/941611686.db2.gz VRGOJFSPSPNQAS-UHFFFAOYSA-N 0 2 324.410 0.788 20 0 DCADLN Cc1nsc(C)c1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001482652380 941611682 /nfs/dbraw/zinc/61/16/82/941611682.db2.gz VRGOJFSPSPNQAS-UHFFFAOYSA-N 0 2 324.410 0.788 20 0 DCADLN COC[C@H](C)N1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001409695093 942158137 /nfs/dbraw/zinc/15/81/37/942158137.db2.gz CZORKZFZXBUOET-IUCAKERBSA-N 0 2 316.295 0.475 20 0 DCADLN C[C@@H]([NH2+]C[C@H](NC(=O)CCn1cc[nH+]c1)C1CC1)C(=O)N(C)C ZINC001483578276 942438315 /nfs/dbraw/zinc/43/83/15/942438315.db2.gz BVSKEFVJTCAFSS-OCCSQVGLSA-N 0 2 321.425 0.234 20 0 DCADLN O=C(CCOCC1CC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001483648181 942622418 /nfs/dbraw/zinc/62/24/18/942622418.db2.gz CPPMLIZOSZYFJL-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CCCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C[NH+](C)C1CCC1 ZINC001483655817 942630303 /nfs/dbraw/zinc/63/03/03/942630303.db2.gz TWYPSTQDMCZUBD-ZFWWWQNUSA-N 0 2 324.469 0.576 20 0 DCADLN CCCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C[NH+](C)C1CCC1 ZINC001483655815 942630713 /nfs/dbraw/zinc/63/07/13/942630713.db2.gz TWYPSTQDMCZUBD-HIFRSBDPSA-N 0 2 324.469 0.576 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@@H]3COC[C@@H]3O)=N2)cc1 ZINC001413393348 942947891 /nfs/dbraw/zinc/94/78/91/942947891.db2.gz ZMVWWWMZNOMPQG-YPMHNXCESA-N 0 2 319.317 0.076 20 0 DCADLN CN(C(=O)c1cnon1)C1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001413588895 943506056 /nfs/dbraw/zinc/50/60/56/943506056.db2.gz QMZSRFAEBZCXKA-QIECLKSESA-N 0 2 324.234 0.689 20 0 DCADLN CN(C(=O)c1cnon1)C1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001413588895 943506058 /nfs/dbraw/zinc/50/60/58/943506058.db2.gz QMZSRFAEBZCXKA-QIECLKSESA-N 0 2 324.234 0.689 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC001611369731 971020652 /nfs/dbraw/zinc/02/06/52/971020652.db2.gz XZHOSHBZKHMZQZ-GHMZBOCLSA-N 0 2 320.349 0.049 20 0 DCADLN C[C@H](NC(=O)C=Cc1ccc[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485503727 943970862 /nfs/dbraw/zinc/97/08/62/943970862.db2.gz KFIJQKAVRDUAQW-YEZKRMTDSA-N 0 2 316.365 0.488 20 0 DCADLN CC(C)N(CCN(C)Cc1n[nH]c(=O)[nH]1)C(=O)C(C)(F)F ZINC001486360824 944822980 /nfs/dbraw/zinc/82/29/80/944822980.db2.gz MMEOPJSUOUPMHU-UHFFFAOYSA-N 0 2 305.329 0.834 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(C)(F)F ZINC001486360824 944822988 /nfs/dbraw/zinc/82/29/88/944822988.db2.gz MMEOPJSUOUPMHU-UHFFFAOYSA-N 0 2 305.329 0.834 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C(C)(F)F ZINC001486360824 944823001 /nfs/dbraw/zinc/82/30/01/944823001.db2.gz MMEOPJSUOUPMHU-UHFFFAOYSA-N 0 2 305.329 0.834 20 0 DCADLN COCCC(=O)N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001487392314 945698333 /nfs/dbraw/zinc/69/83/33/945698333.db2.gz MAFPUBOEIZLOGX-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)N[C@H]1C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001487392314 945698339 /nfs/dbraw/zinc/69/83/39/945698339.db2.gz MAFPUBOEIZLOGX-NQMVMOMDSA-N 0 2 314.279 0.934 20 0 DCADLN CCNC(=O)N1CCC(NS(=O)(=O)CC(F)(F)F)CC1 ZINC001253218074 946098555 /nfs/dbraw/zinc/09/85/55/946098555.db2.gz LBGHTASTLRWSNT-UHFFFAOYSA-N 0 2 317.333 0.662 20 0 DCADLN CCCS(=O)(=O)Nc1ncc(C(=O)OC)cc1C(=O)OC ZINC001253634212 946143677 /nfs/dbraw/zinc/14/36/77/946143677.db2.gz MDMGXCQJWANHFF-UHFFFAOYSA-N 0 2 316.335 0.807 20 0 DCADLN CCOC(=O)CN1CCC(n2[nH]c3ccnc(=O)c-3c2N)CC1 ZINC001254401284 946383323 /nfs/dbraw/zinc/38/33/23/946383323.db2.gz GFYCRUXJCCHNGR-UHFFFAOYSA-N 0 2 319.365 0.458 20 0 DCADLN CC[C@@H](O)CCCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001322212177 946611627 /nfs/dbraw/zinc/61/16/27/946611627.db2.gz GUPMKRYTKUXLNC-LLVKDONJSA-N 0 2 306.366 0.541 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001258292277 946819228 /nfs/dbraw/zinc/81/92/28/946819228.db2.gz VRPUFMNAIMUFQS-ONEGZZNKSA-N 0 2 302.338 0.196 20 0 DCADLN Cc1nn(C)c(Cl)c1S(=O)(=O)N[C@H](CO)C(F)(F)F ZINC001258465622 946841002 /nfs/dbraw/zinc/84/10/02/946841002.db2.gz KXVPKTGMMJQVJL-RXMQYKEDSA-N 0 2 321.708 0.583 20 0 DCADLN COCC1(C(=O)NCC2(NC(=O)Cc3[nH]c[nH+]c3C)CC2)CC1 ZINC001410768844 946913265 /nfs/dbraw/zinc/91/32/65/946913265.db2.gz SUWPVUJAUOESRN-UHFFFAOYSA-N 0 2 320.393 0.452 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2nnc(Br)s2)cn1 ZINC001259820205 946987061 /nfs/dbraw/zinc/98/70/61/946987061.db2.gz FZNZJNCXLANOEC-UHFFFAOYSA-N 0 2 324.185 0.835 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)CNC(=O)C3)cn1 ZINC001259827630 946991786 /nfs/dbraw/zinc/99/17/86/946991786.db2.gz JMPKVHKECALDDW-UHFFFAOYSA-N 0 2 306.347 0.393 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1ccc(C(N)=O)c(O)c1 ZINC001260112242 947070476 /nfs/dbraw/zinc/07/04/76/947070476.db2.gz CWWABCTVLFFCGR-UHFFFAOYSA-N 0 2 324.362 0.642 20 0 DCADLN C[NH+]1CC2(CN(S(=O)(=O)c3ccc(O)c(C(=O)[O-])c3)C2)C1 ZINC001260398673 947099214 /nfs/dbraw/zinc/09/92/14/947099214.db2.gz SKWFILVERVMSLP-UHFFFAOYSA-N 0 2 312.347 0.027 20 0 DCADLN Cn1c[nH+]c2c1cccc2[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260592788 947121321 /nfs/dbraw/zinc/12/13/21/947121321.db2.gz PRASXOITVUCNCH-UHFFFAOYSA-N 0 2 303.365 0.317 20 0 DCADLN O=S(=O)(Nc1ccc2nn(CCO)cc2c1)c1cn[nH]c1 ZINC001260960192 947159372 /nfs/dbraw/zinc/15/93/72/947159372.db2.gz FMJWXINPWMSOOF-UHFFFAOYSA-N 0 2 307.335 0.553 20 0 DCADLN O=C(NCCCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001323955955 947264529 /nfs/dbraw/zinc/26/45/29/947264529.db2.gz VVVNIENHRZRHQJ-VIFPVBQESA-N 0 2 314.279 0.624 20 0 DCADLN O=C(NCCCO)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001323955955 947264541 /nfs/dbraw/zinc/26/45/41/947264541.db2.gz VVVNIENHRZRHQJ-VIFPVBQESA-N 0 2 314.279 0.624 20 0 DCADLN Cc1[nH]ncc1CNC(=O)CCc1nc2c(cnn2C)c(=O)[nH]1 ZINC001324014945 947285102 /nfs/dbraw/zinc/28/51/02/947285102.db2.gz DKKQWGCVHOCTNZ-UHFFFAOYSA-N 0 2 315.337 0.349 20 0 DCADLN CC[C@@H](F)C(=O)N(CCN(C)Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001411199155 947474088 /nfs/dbraw/zinc/47/40/88/947474088.db2.gz LRTHZWZXCXSWGY-SNVBAGLBSA-N 0 2 301.366 0.927 20 0 DCADLN CC[C@@H](F)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411199155 947474093 /nfs/dbraw/zinc/47/40/93/947474093.db2.gz LRTHZWZXCXSWGY-SNVBAGLBSA-N 0 2 301.366 0.927 20 0 DCADLN CC[C@@H](F)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411199155 947474099 /nfs/dbraw/zinc/47/40/99/947474099.db2.gz LRTHZWZXCXSWGY-SNVBAGLBSA-N 0 2 301.366 0.927 20 0 DCADLN C/C(=C\C(=O)N1CCC2(C[C@H]2C(=O)[O-])CC1)C[NH+]1CCOCC1 ZINC001262174374 947593297 /nfs/dbraw/zinc/59/32/97/947593297.db2.gz RFYAYFYFCIGUCQ-UELRPHRMSA-N 0 2 322.405 0.978 20 0 DCADLN CCc1cc(NCCNC(=O)CCc2cn[nH]n2)nc(C)[nH+]1 ZINC001094129760 947779396 /nfs/dbraw/zinc/77/93/96/947779396.db2.gz KCPTVBUNALSJQQ-UHFFFAOYSA-N 0 2 303.370 0.626 20 0 DCADLN CC(C)(C)/C=C\C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001326617656 948219613 /nfs/dbraw/zinc/21/96/13/948219613.db2.gz RPKGSNUVEOPKTG-DOGVGXBMSA-N 0 2 323.397 0.430 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@@H](O)CC[C@H]1C ZINC001570921579 948623866 /nfs/dbraw/zinc/62/38/66/948623866.db2.gz GIHCQPXAINUNMG-BDAKNGLRSA-N 0 2 318.337 0.261 20 0 DCADLN C[C@@H](NC(=O)C1C[C@@H]2COC[C@H](C1)C2=O)c1nn(C)cc1O ZINC001364393699 949178833 /nfs/dbraw/zinc/17/88/33/949178833.db2.gz BCKNTPUEPXEZPW-UBJLHIIHSA-N 0 2 307.350 0.545 20 0 DCADLN C[C@@H](NC(=O)CCC(=O)N1CCC(O)CC1)c1nn(C)cc1O ZINC001364396526 949183328 /nfs/dbraw/zinc/18/33/28/949183328.db2.gz INRSOYIHCOUENR-SNVBAGLBSA-N 0 2 324.381 0.066 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CC(c2cccnc2)=NO1)c1nn(C)cc1O ZINC001364402191 949195699 /nfs/dbraw/zinc/19/56/99/949195699.db2.gz SRGRGWHVZYSUSF-RNCFNFMXSA-N 0 2 315.333 0.891 20 0 DCADLN Cc1n[nH]cc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364474626 949384899 /nfs/dbraw/zinc/38/48/99/949384899.db2.gz NNCRADVSKVNZFY-VIFPVBQESA-N 0 2 305.342 0.631 20 0 DCADLN CS(=O)(=O)N1CC[C@@H](NCc2ccc(O)c(F)c2F)C1 ZINC001364700341 949776162 /nfs/dbraw/zinc/77/61/62/949776162.db2.gz XMSPRHAQFDJKPJ-SECBINFHSA-N 0 2 306.334 0.794 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)c1ncc[nH]1)c1cccs1 ZINC001364771685 949912229 /nfs/dbraw/zinc/91/22/29/949912229.db2.gz ZPYMTOSSTHLNAK-MRVPVSSYSA-N 0 2 301.349 0.664 20 0 DCADLN CN(C)[S@@](C)(=O)=NS(=O)(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001364797889 949960322 /nfs/dbraw/zinc/96/03/22/949960322.db2.gz WBQNMWWTAZEOQV-UGZDLDLSSA-N 0 2 321.428 0.196 20 0 DCADLN CC[C@](COC)(NS(=O)(=O)Cc1ccon1)C(=O)OC ZINC001364857533 950087654 /nfs/dbraw/zinc/08/76/54/950087654.db2.gz CHGGEVVHIDIKNE-LLVKDONJSA-N 0 2 306.340 0.062 20 0 DCADLN CCN(C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)[C@@H](C)C(=O)[O-] ZINC001589697432 950183494 /nfs/dbraw/zinc/18/34/94/950183494.db2.gz TZYMXXFVPUULJR-JTQLQIEISA-N 0 2 322.365 0.716 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C(C2CC2)C2CC2)[nH]1 ZINC001364996794 950322133 /nfs/dbraw/zinc/32/21/33/950322133.db2.gz DCRPOEKZTGGKEK-UHFFFAOYSA-N 0 2 312.395 0.402 20 0 DCADLN CC1(CCC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001364997898 950323264 /nfs/dbraw/zinc/32/32/64/950323264.db2.gz OOJGGXOIKCPMDI-UHFFFAOYSA-N 0 2 300.384 0.546 20 0 DCADLN CC1(C)CC[C@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001364998533 950327697 /nfs/dbraw/zinc/32/76/97/950327697.db2.gz VHGSUYNPNWIMMS-VIFPVBQESA-N 0 2 314.411 0.792 20 0 DCADLN CC[N@H+](CCCNC(=O)Cc1c[nH+]cn1C)[C@H]1CCCNC1=O ZINC001490356033 950647394 /nfs/dbraw/zinc/64/73/94/950647394.db2.gz YOUPYYHCVMRIDQ-AWEZNQCLSA-N 0 2 321.425 0.069 20 0 DCADLN CCOC(=O)[C@@H](C)[NH+]1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001590058850 950780870 /nfs/dbraw/zinc/78/08/70/950780870.db2.gz SJMOOIAQLGVFKO-MNOVXSKESA-N 0 2 312.366 0.336 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCN1CC[NH+](C/C=C/Cl)CC1 ZINC001490516030 950845925 /nfs/dbraw/zinc/84/59/25/950845925.db2.gz HYNSTZGOTHDVGA-SNAWJCMRSA-N 0 2 316.877 0.813 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@@H](C(=O)N[C@H]2CCn3c[nH+]cc3C2)CO1 ZINC001595000470 951114200 /nfs/dbraw/zinc/11/42/00/951114200.db2.gz BOXQIFCYKQKRCH-NTZNESFSSA-N 0 2 307.350 0.584 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)NCc2ccccc2C(=O)[O-])CC1 ZINC001331522942 951156949 /nfs/dbraw/zinc/15/69/49/951156949.db2.gz KDFSTHIHROHWHS-UHFFFAOYSA-N 0 2 319.361 0.165 20 0 DCADLN O=C([O-])CCCC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001595025502 951346240 /nfs/dbraw/zinc/34/62/40/951346240.db2.gz WIJYJEBLTNEKSE-UHFFFAOYSA-N 0 2 306.366 0.418 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)C(=O)C(C)(C)C)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001281657147 951582739 /nfs/dbraw/zinc/58/27/39/951582739.db2.gz BSLGJVRZBWQKKI-NXEZZACHSA-N 0 2 323.397 0.595 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CC[C@@H](n3cc(Cl)cn3)C2)C1=O ZINC001595073616 951616592 /nfs/dbraw/zinc/61/65/92/951616592.db2.gz CIJRKXDLJTXYNH-MNOVXSKESA-N 0 2 312.757 0.469 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CC[C@@H](n3cc(Cl)cn3)C2)C1=O ZINC001595073616 951616600 /nfs/dbraw/zinc/61/66/00/951616600.db2.gz CIJRKXDLJTXYNH-MNOVXSKESA-N 0 2 312.757 0.469 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccccc2)C1 ZINC001595098440 951838630 /nfs/dbraw/zinc/83/86/30/951838630.db2.gz OWKHIRLHXDZLKP-ZDUSSCGKSA-N 0 2 319.361 0.082 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccccc2)C1 ZINC001595098440 951838636 /nfs/dbraw/zinc/83/86/36/951838636.db2.gz OWKHIRLHXDZLKP-ZDUSSCGKSA-N 0 2 319.361 0.082 20 0 DCADLN O=C([O-])COCCNC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC001595114090 951934407 /nfs/dbraw/zinc/93/44/07/951934407.db2.gz YYYOCOXQNVLJPA-UHFFFAOYSA-N 0 2 318.333 0.773 20 0 DCADLN CO[C@@H](C)CCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333329436 952008558 /nfs/dbraw/zinc/00/85/58/952008558.db2.gz UCEYGRMDMBSWMI-NSHDSACASA-N 0 2 323.397 0.574 20 0 DCADLN CO[C@@H](C)CCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333329436 952008565 /nfs/dbraw/zinc/00/85/65/952008565.db2.gz UCEYGRMDMBSWMI-NSHDSACASA-N 0 2 323.397 0.574 20 0 DCADLN C[N@@H+]1CCC12CN(C(=O)c1ccccc1[N-]S(C)(=O)=O)C2 ZINC001275370784 952095952 /nfs/dbraw/zinc/09/59/52/952095952.db2.gz WIVZYEVQMKLJMX-UHFFFAOYSA-N 0 2 309.391 0.588 20 0 DCADLN C[N@H+]1CCC12CN(C(=O)c1ccccc1[N-]S(C)(=O)=O)C2 ZINC001275370784 952095962 /nfs/dbraw/zinc/09/59/62/952095962.db2.gz WIVZYEVQMKLJMX-UHFFFAOYSA-N 0 2 309.391 0.588 20 0 DCADLN CCc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nn1C ZINC001411446025 952152475 /nfs/dbraw/zinc/15/24/75/952152475.db2.gz HZZVVBDXHRYSGN-UHFFFAOYSA-N 0 2 301.310 0.006 20 0 DCADLN Cn1cc(Cl)c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC001411518444 952229129 /nfs/dbraw/zinc/22/91/29/952229129.db2.gz FMDBFPKPRSNFRJ-UHFFFAOYSA-N 0 2 307.701 0.097 20 0 DCADLN COCCOCC[NH+]1CCN(C(=O)C[C@H](C)CC(=O)[O-])CC1 ZINC001334231687 952265556 /nfs/dbraw/zinc/26/55/56/952265556.db2.gz VQBUUYVSBOLDFX-ZDUSSCGKSA-N 0 2 316.398 0.295 20 0 DCADLN O=C([O-])c1coc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000382636149 971827430 /nfs/dbraw/zinc/82/74/30/971827430.db2.gz MZABOLKKWDEXTI-LBPRGKRZSA-N 0 2 308.334 0.915 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CS(=O)(=O)C[C@@H]2C(F)(F)F)n1 ZINC001411741861 952421781 /nfs/dbraw/zinc/42/17/81/952421781.db2.gz IBZJOSHIUNQGJF-RITPCOANSA-N 0 2 312.273 0.275 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC[C@@H]1CCO ZINC001412207196 952692567 /nfs/dbraw/zinc/69/25/67/952692567.db2.gz RJTIZNPJLWEWJV-LLVKDONJSA-N 0 2 318.333 0.253 20 0 DCADLN CSC[C@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412581153 952910795 /nfs/dbraw/zinc/91/07/95/952910795.db2.gz SPJFRQOJYVAXSZ-QMMMGPOBSA-N 0 2 308.363 0.748 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2sccc2F)[nH]1 ZINC001412691289 952999387 /nfs/dbraw/zinc/99/93/87/952999387.db2.gz XIOYUFDRUHLFRE-UHFFFAOYSA-N 0 2 318.355 0.480 20 0 DCADLN O=C([C@@H]1COCC[N@@H+]1C1CCCC1)N1CC([NH2+][C@H]2CCOC2)C1 ZINC001412704117 953006895 /nfs/dbraw/zinc/00/68/95/953006895.db2.gz VZMGDUPMUPQXAN-BBRMVZONSA-N 0 2 323.437 0.219 20 0 DCADLN CC(C)CN1C[C@@H](C(=O)N[C@H](C)c2nn(C)cc2O)CC1=O ZINC001412759571 953076709 /nfs/dbraw/zinc/07/67/09/953076709.db2.gz VIOHJIMPMWJJPH-MNOVXSKESA-N 0 2 308.382 0.807 20 0 DCADLN C[C@@]1(CO)CCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412807315 953105938 /nfs/dbraw/zinc/10/59/38/953105938.db2.gz IIFKVDJIPBIXAZ-HNNXBMFYSA-N 0 2 318.333 0.253 20 0 DCADLN CC(C)(COC(=O)[C@H]1CCCN(CC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001602948811 971923765 /nfs/dbraw/zinc/92/37/65/971923765.db2.gz OSEOJQVPTHGHBF-NSHDSACASA-N 0 2 323.349 0.485 20 0 DCADLN C[C@H]1C[C@H](NC(=O)OC(C)(C)C)C[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001589293648 953622813 /nfs/dbraw/zinc/62/28/13/953622813.db2.gz PDIFLJDEBDYZLJ-UWVGGRQHSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@H]1C[C@H](NC(=O)OC(C)(C)C)C[N@H+]1CC(=O)NCC(=O)[O-] ZINC001589293648 953622820 /nfs/dbraw/zinc/62/28/20/953622820.db2.gz PDIFLJDEBDYZLJ-UWVGGRQHSA-N 0 2 315.370 0.175 20 0 DCADLN O=C(NCCNC(=O)[C@@]1(F)CCOC1)C(F)C(F)(F)F ZINC001283056648 953963424 /nfs/dbraw/zinc/96/34/24/953963424.db2.gz FDUPYHFHJITJBG-HZGVNTEJSA-N 0 2 304.215 0.248 20 0 DCADLN O=C(NCCNC(=O)[C@@]1(F)CCOC1)[C@@H](F)C(F)(F)F ZINC001283056648 953963433 /nfs/dbraw/zinc/96/34/33/953963433.db2.gz FDUPYHFHJITJBG-HZGVNTEJSA-N 0 2 304.215 0.248 20 0 DCADLN O=C([O-])[C@H](c1ccccc1)N1CC[C@H]([NH2+]Cc2cnc[nH]2)C1=O ZINC001594842880 954094446 /nfs/dbraw/zinc/09/44/46/954094446.db2.gz ACRMECKTCOANFH-KBPBESRZSA-N 0 2 314.345 0.926 20 0 DCADLN O=C([O-])[C@H](c1ccccc1)N1CC[C@@H]([NH2+]Cc2cnc[nH]2)C1=O ZINC001594842888 954095659 /nfs/dbraw/zinc/09/56/59/954095659.db2.gz ACRMECKTCOANFH-KGLIPLIRSA-N 0 2 314.345 0.926 20 0 DCADLN CN(C)[S@](C)(=O)=NC(=O)C[N@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001593708561 954164034 /nfs/dbraw/zinc/16/40/34/954164034.db2.gz KKPSKQIRNKXSGB-WIFINTHYSA-N 0 2 317.411 0.130 20 0 DCADLN CN(C)[S@](C)(=O)=NC(=O)C[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001593708561 954164040 /nfs/dbraw/zinc/16/40/40/954164040.db2.gz KKPSKQIRNKXSGB-WIFINTHYSA-N 0 2 317.411 0.130 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)Nc2cncc(C(=O)[O-])c2)CCO1 ZINC001589399027 954395504 /nfs/dbraw/zinc/39/55/04/954395504.db2.gz BTNMDKBDMZJINN-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)Nc2cncc(C(=O)[O-])c2)CCO1 ZINC001589399027 954395514 /nfs/dbraw/zinc/39/55/14/954395514.db2.gz BTNMDKBDMZJINN-SNVBAGLBSA-N 0 2 308.338 0.622 20 0 DCADLN CC(C)(C)C(=O)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001348669172 954418736 /nfs/dbraw/zinc/41/87/36/954418736.db2.gz SWTPKNJOEJACOQ-ZCFIWIBFSA-N 0 2 300.252 0.734 20 0 DCADLN CC(C)(C)C(=O)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001348669172 954418739 /nfs/dbraw/zinc/41/87/39/954418739.db2.gz SWTPKNJOEJACOQ-ZCFIWIBFSA-N 0 2 300.252 0.734 20 0 DCADLN Cc1ccn(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001349163310 954624239 /nfs/dbraw/zinc/62/42/39/954624239.db2.gz LAAHIAXOKWHEFV-SECBINFHSA-N 0 2 310.251 0.324 20 0 DCADLN Cc1ccn(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001349163310 954624246 /nfs/dbraw/zinc/62/42/46/954624246.db2.gz LAAHIAXOKWHEFV-SECBINFHSA-N 0 2 310.251 0.324 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@@]1(C(=O)[O-])CCCO1 ZINC001593788421 954743333 /nfs/dbraw/zinc/74/33/33/954743333.db2.gz QZHHVVFFXKJOQW-XJKSGUPXSA-N 0 2 304.346 0.923 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@@]1(C(=O)[O-])CCCO1 ZINC001593788421 954743340 /nfs/dbraw/zinc/74/33/40/954743340.db2.gz QZHHVVFFXKJOQW-XJKSGUPXSA-N 0 2 304.346 0.923 20 0 DCADLN CC[C@H](C)NC(=O)C[NH+](CCNC(=O)[C@H]1CCC[N@@H+]1C)C1CC1 ZINC001366746592 954761873 /nfs/dbraw/zinc/76/18/73/954761873.db2.gz GNPVLHSMBIJGSS-DZGCQCFKSA-N 0 2 324.469 0.576 20 0 DCADLN COC(=O)[C@@H](c1cccnc1)[N@@H+]1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC001593812507 954959336 /nfs/dbraw/zinc/95/93/36/954959336.db2.gz FYGOKLYVHPUSQV-LALPHHSUSA-N 0 2 306.318 0.329 20 0 DCADLN COC(=O)[C@@H](c1cccnc1)[N@H+]1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC001593812507 954959347 /nfs/dbraw/zinc/95/93/47/954959347.db2.gz FYGOKLYVHPUSQV-LALPHHSUSA-N 0 2 306.318 0.329 20 0 DCADLN C[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001366982518 955151036 /nfs/dbraw/zinc/15/10/36/955151036.db2.gz PDFOWTQKUKVHMY-JTQLQIEISA-N 0 2 316.365 0.257 20 0 DCADLN Cc1ccc(CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001366991745 955165457 /nfs/dbraw/zinc/16/54/57/955165457.db2.gz ZPDAARGHFGQECI-UHFFFAOYSA-N 0 2 321.406 0.671 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001351569558 955335966 /nfs/dbraw/zinc/33/59/66/955335966.db2.gz PQIFLGIUJSFKDW-ZETCQYMHSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001351569558 955335981 /nfs/dbraw/zinc/33/59/81/955335981.db2.gz PQIFLGIUJSFKDW-ZETCQYMHSA-N 0 2 310.251 0.888 20 0 DCADLN COCCCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001284971688 955375965 /nfs/dbraw/zinc/37/59/65/955375965.db2.gz HVSQDQYQIINTBU-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN COCCCC(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001284971688 955375979 /nfs/dbraw/zinc/37/59/79/955375979.db2.gz HVSQDQYQIINTBU-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1cccnc1C(=O)[O-] ZINC001589037637 955559953 /nfs/dbraw/zinc/55/99/53/955559953.db2.gz CLMOEMITWHXSNB-NSHDSACASA-N 0 2 321.381 0.537 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1(CC(=O)[O-])CCC1 ZINC001593863439 955564132 /nfs/dbraw/zinc/56/41/32/955564132.db2.gz HQOJVCHPLVBIOA-JTQLQIEISA-N 0 2 309.322 0.255 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1(CC(=O)[O-])CCC1 ZINC001593863439 955564140 /nfs/dbraw/zinc/56/41/40/955564140.db2.gz HQOJVCHPLVBIOA-JTQLQIEISA-N 0 2 309.322 0.255 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N(C)CCCC(=O)[O-])[NH+]1CCOCC1 ZINC001589098073 955990753 /nfs/dbraw/zinc/99/07/53/955990753.db2.gz CIEVTJRFFYMOMD-NWDGAFQWSA-N 0 2 301.387 0.602 20 0 DCADLN C[C@H](O)C[N@H+](CCS(=O)(=O)CC(=O)[O-])Cc1ccccc1 ZINC001589153223 956346326 /nfs/dbraw/zinc/34/63/26/956346326.db2.gz YBACOJDKQOCREY-LBPRGKRZSA-N 0 2 315.391 0.369 20 0 DCADLN C[C@H](O)C[N@@H+](CCS(=O)(=O)CC(=O)[O-])Cc1ccccc1 ZINC001589153223 956346335 /nfs/dbraw/zinc/34/63/35/956346335.db2.gz YBACOJDKQOCREY-LBPRGKRZSA-N 0 2 315.391 0.369 20 0 DCADLN O=C(CCc1c[nH]c[nH+]1)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594687875 956721154 /nfs/dbraw/zinc/72/11/54/956721154.db2.gz NWOVONMWWVYWEB-JYHUIVOISA-N 0 2 307.350 0.871 20 0 DCADLN O=C(CCc1c[nH+]c[nH]1)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594687875 956721160 /nfs/dbraw/zinc/72/11/60/956721160.db2.gz NWOVONMWWVYWEB-JYHUIVOISA-N 0 2 307.350 0.871 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2ccccc2NC(C)=O)CCO1 ZINC001593983671 956735268 /nfs/dbraw/zinc/73/52/68/956735268.db2.gz KZGSWTPNYVJRJW-MRXNPFEDSA-N 0 2 322.361 0.947 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2ccccc2NC(C)=O)CCO1 ZINC001593983671 956735276 /nfs/dbraw/zinc/73/52/76/956735276.db2.gz KZGSWTPNYVJRJW-MRXNPFEDSA-N 0 2 322.361 0.947 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1csnn1 ZINC001292844078 957159514 /nfs/dbraw/zinc/15/95/14/957159514.db2.gz NTDWYIYHEMXVGS-YFKPBYRVSA-N 0 2 300.237 0.285 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1csnn1 ZINC001292844078 957159534 /nfs/dbraw/zinc/15/95/34/957159534.db2.gz NTDWYIYHEMXVGS-YFKPBYRVSA-N 0 2 300.237 0.285 20 0 DCADLN COCC1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCC1 ZINC001292843018 957171351 /nfs/dbraw/zinc/17/13/51/957171351.db2.gz DJMNVTXOYUSEPE-QMMMGPOBSA-N 0 2 314.279 0.936 20 0 DCADLN COCC1(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)CCC1 ZINC001292843018 957171357 /nfs/dbraw/zinc/17/13/57/957171357.db2.gz DJMNVTXOYUSEPE-QMMMGPOBSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC001603158839 972258810 /nfs/dbraw/zinc/25/88/10/972258810.db2.gz HCRGTRUSTMWXSR-NEPJUHHUSA-N 0 2 300.399 0.912 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001327947338 957672818 /nfs/dbraw/zinc/67/28/18/957672818.db2.gz YJDVGKGOJURPCF-WDMOLILDSA-N 0 2 302.371 0.044 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001327947338 957672824 /nfs/dbraw/zinc/67/28/24/957672824.db2.gz YJDVGKGOJURPCF-WDMOLILDSA-N 0 2 302.371 0.044 20 0 DCADLN Cc1cc(C)c(NC(=O)C(=O)N2CCO[C@@H](C(=O)[O-])C2)c(C)[nH+]1 ZINC001594293996 957850405 /nfs/dbraw/zinc/85/04/05/957850405.db2.gz HVOJGEFPXFOBMY-LLVKDONJSA-N 0 2 321.333 0.257 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N2CCC(C(=O)[O-])CC2)cc(C)[nH+]1 ZINC001594303488 957932474 /nfs/dbraw/zinc/93/24/74/957932474.db2.gz SUFATMBPGKWVCG-UHFFFAOYSA-N 0 2 319.361 0.638 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc2ccccc2nn1 ZINC001361417505 957946751 /nfs/dbraw/zinc/94/67/51/957946751.db2.gz AXWVGWQOGGFSHH-UHFFFAOYSA-N 0 2 321.300 0.653 20 0 DCADLN O=C(C(=O)N1CCSC[C@@H]1c1nn[nH]n1)c1ccc(O)cc1 ZINC001296691753 958037081 /nfs/dbraw/zinc/03/70/81/958037081.db2.gz WZLVEUYFGGDPCW-SNVBAGLBSA-N 0 2 319.346 0.405 20 0 DCADLN COc1cnc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC001361552851 958077020 /nfs/dbraw/zinc/07/70/20/958077020.db2.gz QSPYVYPTKOBSRC-UHFFFAOYSA-N 0 2 306.307 0.175 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCO1 ZINC001361612805 958138148 /nfs/dbraw/zinc/13/81/48/958138148.db2.gz DMQQAFOWIQKZHR-VIFPVBQESA-N 0 2 304.306 0.127 20 0 DCADLN COCC(=O)N[C@H](CNC(=O)C(F)C(F)(F)F)C(C)C ZINC001433103387 1013175162 /nfs/dbraw/zinc/17/51/62/1013175162.db2.gz VQBOGVRTZBXWOM-VXNVDRBHSA-N 0 2 302.268 0.790 20 0 DCADLN COCC(=O)N[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C(C)C ZINC001433103387 1013175170 /nfs/dbraw/zinc/17/51/70/1013175170.db2.gz VQBOGVRTZBXWOM-VXNVDRBHSA-N 0 2 302.268 0.790 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC001603227612 972348413 /nfs/dbraw/zinc/34/84/13/972348413.db2.gz ZRKLALHTIMWBFX-FRRDWIJNSA-N 0 2 313.398 0.602 20 0 DCADLN CO[C@H](C)C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001298292174 958516645 /nfs/dbraw/zinc/51/66/45/958516645.db2.gz YVTFGFJWOLGFKV-ATXJSMISSA-N 0 2 300.252 0.710 20 0 DCADLN CO[C@H](C)C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001298292174 958516659 /nfs/dbraw/zinc/51/66/59/958516659.db2.gz YVTFGFJWOLGFKV-ATXJSMISSA-N 0 2 300.252 0.710 20 0 DCADLN C[C@@H]1CN(C(=O)c2nc[nH]c(=O)c2Br)CCN1C ZINC001362092732 958724469 /nfs/dbraw/zinc/72/44/69/958724469.db2.gz RRWOCRBPJLQWTE-SSDOTTSWSA-N 0 2 315.171 0.721 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368151438 959340072 /nfs/dbraw/zinc/34/00/72/959340072.db2.gz NRZPPOIMDBRTRP-IINYFYTJSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368151438 959340092 /nfs/dbraw/zinc/34/00/92/959340092.db2.gz NRZPPOIMDBRTRP-IINYFYTJSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C)CN(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362436526 959352676 /nfs/dbraw/zinc/35/26/76/959352676.db2.gz BQVIESWUQATXHH-VIFPVBQESA-N 0 2 306.322 0.373 20 0 DCADLN C[C@@H]1COCCN1Cc1cn(-c2cc[nH+]c(C(=O)[O-])c2)nn1 ZINC001594220865 959371887 /nfs/dbraw/zinc/37/18/87/959371887.db2.gz BQJSTRPSWRQEIY-SNVBAGLBSA-N 0 2 303.322 0.581 20 0 DCADLN CC(C)CCC(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001377062479 959412419 /nfs/dbraw/zinc/41/24/19/959412419.db2.gz RNBIZUYINSKABY-LLVKDONJSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H](CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1CC1 ZINC001362478219 959432967 /nfs/dbraw/zinc/43/29/67/959432967.db2.gz JOYZGFCFRLIMTQ-LBPRGKRZSA-N 0 2 318.333 0.421 20 0 DCADLN O=C([O-])c1sccc1S(=O)(=O)NCC[N@H+]1CC=CCC1 ZINC000392223601 972428742 /nfs/dbraw/zinc/42/87/42/972428742.db2.gz JWRVIWFEPJIWQQ-UHFFFAOYSA-N 0 2 316.404 0.987 20 0 DCADLN O=C([O-])c1sccc1S(=O)(=O)NCC[N@@H+]1CC=CCC1 ZINC000392223601 972428748 /nfs/dbraw/zinc/42/87/48/972428748.db2.gz JWRVIWFEPJIWQQ-UHFFFAOYSA-N 0 2 316.404 0.987 20 0 DCADLN CCOCC(=O)N(C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001491970665 959458383 /nfs/dbraw/zinc/45/83/83/959458383.db2.gz VLGHJDNKBLOLJW-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N(C)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001491970665 959458393 /nfs/dbraw/zinc/45/83/93/959458393.db2.gz VLGHJDNKBLOLJW-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nc2nccn2C)c1 ZINC001362559507 959597131 /nfs/dbraw/zinc/59/71/31/959597131.db2.gz QYZJGAFYEFUDMZ-UHFFFAOYSA-N 0 2 324.362 0.628 20 0 DCADLN C[C@](O)(C[NH+]1CCN(C(=O)C(=O)[O-])CC1)c1ccc(F)cc1 ZINC001603257000 972443827 /nfs/dbraw/zinc/44/38/27/972443827.db2.gz YHPPYSDETMVXFV-HNNXBMFYSA-N 0 2 310.325 0.262 20 0 DCADLN CCn1nnc(C)c1C[N@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001492227221 959726210 /nfs/dbraw/zinc/72/62/10/959726210.db2.gz UAFSMKXRSHIILI-UHFFFAOYSA-N 0 2 321.385 0.205 20 0 DCADLN CCn1nnc(C)c1C[N@@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001492227221 959726217 /nfs/dbraw/zinc/72/62/17/959726217.db2.gz UAFSMKXRSHIILI-UHFFFAOYSA-N 0 2 321.385 0.205 20 0 DCADLN Cc1cc(C)cc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001377253587 959797992 /nfs/dbraw/zinc/79/79/92/959797992.db2.gz CGOGEZHLCFHECU-UHFFFAOYSA-N 0 2 317.393 0.918 20 0 DCADLN Cc1cc(C)cc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001377253587 959797998 /nfs/dbraw/zinc/79/79/98/959797998.db2.gz CGOGEZHLCFHECU-UHFFFAOYSA-N 0 2 317.393 0.918 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)C[C@@H]1CC[NH+]1Cc1ccnn1C ZINC001368463400 959898748 /nfs/dbraw/zinc/89/87/48/959898748.db2.gz ZMWZMSPTJDCFGD-HNNXBMFYSA-N 0 2 307.442 0.793 20 0 DCADLN O=C(CC1CCCC1)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001368491277 959935668 /nfs/dbraw/zinc/93/56/68/959935668.db2.gz QEGJCNQQUYHICH-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CC[N@H+](CCNC(=O)CCn1cc(C)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001377368365 959985782 /nfs/dbraw/zinc/98/57/82/959985782.db2.gz VPLCECAJVCTOMY-UHFFFAOYSA-N 0 2 321.385 0.044 20 0 DCADLN CC[N@@H+](CCNC(=O)CCn1cc(C)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001377368365 959985784 /nfs/dbraw/zinc/98/57/84/959985784.db2.gz VPLCECAJVCTOMY-UHFFFAOYSA-N 0 2 321.385 0.044 20 0 DCADLN Cc1nn2cccnc2c1C(=O)N[C@H](C)c1nn(C)cc1O ZINC001304545482 960089392 /nfs/dbraw/zinc/08/93/92/960089392.db2.gz UUEKGENLRAPSQP-SECBINFHSA-N 0 2 300.322 0.968 20 0 DCADLN CCc1noc(C[NH+]2CC[C@@H](CNC(=O)C[N@H+](C)C(C)C)C2)n1 ZINC001377481184 960166497 /nfs/dbraw/zinc/16/64/97/960166497.db2.gz UQXRSVQTWJPEQS-ZDUSSCGKSA-N 0 2 323.441 0.910 20 0 DCADLN O=S(=O)(NCc1n[nH]c(-c2ccccc2)n1)c1ncc[nH]1 ZINC001309133361 960188667 /nfs/dbraw/zinc/18/86/67/960188667.db2.gz FFCPTIDTSQMFTR-UHFFFAOYSA-N 0 2 304.335 0.673 20 0 DCADLN CC(C)[C@@H]1C[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362988292 960264476 /nfs/dbraw/zinc/26/44/76/960264476.db2.gz RRPPFRKEGDEDLB-IUCAKERBSA-N 0 2 300.384 0.258 20 0 DCADLN CCC[C@H](CC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362987599 960264680 /nfs/dbraw/zinc/26/46/80/960264680.db2.gz OWYBDJLCYQMESI-VIFPVBQESA-N 0 2 302.400 0.792 20 0 DCADLN CC(C)N(C)C(=O)C[N@@H+](CCNC(=O)C[NH+]1CCCC1)C1CC1 ZINC001377565875 960287296 /nfs/dbraw/zinc/28/72/96/960287296.db2.gz HVURDGSWKHYWIK-UHFFFAOYSA-N 0 2 324.469 0.530 20 0 DCADLN Cc1c(C(=O)NCc2nc(O)cc(=O)[nH]2)cnn1CC(C)C ZINC001363036703 960327421 /nfs/dbraw/zinc/32/74/21/960327421.db2.gz IOAJFSHBCVSPLH-UHFFFAOYSA-N 0 2 305.338 0.979 20 0 DCADLN C[C@H](NC(=O)CCNC(=O)c1ccco1)c1nn(C)cc1O ZINC001363044649 960337750 /nfs/dbraw/zinc/33/77/50/960337750.db2.gz XOPGFYFIKONVDS-VIFPVBQESA-N 0 2 306.322 0.716 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001378247894 960424196 /nfs/dbraw/zinc/42/41/96/960424196.db2.gz CIDXZSCRXHVPHZ-IYSWYEEDSA-N 0 2 313.255 0.395 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[N@@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001588670679 960516027 /nfs/dbraw/zinc/51/60/27/960516027.db2.gz ICLSBXGMDFLQCM-CQSZACIVSA-N 0 2 300.355 0.383 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[N@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001588670679 960516037 /nfs/dbraw/zinc/51/60/37/960516037.db2.gz ICLSBXGMDFLQCM-CQSZACIVSA-N 0 2 300.355 0.383 20 0 DCADLN NC(=O)C1CN(C(=O)c2cc(Br)cc(F)c2O)C1 ZINC001363202319 960591566 /nfs/dbraw/zinc/59/15/66/960591566.db2.gz PXXVTQWZEVMLQR-UHFFFAOYSA-N 0 2 317.114 0.851 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCS1 ZINC001363209042 960609208 /nfs/dbraw/zinc/60/92/08/960609208.db2.gz LHYYCEQLIIQWBH-SECBINFHSA-N 0 2 320.374 0.844 20 0 DCADLN Cn1nccc1C1(O)CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC001363310740 960864803 /nfs/dbraw/zinc/86/48/03/960864803.db2.gz OFAFUGZZRMJCGP-UHFFFAOYSA-N 0 2 309.272 0.748 20 0 DCADLN O=C([O-])[C@H](CC1OCCO1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001571084341 961029710 /nfs/dbraw/zinc/02/97/10/961029710.db2.gz JYEGLKXJFYTJDW-NSHDSACASA-N 0 2 305.290 0.509 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2C[C@H](O)C[C@@H]2c2ccccc2)S1 ZINC001363443044 961163523 /nfs/dbraw/zinc/16/35/23/961163523.db2.gz HFSZBDNCKWYYPT-UTUOFQBUSA-N 0 2 319.386 0.877 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nnn(C)n1 ZINC001378041033 961262750 /nfs/dbraw/zinc/26/27/50/961262750.db2.gz BLTYLSDOYROARD-SVRRBLITSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)Cc1nnn(C)n1 ZINC001378041033 961262766 /nfs/dbraw/zinc/26/27/66/961262766.db2.gz BLTYLSDOYROARD-SVRRBLITSA-N 0 2 312.271 0.047 20 0 DCADLN CC(C)(CNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1)[NH+]1CCOCC1 ZINC001588783356 961314856 /nfs/dbraw/zinc/31/48/56/961314856.db2.gz OHKJSNSHRYBECO-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN Cc1[nH]c([C@@H]2CCCN2C(=O)c2cn(CC(=O)[O-])nn2)[nH+]c1C ZINC001574417191 961325914 /nfs/dbraw/zinc/32/59/14/961325914.db2.gz JXKBNCKZJZFVTG-NSHDSACASA-N 0 2 318.337 0.680 20 0 DCADLN C[C@H](C[NH2+]Cc1ccccn1)N(C)C(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001378754488 961412503 /nfs/dbraw/zinc/41/25/03/961412503.db2.gz NGCGJXOXDJZIDQ-HIFRSBDPSA-N 0 2 306.410 0.349 20 0 DCADLN COC(=O)CC(C)(C)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC001363590566 961504858 /nfs/dbraw/zinc/50/48/58/961504858.db2.gz JPSSMSRFIGVBFA-SSDOTTSWSA-N 0 2 301.368 0.248 20 0 DCADLN C[C@@H](CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccn1 ZINC001378141822 961512001 /nfs/dbraw/zinc/51/20/01/961512001.db2.gz TVNCRAHGLYSCAG-WDEREUQCSA-N 0 2 319.369 0.049 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2ccc(F)cc2)[nH]1 ZINC001363627698 961578034 /nfs/dbraw/zinc/57/80/34/961578034.db2.gz GTODZPRLSGTSAK-UHFFFAOYSA-N 0 2 312.326 0.418 20 0 DCADLN C[NH+](C)Cc1nc(CNS(=O)(=O)CC2(C(=O)[O-])CC2)co1 ZINC001571133899 961603778 /nfs/dbraw/zinc/60/37/78/961603778.db2.gz ZGMCCKOEDKXZAW-UHFFFAOYSA-N 0 2 317.367 0.020 20 0 DCADLN CN(C(=O)[C@H]1C[C@H]1C(=O)[O-])C1C[NH+](CCOc2ccccc2)C1 ZINC001571137376 961610743 /nfs/dbraw/zinc/61/07/43/961610743.db2.gz DGTIDSAGVRAJJI-LSDHHAIUSA-N 0 2 318.373 0.929 20 0 DCADLN CCCCS(=O)(=O)NCC(=O)N[C@H](C)c1nn(C)cc1O ZINC001363666738 961656127 /nfs/dbraw/zinc/65/61/27/961656127.db2.gz NHGQCBBFPNJMSD-SECBINFHSA-N 0 2 318.399 0.022 20 0 DCADLN C[C@@H](CNC(=O)Cc1cccc(F)c1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378205558 961658799 /nfs/dbraw/zinc/65/87/99/961658799.db2.gz RDDQYABTIBCXHA-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN C[C@@H](CNC(=O)Cc1cccc(F)c1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378205558 961658809 /nfs/dbraw/zinc/65/88/09/961658809.db2.gz RDDQYABTIBCXHA-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN C[N@H+](CC(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1)C1CCC1 ZINC001430237673 1013278169 /nfs/dbraw/zinc/27/81/69/1013278169.db2.gz WUBWOJLUBBXMNS-TXEJJXNPSA-N 0 2 319.409 0.200 20 0 DCADLN COC(=O)[C@@H]1O[C@@H](C(=O)N[C@@H](C)c2nn(C)cc2O)C[C@H]1C ZINC001363669323 961662394 /nfs/dbraw/zinc/66/23/94/961662394.db2.gz VZTHUUNXAVHYMV-UJYYTQATSA-N 0 2 311.338 0.270 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363675255 961677211 /nfs/dbraw/zinc/67/72/11/961677211.db2.gz ZHABGNOJYRIWLF-VIFPVBQESA-N 0 2 318.399 0.027 20 0 DCADLN Cc1nnc(C[NH+]2CCC(N(C)C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)o1 ZINC001571155857 961862588 /nfs/dbraw/zinc/86/25/88/961862588.db2.gz GJEKGAHNJITNKH-NEPJUHHUSA-N 0 2 322.365 0.522 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CNC(=O)c2ccccc21)c1nn(C)cc1O ZINC001363836410 961985984 /nfs/dbraw/zinc/98/59/84/961985984.db2.gz JRQLNZKHUMXZLZ-JOYOIKCWSA-N 0 2 314.345 0.830 20 0 DCADLN Cc1nnc2n1C[C@@H](C(=O)N[C@@H](C)c1nn(C)cc1O)CC2 ZINC001363836115 961990582 /nfs/dbraw/zinc/99/05/82/961990582.db2.gz WTJFCCLGYTUVTG-WPRPVWTQSA-N 0 2 304.354 0.465 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@]12CCC[C@H]1OCC2 ZINC001379075939 962033838 /nfs/dbraw/zinc/03/38/38/962033838.db2.gz MUGQBJHGEJQXLG-ABAIWWIYSA-N 0 2 323.397 0.360 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@]12CCC[C@H]1OCC2 ZINC001379075939 962033854 /nfs/dbraw/zinc/03/38/54/962033854.db2.gz MUGQBJHGEJQXLG-ABAIWWIYSA-N 0 2 323.397 0.360 20 0 DCADLN C[S@@](=O)(=NS(=O)(=O)N[C@@H]1COC(=O)C1)c1ccccc1 ZINC001363918653 962127201 /nfs/dbraw/zinc/12/72/01/962127201.db2.gz VGURFXQRRASEKP-UGZDLDLSSA-N 0 2 318.376 0.293 20 0 DCADLN Cc1nc(CC(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001379128793 962164012 /nfs/dbraw/zinc/16/40/12/962164012.db2.gz JRSBPGDPEHWOTQ-UHFFFAOYSA-N 0 2 324.410 0.408 20 0 DCADLN Cc1nc(CC(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001379128793 962164022 /nfs/dbraw/zinc/16/40/22/962164022.db2.gz JRSBPGDPEHWOTQ-UHFFFAOYSA-N 0 2 324.410 0.408 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@H]2CCO[C@H](C)C2)[nH]1 ZINC001364013930 962292259 /nfs/dbraw/zinc/29/22/59/962292259.db2.gz XXXNDQVQDHJOBC-ZJUUUORDSA-N 0 2 318.399 0.186 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@@H+](CC(=O)[O-])CC2CC2)n1 ZINC001574092343 962350391 /nfs/dbraw/zinc/35/03/91/962350391.db2.gz RWTHIORZZDGDNZ-UHFFFAOYSA-N 0 2 321.333 0.603 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@H+](CC(=O)[O-])CC2CC2)n1 ZINC001574092343 962350410 /nfs/dbraw/zinc/35/04/10/962350410.db2.gz RWTHIORZZDGDNZ-UHFFFAOYSA-N 0 2 321.333 0.603 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)C[C@H]1CCO[C@H](C)C1 ZINC001364056249 962384260 /nfs/dbraw/zinc/38/42/60/962384260.db2.gz STKXXIKDNWFFBC-BDAKNGLRSA-N 0 2 315.338 0.529 20 0 DCADLN CCn1ccc(C[NH2+][C@@H](C)CN(C)C(=O)CCc2cn[nH]n2)n1 ZINC001379231532 962417650 /nfs/dbraw/zinc/41/76/50/962417650.db2.gz VBVINHHYSDAZOQ-LBPRGKRZSA-N 0 2 319.413 0.590 20 0 DCADLN CCn1ccc(C[NH2+][C@@H](C)CN(C)C(=O)CCc2c[nH]nn2)n1 ZINC001379231532 962417703 /nfs/dbraw/zinc/41/77/03/962417703.db2.gz VBVINHHYSDAZOQ-LBPRGKRZSA-N 0 2 319.413 0.590 20 0 DCADLN C/C(=C\C(=O)NC[C@H](CC(=O)[O-])C1CC1)C[NH+]1CCOCC1 ZINC001354053170 962706774 /nfs/dbraw/zinc/70/67/74/962706774.db2.gz YLGVGLWEYRMRHP-BCNIOPEESA-N 0 2 310.394 0.882 20 0 DCADLN CC(C)n1cc(C[NH2+][C@H]2CCCCN(CC(=O)[O-])C2=O)nn1 ZINC001588981017 962723886 /nfs/dbraw/zinc/72/38/86/962723886.db2.gz SOJXBPYZPHTBQS-LBPRGKRZSA-N 0 2 309.370 0.414 20 0 DCADLN COc1cccc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n1 ZINC001364247572 962744993 /nfs/dbraw/zinc/74/49/93/962744993.db2.gz UVJABCONEFQCLM-UHFFFAOYSA-N 0 2 318.333 0.915 20 0 DCADLN CC(C)n1ncnc1C[NH2+][C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC001588988628 962786447 /nfs/dbraw/zinc/78/64/47/962786447.db2.gz XIUBFECLBHCINC-LLVKDONJSA-N 0 2 309.370 0.414 20 0 DCADLN C[C@@H](NC(=O)C[NH+]1CCOCC1)c1nnc(C(F)(F)F)[n-]1 ZINC001364314440 962879791 /nfs/dbraw/zinc/87/97/91/962879791.db2.gz YTCCLAQQSKGTDH-SSDOTTSWSA-N 0 2 307.276 0.333 20 0 DCADLN CCn1ccc(C[N@H+](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001379639050 963308619 /nfs/dbraw/zinc/30/86/19/963308619.db2.gz FUIXENSDWHWSSZ-SNVBAGLBSA-N 0 2 320.397 0.890 20 0 DCADLN CCn1ccc(C[N@@H+](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001379639050 963308626 /nfs/dbraw/zinc/30/86/26/963308626.db2.gz FUIXENSDWHWSSZ-SNVBAGLBSA-N 0 2 320.397 0.890 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001430384290 1013418528 /nfs/dbraw/zinc/41/85/28/1013418528.db2.gz PRJYOTHPLRXYKB-CQSZACIVSA-N 0 2 319.409 0.011 20 0 DCADLN CCC1(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001380822404 963609705 /nfs/dbraw/zinc/60/97/05/963609705.db2.gz QNPJTQOCFBOHAX-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN C[C@H](NC(=O)C(C)(C)C)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314124304 963714381 /nfs/dbraw/zinc/71/43/81/963714381.db2.gz MJRBISTXNSJPBF-WPRPVWTQSA-N 0 2 310.354 0.072 20 0 DCADLN C[C@H](NC(=O)C(C)(C)C)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314124304 963714385 /nfs/dbraw/zinc/71/43/85/963714385.db2.gz MJRBISTXNSJPBF-WPRPVWTQSA-N 0 2 310.354 0.072 20 0 DCADLN Cn1cccc1[C@@H]1COCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC001447521986 1013449417 /nfs/dbraw/zinc/44/94/17/1013449417.db2.gz IYLPWPKROSXLLM-WDEREUQCSA-N 0 2 322.390 0.481 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001369076117 964371083 /nfs/dbraw/zinc/37/10/83/964371083.db2.gz PRGFJTTVOIRQEL-XVKPBYJWSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001369076117 964371094 /nfs/dbraw/zinc/37/10/94/964371094.db2.gz PRGFJTTVOIRQEL-XVKPBYJWSA-N 0 2 323.294 0.652 20 0 DCADLN CCn1ncc(C[NH2+][C@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])n1 ZINC001369247290 964589736 /nfs/dbraw/zinc/58/97/36/964589736.db2.gz NPBDRIVBEJEZCS-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCN(CCNC(=O)c1cncn1C)C(=O)C(F)C(F)(F)F ZINC001373862601 964726287 /nfs/dbraw/zinc/72/62/87/964726287.db2.gz CLXIMBCBCMFQKZ-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)c1cncn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001373862601 964726301 /nfs/dbraw/zinc/72/63/01/964726301.db2.gz CLXIMBCBCMFQKZ-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN Cn1ncc(CN2CC[C@](C)(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001375829810 964884308 /nfs/dbraw/zinc/88/43/08/964884308.db2.gz ZDLILXPAOMDEPX-KOLCDFICSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CC[C@](C)(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001375829810 964884313 /nfs/dbraw/zinc/88/43/13/964884313.db2.gz ZDLILXPAOMDEPX-KOLCDFICSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@]1(NC(=O)CCC(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375833197 964886632 /nfs/dbraw/zinc/88/66/32/964886632.db2.gz PFQDGSXFBLGCHQ-LBPRGKRZSA-N 0 2 303.313 0.636 20 0 DCADLN C[C@]1(NC(=O)CCC(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375833197 964886641 /nfs/dbraw/zinc/88/66/41/964886641.db2.gz PFQDGSXFBLGCHQ-LBPRGKRZSA-N 0 2 303.313 0.636 20 0 DCADLN Cn1ncnc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001374086459 964995845 /nfs/dbraw/zinc/99/58/45/964995845.db2.gz XZNZBCMWUCUUEV-VIFPVBQESA-N 0 2 309.267 0.360 20 0 DCADLN Cn1ncnc1CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001374086459 964995857 /nfs/dbraw/zinc/99/58/57/964995857.db2.gz XZNZBCMWUCUUEV-VIFPVBQESA-N 0 2 309.267 0.360 20 0 DCADLN CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC001605174246 1169859021 /nfs/dbraw/zinc/85/90/21/1169859021.db2.gz UNHBRIWCSYWUCY-SECBINFHSA-N 0 2 301.368 0.428 20 0 DCADLN CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC001605174246 1169859031 /nfs/dbraw/zinc/85/90/31/1169859031.db2.gz UNHBRIWCSYWUCY-SECBINFHSA-N 0 2 301.368 0.428 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](C[C@H]3C[C@@]34CCOC4)CC2)c1[O-] ZINC001374278559 965214657 /nfs/dbraw/zinc/21/46/57/965214657.db2.gz AQKMZXDHORDCCU-MLGOLLRUSA-N 0 2 320.393 0.608 20 0 DCADLN CC(C)(C)CCC[NH+]1CC(O)(CNC(=O)CCc2nnc[nH]2)C1 ZINC001376160218 965309500 /nfs/dbraw/zinc/30/95/00/965309500.db2.gz YFCKLDRNUZDCNB-UHFFFAOYSA-N 0 2 323.441 0.727 20 0 DCADLN CCO[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001369982857 965652939 /nfs/dbraw/zinc/65/29/39/965652939.db2.gz FGYNBUGACMJMIF-CMPLNLGQSA-N 0 2 309.370 0.016 20 0 DCADLN COCC(=O)N[C@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001370083508 965755110 /nfs/dbraw/zinc/75/51/10/965755110.db2.gz ZGMBQCHYKONELA-HZGVNTEJSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N[C@H](C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001370083508 965755116 /nfs/dbraw/zinc/75/51/16/965755116.db2.gz ZGMBQCHYKONELA-HZGVNTEJSA-N 0 2 300.252 0.496 20 0 DCADLN Cc1noc([C@@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001376719320 966058605 /nfs/dbraw/zinc/05/86/05/966058605.db2.gz ZXMVUAWWSHDFFV-POYBYMJQSA-N 0 2 308.342 0.584 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001370651894 966336325 /nfs/dbraw/zinc/33/63/25/966336325.db2.gz OJHAJJCWGATQEU-YUMQZZPRSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccoc1 ZINC001370651894 966336331 /nfs/dbraw/zinc/33/63/31/966336331.db2.gz OJHAJJCWGATQEU-YUMQZZPRSA-N 0 2 312.219 0.387 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001375119359 966376910 /nfs/dbraw/zinc/37/69/10/966376910.db2.gz BTZBHHMNDDTKRS-ZMLRMANQSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001375119359 966376923 /nfs/dbraw/zinc/37/69/23/966376923.db2.gz BTZBHHMNDDTKRS-ZMLRMANQSA-N 0 2 307.398 0.883 20 0 DCADLN CC1(C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)CCC1 ZINC001370757044 966447875 /nfs/dbraw/zinc/44/78/75/966447875.db2.gz HPMWHUYLGXSSOV-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)CCC1 ZINC001370757044 966447882 /nfs/dbraw/zinc/44/78/82/966447882.db2.gz HPMWHUYLGXSSOV-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN COCC(=O)N(C)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001381573592 966545383 /nfs/dbraw/zinc/54/53/83/966545383.db2.gz MIFVPVJIELPCJS-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N(C)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001381573592 966545388 /nfs/dbraw/zinc/54/53/88/966545388.db2.gz MIFVPVJIELPCJS-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381773412 966673473 /nfs/dbraw/zinc/67/34/73/966673473.db2.gz QQEIAUQSZPNUDL-RKDXNWHRSA-N 0 2 313.427 0.446 20 0 DCADLN C[C@H](NC(=O)Cc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381816399 966702381 /nfs/dbraw/zinc/70/23/81/966702381.db2.gz SVMSXLKAITVSPP-VIFPVBQESA-N 0 2 305.338 0.283 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001371141238 966753932 /nfs/dbraw/zinc/75/39/32/966753932.db2.gz DJOSVQBFKIIKEK-POYBYMJQSA-N 0 2 308.342 0.584 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnon1 ZINC001382985392 967827652 /nfs/dbraw/zinc/82/76/52/967827652.db2.gz MASPVLIIAWFKLJ-CAHLUQPWSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cnon1 ZINC001382985392 967827662 /nfs/dbraw/zinc/82/76/62/967827662.db2.gz MASPVLIIAWFKLJ-CAHLUQPWSA-N 0 2 312.223 0.547 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372492715 968042159 /nfs/dbraw/zinc/04/21/59/968042159.db2.gz WARYGDSMRBVKNP-WPRPVWTQSA-N 0 2 322.262 0.570 20 0 DCADLN CC1CC[NH+](CC(=O)NC[C@@H]2CCC[N@@H+]2CC(=O)N(C)C)CC1 ZINC001372544603 968105981 /nfs/dbraw/zinc/10/59/81/968105981.db2.gz IXTRXQAIMPOLRN-HNNXBMFYSA-N 0 2 324.469 0.387 20 0 DCADLN CC[C@H](C)[C@@H](OC)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373520113 969184331 /nfs/dbraw/zinc/18/43/31/969184331.db2.gz UPUXETXDXKCUAA-JOYOIKCWSA-N 0 2 311.386 0.119 20 0 DCADLN CCC[C@@H](OCC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001373574018 969248717 /nfs/dbraw/zinc/24/87/17/969248717.db2.gz XUYVJIFKTOAHQL-SDDRHHMPSA-N 0 2 323.397 0.501 20 0 DCADLN CN(CCNC(=O)c1cnn(C)c1)C(=O)C(F)C(F)(F)F ZINC001431129600 1013915449 /nfs/dbraw/zinc/91/54/49/1013915449.db2.gz QIDFFCAJGRHZBH-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)c1cnn(C)c1)C(=O)[C@H](F)C(F)(F)F ZINC001431129600 1013915455 /nfs/dbraw/zinc/91/54/55/1013915455.db2.gz QIDFFCAJGRHZBH-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN O=C([O-])C1CCN(C(=O)C[N@@H+]2CCCC3(C2)OCCO3)CC1 ZINC000317089100 970327126 /nfs/dbraw/zinc/32/71/26/970327126.db2.gz ARIMNUDTMCYJBJ-UHFFFAOYSA-N 0 2 312.366 0.149 20 0 DCADLN O=C([O-])C1CCN(C(=O)C[N@H+]2CCCC3(C2)OCCO3)CC1 ZINC000317089100 970327132 /nfs/dbraw/zinc/32/71/32/970327132.db2.gz ARIMNUDTMCYJBJ-UHFFFAOYSA-N 0 2 312.366 0.149 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ncccn1 ZINC001431302569 1013986964 /nfs/dbraw/zinc/98/69/64/1013986964.db2.gz KAEIALYGFWOAHP-SSDOTTSWSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ncccn1 ZINC001431302569 1013986968 /nfs/dbraw/zinc/98/69/68/1013986968.db2.gz KAEIALYGFWOAHP-SSDOTTSWSA-N 0 2 308.235 0.565 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)Cc1ccccc1C(=O)[O-] ZINC000317478611 970363257 /nfs/dbraw/zinc/36/32/57/970363257.db2.gz CIYFUPJSMWZAJI-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+]1Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000318510650 970441080 /nfs/dbraw/zinc/44/10/80/970441080.db2.gz YCBLCONKSFUZFX-QWRGUYRKSA-N 0 2 323.736 0.368 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+]1Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000318510650 970441086 /nfs/dbraw/zinc/44/10/86/970441086.db2.gz YCBLCONKSFUZFX-QWRGUYRKSA-N 0 2 323.736 0.368 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(F)c1 ZINC000318966141 970493366 /nfs/dbraw/zinc/49/33/66/970493366.db2.gz LQEMKMSEDJLSEX-GFCCVEGCSA-N 0 2 307.281 0.983 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(F)c1 ZINC000318966141 970493369 /nfs/dbraw/zinc/49/33/69/970493369.db2.gz LQEMKMSEDJLSEX-GFCCVEGCSA-N 0 2 307.281 0.983 20 0 DCADLN C[C@@H]1C[C@H](N2CCCC2)C[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001610068679 970657006 /nfs/dbraw/zinc/65/70/06/970657006.db2.gz XKDNLOYDBLATPH-NEPJUHHUSA-N 0 2 304.412 0.044 20 0 DCADLN C[C@@H]1C[C@H](N2CCCC2)C[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001610068679 970657014 /nfs/dbraw/zinc/65/70/14/970657014.db2.gz XKDNLOYDBLATPH-NEPJUHHUSA-N 0 2 304.412 0.044 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)CCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001431388318 1014025327 /nfs/dbraw/zinc/02/53/27/1014025327.db2.gz MKRZNSXMWLHMQQ-UHFFFAOYSA-N 0 2 309.414 0.209 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@@H]3CC[C@@H]3C(=O)[O-])CC2)CC1 ZINC001604220837 972708920 /nfs/dbraw/zinc/70/89/20/972708920.db2.gz HAQJHQPQZAQTPO-KGLIPLIRSA-N 0 2 309.410 0.336 20 0 DCADLN O=C([O-])c1ccc([N+](=O)[O-])c(NC[C@H]2C[NH+]3CCN2CC3)c1 ZINC000394706921 972744038 /nfs/dbraw/zinc/74/40/38/972744038.db2.gz TXIZAUQJGWJWEH-NSHDSACASA-N 0 2 306.322 0.705 20 0 DCADLN O=C(NC[C@H](CO)NCc1cnsn1)C(F)C(F)(F)F ZINC001448826031 1014059841 /nfs/dbraw/zinc/05/98/41/1014059841.db2.gz RRINATQPNGGHMR-RNFRBKRXSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@H](CO)NCc1cnsn1)[C@@H](F)C(F)(F)F ZINC001448826031 1014059842 /nfs/dbraw/zinc/05/98/42/1014059842.db2.gz RRINATQPNGGHMR-RNFRBKRXSA-N 0 2 316.280 0.005 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N(C)C[C@H](C)C(=O)[O-])c[nH+]1 ZINC001605146566 972832789 /nfs/dbraw/zinc/83/27/89/972832789.db2.gz WURKOZPARJOFSY-JTQLQIEISA-N 0 2 307.350 0.494 20 0 DCADLN O=C([O-])/C=C\c1cc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)co1 ZINC001606063953 973195790 /nfs/dbraw/zinc/19/57/90/973195790.db2.gz VRGGXXSAGYLZAX-UIIMQBOASA-N 0 2 316.317 0.887 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ncc[nH]1 ZINC001448926283 1014098570 /nfs/dbraw/zinc/09/85/70/1014098570.db2.gz YZHKQBVWKFKAJA-SECBINFHSA-N 0 2 310.251 0.427 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CC[C@@H](C)[C@@H](C(=O)[O-])C2)CCO1 ZINC001603462094 973310675 /nfs/dbraw/zinc/31/06/75/973310675.db2.gz YBCFEOQGTKLWQO-UPJWGTAASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CC[C@@H](C)[C@@H](C(=O)[O-])C2)CCO1 ZINC001603462094 973310689 /nfs/dbraw/zinc/31/06/89/973310689.db2.gz YBCFEOQGTKLWQO-UPJWGTAASA-N 0 2 313.398 0.459 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001604526681 973480735 /nfs/dbraw/zinc/48/07/35/973480735.db2.gz LDVYGZALMSGKFC-ABAIWWIYSA-N 0 2 307.350 0.395 20 0 DCADLN CC(C)n1ccc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001592133486 976978533 /nfs/dbraw/zinc/97/85/33/976978533.db2.gz VFUZLESGXVOSCG-LBPRGKRZSA-N 0 2 305.338 0.542 20 0 DCADLN CC(C)n1ccc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001592133486 976978543 /nfs/dbraw/zinc/97/85/43/976978543.db2.gz VFUZLESGXVOSCG-LBPRGKRZSA-N 0 2 305.338 0.542 20 0 DCADLN CC[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])cs1 ZINC000710170047 977489318 /nfs/dbraw/zinc/48/93/18/977489318.db2.gz HTRARDZKLQDZBF-SECBINFHSA-N 0 2 304.393 0.819 20 0 DCADLN CC[N@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])cs1 ZINC000710170047 977489321 /nfs/dbraw/zinc/48/93/21/977489321.db2.gz HTRARDZKLQDZBF-SECBINFHSA-N 0 2 304.393 0.819 20 0 DCADLN CC[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000710169218 977489629 /nfs/dbraw/zinc/48/96/29/977489629.db2.gz GNBGMFSBQGLRAU-MRVPVSSYSA-N 0 2 304.393 0.819 20 0 DCADLN CC[N@H+]1CC[C@@H]1CNS(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000710169218 977489633 /nfs/dbraw/zinc/48/96/33/977489633.db2.gz GNBGMFSBQGLRAU-MRVPVSSYSA-N 0 2 304.393 0.819 20 0 DCADLN CCN(CCNC(=O)[C@@H](C)OC)C(=O)C(F)C(F)(F)F ZINC001449318881 1014407048 /nfs/dbraw/zinc/40/70/48/1014407048.db2.gz ONIXNGROYTZCQP-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CCN(CCNC(=O)[C@@H](C)OC)C(=O)[C@@H](F)C(F)(F)F ZINC001449318881 1014407052 /nfs/dbraw/zinc/40/70/52/1014407052.db2.gz ONIXNGROYTZCQP-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)CCCC2CC2)CC1 ZINC000715020756 977688730 /nfs/dbraw/zinc/68/87/30/977688730.db2.gz KBUGAMQBOYRLFZ-UHFFFAOYSA-N 0 2 304.412 0.599 20 0 DCADLN C[C@@](CC(=O)[O-])([NH2+]Cc1nnc2n1CCOC2)c1ccncc1 ZINC001592330457 977767048 /nfs/dbraw/zinc/76/70/48/977767048.db2.gz UIGJIHBMQNUKJB-HNNXBMFYSA-N 0 2 317.349 0.683 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1cncc(C(=O)[O-])c1 ZINC001592622839 978591352 /nfs/dbraw/zinc/59/13/52/978591352.db2.gz BPACLSRJPJMOJV-NSHDSACASA-N 0 2 307.350 0.573 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CCC(c2c[nH+]cn2C)CC1)C(=O)[O-] ZINC001592799589 979452059 /nfs/dbraw/zinc/45/20/59/979452059.db2.gz VSJDJJLAFALSHA-JTQLQIEISA-N 0 2 315.395 0.650 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001595154518 979659810 /nfs/dbraw/zinc/65/98/10/979659810.db2.gz NLZWBVGMXHETPK-XQQFMLRXSA-N 0 2 314.382 0.093 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)Cc3cn4c([nH+]3)CCCC4)CC[C@@H]21 ZINC001550928383 1014915100 /nfs/dbraw/zinc/91/51/00/1014915100.db2.gz JUIGEKHPUBPGRO-YWPYICTPSA-N 0 2 303.362 0.941 20 0 DCADLN CC[N@@H+](CCC(=O)[O-])Cn1nc(CO)n(C2CC2)c1=S ZINC001596315954 983748163 /nfs/dbraw/zinc/74/81/63/983748163.db2.gz JYOVUESOHUGNRI-UHFFFAOYSA-N 0 2 300.384 0.995 20 0 DCADLN CC[N@H+](CCC(=O)[O-])Cn1nc(CO)n(C2CC2)c1=S ZINC001596315954 983748161 /nfs/dbraw/zinc/74/81/61/983748161.db2.gz JYOVUESOHUGNRI-UHFFFAOYSA-N 0 2 300.384 0.995 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)C[C@H]1C ZINC001596436401 984119460 /nfs/dbraw/zinc/11/94/60/984119460.db2.gz PIXOEHJKMRVIFT-LLVKDONJSA-N 0 2 304.412 0.597 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)C[C@H]1C ZINC001596436401 984119462 /nfs/dbraw/zinc/11/94/62/984119462.db2.gz PIXOEHJKMRVIFT-LLVKDONJSA-N 0 2 304.412 0.597 20 0 DCADLN C/C(=C/CNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC001588969522 984780553 /nfs/dbraw/zinc/78/05/53/984780553.db2.gz TYYFBKYMXQKZHY-TWEXNZEWSA-N 0 2 311.382 0.524 20 0 DCADLN C/C(=C\CNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001588980017 984856630 /nfs/dbraw/zinc/85/66/30/984856630.db2.gz ZJQDKQMOARIWDU-VVBALGDRSA-N 0 2 312.366 0.013 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001525072001 1015096625 /nfs/dbraw/zinc/09/66/25/1015096625.db2.gz YLEORFXFGBBUPM-HAFWLYHUSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2C[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001525072001 1015096634 /nfs/dbraw/zinc/09/66/34/1015096634.db2.gz YLEORFXFGBBUPM-HAFWLYHUSA-N 0 2 323.250 0.093 20 0 DCADLN CC(C)CC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001383973779 985236142 /nfs/dbraw/zinc/23/61/42/985236142.db2.gz QXQBCVRJRKZXAF-MRTMQBJTSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)CC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001383973779 985236156 /nfs/dbraw/zinc/23/61/56/985236156.db2.gz QXQBCVRJRKZXAF-MRTMQBJTSA-N 0 2 314.279 0.621 20 0 DCADLN CCOC(C[N@@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC)OCC ZINC001596788910 985254838 /nfs/dbraw/zinc/25/48/38/985254838.db2.gz CGPBGOUEWGONTI-GHMZBOCLSA-N 0 2 303.355 0.724 20 0 DCADLN CCOC(C[N@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC)OCC ZINC001596788910 985254843 /nfs/dbraw/zinc/25/48/43/985254843.db2.gz CGPBGOUEWGONTI-GHMZBOCLSA-N 0 2 303.355 0.724 20 0 DCADLN C[C@@H]1CN(C(=O)c2ncc[nH]2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001384122771 985376146 /nfs/dbraw/zinc/37/61/46/985376146.db2.gz NRRPCFQHGPKPGF-PRJMDXOYSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)c2ncc[nH]2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001384122771 985376154 /nfs/dbraw/zinc/37/61/54/985376154.db2.gz NRRPCFQHGPKPGF-PRJMDXOYSA-N 0 2 322.262 0.887 20 0 DCADLN CCn1ccc(C(=O)N(C)C[C@H]2OCC[C@@H]2c2n[nH]c(C)n2)n1 ZINC001551660031 1015167033 /nfs/dbraw/zinc/16/70/33/1015167033.db2.gz NRGWGZOOFKPYOP-WCQYABFASA-N 0 2 318.381 0.974 20 0 DCADLN CCn1nccc1C(=O)N(C)C[C@H]1OCC[C@H]1c1n[nH]c(C)n1 ZINC001551669783 1015173795 /nfs/dbraw/zinc/17/37/95/1015173795.db2.gz ISHDTRVKATUMPM-DGCLKSJQSA-N 0 2 318.381 0.974 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001384953446 985976457 /nfs/dbraw/zinc/97/64/57/985976457.db2.gz NIRHFQNBLUXBHN-GJMOJQLCSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001384953446 985976466 /nfs/dbraw/zinc/97/64/66/985976466.db2.gz NIRHFQNBLUXBHN-GJMOJQLCSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)c1cncc(C=O)c1 ZINC001594522915 986016274 /nfs/dbraw/zinc/01/62/74/986016274.db2.gz XVRKWKOHJMCVAK-NSHDSACASA-N 0 2 305.334 0.515 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1cncc(C=O)c1 ZINC001594522915 986016295 /nfs/dbraw/zinc/01/62/95/986016295.db2.gz XVRKWKOHJMCVAK-NSHDSACASA-N 0 2 305.334 0.515 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ccoc1CC(=O)[O-] ZINC001594526733 986061683 /nfs/dbraw/zinc/06/16/83/986061683.db2.gz COQJJDJVLSHQBX-MNOVXSKESA-N 0 2 310.350 0.746 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ccoc1CC(=O)[O-] ZINC001594526733 986061696 /nfs/dbraw/zinc/06/16/96/986061696.db2.gz COQJJDJVLSHQBX-MNOVXSKESA-N 0 2 310.350 0.746 20 0 DCADLN Cc1c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])sc2nccn12 ZINC001599981547 986169317 /nfs/dbraw/zinc/16/93/17/986169317.db2.gz ABXFDZCXKIYZCT-VIFPVBQESA-N 0 2 319.346 0.853 20 0 DCADLN Cc1c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])sc2nccn12 ZINC001599981547 986169325 /nfs/dbraw/zinc/16/93/25/986169325.db2.gz ABXFDZCXKIYZCT-VIFPVBQESA-N 0 2 319.346 0.853 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2cc[nH]n2)C1 ZINC001385233947 986173193 /nfs/dbraw/zinc/17/31/93/986173193.db2.gz JMGJVIRRSVPRFW-CBAPKCEASA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001385233947 986173194 /nfs/dbraw/zinc/17/31/94/986173194.db2.gz JMGJVIRRSVPRFW-CBAPKCEASA-N 0 2 322.262 0.983 20 0 DCADLN CCOCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001450546084 1015201922 /nfs/dbraw/zinc/20/19/22/1015201922.db2.gz IVIAHLFZSAHJLN-SECBINFHSA-N 0 2 300.252 0.498 20 0 DCADLN CCOCC(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450546084 1015201927 /nfs/dbraw/zinc/20/19/27/1015201927.db2.gz IVIAHLFZSAHJLN-SECBINFHSA-N 0 2 300.252 0.498 20 0 DCADLN CCOCC(=O)N1CC[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385357577 986288605 /nfs/dbraw/zinc/28/86/05/986288605.db2.gz SIJSJGXSJCBHIK-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N1CC[C@@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385357577 986288616 /nfs/dbraw/zinc/28/86/16/986288616.db2.gz SIJSJGXSJCBHIK-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H](C(=O)N[C@H](CC(F)(F)F)C(=O)[O-])[NH+]1CCSCC1 ZINC001589385995 986483454 /nfs/dbraw/zinc/48/34/54/986483454.db2.gz RKZWKYCNTNNMKM-HTQZYQBOSA-N 0 2 314.329 0.946 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@](C)(C(=O)[O-])C1 ZINC001594607308 986578449 /nfs/dbraw/zinc/57/84/49/986578449.db2.gz HHUFHXFUZVGSJP-WFASDCNBSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@](C)(C(=O)[O-])C1 ZINC001594607308 986578454 /nfs/dbraw/zinc/57/84/54/986578454.db2.gz HHUFHXFUZVGSJP-WFASDCNBSA-N 0 2 313.398 0.603 20 0 DCADLN COCCC(C)(C)C(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001386416063 987135141 /nfs/dbraw/zinc/13/51/41/987135141.db2.gz DMFSVXMPZMWULG-LLVKDONJSA-N 0 2 324.425 0.944 20 0 DCADLN CO[C@H](C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001386931587 987511344 /nfs/dbraw/zinc/51/13/44/987511344.db2.gz ALDXDDIDEDFRNN-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@H](C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001386931587 987511347 /nfs/dbraw/zinc/51/13/47/987511347.db2.gz ALDXDDIDEDFRNN-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001387193609 987696253 /nfs/dbraw/zinc/69/62/53/987696253.db2.gz VLNUTJMSVOMRTH-JSGCOSHPSA-N 0 2 321.425 0.446 20 0 DCADLN COCC(=O)N1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001387199607 987701422 /nfs/dbraw/zinc/70/14/22/987701422.db2.gz YHRZOEHLFZIAIE-IONNQARKSA-N 0 2 300.252 0.498 20 0 DCADLN COCC(=O)N1CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387199607 987701429 /nfs/dbraw/zinc/70/14/29/987701429.db2.gz YHRZOEHLFZIAIE-IONNQARKSA-N 0 2 300.252 0.498 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cc[nH]n2)C1)C(F)C(F)(F)F ZINC001387206161 987705440 /nfs/dbraw/zinc/70/54/40/987705440.db2.gz NQBCTQRKTCGDLU-CBAPKCEASA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1)C(F)C(F)(F)F ZINC001387206161 987705442 /nfs/dbraw/zinc/70/54/42/987705442.db2.gz NQBCTQRKTCGDLU-CBAPKCEASA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC1CN(C(=O)C2CCOCC2)C1)C(F)C(F)(F)F ZINC001387747178 988111726 /nfs/dbraw/zinc/11/17/26/988111726.db2.gz IFPFIGLOANCHMG-VIFPVBQESA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)C2CCOCC2)C1)[C@H](F)C(F)(F)F ZINC001387747178 988111731 /nfs/dbraw/zinc/11/17/31/988111731.db2.gz IFPFIGLOANCHMG-VIFPVBQESA-N 0 2 312.263 0.640 20 0 DCADLN CCc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001387749873 988115211 /nfs/dbraw/zinc/11/52/11/988115211.db2.gz NLCKHOMJCBEWDZ-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN CCc1cc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)[nH]n1 ZINC001387749873 988115215 /nfs/dbraw/zinc/11/52/15/988115215.db2.gz NLCKHOMJCBEWDZ-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@]12C[C@H]1COC2 ZINC001387792397 988156947 /nfs/dbraw/zinc/15/69/47/988156947.db2.gz CVCOGHCVXJFMTQ-LAEOZQHASA-N 0 2 312.263 0.498 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@]12C[C@H]1COC2 ZINC001387792397 988156958 /nfs/dbraw/zinc/15/69/58/988156958.db2.gz CVCOGHCVXJFMTQ-LAEOZQHASA-N 0 2 312.263 0.498 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])cc1 ZINC001597524634 988161779 /nfs/dbraw/zinc/16/17/79/988161779.db2.gz DBJFGPOVBNHPIL-HUUCEWRRSA-N 0 2 320.389 0.727 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])cc1 ZINC001597524634 988161784 /nfs/dbraw/zinc/16/17/84/988161784.db2.gz DBJFGPOVBNHPIL-HUUCEWRRSA-N 0 2 320.389 0.727 20 0 DCADLN O=C(Cc1cnoc1)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001387879283 988247326 /nfs/dbraw/zinc/24/73/26/988247326.db2.gz SQLXJLFNHAQZQK-JTQLQIEISA-N 0 2 323.246 0.692 20 0 DCADLN O=C(Cc1cnoc1)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387879283 988247330 /nfs/dbraw/zinc/24/73/30/988247330.db2.gz SQLXJLFNHAQZQK-JTQLQIEISA-N 0 2 323.246 0.692 20 0 DCADLN CC1(C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001389112885 988846448 /nfs/dbraw/zinc/84/64/48/988846448.db2.gz XMMVNKUAKOBZAJ-RNFRBKRXSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001389112885 988846467 /nfs/dbraw/zinc/84/64/67/988846467.db2.gz XMMVNKUAKOBZAJ-RNFRBKRXSA-N 0 2 300.252 0.280 20 0 DCADLN CCc1[nH+]ccn1CCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001597705860 988948644 /nfs/dbraw/zinc/94/86/44/988948644.db2.gz ITGIXRZBCHPWKG-UHFFFAOYSA-N 0 2 314.323 0.110 20 0 DCADLN C[C@@H]1C[N@H+](Cn2nc(CO)n(C3CC3)c2=S)C[C@H]1C(=O)[O-] ZINC001599867682 989296889 /nfs/dbraw/zinc/29/68/89/989296889.db2.gz JIVLMHXTEKUMEO-PSASIEDQSA-N 0 2 312.395 0.851 20 0 DCADLN C[C@@H]1C[N@@H+](Cn2nc(CO)n(C3CC3)c2=S)C[C@H]1C(=O)[O-] ZINC001599867682 989296900 /nfs/dbraw/zinc/29/69/00/989296900.db2.gz JIVLMHXTEKUMEO-PSASIEDQSA-N 0 2 312.395 0.851 20 0 DCADLN Cn1ncc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001389873856 989653783 /nfs/dbraw/zinc/65/37/83/989653783.db2.gz XXEXZDSENMSBIX-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1ncc(C(=O)NC2(CNC(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001389873856 989653790 /nfs/dbraw/zinc/65/37/90/989653790.db2.gz XXEXZDSENMSBIX-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN CCn1c[nH+]c2c1CCN(CC(=O)N1CCC(C(=O)[O-])CC1)C2 ZINC001597920834 989848700 /nfs/dbraw/zinc/84/87/00/989848700.db2.gz NEJJVWCLQYYCAJ-UHFFFAOYSA-N 0 2 320.393 0.584 20 0 DCADLN CCn1nccc1C[N@H+](CCO)Cc1ccc(C(=O)[O-])n1C ZINC001597962930 989996090 /nfs/dbraw/zinc/99/60/90/989996090.db2.gz SYJVMDHZFVQVRP-UHFFFAOYSA-N 0 2 306.366 0.934 20 0 DCADLN CCn1nccc1C[N@@H+](CCO)Cc1ccc(C(=O)[O-])n1C ZINC001597962930 989996101 /nfs/dbraw/zinc/99/61/01/989996101.db2.gz SYJVMDHZFVQVRP-UHFFFAOYSA-N 0 2 306.366 0.934 20 0 DCADLN Cc1ccoc1CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391068832 990557231 /nfs/dbraw/zinc/55/72/31/990557231.db2.gz YQIXQFQAQYHWKY-NSHDSACASA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccoc1CC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391068832 990557238 /nfs/dbraw/zinc/55/72/38/990557238.db2.gz YQIXQFQAQYHWKY-NSHDSACASA-N 0 2 319.365 0.735 20 0 DCADLN CC[C@H](CNC(=O)CCc1nnc[nH]1)[NH2+][C@H](C)c1ncccn1 ZINC001391686613 991095868 /nfs/dbraw/zinc/09/58/68/991095868.db2.gz SNADRZLKXPUQRH-VXGBXAGGSA-N 0 2 317.397 0.773 20 0 DCADLN C[N@H+](C[C@H](O)C(F)(F)F)[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598432265 991798958 /nfs/dbraw/zinc/79/89/58/991798958.db2.gz CZZOHOIFGYXKSH-BDAKNGLRSA-N 0 2 312.288 0.307 20 0 DCADLN C[N@@H+](C[C@H](O)C(F)(F)F)[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598432265 991798967 /nfs/dbraw/zinc/79/89/67/991798967.db2.gz CZZOHOIFGYXKSH-BDAKNGLRSA-N 0 2 312.288 0.307 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cnc(C(=O)[O-])cn1 ZINC001598488144 992239495 /nfs/dbraw/zinc/23/94/95/992239495.db2.gz IGNUVFJQCUJCNX-UHFFFAOYSA-N 0 2 322.365 0.358 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cnc(C(=O)[O-])cn1 ZINC001598488144 992239503 /nfs/dbraw/zinc/23/95/03/992239503.db2.gz IGNUVFJQCUJCNX-UHFFFAOYSA-N 0 2 322.365 0.358 20 0 DCADLN C[C@H](c1nnnn1C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001392995715 992610705 /nfs/dbraw/zinc/61/07/05/992610705.db2.gz OJMKZLAFIWWQAE-HTRCEHHLSA-N 0 2 324.282 0.314 20 0 DCADLN C[C@H](c1nnnn1C)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001392995715 992610708 /nfs/dbraw/zinc/61/07/08/992610708.db2.gz OJMKZLAFIWWQAE-HTRCEHHLSA-N 0 2 324.282 0.314 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001393338869 992894683 /nfs/dbraw/zinc/89/46/83/992894683.db2.gz DNDHGVCNBDLGPI-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001393338869 992894689 /nfs/dbraw/zinc/89/46/89/992894689.db2.gz DNDHGVCNBDLGPI-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cncnc1)C(F)C(F)(F)F ZINC001393351424 992905731 /nfs/dbraw/zinc/90/57/31/992905731.db2.gz YVVJOQMCUSPSGW-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cncnc1)[C@@H](F)C(F)(F)F ZINC001393351424 992905735 /nfs/dbraw/zinc/90/57/35/992905735.db2.gz YVVJOQMCUSPSGW-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN CC(C)(C)CC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001399785197 993770735 /nfs/dbraw/zinc/77/07/35/993770735.db2.gz NEDSTQRQYXBAEX-IONNQARKSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001399785197 993770746 /nfs/dbraw/zinc/77/07/46/993770746.db2.gz NEDSTQRQYXBAEX-IONNQARKSA-N 0 2 316.295 0.916 20 0 DCADLN CSC[C@@H](C)C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001399994688 994033567 /nfs/dbraw/zinc/03/35/67/994033567.db2.gz HGJCQXHHSPWAHG-SECBINFHSA-N 0 2 313.427 0.590 20 0 DCADLN CSC[C@@H](C)C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001399994688 994033578 /nfs/dbraw/zinc/03/35/78/994033578.db2.gz HGJCQXHHSPWAHG-SECBINFHSA-N 0 2 313.427 0.590 20 0 DCADLN Cc1c[nH]c(C(=O)N(CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C(C)C)n1 ZINC001394808846 994178289 /nfs/dbraw/zinc/17/82/89/994178289.db2.gz YIWCRSBOLVBPMB-UHFFFAOYSA-N 0 2 321.385 0.524 20 0 DCADLN Cc1c[nH]c(C(=O)N(CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C(C)C)n1 ZINC001394808846 994178300 /nfs/dbraw/zinc/17/83/00/994178300.db2.gz YIWCRSBOLVBPMB-UHFFFAOYSA-N 0 2 321.385 0.524 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001400636553 994735492 /nfs/dbraw/zinc/73/54/92/994735492.db2.gz FFDJTKHVVWULNH-SSDOTTSWSA-N 0 2 323.250 0.392 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001400636553 994735505 /nfs/dbraw/zinc/73/55/05/994735505.db2.gz FFDJTKHVVWULNH-SSDOTTSWSA-N 0 2 323.250 0.392 20 0 DCADLN COCCC(=O)N[C@H](C)CN(C)C(=O)C(F)C(F)(F)F ZINC001510604629 1015886499 /nfs/dbraw/zinc/88/64/99/1015886499.db2.gz FJEBHZDFDGMMKX-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N[C@H](C)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001510604629 1015886510 /nfs/dbraw/zinc/88/65/10/1015886510.db2.gz FJEBHZDFDGMMKX-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@H](C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001400709213 994825014 /nfs/dbraw/zinc/82/50/14/994825014.db2.gz AXRIGNMBJAIQBH-AAEUAGOBSA-N 0 2 323.397 0.358 20 0 DCADLN CCCCC[NH+]1CC2(C1)CC[C@H](CNC(=O)Cc1nnc[nH]1)O2 ZINC001502441772 1015919675 /nfs/dbraw/zinc/91/96/75/1015919675.db2.gz XONYCLHSSGVFSE-CYBMUJFWSA-N 0 2 321.425 0.887 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CNc1cccc[nH+]1 ZINC001598743022 995571616 /nfs/dbraw/zinc/57/16/16/995571616.db2.gz DCRWTWGPIPHLLZ-QWRGUYRKSA-N 0 2 321.333 0.358 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCc1[nH+]ccn1C ZINC001598747809 995612666 /nfs/dbraw/zinc/61/26/66/995612666.db2.gz BMGHQXLVEJDRJK-WDEREUQCSA-N 0 2 323.349 0.218 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001397394516 995961527 /nfs/dbraw/zinc/96/15/27/995961527.db2.gz PJVVVVCMHJJCNQ-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)n(C)n1 ZINC001397394516 995961531 /nfs/dbraw/zinc/96/15/31/995961531.db2.gz PJVVVVCMHJJCNQ-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCn1cccc1 ZINC001397565459 996072843 /nfs/dbraw/zinc/07/28/43/996072843.db2.gz COAJEELJGKUTTO-GFCCVEGCSA-N 0 2 318.381 0.435 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@@H](C(=O)[O-])C1 ZINC001598795395 996106860 /nfs/dbraw/zinc/10/68/60/996106860.db2.gz YGRCTBGHKIQGNH-GXSJLCMTSA-N 0 2 309.322 0.125 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)n1cc(CC[NH+]2CCOCC2)nn1 ZINC001593729636 996292603 /nfs/dbraw/zinc/29/26/03/996292603.db2.gz LDXUBYZGJGKWQX-JTQLQIEISA-N 0 2 321.337 0.448 20 0 DCADLN CN(CCNC(=O)c1cccnn1)C(=O)C(F)C(F)(F)F ZINC001398015983 996314694 /nfs/dbraw/zinc/31/46/94/996314694.db2.gz TYIJFYBVDAIIQJ-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1cccnn1)C(=O)[C@@H](F)C(F)(F)F ZINC001398015983 996314698 /nfs/dbraw/zinc/31/46/98/996314698.db2.gz TYIJFYBVDAIIQJ-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN COC(=O)CCCc1nc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]2C)no1 ZINC001598852873 996619465 /nfs/dbraw/zinc/61/94/65/996619465.db2.gz LGCDKASQTOOJSA-UWVGGRQHSA-N 0 2 311.338 0.860 20 0 DCADLN COC(=O)CCCc1nc(C[N@H+]2CC[C@H](C(=O)[O-])[C@@H]2C)no1 ZINC001598852873 996619468 /nfs/dbraw/zinc/61/94/68/996619468.db2.gz LGCDKASQTOOJSA-UWVGGRQHSA-N 0 2 311.338 0.860 20 0 DCADLN COC(=O)CN(CC(=O)[O-])Cc1cc(-n2cc[nH+]c2)cs1 ZINC001598861891 996681600 /nfs/dbraw/zinc/68/16/00/996681600.db2.gz RMWFIRVWVPHPFO-UHFFFAOYSA-N 0 2 309.347 0.993 20 0 DCADLN COC(=O)CNC(=O)[C@@H](C)[N@H+](C)Cc1cc(C(=O)[O-])c(C)o1 ZINC001598864007 996699206 /nfs/dbraw/zinc/69/92/06/996699206.db2.gz VQCLBZQVDRBLSF-MRVPVSSYSA-N 0 2 312.322 0.396 20 0 DCADLN COC(=O)CNC(=O)[C@@H](C)[N@@H+](C)Cc1cc(C(=O)[O-])c(C)o1 ZINC001598864007 996699209 /nfs/dbraw/zinc/69/92/09/996699209.db2.gz VQCLBZQVDRBLSF-MRVPVSSYSA-N 0 2 312.322 0.396 20 0 DCADLN COC(=O)Cc1ccccc1C[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001598873291 996747985 /nfs/dbraw/zinc/74/79/85/996747985.db2.gz MZKLYNUKJDWSMP-ZDUSSCGKSA-N 0 2 320.345 0.177 20 0 DCADLN COC(=O)Cc1ccccc1C[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001598873291 996747989 /nfs/dbraw/zinc/74/79/89/996747989.db2.gz MZKLYNUKJDWSMP-ZDUSSCGKSA-N 0 2 320.345 0.177 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC001599077484 996909737 /nfs/dbraw/zinc/90/97/37/996909737.db2.gz YMYZHSBDABMZLM-MRXNPFEDSA-N 0 2 320.345 0.161 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC001599077484 996909748 /nfs/dbraw/zinc/90/97/48/996909748.db2.gz YMYZHSBDABMZLM-MRXNPFEDSA-N 0 2 320.345 0.161 20 0 DCADLN CC1(C)C[N@H+](Cc2cnc(C(=O)[O-])cn2)C[C@@]2(CCOC2)O1 ZINC001593945056 996985177 /nfs/dbraw/zinc/98/51/77/996985177.db2.gz NSOKBLZEPDWFNI-OAHLLOKOSA-N 0 2 307.350 0.945 20 0 DCADLN CC1(C)C[N@@H+](Cc2cnc(C(=O)[O-])cn2)C[C@@]2(CCOC2)O1 ZINC001593945056 996985190 /nfs/dbraw/zinc/98/51/90/996985190.db2.gz NSOKBLZEPDWFNI-OAHLLOKOSA-N 0 2 307.350 0.945 20 0 DCADLN COCc1ccc(C[NH+]2CC(NC(=O)[C@H]3C[C@H]3C(=O)[O-])C2)cc1 ZINC001599294280 997111388 /nfs/dbraw/zinc/11/13/88/997111388.db2.gz PBPBWFZUCKGQJY-LSDHHAIUSA-N 0 2 318.373 0.854 20 0 DCADLN COCc1noc(C[NH+]2CCC(n3cc(C(=O)[O-])nn3)CC2)n1 ZINC001599308544 997371701 /nfs/dbraw/zinc/37/17/01/997371701.db2.gz QEIWRPZBUGIKQQ-UHFFFAOYSA-N 0 2 322.325 0.343 20 0 DCADLN CCC[C@@H](OCC)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405014499 997684795 /nfs/dbraw/zinc/68/47/95/997684795.db2.gz FCDASOPCPDHCCS-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN COC(=O)c1cc(C[N@H+](C)[C@@H](C)C(=O)NCC(=O)[O-])oc1C ZINC001598928226 997691240 /nfs/dbraw/zinc/69/12/40/997691240.db2.gz MWZCXBMBCQHVLD-QMMMGPOBSA-N 0 2 312.322 0.396 20 0 DCADLN COC(=O)c1cc(C[N@@H+](C)[C@@H](C)C(=O)NCC(=O)[O-])oc1C ZINC001598928226 997691243 /nfs/dbraw/zinc/69/12/43/997691243.db2.gz MWZCXBMBCQHVLD-QMMMGPOBSA-N 0 2 312.322 0.396 20 0 DCADLN CC[C@@]1(O)CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001467376007 1016163255 /nfs/dbraw/zinc/16/32/55/1016163255.db2.gz TYWOWIDKSKPTCK-OAHLLOKOSA-N 0 2 318.333 0.253 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001405197463 997921584 /nfs/dbraw/zinc/92/15/84/997921584.db2.gz SNRIYBYQFNNCIQ-APPZFPTMSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccccn1 ZINC001405197463 997921598 /nfs/dbraw/zinc/92/15/98/997921598.db2.gz SNRIYBYQFNNCIQ-APPZFPTMSA-N 0 2 323.246 0.189 20 0 DCADLN CC1(CC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001405287107 998062679 /nfs/dbraw/zinc/06/26/79/998062679.db2.gz IACCEMTXWVDWOH-VXNVDRBHSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001405287107 998062687 /nfs/dbraw/zinc/06/26/87/998062687.db2.gz IACCEMTXWVDWOH-VXNVDRBHSA-N 0 2 314.279 0.670 20 0 DCADLN COC(=O)CCCNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001467589965 1016185558 /nfs/dbraw/zinc/18/55/58/1016185558.db2.gz PDANPZZUPHYURO-SECBINFHSA-N 0 2 311.342 0.353 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@H]2C(=O)NC[C@@H](O)CC(=O)[O-])cc1 ZINC001599560911 998192888 /nfs/dbraw/zinc/19/28/88/998192888.db2.gz OOYIFIZQVKXDCE-JSGCOSHPSA-N 0 2 322.361 0.221 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@H]2C(=O)NC[C@@H](O)CC(=O)[O-])cc1 ZINC001599560911 998192897 /nfs/dbraw/zinc/19/28/97/998192897.db2.gz OOYIFIZQVKXDCE-JSGCOSHPSA-N 0 2 322.361 0.221 20 0 DCADLN COC(=O)c1ccc(C(=O)O[C@H]2C[N@H+](C)[C@](C)(C(=O)[O-])C2)o1 ZINC001598967806 998456000 /nfs/dbraw/zinc/45/60/00/998456000.db2.gz NJHUBKCTQGHQMO-CLAHSXSESA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1ccc(C(=O)O[C@H]2C[N@@H+](C)[C@](C)(C(=O)[O-])C2)o1 ZINC001598967806 998456006 /nfs/dbraw/zinc/45/60/06/998456006.db2.gz NJHUBKCTQGHQMO-CLAHSXSESA-N 0 2 311.290 0.770 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594180404 998700155 /nfs/dbraw/zinc/70/01/55/998700155.db2.gz ZIADKNILKHOSSQ-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594180404 998700156 /nfs/dbraw/zinc/70/01/56/998700156.db2.gz ZIADKNILKHOSSQ-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1CC2(C[N@@H+]1Cn1nc(C(=O)[O-])ccc1=O)CCOCC2 ZINC001594287373 999111833 /nfs/dbraw/zinc/11/18/33/999111833.db2.gz WKEQNINSWUYBIN-LLVKDONJSA-N 0 2 307.350 0.790 20 0 DCADLN C[C@@H]1CC2(C[N@H+]1Cn1nc(C(=O)[O-])ccc1=O)CCOCC2 ZINC001594287373 999111836 /nfs/dbraw/zinc/11/18/36/999111836.db2.gz WKEQNINSWUYBIN-LLVKDONJSA-N 0 2 307.350 0.790 20 0 DCADLN C[N@H+](CCNC(=O)c1cnc(C2CC2)o1)Cc1n[nH]c(=O)[n-]1 ZINC001418471347 1000258674 /nfs/dbraw/zinc/25/86/74/1000258674.db2.gz VBFLDVQLMLZMKJ-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnc(C2CC2)o1)Cc1n[nH]c(=O)[n-]1 ZINC001418471347 1000258679 /nfs/dbraw/zinc/25/86/79/1000258679.db2.gz VBFLDVQLMLZMKJ-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN CC[N@H+](CCNC(=O)COC1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001418532328 1000320933 /nfs/dbraw/zinc/32/09/33/1000320933.db2.gz AJIYOAPMFHSCBX-UHFFFAOYSA-N 0 2 311.386 0.408 20 0 DCADLN CC[N@@H+](CCNC(=O)COC1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001418532328 1000320937 /nfs/dbraw/zinc/32/09/37/1000320937.db2.gz AJIYOAPMFHSCBX-UHFFFAOYSA-N 0 2 311.386 0.408 20 0 DCADLN C[N@H+](CC(=O)NCC1C[NH+](CC(=O)NCC2CC2)C1)C1CCC1 ZINC001419020147 1000696654 /nfs/dbraw/zinc/69/66/54/1000696654.db2.gz CUURMPLHLCVRSK-UHFFFAOYSA-N 0 2 322.453 0.045 20 0 DCADLN CN(C(=O)CCc1cn[nH]n1)[C@@H]1CC[N@H+](Cc2ccnn2C)C1 ZINC001419129404 1000801828 /nfs/dbraw/zinc/80/18/28/1000801828.db2.gz RHQGOBVWIWEKBS-CYBMUJFWSA-N 0 2 317.397 0.204 20 0 DCADLN O=C(CC[C@H]1CCOC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402082991 1001001092 /nfs/dbraw/zinc/00/10/92/1001001092.db2.gz QIYHCIPSLRPJJG-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN Cn1cnnc1C[NH2+]C[C@@H](NC(=O)c1nnc[nH]1)C1CCCC1 ZINC001402096570 1001012019 /nfs/dbraw/zinc/01/20/19/1001012019.db2.gz KFXDIVWKKCDGIZ-LLVKDONJSA-N 0 2 318.385 0.012 20 0 DCADLN Cc1ncc(C(=O)NCc2nc(O)cc(=O)[nH]2)c(C2CC2)n1 ZINC001470582885 1016462166 /nfs/dbraw/zinc/46/21/66/1016462166.db2.gz GMMIWQYXRCGZRY-UHFFFAOYSA-N 0 2 301.306 0.794 20 0 DCADLN Cc1ncc(C(=O)NCC2=NC(=O)CC(=O)N2)c(C2CC2)n1 ZINC001470582885 1016462177 /nfs/dbraw/zinc/46/21/77/1016462177.db2.gz GMMIWQYXRCGZRY-UHFFFAOYSA-N 0 2 301.306 0.794 20 0 DCADLN C[C@]1(C(=O)NCc2nc(O)cc(=O)[nH]2)COc2ccccc2O1 ZINC001470585436 1016463055 /nfs/dbraw/zinc/46/30/55/1016463055.db2.gz CRMOUAHDJAAUEF-OAHLLOKOSA-N 0 2 317.301 0.734 20 0 DCADLN CCC(=O)N(CCNC(=O)C(F)C(F)(F)F)CCOC ZINC001511856136 1016471685 /nfs/dbraw/zinc/47/16/85/1016471685.db2.gz VGLROSIOHGITPX-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCC(=O)N(CCNC(=O)[C@@H](F)C(F)(F)F)CCOC ZINC001511856136 1016471694 /nfs/dbraw/zinc/47/16/94/1016471694.db2.gz VGLROSIOHGITPX-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cnnn1C ZINC001403134347 1001689389 /nfs/dbraw/zinc/68/93/89/1001689389.db2.gz YSYBGISICHMFBO-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H](O)C1CC1 ZINC001471066656 1016518625 /nfs/dbraw/zinc/51/86/25/1016518625.db2.gz IWPLDWIAWUPFLE-RYUDHWBXSA-N 0 2 302.334 0.681 20 0 DCADLN CC(=O)NCC(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001471065320 1016518919 /nfs/dbraw/zinc/51/89/19/1016518919.db2.gz XASXPDRSDBXEGS-LLVKDONJSA-N 0 2 303.322 0.046 20 0 DCADLN CO[C@@H](C)C[N@H+](C)[C@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001420029225 1001772276 /nfs/dbraw/zinc/77/22/76/1001772276.db2.gz FYEVOMQPDNXLOC-JQWIXIFHSA-N 0 2 310.398 0.995 20 0 DCADLN CO[C@@H](C)C[N@@H+](C)[C@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001420029225 1001772277 /nfs/dbraw/zinc/77/22/77/1001772277.db2.gz FYEVOMQPDNXLOC-JQWIXIFHSA-N 0 2 310.398 0.995 20 0 DCADLN Cc1cccc(CC(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001425014177 1002248541 /nfs/dbraw/zinc/24/85/41/1002248541.db2.gz PEJLCGLQWLSIMB-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1cccc(CC(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001425014177 1002248550 /nfs/dbraw/zinc/24/85/50/1002248550.db2.gz PEJLCGLQWLSIMB-GFCCVEGCSA-N 0 2 317.393 0.998 20 0 DCADLN COc1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001403674708 1002327468 /nfs/dbraw/zinc/32/74/68/1002327468.db2.gz NMSJLUHBXGRQLR-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN COc1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001403674708 1002327481 /nfs/dbraw/zinc/32/74/81/1002327481.db2.gz NMSJLUHBXGRQLR-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN C[N@H+](CCNC(=O)CCc1cncs1)Cc1n[nH]c(=O)[n-]1 ZINC001403687257 1002351797 /nfs/dbraw/zinc/35/17/97/1002351797.db2.gz OMYFVSCGCLXTGF-UHFFFAOYSA-N 0 2 310.383 0.148 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1cncs1)Cc1n[nH]c(=O)[n-]1 ZINC001403687257 1002351805 /nfs/dbraw/zinc/35/18/05/1002351805.db2.gz OMYFVSCGCLXTGF-UHFFFAOYSA-N 0 2 310.383 0.148 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)c1cccs1)c1nn(C)cc1O ZINC001420416818 1002388229 /nfs/dbraw/zinc/38/82/29/1002388229.db2.gz RMZBTQZXTFDQBW-MRVPVSSYSA-N 0 2 308.363 0.794 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ncccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001420427549 1002404633 /nfs/dbraw/zinc/40/46/33/1002404633.db2.gz HEGORIPNCJHZSX-UHFFFAOYSA-N 0 2 322.344 0.225 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ncccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001420427549 1002404639 /nfs/dbraw/zinc/40/46/39/1002404639.db2.gz HEGORIPNCJHZSX-UHFFFAOYSA-N 0 2 322.344 0.225 20 0 DCADLN C[C@H](NC(=O)c1cc2n(n1)CCC[C@H]2O)c1nn(C)cc1O ZINC001420441618 1002420692 /nfs/dbraw/zinc/42/06/92/1002420692.db2.gz RXSKLYZFGMQIDA-GZMMTYOYSA-N 0 2 305.338 0.640 20 0 DCADLN CCC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1ncccn1 ZINC001420584189 1002649501 /nfs/dbraw/zinc/64/95/01/1002649501.db2.gz RNCPLUTWVFEEQI-UHFFFAOYSA-N 0 2 318.381 0.856 20 0 DCADLN CCC[N@@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1ncccn1 ZINC001420584189 1002649506 /nfs/dbraw/zinc/64/95/06/1002649506.db2.gz RNCPLUTWVFEEQI-UHFFFAOYSA-N 0 2 318.381 0.856 20 0 DCADLN Cc1cncc(CC(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420611276 1002688605 /nfs/dbraw/zinc/68/86/05/1002688605.db2.gz IQAHESOPYJUZSJ-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1cncc(CC(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420611276 1002688610 /nfs/dbraw/zinc/68/86/10/1002688610.db2.gz IQAHESOPYJUZSJ-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN C[C@]1(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCCOC1 ZINC001420695805 1002812507 /nfs/dbraw/zinc/81/25/07/1002812507.db2.gz UENDVNJPSFZGFD-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCCOC1 ZINC001420695805 1002812517 /nfs/dbraw/zinc/81/25/17/1002812517.db2.gz UENDVNJPSFZGFD-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)(C)SCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472082872 1016723022 /nfs/dbraw/zinc/72/30/22/1016723022.db2.gz WFAZHVZOEIZSGW-UHFFFAOYSA-N 0 2 320.440 0.497 20 0 DCADLN COc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001505952770 1016764238 /nfs/dbraw/zinc/76/42/38/1016764238.db2.gz HCAKXIORANSLCP-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001505952770 1016764241 /nfs/dbraw/zinc/76/42/41/1016764241.db2.gz HCAKXIORANSLCP-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN CO[C@H](C)C[N@H+](C)CCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001406131327 1003400923 /nfs/dbraw/zinc/40/09/23/1003400923.db2.gz SUZCLAHIXWQCAN-MGPQQGTHSA-N 0 2 308.426 0.949 20 0 DCADLN CC(=O)NC1CCC(C(=O)N[C@H](C)c2nn(C)cc2O)CC1 ZINC001472237233 1016766996 /nfs/dbraw/zinc/76/69/96/1016766996.db2.gz RIVRTXIGLUJVJS-OIKLOGQESA-N 0 2 308.382 0.998 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cscn1 ZINC001426094826 1003429072 /nfs/dbraw/zinc/42/90/72/1003429072.db2.gz DHXZKWLWVKNLTI-UHFFFAOYSA-N 0 2 324.410 0.949 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cscn1 ZINC001426094826 1003429075 /nfs/dbraw/zinc/42/90/75/1003429075.db2.gz DHXZKWLWVKNLTI-UHFFFAOYSA-N 0 2 324.410 0.949 20 0 DCADLN CN(C(=O)C1(CCF)CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421312278 1003528233 /nfs/dbraw/zinc/52/82/33/1003528233.db2.gz BCWITVCXWSXTIW-SNVBAGLBSA-N 0 2 311.361 0.683 20 0 DCADLN CN(C(=O)C1(CCF)CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421312278 1003528236 /nfs/dbraw/zinc/52/82/36/1003528236.db2.gz BCWITVCXWSXTIW-SNVBAGLBSA-N 0 2 311.361 0.683 20 0 DCADLN CC(=O)NC[C@@]1(O)CCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001421316104 1003533171 /nfs/dbraw/zinc/53/31/71/1003533171.db2.gz FJNHKSTZIJXQTQ-AWEZNQCLSA-N 0 2 322.390 0.470 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)NC1(C(F)(F)F)CCOCC1 ZINC001426238412 1003670919 /nfs/dbraw/zinc/67/09/19/1003670919.db2.gz PWOAFJJNZUBRPC-UHFFFAOYSA-N 0 2 309.248 0.421 20 0 DCADLN CCOCC(=O)N1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001406491004 1003755098 /nfs/dbraw/zinc/75/50/98/1003755098.db2.gz ODFHEXYTOWWFGZ-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N1CC[C@H]1CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001406491004 1003755105 /nfs/dbraw/zinc/75/51/05/1003755105.db2.gz ODFHEXYTOWWFGZ-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(NN1CN=NC1=O)c1cc(Br)cc(F)c1O ZINC001472537953 1016818722 /nfs/dbraw/zinc/81/87/22/1016818722.db2.gz XGYLEHNYVVGCFA-UHFFFAOYSA-N 0 2 317.074 0.975 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001406648145 1003966679 /nfs/dbraw/zinc/96/66/79/1003966679.db2.gz STJLOHLOMBVASG-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001406648145 1003966693 /nfs/dbraw/zinc/96/66/93/1003966693.db2.gz STJLOHLOMBVASG-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CCn1cc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001406827962 1004128878 /nfs/dbraw/zinc/12/88/78/1004128878.db2.gz LFHJOARMOWRVLS-NXEZZACHSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1cc(CN2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001406827962 1004128885 /nfs/dbraw/zinc/12/88/85/1004128885.db2.gz LFHJOARMOWRVLS-NXEZZACHSA-N 0 2 323.294 0.889 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1cn[nH]c1 ZINC001427298318 1004308508 /nfs/dbraw/zinc/30/85/08/1004308508.db2.gz QNYIMZGIDJJFRJ-IUCAKERBSA-N 0 2 305.342 0.884 20 0 DCADLN CC(=O)NCC(=O)N[C@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001429054147 1004355132 /nfs/dbraw/zinc/35/51/32/1004355132.db2.gz ATORMNLDDDBULH-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NCC(=O)N[C@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001429054147 1004355124 /nfs/dbraw/zinc/35/51/24/1004355124.db2.gz ATORMNLDDDBULH-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN CCNC(=O)C[NH+]1CCC(C)(NC(=O)Cn2cc[nH+]c2C)CC1 ZINC001407133989 1004410865 /nfs/dbraw/zinc/41/08/65/1004410865.db2.gz WRPRNHQFBSMMFJ-UHFFFAOYSA-N 0 2 321.425 0.298 20 0 DCADLN CCOCC(=O)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001407238002 1004489272 /nfs/dbraw/zinc/48/92/72/1004489272.db2.gz ORBSXWDYOSBOMD-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN CCOCC(=O)N1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001407238002 1004489274 /nfs/dbraw/zinc/48/92/74/1004489274.db2.gz ORBSXWDYOSBOMD-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN O=C(CCC1CC1)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001427781664 1004604227 /nfs/dbraw/zinc/60/42/27/1004604227.db2.gz QHLYAQWZAFDTRA-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001427781664 1004604234 /nfs/dbraw/zinc/60/42/34/1004604234.db2.gz QHLYAQWZAFDTRA-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@H](CCNC(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001415405356 1005519922 /nfs/dbraw/zinc/51/99/22/1005519922.db2.gz HCVHKYJEKSMJFE-VDTYLAMSSA-N 0 2 311.239 0.330 20 0 DCADLN CC[C@@H](CNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001416221610 1005673229 /nfs/dbraw/zinc/67/32/29/1005673229.db2.gz FBADMKBTPNYPHL-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)c1ccn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001416221610 1005673230 /nfs/dbraw/zinc/67/32/30/1005673230.db2.gz FBADMKBTPNYPHL-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1n1cc[nH+]c1 ZINC001417673349 1005864772 /nfs/dbraw/zinc/86/47/72/1005864772.db2.gz IRYQEKVOSXZAST-BDAKNGLRSA-N 0 2 304.310 0.203 20 0 DCADLN Cn1cnnc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001417942292 1005935036 /nfs/dbraw/zinc/93/50/36/1005935036.db2.gz VEIMAFWLZAYNKS-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cnnc1CN1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001417942292 1005935037 /nfs/dbraw/zinc/93/50/37/1005935037.db2.gz VEIMAFWLZAYNKS-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN CN1C[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1=O ZINC001434776951 1005968510 /nfs/dbraw/zinc/96/85/10/1005968510.db2.gz ZDABKAVCNULPKK-ZJUUUORDSA-N 0 2 322.369 0.020 20 0 DCADLN CCn1nccc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434819084 1006008371 /nfs/dbraw/zinc/00/83/71/1006008371.db2.gz BMFGSZNLGAIAJR-JTQLQIEISA-N 0 2 319.369 0.816 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001451306566 1006076867 /nfs/dbraw/zinc/07/68/67/1006076867.db2.gz DDGFZXVLYKCTCZ-XPUUQOCRSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccco1 ZINC001451306566 1006076876 /nfs/dbraw/zinc/07/68/76/1006076876.db2.gz DDGFZXVLYKCTCZ-XPUUQOCRSA-N 0 2 312.219 0.387 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCOCC1 ZINC001438867492 1006178741 /nfs/dbraw/zinc/17/87/41/1006178741.db2.gz QTVZHNVRHDYTCD-NSHDSACASA-N 0 2 311.386 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCOCC1 ZINC001438867492 1006178749 /nfs/dbraw/zinc/17/87/49/1006178749.db2.gz QTVZHNVRHDYTCD-NSHDSACASA-N 0 2 311.386 0.217 20 0 DCADLN C[C@@H](CNC(=O)CCn1cc[nH+]c1)[NH2+]CC(=O)NCC(C)(C)C ZINC001418257166 1006260153 /nfs/dbraw/zinc/26/01/53/1006260153.db2.gz PBNJYUADKTXDGD-ZDUSSCGKSA-N 0 2 323.441 0.530 20 0 DCADLN C[C@@H](NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1nc[nH]n1 ZINC001475162838 1017069637 /nfs/dbraw/zinc/06/96/37/1017069637.db2.gz YIAGLDLXUBNXKR-MDTSDYNXSA-N 0 2 309.417 0.596 20 0 DCADLN C[N@H+](CCNC(=O)c1c[nH]nc1C(C)(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001437542301 1007117465 /nfs/dbraw/zinc/11/74/65/1007117465.db2.gz OLRZSQXWWKYZDN-UHFFFAOYSA-N 0 2 321.385 0.393 20 0 DCADLN C[N@@H+](CCNC(=O)c1c[nH]nc1C(C)(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001437542301 1007117472 /nfs/dbraw/zinc/11/74/72/1007117472.db2.gz OLRZSQXWWKYZDN-UHFFFAOYSA-N 0 2 321.385 0.393 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NC[C@H]1CCC[N@@H+]1[C@@H](C)C(=O)N(C)C ZINC001437667714 1007234157 /nfs/dbraw/zinc/23/41/57/1007234157.db2.gz HHSJRQMVKLFDKS-UONOGXRCSA-N 0 2 321.425 0.249 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cn[nH]n1)C(F)C(F)(F)F ZINC001453173577 1007289639 /nfs/dbraw/zinc/28/96/39/1007289639.db2.gz YWTQXSJXZZPVRK-VDTYLAMSSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cn[nH]n1)[C@H](F)C(F)(F)F ZINC001453173577 1007289646 /nfs/dbraw/zinc/28/96/46/1007289646.db2.gz YWTQXSJXZZPVRK-VDTYLAMSSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCCCC1 ZINC001439976406 1007349723 /nfs/dbraw/zinc/34/97/23/1007349723.db2.gz NIXADHCRRNHWHZ-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCCCC1 ZINC001439976406 1007349733 /nfs/dbraw/zinc/34/97/33/1007349733.db2.gz NIXADHCRRNHWHZ-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN CN(C(=O)CC[C@@H]1CCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438242731 1007736632 /nfs/dbraw/zinc/73/66/32/1007736632.db2.gz YNJNHEVTHQTGDB-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)CC[C@@H]1CCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438242731 1007736640 /nfs/dbraw/zinc/73/66/40/1007736640.db2.gz YNJNHEVTHQTGDB-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CC(C)OCC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001441337339 1008325266 /nfs/dbraw/zinc/32/52/66/1008325266.db2.gz ULVVMUPUCREXIZ-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CC(C)OCC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001441337339 1008325268 /nfs/dbraw/zinc/32/52/68/1008325268.db2.gz ULVVMUPUCREXIZ-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CC(C)CC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433233342 1008625909 /nfs/dbraw/zinc/62/59/09/1008625909.db2.gz RAPLIYPTXMHVDW-VXNVDRBHSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001433233342 1008625918 /nfs/dbraw/zinc/62/59/18/1008625918.db2.gz RAPLIYPTXMHVDW-VXNVDRBHSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433233340 1008625991 /nfs/dbraw/zinc/62/59/91/1008625991.db2.gz RAPLIYPTXMHVDW-CBAPKCEASA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001433233340 1008625998 /nfs/dbraw/zinc/62/59/98/1008625998.db2.gz RAPLIYPTXMHVDW-CBAPKCEASA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433233341 1008627136 /nfs/dbraw/zinc/62/71/36/1008627136.db2.gz RAPLIYPTXMHVDW-IONNQARKSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001433233341 1008627139 /nfs/dbraw/zinc/62/71/39/1008627139.db2.gz RAPLIYPTXMHVDW-IONNQARKSA-N 0 2 302.268 0.526 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccccc2-c2nn[nH]n2)S1 ZINC001454415537 1009125870 /nfs/dbraw/zinc/12/58/70/1009125870.db2.gz HYUSBWBRKQRPGB-QMMMGPOBSA-N 0 2 317.334 0.362 20 0 DCADLN C[C@@H]1CCC[N@@H+]1CC(=O)N1CC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001433760028 1009153838 /nfs/dbraw/zinc/15/38/38/1009153838.db2.gz UYMFUJZOOWBENW-ZIAGYGMSSA-N 0 2 319.409 0.085 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1CN(C(=O)C2CCCCC2)C1 ZINC001433773124 1009168552 /nfs/dbraw/zinc/16/85/52/1009168552.db2.gz OBTQXDYETWOELS-UHFFFAOYSA-N 0 2 307.354 0.165 20 0 DCADLN O=C(NCC1CC(NC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001442357025 1009213479 /nfs/dbraw/zinc/21/34/79/1009213479.db2.gz CWZOTXFWKDGZCM-IEIXJENWSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NCC1CC(NC(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001442357025 1009213489 /nfs/dbraw/zinc/21/34/89/1009213489.db2.gz CWZOTXFWKDGZCM-IEIXJENWSA-N 0 2 322.262 0.935 20 0 DCADLN C[N@H+](CCNC(=O)c1ocnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001442521691 1009439853 /nfs/dbraw/zinc/43/98/53/1009439853.db2.gz RONPTHQDOYWXQA-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN C[N@@H+](CCNC(=O)c1ocnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001442521691 1009439863 /nfs/dbraw/zinc/43/98/63/1009439863.db2.gz RONPTHQDOYWXQA-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnn[n-]1)[C@H](C)c1nc(COC)no1 ZINC001442694571 1009637179 /nfs/dbraw/zinc/63/71/79/1009637179.db2.gz ONTSMFBZIFZCDS-SECBINFHSA-N 0 2 323.357 0.147 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cnn[n-]1)[C@H](C)c1nc(COC)no1 ZINC001442694571 1009637185 /nfs/dbraw/zinc/63/71/85/1009637185.db2.gz ONTSMFBZIFZCDS-SECBINFHSA-N 0 2 323.357 0.147 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1CCC(F)CC1 ZINC001422210700 1009653435 /nfs/dbraw/zinc/65/34/35/1009653435.db2.gz YGMXMPZOAAJMPN-UHFFFAOYSA-N 0 2 313.377 0.929 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1CCC(F)CC1 ZINC001422210700 1009653445 /nfs/dbraw/zinc/65/34/45/1009653445.db2.gz YGMXMPZOAAJMPN-UHFFFAOYSA-N 0 2 313.377 0.929 20 0 DCADLN O=c1cc(CN2CCS(=O)(=O)[C@@H]3CCC[C@H]32)nc2cc[nH]n21 ZINC001434469187 1009986635 /nfs/dbraw/zinc/98/66/35/1009986635.db2.gz JSYZRPNSQIXEBZ-VXGBXAGGSA-N 0 2 322.390 0.174 20 0 DCADLN CCc1nc(CNS(=O)(=O)N=S2(=O)CCCC2)co1 ZINC001434567437 1010100778 /nfs/dbraw/zinc/10/07/78/1010100778.db2.gz IMVCYOHZHWWPEB-UHFFFAOYSA-N 0 2 307.397 0.833 20 0 DCADLN CC(=O)N1CCC[C@@H]1C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001456252485 1010149502 /nfs/dbraw/zinc/14/95/02/1010149502.db2.gz QXSSECYIHUGCJC-LLVKDONJSA-N 0 2 322.365 0.208 20 0 DCADLN CC(=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)c1ccco1 ZINC001456308813 1010187250 /nfs/dbraw/zinc/18/72/50/1010187250.db2.gz TUYBCKMRPMBTCW-TWGQIWQCSA-N 0 2 324.362 0.662 20 0 DCADLN Cc1ccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c(O)c1 ZINC001456309129 1010188195 /nfs/dbraw/zinc/18/81/95/1010188195.db2.gz JRPBLQTWAZVVGH-UHFFFAOYSA-N 0 2 324.362 0.293 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001443231787 1010245725 /nfs/dbraw/zinc/24/57/25/1010245725.db2.gz QFLARSIIZIUVSQ-MUWHJKNJSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001443231787 1010245731 /nfs/dbraw/zinc/24/57/31/1010245731.db2.gz QFLARSIIZIUVSQ-MUWHJKNJSA-N 0 2 322.262 0.887 20 0 DCADLN CCC[N@@H+](C)CC(=O)N(C)CC[NH+]1CCN(CCF)CC1 ZINC001443299815 1010305286 /nfs/dbraw/zinc/30/52/86/1010305286.db2.gz UZXDHEDZFHQDFB-UHFFFAOYSA-N 0 2 302.438 0.374 20 0 DCADLN CCn1nc(C)cc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422566086 1010309998 /nfs/dbraw/zinc/30/99/98/1010309998.db2.gz WPALSOWIVHNCHK-SNVBAGLBSA-N 0 2 321.385 0.285 20 0 DCADLN CCn1nc(C)cc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422566086 1010310008 /nfs/dbraw/zinc/31/00/08/1010310008.db2.gz WPALSOWIVHNCHK-SNVBAGLBSA-N 0 2 321.385 0.285 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(F)s1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422594080 1010369742 /nfs/dbraw/zinc/36/97/42/1010369742.db2.gz BRJWSGOMKZQNTG-ZETCQYMHSA-N 0 2 313.358 0.961 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(F)s1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422594080 1010369752 /nfs/dbraw/zinc/36/97/52/1010369752.db2.gz BRJWSGOMKZQNTG-ZETCQYMHSA-N 0 2 313.358 0.961 20 0 DCADLN C[C@H](NC(=O)[C@H](C)C(C)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001422737458 1010550144 /nfs/dbraw/zinc/55/01/44/1010550144.db2.gz HLKLRWIZSPPEJW-YUMQZZPRSA-N 0 2 317.340 0.738 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)CC1(O)CCC1 ZINC001422787344 1010608326 /nfs/dbraw/zinc/60/83/26/1010608326.db2.gz SXXQFQFCVTWJRM-JTQLQIEISA-N 0 2 323.397 0.142 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)CC1(O)CCC1 ZINC001422787344 1010608336 /nfs/dbraw/zinc/60/83/36/1010608336.db2.gz SXXQFQFCVTWJRM-JTQLQIEISA-N 0 2 323.397 0.142 20 0 DCADLN CCn1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001422875697 1010691823 /nfs/dbraw/zinc/69/18/23/1010691823.db2.gz BSRKGYWRQDVFNT-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN CCn1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001422875697 1010691830 /nfs/dbraw/zinc/69/18/30/1010691830.db2.gz BSRKGYWRQDVFNT-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN CC[C@@H](C)C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001423386305 1011115603 /nfs/dbraw/zinc/11/56/03/1011115603.db2.gz QWEZQQNQDASPQZ-LLVKDONJSA-N 0 2 317.393 0.711 20 0 DCADLN CCO[C@@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001444985943 1011283214 /nfs/dbraw/zinc/28/32/14/1011283214.db2.gz DMZIMGSMALYOPH-GFCCVEGCSA-N 0 2 311.386 0.216 20 0 DCADLN CCO[C@@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001444985943 1011283230 /nfs/dbraw/zinc/28/32/30/1011283230.db2.gz DMZIMGSMALYOPH-GFCCVEGCSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@]1(NC(=O)Cc2cncs2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423567580 1011284264 /nfs/dbraw/zinc/28/42/64/1011284264.db2.gz IBDIOLLWJWYOQZ-CYBMUJFWSA-N 0 2 322.394 0.290 20 0 DCADLN C[C@@]1(NC(=O)Cc2cncs2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423567580 1011284278 /nfs/dbraw/zinc/28/42/78/1011284278.db2.gz IBDIOLLWJWYOQZ-CYBMUJFWSA-N 0 2 322.394 0.290 20 0 DCADLN C[C@@H](O)C(C)(C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001456779567 1011456586 /nfs/dbraw/zinc/45/65/86/1011456586.db2.gz KABUGBZMEUDCTA-SECBINFHSA-N 0 2 320.349 0.403 20 0 DCADLN Cc1sc(=O)n(CC(=O)N[C@H](C)c2nn(C)cc2O)c1C ZINC001456790597 1011462477 /nfs/dbraw/zinc/46/24/77/1011462477.db2.gz KKGBFYNYTZADJK-SSDOTTSWSA-N 0 2 310.379 0.843 20 0 DCADLN CCN(CC[NH2+][C@H](C)c1nnc(C)[nH]1)C(=O)Cn1c[nH+]cc1C ZINC001445296790 1011620514 /nfs/dbraw/zinc/62/05/14/1011620514.db2.gz ADXMQSZYKKBGBK-GFCCVEGCSA-N 0 2 319.413 0.817 20 0 DCADLN CCn1ncnc1-c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001445411458 1011741572 /nfs/dbraw/zinc/74/15/72/1011741572.db2.gz DYZQNDXYIDCDSL-UHFFFAOYSA-N 0 2 313.321 0.719 20 0 DCADLN O=C(C[C@@H]1CCC2(CCOCC2)CO1)NCc1n[nH]c(=O)[nH]1 ZINC001445416177 1011749054 /nfs/dbraw/zinc/74/90/54/1011749054.db2.gz SIQMUFKXYOVPAR-JTQLQIEISA-N 0 2 310.354 0.492 20 0 DCADLN O=c1[nH]nc(CNc2ccc3c(c2)S(=O)(=O)N=C3Cl)[nH]1 ZINC001458235881 1012114903 /nfs/dbraw/zinc/11/49/03/1012114903.db2.gz CLHBJXQKFKUVCO-UHFFFAOYSA-N 0 2 313.726 0.810 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C[C@H]1CCOC1 ZINC001458528076 1012203612 /nfs/dbraw/zinc/20/36/12/1012203612.db2.gz QIBWCWNMBSZLPR-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C[C@H]1CCOC1 ZINC001458528076 1012203647 /nfs/dbraw/zinc/20/36/47/1012203647.db2.gz QIBWCWNMBSZLPR-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@@H]1CCCNC1=O ZINC001556541758 1012208234 /nfs/dbraw/zinc/20/82/34/1012208234.db2.gz FZCBSEYALWIYTH-SNVBAGLBSA-N 0 2 301.306 0.642 20 0 DCADLN COCCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001432398992 1012431844 /nfs/dbraw/zinc/43/18/44/1012431844.db2.gz HMOYEVJSPBWWLD-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN COCCC(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432398992 1012431865 /nfs/dbraw/zinc/43/18/65/1012431865.db2.gz HMOYEVJSPBWWLD-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN Cc1cnc2c(cccc2S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001561891829 1012480175 /nfs/dbraw/zinc/48/01/75/1012480175.db2.gz GIBHQVSUOKUTGO-UHFFFAOYSA-N 0 2 304.335 0.868 20 0 DCADLN O=C(Cc1cccs1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446009897 1012515535 /nfs/dbraw/zinc/51/55/35/1012515535.db2.gz IIQSKKXUDARUCR-SECBINFHSA-N 0 2 307.379 0.505 20 0 DCADLN CCCCOCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001544185876 1012537688 /nfs/dbraw/zinc/53/76/88/1012537688.db2.gz LCASSCYOVQJETE-UHFFFAOYSA-N 0 2 320.349 0.813 20 0 DCADLN Cc1cc(C(=O)NC2(CNC(=O)Cc3[nH]c[nH+]c3C)CC2)no1 ZINC001459046681 1012542646 /nfs/dbraw/zinc/54/26/46/1012542646.db2.gz ZTDAGILMOHNZPL-UHFFFAOYSA-N 0 2 317.349 0.636 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001424524240 1012614333 /nfs/dbraw/zinc/61/43/33/1012614333.db2.gz XZPCBMCXANABKU-XNCJUZBTSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001424524240 1012614341 /nfs/dbraw/zinc/61/43/41/1012614341.db2.gz XZPCBMCXANABKU-XNCJUZBTSA-N 0 2 323.250 0.282 20 0 DCADLN COCC(=O)N1CC[C@@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001459444043 1012727267 /nfs/dbraw/zinc/72/72/67/1012727267.db2.gz GLIUSWZMVBWAQD-ONGXEEELSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CC[C@@](C)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001459444043 1012727284 /nfs/dbraw/zinc/72/72/84/1012727284.db2.gz GLIUSWZMVBWAQD-ONGXEEELSA-N 0 2 314.279 0.888 20 0 DCADLN CCC[N@@H+](C)CC(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001429755768 1012741418 /nfs/dbraw/zinc/74/14/18/1012741418.db2.gz RALDFWLJYMKCDP-ZDUSSCGKSA-N 0 2 321.425 0.401 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccc(F)cn1 ZINC001460080672 1012849768 /nfs/dbraw/zinc/84/97/68/1012849768.db2.gz ICQFLBIEIKNAKM-UHFFFAOYSA-N 0 2 302.269 0.268 20 0 DCADLN Cc1ocnc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478334913 1017340649 /nfs/dbraw/zinc/34/06/49/1017340649.db2.gz KKJYEXMINFUHDG-VIFPVBQESA-N 0 2 306.326 0.896 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1ccn(C)n1 ZINC001478796840 1017389859 /nfs/dbraw/zinc/38/98/59/1017389859.db2.gz SETBGJWXDZLWQC-UWVGGRQHSA-N 0 2 319.369 0.894 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nnnn2C)C1 ZINC001506616344 1017410204 /nfs/dbraw/zinc/41/02/04/1017410204.db2.gz CJLIYOWXBBKRLB-HZGVNTEJSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2nnnn2C)C1 ZINC001506616344 1017410213 /nfs/dbraw/zinc/41/02/13/1017410213.db2.gz CJLIYOWXBBKRLB-HZGVNTEJSA-N 0 2 324.282 0.047 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NC[C@H]1C[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001479340776 1017505031 /nfs/dbraw/zinc/50/50/31/1017505031.db2.gz KFWVJRAGPLKXDC-TXEJJXNPSA-N 0 2 320.422 0.728 20 0 DCADLN Cc1ncoc1C[NH2+]CCC[C@@H](C)NC(=O)[C@H]1C[N@H+](C)CCO1 ZINC001506916871 1017666790 /nfs/dbraw/zinc/66/67/90/1017666790.db2.gz IWJFNXNOSOTSBS-IUODEOHRSA-N 0 2 324.425 0.688 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001510512033 1017679193 /nfs/dbraw/zinc/67/91/93/1017679193.db2.gz CGCPKFYADWQMMQ-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cn(C)cn1)NC(=O)[C@H](F)C(F)(F)F ZINC001510512033 1017679199 /nfs/dbraw/zinc/67/91/99/1017679199.db2.gz CGCPKFYADWQMMQ-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@@H+](C)Cc2cnns2)c1[O-] ZINC001479765936 1017716677 /nfs/dbraw/zinc/71/66/77/1017716677.db2.gz IRKZPMRLXFOASP-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@H+](C)Cc2cnns2)c1[O-] ZINC001479765936 1017716685 /nfs/dbraw/zinc/71/66/85/1017716685.db2.gz IRKZPMRLXFOASP-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]n1)CNC(=O)C(F)C(F)(F)F ZINC001500255354 1018349885 /nfs/dbraw/zinc/34/98/85/1018349885.db2.gz JFEHWCOQOJPTES-VDTYLAMSSA-N 0 2 311.239 0.187 20 0 DCADLN O=C(Cc1ccco1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480331060 1018437109 /nfs/dbraw/zinc/43/71/09/1018437109.db2.gz KGYMONSSGRWEGK-NSHDSACASA-N 0 2 319.365 0.817 20 0 DCADLN O=C(Cc1ccco1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480331060 1018437118 /nfs/dbraw/zinc/43/71/18/1018437118.db2.gz KGYMONSSGRWEGK-NSHDSACASA-N 0 2 319.365 0.817 20 0 DCADLN CCCOCC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001498121155 1018668174 /nfs/dbraw/zinc/66/81/74/1018668174.db2.gz CRKKUTPIRAQTRZ-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN CCCOCC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001498121155 1018668179 /nfs/dbraw/zinc/66/81/79/1018668179.db2.gz CRKKUTPIRAQTRZ-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001501045086 1018830637 /nfs/dbraw/zinc/83/06/37/1018830637.db2.gz LOZYURRBUGRMCS-MRVPVSSYSA-N 0 2 310.251 0.841 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001501045086 1018830653 /nfs/dbraw/zinc/83/06/53/1018830653.db2.gz LOZYURRBUGRMCS-MRVPVSSYSA-N 0 2 310.251 0.841 20 0 DCADLN Cc1cncc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001498251132 1018834095 /nfs/dbraw/zinc/83/40/95/1018834095.db2.gz GLENMKWCEHOEKY-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cncc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001498251132 1018834116 /nfs/dbraw/zinc/83/41/16/1018834116.db2.gz GLENMKWCEHOEKY-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccccc1 ZINC001498322138 1018947715 /nfs/dbraw/zinc/94/77/15/1018947715.db2.gz DMAUXDOMGFDARF-LLVKDONJSA-N 0 2 303.366 0.690 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccccc1 ZINC001498322138 1018947731 /nfs/dbraw/zinc/94/77/31/1018947731.db2.gz DMAUXDOMGFDARF-LLVKDONJSA-N 0 2 303.366 0.690 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001493128546 1019123246 /nfs/dbraw/zinc/12/32/46/1019123246.db2.gz HYCNYOVSLNKROG-NHYWBVRUSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001493128546 1019123256 /nfs/dbraw/zinc/12/32/56/1019123256.db2.gz HYCNYOVSLNKROG-NHYWBVRUSA-N 0 2 305.382 0.947 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@H](NC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001493257262 1019258646 /nfs/dbraw/zinc/25/86/46/1019258646.db2.gz MKHMNFOLWFKLDQ-NSHDSACASA-N 0 2 323.397 0.096 20 0 DCADLN CCC[N@@H+](C)CC(=O)N1CC[C@H](NC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001493257262 1019258654 /nfs/dbraw/zinc/25/86/54/1019258654.db2.gz MKHMNFOLWFKLDQ-NSHDSACASA-N 0 2 323.397 0.096 20 0 DCADLN COc1ncccc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496777360 1019627855 /nfs/dbraw/zinc/62/78/55/1019627855.db2.gz OMTVDLNEWCPDOO-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN COc1ncccc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496777360 1019627864 /nfs/dbraw/zinc/62/78/64/1019627864.db2.gz OMTVDLNEWCPDOO-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN O=C(N[C@H]1CCC[N@H+](CCOC[C@H]2CCCO2)C1)c1cnn[nH]1 ZINC001494320044 1020129937 /nfs/dbraw/zinc/12/99/37/1020129937.db2.gz FWOUDEBROJTUPS-QWHCGFSZSA-N 0 2 323.397 0.195 20 0 DCADLN COCC(=O)NC1CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001494333516 1020133433 /nfs/dbraw/zinc/13/34/33/1020133433.db2.gz WTSOINBJHIGNRX-UHFFFAOYSA-N 0 2 308.363 0.344 20 0 DCADLN COCCn1cc(NS(=O)(=O)c2c(C)noc2C)cn1 ZINC000078990618 185141490 /nfs/dbraw/zinc/14/14/90/185141490.db2.gz KGOTVOFYFOISKT-UHFFFAOYSA-N 0 2 300.340 0.935 20 0 DCADLN O=C(Oc1ccccc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092618680 185329565 /nfs/dbraw/zinc/32/95/65/185329565.db2.gz SPMKYRABSJXUQB-UHFFFAOYSA-N 0 2 317.349 0.805 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCCn2cc[nH+]c2)cs1 ZINC000070156979 190864702 /nfs/dbraw/zinc/86/47/02/190864702.db2.gz NLKOXKQJOSGJBH-UHFFFAOYSA-N 0 2 301.349 0.621 20 0 DCADLN C[C@@H](O)C[C@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000279819864 215173374 /nfs/dbraw/zinc/17/33/74/215173374.db2.gz QLIAGMHEGWOHAJ-RKDXNWHRSA-N 0 2 300.384 0.342 20 0 DCADLN C[C@H]1CN(C(=O)/C=C/c2ccc(S(N)(=O)=O)cc2)[C@@H](C)CO1 ZINC000299249227 186725860 /nfs/dbraw/zinc/72/58/60/186725860.db2.gz YMFNXYATDJDUHU-LHXDFBSTSA-N 0 2 324.402 0.983 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[N@@H+]1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC000319079719 283364643 /nfs/dbraw/zinc/36/46/43/283364643.db2.gz PGEJRGJUBUNOGA-FZMZJTMJSA-N 0 2 313.398 0.156 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[N@H+]1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC000319079719 283364645 /nfs/dbraw/zinc/36/46/45/283364645.db2.gz PGEJRGJUBUNOGA-FZMZJTMJSA-N 0 2 313.398 0.156 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)s1 ZINC000176594362 237201956 /nfs/dbraw/zinc/20/19/56/237201956.db2.gz YGCAYKZOYDLEOJ-UHFFFAOYSA-N 0 2 301.353 0.085 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc2c(c1)CCN2C(N)=O ZINC000156390467 291224675 /nfs/dbraw/zinc/22/46/75/291224675.db2.gz RBNRLZGBVNGCPD-UHFFFAOYSA-N 0 2 321.362 0.960 20 0 DCADLN COc1ccc(S(O)=CC(=O)N2CCC[C@H](C(N)=O)C2)cc1 ZINC000157032359 291226919 /nfs/dbraw/zinc/22/69/19/291226919.db2.gz ZAFACNOOOHDOEG-SAHAZLINSA-N 0 2 324.402 0.527 20 0 DCADLN NC(=O)CC1(CNC(=O)C2=NN(c3ccccc3)CC2=O)CC1 ZINC000421915889 240110837 /nfs/dbraw/zinc/11/08/37/240110837.db2.gz KTAQVNNNKVNVRQ-UHFFFAOYSA-N 0 2 314.345 0.963 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC000422694318 240178985 /nfs/dbraw/zinc/17/89/85/240178985.db2.gz SRUNLRWKRWSGSA-UWVGGRQHSA-N 0 2 321.333 0.598 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C/c1ccncn1 ZINC000493789908 241157077 /nfs/dbraw/zinc/15/70/77/241157077.db2.gz MMFOAIFWQFTFRG-ONEGZZNKSA-N 0 2 307.335 0.037 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)CCNC(C)=O)c1 ZINC000567964462 291394581 /nfs/dbraw/zinc/39/45/81/291394581.db2.gz OYZQQXJWNPYMME-NRFANRHFSA-N 0 2 312.391 0.397 20 0 DCADLN COCCN(Cc1ccco1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274912093 212028628 /nfs/dbraw/zinc/02/86/28/212028628.db2.gz UWGUVXPALIQCJU-UHFFFAOYSA-N 0 2 312.351 0.458 20 0 DCADLN COc1ccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)cc1OC ZINC000274899268 212019621 /nfs/dbraw/zinc/01/96/21/212019621.db2.gz OWYFSZPZMAFTDS-UHFFFAOYSA-N 0 2 324.362 0.524 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2F)CC1 ZINC000021814180 182292416 /nfs/dbraw/zinc/29/24/16/182292416.db2.gz VFIUYVXEVUDNKK-UHFFFAOYSA-N 0 2 302.327 0.460 20 0 DCADLN CCCN(CCO)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000271663536 209107033 /nfs/dbraw/zinc/10/70/33/209107033.db2.gz AZQCCOJUWDKLEO-UHFFFAOYSA-N 0 2 306.322 0.589 20 0 DCADLN CC(C)[C@H](CNC(=O)N1CC[NH+](C)CC1)[NH+]1CCN(C)CC1 ZINC000339641180 253120328 /nfs/dbraw/zinc/12/03/28/253120328.db2.gz AHOIOCYCBFORAN-HNNXBMFYSA-N 0 2 311.474 0.215 20 0 DCADLN NC(=O)NCCCCC(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000339725677 253134135 /nfs/dbraw/zinc/13/41/35/253134135.db2.gz FAOAVRVJWKCTJB-UHFFFAOYSA-N 0 2 320.378 0.799 20 0 DCADLN CN(C)C(=O)c1cccc(NS(=O)(=O)c2ccnn2C)c1 ZINC000340076072 253197045 /nfs/dbraw/zinc/19/70/45/253197045.db2.gz KMCXYMHIIVKCLE-UHFFFAOYSA-N 0 2 308.363 0.923 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(S(C)(=O)=O)c2)cn1 ZINC000340325227 253238054 /nfs/dbraw/zinc/23/80/54/253238054.db2.gz QTYTUSLMTQAHJM-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN O=c1[nH]nc(CN2CCN(Cc3nc4ccccc4[nH]3)CC2)[nH]1 ZINC000341148764 253350016 /nfs/dbraw/zinc/35/00/16/253350016.db2.gz UMKRIYWFFXPBTM-UHFFFAOYSA-N 0 2 313.365 0.704 20 0 DCADLN Cc1ccc2c(c1)C(=O)N(CC(=O)N(C)[C@@H]1CCC[C@H]1O)C2=O ZINC000288649417 220321230 /nfs/dbraw/zinc/32/12/30/220321230.db2.gz ZRBGEFGHFCCJEZ-ZIAGYGMSSA-N 0 2 316.357 0.963 20 0 DCADLN C[C@@H](NS(=O)(=O)CC1CCS(=O)(=O)CC1)C(F)(F)F ZINC000341668747 253407721 /nfs/dbraw/zinc/40/77/21/253407721.db2.gz YCJOMORVHVOGGR-SSDOTTSWSA-N 0 2 323.358 0.681 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)c2ccn(C)n2)oc1C ZINC000342572505 253511052 /nfs/dbraw/zinc/51/10/52/253511052.db2.gz VPIBICGHWVBPQQ-UHFFFAOYSA-N 0 2 314.323 0.694 20 0 DCADLN CCOc1ccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)cc1 ZINC000342794820 253541917 /nfs/dbraw/zinc/54/19/17/253541917.db2.gz WRCAHJXEZRWRAS-UHFFFAOYSA-N 0 2 324.362 0.568 20 0 DCADLN Cc1cc(NC(=O)[C@@H](C)SCC(=O)N=c2cccn[nH]2)no1 ZINC000346053398 254003724 /nfs/dbraw/zinc/00/37/24/254003724.db2.gz HPZHTDHUCLCSNY-SECBINFHSA-N 0 2 321.362 0.316 20 0 DCADLN Cc1ccn2ncc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c2c1 ZINC000347270413 254144202 /nfs/dbraw/zinc/14/42/02/254144202.db2.gz TZMRXAQLGZVBGL-UHFFFAOYSA-N 0 2 300.322 0.829 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NC[C@@H]2CCOC2)s[nH]1 ZINC000347621000 254172909 /nfs/dbraw/zinc/17/29/09/254172909.db2.gz YOPAFAXURSICJE-QMMMGPOBSA-N 0 2 312.395 0.349 20 0 DCADLN CCNC(=O)CN(CC)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348730918 254250568 /nfs/dbraw/zinc/25/05/68/254250568.db2.gz QFWDGUSXZMJUCW-UHFFFAOYSA-N 0 2 318.333 0.628 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)Cc2cccnc2)s1 ZINC000348811064 254258331 /nfs/dbraw/zinc/25/83/31/254258331.db2.gz ULZGJBJBVOVOEH-UHFFFAOYSA-N 0 2 313.364 0.486 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1cccnc1-n1cncn1 ZINC000349969254 254306623 /nfs/dbraw/zinc/30/66/23/254306623.db2.gz WIEKFHFWZNWYDJ-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN CCOC(=O)[C@H](CO)NS(=O)(=O)Cc1c(F)cccc1F ZINC000351974822 254385832 /nfs/dbraw/zinc/38/58/32/254385832.db2.gz KIJREUUXEMPVLP-NSHDSACASA-N 0 2 323.317 0.308 20 0 DCADLN O=C(C[C@H]1CCCC(=O)N1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289269806 220845751 /nfs/dbraw/zinc/84/57/51/220845751.db2.gz CYJBDRDDPCFGGY-LLVKDONJSA-N 0 2 315.333 0.762 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)N[C@@H](C)Cc1ccc(O)cc1 ZINC000284250204 131063767 /nfs/dbraw/zinc/06/37/67/131063767.db2.gz RHEJYVHEQSTQEG-JTQLQIEISA-N 0 2 316.361 0.787 20 0 DCADLN COC(=O)c1cccc(S(=O)(=O)Nc2nc(C)n(C)n2)c1 ZINC000292581239 131659864 /nfs/dbraw/zinc/65/98/64/131659864.db2.gz VJXWEPVOJGALBS-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN CONC(=O)CNC(=O)c1ccc(OC(F)F)c(OC)c1 ZINC000025824825 395693815 /nfs/dbraw/zinc/69/38/15/395693815.db2.gz AXAGQSZIRDBRGV-UHFFFAOYSA-N 0 2 304.249 0.704 20 0 DCADLN O=c1[nH]nc(CN2CCC(n3c4ccccc4[nH]c3=O)CC2)[nH]1 ZINC000092616882 395732035 /nfs/dbraw/zinc/73/20/35/395732035.db2.gz JOUSUVOATFXOFB-UHFFFAOYSA-N 0 2 314.349 0.578 20 0 DCADLN Cc1cc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n(C)n1 ZINC000047478227 395793854 /nfs/dbraw/zinc/79/38/54/395793854.db2.gz ZEKLATQCCUCARP-UHFFFAOYSA-N 0 2 300.278 0.318 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CCNC(=O)C3)=N2)cc1 ZINC000272454784 395893043 /nfs/dbraw/zinc/89/30/43/395893043.db2.gz NWMWQUYRJCGTTB-UHFFFAOYSA-N 0 2 316.317 0.159 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)CN1CCCC1=O ZINC000055149816 395912068 /nfs/dbraw/zinc/91/20/68/395912068.db2.gz SWLWSRTZBUXADW-UHFFFAOYSA-N 0 2 320.374 0.565 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000126354307 395912554 /nfs/dbraw/zinc/91/25/54/395912554.db2.gz FLLHJDALEKSSNI-UHFFFAOYSA-N 0 2 321.768 0.709 20 0 DCADLN NC(=O)N[C@H]1CCN(C(=O)c2cc(F)c(F)c(O)c2F)C1 ZINC000273342746 395914096 /nfs/dbraw/zinc/91/40/96/395914096.db2.gz RAXQZQMPRDFDLF-YFKPBYRVSA-N 0 2 303.240 0.692 20 0 DCADLN CC(=O)NCCc1ccc(S(=O)(=O)Nc2ccn(C)n2)cc1 ZINC000055575073 395920094 /nfs/dbraw/zinc/92/00/94/395920094.db2.gz ZBYZUFIZUQCHSS-UHFFFAOYSA-N 0 2 322.390 0.900 20 0 DCADLN Cc1nc2n(n1)CCN(CC(=O)NOCc1ccccc1)C2 ZINC000271418899 395864652 /nfs/dbraw/zinc/86/46/52/395864652.db2.gz XRSIDFSHHROEJM-UHFFFAOYSA-N 0 2 301.350 0.650 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@H](C)c1nc[nH]n1 ZINC000276455407 395998852 /nfs/dbraw/zinc/99/88/52/395998852.db2.gz NYGWXTIPXURVSM-RQJHMYQMSA-N 0 2 307.276 0.685 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@H](C)c1nnc[nH]1 ZINC000276455407 395998854 /nfs/dbraw/zinc/99/88/54/395998854.db2.gz NYGWXTIPXURVSM-RQJHMYQMSA-N 0 2 307.276 0.685 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)CC[NH2+]1 ZINC000155038335 396046358 /nfs/dbraw/zinc/04/63/58/396046358.db2.gz CIOXUEHVYZADQM-ZBFHGGJFSA-N 0 2 303.406 0.708 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(CNC(N)=O)cc2)cn1 ZINC000066583847 396010945 /nfs/dbraw/zinc/01/09/45/396010945.db2.gz LXYRUQLLLMLBRS-UHFFFAOYSA-N 0 2 323.378 0.872 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)C[N@@H+]2CCC[C@@H]2C(=O)[O-])cc1 ZINC000262067624 396095457 /nfs/dbraw/zinc/09/54/57/396095457.db2.gz BKPANYWVLMKHSB-CYBMUJFWSA-N 0 2 319.361 0.876 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)C[N@H+]2CCC[C@@H]2C(=O)[O-])cc1 ZINC000262067624 396095461 /nfs/dbraw/zinc/09/54/61/396095461.db2.gz BKPANYWVLMKHSB-CYBMUJFWSA-N 0 2 319.361 0.876 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc([S@@](C)=O)c2)c1O ZINC000278005384 396100150 /nfs/dbraw/zinc/10/01/50/396100150.db2.gz GDILBPPNNQXBOO-LADRHHBVSA-N 0 2 310.331 0.412 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)C[N@@H+]2CCC[C@H]2C(=O)[O-])c1 ZINC000262177967 396107087 /nfs/dbraw/zinc/10/70/87/396107087.db2.gz WCIFYBOSMGKGQC-ZDUSSCGKSA-N 0 2 319.361 0.924 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)C[N@H+]2CCC[C@H]2C(=O)[O-])c1 ZINC000262177967 396107090 /nfs/dbraw/zinc/10/70/90/396107090.db2.gz WCIFYBOSMGKGQC-ZDUSSCGKSA-N 0 2 319.361 0.924 20 0 DCADLN CCOC(=O)c1cnn(C)c1NS(=O)(=O)c1ccnn1C ZINC000278386725 396122021 /nfs/dbraw/zinc/12/20/21/396122021.db2.gz MIEWIXADWKUFFG-UHFFFAOYSA-N 0 2 313.339 0.131 20 0 DCADLN COC[C@@H]([NH3+])C(=O)NCc1nc(-c2ccc(OC)cc2)n[nH]1 ZINC000262319273 396123004 /nfs/dbraw/zinc/12/30/04/396123004.db2.gz QBJVUFGKEXQRFW-LLVKDONJSA-N 0 2 305.338 0.070 20 0 DCADLN Cc1nc(CN2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)no1 ZINC000277691716 396082684 /nfs/dbraw/zinc/08/26/84/396082684.db2.gz NSXFYPNKQRJRNQ-SECBINFHSA-N 0 2 310.251 0.923 20 0 DCADLN Cc1nc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)no1 ZINC000277691716 396082688 /nfs/dbraw/zinc/08/26/88/396082688.db2.gz NSXFYPNKQRJRNQ-SECBINFHSA-N 0 2 310.251 0.923 20 0 DCADLN C[NH+]1CCN(c2ccc(NC(=O)CCc3nc[nH]n3)cn2)CC1 ZINC000176793362 396161040 /nfs/dbraw/zinc/16/10/40/396161040.db2.gz HUNAMKQSKOVDNJ-UHFFFAOYSA-N 0 2 315.381 0.523 20 0 DCADLN COc1ccc(CCNC(=O)C[N@H+](C)[C@H](C)C(=O)[O-])cc1OC ZINC000262607842 396161230 /nfs/dbraw/zinc/16/12/30/396161230.db2.gz BHJFBMLFPWDAQE-LLVKDONJSA-N 0 2 324.377 0.767 20 0 DCADLN COc1ccc(CCNC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])cc1OC ZINC000262607842 396161232 /nfs/dbraw/zinc/16/12/32/396161232.db2.gz BHJFBMLFPWDAQE-LLVKDONJSA-N 0 2 324.377 0.767 20 0 DCADLN COCC[C@@H](CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000281774846 396238840 /nfs/dbraw/zinc/23/88/40/396238840.db2.gz URFHIOLQTKVBCH-NSHDSACASA-N 0 2 305.334 0.705 20 0 DCADLN O=C(NC[C@]1(O)CCOC1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000179083564 396221071 /nfs/dbraw/zinc/22/10/71/396221071.db2.gz LPWJEHVPMLOULP-OAHLLOKOSA-N 0 2 321.308 0.598 20 0 DCADLN C[NH+](C)[C@H](CNC(=O)CNC(=O)[O-])c1c(F)cccc1F ZINC000285847881 396357720 /nfs/dbraw/zinc/35/77/20/396357720.db2.gz YYEYAFHLDBBIIO-SNVBAGLBSA-N 0 2 301.293 0.951 20 0 DCADLN COC(=O)C[C@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000268184652 396359447 /nfs/dbraw/zinc/35/94/47/396359447.db2.gz LRJGSOOUVNSKBR-YFKPBYRVSA-N 0 2 322.368 0.047 20 0 DCADLN C[C@H](O)C(=O)N1CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000186981034 396361794 /nfs/dbraw/zinc/36/17/94/396361794.db2.gz YBSFYZJPLLCUCJ-QMMMGPOBSA-N 0 2 314.288 0.336 20 0 DCADLN CN1C[C@@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CC1=O ZINC000289175984 396456028 /nfs/dbraw/zinc/45/60/28/396456028.db2.gz ZZAUODLXDLNSQL-VIFPVBQESA-N 0 2 301.306 0.182 20 0 DCADLN C[C@@](O)(CC(=O)Nc1nnc(NS(C)(=O)=O)s1)C1CC1 ZINC000270120262 396461630 /nfs/dbraw/zinc/46/16/30/396461630.db2.gz VHADGZLCBGULPR-SNVBAGLBSA-N 0 2 320.396 0.399 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1cc(C)nc2ncnn21 ZINC000291036704 396477696 /nfs/dbraw/zinc/47/76/96/396477696.db2.gz ZNNPOVGXNNLNOW-UHFFFAOYSA-N 0 2 321.366 0.584 20 0 DCADLN C[N@H+]1CC=C(CNC(=O)NCC2([NH+]3CCOCC3)CC2)CC1 ZINC000580149684 396489603 /nfs/dbraw/zinc/48/96/03/396489603.db2.gz YCWRTDTUUVQVEO-UHFFFAOYSA-N 0 2 308.426 0.412 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cc(F)cc3n[nH]nc32)C1=O ZINC000292765943 396567463 /nfs/dbraw/zinc/56/74/63/396567463.db2.gz GEZVDOPKLKXLNC-ZDUSSCGKSA-N 0 2 320.284 0.462 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC2([S@](C)=O)CC2)cn1 ZINC000562527342 396668681 /nfs/dbraw/zinc/66/86/81/396668681.db2.gz SOXUNARHIMTDRU-NRFANRHFSA-N 0 2 307.379 0.451 20 0 DCADLN COC(=O)CCNC(=O)C[N@@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000595894540 396677537 /nfs/dbraw/zinc/67/75/37/396677537.db2.gz ZWXZPNHQZGNVTJ-HNNXBMFYSA-N 0 2 320.345 0.350 20 0 DCADLN COC(=O)CCNC(=O)C[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000595894540 396677539 /nfs/dbraw/zinc/67/75/39/396677539.db2.gz ZWXZPNHQZGNVTJ-HNNXBMFYSA-N 0 2 320.345 0.350 20 0 DCADLN COC(=O)CCNC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000595894541 396677578 /nfs/dbraw/zinc/67/75/78/396677578.db2.gz ZWXZPNHQZGNVTJ-OAHLLOKOSA-N 0 2 320.345 0.350 20 0 DCADLN COC(=O)CCNC(=O)C[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000595894541 396677581 /nfs/dbraw/zinc/67/75/81/396677581.db2.gz ZWXZPNHQZGNVTJ-OAHLLOKOSA-N 0 2 320.345 0.350 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)n1 ZINC000375417772 396739451 /nfs/dbraw/zinc/73/94/51/396739451.db2.gz VBXOFXOYLZIYLM-JOYOIKCWSA-N 0 2 317.353 0.313 20 0 DCADLN CN(C)c1nc(N2CCO[C@@H](C(=O)[O-])C2)[nH+]c2ccccc21 ZINC000563521840 396746576 /nfs/dbraw/zinc/74/65/76/396746576.db2.gz LXURMROICUMOHL-GFCCVEGCSA-N 0 2 302.334 0.986 20 0 DCADLN CCN1CCO[C@@H](C(=O)N=c2nc(C(C)(C)OC)[nH]s2)C1 ZINC000634150960 396797653 /nfs/dbraw/zinc/79/76/53/396797653.db2.gz DTLZLNXOLGMABD-SECBINFHSA-N 0 2 314.411 0.501 20 0 DCADLN CO[C@H]1C[C@H](NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000629685650 396820951 /nfs/dbraw/zinc/82/09/51/396820951.db2.gz CQWZYDBNXVCNPD-ZKCHVHJHSA-N 0 2 304.306 0.652 20 0 DCADLN Cc1cnn(-c2ccc(C(=O)N3CC[N@H+](C)C[C@H]3C[NH3+])cc2)c1 ZINC000564450200 396835042 /nfs/dbraw/zinc/83/50/42/396835042.db2.gz GUGXDZVDGDXBPG-MRXNPFEDSA-N 0 2 313.405 0.896 20 0 DCADLN COC(=O)C[C@@H](O)CNC(=O)c1cc(F)c(F)c(O)c1F ZINC000600462113 396853457 /nfs/dbraw/zinc/85/34/57/396853457.db2.gz XDZMNWHDQLWTLI-RXMQYKEDSA-N 0 2 307.224 0.463 20 0 DCADLN O=C(N[C@@H]1COCC[C@H]1O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000634463197 396860966 /nfs/dbraw/zinc/86/09/66/396860966.db2.gz PCIQVYJLEQMJDC-VXGBXAGGSA-N 0 2 321.308 0.597 20 0 DCADLN C[C@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C1(CO)CC1 ZINC000617912448 396874228 /nfs/dbraw/zinc/87/42/28/396874228.db2.gz NEPZTGWDPKOWCG-VIFPVBQESA-N 0 2 303.318 0.921 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000564955500 396910821 /nfs/dbraw/zinc/91/08/21/396910821.db2.gz YFGGFOIWYVQYLM-CYBMUJFWSA-N 0 2 306.362 0.930 20 0 DCADLN O=C([O-])[C@H]1COCC[N@@H+]1CCC(=O)NC(=O)Nc1ccccc1 ZINC000591445403 396920160 /nfs/dbraw/zinc/92/01/60/396920160.db2.gz DGPSNPBNPNZBSO-GFCCVEGCSA-N 0 2 321.333 0.510 20 0 DCADLN CC(C)O[C@@]1(CS(=O)(=O)Nc2ccn(C)n2)CCOC1 ZINC000634785318 396917360 /nfs/dbraw/zinc/91/73/60/396917360.db2.gz DOHUIPUMXGKXBV-LBPRGKRZSA-N 0 2 303.384 0.746 20 0 DCADLN O=C([O-])[C@H]1COCC[N@H+]1CCC(=O)NC(=O)Nc1ccccc1 ZINC000591445403 396920167 /nfs/dbraw/zinc/92/01/67/396920167.db2.gz DGPSNPBNPNZBSO-GFCCVEGCSA-N 0 2 321.333 0.510 20 0 DCADLN CC(=O)NCc1ccc(S(=O)(=O)Nc2ccnn2C)s1 ZINC000564972279 396913926 /nfs/dbraw/zinc/91/39/26/396913926.db2.gz GYAUNSZAFKPTCN-UHFFFAOYSA-N 0 2 314.392 0.919 20 0 DCADLN COc1ccc(OC(C)(C)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000611863204 396940101 /nfs/dbraw/zinc/94/01/01/396940101.db2.gz UPPPRNPHJHQZIN-UHFFFAOYSA-N 0 2 306.322 0.993 20 0 DCADLN CC(C)(O)[C@@H](Oc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000611860258 396940215 /nfs/dbraw/zinc/94/02/15/396940215.db2.gz FTMMNBPATBKNEV-NSHDSACASA-N 0 2 306.322 0.345 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCCC[C@@H]1N1CCCC1=O ZINC000611863190 396940593 /nfs/dbraw/zinc/94/05/93/396940593.db2.gz UEPYYOFISDUHRH-ZJUUUORDSA-N 0 2 307.354 0.308 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)NC(=O)CO3)c1O ZINC000618442088 396981633 /nfs/dbraw/zinc/98/16/33/396981633.db2.gz MHGSEEOOTCNJTF-LLVKDONJSA-N 0 2 319.273 0.005 20 0 DCADLN C[S@](=O)C1(CNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000597622058 397035891 /nfs/dbraw/zinc/03/58/91/397035891.db2.gz SCCNQGJEZQVZOW-QFIPXVFZSA-N 0 2 321.358 0.671 20 0 DCADLN CCC[C@@]1(CO)CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000377343390 397070220 /nfs/dbraw/zinc/07/02/20/397070220.db2.gz OCMIQOQWIYEXPY-SECBINFHSA-N 0 2 304.334 0.868 20 0 DCADLN Cc1cc(NS(=O)(=O)CCCS(=O)(=O)N(C)C)no1 ZINC000349569956 397073385 /nfs/dbraw/zinc/07/33/85/397073385.db2.gz CYXPLLPKUYBZNZ-UHFFFAOYSA-N 0 2 311.385 0.006 20 0 DCADLN CN1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCC1=O ZINC000619063662 397076519 /nfs/dbraw/zinc/07/65/19/397076519.db2.gz DRSUZJHOZBXNJZ-UHFFFAOYSA-N 0 2 316.317 0.334 20 0 DCADLN C[C@H](O)[C@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000618913947 397041803 /nfs/dbraw/zinc/04/18/03/397041803.db2.gz LUSOALHFYOBLSY-OIBJUYFYSA-N 0 2 304.306 0.421 20 0 DCADLN COC(=O)[C@H]1C[C@H](O)CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000592197941 397123105 /nfs/dbraw/zinc/12/31/05/397123105.db2.gz QBAQSJVRSHNMMC-IUCAKERBSA-N 0 2 323.374 0.753 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2ccc3[nH]c(=O)cnc3c2)n1 ZINC000573404247 397164681 /nfs/dbraw/zinc/16/46/81/397164681.db2.gz YPQCYJNWLUKKGD-UHFFFAOYSA-N 0 2 305.319 0.457 20 0 DCADLN CCCCn1cc(CNC(=O)N2CC[N@@H+](C)C[C@H]2C[NH3+])c(C)n1 ZINC000572976322 397118823 /nfs/dbraw/zinc/11/88/23/397118823.db2.gz OQNNWZJOTZJTCL-OAHLLOKOSA-N 0 2 322.457 0.776 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@]2(C)CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000574562864 397279600 /nfs/dbraw/zinc/27/96/00/397279600.db2.gz YWKNGEZEOZOOJK-OAHLLOKOSA-N 0 2 318.333 0.731 20 0 DCADLN C[C@H](OCC1CC1)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000620308664 397259000 /nfs/dbraw/zinc/25/90/00/397259000.db2.gz QCFJKBIRPLPHJY-LURJTMIESA-N 0 2 320.396 0.663 20 0 DCADLN COCCS(=O)(=O)Nc1cccc(C(=O)OC)c1OC ZINC000593216656 397337830 /nfs/dbraw/zinc/33/78/30/397337830.db2.gz AZIWBTHHCHPIAI-UHFFFAOYSA-N 0 2 303.336 0.870 20 0 DCADLN O=C(N[C@@H](CO)CC(F)F)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614004123 397359817 /nfs/dbraw/zinc/35/98/17/397359817.db2.gz IXWKEDAGMPBNDP-SECBINFHSA-N 0 2 313.260 0.776 20 0 DCADLN CC(C)(O)C1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000613791304 397322221 /nfs/dbraw/zinc/32/22/21/397322221.db2.gz ZPSVNKLIBPQYBN-UHFFFAOYSA-N 0 2 303.318 0.873 20 0 DCADLN CCOC(=O)[C@](C)(CNc1nc(N)nc(N)[nH+]1)c1ccccc1 ZINC000599068216 397330812 /nfs/dbraw/zinc/33/08/12/397330812.db2.gz GOCITWPHWARKFM-OAHLLOKOSA-N 0 2 316.365 0.052 20 0 DCADLN O=C(c1cc(O)cc(=O)[nH]1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000614261065 397408012 /nfs/dbraw/zinc/40/80/12/397408012.db2.gz UEEYQKVLUCWSMW-UHFFFAOYSA-N 0 2 305.294 0.336 20 0 DCADLN CCN1CC[C@@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1=O ZINC000614564503 397470673 /nfs/dbraw/zinc/47/06/73/397470673.db2.gz LEIBSCCRIAQGTC-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN COC[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CCO1 ZINC000608431293 397730444 /nfs/dbraw/zinc/73/04/44/397730444.db2.gz YMMAYMDLUFEQQN-GFCCVEGCSA-N 0 2 319.317 0.517 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(N3CCNC3=O)cc2)cn1 ZINC000055579473 158022380 /nfs/dbraw/zinc/02/23/80/158022380.db2.gz MZDKTMTXXHWIPI-UHFFFAOYSA-N 0 2 321.362 0.751 20 0 DCADLN Cc1cc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)on1 ZINC000061623332 158029008 /nfs/dbraw/zinc/02/90/08/158029008.db2.gz LUCWSNGLMUNDHA-UHFFFAOYSA-N 0 2 301.262 0.250 20 0 DCADLN CCCC(=O)N1CCC[C@@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC000081815270 158085831 /nfs/dbraw/zinc/08/58/31/158085831.db2.gz LEUYAEJLBWSJFJ-LLVKDONJSA-N 0 2 323.397 0.186 20 0 DCADLN CCN(C1CC[NH+](CC(=O)NCC2CC2)CC1)S(C)(=O)=O ZINC000109010655 158136506 /nfs/dbraw/zinc/13/65/06/158136506.db2.gz CHNNCTSMEMUCET-UHFFFAOYSA-N 0 2 317.455 0.259 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1c2ccccc2C[C@H]1O ZINC000127247399 158271363 /nfs/dbraw/zinc/27/13/63/158271363.db2.gz QEHZWVKZTIQIPP-NXEZZACHSA-N 0 2 310.297 0.631 20 0 DCADLN C[C@H]1CCCN1S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329596716 159105838 /nfs/dbraw/zinc/10/58/38/159105838.db2.gz PEWLORSNSHKDIM-VHSXEESVSA-N 0 2 315.399 0.419 20 0 DCADLN Cc1nn(C)c2nc(C)cc(C(=O)NCc3n[nH]c(=O)[nH]3)c12 ZINC000330059624 159138548 /nfs/dbraw/zinc/13/85/48/159138548.db2.gz LGOOHHABESSJNT-UHFFFAOYSA-N 0 2 301.310 0.339 20 0 DCADLN CCN(CC)C(=O)CCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330461813 159177418 /nfs/dbraw/zinc/17/74/18/159177418.db2.gz SNYUOGGLXDLGJU-NSHDSACASA-N 0 2 323.397 0.865 20 0 DCADLN O=C(CCOCc1ccccc1)NCCCc1n[nH]c(=O)[nH]1 ZINC000080974037 286927541 /nfs/dbraw/zinc/92/75/41/286927541.db2.gz OOMGEJXQMWNIQP-UHFFFAOYSA-N 0 2 304.350 0.754 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C/c2cncc(F)c2)cn1 ZINC000132231498 287004647 /nfs/dbraw/zinc/00/46/47/287004647.db2.gz CNYYDTXTPISQQC-ONEGZZNKSA-N 0 2 324.337 0.955 20 0 DCADLN C[C@H](NC(=O)c1nc(=O)[nH][nH]1)c1noc(-c2ccccc2)n1 ZINC000134353582 287016221 /nfs/dbraw/zinc/01/62/21/287016221.db2.gz CMXZQBHFMOYZBD-ZETCQYMHSA-N 0 2 300.278 0.639 20 0 DCADLN CC[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCCO1 ZINC000152341937 287040566 /nfs/dbraw/zinc/04/05/66/287040566.db2.gz RKTYBQCDFBRDBT-QWRGUYRKSA-N 0 2 318.333 0.299 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCOC[C@@H]1CC(F)F ZINC000352511724 415201649 /nfs/dbraw/zinc/20/16/49/415201649.db2.gz MRHDELYZIDBZMT-LURJTMIESA-N 0 2 312.260 0.739 20 0 DCADLN CCCCCNC(=O)[C@@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000268493246 415225713 /nfs/dbraw/zinc/22/57/13/415225713.db2.gz PCMBMZYWPRVRBB-SECBINFHSA-N 0 2 318.399 0.420 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)cc1F ZINC000342771574 415292253 /nfs/dbraw/zinc/29/22/53/415292253.db2.gz SBIGRVCTCWWCEB-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](O)[C@@H](C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000352766495 415312729 /nfs/dbraw/zinc/31/27/29/415312729.db2.gz XJJOKKYPLKNYTD-CBAPKCEASA-N 0 2 304.306 0.197 20 0 DCADLN CC[C@@H]1CC[C@H](C)N1c1nc(NCCO)[nH+]c(NC(C)C)n1 ZINC000342940566 415369567 /nfs/dbraw/zinc/36/95/67/415369567.db2.gz SYBSNROZEGYKBV-NWDGAFQWSA-N 0 2 308.430 0.707 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCNC(=O)C2CC2)c1 ZINC000424536047 287307962 /nfs/dbraw/zinc/30/79/62/287307962.db2.gz DEKNHXYEEAPRTP-JOCHJYFZSA-N 0 2 324.402 0.445 20 0 DCADLN C[C@@H](NC(=O)C1=NN(c2ccc(F)cc2)CC1=O)C(=O)N(C)C ZINC000084385234 415496867 /nfs/dbraw/zinc/49/68/67/415496867.db2.gz BOLXVGXAYLXLRS-SECBINFHSA-N 0 2 320.324 0.924 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCNC(=O)C2(C)C)c1 ZINC000424649101 287312205 /nfs/dbraw/zinc/31/22/05/287312205.db2.gz SKMMUOLTCFFUKN-JOCHJYFZSA-N 0 2 324.402 0.540 20 0 DCADLN COCc1nc(S(=O)(=O)CC(=O)N2CCCC[C@@H]2C)n[nH]1 ZINC000353894416 415685164 /nfs/dbraw/zinc/68/51/64/415685164.db2.gz VGUXDDNNXURQEW-VIFPVBQESA-N 0 2 316.383 0.126 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1c[nH]c2nc(C)ccc2c1=O)[C@@H](C)O ZINC000354157511 415774630 /nfs/dbraw/zinc/77/46/30/415774630.db2.gz IIJOMNBUDPIYJC-KCJUWKMLSA-N 0 2 319.317 0.296 20 0 DCADLN C[C@H](c1nnnn1C1CC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000344085539 415836252 /nfs/dbraw/zinc/83/62/52/415836252.db2.gz HIRJVDLYNSTQNQ-BDAKNGLRSA-N 0 2 304.358 0.772 20 0 DCADLN NC(=O)CCOc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000354180599 415781241 /nfs/dbraw/zinc/78/12/41/415781241.db2.gz IPUYIBQJISZBNU-UHFFFAOYSA-N 0 2 320.309 0.086 20 0 DCADLN C[C@@H](NC(=O)N(C)[C@@H](C)CS(C)(=O)=O)C1=CC[N@H+](C)CC1 ZINC000334029026 415787321 /nfs/dbraw/zinc/78/73/21/415787321.db2.gz WJMAGBFSJYXHDK-NWDGAFQWSA-N 0 2 317.455 0.711 20 0 DCADLN C[C@H](CS(C)(=O)=O)N(C)C(=O)N[C@H](C)C1=CC[N@H+](C)CC1 ZINC000334029027 415788327 /nfs/dbraw/zinc/78/83/27/415788327.db2.gz WJMAGBFSJYXHDK-VXGBXAGGSA-N 0 2 317.455 0.711 20 0 DCADLN O=C(N[C@H]1CCC[C@H](CO)C1)c1cnc2c(c1)NC(=O)CO2 ZINC000334028570 415788712 /nfs/dbraw/zinc/78/87/12/415788712.db2.gz JHFSKBVSLZNKSO-ONGXEEELSA-N 0 2 305.334 0.693 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(C(=O)OC)c(C)c2)c1O ZINC000290455065 415806105 /nfs/dbraw/zinc/80/61/05/415806105.db2.gz DQVBWOGNALUILC-LLVKDONJSA-N 0 2 320.301 0.770 20 0 DCADLN COC(=O)CCCONC(=O)CNC(=O)c1cccs1 ZINC000290489389 415811674 /nfs/dbraw/zinc/81/16/74/415811674.db2.gz DOYPAVCJGMNHSQ-UHFFFAOYSA-N 0 2 300.336 0.479 20 0 DCADLN CCc1cc(=O)[nH]c(CN2CCC[C@@H](c3n[nH]c(=O)o3)C2)n1 ZINC000295142619 415884802 /nfs/dbraw/zinc/88/48/02/415884802.db2.gz DZKGWPFKBRTTRK-SECBINFHSA-N 0 2 305.338 0.801 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccn(CCC(N)=O)n2)cc1 ZINC000344324364 415888899 /nfs/dbraw/zinc/88/88/99/415888899.db2.gz KTVHPJWYNDPOTG-UHFFFAOYSA-N 0 2 324.362 0.568 20 0 DCADLN CN(CCN(C)C(=O)CSc1n[nH]c(=O)[nH]1)CC(F)F ZINC000356260839 415893443 /nfs/dbraw/zinc/89/34/43/415893443.db2.gz ZJGFXZSSGDZJBF-UHFFFAOYSA-N 0 2 309.342 0.258 20 0 DCADLN O=C(C1CC1)[C@H]1CN(S(=O)(=O)NCC(F)(F)F)CCO1 ZINC000344818744 415992742 /nfs/dbraw/zinc/99/27/42/415992742.db2.gz DTJSRZWUNKXQNN-MRVPVSSYSA-N 0 2 316.301 0.063 20 0 DCADLN CO[C@H](C)c1nsc(=NC[C@@](C)(O)C[NH+]2CCOCC2)[n-]1 ZINC000337866161 416017787 /nfs/dbraw/zinc/01/77/87/416017787.db2.gz KVZQMPMATXYFQN-ZWNOBZJWSA-N 0 2 316.427 0.163 20 0 DCADLN CO[C@H](C)c1nc(=NC[C@@](C)(O)C[NH+]2CCOCC2)s[n-]1 ZINC000337866161 416017791 /nfs/dbraw/zinc/01/77/91/416017791.db2.gz KVZQMPMATXYFQN-ZWNOBZJWSA-N 0 2 316.427 0.163 20 0 DCADLN C[C@@H]1NC(C(=O)NC2=NCCS2)=NN(c2ccccc2)C1=O ZINC000298884901 416035222 /nfs/dbraw/zinc/03/52/22/416035222.db2.gz QKCVELAFCWKXMU-VIFPVBQESA-N 0 2 317.374 0.544 20 0 DCADLN C[C@@H]1NC(C(=O)[N-]C2=[NH+]CCS2)=NN(c2ccccc2)C1=O ZINC000298884901 416035225 /nfs/dbraw/zinc/03/52/25/416035225.db2.gz QKCVELAFCWKXMU-VIFPVBQESA-N 0 2 317.374 0.544 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N[C@@H]2C[C@@H]2C(F)F)s[nH]1 ZINC000357511604 416090267 /nfs/dbraw/zinc/09/02/67/416090267.db2.gz DHHJYTLYPZLKOF-NTSWFWBYSA-N 0 2 318.349 0.966 20 0 DCADLN CC(C)[C@H](NC(=O)c1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000338394821 416092118 /nfs/dbraw/zinc/09/21/18/416092118.db2.gz OZOYLBJTPMADCV-LBPRGKRZSA-N 0 2 317.349 0.581 20 0 DCADLN CN(C)C(=O)CCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000175501586 416108113 /nfs/dbraw/zinc/10/81/13/416108113.db2.gz IWKUFIFKOGHSQR-UHFFFAOYSA-N 0 2 302.334 0.786 20 0 DCADLN C[N@H+](Cc1cc(=O)n2ccsc2n1)C1CC[NH+](CCO)CC1 ZINC000357651411 416111473 /nfs/dbraw/zinc/11/14/73/416111473.db2.gz RXERMYDDNWFLIY-UHFFFAOYSA-N 0 2 322.434 0.645 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCCn1cccn1)c2=O ZINC000179420763 416186301 /nfs/dbraw/zinc/18/63/01/416186301.db2.gz ZZUMNDQTYIIQMB-UHFFFAOYSA-N 0 2 300.322 0.691 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CC[C@H](CO)CC1)c2=O ZINC000182243011 416215480 /nfs/dbraw/zinc/21/54/80/416215480.db2.gz YSVGGUAOZTZTPC-AOOOYVTPSA-N 0 2 304.350 0.955 20 0 DCADLN COC(=O)[C@@H]1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000358339576 416225377 /nfs/dbraw/zinc/22/53/77/416225377.db2.gz OJLFGZDLSYQIRJ-SECBINFHSA-N 0 2 318.333 0.699 20 0 DCADLN COC(=O)C(C)(C)N(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000341867814 416245663 /nfs/dbraw/zinc/24/56/63/416245663.db2.gz DZCLZEWSXGCVGP-UHFFFAOYSA-N 0 2 320.305 0.460 20 0 DCADLN CCNC(=O)CC(=O)N1CCCC[C@H]1c1nnc(COC)[nH]1 ZINC000331128074 416270899 /nfs/dbraw/zinc/27/08/99/416270899.db2.gz FKMUUHJRQCYZDI-JTQLQIEISA-N 0 2 309.370 0.531 20 0 DCADLN O=C(NC[C@@H]1Cc2ccccc21)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000194088606 416322159 /nfs/dbraw/zinc/32/21/59/416322159.db2.gz ZYNFLNZMZLTWFZ-JTQLQIEISA-N 0 2 322.324 0.681 20 0 DCADLN C[C@H](O)CN(C(=O)N=c1nc(C(C)(C)C)[nH]s1)[C@@H](C)CO ZINC000331293831 416303799 /nfs/dbraw/zinc/30/37/99/416303799.db2.gz RBTYNIRFGIOAFW-IUCAKERBSA-N 0 2 316.427 0.853 20 0 DCADLN Cc1cc(C)c(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c(C)c1 ZINC000342069900 416279413 /nfs/dbraw/zinc/27/94/13/416279413.db2.gz NSRHSMKWZYYQTM-UHFFFAOYSA-N 0 2 303.322 0.690 20 0 DCADLN O=C(NC[C@@H]1C[C@@H](O)C[N@H+]1Cc1ccccc1)c1nc[nH]n1 ZINC000342211883 416294732 /nfs/dbraw/zinc/29/47/32/416294732.db2.gz WYMVCRVDCOAZDO-QWHCGFSZSA-N 0 2 301.350 0.170 20 0 DCADLN O=C(NC[C@@H]1C[C@@H](O)C[N@@H+]1Cc1ccccc1)c1nc[nH]n1 ZINC000342211883 416294736 /nfs/dbraw/zinc/29/47/36/416294736.db2.gz WYMVCRVDCOAZDO-QWHCGFSZSA-N 0 2 301.350 0.170 20 0 DCADLN O=S(=O)(NCCCOC[C@@H]1CCCO1)NCC(F)(F)F ZINC000192007659 416294975 /nfs/dbraw/zinc/29/49/75/416294975.db2.gz GJRFYEHRMLVUDU-VIFPVBQESA-N 0 2 320.333 0.558 20 0 DCADLN COC(=O)C1(NS(=O)(=O)NCC(F)(F)F)CCCCC1 ZINC000195072878 416325240 /nfs/dbraw/zinc/32/52/40/416325240.db2.gz VVLMQOYAYYYTGU-UHFFFAOYSA-N 0 2 318.317 0.849 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N(C)CCCC(=O)[O-])[nH+]cn2)C1 ZINC000416423151 416369111 /nfs/dbraw/zinc/36/91/11/416369111.db2.gz SGBKPAKHCUXNHI-NEPJUHHUSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N(C)CCCC(=O)[O-])nc[nH+]2)C1 ZINC000416423151 416369112 /nfs/dbraw/zinc/36/91/12/416369112.db2.gz SGBKPAKHCUXNHI-NEPJUHHUSA-N 0 2 308.382 0.985 20 0 DCADLN CO[C@]1(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)CCOC1 ZINC000359828319 416361461 /nfs/dbraw/zinc/36/14/61/416361461.db2.gz OIXNBECOVJVNNQ-AWEZNQCLSA-N 0 2 306.322 0.209 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C[C@H](C)[S@@]1=O ZINC000360725262 416406157 /nfs/dbraw/zinc/40/61/57/416406157.db2.gz JKZJCHBZTHFMLL-VDKUODGRSA-N 0 2 322.390 0.655 20 0 DCADLN O=C([O-])C1(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)CCCC1 ZINC000532862937 416461226 /nfs/dbraw/zinc/46/12/26/416461226.db2.gz FBHFJUAWIFQWPR-UHFFFAOYSA-N 0 2 301.306 0.790 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cccc(OCC(N)=O)c1 ZINC000361119342 416422145 /nfs/dbraw/zinc/42/21/45/416422145.db2.gz HZQVXRDSVQEAOK-UHFFFAOYSA-N 0 2 324.362 0.393 20 0 DCADLN O=C([C@@H](O)Cc1ccccc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000582208009 416446848 /nfs/dbraw/zinc/44/68/48/416446848.db2.gz CPFFGPFEHAGGMX-STQMWFEESA-N 0 2 316.361 0.820 20 0 DCADLN CC(C)[N@@H+]1CC[C@H](N(C)C(=O)NCC[NH+]2CCOC[C@H]2C)C1 ZINC000458315737 416451494 /nfs/dbraw/zinc/45/14/94/416451494.db2.gz ZMPCZQUIXJFWEG-CABCVRRESA-N 0 2 312.458 0.831 20 0 DCADLN O=C1c2ccccc2C(=O)N1C[C@@H]1C[N@H+](CCCCO)CCO1 ZINC000441055821 416659525 /nfs/dbraw/zinc/65/95/25/416659525.db2.gz ZRAOHFZWRWGYLZ-ZDUSSCGKSA-N 0 2 318.373 0.756 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCN(C)C(=O)[C@@H]2C)c1 ZINC000424803212 416669337 /nfs/dbraw/zinc/66/93/37/416669337.db2.gz YLRVRILBDWBQBC-SAHAZLINSA-N 0 2 324.402 0.492 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@H](CO)C2CC2)c1 ZINC000424827473 416670175 /nfs/dbraw/zinc/67/01/75/416670175.db2.gz ICICADSFPNBBOR-GTJPDFRWSA-N 0 2 311.403 0.938 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000514735981 416673961 /nfs/dbraw/zinc/67/39/61/416673961.db2.gz HBVPWUVWOBENMW-VIFPVBQESA-N 0 2 302.290 0.206 20 0 DCADLN O=C(Nc1cccc(-c2cn[nH]n2)c1)c1cc(=O)n2[nH]cnc2n1 ZINC000442672010 416703988 /nfs/dbraw/zinc/70/39/88/416703988.db2.gz PBTBCIQVOLIQEK-UHFFFAOYSA-N 0 2 322.288 0.455 20 0 DCADLN CCCNC(=O)CN(CCC)S(=O)(=O)NCC(F)(F)F ZINC000442915828 416718872 /nfs/dbraw/zinc/71/88/72/416718872.db2.gz YYTOHHXJOFPVRR-UHFFFAOYSA-N 0 2 319.349 0.621 20 0 DCADLN C[C@H]1NC(=O)CC[C@@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000443032208 416726235 /nfs/dbraw/zinc/72/62/35/416726235.db2.gz IKERRVFDBPAKHF-XCBNKYQSSA-N 0 2 303.322 0.071 20 0 DCADLN Cc1nc(CCNS(=O)(=O)NCC(F)(F)F)c(C)o1 ZINC000443030790 416726444 /nfs/dbraw/zinc/72/64/44/416726444.db2.gz UMVVRYXMBQQBEF-UHFFFAOYSA-N 0 2 301.290 0.820 20 0 DCADLN CCC(=O)N[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000443525287 416766691 /nfs/dbraw/zinc/76/66/91/416766691.db2.gz YKFOJOTYRXCIMB-GFCCVEGCSA-N 0 2 303.322 0.394 20 0 DCADLN O=C(N[C@H]1CC[C@@H](CO)C1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000615684783 416890123 /nfs/dbraw/zinc/89/01/23/416890123.db2.gz FCXATPLPTPUILC-SKDRFNHKSA-N 0 2 303.318 0.921 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2ccccn2)CC[N@@H+]1CC(C)(C)O ZINC000444275701 416827235 /nfs/dbraw/zinc/82/72/35/416827235.db2.gz JMRRFEJEGGVGPD-GFCCVEGCSA-N 0 2 313.423 0.547 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cccc(-n3cnnn3)c2)cnn1C ZINC000427743203 416844170 /nfs/dbraw/zinc/84/41/70/416844170.db2.gz IQJQJQORBQBZRV-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1CCOCCN1 ZINC000615494183 416847262 /nfs/dbraw/zinc/84/72/62/416847262.db2.gz HACLVPHBQWKXTD-UHFFFAOYSA-N 0 2 306.297 0.694 20 0 DCADLN NC(=O)c1csc(C[NH2+]CCC[N@H+]2CCC[C@H]2C(N)=O)c1 ZINC000623618773 416851893 /nfs/dbraw/zinc/85/18/93/416851893.db2.gz DKIYJCFIGGPZJR-LBPRGKRZSA-N 0 2 310.423 0.276 20 0 DCADLN CN(C[C@@H]1CCCOC1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000519369542 416900919 /nfs/dbraw/zinc/90/09/19/416900919.db2.gz MMQCOQKKEGHDRX-VIFPVBQESA-N 0 2 318.333 0.935 20 0 DCADLN CCC[C@H](OC)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000448767441 417098271 /nfs/dbraw/zinc/09/82/71/417098271.db2.gz LQYHUSDZKVACAT-LURJTMIESA-N 0 2 308.385 0.663 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NCCCCCO)s[nH]1 ZINC000448318600 417069454 /nfs/dbraw/zinc/06/94/54/417069454.db2.gz DYCPTVCYXYBMHS-UHFFFAOYSA-N 0 2 314.411 0.475 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2cncc(C)c2)cn1 ZINC000432363017 417175774 /nfs/dbraw/zinc/17/57/74/417175774.db2.gz RJXMPDQZRHMDSQ-UHFFFAOYSA-N 0 2 310.335 0.560 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000569103594 417213555 /nfs/dbraw/zinc/21/35/55/417213555.db2.gz NKNVKTQAMXPSBA-ZJUUUORDSA-N 0 2 321.333 0.598 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@@H+](C)Cc1ccc2c(c1)OCCO2 ZINC000569115473 417215827 /nfs/dbraw/zinc/21/58/27/417215827.db2.gz HPAHMAPZKNWPPN-OAHLLOKOSA-N 0 2 324.421 0.829 20 0 DCADLN O=C([O-])[C@H]1CN2C(=O)N=NC2C[N@@H+]1CCOC1CCCCCC1 ZINC000569592997 417271636 /nfs/dbraw/zinc/27/16/36/417271636.db2.gz ZJJAGHIXMUGGIL-GFCCVEGCSA-N 0 2 324.381 0.992 20 0 DCADLN O=C([O-])[C@H]1CN2C(=O)N=NC2C[N@H+]1CCOC1CCCCCC1 ZINC000569592997 417271645 /nfs/dbraw/zinc/27/16/45/417271645.db2.gz ZJJAGHIXMUGGIL-GFCCVEGCSA-N 0 2 324.381 0.992 20 0 DCADLN CCOC[C@@H](O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000433367569 417260873 /nfs/dbraw/zinc/26/08/73/417260873.db2.gz VUEMJRSOXVIEKN-NSHDSACASA-N 0 2 307.306 0.157 20 0 DCADLN CC(=O)N[C@@H](CCC(C)C)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000621894668 417336725 /nfs/dbraw/zinc/33/67/25/417336725.db2.gz WEYJBMHMEZOEGI-NSHDSACASA-N 0 2 311.386 0.500 20 0 DCADLN COc1cccc(COCC(=O)N(C)CC2N=NC(=O)O2)c1 ZINC000622118011 417400105 /nfs/dbraw/zinc/40/01/05/417400105.db2.gz FXNKPBVTRYPFEX-UHFFFAOYSA-N 0 2 307.306 0.959 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCCC[C@@H]2C(=O)N2CCOCC2)c1O ZINC000622349579 417431648 /nfs/dbraw/zinc/43/16/48/417431648.db2.gz DATUBBWJNHKDEP-LLVKDONJSA-N 0 2 322.365 0.277 20 0 DCADLN COc1c(N)[nH+]cnc1NCCCC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000574704223 417487451 /nfs/dbraw/zinc/48/74/51/417487451.db2.gz SLQQERKDXUFIBA-TXEJJXNPSA-N 0 2 309.414 1.369 20 0 DCADLN Cn1cc2ccc(CNC(=O)N3CC[N@H+](C)C[C@H]3C[NH3+])cc2n1 ZINC000529362251 417449890 /nfs/dbraw/zinc/44/98/90/417449890.db2.gz QEWRUCZBJIZQJL-CQSZACIVSA-N 0 2 316.409 0.358 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(c2nc(N)c3ccccc3[nH+]2)C1 ZINC000572884899 417462490 /nfs/dbraw/zinc/46/24/90/417462490.db2.gz ZZWKHLJDVYIOHW-OAHLLOKOSA-N 0 2 302.334 1.140 20 0 DCADLN COCC[N@@H+](C)C[C@@H](O)C[NH+]1CC(COc2ccccc2)C1 ZINC000575935271 417566169 /nfs/dbraw/zinc/56/61/69/417566169.db2.gz MBUSLVSZRNPUSC-MRXNPFEDSA-N 0 2 308.422 0.936 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(F)c(C(N)=O)c2)cnn1C ZINC000644614908 417631231 /nfs/dbraw/zinc/63/12/31/417631231.db2.gz XJPPXZKISPRSBZ-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN CC1(NC(=O)C(=O)N=c2nc(-c3ccccc3)[nH]s2)COC1 ZINC000635327454 417638878 /nfs/dbraw/zinc/63/88/78/417638878.db2.gz WTYUYLPZZOOGAD-UHFFFAOYSA-N 0 2 318.358 0.471 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2C[C@@H]3COC[C@@H]3C2)s[nH]1 ZINC000635489334 417677087 /nfs/dbraw/zinc/67/70/87/417677087.db2.gz UMZAOOGFOLIQKG-DTORHVGOSA-N 0 2 324.406 0.301 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@@H+]([C@H]3CCN(C4CCC4)C3=O)C2)n[nH]1 ZINC000639644765 417708059 /nfs/dbraw/zinc/70/80/59/417708059.db2.gz ASHPECBPTOBWGM-PWSUYJOCSA-N 0 2 305.382 0.843 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@H+]([C@H]3CCN(C4CCC4)C3=O)C2)n[nH]1 ZINC000639644765 417708063 /nfs/dbraw/zinc/70/80/63/417708063.db2.gz ASHPECBPTOBWGM-PWSUYJOCSA-N 0 2 305.382 0.843 20 0 DCADLN CC(=O)NCC(C)(C)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000651997475 417830801 /nfs/dbraw/zinc/83/08/01/417830801.db2.gz FYJWPGUHCWMGKH-JTQLQIEISA-N 0 2 309.370 0.379 20 0 DCADLN CCN(C(=O)C(=O)N=c1ccc(C2CC2)n[nH]1)[C@@H]1CCCOC1 ZINC000652026242 417837564 /nfs/dbraw/zinc/83/75/64/417837564.db2.gz HMUVKEUEEVAWEK-GFCCVEGCSA-N 0 2 318.377 0.742 20 0 DCADLN CCNC(=O)c1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000629285126 417772181 /nfs/dbraw/zinc/77/21/81/417772181.db2.gz QBSPOVUPXXLRQM-UHFFFAOYSA-N 0 2 309.351 0.366 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCCN(c2ccccc2)C1=O ZINC000645692379 417778005 /nfs/dbraw/zinc/77/80/05/417778005.db2.gz JSRLYOLCURIIRO-LLVKDONJSA-N 0 2 315.333 0.570 20 0 DCADLN Cc1ccoc1CN(C)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651820056 417790842 /nfs/dbraw/zinc/79/08/42/417790842.db2.gz VOCDHKMNIFJVEJ-UHFFFAOYSA-N 0 2 305.338 0.779 20 0 DCADLN CO[C@](C)([C@H](C)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CC1 ZINC000651850884 417799560 /nfs/dbraw/zinc/79/95/60/417799560.db2.gz WGASFSCFIGXINV-ZUZCIYMTSA-N 0 2 323.397 0.539 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cc(F)ccc2C)[nH]1 ZINC000657105071 417811490 /nfs/dbraw/zinc/81/14/90/417811490.db2.gz YQRJQHRSCGSFQW-UHFFFAOYSA-N 0 2 314.342 0.877 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(OC)cc2)[nH]1 ZINC000657105073 417812407 /nfs/dbraw/zinc/81/24/07/417812407.db2.gz YUIMRPHJFRGCGN-UHFFFAOYSA-N 0 2 312.351 0.438 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@H](OC)C(C)C)[nH]1 ZINC000657104793 417813465 /nfs/dbraw/zinc/81/34/65/417813465.db2.gz PLDRIIOKJXGUPH-VIFPVBQESA-N 0 2 306.388 0.042 20 0 DCADLN Cn1c(CNC(=O)c2ccc(O[C@H]3CCOC3)cc2)n[nH]c1=O ZINC000640651157 417893386 /nfs/dbraw/zinc/89/33/86/417893386.db2.gz YNGXNMZOQDTUHP-LBPRGKRZSA-N 0 2 318.333 0.618 20 0 DCADLN CCCCNc1cc(N2C[C@@H](C)O[C@@H](C(=O)[O-])C2)nc(N)[nH+]1 ZINC000652536588 417928598 /nfs/dbraw/zinc/92/85/98/417928598.db2.gz BTBBQHJTAYSEPP-NXEZZACHSA-N 0 2 309.370 0.779 20 0 DCADLN CCCCNc1cc(N2C[C@@H](C)O[C@@H](C(=O)[O-])C2)[nH+]c(N)n1 ZINC000652536588 417928605 /nfs/dbraw/zinc/92/86/05/417928605.db2.gz BTBBQHJTAYSEPP-NXEZZACHSA-N 0 2 309.370 0.779 20 0 DCADLN O=C([O-])[C@H]1CN(c2nc(NCCO)c3ccccc3[nH+]2)CCO1 ZINC000662882660 417937003 /nfs/dbraw/zinc/93/70/03/417937003.db2.gz FVWRZYYQVFMFDS-GFCCVEGCSA-N 0 2 318.333 0.324 20 0 DCADLN CCCCNc1cc(N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)nc(N)[nH+]1 ZINC000662885534 417939119 /nfs/dbraw/zinc/93/91/19/417939119.db2.gz GYVAWXYGNIVBKO-MEBBXXQBSA-N 0 2 321.381 0.808 20 0 DCADLN CCCCNc1cc(N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)[nH+]c(N)n1 ZINC000662885534 417939123 /nfs/dbraw/zinc/93/91/23/417939123.db2.gz GYVAWXYGNIVBKO-MEBBXXQBSA-N 0 2 321.381 0.808 20 0 DCADLN Cc1cc(N[C@@H]2C[C@H](C(=O)[O-])C[C@@H]2O)nc(-c2ccncc2)[nH+]1 ZINC000662894655 417941094 /nfs/dbraw/zinc/94/10/94/417941094.db2.gz BQARHOJEDPJCPP-XQQFMLRXSA-N 0 2 314.345 0.905 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000663026526 417979529 /nfs/dbraw/zinc/97/95/29/417979529.db2.gz IILPJKFLGHHCFZ-AWEZNQCLSA-N 0 2 315.329 0.857 20 0 DCADLN CN(Cc1c[nH+]c[nH]1)C(=O)C(=O)N1CCC(CCC(=O)[O-])CC1 ZINC000662913677 417948822 /nfs/dbraw/zinc/94/88/22/417948822.db2.gz ANHYFRDBIKOUSQ-UHFFFAOYSA-N 0 2 322.365 0.472 20 0 DCADLN CN(Cc1c[nH]c[nH+]1)C(=O)C(=O)N1CCC(CCC(=O)[O-])CC1 ZINC000662913677 417948826 /nfs/dbraw/zinc/94/88/26/417948826.db2.gz ANHYFRDBIKOUSQ-UHFFFAOYSA-N 0 2 322.365 0.472 20 0 DCADLN O=C([O-])c1ccc(N[C@H]2CCN(C3CCOCC3)C2=O)[nH+]c1 ZINC000647451284 418010731 /nfs/dbraw/zinc/01/07/31/418010731.db2.gz SPTATNIQHCQRKW-LBPRGKRZSA-N 0 2 305.334 0.972 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNc1[nH+]cccc1C(=O)[O-] ZINC000647452072 418011814 /nfs/dbraw/zinc/01/18/14/418011814.db2.gz QMTGWJDYIJAASG-GXSJLCMTSA-N 0 2 313.379 0.910 20 0 DCADLN CCCN(C(=O)C(=O)N(C)Cc1c[nH]c[nH+]1)[C@H]1CC[N@H+](C)C1 ZINC000658770096 418017934 /nfs/dbraw/zinc/01/79/34/418017934.db2.gz FDCOTXJNZXHVDA-ZDUSSCGKSA-N 0 2 307.398 0.311 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cnn(C)c2)coc1C(=O)N(C)C ZINC000647124136 417991405 /nfs/dbraw/zinc/99/14/05/417991405.db2.gz QSUOBRLGHBQVRL-UHFFFAOYSA-N 0 2 312.351 0.824 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cc(C)n(C)n1)C1CCOCC1 ZINC000647129203 417991413 /nfs/dbraw/zinc/99/14/13/417991413.db2.gz JNAQSRNXZPKDBV-GFCCVEGCSA-N 0 2 317.411 0.912 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+](Cc3ccccn3)CC2)CCC1 ZINC000663115289 417991962 /nfs/dbraw/zinc/99/19/62/417991962.db2.gz RUOYDMCEHOCTNB-UHFFFAOYSA-N 0 2 303.362 0.981 20 0 DCADLN O=C([O-])[C@@]1(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCOC1 ZINC000663131417 417994550 /nfs/dbraw/zinc/99/45/50/417994550.db2.gz RACMGGARWKWFBV-CQSZACIVSA-N 0 2 302.290 0.241 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)NCC1(C(=O)[O-])CCC1 ZINC000659070087 418053852 /nfs/dbraw/zinc/05/38/52/418053852.db2.gz FMQMMTVDQLUZIR-UHFFFAOYSA-N 0 2 307.306 0.400 20 0 DCADLN CCOc1nc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)co1 ZINC000648893516 418171047 /nfs/dbraw/zinc/17/10/47/418171047.db2.gz AADJUYALAOKXMG-QMMMGPOBSA-N 0 2 307.310 0.917 20 0 DCADLN O=C(c1cn2c(n1)CCC2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893066 418171599 /nfs/dbraw/zinc/17/15/99/418171599.db2.gz HBIRDNRTTCKVRQ-VIFPVBQESA-N 0 2 302.338 0.673 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(c2cc(-n3cc[nH+]c3)ncn2)C1 ZINC000649402514 418251042 /nfs/dbraw/zinc/25/10/42/418251042.db2.gz SLJCVXMHCDHHAM-AWEZNQCLSA-N 0 2 303.322 0.590 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(c2cc(-n3cc[nH+]c3)ncn2)C1 ZINC000649407519 418251365 /nfs/dbraw/zinc/25/13/65/418251365.db2.gz VDAMKHDAPDKPFI-HNNXBMFYSA-N 0 2 317.349 0.980 20 0 DCADLN Cn1[n-]c(C(=O)N2CC[NH+](Cc3ccncc3)CC2)cc1=O ZINC000665512231 418214466 /nfs/dbraw/zinc/21/44/66/418214466.db2.gz BFHNHBGRSSHNBF-UHFFFAOYSA-N 0 2 301.350 0.479 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)COc2ccc(C)c(C)c2)[nH]n1 ZINC000650814692 418326664 /nfs/dbraw/zinc/32/66/64/418326664.db2.gz XZCHDEZTIJPCCP-UHFFFAOYSA-N 0 2 314.345 0.892 20 0 DCADLN C[C@@H](CNC(=O)NCc1[nH+]ccn1CC(F)(F)F)C(=O)[O-] ZINC000655864987 418294384 /nfs/dbraw/zinc/29/43/84/418294384.db2.gz CCAFLAOSXSVLCI-ZETCQYMHSA-N 0 2 308.260 0.965 20 0 DCADLN CCCC[N@H+](C)CCNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000660848786 418294858 /nfs/dbraw/zinc/29/48/58/418294858.db2.gz ARBOHIPIEKJSKP-HNNXBMFYSA-N 0 2 312.458 0.834 20 0 DCADLN CC(C)[C@@H](CNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C(=O)[O-] ZINC000655946834 418306400 /nfs/dbraw/zinc/30/64/00/418306400.db2.gz HMUYBNOWWZEBSE-LLVKDONJSA-N 0 2 318.333 0.746 20 0 DCADLN C[C@H](CNC(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000656001881 418315073 /nfs/dbraw/zinc/31/50/73/418315073.db2.gz OQEVKBUBPALRFG-QJPTWQEYSA-N 0 2 322.365 0.130 20 0 DCADLN C[C@@H](CNC(=O)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1)C(=O)[O-] ZINC000656005698 418316341 /nfs/dbraw/zinc/31/63/41/418316341.db2.gz KBVVQQBXGJFLMC-UWVGGRQHSA-N 0 2 308.338 0.300 20 0 DCADLN C[C@]1(C[C@H]2CCCN2c2cc[nH+]c(C(=O)[O-])c2)NC(=O)NC1=O ZINC000650770958 418323899 /nfs/dbraw/zinc/32/38/99/418323899.db2.gz IWUXKWXCRSRNSA-MEBBXXQBSA-N 0 2 318.333 0.737 20 0 DCADLN O=C([O-])CCN(C(=O)[C@@H]1CCc2[nH+]ccn2C1)C1CCOCC1 ZINC000655540881 418273838 /nfs/dbraw/zinc/27/38/38/418273838.db2.gz PBOABJSWGGWGNC-GFCCVEGCSA-N 0 2 321.377 0.928 20 0 DCADLN O=C([O-])CN(C(=O)[C@@H]1CCc2[nH+]ccn2C1)C1CCOCC1 ZINC000655541016 418274149 /nfs/dbraw/zinc/27/41/49/418274149.db2.gz SPWYSJLYYXUGFB-LLVKDONJSA-N 0 2 307.350 0.538 20 0 DCADLN O=C([O-])C1(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)CCOCC1 ZINC000655547353 418274194 /nfs/dbraw/zinc/27/41/94/418274194.db2.gz MALJTEBWMCDMJH-LLVKDONJSA-N 0 2 307.350 0.443 20 0 DCADLN CCn1ccc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)n1 ZINC000656634739 418367820 /nfs/dbraw/zinc/36/78/20/418367820.db2.gz DZOMEXKXPBUYGA-UHFFFAOYSA-N 0 2 320.374 0.990 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H]2CCCC(F)(F)C2)[nH]1 ZINC000651628180 418398556 /nfs/dbraw/zinc/39/85/56/418398556.db2.gz CMORPVXPAFSKGM-SECBINFHSA-N 0 2 315.324 0.914 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1n[nH]c(C)c1C)[C@@H]1CCOC1 ZINC000656730095 418374506 /nfs/dbraw/zinc/37/45/06/418374506.db2.gz FGNPQMFZHKENPS-GHMZBOCLSA-N 0 2 303.384 0.820 20 0 DCADLN COC(C)(C)C[C@H](C)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651588970 418394897 /nfs/dbraw/zinc/39/48/97/418394897.db2.gz LQOFBJYAXMGHEZ-JTQLQIEISA-N 0 2 311.386 0.539 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@]2(C)CCCC[C@H]2O)[nH]1 ZINC000651599681 418396284 /nfs/dbraw/zinc/39/62/84/418396284.db2.gz YJVFIFPYFCXCBD-ABAIWWIYSA-N 0 2 323.397 0.277 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C3CC3)C2)[nH]1 ZINC000651762712 418408635 /nfs/dbraw/zinc/40/86/35/418408635.db2.gz LILZDKHKELCWQW-ZYHUDNBSSA-N 0 2 321.381 0.245 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCCC[C@H]2CCO)[nH]1 ZINC000651778227 418409775 /nfs/dbraw/zinc/40/97/75/418409775.db2.gz PVDCFJBKQRATML-LBPRGKRZSA-N 0 2 323.397 0.373 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@H](OC(F)F)C2)[nH]1 ZINC000651776447 418410099 /nfs/dbraw/zinc/41/00/99/418410099.db2.gz LXYHHGJTMMTFGY-QMMMGPOBSA-N 0 2 317.296 0.060 20 0 DCADLN CO[C@@H]1[C@H](C)[C@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651654089 418400975 /nfs/dbraw/zinc/40/09/75/418400975.db2.gz BMVNKSBYVIPZQL-OUAUKWLOSA-N 0 2 323.397 0.395 20 0 DCADLN COC(=O)c1cnn(C)c1NS(=O)(=O)c1ccc(C)nc1 ZINC000451065829 287455383 /nfs/dbraw/zinc/45/53/83/287455383.db2.gz VRILWMPSDVAINS-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COc1ccc(/C=C/C(=O)NS(=O)(=O)c2cnn(C)c2)nc1 ZINC000492511202 287577505 /nfs/dbraw/zinc/57/75/05/287577505.db2.gz XJBPJACGFXABAG-GQCTYLIASA-N 0 2 322.346 0.342 20 0 DCADLN CC(C)(C)OC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC000495511746 287590614 /nfs/dbraw/zinc/59/06/14/287590614.db2.gz HYWAUAHPMZVAIL-VIFPVBQESA-N 0 2 313.358 0.236 20 0 DCADLN C/C=C\C[C@@H](CO)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000354200289 261189161 /nfs/dbraw/zinc/18/91/61/261189161.db2.gz SSUZSKLEVGNAJQ-TYRPZCRBSA-N 0 2 318.333 0.801 20 0 DCADLN CCCn1c(=O)[nH]nc1S(=O)(=O)Cc1n[nH]c(C2CC2)n1 ZINC000354218371 261191939 /nfs/dbraw/zinc/19/19/39/261191939.db2.gz LPTUEBXFRXOOFY-UHFFFAOYSA-N 0 2 312.355 0.363 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc2ccccc2c(=O)o1 ZINC000354336166 261205603 /nfs/dbraw/zinc/20/56/03/261205603.db2.gz MCRPXEPKDXKDRD-UHFFFAOYSA-N 0 2 314.301 0.979 20 0 DCADLN O=C(NC[C@H]1COCCO1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354412300 261215518 /nfs/dbraw/zinc/21/55/18/261215518.db2.gz KIVDHLDHLICXKG-LBPRGKRZSA-N 0 2 321.308 0.862 20 0 DCADLN C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)n1ccc2ccccc2c1=O ZINC000354512749 261230118 /nfs/dbraw/zinc/23/01/18/261230118.db2.gz MYXZUCKVYVBLEG-SECBINFHSA-N 0 2 313.317 0.703 20 0 DCADLN Cn1nc(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)ccc1=O ZINC000355812692 261368971 /nfs/dbraw/zinc/36/89/71/261368971.db2.gz OWTPFBSGJNAPBZ-UHFFFAOYSA-N 0 2 312.289 0.523 20 0 DCADLN O=C1NC(=O)c2cc(S(=O)(=O)Nc3cncnc3)ccc21 ZINC000362211508 262010433 /nfs/dbraw/zinc/01/04/33/262010433.db2.gz ADPINLOVIVQDGK-UHFFFAOYSA-N 0 2 304.287 0.161 20 0 DCADLN Cn1c2ccc(S(=O)(=O)Nc3cncnc3)cc2oc1=O ZINC000362220825 262011645 /nfs/dbraw/zinc/01/16/45/262011645.db2.gz ZFUQCMCOYCZSSK-UHFFFAOYSA-N 0 2 306.303 0.722 20 0 DCADLN COC(=O)COc1ccc(S(=O)(=O)Nc2cncnc2)cc1 ZINC000362220099 262011790 /nfs/dbraw/zinc/01/17/90/262011790.db2.gz WTWLTPSLZVBSPE-UHFFFAOYSA-N 0 2 323.330 0.829 20 0 DCADLN C[C@H](C[S@@](C)=O)N(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000362405402 262030493 /nfs/dbraw/zinc/03/04/93/262030493.db2.gz WVUZZTXHACKVJY-PVBMHHQDSA-N 0 2 323.374 0.869 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2C[C@]23CCOC3)s1 ZINC000363795678 262131198 /nfs/dbraw/zinc/13/11/98/262131198.db2.gz HIVAAPPABKCGCL-LDWIPMOCSA-N 0 2 318.380 0.275 20 0 DCADLN CCOc1ccccc1OCC[NH+]1CCN(C(=O)C(=O)[O-])CC1 ZINC000396698188 262163640 /nfs/dbraw/zinc/16/36/40/262163640.db2.gz XQAGOIPGKLEZLQ-UHFFFAOYSA-N 0 2 322.361 0.693 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1CCN(CC(F)F)C1 ZINC000416665671 262237972 /nfs/dbraw/zinc/23/79/72/262237972.db2.gz CXYMTQKXEJKNEM-ZCFIWIBFSA-N 0 2 311.276 0.312 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cccc(NC(=O)CO)c2)cnn1C ZINC000427213643 262564163 /nfs/dbraw/zinc/56/41/63/262564163.db2.gz SHIUXRJRODENGX-UHFFFAOYSA-N 0 2 324.362 0.460 20 0 DCADLN CCN(CCC(=O)OC)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355621308 271016432 /nfs/dbraw/zinc/01/64/32/271016432.db2.gz QMVYRNLJOKEGJN-UHFFFAOYSA-N 0 2 306.322 0.699 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N(C)[C@@H]3COC[C@@H]3O)c[nH]c12 ZINC000359657240 271138667 /nfs/dbraw/zinc/13/86/67/271138667.db2.gz JQGYFFRVIHDVOK-OLZOCXBDSA-N 0 2 302.330 0.668 20 0 DCADLN CC(C)(C)c1nsc(NCC2CN(S(C)(=O)=O)C2)n1 ZINC000490029114 272069179 /nfs/dbraw/zinc/06/91/79/272069179.db2.gz FNEAJYOUBLGNTM-UHFFFAOYSA-N 0 2 304.441 0.561 20 0 DCADLN CC(C)(C)c1nc(=NCC2CN(S(C)(=O)=O)C2)s[nH]1 ZINC000490029114 272069181 /nfs/dbraw/zinc/06/91/81/272069181.db2.gz FNEAJYOUBLGNTM-UHFFFAOYSA-N 0 2 304.441 0.561 20 0 DCADLN COc1cccc(/C=C\C(=O)NS(=O)(=O)c2ccnn2C)c1 ZINC000492562582 272135189 /nfs/dbraw/zinc/13/51/89/272135189.db2.gz HFKIFZSXFXMTMC-SREVYHEPSA-N 0 2 321.358 0.947 20 0 DCADLN COC(=O)N[C@@H](C)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000495825553 272275258 /nfs/dbraw/zinc/27/52/58/272275258.db2.gz RDNZWXGSEFOGCP-ZETCQYMHSA-N 0 2 305.294 0.860 20 0 DCADLN CCOC(=O)NCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000495826355 272275311 /nfs/dbraw/zinc/27/53/11/272275311.db2.gz VXXACHMSRPVEMQ-UHFFFAOYSA-N 0 2 305.294 0.862 20 0 DCADLN CNC(=O)Oc1cccc(NS(=O)(=O)c2cnn(C)c2)c1 ZINC000496959660 272357492 /nfs/dbraw/zinc/35/74/92/272357492.db2.gz GMIKCTQRGUAYPO-UHFFFAOYSA-N 0 2 310.335 0.939 20 0 DCADLN Cc1cc(CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)n(C)n1 ZINC000519698781 272507699 /nfs/dbraw/zinc/50/76/99/272507699.db2.gz AWABAGYSAFFJAO-UHFFFAOYSA-N 0 2 304.354 0.501 20 0 DCADLN O=C(NC[C@@H](O)[C@H]1CCOC1)c1cc(F)c(F)c(O)c1F ZINC000544557833 287977598 /nfs/dbraw/zinc/97/75/98/287977598.db2.gz GEBFJROBEHMFNA-IMTBSYHQSA-N 0 2 305.252 0.937 20 0 DCADLN C[C@H](CNC(=O)C(=O)NCCCCn1cc[nH+]c1)[N@H+](C)C1CC1 ZINC000551626480 288231214 /nfs/dbraw/zinc/23/12/14/288231214.db2.gz HXCALKJKDIEUST-CYBMUJFWSA-N 0 2 321.425 0.378 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(C(=O)[O-])ccc2Cl)[C@H](CO)C1 ZINC001650504943 1173663028 /nfs/dbraw/zinc/66/30/28/1173663028.db2.gz BCLUTYIZNZIQAV-JTQLQIEISA-N 0 2 312.753 0.787 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N(C)C[C@@H](C)C(=O)[O-])[nH+]cn2)C1 ZINC000582847376 337209918 /nfs/dbraw/zinc/20/99/18/337209918.db2.gz MCGCXYMELDMTJN-UTUOFQBUSA-N 0 2 308.382 0.841 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N(C)C[C@@H](C)C(=O)[O-])nc[nH+]2)C1 ZINC000582847376 337209919 /nfs/dbraw/zinc/20/99/19/337209919.db2.gz MCGCXYMELDMTJN-UTUOFQBUSA-N 0 2 308.382 0.841 20 0 DCADLN C[C@@](O)(CNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1)C(=O)[O-] ZINC000584616970 337373031 /nfs/dbraw/zinc/37/30/31/337373031.db2.gz RTBCKDUXGAOHJG-QMTHXVAHSA-N 0 2 310.354 0.075 20 0 DCADLN C[C@@](O)(CNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1)C(=O)[O-] ZINC000584616970 337373032 /nfs/dbraw/zinc/37/30/32/337373032.db2.gz RTBCKDUXGAOHJG-QMTHXVAHSA-N 0 2 310.354 0.075 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1)C1CC1 ZINC001604206340 1159788456 /nfs/dbraw/zinc/78/84/56/1159788456.db2.gz HAJRJVGBZJNSPS-NSHDSACASA-N 0 2 304.412 0.455 20 0 DCADLN CCN(CCOC)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266836874 521132164 /nfs/dbraw/zinc/13/21/64/521132164.db2.gz NYROVEYDRUTQRA-UHFFFAOYSA-N 0 2 306.322 0.853 20 0 DCADLN CCNC(=O)[C@@H](C)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000267817073 521743700 /nfs/dbraw/zinc/74/37/00/521743700.db2.gz HAPLPMNSTZFNAH-SECBINFHSA-N 0 2 320.324 0.971 20 0 DCADLN COCCc1nsc(N[C@@H]2CCCN(c3ccnn3C)C2)n1 ZINC000365651108 522031780 /nfs/dbraw/zinc/03/17/80/522031780.db2.gz AFLDEGTZMRTTII-LLVKDONJSA-N 0 2 322.438 0.963 20 0 DCADLN CO[C@@H]1CCCN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000266837654 523591151 /nfs/dbraw/zinc/59/11/51/523591151.db2.gz NODWMZHSRKWJDF-SECBINFHSA-N 0 2 318.333 0.995 20 0 DCADLN CCc1cc(NS(=O)(=O)c2c[nH]c(C(=O)OC)c2)n(C)n1 ZINC000266315704 523823909 /nfs/dbraw/zinc/82/39/09/523823909.db2.gz GFQHLSZWTPSYOW-UHFFFAOYSA-N 0 2 312.351 0.898 20 0 DCADLN CCCCc1cc(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)n[nH]1 ZINC000285138880 535080523 /nfs/dbraw/zinc/08/05/23/535080523.db2.gz JLRSUJXEJKPGST-VIFPVBQESA-N 0 2 302.400 0.971 20 0 DCADLN CCN1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@@H](C)C1=O ZINC000428732787 535646880 /nfs/dbraw/zinc/64/68/80/535646880.db2.gz BVAXCUXVNHDZPK-VIFPVBQESA-N 0 2 317.349 0.367 20 0 DCADLN CSC[C@H](CCO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342097063 546119952 /nfs/dbraw/zinc/11/99/52/546119952.db2.gz XIIDCXCURUUQKK-QMMMGPOBSA-N 0 2 324.362 0.280 20 0 DCADLN Cc1nc(=NC(=O)NC[C@@](C)(CO)Cc2ccccc2)[nH]n1C ZINC000455514807 546263113 /nfs/dbraw/zinc/26/31/13/546263113.db2.gz LCCCYVFLPUMRBE-INIZCTEOSA-N 0 2 317.393 0.908 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)NC[C@H]1CC[N@@H+]1C1CCCC1 ZINC000637474163 546333345 /nfs/dbraw/zinc/33/33/45/546333345.db2.gz WXTCBCLXUQHIIN-MRXNPFEDSA-N 0 2 324.469 0.977 20 0 DCADLN C[C@@H](NC(=O)NC[C@@H]1CCC[N@@H+]1CCCO)c1[nH+]ccn1C ZINC000667248612 546576650 /nfs/dbraw/zinc/57/66/50/546576650.db2.gz BEJVMFNJEROKGQ-OLZOCXBDSA-N 0 2 309.414 0.627 20 0 DCADLN CNC(=O)[C@@H]1CCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000667805676 546656547 /nfs/dbraw/zinc/65/65/47/546656547.db2.gz WSGNJXSBFNPAMV-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)CCO1 ZINC000667816330 546657730 /nfs/dbraw/zinc/65/77/30/546657730.db2.gz QDCBDYNMYNPVFK-SECBINFHSA-N 0 2 306.322 0.379 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)CCO1 ZINC000667816330 546657735 /nfs/dbraw/zinc/65/77/35/546657735.db2.gz QDCBDYNMYNPVFK-SECBINFHSA-N 0 2 306.322 0.379 20 0 DCADLN CN1C(=O)CC[C@@H]1CC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000667993279 546678855 /nfs/dbraw/zinc/67/88/55/546678855.db2.gz UAAATXSWRJNMSU-LLVKDONJSA-N 0 2 316.317 0.979 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1ccc2oc(=O)nc-2[n-]1)[NH+]1CCOCC1 ZINC000668318690 546727055 /nfs/dbraw/zinc/72/70/55/546727055.db2.gz SRNHUTKQQVQYRL-VHSXEESVSA-N 0 2 320.349 0.767 20 0 DCADLN Cn1cc[nH+]c1N1CC[NH+](C[C@H]2CNc3ccnn3C2)CC1 ZINC000668793577 546847080 /nfs/dbraw/zinc/84/70/80/546847080.db2.gz GXACCYWONFNZSF-CYBMUJFWSA-N 0 2 301.398 0.481 20 0 DCADLN Cc1cn2cc(NC(=O)N3CCO[C@@H](C(=O)[O-])C3)ccc2[nH+]1 ZINC000669498055 546980615 /nfs/dbraw/zinc/98/06/15/546980615.db2.gz XRMWSXCYUGKPIF-LLVKDONJSA-N 0 2 304.306 0.960 20 0 DCADLN COc1c(C)cc(C)cc1S(=O)(=O)Nc1cc(C(N)=O)n[nH]1 ZINC000669840740 547021754 /nfs/dbraw/zinc/02/17/54/547021754.db2.gz CYHPGAAPCJFHNF-UHFFFAOYSA-N 0 2 324.362 0.935 20 0 DCADLN CCN1CC[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1=O ZINC000670172073 547061473 /nfs/dbraw/zinc/06/14/73/547061473.db2.gz BPQSAWFBIKHSPJ-GFCCVEGCSA-N 0 2 314.345 0.929 20 0 DCADLN CN(CC[NH+](C)C)C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000673286481 547469185 /nfs/dbraw/zinc/46/91/85/547469185.db2.gz UBYNSHZNHUAIIK-OAHLLOKOSA-N 0 2 318.421 0.309 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)CNC(=O)c1cccc(F)c1 ZINC000673479837 547484465 /nfs/dbraw/zinc/48/44/65/547484465.db2.gz XKENUWHKGDBCEH-UHFFFAOYSA-N 0 2 308.269 0.303 20 0 DCADLN COc1cccc(CCC(=O)NCc2n[nH]c(=O)[nH]2)c1OC ZINC000673918967 547538259 /nfs/dbraw/zinc/53/82/59/547538259.db2.gz JOMMFPPGBBMNIF-UHFFFAOYSA-N 0 2 306.322 0.777 20 0 DCADLN CCC[C@@H](C)NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000674485828 547598209 /nfs/dbraw/zinc/59/82/09/547598209.db2.gz BFWCFIHALFJTKS-SSDOTTSWSA-N 0 2 312.351 0.903 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnn(C[C@@H]2CCCO2)c1 ZINC000675569375 547693161 /nfs/dbraw/zinc/69/31/61/547693161.db2.gz PSCSFRKCQYONEM-VIFPVBQESA-N 0 2 307.314 0.208 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)c1O ZINC000676630017 547797148 /nfs/dbraw/zinc/79/71/48/547797148.db2.gz PFFGXKWHTVVTOM-LLVKDONJSA-N 0 2 322.365 0.135 20 0 DCADLN Cc1[nH]nc(C(=O)N([C@H](C)CS(C)(=O)=O)C2CC2)c1O ZINC000676708159 547806194 /nfs/dbraw/zinc/80/61/94/547806194.db2.gz VHXVRCLWFNTUAV-SSDOTTSWSA-N 0 2 301.368 0.461 20 0 DCADLN CC[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1n[nH]c(C)c1O ZINC000676706846 547806589 /nfs/dbraw/zinc/80/65/89/547806589.db2.gz DLTDMVPLVCDJPX-CBAPKCEASA-N 0 2 312.395 0.854 20 0 DCADLN Cc1[nH]nc(C(=O)N2CSC[C@H]2C(=O)NC(C)(C)C)c1O ZINC000676706820 547806846 /nfs/dbraw/zinc/80/68/46/547806846.db2.gz AIPREALSLIPQAS-QMMMGPOBSA-N 0 2 312.395 0.854 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCC[C@H](CCO)C1 ZINC000677450174 547878061 /nfs/dbraw/zinc/87/80/61/547878061.db2.gz QLUXWIQVICZRQS-SECBINFHSA-N 0 2 318.333 0.671 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cnn(Cc2ccccc2)c1 ZINC000682832901 548429879 /nfs/dbraw/zinc/42/98/79/548429879.db2.gz OOOQLWKRWNKZID-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN C[C@@H](Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C1CCCC1 ZINC000736136156 598870408 /nfs/dbraw/zinc/87/04/08/598870408.db2.gz DIUQVHZLXSOCQS-MRVPVSSYSA-N 0 2 319.369 0.255 20 0 DCADLN O=C([O-])[C@@H]1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000262082885 596900662 /nfs/dbraw/zinc/90/06/62/596900662.db2.gz FOOSJVVDIFYTMI-NWDGAFQWSA-N 0 2 306.366 0.596 20 0 DCADLN O=C([O-])[C@@H]1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000262082885 596900664 /nfs/dbraw/zinc/90/06/64/596900664.db2.gz FOOSJVVDIFYTMI-NWDGAFQWSA-N 0 2 306.366 0.596 20 0 DCADLN O=C([O-])CSCC(=O)N[C@@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000819539605 597184432 /nfs/dbraw/zinc/18/44/32/597184432.db2.gz AZKVTDODUHUVFQ-VXGBXAGGSA-N 0 2 316.423 0.564 20 0 DCADLN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)[N@H+](C)CC(=O)[O-] ZINC000819883395 598044017 /nfs/dbraw/zinc/04/40/17/598044017.db2.gz UYIKADNFHFVFQB-GFCCVEGCSA-N 0 2 306.366 0.135 20 0 DCADLN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)[N@@H+](C)CC(=O)[O-] ZINC000819883395 598044021 /nfs/dbraw/zinc/04/40/21/598044021.db2.gz UYIKADNFHFVFQB-GFCCVEGCSA-N 0 2 306.366 0.135 20 0 DCADLN COc1ccc([C@H]([NH2+]CC(=O)NC2CCOCC2)C(=O)[O-])cc1 ZINC000737974817 599863418 /nfs/dbraw/zinc/86/34/18/599863418.db2.gz WSPPWDXGSNBBGX-HNNXBMFYSA-N 0 2 322.361 0.706 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCCC(=O)[O-])C1 ZINC000736237712 599992290 /nfs/dbraw/zinc/99/22/90/599992290.db2.gz BDRANGAZNYGYLF-LLVKDONJSA-N 0 2 313.398 0.204 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCCC(=O)[O-])C1 ZINC000736237712 599992292 /nfs/dbraw/zinc/99/22/92/599992292.db2.gz BDRANGAZNYGYLF-LLVKDONJSA-N 0 2 313.398 0.204 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NC2CCC(C(=O)[O-])CC2)CCO1 ZINC000736811438 600021738 /nfs/dbraw/zinc/02/17/38/600021738.db2.gz QUJRLUQRSXABHT-PNESKVBLSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NC2CCC(C(=O)[O-])CC2)CCO1 ZINC000736811438 600021741 /nfs/dbraw/zinc/02/17/41/600021741.db2.gz QUJRLUQRSXABHT-PNESKVBLSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000311748584 600060366 /nfs/dbraw/zinc/06/03/66/600060366.db2.gz CLXODUBJBHWDJG-SOUVJXGZSA-N 0 2 318.373 0.724 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000311748584 600060368 /nfs/dbraw/zinc/06/03/68/600060368.db2.gz CLXODUBJBHWDJG-SOUVJXGZSA-N 0 2 318.373 0.724 20 0 DCADLN O=C(C[N@@H+]1CCC[C@@H]1C(=O)[O-])NC1CCC2(CC1)OCCO2 ZINC000739283526 600392753 /nfs/dbraw/zinc/39/27/53/600392753.db2.gz NLRBNHQFPZJURL-GFCCVEGCSA-N 0 2 312.366 0.337 20 0 DCADLN O=C(C[N@H+]1CCC[C@@H]1C(=O)[O-])NC1CCC2(CC1)OCCO2 ZINC000739283526 600392751 /nfs/dbraw/zinc/39/27/51/600392751.db2.gz NLRBNHQFPZJURL-GFCCVEGCSA-N 0 2 312.366 0.337 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(CC(=O)[O-])cc1 ZINC000315518418 600647970 /nfs/dbraw/zinc/64/79/70/600647970.db2.gz HUYXGYXIBZGWCW-LLVKDONJSA-N 0 2 323.374 0.877 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H]1CCC[C@@H](C(=O)[O-])C1 ZINC000736580977 600668702 /nfs/dbraw/zinc/66/87/02/600668702.db2.gz GRLJTIYLWCIWOJ-HZSPNIEDSA-N 0 2 311.426 0.630 20 0 DCADLN CC(C)C[C@@H](NC(=O)COC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000826794116 600681262 /nfs/dbraw/zinc/68/12/62/600681262.db2.gz VFZWXCFXMIEWDI-NEPJUHHUSA-N 0 2 314.382 0.629 20 0 DCADLN CC(C)C[C@@H](NC(=O)COC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000826794116 600681263 /nfs/dbraw/zinc/68/12/63/600681263.db2.gz VFZWXCFXMIEWDI-NEPJUHHUSA-N 0 2 314.382 0.629 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)C1 ZINC000819248812 600703655 /nfs/dbraw/zinc/70/36/55/600703655.db2.gz YGPCLNXSWLERFC-ZDUSSCGKSA-N 0 2 318.377 0.137 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)N1CCC(C(=O)[O-])CC1 ZINC000737518033 600758724 /nfs/dbraw/zinc/75/87/24/600758724.db2.gz MZGHAKZRWQPTCY-GFCCVEGCSA-N 0 2 312.366 0.337 20 0 DCADLN C[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)N1CCC(C(=O)[O-])CC1 ZINC000737518033 600758725 /nfs/dbraw/zinc/75/87/25/600758725.db2.gz MZGHAKZRWQPTCY-GFCCVEGCSA-N 0 2 312.366 0.337 20 0 DCADLN CN(CCC(=O)[O-])S(=O)(=O)NC[C@H](c1ccco1)[NH+](C)C ZINC000830584853 600991108 /nfs/dbraw/zinc/99/11/08/600991108.db2.gz DQVOZCDPTPFLSL-SNVBAGLBSA-N 0 2 319.383 0.123 20 0 DCADLN C[S@](=O)CC[NH+]1CCN([C@@H](C(=O)[O-])c2ccccc2)CC1 ZINC000832730150 601027638 /nfs/dbraw/zinc/02/76/38/601027638.db2.gz NMCSIAQIGPARCS-SZNDQCEHSA-N 0 2 310.419 0.808 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(C(=O)[O-])cn2)C[C@H]1[NH+]1CCOCC1 ZINC000736805166 601109187 /nfs/dbraw/zinc/10/91/87/601109187.db2.gz APBKJTQKHRVHHK-SMDDNHRTSA-N 0 2 319.361 0.573 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)[N@H+]1C[C@@H](O)[C@@H](O)C1 ZINC000832917524 601155617 /nfs/dbraw/zinc/15/56/17/601155617.db2.gz PNLVMMPHCLEHQD-TVYUQYBPSA-N 0 2 308.334 0.058 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)[N@@H+]1C[C@@H](O)[C@@H](O)C1 ZINC000832917524 601155619 /nfs/dbraw/zinc/15/56/19/601155619.db2.gz PNLVMMPHCLEHQD-TVYUQYBPSA-N 0 2 308.334 0.058 20 0 DCADLN C[NH+](C)Cc1ccnc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)c1 ZINC000830623561 601201779 /nfs/dbraw/zinc/20/17/79/601201779.db2.gz CYGDKKUMPBNLLO-UHFFFAOYSA-N 0 2 324.362 0.970 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccnc(C(=O)[O-])c2)C[C@@H]1[NH+]1CCOCC1 ZINC000828400745 601292234 /nfs/dbraw/zinc/29/22/34/601292234.db2.gz ATXMKJQASFDWBP-RISCZKNCSA-N 0 2 319.361 0.573 20 0 DCADLN CC(C)CNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000826891132 601438580 /nfs/dbraw/zinc/43/85/80/601438580.db2.gz IAHIHDRVPFIXSR-TVQRCGJNSA-N 0 2 303.334 0.355 20 0 DCADLN CC(C)CNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000826891132 601438583 /nfs/dbraw/zinc/43/85/83/601438583.db2.gz IAHIHDRVPFIXSR-TVQRCGJNSA-N 0 2 303.334 0.355 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NCC1(C(=O)[O-])CCCC1 ZINC000828509200 601772828 /nfs/dbraw/zinc/77/28/28/601772828.db2.gz MIEYGTJONSQAPL-GFCCVEGCSA-N 0 2 313.398 0.651 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NCC1(C(=O)[O-])CCCC1 ZINC000828509200 601772830 /nfs/dbraw/zinc/77/28/30/601772830.db2.gz MIEYGTJONSQAPL-GFCCVEGCSA-N 0 2 313.398 0.651 20 0 DCADLN Cc1n[nH]c(C)c1NC(=O)C[N@@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000091132115 601891271 /nfs/dbraw/zinc/89/12/71/601891271.db2.gz HEJJVOMQNLUIBX-SNVBAGLBSA-N 0 2 312.395 0.857 20 0 DCADLN Cc1n[nH]c(C)c1NC(=O)C[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000091132115 601891273 /nfs/dbraw/zinc/89/12/73/601891273.db2.gz HEJJVOMQNLUIBX-SNVBAGLBSA-N 0 2 312.395 0.857 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000829770714 602120663 /nfs/dbraw/zinc/12/06/63/602120663.db2.gz MFRQTRAQRIRMAA-UHFFFAOYSA-N 0 2 323.324 0.627 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)O1 ZINC000825871197 603495003 /nfs/dbraw/zinc/49/50/03/603495003.db2.gz CMUXLRJRTWMNAQ-CHWSQXEVSA-N 0 2 313.398 0.746 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cc2ccccc2F)[C@@H](CNC(=O)[O-])C1 ZINC000828507042 603508198 /nfs/dbraw/zinc/50/81/98/603508198.db2.gz MJQAHDURVHROCC-LBPRGKRZSA-N 0 2 309.341 0.778 20 0 DCADLN C[N@H+]1CCN(C(=O)Cc2ccccc2F)[C@@H](CNC(=O)[O-])C1 ZINC000828507042 603508199 /nfs/dbraw/zinc/50/81/99/603508199.db2.gz MJQAHDURVHROCC-LBPRGKRZSA-N 0 2 309.341 0.778 20 0 DCADLN Cc1cccc(OCC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000830526702 603509080 /nfs/dbraw/zinc/50/90/80/603509080.db2.gz CNMQPWWSNPUPQH-CYBMUJFWSA-N 0 2 321.377 0.784 20 0 DCADLN Cc1cccc(OCC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000830526702 603509084 /nfs/dbraw/zinc/50/90/84/603509084.db2.gz CNMQPWWSNPUPQH-CYBMUJFWSA-N 0 2 321.377 0.784 20 0 DCADLN Cc1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])c(=O)[nH]1 ZINC000830199446 603514318 /nfs/dbraw/zinc/51/43/18/603514318.db2.gz YJTPIUQFPZQBJW-SNVBAGLBSA-N 0 2 308.338 0.119 20 0 DCADLN Cc1ccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])c(=O)[nH]1 ZINC000830199446 603514323 /nfs/dbraw/zinc/51/43/23/603514323.db2.gz YJTPIUQFPZQBJW-SNVBAGLBSA-N 0 2 308.338 0.119 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000831271464 603559289 /nfs/dbraw/zinc/55/92/89/603559289.db2.gz CPPHLWBTTXLKJC-CMPLNLGQSA-N 0 2 308.338 0.229 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000831271464 603559295 /nfs/dbraw/zinc/55/92/95/603559295.db2.gz CPPHLWBTTXLKJC-CMPLNLGQSA-N 0 2 308.338 0.229 20 0 DCADLN CNC(=O)[C@H](C)C[N@H+](C)Cc1nc(C(C)(C)NC(=O)[O-])no1 ZINC000828526217 603730658 /nfs/dbraw/zinc/73/06/58/603730658.db2.gz IXJJVZNQYZBMMY-MRVPVSSYSA-N 0 2 313.358 0.386 20 0 DCADLN CNC(=O)[C@H](C)C[N@@H+](C)Cc1nc(C(C)(C)NC(=O)[O-])no1 ZINC000828526217 603730660 /nfs/dbraw/zinc/73/06/60/603730660.db2.gz IXJJVZNQYZBMMY-MRVPVSSYSA-N 0 2 313.358 0.386 20 0 DCADLN CC(C)(C(=O)NCCc1[nH]cc[nH+]1)N1CCN(C(=O)[O-])CC1 ZINC000823743691 603776700 /nfs/dbraw/zinc/77/67/00/603776700.db2.gz OQFHCJJXPZHQAA-UHFFFAOYSA-N 0 2 309.370 0.143 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+]([C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000827883382 603806986 /nfs/dbraw/zinc/80/69/86/603806986.db2.gz XPEUNZRJUCCMCN-RKDXNWHRSA-N 0 2 309.288 0.834 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+]([C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000827883382 603806987 /nfs/dbraw/zinc/80/69/87/603806987.db2.gz XPEUNZRJUCCMCN-RKDXNWHRSA-N 0 2 309.288 0.834 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)NCCn1cccc1 ZINC000828315926 603870424 /nfs/dbraw/zinc/87/04/24/603870424.db2.gz ISGBCNWOFSXPFE-UHFFFAOYSA-N 0 2 323.397 0.425 20 0 DCADLN CNC(=O)Cc1nc(C[N@@H+]2CCC[C@@H]2CNC(=O)[O-])cs1 ZINC000828547617 604203783 /nfs/dbraw/zinc/20/37/83/604203783.db2.gz UOMSBDOPAGHLBG-SNVBAGLBSA-N 0 2 312.395 0.664 20 0 DCADLN CNC(=O)Cc1nc(C[N@H+]2CCC[C@@H]2CNC(=O)[O-])cs1 ZINC000828547617 604203785 /nfs/dbraw/zinc/20/37/85/604203785.db2.gz UOMSBDOPAGHLBG-SNVBAGLBSA-N 0 2 312.395 0.664 20 0 DCADLN Cc1n[nH]c(C)c1CCC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830673434 604402612 /nfs/dbraw/zinc/40/26/12/604402612.db2.gz URBRDGBREFGOPE-LBPRGKRZSA-N 0 2 323.397 0.369 20 0 DCADLN Cc1n[nH]c(C)c1CCC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000830673434 604402614 /nfs/dbraw/zinc/40/26/14/604402614.db2.gz URBRDGBREFGOPE-LBPRGKRZSA-N 0 2 323.397 0.369 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2CCCc3n[nH]cc32)[C@H](CNC(=O)[O-])C1 ZINC000828496497 604411744 /nfs/dbraw/zinc/41/17/44/604411744.db2.gz XMLDXQVTKGMPKH-MNOVXSKESA-N 0 2 321.381 0.240 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2CCCc3n[nH]cc32)[C@H](CNC(=O)[O-])C1 ZINC000828496497 604411746 /nfs/dbraw/zinc/41/17/46/604411746.db2.gz XMLDXQVTKGMPKH-MNOVXSKESA-N 0 2 321.381 0.240 20 0 DCADLN CC(C)C(=O)NCC[N@H+](C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000833466990 604496048 /nfs/dbraw/zinc/49/60/48/604496048.db2.gz JXWKXFQZQMFUBQ-UHFFFAOYSA-N 0 2 313.398 0.014 20 0 DCADLN CC(C)C(=O)NCC[N@@H+](C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000833466990 604496052 /nfs/dbraw/zinc/49/60/52/604496052.db2.gz JXWKXFQZQMFUBQ-UHFFFAOYSA-N 0 2 313.398 0.014 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CCC[C@@H]1CC(=O)[O-])[NH+]1CCOCC1 ZINC000827653343 604522500 /nfs/dbraw/zinc/52/25/00/604522500.db2.gz NRUHGUHTQRNULA-YNEHKIRRSA-N 0 2 313.398 0.744 20 0 DCADLN O=C([O-])N1CC(NC(=O)NC2CCN(c3cccc[nH+]3)CC2)C1 ZINC000831603550 604572995 /nfs/dbraw/zinc/57/29/95/604572995.db2.gz DXTAIAKYIJILLV-UHFFFAOYSA-N 0 2 319.365 0.712 20 0 DCADLN CC(C)c1nn(C)c(N(C)C)c1C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000820061954 604631835 /nfs/dbraw/zinc/63/18/35/604631835.db2.gz VGGNOVBTGQQBFJ-GFCCVEGCSA-N 0 2 310.398 0.895 20 0 DCADLN CC(C)c1nn(C)c(N(C)C)c1C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000820061954 604631838 /nfs/dbraw/zinc/63/18/38/604631838.db2.gz VGGNOVBTGQQBFJ-GFCCVEGCSA-N 0 2 310.398 0.895 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@@H]1CN(C(=O)CCCn2cc[nH+]c2)CCO1 ZINC000825304272 604701672 /nfs/dbraw/zinc/70/16/72/604701672.db2.gz ZOAXZCFZIIZNFP-NEPJUHHUSA-N 0 2 310.354 0.547 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)CC[N@H+]1C ZINC000761330030 604800584 /nfs/dbraw/zinc/80/05/84/604800584.db2.gz HTHVENDTBSVIDU-BDAKNGLRSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)CC[N@@H+]1C ZINC000761330030 604800592 /nfs/dbraw/zinc/80/05/92/604800592.db2.gz HTHVENDTBSVIDU-BDAKNGLRSA-N 0 2 301.368 0.426 20 0 DCADLN O=C([O-])N1CCOC[C@H]1C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000831726185 604874901 /nfs/dbraw/zinc/87/49/01/604874901.db2.gz XPQVADCKVRGDOL-NSHDSACASA-N 0 2 304.306 0.329 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1Cc2ccccc2O1 ZINC000314801976 604895054 /nfs/dbraw/zinc/89/50/54/604895054.db2.gz SXDVUFUZNRVDNN-AAEUAGOBSA-N 0 2 301.302 0.525 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1Cc2ccccc2O1 ZINC000314801976 604895059 /nfs/dbraw/zinc/89/50/59/604895059.db2.gz SXDVUFUZNRVDNN-AAEUAGOBSA-N 0 2 301.302 0.525 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000831725658 604929722 /nfs/dbraw/zinc/92/97/22/604929722.db2.gz GOXRVQFQJCOYPC-GHMZBOCLSA-N 0 2 304.306 0.064 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)ccn1 ZINC000833295985 604935847 /nfs/dbraw/zinc/93/58/47/604935847.db2.gz IOQWZTFWRPCACK-GFCCVEGCSA-N 0 2 305.334 0.327 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+](C)[C@H](C(=O)[O-])c1ccccc1 ZINC000833657959 604950298 /nfs/dbraw/zinc/95/02/98/604950298.db2.gz WNKIFKTUHYQZRD-JQWIXIFHSA-N 0 2 307.350 0.978 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)[C@H](C(=O)[O-])c1ccccc1 ZINC000833657959 604950300 /nfs/dbraw/zinc/95/03/00/604950300.db2.gz WNKIFKTUHYQZRD-JQWIXIFHSA-N 0 2 307.350 0.978 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[NH+]2CCN(C(=O)N3CCCC3)CC2)O1 ZINC000833581269 605315812 /nfs/dbraw/zinc/31/58/12/605315812.db2.gz VXOARABPLIWRNG-ZDUSSCGKSA-N 0 2 323.393 0.967 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000825815331 605524681 /nfs/dbraw/zinc/52/46/81/605524681.db2.gz BVWUCHLUVQZBLD-WOPDTQHZSA-N 0 2 314.386 0.147 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000825815331 605524684 /nfs/dbraw/zinc/52/46/84/605524684.db2.gz BVWUCHLUVQZBLD-WOPDTQHZSA-N 0 2 314.386 0.147 20 0 DCADLN COCCN(CC(F)F)C(=O)C[NH+]1CCC(NC(=O)[O-])CC1 ZINC000828980140 605689265 /nfs/dbraw/zinc/68/92/65/605689265.db2.gz UUWSICWFVZEWOD-UHFFFAOYSA-N 0 2 323.340 0.459 20 0 DCADLN CC[C@@](C)(CNC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000833842813 605693019 /nfs/dbraw/zinc/69/30/19/605693019.db2.gz ZIACNLCVMLBTLE-HNNXBMFYSA-N 0 2 315.414 0.897 20 0 DCADLN CC[C@@](C)(CNC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000833842813 605693023 /nfs/dbraw/zinc/69/30/23/605693023.db2.gz ZIACNLCVMLBTLE-HNNXBMFYSA-N 0 2 315.414 0.897 20 0 DCADLN CC[C@@](C)(CNC(=O)[O-])C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000833840286 605693147 /nfs/dbraw/zinc/69/31/47/605693147.db2.gz JPPNPPRKHVOUQX-FZMZJTMJSA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@@](C)(CNC(=O)[O-])C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000833840286 605693151 /nfs/dbraw/zinc/69/31/51/605693151.db2.gz JPPNPPRKHVOUQX-FZMZJTMJSA-N 0 2 301.387 0.507 20 0 DCADLN O=C([O-])N1CC[C@@H](CCNC(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000834037633 605759068 /nfs/dbraw/zinc/75/90/68/605759068.db2.gz LVNUQSPZBWYPIK-NEPJUHHUSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])NCCCNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000834237962 605933554 /nfs/dbraw/zinc/93/35/54/605933554.db2.gz MCKFKXWBMOHEFP-UHFFFAOYSA-N 0 2 305.294 0.047 20 0 DCADLN COCCN(CC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)C(=O)[O-] ZINC000833884923 605974611 /nfs/dbraw/zinc/97/46/11/605974611.db2.gz XVVJBMHWKBDZIE-GFCCVEGCSA-N 0 2 322.365 0.403 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)nc2)s1 ZINC000822514611 606469023 /nfs/dbraw/zinc/46/90/23/606469023.db2.gz GANBTNFFHWVTGZ-UHFFFAOYSA-N 0 2 324.351 0.222 20 0 DCADLN O=S(=O)(Nc1ncc(-c2nn[nH]n2)s1)c1cccnc1 ZINC000823589390 607027469 /nfs/dbraw/zinc/02/74/69/607027469.db2.gz XQINLWPFDGFKDB-UHFFFAOYSA-N 0 2 309.336 0.519 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cnon1 ZINC000978248093 660506320 /nfs/dbraw/zinc/50/63/20/660506320.db2.gz IQXMZJZCCAZHTC-SVRRBLITSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C(=O)c1cnon1 ZINC000978248093 660506322 /nfs/dbraw/zinc/50/63/22/660506322.db2.gz IQXMZJZCCAZHTC-SVRRBLITSA-N 0 2 324.234 0.643 20 0 DCADLN Cc1ccoc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027757325 660699903 /nfs/dbraw/zinc/69/99/03/660699903.db2.gz YIMKOHPNHJVRBL-JTQLQIEISA-N 0 2 305.338 0.806 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)on1 ZINC001027751591 660695989 /nfs/dbraw/zinc/69/59/89/660695989.db2.gz KZOBXZNLDQWQQN-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1ncsc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027769069 660711318 /nfs/dbraw/zinc/71/13/18/660711318.db2.gz LQPCNGXDSZZISN-SECBINFHSA-N 0 2 322.394 0.670 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(F)nc1 ZINC001027793248 660735995 /nfs/dbraw/zinc/73/59/95/660735995.db2.gz GGYHHNHCKJIXLY-JTQLQIEISA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(F)nc1 ZINC001027793248 660735998 /nfs/dbraw/zinc/73/59/98/660735998.db2.gz GGYHHNHCKJIXLY-JTQLQIEISA-N 0 2 320.328 0.439 20 0 DCADLN Cc1ncoc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027809061 660763856 /nfs/dbraw/zinc/76/38/56/660763856.db2.gz PRBBKHFBCJHFGA-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCCO1 ZINC001027829932 660804701 /nfs/dbraw/zinc/80/47/01/660804701.db2.gz NKOSBLOPQCHJBX-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCCO1 ZINC001027829932 660804702 /nfs/dbraw/zinc/80/47/02/660804702.db2.gz NKOSBLOPQCHJBX-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN Cc1nscc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027834626 660813481 /nfs/dbraw/zinc/81/34/81/660813481.db2.gz RQFVHFBTISTXBS-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC(F)(F)C1 ZINC001038153473 661029423 /nfs/dbraw/zinc/02/94/23/661029423.db2.gz WPFRQERXMJBKCN-MRVPVSSYSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(Cc1cccnc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980976604 661055130 /nfs/dbraw/zinc/05/51/30/661055130.db2.gz AMGUCQFXUYIWKV-UHFFFAOYSA-N 0 2 316.365 0.182 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N1CC2(C1)CCC[N@H+](CCO)C2 ZINC000981909206 661245125 /nfs/dbraw/zinc/24/51/25/661245125.db2.gz BBUJADIPAUSNHI-UHFFFAOYSA-N 0 2 306.410 0.269 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000982079015 661288724 /nfs/dbraw/zinc/28/87/24/661288724.db2.gz ZYWBROSOQQQQNN-NEPJUHHUSA-N 0 2 307.398 0.981 20 0 DCADLN CCc1oncc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970514721 657590756 /nfs/dbraw/zinc/59/07/56/657590756.db2.gz CJOOQXFIQXLTCG-QMMMGPOBSA-N 0 2 320.353 0.311 20 0 DCADLN C[C@H](NC(=O)c1cc(Cl)c[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969292111 657664744 /nfs/dbraw/zinc/66/47/44/657664744.db2.gz RSAVSNXWYOHFKG-ZETCQYMHSA-N 0 2 324.772 0.742 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CC=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969291886 657665217 /nfs/dbraw/zinc/66/52/17/657665217.db2.gz NZYDWPXZLVQFQV-GHMZBOCLSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1CN(C(=O)CCc2cn[nH]n2)C[C@H]1[NH2+]Cc1ncccn1 ZINC000939159194 665507422 /nfs/dbraw/zinc/50/74/22/665507422.db2.gz WYBJCUCZISEISA-DGCLKSJQSA-N 0 2 315.381 0.164 20 0 DCADLN C[C@]1(C2CC2)COCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000890581803 657769750 /nfs/dbraw/zinc/76/97/50/657769750.db2.gz BWQNKZNGACVBIW-OAHLLOKOSA-N 0 2 317.349 0.099 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H]2C[C@@H](NC(=O)c3cn[nH]n3)C2)s1 ZINC001022354105 657783728 /nfs/dbraw/zinc/78/37/28/657783728.db2.gz DLBFMVGNVOMHOO-CNUIFLNQSA-N 0 2 307.383 0.576 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001023373163 657798617 /nfs/dbraw/zinc/79/86/17/657798617.db2.gz FUDLJPNGWTZTHJ-FXPVBKGRSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001023373163 657798631 /nfs/dbraw/zinc/79/86/31/657798631.db2.gz FUDLJPNGWTZTHJ-FXPVBKGRSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(C1CC2(CCC2)C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949751673 657864428 /nfs/dbraw/zinc/86/44/28/657864428.db2.gz VRFQSLFWOZJWEX-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN C[C@@H](CONC(=O)CC1OCCO1)NC(=O)OC(C)(C)C ZINC000935161249 657917246 /nfs/dbraw/zinc/91/72/46/657917246.db2.gz QDMHCYGYSCYVKE-VIFPVBQESA-N 0 2 304.343 0.710 20 0 DCADLN Cc1noc([C@H]2CCCCN2C(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC000804858596 658069062 /nfs/dbraw/zinc/06/90/62/658069062.db2.gz CUZPICLNHGOEKN-RKDXNWHRSA-N 0 2 323.378 0.988 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H]([NH2+]Cc3cnon3)C2)c1[O-] ZINC000998955893 665557009 /nfs/dbraw/zinc/55/70/09/665557009.db2.gz SJZBJISRJNXWAY-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN Cc1nnc([C@H](C)N2CC([C@@H](C)NC(=O)c3cnn[nH]3)C2)o1 ZINC000970031851 658371068 /nfs/dbraw/zinc/37/10/68/658371068.db2.gz LVKYSCJFVJWDOL-SFYZADRCSA-N 0 2 305.342 0.307 20 0 DCADLN CC1(C(=O)N[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]2O)CC1 ZINC001024097162 658434398 /nfs/dbraw/zinc/43/43/98/658434398.db2.gz MWLVDLPYLDIBMN-PRJMDXOYSA-N 0 2 312.263 0.375 20 0 DCADLN CC1(C(=O)N[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]2O)CC1 ZINC001024097162 658434404 /nfs/dbraw/zinc/43/44/04/658434404.db2.gz MWLVDLPYLDIBMN-PRJMDXOYSA-N 0 2 312.263 0.375 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cnns1 ZINC000972833634 658469613 /nfs/dbraw/zinc/46/96/13/658469613.db2.gz BETRJLGAEMDUAQ-QMMMGPOBSA-N 0 2 323.382 0.002 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cccnc1 ZINC001024301497 658555161 /nfs/dbraw/zinc/55/51/61/658555161.db2.gz DNPFLIJSPBMSMQ-LBPRGKRZSA-N 0 2 316.365 0.690 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cnsn1 ZINC001024341833 658576404 /nfs/dbraw/zinc/57/64/04/658576404.db2.gz LQNBAEPPTLUJLU-MRVPVSSYSA-N 0 2 323.382 0.146 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cscn1 ZINC001024359956 658579509 /nfs/dbraw/zinc/57/95/09/658579509.db2.gz MQXZLRSVOWFLAF-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ncc[nH]1 ZINC001024434385 658618834 /nfs/dbraw/zinc/61/88/34/658618834.db2.gz ZQLNLSGDTOMCDT-VIFPVBQESA-N 0 2 305.342 0.018 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cc(F)c[nH]1 ZINC001024560372 658716701 /nfs/dbraw/zinc/71/67/01/658716701.db2.gz FAEFTPBWIAKYOS-JTQLQIEISA-N 0 2 322.344 0.762 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)C1CCC1 ZINC001022871993 658718436 /nfs/dbraw/zinc/71/84/36/658718436.db2.gz SVWWJOYRNDVQDL-IWSPIJDZSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O)C1CCC1 ZINC001022871993 658718438 /nfs/dbraw/zinc/71/84/38/658718438.db2.gz SVWWJOYRNDVQDL-IWSPIJDZSA-N 0 2 312.263 0.375 20 0 DCADLN CCN(C(=O)c1ocnc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949835746 658742977 /nfs/dbraw/zinc/74/29/77/658742977.db2.gz BDIFBJCMMLVHEE-UHFFFAOYSA-N 0 2 306.326 0.153 20 0 DCADLN Cc1coc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC000970307921 658852072 /nfs/dbraw/zinc/85/20/72/658852072.db2.gz XSNRHCSYQPRVKP-VIFPVBQESA-N 0 2 305.338 0.662 20 0 DCADLN CC(C)(C)[C@@H]1C[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031841269 665623953 /nfs/dbraw/zinc/62/39/53/665623953.db2.gz BJGIIWYJXVDWAC-GHMZBOCLSA-N 0 2 307.398 0.741 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)c1 ZINC000973035282 658963588 /nfs/dbraw/zinc/96/35/88/658963588.db2.gz ZGOXJWAABAKLCF-NSHDSACASA-N 0 2 318.381 0.489 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)c1 ZINC000973035282 658963592 /nfs/dbraw/zinc/96/35/92/658963592.db2.gz ZGOXJWAABAKLCF-NSHDSACASA-N 0 2 318.381 0.489 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)[C@H]1CCCCO1 ZINC000973045071 658977522 /nfs/dbraw/zinc/97/75/22/658977522.db2.gz ZDVHANGJODPZPU-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)[C@@H]1CCOC1 ZINC000976243322 659255448 /nfs/dbraw/zinc/25/54/48/659255448.db2.gz ZGZZHKRKALGCDO-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)[C@@H]1CCOC1 ZINC000976243322 659255450 /nfs/dbraw/zinc/25/54/50/659255450.db2.gz ZGZZHKRKALGCDO-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN C[NH+](Cc1ncccn1)[C@H]1CCCN(C(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001027331562 659256251 /nfs/dbraw/zinc/25/62/51/659256251.db2.gz ILQCLSVOKZWVPR-GJZGRUSLSA-N 0 2 317.437 0.994 20 0 DCADLN Cc1cnc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001006635603 659272326 /nfs/dbraw/zinc/27/23/26/659272326.db2.gz TXECQPUXWALLQX-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN O=C(C[C@@H]1C=CCC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006708672 659336013 /nfs/dbraw/zinc/33/60/13/659336013.db2.gz YNUYBVYHONMXBF-NEPJUHHUSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001006674322 659665590 /nfs/dbraw/zinc/66/55/90/659665590.db2.gz YODCHKGMYQCMBR-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000940691450 665829621 /nfs/dbraw/zinc/82/96/21/665829621.db2.gz FQDRKPJLYRWIIY-HTQZYQBOSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000940691450 665829624 /nfs/dbraw/zinc/82/96/24/665829624.db2.gz FQDRKPJLYRWIIY-HTQZYQBOSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc(=NC(=O)C(=O)N2CC=C(c3ccccc3)C2)[nH]n1C ZINC000340329858 662138299 /nfs/dbraw/zinc/13/82/99/662138299.db2.gz MDYDUBFMAYPPGP-UHFFFAOYSA-N 0 2 311.345 0.410 20 0 DCADLN O=C([O-])[C@@H](NC(=O)c1cccc2[nH+]ccn21)[C@H]1CCCOC1 ZINC000909542067 662335070 /nfs/dbraw/zinc/33/50/70/662335070.db2.gz ZZSBARBIAXSRHL-GWCFXTLKSA-N 0 2 303.318 0.944 20 0 DCADLN Cc1cc(C[NH2+]C[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)on1 ZINC000985167139 662380460 /nfs/dbraw/zinc/38/04/60/662380460.db2.gz JTBSOGAFNQFHMX-JOCQHMNTSA-N 0 2 303.366 0.864 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC000940853862 665864067 /nfs/dbraw/zinc/86/40/67/665864067.db2.gz MJSRTMOXPJIYKT-YIZRAAEISA-N 0 2 312.263 0.640 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC000940853862 665864069 /nfs/dbraw/zinc/86/40/69/665864069.db2.gz MJSRTMOXPJIYKT-YIZRAAEISA-N 0 2 312.263 0.640 20 0 DCADLN C[C@H]1[C@H]([NH2+]CC(=O)N2CCC2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC000986209079 662569869 /nfs/dbraw/zinc/56/98/69/662569869.db2.gz CDLRDGGDGHZQMK-QWHCGFSZSA-N 0 2 319.409 0.154 20 0 DCADLN CCCn1ccc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000897538532 662861199 /nfs/dbraw/zinc/86/11/99/662861199.db2.gz NOUZCAAUTBEXJV-UHFFFAOYSA-N 0 2 314.349 0.599 20 0 DCADLN CC(C)=CC[NH+]1CC(N(C)C(=O)[C@@H]2C[N@@H+]3CCC[C@H]3CO2)C1 ZINC001042756864 663076989 /nfs/dbraw/zinc/07/69/89/663076989.db2.gz UVRQIYOMWHJLMX-HOCLYGCPSA-N 0 2 307.438 0.958 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N[C@H]2CC[N@@H+](CC(C)(C)O)C2)c[nH+]1 ZINC000899153887 663126334 /nfs/dbraw/zinc/12/63/34/663126334.db2.gz NFWPBPJBGONWOK-ZDUSSCGKSA-N 0 2 308.426 0.888 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C2CCN(c3cc(CO)cc[nH+]3)CC2)C1 ZINC000900961146 663297927 /nfs/dbraw/zinc/29/79/27/663297927.db2.gz HNVGLVLINIKERF-GFCCVEGCSA-N 0 2 319.361 0.476 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000901666032 663361475 /nfs/dbraw/zinc/36/14/75/663361475.db2.gz LDBRGRHIXZNGJG-XHDPSFHLSA-N 0 2 307.350 0.538 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)/C=C\c2ccc(C(=O)[O-])o2)CCO1 ZINC000901921048 663372748 /nfs/dbraw/zinc/37/27/48/663372748.db2.gz MEPLAGKPXFRQFO-MZBZXASESA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)/C=C\c2ccc(C(=O)[O-])o2)CCO1 ZINC000901921048 663372751 /nfs/dbraw/zinc/37/27/51/663372751.db2.gz MEPLAGKPXFRQFO-MZBZXASESA-N 0 2 308.334 0.828 20 0 DCADLN COCCn1c(=O)cc(O)nc1SCc1cnsn1 ZINC000902145548 663388897 /nfs/dbraw/zinc/38/88/97/663388897.db2.gz BDQAQWXIZUCTBI-UHFFFAOYSA-N 0 2 300.365 0.739 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)C(C)(C)n2c[nH+]c(C)c2)C1 ZINC000902322086 663407048 /nfs/dbraw/zinc/40/70/48/663407048.db2.gz HKPODIQAHZUYMN-HNNXBMFYSA-N 0 2 309.366 0.876 20 0 DCADLN CCc1noc(C(=O)NCc2ccc(N3CCOCC3)nc2)n1 ZINC000902612294 663422143 /nfs/dbraw/zinc/42/21/43/663422143.db2.gz LNEVHLVZKBJKJI-UHFFFAOYSA-N 0 2 317.349 0.794 20 0 DCADLN COc1ccccc1[C@@H](C)NC(=O)CON=C(N)CN(C)C ZINC000902612668 663422435 /nfs/dbraw/zinc/42/24/35/663422435.db2.gz LRHGEXOWEYFXNH-LLVKDONJSA-N 0 2 308.382 0.932 20 0 DCADLN O=C(C[NH+]1CCCC1)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001000937142 665967061 /nfs/dbraw/zinc/96/70/61/665967061.db2.gz RNCWZZAVXVTOQX-GFCCVEGCSA-N 0 2 309.439 0.715 20 0 DCADLN [NH3+]CC(=O)N1CCC[C@@H](C[N@H+]2CCn3nc(C4CC4)cc3C2)C1 ZINC000904389715 663511661 /nfs/dbraw/zinc/51/16/61/663511661.db2.gz RZYSMIOYQUQADP-ZDUSSCGKSA-N 0 2 317.437 0.773 20 0 DCADLN Cc1n[nH]cc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001020113 665980997 /nfs/dbraw/zinc/98/09/97/665980997.db2.gz MJLNLDLLLCICQE-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN Cc1n[nH]cc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001020113 665980998 /nfs/dbraw/zinc/98/09/98/665980998.db2.gz MJLNLDLLLCICQE-UHFFFAOYSA-N 0 2 317.353 0.104 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001001026420 665982022 /nfs/dbraw/zinc/98/20/22/665982022.db2.gz VNCSCXHWJALOQI-QMMMGPOBSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001001026420 665982024 /nfs/dbraw/zinc/98/20/24/665982024.db2.gz VNCSCXHWJALOQI-QMMMGPOBSA-N 0 2 308.235 0.498 20 0 DCADLN O=C([O-])[C@]1(C(=O)N2CC[NH+](CCO)CC2)C[C@H]1c1ccccc1 ZINC000907736902 663715145 /nfs/dbraw/zinc/71/51/45/663715145.db2.gz UPCYEFRHOAVZHL-WMLDXEAASA-N 0 2 318.373 0.381 20 0 DCADLN Cn1nc2c(c1C(=O)N1CCC[C@@H](c3n[nH]c(=O)[nH]3)C1)CCC2 ZINC000908038637 663730395 /nfs/dbraw/zinc/73/03/95/663730395.db2.gz XKYZKAGYCNNJAL-SECBINFHSA-N 0 2 316.365 0.752 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000908985643 663777903 /nfs/dbraw/zinc/77/79/03/663777903.db2.gz ALHAVSAFSSSUHN-JSGCOSHPSA-N 0 2 321.377 0.928 20 0 DCADLN O=C([O-])c1csc(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)n1 ZINC000909260635 663789666 /nfs/dbraw/zinc/78/96/66/663789666.db2.gz ZNCDFFTVMAIZLI-MRVPVSSYSA-N 0 2 306.347 0.917 20 0 DCADLN O=C([O-])C[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CCO1 ZINC000909265104 663790032 /nfs/dbraw/zinc/79/00/32/663790032.db2.gz KVNGZBBMPFLGFI-GFCCVEGCSA-N 0 2 316.317 0.583 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000909715166 663796433 /nfs/dbraw/zinc/79/64/33/663796433.db2.gz YXQQQRFLIAETPP-WBMJQRKESA-N 0 2 321.377 0.785 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)[C@H]2CCn3c[nH+]cc3C2)CCCOC1 ZINC000909693150 663796974 /nfs/dbraw/zinc/79/69/74/663796974.db2.gz PESPYANMAMNJKK-NHYWBVRUSA-N 0 2 307.350 0.586 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)[C@H]2CCn3c[nH+]cc3C2)CCCOC1 ZINC000909693151 663797069 /nfs/dbraw/zinc/79/70/69/663797069.db2.gz PESPYANMAMNJKK-XHDPSFHLSA-N 0 2 307.350 0.586 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C1CCOCC1 ZINC000910017275 663836544 /nfs/dbraw/zinc/83/65/44/663836544.db2.gz GUCHQRSIHDKVBQ-DGCLKSJQSA-N 0 2 307.350 0.442 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000910147583 663849990 /nfs/dbraw/zinc/84/99/90/663849990.db2.gz NSQUBWHEBKEPIZ-MNOVXSKESA-N 0 2 309.391 0.722 20 0 DCADLN O=C([O-])[C@H]1CSCCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC000910146961 663850114 /nfs/dbraw/zinc/85/01/14/663850114.db2.gz NUUNPCAQVYWBJH-GHMZBOCLSA-N 0 2 309.391 0.722 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)[C@](C)(C(=O)[O-])C1 ZINC000910262801 663865918 /nfs/dbraw/zinc/86/59/18/663865918.db2.gz FIRACFBUPRCXAD-GMXABZIVSA-N 0 2 307.350 0.536 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000910402327 663877449 /nfs/dbraw/zinc/87/74/49/663877449.db2.gz MPLGBZMIEMBVSQ-VXGBXAGGSA-N 0 2 324.381 0.221 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000910402327 663877452 /nfs/dbraw/zinc/87/74/52/663877452.db2.gz MPLGBZMIEMBVSQ-VXGBXAGGSA-N 0 2 324.381 0.221 20 0 DCADLN CC[N@H+]1CC[C@@H]1CNC(=O)C(=O)N1C[C@H](C)[NH+](CC)C[C@@H]1C ZINC000910652023 663917129 /nfs/dbraw/zinc/91/71/29/663917129.db2.gz HOFLQQYIDMIORN-MELADBBJSA-N 0 2 310.442 0.138 20 0 DCADLN C[C@H]1CCN(C(=O)Cn2cc(C(=O)[O-])cn2)C[C@@H]1n1cc[nH+]c1 ZINC000910688010 663923954 /nfs/dbraw/zinc/92/39/54/663923954.db2.gz RAFCOBYJXPJZRM-AAEUAGOBSA-N 0 2 317.349 0.888 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)cn1 ZINC000910979032 663964284 /nfs/dbraw/zinc/96/42/84/663964284.db2.gz CXGSZTXUASDEMT-LLVKDONJSA-N 0 2 300.318 0.855 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)cn1 ZINC000910978968 663964329 /nfs/dbraw/zinc/96/43/29/663964329.db2.gz BEOUVSQUWHLFOA-NSHDSACASA-N 0 2 300.318 0.855 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)c1cccnc1 ZINC000910981458 663964454 /nfs/dbraw/zinc/96/44/54/663964454.db2.gz DBRXYYAQSVAUHD-YPMHNXCESA-N 0 2 300.318 0.783 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cccc(C(=O)[O-])n1 ZINC000910986431 663965371 /nfs/dbraw/zinc/96/53/71/663965371.db2.gz GTXFNMGHJCVPCX-LLVKDONJSA-N 0 2 307.350 0.620 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C(F)(F)F ZINC000911158257 663994419 /nfs/dbraw/zinc/99/44/19/663994419.db2.gz NKWIGDTXBXBFBK-YUMQZZPRSA-N 0 2 305.256 0.967 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N(CCC(=O)[O-])Cc1cccnc1 ZINC000911277848 664015516 /nfs/dbraw/zinc/01/55/16/664015516.db2.gz XNZVYIKUXKRBSW-UHFFFAOYSA-N 0 2 302.334 0.861 20 0 DCADLN O=C([O-])c1ccc(N2CCCN(C(=O)[C@@H]3CCCO3)CC2)[nH+]c1 ZINC000911305059 664023611 /nfs/dbraw/zinc/02/36/11/664023611.db2.gz JWUADDKXJCHFMF-ZDUSSCGKSA-N 0 2 319.361 0.998 20 0 DCADLN C[C@@H](NC(=O)c1cc(C(=O)[O-])ccn1)[C@@H](C)[NH+]1CCOCC1 ZINC000911351111 664034261 /nfs/dbraw/zinc/03/42/61/664034261.db2.gz AKLYZBFMRGLXHR-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)Cn2cc(C(=O)[O-])cn2)C1 ZINC000911613519 664089393 /nfs/dbraw/zinc/08/93/93/664089393.db2.gz SWTOMZLWAKNXLR-SNVBAGLBSA-N 0 2 303.322 0.331 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000911627901 664093574 /nfs/dbraw/zinc/09/35/74/664093574.db2.gz GADLKIUAAGPBRJ-RISCZKNCSA-N 0 2 307.350 0.198 20 0 DCADLN COC(OC)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000912355278 664163755 /nfs/dbraw/zinc/16/37/55/664163755.db2.gz XSFGYZKKXCOMHB-ZETCQYMHSA-N 0 2 316.251 0.177 20 0 DCADLN COC(OC)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000912355278 664163756 /nfs/dbraw/zinc/16/37/56/664163756.db2.gz XSFGYZKKXCOMHB-ZETCQYMHSA-N 0 2 316.251 0.177 20 0 DCADLN Cc1ncsc1CC[NH+]1CC(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001030222386 664479226 /nfs/dbraw/zinc/47/92/26/664479226.db2.gz NPYSGEAYQBUFTA-UHFFFAOYSA-N 0 2 321.406 0.845 20 0 DCADLN CC1(C(=O)NC2CN(Cc3cc(=O)n4[nH]ccc4n3)C2)CCC1 ZINC001030796940 664671878 /nfs/dbraw/zinc/67/18/78/664671878.db2.gz LIOWQADDVTWTHK-UHFFFAOYSA-N 0 2 315.377 0.513 20 0 DCADLN O=C(Cc1c(F)cccc1F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030865179 664690470 /nfs/dbraw/zinc/69/04/70/664690470.db2.gz FYWPZXWATXRTNK-UHFFFAOYSA-N 0 2 323.303 0.332 20 0 DCADLN C[C@@H]1C[C@@H]1CC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030901273 664698788 /nfs/dbraw/zinc/69/87/88/664698788.db2.gz FOHOBQZANXCTAQ-GHMZBOCLSA-N 0 2 315.377 0.369 20 0 DCADLN C[C@H](CCc1ccco1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730516142 664784223 /nfs/dbraw/zinc/78/42/23/664784223.db2.gz IGDGEEJGVUDYAF-SNVBAGLBSA-N 0 2 315.333 0.802 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCc1cccs1 ZINC000730517750 664784410 /nfs/dbraw/zinc/78/44/10/664784410.db2.gz SDCCUKDAXNQKMQ-UHFFFAOYSA-N 0 2 303.347 0.492 20 0 DCADLN CC(C)COCCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730518236 664784540 /nfs/dbraw/zinc/78/45/40/664784540.db2.gz IHGVQBHCZPKFIX-UHFFFAOYSA-N 0 2 307.354 0.250 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]1c1ccncc1 ZINC000730519882 664784866 /nfs/dbraw/zinc/78/48/66/664784866.db2.gz DICPLOXAOGZHRR-CYBMUJFWSA-N 0 2 324.344 0.830 20 0 DCADLN C[C@@H]1C[C@H]1c1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]1 ZINC000730587803 664795397 /nfs/dbraw/zinc/79/53/97/664795397.db2.gz DXFMBZZLAIEDJP-HTQZYQBOSA-N 0 2 313.321 0.556 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cnns2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993085382 664805253 /nfs/dbraw/zinc/80/52/53/664805253.db2.gz XSPMPSKCWFBGDL-SFYZADRCSA-N 0 2 323.382 0.145 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)C[C@H]1C ZINC000250307766 664816309 /nfs/dbraw/zinc/81/63/09/664816309.db2.gz LJUHDZVEXCKESU-SNVBAGLBSA-N 0 2 315.395 0.438 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)C[C@H]1C ZINC000250307766 664816310 /nfs/dbraw/zinc/81/63/10/664816310.db2.gz LJUHDZVEXCKESU-SNVBAGLBSA-N 0 2 315.395 0.438 20 0 DCADLN CC[C@@]1(C(C)C)C[C@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031175833 664829912 /nfs/dbraw/zinc/82/99/12/664829912.db2.gz RDNKPOGHVNNBHJ-NHYWBVRUSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)(C)c1ocnc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031223859 664907653 /nfs/dbraw/zinc/90/76/53/664907653.db2.gz RJPGFEYOMHUCLZ-UHFFFAOYSA-N 0 2 320.353 0.410 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ncc[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993333113 664941976 /nfs/dbraw/zinc/94/19/76/664941976.db2.gz VRJAXDKALYPGBB-BDAKNGLRSA-N 0 2 305.342 0.016 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000993641398 664987501 /nfs/dbraw/zinc/98/75/01/664987501.db2.gz FGHRCSQNENIDBJ-GXSJLCMTSA-N 0 2 319.369 0.325 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1c(F)cccc1F ZINC001031303925 665003159 /nfs/dbraw/zinc/00/31/59/665003159.db2.gz ZZHPOFQZTMEPCT-UHFFFAOYSA-N 0 2 309.276 0.403 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cccnn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994055844 665033071 /nfs/dbraw/zinc/03/30/71/665033071.db2.gz HDEYOONQGOESDT-VHSXEESVSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1conc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000994184916 665046599 /nfs/dbraw/zinc/04/65/99/665046599.db2.gz XRHFUSUWBFHMFR-ZJUUUORDSA-N 0 2 320.353 0.590 20 0 DCADLN CC1(C)CC[N@H+](CC(=O)N[C@@H]2CC[NH+]([C@H]3CCCNC3=O)C2)C1 ZINC001015705488 665052464 /nfs/dbraw/zinc/05/24/64/665052464.db2.gz MGHCKOMKDJKKDF-KGLIPLIRSA-N 0 2 322.453 0.187 20 0 DCADLN COC1CCC(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001031489494 665172574 /nfs/dbraw/zinc/17/25/74/665172574.db2.gz ANYSQPHIGVDWFW-UHFFFAOYSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1ccc(C(=O)NNC(=O)[C@H]2CCCN2c2ncccn2)o1 ZINC000084380609 665333281 /nfs/dbraw/zinc/33/32/81/665333281.db2.gz ZVTOAPFQEVTSJO-LLVKDONJSA-N 0 2 315.333 0.808 20 0 DCADLN CCC1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001032575209 665366308 /nfs/dbraw/zinc/36/63/08/665366308.db2.gz VUEIEKNGAKVSGA-QWRGUYRKSA-N 0 2 305.382 0.876 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000941977792 666089946 /nfs/dbraw/zinc/08/99/46/666089946.db2.gz PDBJPQSQWXXUKN-HTRCEHHLSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000941977792 666089947 /nfs/dbraw/zinc/08/99/47/666089947.db2.gz PDBJPQSQWXXUKN-HTRCEHHLSA-N 0 2 323.250 0.344 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002312717 666156000 /nfs/dbraw/zinc/15/60/00/666156000.db2.gz NERNIQXZJSTTIB-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)on1 ZINC001002336200 666156950 /nfs/dbraw/zinc/15/69/50/666156950.db2.gz WZFLMGOJHUPZKR-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1ncc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)s1 ZINC001002433059 666171321 /nfs/dbraw/zinc/17/13/21/666171321.db2.gz XPTHSBGNROXWAM-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN CCOC1CC(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001002735174 666212159 /nfs/dbraw/zinc/21/21/59/666212159.db2.gz VGPRJNBCEZJPHT-UHFFFAOYSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cc(C)c(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)[nH]1 ZINC001003170907 666281101 /nfs/dbraw/zinc/28/11/01/666281101.db2.gz NMFOZWGLCVTJSN-UHFFFAOYSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001032892204 666375633 /nfs/dbraw/zinc/37/56/33/666375633.db2.gz ULTQSDXZFIRLGD-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001032972919 666414902 /nfs/dbraw/zinc/41/49/02/666414902.db2.gz IBDMMDKUNQHNSE-SNVBAGLBSA-N 0 2 320.353 0.462 20 0 DCADLN Cc1cc[nH]c1C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033055971 666473096 /nfs/dbraw/zinc/47/30/96/666473096.db2.gz BPTREJJNKYCMEO-JTQLQIEISA-N 0 2 304.354 0.493 20 0 DCADLN Cc1cc[nH]c1C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033055971 666473098 /nfs/dbraw/zinc/47/30/98/666473098.db2.gz BPTREJJNKYCMEO-JTQLQIEISA-N 0 2 304.354 0.493 20 0 DCADLN CN(C(=O)[C@@H]1CC1(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033063786 666485280 /nfs/dbraw/zinc/48/52/80/666485280.db2.gz HYZQQWODDATSBA-SFYZADRCSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C(=O)[C@@H]1CC1(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033063786 666485281 /nfs/dbraw/zinc/48/52/81/666485281.db2.gz HYZQQWODDATSBA-SFYZADRCSA-N 0 2 301.297 0.198 20 0 DCADLN CN(C(=O)[C@@H]1CC1(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033063786 666485282 /nfs/dbraw/zinc/48/52/82/666485282.db2.gz HYZQQWODDATSBA-SFYZADRCSA-N 0 2 301.297 0.198 20 0 DCADLN COC[C@@H](C)[N@H+]1CC[C@H](N(C)C(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001033215102 666627689 /nfs/dbraw/zinc/62/76/89/666627689.db2.gz BHTKWMIFCBMSCP-OLZOCXBDSA-N 0 2 324.425 0.917 20 0 DCADLN Cc1ncccc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033371023 666694431 /nfs/dbraw/zinc/69/44/31/666694431.db2.gz XHEQVDXKHDUIKL-LLVKDONJSA-N 0 2 316.365 0.560 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N(C)[C@H]2CC[N@@H+](CC(=O)N3CCC3)C2)C1 ZINC001033421493 666711382 /nfs/dbraw/zinc/71/13/82/666711382.db2.gz YOVUGRDHIRZOES-CABCVRRESA-N 0 2 322.453 0.093 20 0 DCADLN CN(C(=O)c1cscn1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005172102 666733183 /nfs/dbraw/zinc/73/31/83/666733183.db2.gz TZJKCCFZRIJRKB-UHFFFAOYSA-N 0 2 322.394 0.703 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)[C@H]1CC[N@@H+]([C@H](C)C(=O)N(C)C)C1 ZINC001033668111 666787699 /nfs/dbraw/zinc/78/76/99/666787699.db2.gz LAYIJPDNTWVEFQ-OCCSQVGLSA-N 0 2 321.425 0.201 20 0 DCADLN Cn1cncc1CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000996449139 666794755 /nfs/dbraw/zinc/79/47/55/666794755.db2.gz CLGARDVSLVZEEO-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN CCN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)[C@H]1CC[N@@H+](CCO)C1 ZINC001033950807 666875433 /nfs/dbraw/zinc/87/54/33/666875433.db2.gz XXSLIBAOEXDXSK-STQMWFEESA-N 0 2 306.410 0.745 20 0 DCADLN Cc1[nH]ccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032339813 666971093 /nfs/dbraw/zinc/97/10/93/666971093.db2.gz AUKMQNONKNALGV-UWVGGRQHSA-N 0 2 302.338 0.246 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cnco1 ZINC001034336075 666991744 /nfs/dbraw/zinc/99/17/44/666991744.db2.gz HKIGEYACLYHXLT-SECBINFHSA-N 0 2 306.326 0.283 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2CCCN2CC(F)F)S1 ZINC000862692953 667070236 /nfs/dbraw/zinc/07/02/36/667070236.db2.gz BIXXIQDXFBXGJE-SFYZADRCSA-N 0 2 320.365 0.389 20 0 DCADLN CCOC(=O)C1=CC[C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000862873303 667088746 /nfs/dbraw/zinc/08/87/46/667088746.db2.gz QSVAHCAWIIXYBE-IUCAKERBSA-N 0 2 311.363 0.311 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)C[C@H](OC)C2CC2)nn1C ZINC000863449301 667146567 /nfs/dbraw/zinc/14/65/67/667146567.db2.gz BXKRGEKYEYYHOS-JTQLQIEISA-N 0 2 317.367 0.373 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)C[C@@H](OC)C(C)C)nn1C ZINC000863449278 667146710 /nfs/dbraw/zinc/14/67/10/667146710.db2.gz BCGXNSASBJSJRS-SNVBAGLBSA-N 0 2 319.383 0.619 20 0 DCADLN C[C@@H](NC(=O)c1ccn[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001005725540 667153132 /nfs/dbraw/zinc/15/31/32/667153132.db2.gz RAZFOELPKSPMME-HZGVNTEJSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)c1ccn[nH]1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001005725540 667153139 /nfs/dbraw/zinc/15/31/39/667153139.db2.gz RAZFOELPKSPMME-HZGVNTEJSA-N 0 2 322.262 0.887 20 0 DCADLN CC(C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)=C1CCC1 ZINC001032397760 667170063 /nfs/dbraw/zinc/17/00/63/667170063.db2.gz MMPCUONWYUYOKG-RYUDHWBXSA-N 0 2 303.366 0.796 20 0 DCADLN CCOCCCn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC000736620676 667208070 /nfs/dbraw/zinc/20/80/70/667208070.db2.gz YOZIRPYKRGBVMV-UHFFFAOYSA-N 0 2 307.310 0.242 20 0 DCADLN CC1(C)[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H]2CCO[C@@H]21 ZINC000741201974 667760960 /nfs/dbraw/zinc/76/09/60/667760960.db2.gz XMIBGBNFSGTSDR-AXTRIDKLSA-N 0 2 317.349 0.001 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000742469506 667791940 /nfs/dbraw/zinc/79/19/40/667791940.db2.gz AHPUONXAGPSRPG-IUCAKERBSA-N 0 2 313.379 0.345 20 0 DCADLN CNC(=O)c1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000742521196 667793161 /nfs/dbraw/zinc/79/31/61/667793161.db2.gz DOGXWYYXRNLLDM-SNVBAGLBSA-N 0 2 320.374 0.219 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3nccn3)cc2)S1 ZINC000742541819 667793759 /nfs/dbraw/zinc/79/37/59/667793759.db2.gz UKQWGRSJBQWJEL-SNVBAGLBSA-N 0 2 316.346 0.762 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2nnc(C(F)F)s2)S1 ZINC000742584784 667794692 /nfs/dbraw/zinc/79/46/92/667794692.db2.gz ZGUMRYQZOZJHKD-REOHCLBHSA-N 0 2 307.307 0.971 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCC(=O)Nc2ccccn2)S1 ZINC000742615835 667795159 /nfs/dbraw/zinc/79/51/59/667795159.db2.gz HVJKOMDDRSDOEH-MRVPVSSYSA-N 0 2 321.362 0.083 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](CO)Cc2ccccc2)S1 ZINC000742689661 667797351 /nfs/dbraw/zinc/79/73/51/667797351.db2.gz IKVVQIMCPFQNQS-RYUDHWBXSA-N 0 2 321.402 0.510 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCNC(=O)CC12CCOCC2 ZINC000871367489 667809811 /nfs/dbraw/zinc/80/98/11/667809811.db2.gz ZJBFZCBXWZGLRJ-VIFPVBQESA-N 0 2 312.263 0.785 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCNC(=O)CC12CCOCC2 ZINC000871367489 667809814 /nfs/dbraw/zinc/80/98/14/667809814.db2.gz ZJBFZCBXWZGLRJ-VIFPVBQESA-N 0 2 312.263 0.785 20 0 DCADLN CC(C)CC[C@@](C)(O)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000743718314 667814915 /nfs/dbraw/zinc/81/49/15/667814915.db2.gz OMWPDPIWCMABKO-OAHLLOKOSA-N 0 2 321.381 0.375 20 0 DCADLN COc1ccc(OC)c(CNC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000745421402 667847244 /nfs/dbraw/zinc/84/72/44/667847244.db2.gz KVPOAHAKBIUSQT-NSHDSACASA-N 0 2 323.374 0.876 20 0 DCADLN CN(Cc1ccc2c(c1)OCO2)C(=O)C[C@H]1SC(=N)NC1=O ZINC000745413232 667847278 /nfs/dbraw/zinc/84/72/78/667847278.db2.gz GSMGZXYDGYBDPO-LLVKDONJSA-N 0 2 321.358 0.930 20 0 DCADLN Cc1nn(C)c2ncc(NC(=O)CC3SC(=N)NC3=O)cc12 ZINC000745422202 667847616 /nfs/dbraw/zinc/84/76/16/667847616.db2.gz ZHRPKDLPJJYEPF-VIFPVBQESA-N 0 2 318.362 0.772 20 0 DCADLN CNc1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc(Cl)n1 ZINC000747995855 667916565 /nfs/dbraw/zinc/91/65/65/667916565.db2.gz KDFYSRPDBLSITM-UHFFFAOYSA-N 0 2 310.745 0.963 20 0 DCADLN CC(C)[C@@H](NC(=O)OC(C)(C)C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748417817 667927643 /nfs/dbraw/zinc/92/76/43/667927643.db2.gz FBWUOMOHXHINAZ-SECBINFHSA-N 0 2 313.358 0.676 20 0 DCADLN O=C([O-])[C@H]1C[C@H](Nc2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000873075895 667969722 /nfs/dbraw/zinc/96/97/22/667969722.db2.gz JPFHVKYEFWFCLR-IJLUTSLNSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])[C@H]1C[C@H](Nc2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000873075895 667969723 /nfs/dbraw/zinc/96/97/23/667969723.db2.gz JPFHVKYEFWFCLR-IJLUTSLNSA-N 0 2 306.366 0.960 20 0 DCADLN CCCCC[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000752761628 668021702 /nfs/dbraw/zinc/02/17/02/668021702.db2.gz KBLMYQBSCHYEIH-BDAKNGLRSA-N 0 2 315.395 0.781 20 0 DCADLN Cc1noc(C)c1CCOC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000756188605 668080830 /nfs/dbraw/zinc/08/08/30/668080830.db2.gz DAEPUFAYLIXTBV-UHFFFAOYSA-N 0 2 317.305 0.462 20 0 DCADLN CC(C)(C)OC(=O)NCC(C)(C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000756959852 668108428 /nfs/dbraw/zinc/10/84/28/668108428.db2.gz WKOXANWEVWGHGX-UHFFFAOYSA-N 0 2 313.358 0.677 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)NCCOCC(=O)[O-])C1 ZINC000874408900 668181756 /nfs/dbraw/zinc/18/17/56/668181756.db2.gz NPZZGORFOJYQCK-LLVKDONJSA-N 0 2 310.354 0.410 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@@H](O)c1ccccn1 ZINC000760459026 668273089 /nfs/dbraw/zinc/27/30/89/668273089.db2.gz FLHNHOGRTKKUMK-SECBINFHSA-N 0 2 319.283 0.688 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2ccc(Cl)nc2)S1 ZINC000760805455 668288684 /nfs/dbraw/zinc/28/86/84/668288684.db2.gz XCNZHFLSYBSDRZ-QMMMGPOBSA-N 0 2 312.782 0.950 20 0 DCADLN Cc1[nH]nc2ncc(NC(=O)CC3SC(=N)NC3=O)cc12 ZINC000761455183 668328257 /nfs/dbraw/zinc/32/82/57/668328257.db2.gz NADVDBRXHARSLR-MRVPVSSYSA-N 0 2 304.335 0.761 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)Cc1ccccc1 ZINC000762001734 668343758 /nfs/dbraw/zinc/34/37/58/668343758.db2.gz DDRJYRUBHXHNQY-SKDRFNHKSA-N 0 2 319.386 0.859 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ccn2ccnc2c1 ZINC000762563956 668367472 /nfs/dbraw/zinc/36/74/72/668367472.db2.gz YUGNZQRQQHYWPG-UHFFFAOYSA-N 0 2 323.316 0.035 20 0 DCADLN O=C(c1cccc2n[nH]nc21)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000763009518 668390615 /nfs/dbraw/zinc/39/06/15/668390615.db2.gz ZCVNBZUDVYLUAO-SECBINFHSA-N 0 2 316.350 0.401 20 0 DCADLN CCNC(=O)CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000764228681 668440659 /nfs/dbraw/zinc/44/06/59/668440659.db2.gz NSOFUEXNRNWZRK-SNVBAGLBSA-N 0 2 313.295 0.557 20 0 DCADLN CCN(CCCNC(=O)C(F)C(F)(F)F)S(C)(=O)=O ZINC000764418882 668446391 /nfs/dbraw/zinc/44/63/91/668446391.db2.gz VDZHNXPEBFZRHV-ZETCQYMHSA-N 0 2 308.297 0.675 20 0 DCADLN CCN(CCCNC(=O)[C@H](F)C(F)(F)F)S(C)(=O)=O ZINC000764418882 668446395 /nfs/dbraw/zinc/44/63/95/668446395.db2.gz VDZHNXPEBFZRHV-ZETCQYMHSA-N 0 2 308.297 0.675 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)N(C)c2cn[nH]c2)c1 ZINC000768216506 668602753 /nfs/dbraw/zinc/60/27/53/668602753.db2.gz HZUQGXNJDYRLQM-UHFFFAOYSA-N 0 2 324.362 0.642 20 0 DCADLN COc1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1O ZINC000769377809 668645218 /nfs/dbraw/zinc/64/52/18/668645218.db2.gz ZCWUBJJIPKXMJR-JTQLQIEISA-N 0 2 309.347 0.573 20 0 DCADLN C[C@H](Cc1ccc(O)cc1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000769441824 668648803 /nfs/dbraw/zinc/64/88/03/668648803.db2.gz QPBLYRNNOUFZGY-LDYMZIIASA-N 0 2 307.375 0.996 20 0 DCADLN Cn1ccnc1[C@@H]1CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000769770446 668661117 /nfs/dbraw/zinc/66/11/17/668661117.db2.gz MGUXCFGTLFHVPI-NXEZZACHSA-N 0 2 321.406 0.683 20 0 DCADLN C[C@@H](CC(=O)c1ccco1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000771561962 668742999 /nfs/dbraw/zinc/74/29/99/668742999.db2.gz GJTSFSQWAUJTPH-XVKPBYJWSA-N 0 2 309.347 0.914 20 0 DCADLN O=C(C[N@@H+]1CCN2C(=O)CC[C@H]2C1)[N-]OCc1ccccc1 ZINC000772273597 668767031 /nfs/dbraw/zinc/76/70/31/668767031.db2.gz VUVMJZHKLZNXQG-AWEZNQCLSA-N 0 2 303.362 0.541 20 0 DCADLN O=C(C[N@H+]1CCN2C(=O)CC[C@H]2C1)[N-]OCc1ccccc1 ZINC000772273597 668767034 /nfs/dbraw/zinc/76/70/34/668767034.db2.gz VUVMJZHKLZNXQG-AWEZNQCLSA-N 0 2 303.362 0.541 20 0 DCADLN CS(=O)(=O)Cc1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)cc1 ZINC000774881316 668849968 /nfs/dbraw/zinc/84/99/68/668849968.db2.gz SJWVDLSDKQQBGP-UHFFFAOYSA-N 0 2 311.319 0.412 20 0 DCADLN CC(=O)N[C@@H](CC(=O)OCc1n[nH]c(=O)[nH]1)c1cccs1 ZINC000774898143 668850268 /nfs/dbraw/zinc/85/02/68/668850268.db2.gz WVBSKDZZZSFHQO-QMMMGPOBSA-N 0 2 310.335 0.883 20 0 DCADLN Cc1nc2ccccc2c(=O)n1CC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774914704 668850794 /nfs/dbraw/zinc/85/07/94/668850794.db2.gz QDFFMXIZUAINSE-UHFFFAOYSA-N 0 2 315.289 0.272 20 0 DCADLN COc1ccc2c(c1)C[C@@H](C(=O)OCc1n[nH]c(=O)[nH]1)CO2 ZINC000774917621 668851534 /nfs/dbraw/zinc/85/15/34/668851534.db2.gz HLBADXXXHUBBFH-SECBINFHSA-N 0 2 305.290 0.813 20 0 DCADLN CCS(=O)(=O)c1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)cc1 ZINC000774936752 668852005 /nfs/dbraw/zinc/85/20/05/668852005.db2.gz YROBUWNOAFPZQT-UHFFFAOYSA-N 0 2 311.319 0.661 20 0 DCADLN CC[C@H](C)C(=O)N1CCC[C@H](C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000774940472 668852033 /nfs/dbraw/zinc/85/20/33/668852033.db2.gz BTCYBCCTBINPIX-UWVGGRQHSA-N 0 2 310.354 0.838 20 0 DCADLN Cc1nn(C)c(C)c1CCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000775572873 668865237 /nfs/dbraw/zinc/86/52/37/668865237.db2.gz FPEUSNYGSCOLFE-LLVKDONJSA-N 0 2 323.422 0.642 20 0 DCADLN COC(=O)c1ccc(C(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC000776536651 668877325 /nfs/dbraw/zinc/87/73/25/668877325.db2.gz LSTLOFCCNIJBCF-UHFFFAOYSA-N 0 2 308.319 0.512 20 0 DCADLN CCn1cc(-c2nn(C)cc2C(=O)OCc2n[nH]c(=O)[nH]2)cn1 ZINC000778569514 668901194 /nfs/dbraw/zinc/90/11/94/668901194.db2.gz CXMWAQJQPTZWML-UHFFFAOYSA-N 0 2 317.309 0.484 20 0 DCADLN CCN(C[C@H](O)C(F)(F)F)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000779190082 668908361 /nfs/dbraw/zinc/90/83/61/668908361.db2.gz BTGJCSUYRUFFKI-WDSKDSINSA-N 0 2 313.301 0.315 20 0 DCADLN C[N@H+]1CCC[C@@H]1C(=O)N1CC[NH+](C[C@@H]2C[C@@H]3COC[C@H]3O2)CC1 ZINC000929849189 668917497 /nfs/dbraw/zinc/91/74/97/668917497.db2.gz SWDDKZWSUMRFKP-QKPAOTATSA-N 0 2 323.437 0.029 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000780341865 668980352 /nfs/dbraw/zinc/98/03/52/668980352.db2.gz RVLJQRSICNMBNJ-RYUDHWBXSA-N 0 2 315.414 0.896 20 0 DCADLN CCC[C@@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543485 668993035 /nfs/dbraw/zinc/99/30/35/668993035.db2.gz OQXOTRBJEJXFPH-LLVKDONJSA-N 0 2 301.387 0.650 20 0 DCADLN CCC[C@@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543485 668993038 /nfs/dbraw/zinc/99/30/38/668993038.db2.gz OQXOTRBJEJXFPH-LLVKDONJSA-N 0 2 301.387 0.650 20 0 DCADLN Cc1ccc(NC(=O)C(=O)[N-]O[C@@H]2CCCCO2)c(N(C)C)[nH+]1 ZINC000785376152 669252011 /nfs/dbraw/zinc/25/20/11/669252011.db2.gz RSAVZFYVOHYMTQ-GFCCVEGCSA-N 0 2 322.365 0.969 20 0 DCADLN COc1cc(Cn2cc(CNC(=O)C(F)(F)F)nn2)ccn1 ZINC000881302308 669321461 /nfs/dbraw/zinc/32/14/61/669321461.db2.gz RAEFHHNCJRHDFJ-UHFFFAOYSA-N 0 2 315.255 0.909 20 0 DCADLN COc1cncc(Cn2cc(CNC(=O)C(F)(F)F)nn2)c1 ZINC000881377077 669330941 /nfs/dbraw/zinc/33/09/41/669330941.db2.gz NIDVYHNFOCDJPU-UHFFFAOYSA-N 0 2 315.255 0.909 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N3CC[C@@H](O)[C@@H](O)C3)c[nH]c12 ZINC000881439357 669343341 /nfs/dbraw/zinc/34/33/41/669343341.db2.gz IDLMNBSZXIXUMN-OLZOCXBDSA-N 0 2 302.330 0.404 20 0 DCADLN CCc1nn(C)cc1S(=O)(=O)NCCCc1cn[nH]c1C ZINC000882196407 669473510 /nfs/dbraw/zinc/47/35/10/669473510.db2.gz CQXBNHKOBCUJJO-UHFFFAOYSA-N 0 2 311.411 0.925 20 0 DCADLN O=c1cc(C[N@@H+]2CCOC[C@H]2C[C@H]2CCOC2)nc2cc[n-]n21 ZINC000933672392 669517954 /nfs/dbraw/zinc/51/79/54/669517954.db2.gz HFIXVEXHOKWZMW-TZMCWYRMSA-N 0 2 318.377 0.650 20 0 DCADLN O=c1cc(C[N@H+]2CCOC[C@H]2C[C@H]2CCOC2)nc2cc[n-]n21 ZINC000933672392 669517958 /nfs/dbraw/zinc/51/79/58/669517958.db2.gz HFIXVEXHOKWZMW-TZMCWYRMSA-N 0 2 318.377 0.650 20 0 DCADLN COCC1(S(=O)(=O)Nc2cc3n(n2)CCN(C)C3)CC1 ZINC000882769822 669583679 /nfs/dbraw/zinc/58/36/79/669583679.db2.gz NLBIROBRENQLTP-UHFFFAOYSA-N 0 2 300.384 0.249 20 0 DCADLN Cn1cnc(C=CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)c1 ZINC000935748772 669766804 /nfs/dbraw/zinc/76/68/04/669766804.db2.gz FTZKXAXFBXDHIW-NSCUHMNNSA-N 0 2 302.338 0.663 20 0 DCADLN NC(=O)NC(=O)COC(=O)c1nn(-c2ccccc2F)cc1O ZINC000801379793 670127118 /nfs/dbraw/zinc/12/71/18/670127118.db2.gz NMHIJBAHSQXXDU-UHFFFAOYSA-N 0 2 322.252 0.069 20 0 DCADLN C[C@H](Sc1ccccc1C(=O)OCc1n[nH]c(=O)[nH]1)C(N)=O ZINC000801489111 670131537 /nfs/dbraw/zinc/13/15/37/670131537.db2.gz PARIHLJQGFCLKA-ZETCQYMHSA-N 0 2 322.346 0.833 20 0 DCADLN O=C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948983820 670231030 /nfs/dbraw/zinc/23/10/30/670231030.db2.gz MGXVGFOKEWNFFM-TUAOUCFPSA-N 0 2 305.382 0.591 20 0 DCADLN Cc1c(C(=O)OCc2n[nH]c(=O)[nH]2)nnn1Cc1ccncc1 ZINC000803967773 670279216 /nfs/dbraw/zinc/27/92/16/670279216.db2.gz DATKLYBWFLPZHB-UHFFFAOYSA-N 0 2 315.293 0.211 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnc(C3CC3)nc2N)C1=O ZINC000806309291 670307465 /nfs/dbraw/zinc/30/74/65/670307465.db2.gz QDEDGLAXXOOHFY-CQSZACIVSA-N 0 2 318.337 0.302 20 0 DCADLN CCOCCO[N-]C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000807676238 670373082 /nfs/dbraw/zinc/37/30/82/670373082.db2.gz MOSSZIRSAMVFMK-CQSZACIVSA-N 0 2 321.377 0.374 20 0 DCADLN CCOCCO[N-]C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000807676238 670373085 /nfs/dbraw/zinc/37/30/85/670373085.db2.gz MOSSZIRSAMVFMK-CQSZACIVSA-N 0 2 321.377 0.374 20 0 DCADLN CN(C1CCN(C(=O)C(F)C(F)(F)F)CC1)S(C)(=O)=O ZINC000810207673 670478076 /nfs/dbraw/zinc/47/80/76/670478076.db2.gz MVEJEIMMTIMNOA-QMMMGPOBSA-N 0 2 320.308 0.769 20 0 DCADLN CN(C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1)S(C)(=O)=O ZINC000810207673 670478079 /nfs/dbraw/zinc/47/80/79/670478079.db2.gz MVEJEIMMTIMNOA-QMMMGPOBSA-N 0 2 320.308 0.769 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC000810484496 670487603 /nfs/dbraw/zinc/48/76/03/670487603.db2.gz ZZLDEPBRHDOLJZ-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000810484496 670487604 /nfs/dbraw/zinc/48/76/04/670487604.db2.gz ZZLDEPBRHDOLJZ-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@H]1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952441702 670494092 /nfs/dbraw/zinc/49/40/92/670494092.db2.gz DRJCOBQAURMRRQ-NWDGAFQWSA-N 0 2 305.382 0.589 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCO[C@@H]2CCCCO2)S1 ZINC000854552126 670587951 /nfs/dbraw/zinc/58/79/51/670587951.db2.gz PMRZMLYLCWFYBO-WCBMZHEXSA-N 0 2 301.368 0.202 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1Cc2cncnc2C1 ZINC000848164849 670647410 /nfs/dbraw/zinc/64/74/10/670647410.db2.gz FZBSQAVFLOMXEC-UHFFFAOYSA-N 0 2 302.256 0.776 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2noc3c2CCCC3)S1 ZINC000813820518 670654430 /nfs/dbraw/zinc/65/44/30/670654430.db2.gz GYOJSIKJMFGPQZ-SNVBAGLBSA-N 0 2 308.363 0.726 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@@H](O)c1ccccc1 ZINC000813848181 670656152 /nfs/dbraw/zinc/65/61/52/670656152.db2.gz XNFWEGMELCSZBL-PTOFAABTSA-N 0 2 307.375 0.781 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCC[C@@H](CO)C4)[nH+]cn3)C[C@@H]21 ZINC000849348719 670780019 /nfs/dbraw/zinc/78/00/19/670780019.db2.gz LYNXSAGPWOCAKW-FJJYHAOUSA-N 0 2 318.377 0.452 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCC[C@@H](CO)C4)nc[nH+]3)C[C@@H]21 ZINC000849348719 670780021 /nfs/dbraw/zinc/78/00/21/670780021.db2.gz LYNXSAGPWOCAKW-FJJYHAOUSA-N 0 2 318.377 0.452 20 0 DCADLN CC(C)(C)C(F)(F)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000856278718 670800653 /nfs/dbraw/zinc/80/06/53/670800653.db2.gz QBONDKIVRXBHON-UHFFFAOYSA-N 0 2 313.308 0.869 20 0 DCADLN Cc1ccc2[nH]c(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)nc2c1 ZINC000817017836 670889791 /nfs/dbraw/zinc/88/97/91/670889791.db2.gz DUQKJZAIDVWVGU-UHFFFAOYSA-N 0 2 323.316 0.895 20 0 DCADLN Cc1ccc2nc(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)[nH]c2c1 ZINC000817017836 670889799 /nfs/dbraw/zinc/88/97/99/670889799.db2.gz DUQKJZAIDVWVGU-UHFFFAOYSA-N 0 2 323.316 0.895 20 0 DCADLN COC(=O)[C@H](CC(C)C)N(C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000817057379 670891977 /nfs/dbraw/zinc/89/19/77/670891977.db2.gz BRRZPZZXQDEWMP-DTWKUNHWSA-N 0 2 315.395 0.589 20 0 DCADLN CCOCCn1cc(C(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC000857716599 670940689 /nfs/dbraw/zinc/94/06/89/670940689.db2.gz HHSOQUJHDVBDQP-UHFFFAOYSA-N 0 2 311.367 0.564 20 0 DCADLN CC(C)[C@@]1(CO)CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000819044546 671088767 /nfs/dbraw/zinc/08/87/67/671088767.db2.gz LEBFTMZZMYDRIW-HZMBPMFUSA-N 0 2 313.423 0.800 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CC[C@@H](O)C2)o1)C(F)(F)F ZINC000859799647 671147370 /nfs/dbraw/zinc/14/73/70/671147370.db2.gz RUDOCRMSWLPFBF-SSDOTTSWSA-N 0 2 306.240 0.665 20 0 DCADLN COCC(=O)COC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860311470 671198040 /nfs/dbraw/zinc/19/80/40/671198040.db2.gz UEHGVRPYNMQYQL-UHFFFAOYSA-N 0 2 323.223 0.830 20 0 DCADLN O=C(NCc1ccc(C(=O)O[C@@H]2CCNC2=O)o1)C(F)(F)F ZINC000860308817 671198187 /nfs/dbraw/zinc/19/81/87/671198187.db2.gz BZAHSAZSECGJDG-SSDOTTSWSA-N 0 2 320.223 0.503 20 0 DCADLN O=c1[nH]nc(CNc2c3ccccc3nnc2-c2nn[nH]n2)[nH]1 ZINC000823657810 671404620 /nfs/dbraw/zinc/40/46/20/671404620.db2.gz VACWNZOPWVLXSO-UHFFFAOYSA-N 0 2 310.281 0.246 20 0 DCADLN O=C(c1cccc(F)c1O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000824023590 671416716 /nfs/dbraw/zinc/41/67/16/671416716.db2.gz DJIGSMFXOKKUQU-UHFFFAOYSA-N 0 2 305.313 0.607 20 0 DCADLN COCC[N@H+]1[C@H](C)CN(C(=O)CCCc2nn[n-]n2)C[C@@H]1C ZINC000824025841 671417009 /nfs/dbraw/zinc/41/70/09/671417009.db2.gz LIPLDLIVITYCCL-TXEJJXNPSA-N 0 2 310.402 0.090 20 0 DCADLN COCC[N@@H+]1[C@H](C)CN(C(=O)CCCc2nn[n-]n2)C[C@@H]1C ZINC000824025841 671417012 /nfs/dbraw/zinc/41/70/12/671417012.db2.gz LIPLDLIVITYCCL-TXEJJXNPSA-N 0 2 310.402 0.090 20 0 DCADLN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)COCC(F)F)C1 ZINC000830790674 671640921 /nfs/dbraw/zinc/64/09/21/671640921.db2.gz ZNYJJQVRURGJBU-SNVBAGLBSA-N 0 2 318.242 0.938 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000831271201 671662539 /nfs/dbraw/zinc/66/25/39/671662539.db2.gz AWLGDZMHPCQBRO-UTUOFQBUSA-N 0 2 308.338 0.160 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2C[C@H](C(=O)[O-])[C@H](C)C2)cc1 ZINC000832169714 671706352 /nfs/dbraw/zinc/70/63/52/671706352.db2.gz DUZFKGPLMXRLNA-MFKMUULPSA-N 0 2 320.345 0.604 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2C[C@H](C(=O)[O-])[C@H](C)C2)cc1 ZINC000832169714 671706356 /nfs/dbraw/zinc/70/63/56/671706356.db2.gz DUZFKGPLMXRLNA-MFKMUULPSA-N 0 2 320.345 0.604 20 0 DCADLN COc1ccc2ncc(C(=O)NCCc3n[nH]c(=S)o3)n2n1 ZINC000832363315 671716086 /nfs/dbraw/zinc/71/60/86/671716086.db2.gz LAWMMYMVCVYBQP-UHFFFAOYSA-N 0 2 320.334 0.382 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)ccn1 ZINC000833296074 671747051 /nfs/dbraw/zinc/74/70/51/671747051.db2.gz OUCNKGJEINWPQB-ZDUSSCGKSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@@H+]2CCS[C@@H]3COCC[C@H]32)C1 ZINC000834156278 671751793 /nfs/dbraw/zinc/75/17/93/671751793.db2.gz ADYFGTKLSRITNV-GMTAPVOTSA-N 0 2 315.395 0.019 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@H+]2CCS[C@@H]3COCC[C@H]32)C1 ZINC000834156278 671751795 /nfs/dbraw/zinc/75/17/95/671751795.db2.gz ADYFGTKLSRITNV-GMTAPVOTSA-N 0 2 315.395 0.019 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@@H+]2CCS[C@H]3COCC[C@@H]32)C1 ZINC000834156281 671752114 /nfs/dbraw/zinc/75/21/14/671752114.db2.gz ADYFGTKLSRITNV-VWYCJHECSA-N 0 2 315.395 0.019 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@H+]2CCS[C@H]3COCC[C@@H]32)C1 ZINC000834156281 671752116 /nfs/dbraw/zinc/75/21/16/671752116.db2.gz ADYFGTKLSRITNV-VWYCJHECSA-N 0 2 315.395 0.019 20 0 DCADLN O=C([O-])Nc1cccc(CC(=O)NC[C@@H]2C[NH+]3CCN2CC3)c1 ZINC000834267595 671752392 /nfs/dbraw/zinc/75/23/92/671752392.db2.gz WNLQNYSXHAERMB-CQSZACIVSA-N 0 2 318.377 0.435 20 0 DCADLN CC(C)CN1C[C@H](C(=O)NCCc2n[nH]c(=S)o2)CC1=O ZINC000841425090 671931331 /nfs/dbraw/zinc/93/13/31/671931331.db2.gz IOOYRYDLTBBFLN-SECBINFHSA-N 0 2 312.395 0.522 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CN(S(=O)(=O)C(F)F)C[C@H]1C(=O)[O-] ZINC000843298818 672079824 /nfs/dbraw/zinc/07/98/24/672079824.db2.gz QGJWQFZRMPUFPJ-RNFRBKRXSA-N 0 2 309.294 0.073 20 0 DCADLN Cn1nnc2cc(NC(=O)CC3SC(=N)NC3=O)ccc21 ZINC000844007202 672136963 /nfs/dbraw/zinc/13/69/63/672136963.db2.gz GNYQHSWWRXEOEK-VIFPVBQESA-N 0 2 304.335 0.463 20 0 DCADLN C[C@H](COCC1CC1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000844937908 672210612 /nfs/dbraw/zinc/21/06/12/672210612.db2.gz ZWEIPIYCPKTPMJ-SECBINFHSA-N 0 2 305.338 0.003 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccn1 ZINC000846493954 672318474 /nfs/dbraw/zinc/31/84/74/672318474.db2.gz CCQRPOHRWXNYFQ-ZDUSSCGKSA-N 0 2 306.366 0.230 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1ccccn1 ZINC000846493954 672318477 /nfs/dbraw/zinc/31/84/77/672318477.db2.gz CCQRPOHRWXNYFQ-ZDUSSCGKSA-N 0 2 306.366 0.230 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccnnc1 ZINC001024673180 693817866 /nfs/dbraw/zinc/81/78/66/693817866.db2.gz JJZQKPHYQUKNTB-NSHDSACASA-N 0 2 317.353 0.085 20 0 DCADLN Cc1nc(C(=O)N(C)C2CN(C(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC000953891930 685887905 /nfs/dbraw/zinc/88/79/05/685887905.db2.gz SDGMWBVBUKVYIU-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1sccc1F ZINC001038225537 694019544 /nfs/dbraw/zinc/01/95/44/694019544.db2.gz OJOFDQDIQZUERK-SSDOTTSWSA-N 0 2 311.342 0.715 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)c(F)c1 ZINC001038355992 694054337 /nfs/dbraw/zinc/05/43/37/694054337.db2.gz GBBAPBXSKJLWSW-VIFPVBQESA-N 0 2 323.303 0.793 20 0 DCADLN CCN(C(=O)[C@H]1C[C@@H]1C(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957059022 686949184 /nfs/dbraw/zinc/94/91/84/686949184.db2.gz MNWQVFUKPFJOBO-NEPJUHHUSA-N 0 2 307.398 0.835 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccccc1F ZINC000958107587 687352574 /nfs/dbraw/zinc/35/25/74/687352574.db2.gz HKFWMNLEKMRASK-IWIIMEHWSA-N 0 2 317.324 0.510 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccccc1F ZINC000958107587 687352581 /nfs/dbraw/zinc/35/25/81/687352581.db2.gz HKFWMNLEKMRASK-IWIIMEHWSA-N 0 2 317.324 0.510 20 0 DCADLN CN(C(=O)C(F)F)[C@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000958380961 687603280 /nfs/dbraw/zinc/60/32/80/687603280.db2.gz QDBFQOYSPCMTJF-HCWXCVPCSA-N 0 2 322.205 0.182 20 0 DCADLN CN(C(=O)C(F)F)[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC000958380961 687603286 /nfs/dbraw/zinc/60/32/86/687603286.db2.gz QDBFQOYSPCMTJF-HCWXCVPCSA-N 0 2 322.205 0.182 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1Cc2ccccc21 ZINC001038658263 694151583 /nfs/dbraw/zinc/15/15/83/694151583.db2.gz KBTQISXSRXYYES-YPMHNXCESA-N 0 2 313.361 0.541 20 0 DCADLN Cc1c(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccn1C ZINC001038674081 694157032 /nfs/dbraw/zinc/15/70/32/694157032.db2.gz NHONDFSYDBYJCH-JTQLQIEISA-N 0 2 304.354 0.162 20 0 DCADLN CCCc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001038864939 694222269 /nfs/dbraw/zinc/22/22/69/694222269.db2.gz TUPPNFAXGVRCFA-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cccnc1 ZINC000964551735 689260406 /nfs/dbraw/zinc/26/04/06/689260406.db2.gz UQCIQRLNKPHLNF-JQWIXIFHSA-N 0 2 316.365 0.546 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccccn1 ZINC000964632118 689274803 /nfs/dbraw/zinc/27/48/03/689274803.db2.gz IPZFFUVGFXUTFA-CMPLNLGQSA-N 0 2 316.365 0.546 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccncc1 ZINC000964636726 689275906 /nfs/dbraw/zinc/27/59/06/689275906.db2.gz PLCFPUIYNVQAFR-ZYHUDNBSSA-N 0 2 316.365 0.546 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cnns1 ZINC000965293526 689399343 /nfs/dbraw/zinc/39/93/43/689399343.db2.gz MMVGVBNYGYXCMX-JGVFFNPUSA-N 0 2 323.382 0.002 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccon1 ZINC000965329843 689414434 /nfs/dbraw/zinc/41/44/34/689414434.db2.gz XYSHBFHQHGRVOU-PSASIEDQSA-N 0 2 306.326 0.139 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCCC1 ZINC000965895372 689572196 /nfs/dbraw/zinc/57/21/96/689572196.db2.gz VHWJUTIKQMFULD-CMPLNLGQSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCCC1 ZINC000965895372 689572201 /nfs/dbraw/zinc/57/22/01/689572201.db2.gz VHWJUTIKQMFULD-CMPLNLGQSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cc(F)c[nH]1 ZINC000967407869 690002478 /nfs/dbraw/zinc/00/24/78/690002478.db2.gz HPOZDZTUDGVLGE-LDYMZIIASA-N 0 2 322.344 0.618 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cnco1 ZINC000967560374 690024251 /nfs/dbraw/zinc/02/42/51/690024251.db2.gz NRHLDEWWOQKLHB-RKDXNWHRSA-N 0 2 306.326 0.139 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C)C1CC1 ZINC000973004954 690505898 /nfs/dbraw/zinc/50/58/98/690505898.db2.gz YJOYDZBLPRSABE-GXSJLCMTSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C)C1CC1 ZINC000973004954 690505902 /nfs/dbraw/zinc/50/59/02/690505902.db2.gz YJOYDZBLPRSABE-GXSJLCMTSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001007188631 690639296 /nfs/dbraw/zinc/63/92/96/690639296.db2.gz MONFGNJQEQGDOC-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@@H]1C(F)F ZINC001007243226 690647945 /nfs/dbraw/zinc/64/79/45/690647945.db2.gz FNZKSMUKJNRBKP-CIUDSAMLSA-N 0 2 315.324 0.492 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2nnc(C3CC3)[nH]2)C1)c1cnn[nH]1 ZINC001007761110 690743293 /nfs/dbraw/zinc/74/32/93/690743293.db2.gz QJUCCYPNZWDCFH-SNVBAGLBSA-N 0 2 316.369 0.195 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(F)c[nH]1 ZINC001007968692 690780250 /nfs/dbraw/zinc/78/02/50/690780250.db2.gz NJWUCXMNOODBAS-VIFPVBQESA-N 0 2 308.317 0.372 20 0 DCADLN Cc1nccc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001009550923 691058973 /nfs/dbraw/zinc/05/89/73/691058973.db2.gz KOARYQNBIVEBMQ-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(C[C@H]1CCCCO1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010525875 691302571 /nfs/dbraw/zinc/30/25/71/691302571.db2.gz DVNUVDUQIUWOHI-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@@H]1C(F)F ZINC001010843605 691400569 /nfs/dbraw/zinc/40/05/69/691400569.db2.gz XCFBJYLEOOFLJT-CSMHCCOUSA-N 0 2 301.297 0.102 20 0 DCADLN CCc1cc(C(=O)N2C[C@H](NC(=O)c3cnn[nH]3)C[C@H]2C)[nH]n1 ZINC001012324721 691748275 /nfs/dbraw/zinc/74/82/75/691748275.db2.gz BXRGMCSIOREZSX-PSASIEDQSA-N 0 2 317.353 0.123 20 0 DCADLN CCc1cc(C(=O)N2C[C@@H](NC(=O)c3ncn[nH]3)C[C@@H]2C)[nH]n1 ZINC001012334020 691754452 /nfs/dbraw/zinc/75/44/52/691754452.db2.gz YHPUFXQMXSQPBU-WPRPVWTQSA-N 0 2 317.353 0.123 20 0 DCADLN CCc1cccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001014741314 692083953 /nfs/dbraw/zinc/08/39/53/692083953.db2.gz DONMAQIMFFLNTQ-LLVKDONJSA-N 0 2 316.365 0.472 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)nc1C ZINC001015294233 692255037 /nfs/dbraw/zinc/25/50/37/692255037.db2.gz MCEHOOZZWJIHAD-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN COc1csc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015497164 692320907 /nfs/dbraw/zinc/32/09/07/692320907.db2.gz BKIDNGCYPOFANT-MRVPVSSYSA-N 0 2 323.378 0.585 20 0 DCADLN CC(C)n1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001015784775 692442756 /nfs/dbraw/zinc/44/27/56/692442756.db2.gz MSEOYQZGZRSHPJ-JTQLQIEISA-N 0 2 319.369 0.292 20 0 DCADLN CCc1nc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001015830362 692459287 /nfs/dbraw/zinc/45/92/87/692459287.db2.gz SEKCMRZRIRTTIC-SECBINFHSA-N 0 2 320.353 0.373 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC12CCCC2 ZINC001015949779 692518992 /nfs/dbraw/zinc/51/89/92/692518992.db2.gz ATEIWVXWHBVMBG-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(C1CC=CC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075637537 694965381 /nfs/dbraw/zinc/96/53/81/694965381.db2.gz LQFIKXUBEOHOQK-NWDGAFQWSA-N 0 2 303.366 0.509 20 0 DCADLN O=C(C1CC=CC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075637537 694965382 /nfs/dbraw/zinc/96/53/82/694965382.db2.gz LQFIKXUBEOHOQK-NWDGAFQWSA-N 0 2 303.366 0.509 20 0 DCADLN C[C@@H](CCNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001076593421 695031182 /nfs/dbraw/zinc/03/11/82/695031182.db2.gz YGANDHPVXVTVTD-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1ccn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001076593421 695031183 /nfs/dbraw/zinc/03/11/83/695031183.db2.gz YGANDHPVXVTVTD-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CS[C@H](C)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571682273 1162900550 /nfs/dbraw/zinc/90/05/50/1162900550.db2.gz XHYUSSZHRDBDNZ-SECBINFHSA-N 0 2 311.411 0.510 20 0 DCADLN CS[C@H](C)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001571682273 1162900555 /nfs/dbraw/zinc/90/05/55/1162900555.db2.gz XHYUSSZHRDBDNZ-SECBINFHSA-N 0 2 311.411 0.510 20 0 DCADLN COc1cc(CN(C)C(=O)C[C@H]2SC(=N)NC2=O)sn1 ZINC001649350778 1158731204 /nfs/dbraw/zinc/73/12/04/1158731204.db2.gz ZOJZHBDCCVTKNP-SSDOTTSWSA-N 0 2 314.392 0.667 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)NC1(C(=O)[O-])CCOCC1 ZINC001771782681 1158771201 /nfs/dbraw/zinc/77/12/01/1158771201.db2.gz CZBRUOCPJHQXPN-UHFFFAOYSA-N 0 2 307.350 0.512 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404681045 1159237516 /nfs/dbraw/zinc/23/75/16/1159237516.db2.gz PLGNPODPKVZGDO-NXEZZACHSA-N 0 2 313.427 0.590 20 0 DCADLN COCc1ncsc1C(=O)NCCc1n[nH]c(=S)o1 ZINC001448070430 1159714029 /nfs/dbraw/zinc/71/40/29/1159714029.db2.gz HDYDGOQRLVLYHG-UHFFFAOYSA-N 0 2 300.365 0.934 20 0 DCADLN C[C@H]1CC[N@H+](Cc2cnc3c(C(=O)[O-])cnn3c2)[C@@H](C(N)=O)C1 ZINC001573645455 1163521645 /nfs/dbraw/zinc/52/16/45/1163521645.db2.gz GEJIYVMAFRKNGG-JOYOIKCWSA-N 0 2 317.349 0.513 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2cnc3c(C(=O)[O-])cnn3c2)[C@@H](C(N)=O)C1 ZINC001573645455 1163521649 /nfs/dbraw/zinc/52/16/49/1163521649.db2.gz GEJIYVMAFRKNGG-JOYOIKCWSA-N 0 2 317.349 0.513 20 0 DCADLN CCn1nnc(C)c1C[NH+]1CCC(C(=O)[O-])([S@@](C)=O)CC1 ZINC001573849970 1163567258 /nfs/dbraw/zinc/56/72/58/1163567258.db2.gz BALBDHLGVNXTTQ-OAQYLSRUSA-N 0 2 314.411 0.404 20 0 DCADLN COC(=O)c1cc(C[NH2+][C@H](C)c2nc(C(=O)[O-])n[nH]2)n(C)c1C ZINC001573937713 1163592563 /nfs/dbraw/zinc/59/25/63/1163592563.db2.gz DFMDOXPEEQNCIZ-SSDOTTSWSA-N 0 2 321.337 0.787 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCc2cc(C(=O)[O-])no2)C1 ZINC001574221734 1163668282 /nfs/dbraw/zinc/66/82/82/1163668282.db2.gz XRANSTPUYBCPDP-SECBINFHSA-N 0 2 319.321 0.805 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@H](C(=O)[O-])[C@@H](O)c1ccncc1 ZINC001574221679 1163668457 /nfs/dbraw/zinc/66/84/57/1163668457.db2.gz VQIYOOLOCNQNAS-KBPBESRZSA-N 0 2 318.333 0.051 20 0 DCADLN O=C([O-])[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](O)c1cccnc1 ZINC001574299298 1163689265 /nfs/dbraw/zinc/68/92/65/1163689265.db2.gz CRVALJNKUHNKDG-OLZOCXBDSA-N 0 2 304.306 0.040 20 0 DCADLN O=C([O-])[C@H](NC(=O)CCc1c[nH+]c[nH]1)[C@@H](O)c1cccnc1 ZINC001574299298 1163689268 /nfs/dbraw/zinc/68/92/68/1163689268.db2.gz CRVALJNKUHNKDG-OLZOCXBDSA-N 0 2 304.306 0.040 20 0 DCADLN O=C([O-])C[C@H](O)C(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC001574365351 1163707049 /nfs/dbraw/zinc/70/70/49/1163707049.db2.gz VWEGLKSEEMJKTH-JTQLQIEISA-N 0 2 313.379 0.903 20 0 DCADLN CCOC(=O)N1C[C@@H](CO)[C@H](NC(=O)c2cccc(NC)c2)C1 ZINC001577966966 1164867155 /nfs/dbraw/zinc/86/71/55/1164867155.db2.gz CQPQZRSKMPICBH-GXTWGEPZSA-N 0 2 321.377 0.907 20 0 DCADLN COC(=O)c1occc1Cn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001579493843 1165334036 /nfs/dbraw/zinc/33/40/36/1165334036.db2.gz WHWUMNIYCHNGAP-UHFFFAOYSA-N 0 2 315.289 0.765 20 0 DCADLN COC[C@@H](NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C1CC1 ZINC001579697752 1165380030 /nfs/dbraw/zinc/38/00/30/1165380030.db2.gz PTCFWARRORPKSL-SNVBAGLBSA-N 0 2 318.337 0.431 20 0 DCADLN [NH3+][C@@H](C(=O)NCc1nn[n-]n1)c1ccccc1Br ZINC001580115115 1165508681 /nfs/dbraw/zinc/50/86/81/1165508681.db2.gz MCAZSOUQPDKYDS-SECBINFHSA-N 0 2 311.143 0.278 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCOC[C@H]1C ZINC001580600795 1165651090 /nfs/dbraw/zinc/65/10/90/1165651090.db2.gz IODVDMVIPNQSAO-PSASIEDQSA-N 0 2 318.337 0.431 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](CCO)C(C)C ZINC001580601242 1165651610 /nfs/dbraw/zinc/65/16/10/1165651610.db2.gz KUUYIOZDKJZFPB-SNVBAGLBSA-N 0 2 320.353 0.413 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC1CCOCC1 ZINC001580602313 1165652488 /nfs/dbraw/zinc/65/24/88/1165652488.db2.gz GXYDKBHBKBOUDM-UHFFFAOYSA-N 0 2 318.337 0.432 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)NCc2ccco2)c1 ZINC001580608654 1165655706 /nfs/dbraw/zinc/65/57/06/1165655706.db2.gz FQFVZUXPAMPPQB-UHFFFAOYSA-N 0 2 314.305 0.246 20 0 DCADLN Cc1ccn(C[C@H]2CCNC(=O)CC2)c(=O)c1-c1nn[nH]n1 ZINC001581274913 1165807865 /nfs/dbraw/zinc/80/78/65/1165807865.db2.gz FOYXZWKUDPQCLY-SNVBAGLBSA-N 0 2 302.338 0.253 20 0 DCADLN C[C@@](CNC(=O)C(N)=O)(NC(=O)c1ncoc1C1CC1)C1CC1 ZINC001582659023 1166062989 /nfs/dbraw/zinc/06/29/89/1166062989.db2.gz LUNWAFBTSKDEQN-HNNXBMFYSA-N 0 2 320.349 0.052 20 0 DCADLN C[C@](CNC(=O)C=C1CCC1)(NC(=O)Cn1cncn1)C1CC1 ZINC001582662875 1166063168 /nfs/dbraw/zinc/06/31/68/1166063168.db2.gz CUYFOIMETMUXKF-MRXNPFEDSA-N 0 2 317.393 0.790 20 0 DCADLN CNC(=O)CC(=O)NC[C@@](C)(NC(=O)[C@H](C)C(C)C)C1CC1 ZINC001582663460 1166063232 /nfs/dbraw/zinc/06/32/32/1166063232.db2.gz MDNRGPGDAJVQAN-BDJLRTHQSA-N 0 2 311.426 0.816 20 0 DCADLN O=c1ccccn1CCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001582809545 1166088177 /nfs/dbraw/zinc/08/81/77/1166088177.db2.gz ZGJLAUPIQPQGGE-UHFFFAOYSA-N 0 2 324.344 0.379 20 0 DCADLN OCc1n[nH]c(CNc2nc(-c3nn[nH]n3)c(Cl)s2)n1 ZINC001582813778 1166094960 /nfs/dbraw/zinc/09/49/60/1166094960.db2.gz YGIOSZYSMYTGGG-UHFFFAOYSA-N 0 2 313.734 0.199 20 0 DCADLN OCc1nc(CNc2nc(-c3nn[nH]n3)c(Cl)s2)n[nH]1 ZINC001582813778 1166094964 /nfs/dbraw/zinc/09/49/64/1166094964.db2.gz YGIOSZYSMYTGGG-UHFFFAOYSA-N 0 2 313.734 0.199 20 0 DCADLN Cc1nn2c(nc(C)cc2C)c1C(=O)Nn1ccc(=O)[nH]c1=O ZINC001586185773 1166482271 /nfs/dbraw/zinc/48/22/71/1166482271.db2.gz AXRKDMYSRHZFLA-UHFFFAOYSA-N 0 2 314.305 0.301 20 0 DCADLN CC(C)c1nc(COC(=O)c2ccnc(-c3nn[nH]n3)c2)n[nH]1 ZINC001589776147 1166685678 /nfs/dbraw/zinc/68/56/78/1166685678.db2.gz LRJPREPIFGLWAD-UHFFFAOYSA-N 0 2 314.309 0.860 20 0 DCADLN C[C@@H]1CCC[C@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001590256196 1166830530 /nfs/dbraw/zinc/83/05/30/1166830530.db2.gz XSXTUPIVUHGXBK-SFYZADRCSA-N 0 2 305.342 0.657 20 0 DCADLN CC[C@H](C)[C@@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)OC ZINC001590398435 1166897500 /nfs/dbraw/zinc/89/75/00/1166897500.db2.gz BLEXUQZFCYZZSU-JGVFFNPUSA-N 0 2 323.357 0.139 20 0 DCADLN CC[C@]1(O)CCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001590499984 1166926788 /nfs/dbraw/zinc/92/67/88/1166926788.db2.gz IZZCIPJZOQGMAZ-AWEZNQCLSA-N 0 2 318.337 0.263 20 0 DCADLN CCCONC(=O)CCc1c(C)[nH]c(=O)c(-c2nn[nH]n2)c1C ZINC001590644951 1166959271 /nfs/dbraw/zinc/95/92/71/1166959271.db2.gz OFNAYHWUUNCEAK-UHFFFAOYSA-N 0 2 320.353 0.975 20 0 DCADLN CCOCC(=O)Cn1nc(CC)c(CC)c(-c2nn[nH]n2)c1=O ZINC001590797351 1167024753 /nfs/dbraw/zinc/02/47/53/1167024753.db2.gz QPBVYPACXLVAKT-UHFFFAOYSA-N 0 2 320.353 0.154 20 0 DCADLN C[N@H+](CCc1ccc(-c2nn[nH]n2)cc1)Cc1n[n-]c(=O)o1 ZINC001591117214 1167108727 /nfs/dbraw/zinc/10/87/27/1167108727.db2.gz MGMOYTLWWDLSBV-UHFFFAOYSA-N 0 2 301.310 0.630 20 0 DCADLN C[N@@H+](CCc1ccc(-c2nn[nH]n2)cc1)Cc1n[n-]c(=O)o1 ZINC001591117214 1167108730 /nfs/dbraw/zinc/10/87/30/1167108730.db2.gz MGMOYTLWWDLSBV-UHFFFAOYSA-N 0 2 301.310 0.630 20 0 DCADLN COC(=O)CCCCn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001591261803 1167184257 /nfs/dbraw/zinc/18/42/57/1167184257.db2.gz ALRSOULOMOOMNU-UHFFFAOYSA-N 0 2 306.326 0.384 20 0 DCADLN COCc1nc(CNc2nc(-c3nn[nH]n3)ccc2C)n[nH]1 ZINC001591461464 1167295909 /nfs/dbraw/zinc/29/59/09/1167295909.db2.gz QLHQMPBBHNYDRQ-UHFFFAOYSA-N 0 2 301.314 0.447 20 0 DCADLN Cc1c(CN(C)Cn2cccc(-c3nn[nH]n3)c2=O)cnn1C ZINC001591818944 1167389032 /nfs/dbraw/zinc/38/90/32/1167389032.db2.gz MOOQPIYKRPILBW-UHFFFAOYSA-N 0 2 314.353 0.160 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2cc(Cl)n(C)n2)c1 ZINC001591837013 1167389661 /nfs/dbraw/zinc/38/96/61/1167389661.db2.gz SSHDZOFROMWVBO-UHFFFAOYSA-N 0 2 305.729 0.772 20 0 DCADLN Cc1nn(Cc2ccncc2F)c(=O)c(-c2nn[nH]n2)c1C ZINC001592438344 1167521635 /nfs/dbraw/zinc/52/16/35/1167521635.db2.gz YPUNXSOEALIVEK-UHFFFAOYSA-N 0 2 301.285 0.623 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)Nc2cccc(-c3nn[nH]n3)n2)n[nH]1 ZINC001592440980 1167524546 /nfs/dbraw/zinc/52/45/46/1167524546.db2.gz JYOOIOXPAHTPEG-ZCFIWIBFSA-N 0 2 314.313 0.571 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCCC2(C1)OCCO2 ZINC001592499930 1167539606 /nfs/dbraw/zinc/53/96/06/1167539606.db2.gz RWMWRLJMNMOUSI-UHFFFAOYSA-N 0 2 319.321 0.747 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H]2C[C@H]1C[S@]2=O ZINC001592499912 1167539778 /nfs/dbraw/zinc/53/97/78/1167539778.db2.gz SSZVLPVEZIEUQZ-IQFKYQIUSA-N 0 2 307.335 0.114 20 0 DCADLN Cn1c(=O)[nH]c(NCC(C)(C)C2CCC2)c(-c2nn[nH]n2)c1=O ZINC001592546855 1167553228 /nfs/dbraw/zinc/55/32/28/1167553228.db2.gz SKMNLUWOQPTHER-UHFFFAOYSA-N 0 2 319.369 0.904 20 0 DCADLN Nc1c(-c2nn[nH]n2)cnn1C(=O)c1cc(F)c(O)c(F)c1 ZINC001592752814 1167630937 /nfs/dbraw/zinc/63/09/37/1167630937.db2.gz GMLZJBRBIGURSB-UHFFFAOYSA-N 0 2 307.220 0.318 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cccc2c1CCOC2 ZINC001593495458 1167880463 /nfs/dbraw/zinc/88/04/63/1167880463.db2.gz CIAUCRQLPGTLJT-UHFFFAOYSA-N 0 2 310.317 0.544 20 0 DCADLN c1c[nH+]c(N2CCN(Cc3ccc(-c4nn[nH]n4)cn3)CC2)[nH]1 ZINC001593544795 1167926330 /nfs/dbraw/zinc/92/63/30/1167926330.db2.gz MOFLQNJUXPHAGD-UHFFFAOYSA-N 0 2 311.353 0.307 20 0 DCADLN CC(C)(C)C=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001597722080 1168011091 /nfs/dbraw/zinc/01/10/91/1168011091.db2.gz IJXDYPYBDDTILX-AATRIKPKSA-N 0 2 300.384 0.568 20 0 DCADLN CCn1cc(Cl)c(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001597894126 1168013760 /nfs/dbraw/zinc/01/37/60/1168013760.db2.gz SQMYEVVAZPUEPF-VIFPVBQESA-N 0 2 311.729 0.636 20 0 DCADLN COC[C@@H](NC(=O)c1ccccc1C[NH+]1CCOCC1)C(=O)[O-] ZINC001599174441 1168068905 /nfs/dbraw/zinc/06/89/05/1168068905.db2.gz LKNRCZYYYVFVRY-CQSZACIVSA-N 0 2 322.361 0.348 20 0 DCADLN Cc1cc(C)c(C(=O)NCCn2cc(C(=O)[O-])nn2)c(C)[nH+]1 ZINC001600152527 1168128273 /nfs/dbraw/zinc/12/82/73/1168128273.db2.gz GTFNLEJKVSPANJ-UHFFFAOYSA-N 0 2 303.322 0.727 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@@H+](C)[C@H](C)[C@H]2C)oc1C(=O)[O-] ZINC001600294153 1168157984 /nfs/dbraw/zinc/15/79/84/1168157984.db2.gz YWSUWPRONTUNQG-NXEZZACHSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1ccccc1OC[C@H](O)C[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001600585902 1168203507 /nfs/dbraw/zinc/20/35/07/1168203507.db2.gz OEFDMYQVWIAICN-CHWSQXEVSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1ccccc1OC[C@H](O)C[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001600585902 1168203514 /nfs/dbraw/zinc/20/35/14/1168203514.db2.gz OEFDMYQVWIAICN-CHWSQXEVSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)O[C@@H](C)C(=O)NCC(=O)[O-])ccn12 ZINC001600626019 1168217989 /nfs/dbraw/zinc/21/79/89/1168217989.db2.gz BSPJSFJFPZLBKK-VIFPVBQESA-N 0 2 305.290 0.389 20 0 DCADLN Cc1n[nH]c(C)c1[C@H]1COCC[N@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001600668271 1168236323 /nfs/dbraw/zinc/23/63/23/1168236323.db2.gz SAGBALVWEAHIMV-GFCCVEGCSA-N 0 2 324.381 0.333 20 0 DCADLN Cc1n[nH]c(C)c1[C@H]1COCC[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001600668271 1168236334 /nfs/dbraw/zinc/23/63/34/1168236334.db2.gz SAGBALVWEAHIMV-GFCCVEGCSA-N 0 2 324.381 0.333 20 0 DCADLN Cc1ncc(NC(=O)NCC[N@H+]2CCOC[C@H]2C)cc1C(=O)[O-] ZINC001600743798 1168271788 /nfs/dbraw/zinc/27/17/88/1168271788.db2.gz RJXUIBPNWQQAEE-SNVBAGLBSA-N 0 2 322.365 0.930 20 0 DCADLN Cc1ncc(NC(=O)NCC[N@@H+]2CCOC[C@H]2C)cc1C(=O)[O-] ZINC001600743798 1168271798 /nfs/dbraw/zinc/27/17/98/1168271798.db2.gz RJXUIBPNWQQAEE-SNVBAGLBSA-N 0 2 322.365 0.930 20 0 DCADLN Cn1cc(C[NH+]2CCN(c3cc(CC(=O)[O-])ccn3)CC2)cn1 ZINC001600953750 1168529926 /nfs/dbraw/zinc/52/99/26/1168529926.db2.gz YPXWDPQNPVQJBG-UHFFFAOYSA-N 0 2 315.377 0.764 20 0 DCADLN Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)NC[C@H](F)C(=O)[O-])C1 ZINC001600985988 1168545263 /nfs/dbraw/zinc/54/52/63/1168545263.db2.gz WKRFZNJXMXFPBZ-MNOVXSKESA-N 0 2 312.345 0.807 20 0 DCADLN Cn1ccnc1C[N@H+]1CC=C(CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001600985501 1168546613 /nfs/dbraw/zinc/54/66/13/1168546613.db2.gz PYKXQTGQOZHQKV-STQMWFEESA-N 0 2 318.377 0.389 20 0 DCADLN Cn1ccnc1C[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001600985501 1168546620 /nfs/dbraw/zinc/54/66/20/1168546620.db2.gz PYKXQTGQOZHQKV-STQMWFEESA-N 0 2 318.377 0.389 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N[C@H]2C[C@H]3C[C@@H](C(=O)[O-])C[C@H]32)c1 ZINC001600988948 1168547565 /nfs/dbraw/zinc/54/75/65/1168547565.db2.gz PEPSHYKLXHTQDF-WFFHOREQSA-N 0 2 306.366 0.761 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccsc1C(=O)[O-] ZINC001600993081 1168550652 /nfs/dbraw/zinc/55/06/52/1168550652.db2.gz LTMACFNVZLIEHY-UHFFFAOYSA-N 0 2 308.319 0.435 20 0 DCADLN Cn1cnnc1N1CC[NH+](Cc2cc(C(=O)[O-])ccc2F)CC1 ZINC001600995092 1168551612 /nfs/dbraw/zinc/55/16/12/1168551612.db2.gz HVRDDPBUXYBABU-UHFFFAOYSA-N 0 2 319.340 0.975 20 0 DCADLN Cn1nccc1[C@@H]1CCC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001601035975 1168573768 /nfs/dbraw/zinc/57/37/68/1168573768.db2.gz BMCPJAZCRAKPMP-AWEZNQCLSA-N 0 2 320.393 0.880 20 0 DCADLN Cn1nccc1[C@@H]1CCC[N@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001601035975 1168573770 /nfs/dbraw/zinc/57/37/70/1168573770.db2.gz BMCPJAZCRAKPMP-AWEZNQCLSA-N 0 2 320.393 0.880 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)/C=C/C[NH+]2CCOCC2)cn1 ZINC001601230847 1168671286 /nfs/dbraw/zinc/67/12/86/1168671286.db2.gz GQJRNLNEHYHJJS-OWOJBTEDSA-N 0 2 305.334 0.284 20 0 DCADLN O=C(CCOC1CCOCC1)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001601280470 1168690308 /nfs/dbraw/zinc/69/03/08/1168690308.db2.gz ONPTWDPNHZLIBM-GFCCVEGCSA-N 0 2 311.338 0.038 20 0 DCADLN O=C([O-])C1(O)CC[NH+](CC(=O)Nc2ccc(Cl)nc2)CC1 ZINC001601303743 1168695505 /nfs/dbraw/zinc/69/55/05/1168695505.db2.gz FGDKMLNXQIUIFP-UHFFFAOYSA-N 0 2 313.741 0.585 20 0 DCADLN O=C([O-])c1c(NC(=O)C[N@H+]2C[C@H]3C[C@@]3(O)C2)sc2c1CCC2 ZINC001601306449 1168698643 /nfs/dbraw/zinc/69/86/43/1168698643.db2.gz KJZJVVAJBUSYOU-ANRSDYALSA-N 0 2 322.386 0.940 20 0 DCADLN O=C([O-])c1c(NC(=O)C[N@@H+]2C[C@H]3C[C@@]3(O)C2)sc2c1CCC2 ZINC001601306449 1168698646 /nfs/dbraw/zinc/69/86/46/1168698646.db2.gz KJZJVVAJBUSYOU-ANRSDYALSA-N 0 2 322.386 0.940 20 0 DCADLN O=C([O-])c1cccc(NC(=O)CN2CCc3[nH+]c[nH]c3C2)n1 ZINC001601317947 1168705268 /nfs/dbraw/zinc/70/52/68/1168705268.db2.gz KOALZZGUJWIQHH-UHFFFAOYSA-N 0 2 301.306 0.500 20 0 DCADLN O=C([O-])C1(NC(=O)Cc2cn3c([nH+]2)CCCC3)CC(CO)C1 ZINC001601372981 1168723197 /nfs/dbraw/zinc/72/31/97/1168723197.db2.gz VSKZWIKQVOZMTL-UHFFFAOYSA-N 0 2 307.350 0.104 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccnc2ccncc21 ZINC001601425425 1168741659 /nfs/dbraw/zinc/74/16/59/1168741659.db2.gz AENWSUSMMFZHDU-ZDUSSCGKSA-N 0 2 311.301 0.710 20 0 DCADLN O=C([O-])C1(O)CN(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)C1 ZINC001601545532 1168780395 /nfs/dbraw/zinc/78/03/95/1168780395.db2.gz QJVZPAUZIRERDD-UHFFFAOYSA-N 0 2 320.349 0.023 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1Cc2cccnc2C1 ZINC001601566152 1168783005 /nfs/dbraw/zinc/78/30/05/1168783005.db2.gz ZGLMTEMIQNLCQN-GWCFXTLKSA-N 0 2 300.318 0.332 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1Cc2cccnc2C1 ZINC001601566152 1168783008 /nfs/dbraw/zinc/78/30/08/1168783008.db2.gz ZGLMTEMIQNLCQN-GWCFXTLKSA-N 0 2 300.318 0.332 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCc2cccnc21 ZINC001601663413 1168808846 /nfs/dbraw/zinc/80/88/46/1168808846.db2.gz TXHBXGAJZUEQOG-VXGBXAGGSA-N 0 2 300.318 0.578 20 0 DCADLN O=C([O-])C1(O)CN(C(=O)[C@H]2CC[C@@H](Nc3cccc[nH+]3)CC2)C1 ZINC001601722439 1168832092 /nfs/dbraw/zinc/83/20/92/1168832092.db2.gz AFOKYWAIPQSMEY-TXEJJXNPSA-N 0 2 319.361 0.710 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](Cc2cnn(-c3ccccc3)n2)C1 ZINC001601769215 1168867398 /nfs/dbraw/zinc/86/73/98/1168867398.db2.gz MYPGKDDYURSFBU-BXUZGUMPSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](Cc2cnn(-c3ccccc3)n2)C1 ZINC001601769215 1168867405 /nfs/dbraw/zinc/86/74/05/1168867405.db2.gz MYPGKDDYURSFBU-BXUZGUMPSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001601779687 1168885980 /nfs/dbraw/zinc/88/59/80/1168885980.db2.gz QKBQNFNPYIJDPL-NEPJUHHUSA-N 0 2 309.391 0.551 20 0 DCADLN O=C([O-])[C@H]1Cc2ccc(O)cc2CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001601867237 1168920067 /nfs/dbraw/zinc/92/00/67/1168920067.db2.gz VJJUMYQTTQFZBZ-GFCCVEGCSA-N 0 2 301.302 0.696 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1C[C@@H](O)Cc1ccc(F)cc1 ZINC001601939204 1168941548 /nfs/dbraw/zinc/94/15/48/1168941548.db2.gz JQSBONJQIUYQOF-QWHCGFSZSA-N 0 2 310.325 0.004 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1C[C@@H](O)Cc1ccc(F)cc1 ZINC001601939204 1168941555 /nfs/dbraw/zinc/94/15/55/1168941555.db2.gz JQSBONJQIUYQOF-QWHCGFSZSA-N 0 2 310.325 0.004 20 0 DCADLN O=C([O-])CCNC(=O)C[N@@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC001602021431 1168969356 /nfs/dbraw/zinc/96/93/56/1168969356.db2.gz GDNVDKJDCGFFKM-SECBINFHSA-N 0 2 312.288 0.621 20 0 DCADLN O=C([O-])CCNC(=O)C[N@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC001602021431 1168969362 /nfs/dbraw/zinc/96/93/62/1168969362.db2.gz GDNVDKJDCGFFKM-SECBINFHSA-N 0 2 312.288 0.621 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1CC(=O)N1CCCCCC1 ZINC001602068008 1168984913 /nfs/dbraw/zinc/98/49/13/1168984913.db2.gz YXKLBAKOEXQXJF-GFCCVEGCSA-N 0 2 311.382 0.054 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1CC(=O)N1CCCCCC1 ZINC001602068008 1168984920 /nfs/dbraw/zinc/98/49/20/1168984920.db2.gz YXKLBAKOEXQXJF-GFCCVEGCSA-N 0 2 311.382 0.054 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1Cc1ccnn1CC1CCC1 ZINC001602068750 1168985846 /nfs/dbraw/zinc/98/58/46/1168985846.db2.gz VGCPTMKWMDYHRE-CQSZACIVSA-N 0 2 320.393 0.848 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1Cc1ccnn1CC1CCC1 ZINC001602068750 1168985849 /nfs/dbraw/zinc/98/58/49/1168985849.db2.gz VGCPTMKWMDYHRE-CQSZACIVSA-N 0 2 320.393 0.848 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@H]2CC(=O)N(C3CCCCC3)C2)nn1 ZINC001602161649 1169008465 /nfs/dbraw/zinc/00/84/65/1169008465.db2.gz HDPFZXVJUWKFHE-NSHDSACASA-N 0 2 321.381 0.386 20 0 DCADLN O=C([O-])c1cc(N2CC[NH+](Cc3cncs3)CC2)ncn1 ZINC001602279538 1169048157 /nfs/dbraw/zinc/04/81/57/1169048157.db2.gz ZBJDSGFGFGMTPO-UHFFFAOYSA-N 0 2 305.363 0.954 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)s1 ZINC001602395798 1169069727 /nfs/dbraw/zinc/06/97/27/1169069727.db2.gz ULUVYRIMPJSYLT-UHFFFAOYSA-N 0 2 313.360 0.847 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)nn1 ZINC001602492926 1169090756 /nfs/dbraw/zinc/09/07/56/1169090756.db2.gz IEJGPVZIADQKLM-SNVBAGLBSA-N 0 2 304.310 0.106 20 0 DCADLN O=C([O-])c1cncc(-n2cc(C[NH+]3CCC(O)CC3)nn2)c1 ZINC001602534067 1169108813 /nfs/dbraw/zinc/10/88/13/1169108813.db2.gz OTUXEJRNRXLEAM-UHFFFAOYSA-N 0 2 303.322 0.317 20 0 DCADLN O=C([O-])c1nscc1S(=O)(=O)NCCn1cc[nH+]c1 ZINC001602588681 1169127239 /nfs/dbraw/zinc/12/72/39/1169127239.db2.gz HIZBFXGIUZQNPM-UHFFFAOYSA-N 0 2 302.337 0.016 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCC(=O)c2cccn21 ZINC001602642481 1169143042 /nfs/dbraw/zinc/14/30/42/1169143042.db2.gz NLPGZSYVTYPKOW-CMPLNLGQSA-N 0 2 316.317 0.472 20 0 DCADLN C/C(=C/C(=O)N[C@H]1C[C@H]2C[C@@H](C(=O)[O-])C[C@H]21)C[NH+]1CCOCC1 ZINC001602954524 1169220077 /nfs/dbraw/zinc/22/00/77/1169220077.db2.gz DRLXDMBRKCYSTC-MWOOOAIZSA-N 0 2 322.405 0.880 20 0 DCADLN Cc1cnc2nc(C(=O)N[C@H](C)c3nn(C)cc3O)nn2c1 ZINC001603115642 1169249603 /nfs/dbraw/zinc/24/96/03/1169249603.db2.gz AIOJYEFZJQOHHK-MRVPVSSYSA-N 0 2 301.310 0.363 20 0 DCADLN C[C@@H](C(=O)N[C@@H](C(=O)[O-])c1ccnn1C)[NH+]1CCSCC1 ZINC001603154081 1169256569 /nfs/dbraw/zinc/25/65/69/1169256569.db2.gz XNRNDSGTAQOATL-GXSJLCMTSA-N 0 2 312.395 0.099 20 0 DCADLN CC(C)OCCCCNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603352161 1169298729 /nfs/dbraw/zinc/29/87/29/1169298729.db2.gz RSWHWMAEDCIBPN-UHFFFAOYSA-N 0 2 323.357 0.283 20 0 DCADLN CC(C)(CN1CC(c2cc(C(=O)[O-])n[nH]2)C1)[NH+]1CCOCC1 ZINC001603619097 1169334337 /nfs/dbraw/zinc/33/43/37/1169334337.db2.gz XSLZQSKNCSUYOR-UHFFFAOYSA-N 0 2 308.382 0.618 20 0 DCADLN CCC(C)(C)CCNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603981912 1169463298 /nfs/dbraw/zinc/46/32/98/1169463298.db2.gz PVBLLYSSJVWRLB-UHFFFAOYSA-N 0 2 307.358 0.904 20 0 DCADLN C[C@H](CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])c1cnn(C)c1 ZINC001604067702 1169485794 /nfs/dbraw/zinc/48/57/94/1169485794.db2.gz CVKTUQFNCXCCMI-ZYHUDNBSSA-N 0 2 305.338 0.380 20 0 DCADLN C[C@H](CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])c1cnn(C)c1 ZINC001604067701 1169486200 /nfs/dbraw/zinc/48/62/00/1169486200.db2.gz CVKTUQFNCXCCMI-PWSUYJOCSA-N 0 2 305.338 0.380 20 0 DCADLN CC[C@@H]1CCCCN1C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001604102638 1169491350 /nfs/dbraw/zinc/49/13/50/1169491350.db2.gz FXHQJJGYCPWXDO-SNVBAGLBSA-N 0 2 317.353 0.215 20 0 DCADLN C[C@H](NC(=O)N1CC[C@H](C(=O)[O-])[C@H]1C)[C@@H]1CN(C)CC[N@@H+]1C ZINC001604280452 1169545438 /nfs/dbraw/zinc/54/54/38/1169545438.db2.gz ZYRAQRXPFLQNGQ-RNJOBUHISA-N 0 2 312.414 0.125 20 0 DCADLN C[C@H](NC(=O)N1CC[C@H](C(=O)[O-])[C@H]1C)[C@@H]1CN(C)CC[N@H+]1C ZINC001604280452 1169545445 /nfs/dbraw/zinc/54/54/45/1169545445.db2.gz ZYRAQRXPFLQNGQ-RNJOBUHISA-N 0 2 312.414 0.125 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](CC)CC(=O)N(C)C)C(=O)[O-] ZINC001604928575 1169748220 /nfs/dbraw/zinc/74/82/20/1169748220.db2.gz FWANMAACFUNEGZ-GWCFXTLKSA-N 0 2 301.387 0.012 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](CC)CC(=O)N(C)C)C(=O)[O-] ZINC001604928575 1169748222 /nfs/dbraw/zinc/74/82/22/1169748222.db2.gz FWANMAACFUNEGZ-GWCFXTLKSA-N 0 2 301.387 0.012 20 0 DCADLN COC[C@@H](C)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605051848 1169796899 /nfs/dbraw/zinc/79/68/99/1169796899.db2.gz RNPMOPPGKWOWDX-ZETCQYMHSA-N 0 2 306.326 0.288 20 0 DCADLN C[C@@H]1CC=CC[C@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001605408603 1169914651 /nfs/dbraw/zinc/91/46/51/1169914651.db2.gz RNENJIXPVFPBEW-RKDXNWHRSA-N 0 2 317.353 0.031 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@@H](C)CC(C)C)c(=O)n1C ZINC001605462515 1169930204 /nfs/dbraw/zinc/93/02/04/1169930204.db2.gz FUWAEFPVDORDIY-VIFPVBQESA-N 0 2 306.370 0.718 20 0 DCADLN CCNc1cc(CNC(=O)N2CCO[C@@H](CC(=O)[O-])C2)cc[nH+]1 ZINC001605500967 1169940412 /nfs/dbraw/zinc/94/04/12/1169940412.db2.gz FYTFUYWEQJPLCY-LBPRGKRZSA-N 0 2 322.365 0.899 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N=[S@](C)(=O)C(C)C ZINC001605506795 1169941334 /nfs/dbraw/zinc/94/13/34/1169941334.db2.gz QQGBDSWEPPBZPI-JOCHJYFZSA-N 0 2 324.366 0.922 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1CC(F)(F)C1 ZINC001605506488 1169941424 /nfs/dbraw/zinc/94/14/24/1169941424.db2.gz AMYOOSGYKOZYHP-UHFFFAOYSA-N 0 2 310.264 0.803 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCc1ccco1 ZINC001605510646 1169943379 /nfs/dbraw/zinc/94/33/79/1169943379.db2.gz URVOLMMZBJJKGO-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN Cc1cc(C)cc([C@H](O)Cn2cnc(-c3nn[nH]n3)cc2=O)c1 ZINC001605645256 1169981257 /nfs/dbraw/zinc/98/12/57/1169981257.db2.gz ZHPVGDCWQPBKDI-CYBMUJFWSA-N 0 2 312.333 0.774 20 0 DCADLN CN(C(=O)CCc1c[nH+]cn1C)[C@@H](COC1CCC1)C(=O)[O-] ZINC001605961377 1170037602 /nfs/dbraw/zinc/03/76/02/1170037602.db2.gz FJLNYRDFZAUIDL-ZDUSSCGKSA-N 0 2 309.366 0.833 20 0 DCADLN Cc1nc(C2CN(c3snc(C)c3-c3nn[nH]n3)C2)n[nH]1 ZINC001606040593 1170062632 /nfs/dbraw/zinc/06/26/32/1170062632.db2.gz VOVRLPMSVUHRIZ-UHFFFAOYSA-N 0 2 303.355 0.662 20 0 DCADLN Cc1nn(C[C@@H]2CCC[C@H](O)C2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114518 1170078498 /nfs/dbraw/zinc/07/84/98/1170078498.db2.gz TWEJBHVOEMAJOY-MNOVXSKESA-N 0 2 304.354 0.591 20 0 DCADLN Cn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@H](C(=O)[O-])C1 ZINC001606224479 1170123006 /nfs/dbraw/zinc/12/30/06/1170123006.db2.gz JNBRWOFRHNETOR-QWRGUYRKSA-N 0 2 309.370 0.262 20 0 DCADLN Cn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCO[C@H](C(=O)[O-])C1 ZINC001606224479 1170123010 /nfs/dbraw/zinc/12/30/10/1170123010.db2.gz JNBRWOFRHNETOR-QWRGUYRKSA-N 0 2 309.370 0.262 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001606369839 1170171704 /nfs/dbraw/zinc/17/17/04/1170171704.db2.gz HYRDJAYNTAHJFL-KOLCDFICSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001606369839 1170171706 /nfs/dbraw/zinc/17/17/06/1170171706.db2.gz HYRDJAYNTAHJFL-KOLCDFICSA-N 0 2 309.322 0.207 20 0 DCADLN O=C(CCC1CCCC1)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001606511855 1170224769 /nfs/dbraw/zinc/22/47/69/1170224769.db2.gz AVDSBBJAGKYACV-UHFFFAOYSA-N 0 2 302.338 0.963 20 0 DCADLN COCCO[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001606901073 1170327233 /nfs/dbraw/zinc/32/72/33/1170327233.db2.gz GMIKRNZJRIDXLU-LLVKDONJSA-N 0 2 323.349 0.456 20 0 DCADLN COCCO[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001606901073 1170327239 /nfs/dbraw/zinc/32/72/39/1170327239.db2.gz GMIKRNZJRIDXLU-LLVKDONJSA-N 0 2 323.349 0.456 20 0 DCADLN O=C(c1csc(-c2nn[nH]n2)c1)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001607032205 1170360838 /nfs/dbraw/zinc/36/08/38/1170360838.db2.gz VMFMNGIIDWETHA-ZCFIWIBFSA-N 0 2 317.338 0.071 20 0 DCADLN N=C1NC(=O)C(CC(=O)NOCCOc2ccccc2)S1 ZINC001607205650 1170414074 /nfs/dbraw/zinc/41/40/74/1170414074.db2.gz XGIBGPSIFPUYAO-JTQLQIEISA-N 0 2 309.347 0.670 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NOCCOc2ccccc2)S1 ZINC001607205650 1170414077 /nfs/dbraw/zinc/41/40/77/1170414077.db2.gz XGIBGPSIFPUYAO-JTQLQIEISA-N 0 2 309.347 0.670 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N2CC[C@@H]2C(=O)[O-])cc1 ZINC001607253833 1170418914 /nfs/dbraw/zinc/41/89/14/1170418914.db2.gz LZEHWUIAIMQKLR-ZIAGYGMSSA-N 0 2 304.346 0.955 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N2CC[C@@H]2C(=O)[O-])cc1 ZINC001607253833 1170418916 /nfs/dbraw/zinc/41/89/16/1170418916.db2.gz LZEHWUIAIMQKLR-ZIAGYGMSSA-N 0 2 304.346 0.955 20 0 DCADLN COc1ncncc1C[N@@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001607361898 1170436891 /nfs/dbraw/zinc/43/68/91/1170436891.db2.gz VMFSDRNCUFLFTB-NSHDSACASA-N 0 2 318.337 0.612 20 0 DCADLN COc1ncncc1C[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001607361898 1170436897 /nfs/dbraw/zinc/43/68/97/1170436897.db2.gz VMFSDRNCUFLFTB-NSHDSACASA-N 0 2 318.337 0.612 20 0 DCADLN Cc1ccc(C(=O)C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001607724198 1170499953 /nfs/dbraw/zinc/49/99/53/1170499953.db2.gz GTYJJRCLYKVFGM-LBPRGKRZSA-N 0 2 301.302 0.644 20 0 DCADLN Cc1nc2ccnn2cc1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001607974327 1170536463 /nfs/dbraw/zinc/53/64/63/1170536463.db2.gz GDWGINPQXKHYFP-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN Cc1ncc(S(=O)(=O)NCCn2cc[nH+]c2)cc1C(=O)[O-] ZINC001607985726 1170539192 /nfs/dbraw/zinc/53/91/92/1170539192.db2.gz VCEGYUCNSLURKF-UHFFFAOYSA-N 0 2 310.335 0.263 20 0 DCADLN Cc1noc(C[N@@H+]2CCC3(CN(C(=O)[C@@H]4C[C@H]4C(=O)[O-])C3)C2)n1 ZINC001608028068 1170552961 /nfs/dbraw/zinc/55/29/61/1170552961.db2.gz QRRQDAPZPUCQQS-GHMZBOCLSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1noc(C[N@H+]2CCC3(CN(C(=O)[C@@H]4C[C@H]4C(=O)[O-])C3)C2)n1 ZINC001608028068 1170552968 /nfs/dbraw/zinc/55/29/68/1170552968.db2.gz QRRQDAPZPUCQQS-GHMZBOCLSA-N 0 2 320.349 0.133 20 0 DCADLN Cn1c(=O)oc2cc(C[N@@H+]3CCO[C@@H](CC(=O)[O-])C3)ccc21 ZINC001608077365 1170565890 /nfs/dbraw/zinc/56/58/90/1170565890.db2.gz SUDFKOGFVKGNRK-NSHDSACASA-N 0 2 306.318 0.807 20 0 DCADLN Cn1c(=O)oc2cc(C[N@H+]3CCO[C@@H](CC(=O)[O-])C3)ccc21 ZINC001608077365 1170565894 /nfs/dbraw/zinc/56/58/94/1170565894.db2.gz SUDFKOGFVKGNRK-NSHDSACASA-N 0 2 306.318 0.807 20 0 DCADLN NS(=O)(=O)[C@@H]1CC[N@H+](Cc2cccc(C(=O)[O-])c2Cl)C1 ZINC001608259642 1170635449 /nfs/dbraw/zinc/63/54/49/1170635449.db2.gz OENWMIKKDOWDTD-SECBINFHSA-N 0 2 318.782 0.901 20 0 DCADLN NS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cccc(C(=O)[O-])c2Cl)C1 ZINC001608259642 1170635452 /nfs/dbraw/zinc/63/54/52/1170635452.db2.gz OENWMIKKDOWDTD-SECBINFHSA-N 0 2 318.782 0.901 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)CCCn1cc[nH+]c1 ZINC001608309530 1170647607 /nfs/dbraw/zinc/64/76/07/1170647607.db2.gz RPZPLFYVMCVMBJ-ZDUSSCGKSA-N 0 2 302.334 0.870 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cn1ccc(C(F)F)n1 ZINC001608378375 1170664307 /nfs/dbraw/zinc/66/43/07/1170664307.db2.gz IEOQWCQEDIKXHU-SECBINFHSA-N 0 2 313.264 0.287 20 0 DCADLN O=C([O-])[C@@H](Cc1cncs1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001608402945 1170670465 /nfs/dbraw/zinc/67/04/65/1170670465.db2.gz XRADTTQDYYEWGS-GXSJLCMTSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCc2ncncc2C1 ZINC001608485302 1170687886 /nfs/dbraw/zinc/68/78/86/1170687886.db2.gz AHVMEGIKWAISNO-ZANVPECISA-N 0 2 315.333 0.117 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCc2ncncc2C1 ZINC001608485302 1170687889 /nfs/dbraw/zinc/68/78/89/1170687889.db2.gz AHVMEGIKWAISNO-ZANVPECISA-N 0 2 315.333 0.117 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)[C@H](c1ccccc1)[NH+]1CCC(O)CC1 ZINC001608536400 1170698206 /nfs/dbraw/zinc/69/82/06/1170698206.db2.gz OMYFRTAKEWSMGC-KGLIPLIRSA-N 0 2 324.352 0.723 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)CN(C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001608603910 1170715112 /nfs/dbraw/zinc/71/51/12/1170715112.db2.gz IUJSWIHADZOUMY-KOLCDFICSA-N 0 2 304.306 0.634 20 0 DCADLN O=C([O-])CCNC(=O)C[NH2+][C@H](CO)c1ccc(F)cc1F ZINC001608729605 1170746531 /nfs/dbraw/zinc/74/65/31/1170746531.db2.gz OJIQJPCRJUDYCS-LLVKDONJSA-N 0 2 302.277 0.179 20 0 DCADLN Cc1ccn(C[C@H]2CCCS(=O)(=O)C2)c(=O)c1-c1nn[nH]n1 ZINC001609437510 1170975880 /nfs/dbraw/zinc/97/58/80/1170975880.db2.gz JFBDLOOGWOIXPU-SNVBAGLBSA-N 0 2 323.378 0.162 20 0 DCADLN O=S(=O)(Nc1cnoc1)c1ccc(-c2nn[nH]n2)c(F)c1 ZINC001609596562 1171035853 /nfs/dbraw/zinc/03/58/53/1171035853.db2.gz UFGLDVWUMRJEAD-UHFFFAOYSA-N 0 2 310.270 0.795 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1CCCOCC(F)(F)F ZINC001609604936 1171039370 /nfs/dbraw/zinc/03/93/70/1171039370.db2.gz YHNKVEFXEYFHGV-UHFFFAOYSA-N 0 2 304.232 0.392 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CN1CCCOCC1)CCC2 ZINC001609603824 1171039931 /nfs/dbraw/zinc/03/99/31/1171039931.db2.gz FVZLPDBHOZDYQP-UHFFFAOYSA-N 0 2 316.365 0.197 20 0 DCADLN C[C@@H](C(=O)NC1(C(=O)[O-])CCSCC1)[NH+]1CCSCC1 ZINC001609635128 1171057489 /nfs/dbraw/zinc/05/74/89/1171057489.db2.gz SPEVRNWCGNNKRI-JTQLQIEISA-N 0 2 318.464 0.890 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)N1CCC[C@](C)(C(=O)[O-])C1 ZINC001609750889 1171078234 /nfs/dbraw/zinc/07/82/34/1171078234.db2.gz GYQCHWLOXYLQME-ABAIWWIYSA-N 0 2 322.365 0.101 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(C(=O)[O-])cc2C)CC1 ZINC001610006811 1171136703 /nfs/dbraw/zinc/13/67/03/1171136703.db2.gz GDUKCZULWBFSNK-UHFFFAOYSA-N 0 2 319.361 0.796 20 0 DCADLN CNC(=O)c1cccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001610145028 1171175845 /nfs/dbraw/zinc/17/58/45/1171175845.db2.gz LFEMPFFHDZNQAG-LBPRGKRZSA-N 0 2 316.317 0.126 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccn1 ZINC001610198857 1171195660 /nfs/dbraw/zinc/19/56/60/1171195660.db2.gz VMUPMEWEOOIQBJ-JTQLQIEISA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccn1 ZINC001610198857 1171195666 /nfs/dbraw/zinc/19/56/66/1171195666.db2.gz VMUPMEWEOOIQBJ-JTQLQIEISA-N 0 2 318.289 0.017 20 0 DCADLN COc1cc(C[N@@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc2c1OCCO2 ZINC001610298424 1171217256 /nfs/dbraw/zinc/21/72/56/1171217256.db2.gz PVPCBKZTCAJRHM-MNOVXSKESA-N 0 2 309.318 0.486 20 0 DCADLN COc1cc(C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc2c1OCCO2 ZINC001610298424 1171217259 /nfs/dbraw/zinc/21/72/59/1171217259.db2.gz PVPCBKZTCAJRHM-MNOVXSKESA-N 0 2 309.318 0.486 20 0 DCADLN COc1cc(OC)cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001610306774 1171218223 /nfs/dbraw/zinc/21/82/23/1171218223.db2.gz PLEPVRGEEYMJDK-CYBMUJFWSA-N 0 2 319.317 0.784 20 0 DCADLN Cc1cc(NC(=O)C[NH+]2CCC3(CC(C(=O)[O-])=NO3)CC2)on1 ZINC001610470711 1171240010 /nfs/dbraw/zinc/24/00/10/1171240010.db2.gz LADCMWLRXCMJSG-UHFFFAOYSA-N 0 2 322.321 0.617 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001610533628 1171248148 /nfs/dbraw/zinc/24/81/48/1171248148.db2.gz VMKPJXPFHARCGP-GDBMZVCRSA-N 0 2 320.389 0.863 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001610533628 1171248150 /nfs/dbraw/zinc/24/81/50/1171248150.db2.gz VMKPJXPFHARCGP-GDBMZVCRSA-N 0 2 320.389 0.863 20 0 DCADLN Cn1nccc1[C@@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(=O)[O-] ZINC001610616153 1171269312 /nfs/dbraw/zinc/26/93/12/1171269312.db2.gz SQNJMHFVELEASA-JOYOIKCWSA-N 0 2 303.322 0.121 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccnn1CC(F)F ZINC001610701229 1171295185 /nfs/dbraw/zinc/29/51/85/1171295185.db2.gz BXWYVTQPTHJDKS-QMMMGPOBSA-N 0 2 313.264 0.297 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccnn1CC(F)F ZINC001610701229 1171295191 /nfs/dbraw/zinc/29/51/91/1171295191.db2.gz BXWYVTQPTHJDKS-QMMMGPOBSA-N 0 2 313.264 0.297 20 0 DCADLN O=C([O-])[C@@H]1C[NH2+]CCCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC001610747739 1171308157 /nfs/dbraw/zinc/30/81/57/1171308157.db2.gz QJHCPGDGYBEZMX-JTQLQIEISA-N 0 2 300.261 0.559 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1C[C@H](O)c1ccc(Cl)cc1 ZINC001610762306 1171315039 /nfs/dbraw/zinc/31/50/39/1171315039.db2.gz XNIVGGNAWKQWMS-RYUDHWBXSA-N 0 2 312.753 0.649 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1C[C@H](O)c1ccc(Cl)cc1 ZINC001610762306 1171315044 /nfs/dbraw/zinc/31/50/44/1171315044.db2.gz XNIVGGNAWKQWMS-RYUDHWBXSA-N 0 2 312.753 0.649 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1Cc1cccc2c1OCCO2 ZINC001610782703 1171322865 /nfs/dbraw/zinc/32/28/65/1171322865.db2.gz JPANRMFBFRYTKP-GFCCVEGCSA-N 0 2 320.345 0.623 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1Cc1cccc2c1OCCO2 ZINC001610782703 1171322873 /nfs/dbraw/zinc/32/28/73/1171322873.db2.gz JPANRMFBFRYTKP-GFCCVEGCSA-N 0 2 320.345 0.623 20 0 DCADLN C[C@@H](O)c1ncc(C(=O)NCCc2n[nH]c(=S)o2)s1 ZINC001625689372 1171476846 /nfs/dbraw/zinc/47/68/46/1171476846.db2.gz LCIJTMIWFGERFU-RXMQYKEDSA-N 0 2 300.365 0.841 20 0 DCADLN Cc1ccccc1-n1cc(C(=O)Nn2ccc(=O)[nH]c2=O)cn1 ZINC001630862088 1171584440 /nfs/dbraw/zinc/58/44/40/1171584440.db2.gz ABJUWFSNVWJGRC-UHFFFAOYSA-N 0 2 311.301 0.827 20 0 DCADLN C[C@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)c1ncon1 ZINC001631967329 1171607988 /nfs/dbraw/zinc/60/79/88/1171607988.db2.gz MSFBOPXBEKLWRA-ZETCQYMHSA-N 0 2 316.277 0.140 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cccc3[nH]c(=O)oc32)C(=O)N1C ZINC001633410449 1171641592 /nfs/dbraw/zinc/64/15/92/1171641592.db2.gz WXHJGLIYKPHCRO-ZCFIWIBFSA-N 0 2 304.262 0.461 20 0 DCADLN CCCCCc1cc(C(=O)N2CCOC[C@H]2c2nn[nH]n2)[nH]n1 ZINC001635090018 1171691986 /nfs/dbraw/zinc/69/19/86/1171691986.db2.gz FNJOFMDPLAKRKS-LBPRGKRZSA-N 0 2 319.369 0.869 20 0 DCADLN CN(C)C(=O)Cc1ccccc1NS(=O)(=O)c1cnn(C)c1 ZINC000057122989 1171929344 /nfs/dbraw/zinc/92/93/44/1171929344.db2.gz IMZIWKIIGQZVCS-UHFFFAOYSA-N 0 2 322.390 0.852 20 0 DCADLN O=C(NCCCc1c[nH][nH]c1=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001642448260 1171947541 /nfs/dbraw/zinc/94/75/41/1171947541.db2.gz CNYWTBSIKKIUAA-GFCCVEGCSA-N 0 2 312.333 0.714 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@@H](C)C[C@@H](C)O ZINC001645572157 1172060574 /nfs/dbraw/zinc/06/05/74/1172060574.db2.gz QQMPJNKFKWOJIX-VHSXEESVSA-N 0 2 306.366 0.397 20 0 DCADLN O=C([C@@H]1CCn2c[nH+]cc2C1)N1CC[C@@H]([N@H+]2CC[C@@H](O)C2)C1 ZINC001646040130 1172227827 /nfs/dbraw/zinc/22/78/27/1172227827.db2.gz VUIGCABWJWWHHV-UMVBOHGHSA-N 0 2 304.394 0.113 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=S)c1C(=O)N[C@@H](C)C1=NN(C)CC1=O ZINC001646318190 1172334139 /nfs/dbraw/zinc/33/41/39/1172334139.db2.gz LXNKUNCSFLQODZ-LURJTMIESA-N 0 2 309.351 0.709 20 0 DCADLN COc1ccc(C(=O)[O-])cc1S(=O)(=O)NCC[NH+]1CCC1 ZINC001646502841 1172407049 /nfs/dbraw/zinc/40/70/49/1172407049.db2.gz SBCCHVRBMKWBJC-UHFFFAOYSA-N 0 2 314.363 0.377 20 0 DCADLN CN(C(=O)NC[C@H]1CCCC[N@@H+]1C)[C@@H]1CC[NH+]2CCO[C@H]1C2 ZINC001646820434 1172550690 /nfs/dbraw/zinc/55/06/90/1172550690.db2.gz RPLOECRUUXFLQO-KFWWJZLASA-N 0 2 310.442 0.585 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3cncn3)cc2)S1 ZINC001647092478 1172670477 /nfs/dbraw/zinc/67/04/77/1172670477.db2.gz LFWCUMIRCTWVCR-JTQLQIEISA-N 0 2 316.346 0.762 20 0 DCADLN CC(C)c1nnc(NC(=O)c2ccc(NS(C)(=O)=O)nc2)[nH]1 ZINC001647314074 1172803969 /nfs/dbraw/zinc/80/39/69/1172803969.db2.gz HRKFOSNMYAYJPC-UHFFFAOYSA-N 0 2 324.366 0.947 20 0 DCADLN CC(C)c1n[nH]c(NC(=O)c2ccc(NS(C)(=O)=O)nc2)n1 ZINC001647314074 1172803973 /nfs/dbraw/zinc/80/39/73/1172803973.db2.gz HRKFOSNMYAYJPC-UHFFFAOYSA-N 0 2 324.366 0.947 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(C)C[C@H]3COCCO3)n2C)c1=O ZINC001647692288 1173038141 /nfs/dbraw/zinc/03/81/41/1173038141.db2.gz WLBHCNXEQDEATR-JTQLQIEISA-N 0 2 322.369 0.256 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCc2ccccc2C(=O)[O-])CCO1 ZINC001647721436 1173051738 /nfs/dbraw/zinc/05/17/38/1173051738.db2.gz QMQFMNMXDNSDIW-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCc2ccccc2C(=O)[O-])CCO1 ZINC001647721436 1173051746 /nfs/dbraw/zinc/05/17/46/1173051746.db2.gz QMQFMNMXDNSDIW-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)O[C@H]1CCOC[C@@H]1C ZINC001650474169 1173651813 /nfs/dbraw/zinc/65/18/13/1173651813.db2.gz MLFBOUSUCNXNBO-CABZTGNLSA-N 0 2 305.334 0.842 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(C(=O)[O-])ccc2Cl)[C@H](CO)C1 ZINC001650504943 1173663023 /nfs/dbraw/zinc/66/30/23/1173663023.db2.gz BCLUTYIZNZIQAV-JTQLQIEISA-N 0 2 312.753 0.787 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccnn1CC(F)(F)F ZINC001654365966 1173803237 /nfs/dbraw/zinc/80/32/37/1173803237.db2.gz JFOOMXWNIOUROT-UHFFFAOYSA-N 0 2 318.259 0.632 20 0 DCADLN CCCCOC(=O)C(C)(C)NS(=O)(=O)N=S(C)(C)=O ZINC001655823226 1173828504 /nfs/dbraw/zinc/82/85/04/1173828504.db2.gz PYWKRFGZKZAYIO-UHFFFAOYSA-N 0 2 314.429 0.670 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1C)[C@@H]1CCNC1=O ZINC001660502203 1174000712 /nfs/dbraw/zinc/00/07/12/1174000712.db2.gz OKLFAGWCIIHWKH-GFCCVEGCSA-N 0 2 307.398 0.357 20 0 DCADLN O=C(CC1(O)CCCC1)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000081237569 1174555034 /nfs/dbraw/zinc/55/50/34/1174555034.db2.gz SATJZCOCHAJCBR-UHFFFAOYSA-N 0 2 306.366 0.745 20 0 DCADLN O=C(CCn1cccc1)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000081237562 1174555664 /nfs/dbraw/zinc/55/56/64/1174555664.db2.gz NWUPLWYOQSTIOU-UHFFFAOYSA-N 0 2 301.350 0.941 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccnc(Br)c1 ZINC001677800348 1175599648 /nfs/dbraw/zinc/59/96/48/1175599648.db2.gz GOXNUDQRSQEOIZ-UHFFFAOYSA-N 0 2 313.115 0.990 20 0 DCADLN COc1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nn1 ZINC001686689949 1176193360 /nfs/dbraw/zinc/19/33/60/1176193360.db2.gz ZYCQLLSEAYGDTQ-QMMMGPOBSA-N 0 2 324.234 0.232 20 0 DCADLN COc1ccc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001686689949 1176193362 /nfs/dbraw/zinc/19/33/62/1176193362.db2.gz ZYCQLLSEAYGDTQ-QMMMGPOBSA-N 0 2 324.234 0.232 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001686937051 1176251776 /nfs/dbraw/zinc/25/17/76/1176251776.db2.gz UVWSYHNBLAPCRM-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN Cc1nn(C)cc1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686937051 1176251777 /nfs/dbraw/zinc/25/17/77/1176251777.db2.gz UVWSYHNBLAPCRM-SECBINFHSA-N 0 2 324.278 0.865 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CC[C@H](C(F)(F)F)C[NH2+]2)C1 ZINC000126035224 1177208894 /nfs/dbraw/zinc/20/88/94/1177208894.db2.gz SVKHWOIBGYIVDR-AXFHLTTASA-N 0 2 309.332 0.364 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)c1cncnc1 ZINC001703219414 1179401860 /nfs/dbraw/zinc/40/18/60/1179401860.db2.gz WIUHRLWQJRIGHH-IONNQARKSA-N 0 2 322.262 0.713 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1cncnc1 ZINC001703219414 1179401863 /nfs/dbraw/zinc/40/18/63/1179401863.db2.gz WIUHRLWQJRIGHH-IONNQARKSA-N 0 2 322.262 0.713 20 0 DCADLN Cc1ncncc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001703324739 1179471693 /nfs/dbraw/zinc/47/16/93/1179471693.db2.gz VIXUSVFCUOYNJJ-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN Cc1ncncc1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703324739 1179471695 /nfs/dbraw/zinc/47/16/95/1179471695.db2.gz VIXUSVFCUOYNJJ-SECBINFHSA-N 0 2 322.262 0.922 20 0 DCADLN CC(C)OCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001714991820 1181633602 /nfs/dbraw/zinc/63/36/02/1181633602.db2.gz MZFDBSQSPFNVQR-RYUDHWBXSA-N 0 2 323.397 0.501 20 0 DCADLN Cc1nnc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])s1 ZINC001715807322 1182016046 /nfs/dbraw/zinc/01/60/46/1182016046.db2.gz TWVBDZYBBPJDJX-ARJAWSKDSA-N 0 2 322.394 0.659 20 0 DCADLN CC/C(C)=C\C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001724863109 1184595513 /nfs/dbraw/zinc/59/55/13/1184595513.db2.gz LCIXEHMHYCUYMB-WDZFZDKYSA-N 0 2 321.381 0.280 20 0 DCADLN Cc1conc1CN(C)CCCNC(=O)C[C@H]1CCC(=O)N1 ZINC001731271667 1185231827 /nfs/dbraw/zinc/23/18/27/1185231827.db2.gz MSPLHYFYTCMFTM-GFCCVEGCSA-N 0 2 308.382 0.590 20 0 DCADLN CN(CCCNC(=O)c1cc(C2CC2)no1)Cc1nncn1C ZINC001731270789 1185232051 /nfs/dbraw/zinc/23/20/51/1185232051.db2.gz YSOVAQOBFPLXNM-UHFFFAOYSA-N 0 2 318.381 0.932 20 0 DCADLN COCCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nc(C)c1[O-] ZINC001731425736 1185326534 /nfs/dbraw/zinc/32/65/34/1185326534.db2.gz RRWIUNPRBFDHGO-TXEJJXNPSA-N 0 2 308.382 0.749 20 0 DCADLN COCCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nc(C)c1[O-] ZINC001731425736 1185326539 /nfs/dbraw/zinc/32/65/39/1185326539.db2.gz RRWIUNPRBFDHGO-TXEJJXNPSA-N 0 2 308.382 0.749 20 0 DCADLN CCCCO[C@H](C)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001733207616 1186179276 /nfs/dbraw/zinc/17/92/76/1186179276.db2.gz PKJUYROHBFWGGY-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1ccnnc1 ZINC001738526936 1187583630 /nfs/dbraw/zinc/58/36/30/1187583630.db2.gz YNVSHCIEXWGGHO-GPYPMJJRSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1ccnnc1 ZINC001738526936 1187583634 /nfs/dbraw/zinc/58/36/34/1187583634.db2.gz YNVSHCIEXWGGHO-GPYPMJJRSA-N 0 2 320.246 0.779 20 0 DCADLN C[C@]1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCCOC1 ZINC001742496852 1187994863 /nfs/dbraw/zinc/99/48/63/1187994863.db2.gz GQQMXAOIXSGNQF-KCJUWKMLSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@]1(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)CCCOC1 ZINC001742496852 1187994864 /nfs/dbraw/zinc/99/48/64/1187994864.db2.gz GQQMXAOIXSGNQF-KCJUWKMLSA-N 0 2 314.279 0.936 20 0 DCADLN CCc1nocc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001742498098 1187994947 /nfs/dbraw/zinc/99/49/47/1187994947.db2.gz XJAYJBXPHDZDHV-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1nocc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001742498098 1187994951 /nfs/dbraw/zinc/99/49/51/1187994951.db2.gz XJAYJBXPHDZDHV-MRVPVSSYSA-N 0 2 311.235 0.983 20 0 DCADLN CCc1c(C(=O)NCCNC(=O)C(F)C(F)(F)F)cnn1C ZINC001758028085 1189687207 /nfs/dbraw/zinc/68/72/07/1189687207.db2.gz UQDKUIRHOMMKJV-VIFPVBQESA-N 0 2 324.278 0.729 20 0 DCADLN CCc1c(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cnn1C ZINC001758028085 1189687210 /nfs/dbraw/zinc/68/72/10/1189687210.db2.gz UQDKUIRHOMMKJV-VIFPVBQESA-N 0 2 324.278 0.729 20 0 DCADLN Cc1nonc1C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001759719005 1190279025 /nfs/dbraw/zinc/27/90/25/1190279025.db2.gz SIYFXOJRFJRRPU-SGJFDWMWSA-N 0 2 324.234 0.681 20 0 DCADLN Cc1nonc1C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001759719005 1190279028 /nfs/dbraw/zinc/27/90/28/1190279028.db2.gz SIYFXOJRFJRRPU-SGJFDWMWSA-N 0 2 324.234 0.681 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NCC=CCNC(=O)c2[nH]ncc2F)C1 ZINC001759751714 1190288598 /nfs/dbraw/zinc/28/85/98/1190288598.db2.gz DKMZIZLDWNVSFP-VUDBWIFFSA-N 0 2 323.372 0.293 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cnn(C3CCOCC3)c2)no1 ZINC001771635707 1190456849 /nfs/dbraw/zinc/45/68/49/1190456849.db2.gz SXBXJPAIEMRODW-UHFFFAOYSA-N 0 2 313.339 0.727 20 0 DCADLN C[C@@H](C(=O)N[C@@H](C(=O)[O-])c1ccccn1)[NH+]1CCSCC1 ZINC001771921568 1190557462 /nfs/dbraw/zinc/55/74/62/1190557462.db2.gz OZBCYOJLHUFEKX-CMPLNLGQSA-N 0 2 309.391 0.761 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1cc(C(=O)[O-])ccn1 ZINC001771922058 1190558392 /nfs/dbraw/zinc/55/83/92/1190558392.db2.gz HQUFRCWPAGDTSB-NSHDSACASA-N 0 2 307.350 0.573 20 0 DCADLN CCn1[nH]cc(-c2nnc(N3CC[C@@H](C(=O)OC)C3)n2C)c1=O ZINC001772175358 1190622713 /nfs/dbraw/zinc/62/27/13/1190622713.db2.gz RHHLFFIVUVGPED-SECBINFHSA-N 0 2 320.353 0.403 20 0 DCADLN Cc1ccsc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042421826 751633646 /nfs/dbraw/zinc/63/36/46/751633646.db2.gz JKXAEZVPUSEZAZ-UHFFFAOYSA-N 0 2 307.379 0.837 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)o1 ZINC001167404708 747161532 /nfs/dbraw/zinc/16/15/32/747161532.db2.gz DWNLGYLHWPGFIL-TVSKSKRASA-N 0 2 321.289 0.393 20 0 DCADLN CC1(C)CC[C@H](CNC(=O)C(F)F)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001098826964 752175297 /nfs/dbraw/zinc/17/52/97/752175297.db2.gz QLNRRWDBBNSZJK-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)=CC(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107709980 752237443 /nfs/dbraw/zinc/23/74/43/752237443.db2.gz NDUPTXYUBAEXHE-AWEZNQCLSA-N 0 2 309.370 0.184 20 0 DCADLN CN(C(=O)C(C)(C)C1CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043485581 752251215 /nfs/dbraw/zinc/25/12/15/752251215.db2.gz RCYUONAMXXVSNO-UHFFFAOYSA-N 0 2 307.398 0.979 20 0 DCADLN COc1cc(C)nc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001059079180 748708676 /nfs/dbraw/zinc/70/86/76/748708676.db2.gz AQGFGNLBHMRYEK-NSHDSACASA-N 0 2 316.365 0.772 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H]2CCCO2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087495058 748851515 /nfs/dbraw/zinc/85/15/15/748851515.db2.gz PMUPLAYXJFAXHU-AXFHLTTASA-N 0 2 309.370 0.158 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H]2CCCO2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087495058 748851521 /nfs/dbraw/zinc/85/15/21/748851521.db2.gz PMUPLAYXJFAXHU-AXFHLTTASA-N 0 2 309.370 0.158 20 0 DCADLN CC(=O)OC[C@@H](COc1nc(C)[nH]c(=O)c1F)OC(C)=O ZINC001226419874 748870412 /nfs/dbraw/zinc/87/04/12/748870412.db2.gz HKEBUKYTUNGTBN-VIFPVBQESA-N 0 2 302.258 0.503 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H]2CC[C@@H](C)O2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087733679 749035328 /nfs/dbraw/zinc/03/53/28/749035328.db2.gz NOFJJJJMZHOBBO-WRWGMCAJSA-N 0 2 323.397 0.547 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H]2CC[C@@H](C)O2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087733679 749035334 /nfs/dbraw/zinc/03/53/34/749035334.db2.gz NOFJJJJMZHOBBO-WRWGMCAJSA-N 0 2 323.397 0.547 20 0 DCADLN Cc1c(NC(=[NH2+])SCCS(=O)(=O)[O-])cncc1C(N)=O ZINC001168093439 749396971 /nfs/dbraw/zinc/39/69/71/749396971.db2.gz NOJOUJPGRUTRKS-UHFFFAOYSA-N 0 2 318.380 0.457 20 0 DCADLN Cc1ccoc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088469758 749477041 /nfs/dbraw/zinc/47/70/41/749477041.db2.gz ZQYJQVHIELEFTF-ZJUUUORDSA-N 0 2 305.338 0.805 20 0 DCADLN CCc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)[nH]n1 ZINC001088476143 749483563 /nfs/dbraw/zinc/48/35/63/749483563.db2.gz BHPBIQDDEUKHRM-SCZZXKLOSA-N 0 2 319.369 0.189 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088491536 749506151 /nfs/dbraw/zinc/50/61/51/749506151.db2.gz GGEMPBAWWSLFHB-MXWKQRLJSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088491536 749506157 /nfs/dbraw/zinc/50/61/57/749506157.db2.gz GGEMPBAWWSLFHB-MXWKQRLJSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1cc(C)nc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106141281 750978270 /nfs/dbraw/zinc/97/82/70/750978270.db2.gz AOTWMXRHWBPKRD-BDAKNGLRSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1cc(C)nc(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001106141281 750978273 /nfs/dbraw/zinc/97/82/73/750978273.db2.gz AOTWMXRHWBPKRD-BDAKNGLRSA-N 0 2 324.278 0.883 20 0 DCADLN O=C(NC[C@@H](O)CNc1nccnc1F)C(F)C(F)(F)F ZINC001106144582 750983778 /nfs/dbraw/zinc/98/37/78/750983778.db2.gz WBWBVWHAJZUGQO-NTSWFWBYSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](O)CNc1nccnc1F)[C@@H](F)C(F)(F)F ZINC001106144582 750983782 /nfs/dbraw/zinc/98/37/82/750983782.db2.gz WBWBVWHAJZUGQO-NTSWFWBYSA-N 0 2 314.214 0.405 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071724147 762090624 /nfs/dbraw/zinc/09/06/24/762090624.db2.gz BRNCXLIEJLYREP-PSASIEDQSA-N 0 2 319.369 0.325 20 0 DCADLN Cc1cc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001122760774 751155608 /nfs/dbraw/zinc/15/56/08/751155608.db2.gz KUIANHXCJFMFPP-VXNVDRBHSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1cc(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001122760774 751155612 /nfs/dbraw/zinc/15/56/12/751155612.db2.gz KUIANHXCJFMFPP-VXNVDRBHSA-N 0 2 310.251 0.574 20 0 DCADLN CC[C@@H]1CCC[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043781930 752387615 /nfs/dbraw/zinc/38/76/15/752387615.db2.gz UNLJNZRMILXUTC-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN CC[C@H](C(=O)N[C@H](C)CNc1ncnc2[nH]cnc21)[NH+](C)C ZINC001107848697 752412912 /nfs/dbraw/zinc/41/29/12/752412912.db2.gz NLGGSLVJHCCWDO-NXEZZACHSA-N 0 2 305.386 0.561 20 0 DCADLN CN(C(=O)[C@H]1C[C@@H]1C1CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044115478 752576163 /nfs/dbraw/zinc/57/61/63/752576163.db2.gz REOSCKDUKLZSBB-OLZOCXBDSA-N 0 2 319.409 0.979 20 0 DCADLN CCc1cc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001044202939 752626214 /nfs/dbraw/zinc/62/62/14/752626214.db2.gz FGJKPKLBUPUJTF-UHFFFAOYSA-N 0 2 306.326 0.017 20 0 DCADLN COc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001044220505 752633914 /nfs/dbraw/zinc/63/39/14/752633914.db2.gz QJRXVUHYALOCQJ-UHFFFAOYSA-N 0 2 317.349 0.475 20 0 DCADLN O=C(CS[C@@H]1CCS(=O)(=O)C1)NOC1CCOCC1 ZINC001116647441 752953083 /nfs/dbraw/zinc/95/30/83/752953083.db2.gz XMEHTRXMWQHXLN-SNVBAGLBSA-N 0 2 309.409 0.134 20 0 DCADLN Cc1nocc1C(=O)NC1(C)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045543660 753234464 /nfs/dbraw/zinc/23/44/64/753234464.db2.gz MINGTRDVJVYTJP-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN Cc1conc1C(=O)NC1(C)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045614224 753264094 /nfs/dbraw/zinc/26/40/94/753264094.db2.gz BAWYCQIETMSJAK-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N(C)C2CN(Cc3c[nH+]cn3C)C2)C1 ZINC001043871355 753573388 /nfs/dbraw/zinc/57/33/88/753573388.db2.gz QNVPTRMTJPSAAV-CYBMUJFWSA-N 0 2 305.426 0.405 20 0 DCADLN Cc1nscc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046253758 753589793 /nfs/dbraw/zinc/58/97/93/753589793.db2.gz DUGFSZVSVOQKRC-ZDUSSCGKSA-N 0 2 322.394 0.670 20 0 DCADLN CC(C)(C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001046412383 753702923 /nfs/dbraw/zinc/70/29/23/753702923.db2.gz FQQAEIDFCRJKFB-ZETCQYMHSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)(C)C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001046412383 753702927 /nfs/dbraw/zinc/70/29/27/753702927.db2.gz FQQAEIDFCRJKFB-ZETCQYMHSA-N 0 2 314.279 0.622 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CC23CCC3)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046660738 753854950 /nfs/dbraw/zinc/85/49/50/753854950.db2.gz BXOOXRRAEZICMW-QMTHXVAHSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CC23CCC3)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046660738 753854957 /nfs/dbraw/zinc/85/49/57/753854957.db2.gz BXOOXRRAEZICMW-QMTHXVAHSA-N 0 2 305.382 0.781 20 0 DCADLN CCn1ccc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001046676590 753867463 /nfs/dbraw/zinc/86/74/63/753867463.db2.gz DHCYCCYPPYUYFN-CQSZACIVSA-N 0 2 319.369 0.121 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CCC1)c1cnon1 ZINC001064170496 755336416 /nfs/dbraw/zinc/33/64/16/755336416.db2.gz NJZSEAJRNXJWIU-SSDOTTSWSA-N 0 2 324.234 0.739 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CCC1)c1cnon1 ZINC001064170496 755336419 /nfs/dbraw/zinc/33/64/19/755336419.db2.gz NJZSEAJRNXJWIU-SSDOTTSWSA-N 0 2 324.234 0.739 20 0 DCADLN CC(F)(F)C(=O)NC1(CNC(=O)c2[nH]ncc2F)CCC1 ZINC001064431853 755400549 /nfs/dbraw/zinc/40/05/49/755400549.db2.gz SFTHCEPAXBWVME-UHFFFAOYSA-N 0 2 304.272 0.973 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001097023748 755579000 /nfs/dbraw/zinc/57/90/00/755579000.db2.gz FFRYUNVPOMCITP-MXWKQRLJSA-N 0 2 316.365 0.682 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@@H]1C(F)F ZINC001079648311 755943091 /nfs/dbraw/zinc/94/30/91/755943091.db2.gz OGVWOMHCZARNCI-RYPBNFRJSA-N 0 2 315.324 0.348 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@@H]1C(F)F ZINC001079648311 755943096 /nfs/dbraw/zinc/94/30/96/755943096.db2.gz OGVWOMHCZARNCI-RYPBNFRJSA-N 0 2 315.324 0.348 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CCC1 ZINC001079944219 756062259 /nfs/dbraw/zinc/06/22/59/756062259.db2.gz DYUDWSJFXHMFIO-CKYFFXLPSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CCC1 ZINC001079944219 756062267 /nfs/dbraw/zinc/06/22/67/756062267.db2.gz DYUDWSJFXHMFIO-CKYFFXLPSA-N 0 2 307.398 0.883 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053932792 756284897 /nfs/dbraw/zinc/28/48/97/756284897.db2.gz CIZNUOWOJWNHOO-OUAUKWLOSA-N 0 2 321.381 0.016 20 0 DCADLN CCC(=O)N1CCC(CO)(NC(=O)C(F)C(F)(F)F)CC1 ZINC001080884818 756375384 /nfs/dbraw/zinc/37/53/84/756375384.db2.gz OIWWVWDAJIRNFH-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N1CCC(CO)(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001080884818 756375387 /nfs/dbraw/zinc/37/53/87/756375387.db2.gz OIWWVWDAJIRNFH-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1CCCOC1 ZINC001080958519 756406966 /nfs/dbraw/zinc/40/69/66/756406966.db2.gz XTBQUAWKDRBSRT-IJLUTSLNSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1CCCOC1 ZINC001080958519 756406968 /nfs/dbraw/zinc/40/69/68/756406968.db2.gz XTBQUAWKDRBSRT-IJLUTSLNSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@H]2C)[nH]1 ZINC001054566821 756544566 /nfs/dbraw/zinc/54/45/66/756544566.db2.gz BWXDIVUNGMMFPK-GMSGAONNSA-N 0 2 319.369 0.101 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccoc1C ZINC001081336800 756581487 /nfs/dbraw/zinc/58/14/87/756581487.db2.gz LXJNUOZZZRJOJQ-GHMZBOCLSA-N 0 2 321.337 0.041 20 0 DCADLN CO[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC001081540438 756705924 /nfs/dbraw/zinc/70/59/24/756705924.db2.gz BOJXMCOWVQQENS-HIHHVFROSA-N 0 2 314.773 0.605 20 0 DCADLN CO[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC001081540438 756705926 /nfs/dbraw/zinc/70/59/26/756705926.db2.gz BOJXMCOWVQQENS-HIHHVFROSA-N 0 2 314.773 0.605 20 0 DCADLN O=C(C=C1CCC1)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001083045415 757448430 /nfs/dbraw/zinc/44/84/30/757448430.db2.gz GJHNWUPOVJIZOX-NWDGAFQWSA-N 0 2 319.365 0.032 20 0 DCADLN O=C([C@@H]1CCCCO1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084282227 757772094 /nfs/dbraw/zinc/77/20/94/757772094.db2.gz OLMWTVJXKCQBGN-UTUOFQBUSA-N 0 2 321.381 0.112 20 0 DCADLN Cc1ncoc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085533465 759012753 /nfs/dbraw/zinc/01/27/53/759012753.db2.gz MBZLNNHWBFGQOX-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN Cc1cc(C)nc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122760816 767870421 /nfs/dbraw/zinc/87/04/21/767870421.db2.gz LETURLNCZNXUHC-DTWKUNHWSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1cc(C)nc(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001122760816 767870429 /nfs/dbraw/zinc/87/04/29/767870429.db2.gz LETURLNCZNXUHC-DTWKUNHWSA-N 0 2 324.278 0.883 20 0 DCADLN COCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109050124 761218600 /nfs/dbraw/zinc/21/86/00/761218600.db2.gz KTMZUOQWTSMXNL-WOPDTQHZSA-N 0 2 323.397 0.549 20 0 DCADLN COCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109050124 761218604 /nfs/dbraw/zinc/21/86/04/761218604.db2.gz KTMZUOQWTSMXNL-WOPDTQHZSA-N 0 2 323.397 0.549 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109162645 761273077 /nfs/dbraw/zinc/27/30/77/761273077.db2.gz SFNMZHUDLSRZDI-USZNOCQGSA-N 0 2 323.397 0.547 20 0 DCADLN CCCC(=O)N1C[C@@H](C)O[C@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071125504 761636615 /nfs/dbraw/zinc/63/66/15/761636615.db2.gz SHXITOKCCDZNNQ-ABAIWWIYSA-N 0 2 323.397 0.502 20 0 DCADLN CCCC(=O)N1C[C@@H](C)O[C@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071125504 761636623 /nfs/dbraw/zinc/63/66/23/761636623.db2.gz SHXITOKCCDZNNQ-ABAIWWIYSA-N 0 2 323.397 0.502 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)Nc1ccc2nnnn2n1 ZINC001098327719 761650009 /nfs/dbraw/zinc/65/00/09/761650009.db2.gz NKEHXSZGPOTBFX-XRGYYRRGSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)Nc1ccc2nnnn2n1 ZINC001098327719 761650011 /nfs/dbraw/zinc/65/00/11/761650011.db2.gz NKEHXSZGPOTBFX-XRGYYRRGSA-N 0 2 321.238 0.336 20 0 DCADLN COCC1(C(=O)N[C@H]2CC[C@@H](C)[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001132172793 764285027 /nfs/dbraw/zinc/28/50/27/764285027.db2.gz BIXJVUZXHZLQJX-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN COCC1(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001132172793 764285034 /nfs/dbraw/zinc/28/50/34/764285034.db2.gz BIXJVUZXHZLQJX-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC[C@@H]1COCCN1c1ncccn1)C(F)C(F)(F)F ZINC001111724336 765368615 /nfs/dbraw/zinc/36/86/15/765368615.db2.gz OEVGZGIOAMYFFZ-BDAKNGLRSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NC[C@@H]1COCCN1c1ncccn1)[C@H](F)C(F)(F)F ZINC001111724336 765368625 /nfs/dbraw/zinc/36/86/25/765368625.db2.gz OEVGZGIOAMYFFZ-BDAKNGLRSA-N 0 2 322.262 0.698 20 0 DCADLN CC(C)[C@@H](C(=O)NCCNc1[nH+]cnc2c1cnn2C)[NH+](C)C ZINC000106917938 765402286 /nfs/dbraw/zinc/40/22/86/765402286.db2.gz UEWSMKUKYBKQBY-LBPRGKRZSA-N 0 2 319.413 0.478 20 0 DCADLN Cc1nccc(NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001124808869 768307781 /nfs/dbraw/zinc/30/77/81/768307781.db2.gz NCSVFHIYJINLGZ-WCBMZHEXSA-N 0 2 324.278 0.917 20 0 DCADLN CN(CCOCCNC(=O)C(F)C(F)(F)F)c1ncccn1 ZINC001115262571 765668361 /nfs/dbraw/zinc/66/83/61/765668361.db2.gz ABQWWPLHNVIKKY-SECBINFHSA-N 0 2 324.278 0.946 20 0 DCADLN CN(CCOCCNC(=O)[C@@H](F)C(F)(F)F)c1ncccn1 ZINC001115262571 765668367 /nfs/dbraw/zinc/66/83/67/765668367.db2.gz ABQWWPLHNVIKKY-SECBINFHSA-N 0 2 324.278 0.946 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)C[C@H](C)Nc1ncnc2c1nnn2C ZINC001115613585 765768619 /nfs/dbraw/zinc/76/86/19/765768619.db2.gz XNKZIHZGOBYMJQ-UWVGGRQHSA-N 0 2 321.385 0.442 20 0 DCADLN Cc1noc(CNC2(CNC(=O)c3cn[nH]n3)CCCCC2)n1 ZINC001115613026 765768806 /nfs/dbraw/zinc/76/88/06/765768806.db2.gz UZZYXOJJNYJLHH-UHFFFAOYSA-N 0 2 319.369 0.719 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C[C@@H](C)Nc1nccn2nnnc12 ZINC001115612869 765768828 /nfs/dbraw/zinc/76/88/28/765768828.db2.gz MVPYIFIHJFSPTF-NXEZZACHSA-N 0 2 307.358 0.203 20 0 DCADLN COCCCn1c(=O)[nH]nc1S(=O)(=O)Cc1cccnc1 ZINC001117647932 766118194 /nfs/dbraw/zinc/11/81/94/766118194.db2.gz WJVWVJMLYPIGSU-UHFFFAOYSA-N 0 2 312.351 0.389 20 0 DCADLN C=CC(=O)Nc1ccc(O)c(C(=O)N2CCc3[nH]nnc3C2)c1 ZINC001136908076 766589867 /nfs/dbraw/zinc/58/98/67/766589867.db2.gz XKEUVYFWJJDZOB-UHFFFAOYSA-N 0 2 313.317 0.833 20 0 DCADLN Cc1c(CN(C)c2nnc(-c3n[nH]c(Cl)n3)n2C)cnn1C ZINC001121268654 767489471 /nfs/dbraw/zinc/48/94/71/767489471.db2.gz UIKZNOJAJMGJSB-UHFFFAOYSA-N 0 2 321.776 0.932 20 0 DCADLN CN(Cc1ccn(C)n1)c1nnc(-c2n[nH]c(Cl)n2)n1C ZINC001121358012 767526031 /nfs/dbraw/zinc/52/60/31/767526031.db2.gz SLDBPDPOHGHAEU-UHFFFAOYSA-N 0 2 307.749 0.624 20 0 DCADLN Nc1nc(C2CC2)ncc1C(=O)NN1C(=O)c2ccccc2C1=O ZINC001138076140 768396822 /nfs/dbraw/zinc/39/68/22/768396822.db2.gz ZVSXBDXVRRPLFL-UHFFFAOYSA-N 0 2 323.312 0.877 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(c3ncccn3)C[C@H]21)C(F)C(F)(F)F ZINC001093360521 768556849 /nfs/dbraw/zinc/55/68/49/768556849.db2.gz FYDVMCXSFINFMD-KDXUFGMBSA-N 0 2 304.247 0.928 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(c3ncccn3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001093360521 768556853 /nfs/dbraw/zinc/55/68/53/768556853.db2.gz FYDVMCXSFINFMD-KDXUFGMBSA-N 0 2 304.247 0.928 20 0 DCADLN O=C(NCCn1cnnc1)C1=NN(c2ccc(F)cc2)CC1=O ZINC001141059626 768565113 /nfs/dbraw/zinc/56/51/13/768565113.db2.gz ABOZOALTWOURLA-UHFFFAOYSA-N 0 2 316.296 0.739 20 0 DCADLN CC(C)[N@H+](C)Cc1n[nH]c2c1CCN(C(=O)C1(C(N)=O)CC1)C2 ZINC001143155320 768690449 /nfs/dbraw/zinc/69/04/49/768690449.db2.gz JEXQXENQSHZVLN-UHFFFAOYSA-N 0 2 319.409 0.400 20 0 DCADLN O=C(CCC1CC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149440538 768751814 /nfs/dbraw/zinc/75/18/14/768751814.db2.gz VYJRTIHUQTTYNE-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN CS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccccc2OCC(=O)[O-])C1 ZINC001231792900 769285385 /nfs/dbraw/zinc/28/53/85/769285385.db2.gz NAWVZNCTHJOSIJ-GFCCVEGCSA-N 0 2 313.375 0.769 20 0 DCADLN CS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccccc2OCC(=O)[O-])C1 ZINC001231792900 769285392 /nfs/dbraw/zinc/28/53/92/769285392.db2.gz NAWVZNCTHJOSIJ-GFCCVEGCSA-N 0 2 313.375 0.769 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@](C)(O)C1CC1 ZINC001233781449 769450100 /nfs/dbraw/zinc/45/01/00/769450100.db2.gz PYMHZXCWVQCSNX-ABAIWWIYSA-N 0 2 323.397 0.094 20 0 DCADLN CN1CCO[C@@H](COc2cc(O)cc3occc(=O)c23)C1=O ZINC001233835176 769467413 /nfs/dbraw/zinc/46/74/13/769467413.db2.gz VSMTUPUYJRIWIJ-ZDUSSCGKSA-N 0 2 305.286 0.735 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cn(C)nn1)C(=O)C(F)C(F)(F)F ZINC001234424571 769653024 /nfs/dbraw/zinc/65/30/24/769653024.db2.gz ITHCEGXDMNGXSF-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cn(C)nn1)C(=O)[C@@H](F)C(F)(F)F ZINC001234424571 769653030 /nfs/dbraw/zinc/65/30/30/769653030.db2.gz ITHCEGXDMNGXSF-VHSXEESVSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cncs1 ZINC001095216646 769668881 /nfs/dbraw/zinc/66/88/81/769668881.db2.gz UXSAVQZWLWSHDY-VGMNWLOBSA-N 0 2 320.378 0.502 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCNCC(F)(F)C1 ZINC001153856937 769965178 /nfs/dbraw/zinc/96/51/78/769965178.db2.gz NUGRNOHUBHKEJZ-UHFFFAOYSA-N 0 2 308.288 0.604 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1C[C@H](CO)C[C@H](F)C1 ZINC001153857559 769965485 /nfs/dbraw/zinc/96/54/85/769965485.db2.gz OLSMVRYRKBHUKC-ZJUUUORDSA-N 0 2 305.309 0.716 20 0 DCADLN C[C@@H](O)CC(=O)Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12 ZINC001181352548 771272478 /nfs/dbraw/zinc/27/24/78/771272478.db2.gz KRXUHEDUWNWJDW-SSDOTTSWSA-N 0 2 315.289 0.683 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H](O)c1ccc2c(c1)CCO2 ZINC001182661334 771531765 /nfs/dbraw/zinc/53/17/65/771531765.db2.gz DBCPQXYLTQYMBJ-CABZTGNLSA-N 0 2 308.315 0.080 20 0 DCADLN CNS(=O)(=O)c1cc(NC(=O)c2cc(=O)cc[nH]2)ccc1O ZINC001157362297 771596225 /nfs/dbraw/zinc/59/62/25/771596225.db2.gz LAIYWYJHLRJDBR-UHFFFAOYSA-N 0 2 323.330 0.241 20 0 DCADLN O=C(Nc1cncc(N2CCOCC2)n1)C(CO)C(F)(F)F ZINC001183281304 771626789 /nfs/dbraw/zinc/62/67/89/771626789.db2.gz ZZZKBFNIXJJZLP-QMMMGPOBSA-N 0 2 320.271 0.423 20 0 DCADLN O=C(Nc1cncc(N2CCOCC2)n1)[C@H](CO)C(F)(F)F ZINC001183281304 771626792 /nfs/dbraw/zinc/62/67/92/771626792.db2.gz ZZZKBFNIXJJZLP-QMMMGPOBSA-N 0 2 320.271 0.423 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H]1CCc2ccccc2[C@H]1O ZINC001183382496 771647419 /nfs/dbraw/zinc/64/74/19/771647419.db2.gz FXSSAFUYGUAVAP-GIPNMCIBSA-N 0 2 306.343 0.708 20 0 DCADLN O=C(CC[C@@H]1NC(=O)NC1=O)Nc1[nH][nH]c2cc(=O)ccc1-2 ZINC001159056478 771854188 /nfs/dbraw/zinc/85/41/88/771854188.db2.gz WLISPKLDZCTKFU-QMMMGPOBSA-N 0 2 303.278 0.195 20 0 DCADLN CCn1cc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)cn1 ZINC001185565959 771929431 /nfs/dbraw/zinc/92/94/31/771929431.db2.gz HKFSGVCXGTWIHW-UHFFFAOYSA-N 0 2 319.350 0.884 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1cccc(F)c1C)C(=O)OC ZINC001185847520 771964146 /nfs/dbraw/zinc/96/41/46/771964146.db2.gz OSJBVIVQOJPTOC-UHFFFAOYSA-N 0 2 319.310 0.127 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2c(Cl)nc3sccn32)n1 ZINC001187092386 772135431 /nfs/dbraw/zinc/13/54/31/772135431.db2.gz DDHOFBFAKFDRLS-UHFFFAOYSA-N 0 2 318.771 0.979 20 0 DCADLN CCOC(=O)c1n[nH]c(CNC(=O)C(=O)c2ccc(O)cc2)n1 ZINC001161163267 772179519 /nfs/dbraw/zinc/17/95/19/772179519.db2.gz BJEKCSUEHJXBQG-UHFFFAOYSA-N 0 2 318.289 0.186 20 0 DCADLN CC(C)(C)OC(=O)N1CC[C@H]2C[C@]21C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001187603093 772202439 /nfs/dbraw/zinc/20/24/39/772202439.db2.gz PKGMAZBKTBCZKC-RMLUDKJBSA-N 0 2 323.353 0.526 20 0 DCADLN COc1cc(C(=O)Nc2noc3nccnc23)c(OC)nn1 ZINC001189061139 772410455 /nfs/dbraw/zinc/41/04/55/772410455.db2.gz GDZQZZIHCLPVCQ-UHFFFAOYSA-N 0 2 302.250 0.677 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2ncc(F)cc2F)c(=O)[nH]1 ZINC001190217612 772609089 /nfs/dbraw/zinc/60/90/89/772609089.db2.gz HIXOXSOEVAIWQR-UHFFFAOYSA-N 0 2 302.262 0.552 20 0 DCADLN CCOC(=O)[C@H](NS(=O)(=O)c1ncc[nH]1)c1ccccn1 ZINC001190755431 772666185 /nfs/dbraw/zinc/66/61/85/772666185.db2.gz RDTPGQMUXGAOIW-SNVBAGLBSA-N 0 2 310.335 0.387 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1[nH]ncc1-c1ccccn1 ZINC001191492686 772774265 /nfs/dbraw/zinc/77/42/65/772774265.db2.gz ANZICESFRSZAEU-LLVKDONJSA-N 0 2 303.303 0.511 20 0 DCADLN Cn1c(=O)cc(NS(=O)(=O)C(Cl)(Cl)Cl)[nH]c1=O ZINC001192050005 772857381 /nfs/dbraw/zinc/85/73/81/772857381.db2.gz OVIRXUAGHPUUBR-UHFFFAOYSA-N 0 2 322.557 0.555 20 0 DCADLN O=C(CCNC(=O)c1c(F)ccc(F)c1O)N1CCOCC1 ZINC001192694215 772948615 /nfs/dbraw/zinc/94/86/15/772948615.db2.gz DQMFRGCOAGMMGZ-UHFFFAOYSA-N 0 2 314.288 0.649 20 0 DCADLN CC(C)SCC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001206075820 773045106 /nfs/dbraw/zinc/04/51/06/773045106.db2.gz DPJFJWBXZAKHSV-NXEZZACHSA-N 0 2 313.427 0.588 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195148786 773329194 /nfs/dbraw/zinc/32/91/94/773329194.db2.gz KUVUORTVZSBOHQ-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cc(C)c2nc(C)nn2c1 ZINC001195939382 773498326 /nfs/dbraw/zinc/49/83/26/773498326.db2.gz VLQWPEYVISIBIZ-UHFFFAOYSA-N 0 2 312.351 0.651 20 0 DCADLN COCCC[N@@H+]1Cc2nccn2C[C@@H](CN2CC[NH+](C)CC2)C1 ZINC001209049725 773557500 /nfs/dbraw/zinc/55/75/00/773557500.db2.gz GZAPRTKUZAPNRW-INIZCTEOSA-N 0 2 321.469 0.599 20 0 DCADLN CC(F)(F)CCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001196333322 773579627 /nfs/dbraw/zinc/57/96/27/773579627.db2.gz WSKHNVJCYPTATL-UHFFFAOYSA-N 0 2 317.340 0.980 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccnc1OCC1CC1 ZINC001197670445 773779702 /nfs/dbraw/zinc/77/97/02/773779702.db2.gz XVYJVBGBVYMHOB-LBPRGKRZSA-N 0 2 307.331 0.909 20 0 DCADLN CC[C@@H](F)C[N@@H+]1C[C@H]2OCCN(C(=O)C[NH+](CC)CC)[C@H]2C1 ZINC001218819778 774310805 /nfs/dbraw/zinc/31/08/05/774310805.db2.gz OWTDEEBESZGRRC-QLFBSQMISA-N 0 2 315.433 0.988 20 0 DCADLN COC(=O)C(NC(=S)Nc1ccc(F)c(F)c1)C(=O)OC ZINC001200701753 774395211 /nfs/dbraw/zinc/39/52/11/774395211.db2.gz YEAMWDGXVNOICN-UHFFFAOYSA-N 0 2 318.301 0.966 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cccc2c1NC(=O)NC2 ZINC001219334512 774453402 /nfs/dbraw/zinc/45/34/02/774453402.db2.gz IVSIHSSIZMDWKN-JTQLQIEISA-N 0 2 300.322 0.553 20 0 DCADLN CC1(C)C[C@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095325438 774951572 /nfs/dbraw/zinc/95/15/72/774951572.db2.gz BPJZBVIRVSIZTO-RCWTZXSCSA-N 0 2 305.382 0.778 20 0 DCADLN COC[C@H](C)CC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221908085 775226157 /nfs/dbraw/zinc/22/61/57/775226157.db2.gz SXQDNKKSTRLWNU-IJLUTSLNSA-N 0 2 323.397 0.216 20 0 DCADLN Cc1ccc(O[C@@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])cn1 ZINC001225277535 775661203 /nfs/dbraw/zinc/66/12/03/775661203.db2.gz SJKKIELLFIURKN-ZDUSSCGKSA-N 0 2 316.379 0.357 20 0 DCADLN Cc1cc(NCCN(CCO)C(=O)C(F)C(F)(F)F)ncn1 ZINC001111579552 775665901 /nfs/dbraw/zinc/66/59/01/775665901.db2.gz DOOOMSRIXIWDMT-SNVBAGLBSA-N 0 2 324.278 0.918 20 0 DCADLN Cc1cc(NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001111579552 775665906 /nfs/dbraw/zinc/66/59/06/775665906.db2.gz DOOOMSRIXIWDMT-SNVBAGLBSA-N 0 2 324.278 0.918 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cnco1 ZINC001095833087 775769359 /nfs/dbraw/zinc/76/93/59/775769359.db2.gz SEUHWCWHDBPNCC-VGMNWLOBSA-N 0 2 304.310 0.034 20 0 DCADLN CCOC(=O)C1CC(Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)C1 ZINC001226888666 775890811 /nfs/dbraw/zinc/89/08/11/775890811.db2.gz TYTAKUAMAXHOFB-UHFFFAOYSA-N 0 2 322.277 0.257 20 0 DCADLN CCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001230553541 776335716 /nfs/dbraw/zinc/33/57/16/776335716.db2.gz XCQJTNXNDKBDFR-LBPRGKRZSA-N 0 2 303.366 0.465 20 0 DCADLN CCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001230553541 776335724 /nfs/dbraw/zinc/33/57/24/776335724.db2.gz XCQJTNXNDKBDFR-LBPRGKRZSA-N 0 2 303.366 0.465 20 0 DCADLN CN(CCCN(C)C(=O)[C@@H]1CCC[N@H+]1C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067009475 776611614 /nfs/dbraw/zinc/61/16/14/776611614.db2.gz USMACLSGBJKKBD-ZDUSSCGKSA-N 0 2 321.425 0.353 20 0 DCADLN CSCC(=O)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041918686 777584061 /nfs/dbraw/zinc/58/40/61/777584061.db2.gz BUHCFTDRZIAFSV-UWVGGRQHSA-N 0 2 311.411 0.296 20 0 DCADLN CSCC(=O)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041918686 777584066 /nfs/dbraw/zinc/58/40/66/777584066.db2.gz BUHCFTDRZIAFSV-UWVGGRQHSA-N 0 2 311.411 0.296 20 0 DCADLN CC(C)CCCSc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589597274 1166667787 /nfs/dbraw/zinc/66/77/87/1166667787.db2.gz FEYFLSWNOXCMME-UHFFFAOYSA-N 0 2 310.383 0.782 20 0 DCADLN CSCC[C@@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001591699439 1167360024 /nfs/dbraw/zinc/36/00/24/1167360024.db2.gz IQPCSUDOWVAPFK-ZCFIWIBFSA-N 0 2 311.371 0.220 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)N[C@@H](C(=O)[O-])c1ccsc1 ZINC001601231648 1168671124 /nfs/dbraw/zinc/67/11/24/1168671124.db2.gz NAVFIHNOMSMFBC-ZIYVKSGCSA-N 0 2 310.375 0.878 20 0 DCADLN COCCC[C@H](C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001266349154 939088417 /nfs/dbraw/zinc/08/84/17/939088417.db2.gz ALOGPLYCOFFPFR-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CN(CCNC(=O)C1(C(F)(F)F)CC1)Cc1n[nH]c(=O)[nH]1 ZINC001480916311 939336544 /nfs/dbraw/zinc/33/65/44/939336544.db2.gz QUJVRHDGNIWDKV-UHFFFAOYSA-N 0 2 307.276 0.401 20 0 DCADLN C[N@H+](CCNC(=O)C1(C(F)(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001480916311 939336548 /nfs/dbraw/zinc/33/65/48/939336548.db2.gz QUJVRHDGNIWDKV-UHFFFAOYSA-N 0 2 307.276 0.401 20 0 DCADLN C[N@@H+](CCNC(=O)C1(C(F)(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001480916311 939336553 /nfs/dbraw/zinc/33/65/53/939336553.db2.gz QUJVRHDGNIWDKV-UHFFFAOYSA-N 0 2 307.276 0.401 20 0 DCADLN CC[N@H+](CCNC(=O)C[NH+]1[C@@H](C)CC[C@@H]1C)Cc1cnnn1C ZINC001481018078 939524719 /nfs/dbraw/zinc/52/47/19/939524719.db2.gz DGMFXIVYDNLRSV-KBPBESRZSA-N 0 2 322.457 0.626 20 0 DCADLN CCCCOCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267624108 939596905 /nfs/dbraw/zinc/59/69/05/939596905.db2.gz QRZBDKILPXABMC-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN C[C@H](CC[NH2+]Cc1ncc(C2CC2)o1)NC(=O)Cc1nnc[nH]1 ZINC001273111262 945265668 /nfs/dbraw/zinc/26/56/68/945265668.db2.gz BMBZNBZOXZFSQD-SNVBAGLBSA-N 0 2 318.381 0.897 20 0 DCADLN C[N@H+]1CCCC[C@@H]1C(=O)N[C@H]1CCC[NH+](CCOCCO)C1 ZINC001481535702 939923610 /nfs/dbraw/zinc/92/36/10/939923610.db2.gz MNVGISMKFBJFJQ-LSDHHAIUSA-N 0 2 313.442 0.060 20 0 DCADLN CC(C)[NH+](C)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCOCC(F)F ZINC001318228103 945295187 /nfs/dbraw/zinc/29/51/87/945295187.db2.gz DITOWBXOUBXQTB-STQMWFEESA-N 0 2 319.396 0.893 20 0 DCADLN C[C@H](C[N@@H+](C)CCOCC(F)(F)F)NC(=O)Cn1cc[nH+]c1 ZINC001268760264 940351966 /nfs/dbraw/zinc/35/19/66/940351966.db2.gz UCWNPRKRDRVPJZ-LLVKDONJSA-N 0 2 322.331 0.899 20 0 DCADLN NC(=O)[C@@H]1CCC2(CN(C(=O)c3cccc(Cl)c3O)C2)O1 ZINC001269756333 940771282 /nfs/dbraw/zinc/77/12/82/940771282.db2.gz CJRLNCRYCCINBN-JTQLQIEISA-N 0 2 310.737 0.905 20 0 DCADLN O=C(CCCF)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001482243945 940781055 /nfs/dbraw/zinc/78/10/55/940781055.db2.gz VBOBYNMPOSZSHD-UHFFFAOYSA-N 0 2 311.361 0.684 20 0 DCADLN CCN(C(=O)C[C@H]1SC(=N)NC1=O)C(C)(C)C(=O)OC ZINC001363594972 940893476 /nfs/dbraw/zinc/89/34/76/940893476.db2.gz LKKBHDOQXAGAQE-SSDOTTSWSA-N 0 2 301.368 0.343 20 0 DCADLN CCOCCC[NH+]1CCO[C@@H](CNC(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001270629332 940948166 /nfs/dbraw/zinc/94/81/66/940948166.db2.gz OBKRDQRKXRBPAF-LSDHHAIUSA-N 0 2 313.442 0.324 20 0 DCADLN Cc1ncsc1C[NH2+]C[C@@H](C)NC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001483117389 941857840 /nfs/dbraw/zinc/85/78/40/941857840.db2.gz DZACWHWBFYMSIH-PWSUYJOCSA-N 0 2 312.439 0.376 20 0 DCADLN CO[C@H](C)[C@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412840337 942079439 /nfs/dbraw/zinc/07/94/39/942079439.db2.gz PBLVUTAFBCHWAG-DTWKUNHWSA-N 0 2 306.322 0.419 20 0 DCADLN CCc1ncncc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409691273 942148617 /nfs/dbraw/zinc/14/86/17/942148617.db2.gz FIIPYWHPSKFCBJ-VIFPVBQESA-N 0 2 319.369 0.113 20 0 DCADLN CCc1ncncc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409691273 942148622 /nfs/dbraw/zinc/14/86/22/942148622.db2.gz FIIPYWHPSKFCBJ-VIFPVBQESA-N 0 2 319.369 0.113 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)c3ccc(C(=O)[O-])cc3)CC[NH2+]2)cn1 ZINC001600944848 970886082 /nfs/dbraw/zinc/88/60/82/970886082.db2.gz UTVVZHHYRMHHGB-CQSZACIVSA-N 0 2 314.345 0.905 20 0 DCADLN C[C@@H](C[N@H+](C)CCOCCO)NC(=O)c1cccc2[nH+]ccn21 ZINC001483361424 942299396 /nfs/dbraw/zinc/29/93/96/942299396.db2.gz IBFNKAHWCWLPAO-ZDUSSCGKSA-N 0 2 320.393 0.393 20 0 DCADLN O=S(=O)(NCc1[nH]cc[nH+]1)c1nc[n-]c1Br ZINC001413305212 942616706 /nfs/dbraw/zinc/61/67/06/942616706.db2.gz GVSXZZOKCYARBS-UHFFFAOYSA-N 0 2 306.145 0.374 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1coc2c1C(=O)NCCC2 ZINC001413337303 942902905 /nfs/dbraw/zinc/90/29/05/942902905.db2.gz CTBGATWICBPKCC-UHFFFAOYSA-N 0 2 318.289 0.087 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1coc2c1C(=O)NCCC2 ZINC001413337303 942902910 /nfs/dbraw/zinc/90/29/10/942902910.db2.gz CTBGATWICBPKCC-UHFFFAOYSA-N 0 2 318.289 0.087 20 0 DCADLN CC(=O)Nc1cccnc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001413340999 942907562 /nfs/dbraw/zinc/90/75/62/942907562.db2.gz JSOLWTNVRUDXKZ-UHFFFAOYSA-N 0 2 303.278 0.171 20 0 DCADLN CCOC1CC(C[NH+]2CC(N(C)C(=O)CCc3cnn[nH]3)C2)C1 ZINC001483880327 943006149 /nfs/dbraw/zinc/00/61/49/943006149.db2.gz LUKHBAUMNANMNX-UHFFFAOYSA-N 0 2 321.425 0.695 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2nccnc2c1 ZINC000322978050 970954365 /nfs/dbraw/zinc/95/43/65/970954365.db2.gz ONQVFBDKPSKNQM-CYBMUJFWSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2nccnc2c1 ZINC000322978050 970954372 /nfs/dbraw/zinc/95/43/72/970954372.db2.gz ONQVFBDKPSKNQM-CYBMUJFWSA-N 0 2 311.301 0.779 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2ccsc2)[nH]1 ZINC001413669767 943561088 /nfs/dbraw/zinc/56/10/88/943561088.db2.gz DMFFDKPIPAMREW-UHFFFAOYSA-N 0 2 300.365 0.341 20 0 DCADLN C[C@@H](NC(=O)c1ccc2c(c1)NC(=O)CO2)c1nn(C)cc1O ZINC001413746162 943659825 /nfs/dbraw/zinc/65/98/25/943659825.db2.gz SSWWNNPMMBKFTI-MRVPVSSYSA-N 0 2 316.317 0.948 20 0 DCADLN COCC[N@@H+](C)C[C@H]1CCCN1C(=O)CCc1[nH+]ccn1C ZINC001485060177 943678739 /nfs/dbraw/zinc/67/87/39/943678739.db2.gz IPQAYYAUTWCUFH-CQSZACIVSA-N 0 2 308.426 0.922 20 0 DCADLN O=C([O-])CC[C@@H]1CCC[N@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001611425969 971047499 /nfs/dbraw/zinc/04/74/99/971047499.db2.gz KSJBZPNBUPQTQW-JTQLQIEISA-N 0 2 318.333 0.607 20 0 DCADLN O=C([O-])CC[C@@H]1CCC[N@@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001611425969 971047504 /nfs/dbraw/zinc/04/75/04/971047504.db2.gz KSJBZPNBUPQTQW-JTQLQIEISA-N 0 2 318.333 0.607 20 0 DCADLN NC(=O)c1noc2c1CN(C(=O)c1ccc(F)c(F)c1O)CC2 ZINC001276858745 944332561 /nfs/dbraw/zinc/33/25/61/944332561.db2.gz NPIPYRUFORSVLZ-UHFFFAOYSA-N 0 2 323.255 0.956 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1n[nH]c(=O)c2ccccc21 ZINC001318973792 945473387 /nfs/dbraw/zinc/47/33/87/945473387.db2.gz OFGCVSBRIYUWPY-UHFFFAOYSA-N 0 2 314.305 0.522 20 0 DCADLN CCCn1ncnc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001494388319 945491670 /nfs/dbraw/zinc/49/16/70/945491670.db2.gz BLVFFBGXFXHGRW-SNVBAGLBSA-N 0 2 323.294 0.889 20 0 DCADLN CCCn1ncnc1CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001494388319 945491687 /nfs/dbraw/zinc/49/16/87/945491687.db2.gz BLVFFBGXFXHGRW-SNVBAGLBSA-N 0 2 323.294 0.889 20 0 DCADLN COCCC(=O)N[C@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001487137019 945539410 /nfs/dbraw/zinc/53/94/10/945539410.db2.gz DDZYGTUCXYBNNE-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N[C@H](C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001487137019 945539413 /nfs/dbraw/zinc/53/94/13/945539413.db2.gz DDZYGTUCXYBNNE-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN CCOC(=O)C1CCC(O)(C[NH2+][C@@H]2SC(=O)[N-]C2=O)CC1 ZINC001251068071 945734839 /nfs/dbraw/zinc/73/48/39/945734839.db2.gz ANWONEHWCVFRMK-MDGUTJNFSA-N 0 2 316.379 0.370 20 0 DCADLN NC(=O)NCc1ccc(C(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC001320429206 945874498 /nfs/dbraw/zinc/87/44/98/945874498.db2.gz OEQSPENULWITOH-UHFFFAOYSA-N 0 2 321.362 0.499 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc(C(=O)NC2CC2)cc1 ZINC001259024453 946897115 /nfs/dbraw/zinc/89/71/15/946897115.db2.gz RHGSLIXWHQDSLK-UHFFFAOYSA-N 0 2 312.347 0.494 20 0 DCADLN Cn1ncc(NS(=O)(=O)c2ccc3c(c2)CCO3)c1C(N)=O ZINC001259350168 946948371 /nfs/dbraw/zinc/94/83/71/946948371.db2.gz VTKVAAVJQQRRDS-UHFFFAOYSA-N 0 2 322.346 0.255 20 0 DCADLN CCCc1nn(C)c(C(N)=O)c1NS(=O)(=O)c1cccnc1 ZINC001259602212 946967998 /nfs/dbraw/zinc/96/79/98/946967998.db2.gz OHLBGILASAIQAV-UHFFFAOYSA-N 0 2 323.378 0.667 20 0 DCADLN NC(=O)c1cnc(NS(=O)(=O)CCc2cccc(F)c2)cn1 ZINC001259767380 946980740 /nfs/dbraw/zinc/98/07/40/946980740.db2.gz IUDPAGFPGGPWEQ-UHFFFAOYSA-N 0 2 324.337 0.699 20 0 DCADLN COCCS(=O)(=O)Nc1cncc(OC)c1C(=O)OC ZINC001259966615 947039880 /nfs/dbraw/zinc/03/98/80/947039880.db2.gz NCQCUCVTDQMZHM-UHFFFAOYSA-N 0 2 304.324 0.265 20 0 DCADLN COCCC[C@@H](C)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001323334517 947040354 /nfs/dbraw/zinc/04/03/54/947040354.db2.gz HXZOBBMFSXZMTI-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CCOC(=O)[C@H](NS(=O)(=O)C(F)F)C1CCOCC1 ZINC001259961284 947040709 /nfs/dbraw/zinc/04/07/09/947040709.db2.gz DDVNQHVGFFUWFD-MRVPVSSYSA-N 0 2 301.311 0.487 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)N2CCc3[nH+]ccn3CC2)c1 ZINC001260188149 947081184 /nfs/dbraw/zinc/08/11/84/947081184.db2.gz IVQZPDOHEAWKPN-UHFFFAOYSA-N 0 2 321.358 0.828 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)cc1C ZINC001260632953 947131903 /nfs/dbraw/zinc/13/19/03/947131903.db2.gz ALUBJHMVXKVNDG-UHFFFAOYSA-N 0 2 322.346 0.693 20 0 DCADLN Cc1oc2nc[nH]c(=O)c2c1C(=O)NCCc1n[nH]c(=S)o1 ZINC001261369614 947262717 /nfs/dbraw/zinc/26/27/17/947262717.db2.gz GSAIXCCAXFDDMI-UHFFFAOYSA-N 0 2 321.318 0.881 20 0 DCADLN Cc1cc(C)n2ncc(C(=O)NCCc3n[nH]c(=S)o3)c2n1 ZINC001325820509 947937569 /nfs/dbraw/zinc/93/75/69/947937569.db2.gz MGNXUOYNWNQQOZ-UHFFFAOYSA-N 0 2 318.362 0.990 20 0 DCADLN COc1ccc(OC)c2c1C[N@H+](CCOCC(=O)[O-])C[C@H]2O ZINC001326538799 948193398 /nfs/dbraw/zinc/19/33/98/948193398.db2.gz GITJEMVCHQFENZ-LLVKDONJSA-N 0 2 311.334 0.654 20 0 DCADLN COc1ccc(OC)c2c1C[N@@H+](CCOCC(=O)[O-])C[C@H]2O ZINC001326538799 948193401 /nfs/dbraw/zinc/19/34/01/948193401.db2.gz GITJEMVCHQFENZ-LLVKDONJSA-N 0 2 311.334 0.654 20 0 DCADLN C[C@H]1Cc2cn[nH]c2[C@H](C(=O)Nc2n[nH]cc2-c2nn[nH]n2)C1 ZINC001570920672 948604387 /nfs/dbraw/zinc/60/43/87/948604387.db2.gz YQEKFLMVNQVNID-POYBYMJQSA-N 0 2 313.325 0.618 20 0 DCADLN CS(=O)(=O)c1ccc(F)c(NS(=O)(=O)c2ncc[nH]2)c1 ZINC000380598132 948724694 /nfs/dbraw/zinc/72/46/94/948724694.db2.gz YXCBLACQVKTCNC-UHFFFAOYSA-N 0 2 319.339 0.753 20 0 DCADLN CC(C)C(=O)N(C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001488423512 948829618 /nfs/dbraw/zinc/82/96/18/948829618.db2.gz IZORDNJQCJQEGH-DTWKUNHWSA-N 0 2 316.295 0.821 20 0 DCADLN CC(C)C(=O)N(C)C[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001488423512 948829637 /nfs/dbraw/zinc/82/96/37/948829637.db2.gz IZORDNJQCJQEGH-DTWKUNHWSA-N 0 2 316.295 0.821 20 0 DCADLN C[C@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccn1 ZINC001488628854 949030420 /nfs/dbraw/zinc/03/04/20/949030420.db2.gz BSNAGSXAAKYVEL-GHMZBOCLSA-N 0 2 319.369 0.001 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)C1=NN(C)CC1=O ZINC001364395603 949182592 /nfs/dbraw/zinc/18/25/92/949182592.db2.gz FTHGNMQUILVQEL-ZCFIWIBFSA-N 0 2 322.287 0.760 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCc2nncn2CC1)c1nn(C)cc1O ZINC001364395543 949183215 /nfs/dbraw/zinc/18/32/15/949183215.db2.gz FQAAMXWNJUPUCM-VHSXEESVSA-N 0 2 304.354 0.547 20 0 DCADLN O=c1[nH]nc(CN(CC(F)F)C2CCS(=O)(=O)CC2)[nH]1 ZINC001364407014 949206981 /nfs/dbraw/zinc/20/69/81/949206981.db2.gz IDZDYMDJJNUBBW-UHFFFAOYSA-N 0 2 310.326 0.155 20 0 DCADLN O=C([O-])c1ccc(C[NH2+]Cc2nnc3n2CCNC3=O)cc1 ZINC001595232487 949361855 /nfs/dbraw/zinc/36/18/55/949361855.db2.gz JTUKPGDDBUPRKI-UHFFFAOYSA-N 0 2 301.306 0.010 20 0 DCADLN CCC(O)(CC)C(C)(C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001364547047 949532218 /nfs/dbraw/zinc/53/22/18/949532218.db2.gz ZOXDYHZBBKFGJY-QMMMGPOBSA-N 0 2 301.412 0.989 20 0 DCADLN COC[C@H](CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)OC ZINC001364593350 949606290 /nfs/dbraw/zinc/60/62/90/949606290.db2.gz BNPQDPFJCLZLJM-ZJUUUORDSA-N 0 2 313.358 0.061 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001595261134 949664864 /nfs/dbraw/zinc/66/48/64/949664864.db2.gz WSYWPVKKYKCUPP-ZDUSSCGKSA-N 0 2 312.347 0.174 20 0 DCADLN CC(C)C[C@@H](C(=O)N1CC[C@@H](c2nn[nH]n2)C1)n1ccnc1 ZINC001548763281 1013094334 /nfs/dbraw/zinc/09/43/34/1013094334.db2.gz XOFJGIZWLXHUND-NEPJUHHUSA-N 0 2 303.370 1.000 20 0 DCADLN C[C@@H](NC(=O)[C@]1(C)C=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001330037983 950081142 /nfs/dbraw/zinc/08/11/42/950081142.db2.gz IUKRUNUJOCIUFI-MEBBXXQBSA-N 0 2 305.382 0.803 20 0 DCADLN CCc1noc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001365212740 950747513 /nfs/dbraw/zinc/74/75/13/950747513.db2.gz WBDAWJYRGJVJIF-SSDOTTSWSA-N 0 2 307.314 0.867 20 0 DCADLN O=C([O-])CCC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000312021820 950752737 /nfs/dbraw/zinc/75/27/37/950752737.db2.gz LDIPTHIGIALRJT-CQSZACIVSA-N 0 2 306.362 0.868 20 0 DCADLN O=C([O-])CCC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000312021820 950752746 /nfs/dbraw/zinc/75/27/46/950752746.db2.gz LDIPTHIGIALRJT-CQSZACIVSA-N 0 2 306.362 0.868 20 0 DCADLN CC[C@H]1CCN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001365439733 951130119 /nfs/dbraw/zinc/13/01/19/951130119.db2.gz JTKKFGRJMXLGJU-VIFPVBQESA-N 0 2 315.399 0.291 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@@H](C(=O)N[C@H]2CCC[N@H+](CCF)C2)CO1 ZINC001595003739 951170494 /nfs/dbraw/zinc/17/04/94/951170494.db2.gz NXCUCAAORMFJNN-FRRDWIJNSA-N 0 2 316.373 0.806 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@@H](C(=O)N[C@H]2CCC[N@@H+](CCF)C2)CO1 ZINC001595003739 951170500 /nfs/dbraw/zinc/17/05/00/951170500.db2.gz NXCUCAAORMFJNN-FRRDWIJNSA-N 0 2 316.373 0.806 20 0 DCADLN C[C@H](Cn1cncn1)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365553242 951256589 /nfs/dbraw/zinc/25/65/89/951256589.db2.gz CEUKHPWCVIEKRF-ZJUUUORDSA-N 0 2 320.357 0.080 20 0 DCADLN CC(C)(C)c1coc(C[NH2+]CCN2C[C@H](C(=O)[O-])CC2=O)n1 ZINC001332017724 951438064 /nfs/dbraw/zinc/43/80/64/951438064.db2.gz QNKIFDVABGJFLW-SNVBAGLBSA-N 0 2 309.366 0.995 20 0 DCADLN O=C([O-])COCCNc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC001595112766 951917557 /nfs/dbraw/zinc/91/75/57/951917557.db2.gz IOLYHCRIELYALU-LLVKDONJSA-N 0 2 310.354 0.198 20 0 DCADLN O=C([O-])COCCNc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC001595112766 951917560 /nfs/dbraw/zinc/91/75/60/951917560.db2.gz IOLYHCRIELYALU-LLVKDONJSA-N 0 2 310.354 0.198 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH+]1CCC(c2c[nH]cn2)CC1 ZINC001595123552 951987356 /nfs/dbraw/zinc/98/73/56/951987356.db2.gz HQGUSYNPLICFHN-UHFFFAOYSA-N 0 2 301.368 0.089 20 0 DCADLN CC(C)OCCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333299189 951994597 /nfs/dbraw/zinc/99/45/97/951994597.db2.gz RDNQWNBNWJLBEY-UHFFFAOYSA-N 0 2 323.397 0.574 20 0 DCADLN CC(C)OCCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333299189 951994606 /nfs/dbraw/zinc/99/46/06/951994606.db2.gz RDNQWNBNWJLBEY-UHFFFAOYSA-N 0 2 323.397 0.574 20 0 DCADLN COC[C@@H](C)CC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333432462 952057970 /nfs/dbraw/zinc/05/79/70/952057970.db2.gz PLSSXQGQKUTOHS-NSHDSACASA-N 0 2 323.397 0.431 20 0 DCADLN COC[C@@H](C)CC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333432462 952057976 /nfs/dbraw/zinc/05/79/76/952057976.db2.gz PLSSXQGQKUTOHS-NSHDSACASA-N 0 2 323.397 0.431 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccnnc1 ZINC001411573228 952284530 /nfs/dbraw/zinc/28/45/30/952284530.db2.gz QQYGJOFQULQUAA-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ccnnc1 ZINC001411573228 952284534 /nfs/dbraw/zinc/28/45/34/952284534.db2.gz QQYGJOFQULQUAA-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](O)[C@@H](O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001412422289 952789388 /nfs/dbraw/zinc/78/93/88/952789388.db2.gz YTLKHBZHOAMAOI-KWQFWETISA-N 0 2 309.297 0.189 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC[C@@H](O)[C@@H](C)O)=N1 ZINC001412420161 952791039 /nfs/dbraw/zinc/79/10/39/952791039.db2.gz VEDZMEJOGLZZLQ-ZWNOBZJWSA-N 0 2 319.361 0.612 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC[C@H](O)[C@H](C)O)=N1 ZINC001412420148 952791064 /nfs/dbraw/zinc/79/10/64/952791064.db2.gz VEDZMEJOGLZZLQ-GWCFXTLKSA-N 0 2 319.361 0.612 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)Nc2nncs2)oc1Cl ZINC001412439028 952800874 /nfs/dbraw/zinc/80/08/74/952800874.db2.gz AXJOOJWBAGZBQO-UHFFFAOYSA-N 0 2 308.728 0.684 20 0 DCADLN CCOCC(=O)N[C@@H](C)CN(C)C(=O)C(F)C(F)(F)F ZINC001412564316 952900938 /nfs/dbraw/zinc/90/09/38/952900938.db2.gz QYZVSTVMBUOGDC-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N[C@@H](C)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001412564316 952900940 /nfs/dbraw/zinc/90/09/40/952900940.db2.gz QYZVSTVMBUOGDC-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001412562992 952900968 /nfs/dbraw/zinc/90/09/68/952900968.db2.gz PLCUUULXZVIVLX-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001412562992 952900970 /nfs/dbraw/zinc/90/09/70/952900970.db2.gz PLCUUULXZVIVLX-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN CS[C@@H](C)CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412686086 952994103 /nfs/dbraw/zinc/99/41/03/952994103.db2.gz KGTASUWTXUQPEB-ZETCQYMHSA-N 0 2 306.413 0.107 20 0 DCADLN C[C@@H](NC(=O)[C@@]1(C)CCCS1(=O)=O)c1nn(C)cc1O ZINC001412771105 953084424 /nfs/dbraw/zinc/08/44/24/953084424.db2.gz SIZIBTXDAFDAGG-PRHODGIISA-N 0 2 301.368 0.270 20 0 DCADLN CN(Cc1cnn(C)c1)c1nnc(-c2n[nH]c(Cl)n2)n1C ZINC001339425668 953093859 /nfs/dbraw/zinc/09/38/59/953093859.db2.gz HBWWQQKNHOMOLS-UHFFFAOYSA-N 0 2 307.749 0.624 20 0 DCADLN C/C(=C/C(=O)NCc1ccc(C(=O)[O-])o1)C[NH+]1CCOCC1 ZINC001339508127 953099471 /nfs/dbraw/zinc/09/94/71/953099471.db2.gz BWEDYGRKVRAYPJ-FLIBITNWSA-N 0 2 308.334 0.873 20 0 DCADLN O=C([O-])C1(CNC(=O)C(=O)Nc2ccn3cc[nH+]c3c2)CCC1 ZINC001594739141 953360893 /nfs/dbraw/zinc/36/08/93/953360893.db2.gz QLWVPFWFXQNBKW-UHFFFAOYSA-N 0 2 316.317 0.644 20 0 DCADLN CC(C)(C)CNC(=O)CN1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001365932481 953423169 /nfs/dbraw/zinc/42/31/69/953423169.db2.gz LGMGMHFJSWEFOB-LLVKDONJSA-N 0 2 322.413 0.161 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001589290785 953599967 /nfs/dbraw/zinc/59/99/67/953599967.db2.gz ZDTJEJIEGKLLLC-XJFOESAGSA-N 0 2 310.394 0.809 20 0 DCADLN C/C(=C\C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001282693522 953648457 /nfs/dbraw/zinc/64/84/57/953648457.db2.gz OXDNNOUSAKMWKI-NAZIUFLLSA-N 0 2 305.382 0.803 20 0 DCADLN O=C(C[C@@H]1CCCO1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001282924015 953772633 /nfs/dbraw/zinc/77/26/33/953772633.db2.gz XLLHTYSUHZSCKG-CBAPKCEASA-N 0 2 300.252 0.688 20 0 DCADLN O=C(C[C@@H]1CCCO1)NCCNC(=O)C(F)C(F)(F)F ZINC001282924015 953772622 /nfs/dbraw/zinc/77/26/22/953772622.db2.gz XLLHTYSUHZSCKG-CBAPKCEASA-N 0 2 300.252 0.688 20 0 DCADLN O=C(Cc1cncs1)NCCNC(=O)C(F)C(F)(F)F ZINC001283056731 953962409 /nfs/dbraw/zinc/96/24/09/953962409.db2.gz FERXLXHXUJHKNZ-MRVPVSSYSA-N 0 2 313.276 0.818 20 0 DCADLN O=C(Cc1cncs1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001283056731 953962422 /nfs/dbraw/zinc/96/24/22/953962422.db2.gz FERXLXHXUJHKNZ-MRVPVSSYSA-N 0 2 313.276 0.818 20 0 DCADLN CN1CC[NH+](CC2CN(C(=O)C(=O)c3ccc(O)cc3)C2)CC1 ZINC001347788009 954167643 /nfs/dbraw/zinc/16/76/43/954167643.db2.gz WXBVPUFPSUFZJB-UHFFFAOYSA-N 0 2 317.389 0.281 20 0 DCADLN CCC[N@@H+]1CCC[C@@H]1C(=O)NCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001348789391 954467502 /nfs/dbraw/zinc/46/75/02/954467502.db2.gz UFUYBHYNFIBJBH-LLVKDONJSA-N 0 2 323.397 0.144 20 0 DCADLN CCC[N@H+]1CCC[C@@H]1C(=O)NCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001348789391 954467507 /nfs/dbraw/zinc/46/75/07/954467507.db2.gz UFUYBHYNFIBJBH-LLVKDONJSA-N 0 2 323.397 0.144 20 0 DCADLN CCn1nc(C)cc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001366633372 954555148 /nfs/dbraw/zinc/55/51/48/954555148.db2.gz OVOPWXSUSLIPGC-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CCn1nc(C)cc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001366633372 954555156 /nfs/dbraw/zinc/55/51/56/954555156.db2.gz OVOPWXSUSLIPGC-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H](NC(=O)C(=O)NCCCC(=O)[O-])C1 ZINC001349356599 954722482 /nfs/dbraw/zinc/72/24/82/954722482.db2.gz RIFDJCFCOKBHBC-CYBMUJFWSA-N 0 2 319.361 0.270 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H](NC(=O)C(=O)NCCCC(=O)[O-])C1 ZINC001349356599 954722490 /nfs/dbraw/zinc/72/24/90/954722490.db2.gz RIFDJCFCOKBHBC-CYBMUJFWSA-N 0 2 319.361 0.270 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)[C@H](O)c2c[nH+]c[nH]2)Cc2ccccc21 ZINC001594941352 954896491 /nfs/dbraw/zinc/89/64/91/954896491.db2.gz IKMBOJJOARMPLS-WCQYABFASA-N 0 2 301.302 0.654 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)NCC[C@@H]1CC[NH+](Cc2cnsn2)C1 ZINC001366833723 954907021 /nfs/dbraw/zinc/90/70/21/954907021.db2.gz DJQDIEWSAOPHKV-TZMCWYRMSA-N 0 2 323.466 0.961 20 0 DCADLN O=C(COC1CCCC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366889528 955002932 /nfs/dbraw/zinc/00/29/32/955002932.db2.gz NJAFZJCBNPKQOZ-LLVKDONJSA-N 0 2 323.397 0.550 20 0 DCADLN CC[C@@H](CNC(=O)NC[C@H](C)[NH+]1CCN(C)CC1)C(=O)[O-] ZINC001589535929 955161698 /nfs/dbraw/zinc/16/16/98/955161698.db2.gz XTYFOMIUZFVBKP-RYUDHWBXSA-N 0 2 300.403 0.032 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CCCN(CC(F)F)C1 ZINC001351838569 955429364 /nfs/dbraw/zinc/42/93/64/955429364.db2.gz QOOMOBFCXKVZEX-MRVPVSSYSA-N 0 2 320.365 0.994 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C(=O)[O-])cn1 ZINC001593860147 955526897 /nfs/dbraw/zinc/52/68/97/955526897.db2.gz DLVGXOQQFAMKEL-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C(=O)[O-])cn1 ZINC001593860147 955526909 /nfs/dbraw/zinc/52/69/09/955526909.db2.gz DLVGXOQQFAMKEL-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])C3)CC[NH2+]2)cn1 ZINC001594536900 955582498 /nfs/dbraw/zinc/58/24/98/955582498.db2.gz FXYSUHJNGLEGMA-NTZNESFSSA-N 0 2 306.366 0.394 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001594563686 955816630 /nfs/dbraw/zinc/81/66/30/955816630.db2.gz GZJGSPCRKXWLPA-UHFFFAOYSA-N 0 2 303.322 0.861 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC001593905111 955903047 /nfs/dbraw/zinc/90/30/47/955903047.db2.gz CYGQWNMGVCRPGA-CYBMUJFWSA-N 0 2 308.334 0.136 20 0 DCADLN CSC[C@H](NC(=O)/C=C(/C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001354072656 956274940 /nfs/dbraw/zinc/27/49/40/956274940.db2.gz OOYMNWUYJDYGCX-BRNRAETOSA-N 0 2 302.396 0.197 20 0 DCADLN C[C@@H](CSCC[NH2+]Cc1nnn(C(C)(C)C)n1)C(=O)[O-] ZINC001333184610 956314443 /nfs/dbraw/zinc/31/44/43/956314443.db2.gz AEDRVYJJKDRGSZ-VIFPVBQESA-N 0 2 301.416 0.972 20 0 DCADLN COC[C@@H]([NH2+]CCS(=O)(=O)CC(=O)[O-])c1ccc(C)o1 ZINC001593957944 956454111 /nfs/dbraw/zinc/45/41/11/956454111.db2.gz VHZBNUJQNBJUFA-SNVBAGLBSA-N 0 2 305.352 0.365 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCC(O)(COCCO)CC1 ZINC001333980431 956862247 /nfs/dbraw/zinc/86/22/47/956862247.db2.gz RTMMGSQWGVPWTA-MRVPVSSYSA-N 0 2 303.252 0.249 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCC(O)(COCCO)CC1 ZINC001333980431 956862256 /nfs/dbraw/zinc/86/22/56/956862256.db2.gz RTMMGSQWGVPWTA-MRVPVSSYSA-N 0 2 303.252 0.249 20 0 DCADLN CCc1ncncc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292774722 957146892 /nfs/dbraw/zinc/14/68/92/957146892.db2.gz MJMVFXWKFWCUMJ-VIFPVBQESA-N 0 2 322.262 0.785 20 0 DCADLN CCc1ncncc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001292774722 957146896 /nfs/dbraw/zinc/14/68/96/957146896.db2.gz MJMVFXWKFWCUMJ-VIFPVBQESA-N 0 2 322.262 0.785 20 0 DCADLN Cc1nonc1CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292845225 957171141 /nfs/dbraw/zinc/17/11/41/957171141.db2.gz WTVMOMBZRXNEBY-QMMMGPOBSA-N 0 2 312.223 0.053 20 0 DCADLN Cc1nonc1CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001292845225 957171146 /nfs/dbraw/zinc/17/11/46/957171146.db2.gz WTVMOMBZRXNEBY-QMMMGPOBSA-N 0 2 312.223 0.053 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001357388787 957265521 /nfs/dbraw/zinc/26/55/21/957265521.db2.gz RCCSPSCBDZGQFG-JYUDYDOHSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001357388787 957265535 /nfs/dbraw/zinc/26/55/35/957265535.db2.gz RCCSPSCBDZGQFG-JYUDYDOHSA-N 0 2 314.279 0.836 20 0 DCADLN C[N@@H+]1CCCN(S(=O)(=O)c2ccc(C(=O)[O-])s2)CC1 ZINC000038058527 957352474 /nfs/dbraw/zinc/35/24/74/957352474.db2.gz NSDJKFSGDIRSHV-UHFFFAOYSA-N 0 2 304.393 0.773 20 0 DCADLN C[N@H+]1CCCN(S(=O)(=O)c2ccc(C(=O)[O-])s2)CC1 ZINC000038058527 957352483 /nfs/dbraw/zinc/35/24/83/957352483.db2.gz NSDJKFSGDIRSHV-UHFFFAOYSA-N 0 2 304.393 0.773 20 0 DCADLN O=C(Nc1nn[nH]n1)c1cn[nH]c1-c1ccc2c(c1)OCCO2 ZINC001293898463 957441660 /nfs/dbraw/zinc/44/16/60/957441660.db2.gz CBBSYQBYSIRQMD-UHFFFAOYSA-N 0 2 313.277 0.613 20 0 DCADLN CCOCC(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC001294126716 957484476 /nfs/dbraw/zinc/48/44/76/957484476.db2.gz GBCDYLLTDZRXJF-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCOCC(=O)N(C)CCCNC(=O)[C@@H](F)C(F)(F)F ZINC001294126716 957484482 /nfs/dbraw/zinc/48/44/82/957484482.db2.gz GBCDYLLTDZRXJF-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN COCC[NH+]1CCN(C(=O)C2(C(=O)[O-])CCSCC2)CC1 ZINC001332423180 957760909 /nfs/dbraw/zinc/76/09/09/957760909.db2.gz LRGBOKLLBRJCJA-UHFFFAOYSA-N 0 2 316.423 0.375 20 0 DCADLN O=C([O-])[C@@H]1CN(S(=O)(=O)CCn2cc[nH+]c2)C[C@H]1C1CC1 ZINC001574777444 957771394 /nfs/dbraw/zinc/77/13/94/957771394.db2.gz FGUDZMKNCAVYQP-NWDGAFQWSA-N 0 2 313.379 0.256 20 0 DCADLN CO[C@@](C)([C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC001361409954 957941812 /nfs/dbraw/zinc/94/18/12/957941812.db2.gz CBRBECMAUQJOIL-PSLIRLAXSA-N 0 2 319.365 0.391 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001446988875 1013168502 /nfs/dbraw/zinc/16/85/02/1013168502.db2.gz RBMUTBUPWCGPIM-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001446988875 1013168518 /nfs/dbraw/zinc/16/85/18/1013168518.db2.gz RBMUTBUPWCGPIM-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN CN1CC[N@@H+](C)C[C@@H]1CNC(=O)c1ccc(C[NH+](C)C)nc1 ZINC001361832654 958383256 /nfs/dbraw/zinc/38/32/56/958383256.db2.gz JEWCUOOMFJNPMT-HNNXBMFYSA-N 0 2 305.426 0.119 20 0 DCADLN Cc1cc(C2(C(=O)NCc3n[nH]c(=O)[nH]3)CCOCC2)on1 ZINC001362060545 958674865 /nfs/dbraw/zinc/67/48/65/958674865.db2.gz CLVPIXXTDOZCRB-UHFFFAOYSA-N 0 2 307.310 0.171 20 0 DCADLN CC(C)CCC[C@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC000132240282 958779725 /nfs/dbraw/zinc/77/97/25/958779725.db2.gz LYIUYDKNIVMIEI-YNEHKIRRSA-N 0 2 300.399 0.837 20 0 DCADLN CC(C)CCC[C@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC000132240282 958779740 /nfs/dbraw/zinc/77/97/40/958779740.db2.gz LYIUYDKNIVMIEI-YNEHKIRRSA-N 0 2 300.399 0.837 20 0 DCADLN O=C(NCCC(F)(F)F)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362142722 958817051 /nfs/dbraw/zinc/81/70/51/958817051.db2.gz MBDCXWJQYLRNJK-UHFFFAOYSA-N 0 2 316.239 0.948 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CS(=O)(=O)c2ccccc21 ZINC001362185985 958892354 /nfs/dbraw/zinc/89/23/54/958892354.db2.gz BZXMIDQNQWHEGL-GFCCVEGCSA-N 0 2 305.359 0.905 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2oc(=O)[nH]c2c1 ZINC000148060479 959006436 /nfs/dbraw/zinc/00/64/36/959006436.db2.gz IRKXCKCDYNILHD-SNVBAGLBSA-N 0 2 316.273 0.682 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2oc(=O)[nH]c2c1 ZINC000148060479 959006456 /nfs/dbraw/zinc/00/64/56/959006456.db2.gz IRKXCKCDYNILHD-SNVBAGLBSA-N 0 2 316.273 0.682 20 0 DCADLN O=C(C[C@@H]1C(=O)Nc2ccc(F)cc21)NCc1n[nH]c(=O)[nH]1 ZINC001362422524 959324014 /nfs/dbraw/zinc/32/40/14/959324014.db2.gz BKPUOIWXNDZJDW-QMMMGPOBSA-N 0 2 305.269 0.392 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCC[NH+]2CC=CC2)cc1F ZINC000392267975 972436261 /nfs/dbraw/zinc/43/62/61/972436261.db2.gz ZALRUHUGDSCWCL-UHFFFAOYSA-N 0 2 314.338 0.674 20 0 DCADLN CC(C)c1cccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001377192530 959663564 /nfs/dbraw/zinc/66/35/64/959663564.db2.gz ZXCONBCKBKOTMU-UHFFFAOYSA-N 0 2 318.381 0.891 20 0 DCADLN CC(C)c1cccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001377192530 959663568 /nfs/dbraw/zinc/66/35/68/959663568.db2.gz ZXCONBCKBKOTMU-UHFFFAOYSA-N 0 2 318.381 0.891 20 0 DCADLN C[C@@H](NC(=O)Cc1n[nH]c(C2CCOCC2)n1)c1nc[nH]n1 ZINC001362626052 959722273 /nfs/dbraw/zinc/72/22/73/959722273.db2.gz MPDMVEWTNIYINB-MRVPVSSYSA-N 0 2 305.342 0.237 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCC1=O ZINC001362688602 959832721 /nfs/dbraw/zinc/83/27/21/959832721.db2.gz PEEPKPHELFZJRL-SECBINFHSA-N 0 2 316.317 0.317 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)C[C@H]1CC[NH+]1Cc1ccnn1C ZINC001368463404 959899285 /nfs/dbraw/zinc/89/92/85/959899285.db2.gz ZMWZMSPTJDCFGD-OAHLLOKOSA-N 0 2 307.442 0.793 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001492384716 959899808 /nfs/dbraw/zinc/89/98/08/959899808.db2.gz NBPSQFSBPIPSBB-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@H]1CCOC1 ZINC001492384716 959899816 /nfs/dbraw/zinc/89/98/16/959899816.db2.gz NBPSQFSBPIPSBB-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN Cn1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)ccc1=O ZINC001362743806 959920461 /nfs/dbraw/zinc/92/04/61/959920461.db2.gz UUPSBPHVAGMGJR-SECBINFHSA-N 0 2 303.322 0.229 20 0 DCADLN CSc1ccc(O)c(C(=O)N2CCOC[C@H]2c2nn[nH]n2)c1 ZINC001362762738 959953138 /nfs/dbraw/zinc/95/31/38/959953138.db2.gz SAWMKJFNCINPBO-JTQLQIEISA-N 0 2 321.362 0.841 20 0 DCADLN O=C(C=CCn1cncn1)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC001301648668 960006507 /nfs/dbraw/zinc/00/65/07/960006507.db2.gz DHIPXZILWVTIGN-LLPBQKLSSA-N 0 2 310.321 0.253 20 0 DCADLN O=C(/C=C\Cn1cncn1)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC001301648668 960006516 /nfs/dbraw/zinc/00/65/16/960006516.db2.gz DHIPXZILWVTIGN-LLPBQKLSSA-N 0 2 310.321 0.253 20 0 DCADLN O=C(C=CCn1cncn1)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC001301648669 960006760 /nfs/dbraw/zinc/00/67/60/960006760.db2.gz DHIPXZILWVTIGN-LVDDQXARSA-N 0 2 310.321 0.253 20 0 DCADLN O=C(/C=C/Cn1cncn1)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC001301648669 960006770 /nfs/dbraw/zinc/00/67/70/960006770.db2.gz DHIPXZILWVTIGN-LVDDQXARSA-N 0 2 310.321 0.253 20 0 DCADLN CC(C)[C@H](C)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001368595381 960070476 /nfs/dbraw/zinc/07/04/76/960070476.db2.gz VARLLLHCXXOETF-WDEREUQCSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](NC(=O)c1cc(Br)c(F)cc1O)C(N)=O ZINC001362859102 960100812 /nfs/dbraw/zinc/10/08/12/960100812.db2.gz OPLRTJUUHPGXAL-SCSAIBSYSA-N 0 2 305.103 0.897 20 0 DCADLN CCCN(CCNC(=O)c1cnn[nH]1)Cc1nnc(C2CC2)[nH]1 ZINC001377495384 960187264 /nfs/dbraw/zinc/18/72/64/960187264.db2.gz LVJYECXQQLSLIU-UHFFFAOYSA-N 0 2 318.385 0.442 20 0 DCADLN CC(=O)N[C@@H](C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1)C(C)C ZINC001362972385 960243207 /nfs/dbraw/zinc/24/32/07/960243207.db2.gz NNSBGBUQWDPCKX-GFCCVEGCSA-N 0 2 324.381 0.358 20 0 DCADLN CCN(CCNC(=O)c1cnn[nH]1)Cc1nnc(C2CC2)[nH]1 ZINC001492982698 960251597 /nfs/dbraw/zinc/25/15/97/960251597.db2.gz QXKMERXYTRTDSI-UHFFFAOYSA-N 0 2 304.358 0.052 20 0 DCADLN Cc1ccc(CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)cc1 ZINC001362983087 960255674 /nfs/dbraw/zinc/25/56/74/960255674.db2.gz BYJGZRNSNPISRS-UHFFFAOYSA-N 0 2 322.390 0.517 20 0 DCADLN COc1cc(OC)nc(C(=O)N[C@@H](C)c2nn(C)cc2O)n1 ZINC001363047790 960342818 /nfs/dbraw/zinc/34/28/18/960342818.db2.gz HVSQJIQCIKKFQJ-ZETCQYMHSA-N 0 2 307.310 0.424 20 0 DCADLN CCCN(C(=O)C(C)(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377646469 960387236 /nfs/dbraw/zinc/38/72/36/960387236.db2.gz XNAPSQQKEOEFGB-VIFPVBQESA-N 0 2 317.340 0.978 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)[C@H]2CCCc3n[nH]nc32)n1 ZINC001363211068 960614764 /nfs/dbraw/zinc/61/47/64/960614764.db2.gz MJVQGNOAYFNVHA-ZETCQYMHSA-N 0 2 304.310 0.763 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NC(C)C)C2)c1[O-] ZINC001377840577 960781113 /nfs/dbraw/zinc/78/11/13/960781113.db2.gz JSEXGXPXDZOTND-NSHDSACASA-N 0 2 323.397 0.143 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CCC[N@H+](CC(=O)NC(C)C)C2)c1[O-] ZINC001377840577 960781127 /nfs/dbraw/zinc/78/11/27/960781127.db2.gz JSEXGXPXDZOTND-NSHDSACASA-N 0 2 323.397 0.143 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378490313 960850674 /nfs/dbraw/zinc/85/06/74/960850674.db2.gz MWOMAYVFKRYHAW-GXSJLCMTSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H]1CC[C@H](CO)N1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363306933 960852859 /nfs/dbraw/zinc/85/28/59/960852859.db2.gz QGJPQJCZYFDSQU-BXKDBHETSA-N 0 2 318.333 0.252 20 0 DCADLN Cn1nc(C(=O)NCc2nc(O)cc(=O)[nH]2)c2c1CCCC2 ZINC001363319794 960884209 /nfs/dbraw/zinc/88/42/09/960884209.db2.gz ASMFFNYYBZKWPR-UHFFFAOYSA-N 0 2 303.322 0.430 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@]1(CO)COCC[N@@H+]1CCC(=O)[O-] ZINC001588708487 960893836 /nfs/dbraw/zinc/89/38/36/960893836.db2.gz HRKKNZPBWOZWIA-CQSZACIVSA-N 0 2 318.370 0.049 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@]1(CO)COCC[N@H+]1CCC(=O)[O-] ZINC001588708487 960893854 /nfs/dbraw/zinc/89/38/54/960893854.db2.gz HRKKNZPBWOZWIA-CQSZACIVSA-N 0 2 318.370 0.049 20 0 DCADLN CC[C@H](C)NC(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001377892737 960919499 /nfs/dbraw/zinc/91/94/99/960919499.db2.gz SNSZUKXTQVXPJL-XVKPBYJWSA-N 0 2 313.295 0.602 20 0 DCADLN CC[C@H](C)NC(=O)CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001377892737 960919509 /nfs/dbraw/zinc/91/95/09/960919509.db2.gz SNSZUKXTQVXPJL-XVKPBYJWSA-N 0 2 313.295 0.602 20 0 DCADLN Cc1nnc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001377972566 961097466 /nfs/dbraw/zinc/09/74/66/961097466.db2.gz SSQNLEIBKWGWNE-VIFPVBQESA-N 0 2 309.267 0.562 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001430197327 1013233880 /nfs/dbraw/zinc/23/38/80/1013233880.db2.gz ISWARHNNIOIZRR-RNJXMRFFSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001430197327 1013233888 /nfs/dbraw/zinc/23/38/88/1013233888.db2.gz ISWARHNNIOIZRR-RNJXMRFFSA-N 0 2 308.235 0.687 20 0 DCADLN CC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CCC1 ZINC001378664759 961231511 /nfs/dbraw/zinc/23/15/11/961231511.db2.gz MSRMRFUNMGEMAX-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN NC(=O)[C@@H]1CCO[C@@H]1C1CCN(c2[nH+]cccc2C(=O)[O-])CC1 ZINC001549035770 1013237827 /nfs/dbraw/zinc/23/78/27/1013237827.db2.gz FTQOTOMQCGMKQU-DGCLKSJQSA-N 0 2 319.361 0.887 20 0 DCADLN COc1ccccc1[C@H](O)C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363484788 961253276 /nfs/dbraw/zinc/25/32/76/961253276.db2.gz JQZBGRLNVWHZIP-ZDUSSCGKSA-N 0 2 305.290 0.246 20 0 DCADLN Cc1ccnc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001430214209 1013254470 /nfs/dbraw/zinc/25/44/70/1013254470.db2.gz BVUBHYKBDMAGEG-QMMMGPOBSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ccnc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001430214209 1013254477 /nfs/dbraw/zinc/25/44/77/1013254477.db2.gz BVUBHYKBDMAGEG-QMMMGPOBSA-N 0 2 320.246 0.626 20 0 DCADLN C[C@H]1COC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363574945 961474322 /nfs/dbraw/zinc/47/43/22/961474322.db2.gz FEKDEBWJCATRTR-GZMMTYOYSA-N 0 2 304.306 0.031 20 0 DCADLN O=C([O-])c1cnc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)nc1 ZINC001571128919 961530656 /nfs/dbraw/zinc/53/06/56/961530656.db2.gz SXKMJLXDMANXRP-JTQLQIEISA-N 0 2 316.321 0.153 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC001430225280 1013265341 /nfs/dbraw/zinc/26/53/41/1013265341.db2.gz FEIYPUBVLPUVCK-BKPPORCPSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cccnn1 ZINC001430225280 1013265351 /nfs/dbraw/zinc/26/53/51/1013265351.db2.gz FEIYPUBVLPUVCK-BKPPORCPSA-N 0 2 320.246 0.754 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@H+]([C@H](C)C(=O)[O-])C1CC1)C(C)C ZINC001573927826 961557323 /nfs/dbraw/zinc/55/73/23/961557323.db2.gz IGPOWIMZRDREFN-SKDRFNHKSA-N 0 2 300.355 0.238 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@@H+]([C@H](C)C(=O)[O-])C1CC1)C(C)C ZINC001573927826 961557334 /nfs/dbraw/zinc/55/73/34/961557334.db2.gz IGPOWIMZRDREFN-SKDRFNHKSA-N 0 2 300.355 0.238 20 0 DCADLN O=C(N[C@@H]1C[C@@H](O)[C@@H](O)C1)C1=NN(c2ccccc2)CC1=O ZINC001363634407 961591247 /nfs/dbraw/zinc/59/12/47/961591247.db2.gz JKFKUGJSDZTIPO-WLLOZRIZSA-N 0 2 303.318 0.192 20 0 DCADLN CC(C)(O)CC(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001378858074 961616661 /nfs/dbraw/zinc/61/66/61/961616661.db2.gz QAIUTRYLLDARHL-MRVPVSSYSA-N 0 2 314.279 0.813 20 0 DCADLN CC(C)(O)CC(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001378858074 961616667 /nfs/dbraw/zinc/61/66/67/961616667.db2.gz QAIUTRYLLDARHL-MRVPVSSYSA-N 0 2 314.279 0.813 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2c(n1)OCCO2 ZINC001571138468 961641082 /nfs/dbraw/zinc/64/10/82/961641082.db2.gz XGOHYLOBTULVDI-SNVBAGLBSA-N 0 2 318.289 0.002 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2c(n1)OCCO2 ZINC001571138468 961641091 /nfs/dbraw/zinc/64/10/91/961641091.db2.gz XGOHYLOBTULVDI-SNVBAGLBSA-N 0 2 318.289 0.002 20 0 DCADLN CC(C)[C@H](C(=O)N[C@@H](C)c1nn(C)cc1O)N1CCCC1=O ZINC001363660237 961647430 /nfs/dbraw/zinc/64/74/30/961647430.db2.gz FTGUBOQYTQCPKM-IINYFYTJSA-N 0 2 308.382 0.950 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2c3ccccc3CC[C@H]2O)S1 ZINC001363687594 961698512 /nfs/dbraw/zinc/69/85/12/961698512.db2.gz MSZRUHWIRMVHTD-MDZLAQPJSA-N 0 2 319.386 0.707 20 0 DCADLN O=C(COCC(F)F)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001430249074 1013285862 /nfs/dbraw/zinc/28/58/62/1013285862.db2.gz WFCXQAWMRMZNCC-MRVPVSSYSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(COCC(F)F)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430249074 1013285870 /nfs/dbraw/zinc/28/58/70/1013285870.db2.gz WFCXQAWMRMZNCC-MRVPVSSYSA-N 0 2 322.205 0.496 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)CC(=O)[O-] ZINC001588843547 961847404 /nfs/dbraw/zinc/84/74/04/961847404.db2.gz OQXVOYVBBCRGCF-LLVKDONJSA-N 0 2 310.354 0.026 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)CC(=O)[O-] ZINC001588843547 961847419 /nfs/dbraw/zinc/84/74/19/961847419.db2.gz OQXVOYVBBCRGCF-LLVKDONJSA-N 0 2 310.354 0.026 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379740275 961908399 /nfs/dbraw/zinc/90/83/99/961908399.db2.gz JCAAHLWISKHCQS-MNOVXSKESA-N 0 2 313.402 0.508 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379740275 961908409 /nfs/dbraw/zinc/90/84/09/961908409.db2.gz JCAAHLWISKHCQS-MNOVXSKESA-N 0 2 313.402 0.508 20 0 DCADLN CCOCC1(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001379086114 962062663 /nfs/dbraw/zinc/06/26/63/962062663.db2.gz ZWHZYFKPFFULMH-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN CCOCC1(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001379086114 962062682 /nfs/dbraw/zinc/06/26/82/962062682.db2.gz ZWHZYFKPFFULMH-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)N[C@@H](CO)c1cccnc1 ZINC001364006227 962277546 /nfs/dbraw/zinc/27/75/46/962277546.db2.gz LQXUYLUHHUSKTO-NSHDSACASA-N 0 2 319.408 0.211 20 0 DCADLN C[C@H](CN(C)C(=O)C1(CCF)CC1)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001379196183 962332836 /nfs/dbraw/zinc/33/28/36/962332836.db2.gz VDCPYRNJKGZOFD-SNVBAGLBSA-N 0 2 313.377 0.185 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](c3ncccn3)C2)S1 ZINC001364107398 962486492 /nfs/dbraw/zinc/48/64/92/962486492.db2.gz AXSSRKPUEWEEQT-UWVGGRQHSA-N 0 2 319.390 0.739 20 0 DCADLN Cn1cnc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1=O ZINC001364142385 962548106 /nfs/dbraw/zinc/54/81/06/962548106.db2.gz USGQCCJGJJILAB-MRVPVSSYSA-N 0 2 319.325 0.016 20 0 DCADLN CC[C@H](C)[C@@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364285162 962820085 /nfs/dbraw/zinc/82/00/85/962820085.db2.gz MRWFBEYMSONEMY-DTWKUNHWSA-N 0 2 302.400 0.648 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001380227947 962894009 /nfs/dbraw/zinc/89/40/09/962894009.db2.gz PKAQSJQGKDRLSW-VIFPVBQESA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001380227947 962894017 /nfs/dbraw/zinc/89/40/17/962894017.db2.gz PKAQSJQGKDRLSW-VIFPVBQESA-N 0 2 309.267 0.358 20 0 DCADLN CCOC(=O)c1nc(NC(=O)Cc2n[nH]c(C3CC3)n2)c[nH]1 ZINC001364354734 962946150 /nfs/dbraw/zinc/94/61/50/962946150.db2.gz CPDJBTUPTGYENW-UHFFFAOYSA-N 0 2 304.310 0.763 20 0 DCADLN C[C@H](CN(C)Cc1nncn1C)NC(=O)C(F)C(F)(F)F ZINC001379690105 963388687 /nfs/dbraw/zinc/38/86/87/963388687.db2.gz ZWGYBZHZXBUZGD-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CN(C)Cc1nncn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001379690105 963388696 /nfs/dbraw/zinc/38/86/96/963388696.db2.gz ZWGYBZHZXBUZGD-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccncn1 ZINC001380763695 963566103 /nfs/dbraw/zinc/56/61/03/963566103.db2.gz XGDBOBXVFKMXDJ-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ccncn1 ZINC001380763695 963566107 /nfs/dbraw/zinc/56/61/07/963566107.db2.gz XGDBOBXVFKMXDJ-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN CS(=O)(=O)Cc1nc(CNCc2ccc3c(n2)CCC3)n[nH]1 ZINC001414117097 964157493 /nfs/dbraw/zinc/15/74/93/964157493.db2.gz QLHKGRKULIQJMB-UHFFFAOYSA-N 0 2 321.406 0.523 20 0 DCADLN CS(=O)(=O)Cc1nnc(C[NH2+]Cc2ccc3c(n2)CCC3)[n-]1 ZINC001414117097 964157503 /nfs/dbraw/zinc/15/75/03/964157503.db2.gz QLHKGRKULIQJMB-UHFFFAOYSA-N 0 2 321.406 0.523 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981193 964233236 /nfs/dbraw/zinc/23/32/36/964233236.db2.gz NXUZBFNLEBHDDK-MNOVXSKESA-N 0 2 321.381 0.919 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368981193 964233242 /nfs/dbraw/zinc/23/32/42/964233242.db2.gz NXUZBFNLEBHDDK-MNOVXSKESA-N 0 2 321.381 0.919 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369790654 965403806 /nfs/dbraw/zinc/40/38/06/965403806.db2.gz BIHJVXDDXPERLV-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cnn(C)c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001374664379 965724136 /nfs/dbraw/zinc/72/41/36/965724136.db2.gz CJKCJIBSNMCXMN-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cnn(C)c1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374664379 965724148 /nfs/dbraw/zinc/72/41/48/965724148.db2.gz CJKCJIBSNMCXMN-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C[NH2+]Cc2nnc(C(C)C)o2)c1[O-] ZINC001374743962 965849946 /nfs/dbraw/zinc/84/99/46/965849946.db2.gz LRKRMFUNJFWDII-MRVPVSSYSA-N 0 2 322.369 0.838 20 0 DCADLN CCO[C@H](C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001375037852 966270716 /nfs/dbraw/zinc/27/07/16/966270716.db2.gz LXPUBBVWVBLRME-PWSUYJOCSA-N 0 2 313.402 0.508 20 0 DCADLN CCO[C@H](C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001375037852 966270724 /nfs/dbraw/zinc/27/07/24/966270724.db2.gz LXPUBBVWVBLRME-PWSUYJOCSA-N 0 2 313.402 0.508 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001382063690 966906835 /nfs/dbraw/zinc/90/68/35/966906835.db2.gz CCZZPUOIFCWLAW-VDTYLAMSSA-N 0 2 313.255 0.347 20 0 DCADLN CC(C)(C)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001371530191 967085343 /nfs/dbraw/zinc/08/53/43/967085343.db2.gz YLIBAHNSAAEOHE-GJMOJQLCSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)(C)C(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001371530191 967085351 /nfs/dbraw/zinc/08/53/51/967085351.db2.gz YLIBAHNSAAEOHE-GJMOJQLCSA-N 0 2 314.279 0.621 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nnnn1C ZINC001448034422 1013725001 /nfs/dbraw/zinc/72/50/01/1013725001.db2.gz IJGSQBMTVRLEQM-POYBYMJQSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1nnnn1C ZINC001448034422 1013725004 /nfs/dbraw/zinc/72/50/04/1013725004.db2.gz IJGSQBMTVRLEQM-POYBYMJQSA-N 0 2 312.271 0.047 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001372036004 967586906 /nfs/dbraw/zinc/58/69/06/967586906.db2.gz CFNOZPMECYVWAO-IYSWYEEDSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnon2)C1)[C@@H](F)C(F)(F)F ZINC001372036004 967586921 /nfs/dbraw/zinc/58/69/21/967586921.db2.gz CFNOZPMECYVWAO-IYSWYEEDSA-N 0 2 310.207 0.301 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001372068308 967620597 /nfs/dbraw/zinc/62/05/97/967620597.db2.gz DQGSAVIGOFFXTC-HTQZYQBOSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001372068308 967620604 /nfs/dbraw/zinc/62/06/04/967620604.db2.gz DQGSAVIGOFFXTC-HTQZYQBOSA-N 0 2 322.262 0.983 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372592260 968147809 /nfs/dbraw/zinc/14/78/09/968147809.db2.gz ZLDMCINDWCLQMA-OOZYFLPDSA-N 0 2 300.252 0.687 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001372592260 968147816 /nfs/dbraw/zinc/14/78/16/968147816.db2.gz ZLDMCINDWCLQMA-OOZYFLPDSA-N 0 2 300.252 0.687 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cn[nH]c2)C1)C(F)C(F)(F)F ZINC001448242405 1013839266 /nfs/dbraw/zinc/83/92/66/1013839266.db2.gz KXMBIICHJVYDPI-CBAPKCEASA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cn[nH]c2)C1)[C@H](F)C(F)(F)F ZINC001448242405 1013839268 /nfs/dbraw/zinc/83/92/68/1013839268.db2.gz KXMBIICHJVYDPI-CBAPKCEASA-N 0 2 322.262 0.888 20 0 DCADLN CCO[C@@H](C)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001431146113 1013921654 /nfs/dbraw/zinc/92/16/54/1013921654.db2.gz VTPGDYBPOUTJDX-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CCO[C@@H](C)C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001431146113 1013921656 /nfs/dbraw/zinc/92/16/56/1013921656.db2.gz VTPGDYBPOUTJDX-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CN1C(=O)C(=O)N(C[N@H+]2Cc3ccccc3[C@H](C(=O)[O-])C2)C1=O ZINC001604196080 972636320 /nfs/dbraw/zinc/63/63/20/972636320.db2.gz IETNWIFLHZLXFF-LLVKDONJSA-N 0 2 317.301 0.049 20 0 DCADLN CN1C(=O)C(=O)N(C[N@@H+]2Cc3ccccc3[C@H](C(=O)[O-])C2)C1=O ZINC001604196080 972636325 /nfs/dbraw/zinc/63/63/25/972636325.db2.gz IETNWIFLHZLXFF-LLVKDONJSA-N 0 2 317.301 0.049 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(C[N@H+]2CCC[C@](O)(C(F)(F)F)CC2)C1 ZINC001605978628 973013985 /nfs/dbraw/zinc/01/39/85/973013985.db2.gz ZCWZCLPBIYKIIZ-JOYOIKCWSA-N 0 2 324.299 0.656 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(C[N@@H+]2CCC[C@](O)(C(F)(F)F)CC2)C1 ZINC001605978628 973013999 /nfs/dbraw/zinc/01/39/99/973013999.db2.gz ZCWZCLPBIYKIIZ-JOYOIKCWSA-N 0 2 324.299 0.656 20 0 DCADLN CO[C@]1(C(F)(F)F)CCC[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001604480168 973370238 /nfs/dbraw/zinc/37/02/38/973370238.db2.gz GBNDJNNMKMOKEA-JOYOIKCWSA-N 0 2 324.299 0.715 20 0 DCADLN CO[C@]1(C(F)(F)F)CCC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001604480168 973370244 /nfs/dbraw/zinc/37/02/44/973370244.db2.gz GBNDJNNMKMOKEA-JOYOIKCWSA-N 0 2 324.299 0.715 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[N@@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001603504704 973481151 /nfs/dbraw/zinc/48/11/51/973481151.db2.gz LYTVAMDLSFJTQF-NHYWBVRUSA-N 0 2 316.398 0.482 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)[N@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001603504704 973481153 /nfs/dbraw/zinc/48/11/53/973481153.db2.gz LYTVAMDLSFJTQF-NHYWBVRUSA-N 0 2 316.398 0.482 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](CCn2c(C)csc2=O)CCO1 ZINC001604557174 973593783 /nfs/dbraw/zinc/59/37/83/973593783.db2.gz FAJFTQHFZDSUHQ-CYBMUJFWSA-N 0 2 316.379 0.020 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](CCn2c(C)csc2=O)CCO1 ZINC001604557174 973593790 /nfs/dbraw/zinc/59/37/90/973593790.db2.gz FAJFTQHFZDSUHQ-CYBMUJFWSA-N 0 2 316.379 0.020 20 0 DCADLN C[NH+](C)[C@@H]1CN(Cc2c[nH+]c3n2CCC3)C[C@H]1n1ccnn1 ZINC001549645176 1014375744 /nfs/dbraw/zinc/37/57/44/1014375744.db2.gz CBBHLUJIHHKFCY-ZIAGYGMSSA-N 0 2 301.398 0.408 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)NC[C@@H]1CC[N@H+]1CC ZINC001605886380 1170021252 /nfs/dbraw/zinc/02/12/52/1170021252.db2.gz CPUCYHQWLRVDKD-VIFPVBQESA-N 0 2 316.379 0.913 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)NC[C@@H]1CC[N@@H+]1CC ZINC001605886380 1170021257 /nfs/dbraw/zinc/02/12/57/1170021257.db2.gz CPUCYHQWLRVDKD-VIFPVBQESA-N 0 2 316.379 0.913 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)NC1CC(CC(=O)[O-])C1 ZINC001595131426 979553144 /nfs/dbraw/zinc/55/31/44/979553144.db2.gz UHWPVFCZQXHKKR-OTTFEQOBSA-N 0 2 313.398 0.650 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC001595131557 979555149 /nfs/dbraw/zinc/55/51/49/979555149.db2.gz XCLXDSTZABIQBG-NSHDSACASA-N 0 2 324.381 0.956 20 0 DCADLN CC[C@@H](CNC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C(=O)[O-] ZINC001595134563 979566838 /nfs/dbraw/zinc/56/68/38/979566838.db2.gz XPRMKJKSCITOOF-JTQLQIEISA-N 0 2 322.365 0.622 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(CC(=O)[O-])c1 ZINC001592930974 979977533 /nfs/dbraw/zinc/97/75/33/979977533.db2.gz PGCZCKGRMWVJGC-NSHDSACASA-N 0 2 323.374 0.877 20 0 DCADLN COc1ccc([C@@H]2C[NH2+]CCN2C(=O)CCc2nn[n-]n2)cc1 ZINC001550194419 1014620330 /nfs/dbraw/zinc/62/03/30/1014620330.db2.gz ACFNAAHHQUEDQP-ZDUSSCGKSA-N 0 2 316.365 0.314 20 0 DCADLN C[C@@H](NC(=O)CC(C)(C)C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593011764 980248309 /nfs/dbraw/zinc/24/83/09/980248309.db2.gz UUBOEUSVOFMRGM-MWLCHTKSSA-N 0 2 324.381 0.463 20 0 DCADLN C[C@@H](NC(=O)CC(C)(C)C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593011764 980248315 /nfs/dbraw/zinc/24/83/15/980248315.db2.gz UUBOEUSVOFMRGM-MWLCHTKSSA-N 0 2 324.381 0.463 20 0 DCADLN CC[C@H](OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001595347332 980640416 /nfs/dbraw/zinc/64/04/16/980640416.db2.gz OGTZPPZLVJLHKR-WOPDTQHZSA-N 0 2 301.339 0.273 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1CCO[C@@H]1C(=O)[O-])[N@@H+]1CCO[C@@H](CC)C1 ZINC001595484565 981222742 /nfs/dbraw/zinc/22/27/42/981222742.db2.gz SSEMHOPGWFFUAX-LOWDOPEQSA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1CCO[C@@H]1C(=O)[O-])[N@H+]1CCO[C@@H](CC)C1 ZINC001595484565 981222746 /nfs/dbraw/zinc/22/27/46/981222746.db2.gz SSEMHOPGWFFUAX-LOWDOPEQSA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccnc(C(=O)[O-])c1 ZINC001595500585 981273905 /nfs/dbraw/zinc/27/39/05/981273905.db2.gz QOXXIRSFJBNRJC-LBPRGKRZSA-N 0 2 307.350 0.620 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccnc(C(=O)[O-])c1 ZINC001595500585 981273909 /nfs/dbraw/zinc/27/39/09/981273909.db2.gz QOXXIRSFJBNRJC-LBPRGKRZSA-N 0 2 307.350 0.620 20 0 DCADLN C[C@@]1(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)CCOC1 ZINC001530486084 1014768857 /nfs/dbraw/zinc/76/88/57/1014768857.db2.gz WYHXUXBRJWXGDE-GZMMTYOYSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@]1(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)CCOC1 ZINC001530486084 1014768868 /nfs/dbraw/zinc/76/88/68/1014768868.db2.gz WYHXUXBRJWXGDE-GZMMTYOYSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1CN(C(=O)[C@@H]2CCCCO2)C1 ZINC001530845862 1014960683 /nfs/dbraw/zinc/96/06/83/1014960683.db2.gz PFYWTXJVKICVQP-AWEZNQCLSA-N 0 2 320.393 0.404 20 0 DCADLN CCN(CC)C(=O)C1CC[NH+](Cn2cc(C(=O)[O-])nn2)CC1 ZINC001596242048 983641332 /nfs/dbraw/zinc/64/13/32/983641332.db2.gz ROOBGHIGKNKXMI-UHFFFAOYSA-N 0 2 309.370 0.514 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@H](C(=O)[O-])c1ccc(C)c(C)c1 ZINC001596484335 984217006 /nfs/dbraw/zinc/21/70/06/984217006.db2.gz GUVUEEORJXVOHT-ZDUSSCGKSA-N 0 2 307.350 0.864 20 0 DCADLN CCOC(=O)[C@H]1C[NH2+]CCN1C(=O)c1cc(C)c(C(=O)[O-])o1 ZINC001596585812 984513050 /nfs/dbraw/zinc/51/30/50/984513050.db2.gz ULJYZTGDRNIMRQ-SECBINFHSA-N 0 2 310.306 0.263 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001383725763 985046514 /nfs/dbraw/zinc/04/65/14/985046514.db2.gz DNNOLFNFXIYFHL-HTQZYQBOSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001383725763 985046522 /nfs/dbraw/zinc/04/65/22/985046522.db2.gz DNNOLFNFXIYFHL-HTQZYQBOSA-N 0 2 322.262 0.954 20 0 DCADLN CCOC(=O)c1n[nH]c(C[NH2+][C@@H]2C[C@@H]3C[C@@H](C(=O)[O-])C[C@@H]23)n1 ZINC001596749715 985091052 /nfs/dbraw/zinc/09/10/52/985091052.db2.gz ZRGDTHQXWXDXHJ-SGIHWFKDSA-N 0 2 308.338 0.570 20 0 DCADLN CC(C)(F)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001383992125 985258707 /nfs/dbraw/zinc/25/87/07/985258707.db2.gz FNBRFOKQVMVVEE-NTSWFWBYSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001383992125 985258718 /nfs/dbraw/zinc/25/87/18/985258718.db2.gz FNBRFOKQVMVVEE-NTSWFWBYSA-N 0 2 306.231 0.228 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cocn1 ZINC001525368782 1015133161 /nfs/dbraw/zinc/13/31/61/1015133161.db2.gz WIPACSOVSRTCST-GKROBHDKSA-N 0 2 309.219 0.952 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cocn1 ZINC001525368782 1015133165 /nfs/dbraw/zinc/13/31/65/1015133165.db2.gz WIPACSOVSRTCST-GKROBHDKSA-N 0 2 309.219 0.952 20 0 DCADLN CC(C)[C@H](C(=O)N[C@H](C(=O)[O-])[C@@H](C)O)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC001594503461 985698845 /nfs/dbraw/zinc/69/88/45/985698845.db2.gz YUOUZHZUYQHZIU-NJMOYASZSA-N 0 2 316.398 0.070 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2nnc(C)s2)n[nH]1 ZINC001551655767 1015163481 /nfs/dbraw/zinc/16/34/81/1015163481.db2.gz AYMGWVWAFPUWPH-NXEZZACHSA-N 0 2 322.394 0.918 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@]2(F)CCOC2)n[nH]1 ZINC001551660367 1015165107 /nfs/dbraw/zinc/16/51/07/1015165107.db2.gz PCPKNHNPHIBEGU-GYSYKLTISA-N 0 2 312.345 0.573 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2ccc(C)nn2)n[nH]1 ZINC001551661578 1015166976 /nfs/dbraw/zinc/16/69/76/1015166976.db2.gz SZAWNSBHQTYOIG-AAEUAGOBSA-N 0 2 316.365 0.856 20 0 DCADLN CCOCCNC(=O)C[NH2+][C@H](C(=O)[O-])c1cccc(OC)c1 ZINC001596929844 986024202 /nfs/dbraw/zinc/02/42/02/986024202.db2.gz ZITPNRKNTIXLKW-AWEZNQCLSA-N 0 2 310.350 0.563 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)C[C@H]1CC(=O)[O-] ZINC001594526819 986062077 /nfs/dbraw/zinc/06/20/77/986062077.db2.gz DRRDUFPJUPIROG-FRRDWIJNSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)C[C@H]1CC(=O)[O-] ZINC001594526819 986062085 /nfs/dbraw/zinc/06/20/85/986062085.db2.gz DRRDUFPJUPIROG-FRRDWIJNSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2occc2C(=O)[O-])[C@@H](C)C[N@@H+]1C ZINC001594560779 986307398 /nfs/dbraw/zinc/30/73/98/986307398.db2.gz CHXJYIHGYXQJNA-BDAKNGLRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2occc2C(=O)[O-])[C@@H](C)C[N@H+]1C ZINC001594560779 986307402 /nfs/dbraw/zinc/30/74/02/986307402.db2.gz CHXJYIHGYXQJNA-BDAKNGLRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC001594607227 986576789 /nfs/dbraw/zinc/57/67/89/986576789.db2.gz FPKXPQZHHJREAI-OTDNITJGSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC001594607227 986576797 /nfs/dbraw/zinc/57/67/97/986576797.db2.gz FPKXPQZHHJREAI-OTDNITJGSA-N 0 2 308.334 0.828 20 0 DCADLN COCCC(=O)NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001385811446 986603741 /nfs/dbraw/zinc/60/37/41/986603741.db2.gz YXXSHQLTSQWUIC-WPRPVWTQSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001385811446 986603742 /nfs/dbraw/zinc/60/37/42/986603742.db2.gz YXXSHQLTSQWUIC-WPRPVWTQSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)c1cc[nH]c(=O)c1)NC(=O)C(F)C(F)(F)F ZINC001386724188 987340007 /nfs/dbraw/zinc/34/00/07/987340007.db2.gz UILGPJYVNIIHAS-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)c1cc[nH]c(=O)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001386724188 987340035 /nfs/dbraw/zinc/34/00/35/987340035.db2.gz UILGPJYVNIIHAS-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001387372632 987793973 /nfs/dbraw/zinc/79/39/73/987793973.db2.gz DDRCZDPWLXYCQB-CQSZACIVSA-N 0 2 321.425 0.332 20 0 DCADLN CCc1cc(=O)[nH]c(C[N@H+](CCC(=O)[O-])CC(OC)OC)n1 ZINC001597487626 987993639 /nfs/dbraw/zinc/99/36/39/987993639.db2.gz OREMDTUZOKPJJM-UHFFFAOYSA-N 0 2 313.354 0.640 20 0 DCADLN CCc1cc(=O)[nH]c(C[N@@H+](CCC(=O)[O-])CC(OC)OC)n1 ZINC001597487626 987993642 /nfs/dbraw/zinc/99/36/42/987993642.db2.gz OREMDTUZOKPJJM-UHFFFAOYSA-N 0 2 313.354 0.640 20 0 DCADLN CC[C@H](CNC(=O)[C@H](C(C)C)[NH+](C)C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001388099029 988040778 /nfs/dbraw/zinc/04/07/78/988040778.db2.gz HTPXHPFZPSAFRW-DOMZBBRYSA-N 0 2 323.441 0.549 20 0 DCADLN CCC(=O)N(C)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001388130503 988077253 /nfs/dbraw/zinc/07/72/53/988077253.db2.gz YXCVSCAKMDHYMM-UHFFFAOYSA-N 0 2 304.354 0.408 20 0 DCADLN CCC[N@H+](C)CC(=O)NC1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001387784615 988146780 /nfs/dbraw/zinc/14/67/80/988146780.db2.gz JGOQUMPNQRAKRT-UHFFFAOYSA-N 0 2 307.398 0.011 20 0 DCADLN C[C@@H](CNC(=O)CC(C)(C)O)CNC(=O)C(F)C(F)(F)F ZINC001388443580 988316781 /nfs/dbraw/zinc/31/67/81/988316781.db2.gz UIKHKELQEZSUGE-CBAPKCEASA-N 0 2 316.295 0.916 20 0 DCADLN C[C@@H](CNC(=O)CC(C)(C)O)CNC(=O)[C@H](F)C(F)(F)F ZINC001388443580 988316795 /nfs/dbraw/zinc/31/67/95/988316795.db2.gz UIKHKELQEZSUGE-CBAPKCEASA-N 0 2 316.295 0.916 20 0 DCADLN CC[C@@H](C(=O)NC[C@@H](C)CNC(=O)Cc1[nH]cc[nH+]1)[NH+](C)C ZINC001388469807 988343206 /nfs/dbraw/zinc/34/32/06/988343206.db2.gz RMKSBDNAABSEPO-RYUDHWBXSA-N 0 2 309.414 0.161 20 0 DCADLN CCc1[nH]cc(CNS(=O)(=O)CC2(CC(=O)[O-])CC2)[nH+]1 ZINC001597673682 988776448 /nfs/dbraw/zinc/77/64/48/988776448.db2.gz DREWFVWQBFJCHJ-UHFFFAOYSA-N 0 2 301.368 0.646 20 0 DCADLN CCc1[nH]c(CNS(=O)(=O)CC2(CC(=O)[O-])CC2)c[nH+]1 ZINC001597673682 988776459 /nfs/dbraw/zinc/77/64/59/988776459.db2.gz DREWFVWQBFJCHJ-UHFFFAOYSA-N 0 2 301.368 0.646 20 0 DCADLN CCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)no1 ZINC001597674134 988781620 /nfs/dbraw/zinc/78/16/20/988781620.db2.gz UUGQRWYEPBCORG-KYXWUPHJSA-N 0 2 308.338 0.090 20 0 DCADLN CCn1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001389235075 989012844 /nfs/dbraw/zinc/01/28/44/989012844.db2.gz QYVCRJTTXOWQAR-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1cc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001389235075 989012846 /nfs/dbraw/zinc/01/28/46/989012846.db2.gz QYVCRJTTXOWQAR-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN CCc1noc(C[N@H+](C)CCCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001597748742 989136499 /nfs/dbraw/zinc/13/64/99/989136499.db2.gz QBZWOIDJYJRCPQ-UWVGGRQHSA-N 0 2 310.354 0.291 20 0 DCADLN CCc1noc(C[N@@H+](C)CCCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001597748742 989136504 /nfs/dbraw/zinc/13/65/04/989136504.db2.gz QBZWOIDJYJRCPQ-UWVGGRQHSA-N 0 2 310.354 0.291 20 0 DCADLN CCc1noc(C[N@H+](C)CCCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001597748747 989136632 /nfs/dbraw/zinc/13/66/32/989136632.db2.gz QBZWOIDJYJRCPQ-ZJUUUORDSA-N 0 2 310.354 0.291 20 0 DCADLN CCc1noc(C[N@@H+](C)CCCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001597748747 989136637 /nfs/dbraw/zinc/13/66/37/989136637.db2.gz QBZWOIDJYJRCPQ-ZJUUUORDSA-N 0 2 310.354 0.291 20 0 DCADLN CC(C)(C)OCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389358479 989157377 /nfs/dbraw/zinc/15/73/77/989157377.db2.gz MOSVPIWEOXDVPP-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ccn[nH]1 ZINC001389795650 989585924 /nfs/dbraw/zinc/58/59/24/989585924.db2.gz ZSSHFGLHVBISGJ-SSDOTTSWSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1ccn[nH]1 ZINC001389795650 989585931 /nfs/dbraw/zinc/58/59/31/989585931.db2.gz ZSSHFGLHVBISGJ-SSDOTTSWSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ccncn1 ZINC001389936024 989694062 /nfs/dbraw/zinc/69/40/62/989694062.db2.gz AJFGJTAOLGUYEL-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1ccncn1 ZINC001389936024 989694066 /nfs/dbraw/zinc/69/40/66/989694066.db2.gz AJFGJTAOLGUYEL-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN CCn1cc(C[NH2+]Cc2c(C)nn(CCC(=O)[O-])c2C)nn1 ZINC001597891107 989747316 /nfs/dbraw/zinc/74/73/16/989747316.db2.gz YPTTYZPJXPVYMF-UHFFFAOYSA-N 0 2 306.370 0.876 20 0 DCADLN CSC[C@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391044142 990536397 /nfs/dbraw/zinc/53/63/97/990536397.db2.gz MKWZPSJMJPZJAM-VHSXEESVSA-N 0 2 313.427 0.590 20 0 DCADLN CSC[C@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391044142 990536403 /nfs/dbraw/zinc/53/64/03/990536403.db2.gz MKWZPSJMJPZJAM-VHSXEESVSA-N 0 2 313.427 0.590 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCCO1 ZINC001391161340 990630364 /nfs/dbraw/zinc/63/03/64/990630364.db2.gz ZUYRYDQIJFWCHK-LLVKDONJSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCCO1 ZINC001391161340 990630368 /nfs/dbraw/zinc/63/03/68/990630368.db2.gz ZUYRYDQIJFWCHK-LLVKDONJSA-N 0 2 309.370 0.160 20 0 DCADLN COC1CC(C(=O)N(C)C[C@@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001451079577 1015578602 /nfs/dbraw/zinc/57/86/02/1015578602.db2.gz CZHKOLRMVYNXLJ-QFWMXSHPSA-N 0 2 322.409 0.649 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392286072 991698878 /nfs/dbraw/zinc/69/88/78/991698878.db2.gz SBCKIHZFEVFANF-PWSUYJOCSA-N 0 2 311.386 0.216 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392286072 991698879 /nfs/dbraw/zinc/69/88/79/991698879.db2.gz SBCKIHZFEVFANF-PWSUYJOCSA-N 0 2 311.386 0.216 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392316124 991742569 /nfs/dbraw/zinc/74/25/69/991742569.db2.gz RLYIDZBSMGARFY-NSHDSACASA-N 0 2 313.402 0.462 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392316124 991742580 /nfs/dbraw/zinc/74/25/80/991742580.db2.gz RLYIDZBSMGARFY-NSHDSACASA-N 0 2 313.402 0.462 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CCC(F)(F)[C@@H](CO)C1)C(=O)[O-] ZINC001591167808 991939844 /nfs/dbraw/zinc/93/98/44/991939844.db2.gz NQWFKWSCUIQVNU-GHMZBOCLSA-N 0 2 322.352 0.551 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CCC(F)(F)[C@@H](CO)C1)C(=O)[O-] ZINC001591167808 991939852 /nfs/dbraw/zinc/93/98/52/991939852.db2.gz NQWFKWSCUIQVNU-GHMZBOCLSA-N 0 2 322.352 0.551 20 0 DCADLN CS(=O)(=O)NC1C[NH+](Cc2c3ccccc3[nH]c2C(=O)[O-])C1 ZINC001599758342 992085270 /nfs/dbraw/zinc/08/52/70/992085270.db2.gz MPTNTRBFFFSKFZ-UHFFFAOYSA-N 0 2 323.374 0.600 20 0 DCADLN C[N@H+](CCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1nnc(C2CC2)o1 ZINC001598479852 992130913 /nfs/dbraw/zinc/13/09/13/992130913.db2.gz MSRIUCZTWHZWIG-GHMZBOCLSA-N 0 2 322.365 0.606 20 0 DCADLN C[N@@H+](CCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1nnc(C2CC2)o1 ZINC001598479852 992130926 /nfs/dbraw/zinc/13/09/26/992130926.db2.gz MSRIUCZTWHZWIG-GHMZBOCLSA-N 0 2 322.365 0.606 20 0 DCADLN CN(CC[N@H+](C)Cc1cn(CC(=O)[O-])nn1)c1ccccc1 ZINC001598484638 992188973 /nfs/dbraw/zinc/18/89/73/992188973.db2.gz FPLMELXVPWBHMN-UHFFFAOYSA-N 0 2 303.366 0.931 20 0 DCADLN CN(CC[N@@H+](C)Cc1cn(CC(=O)[O-])nn1)c1ccccc1 ZINC001598484638 992188978 /nfs/dbraw/zinc/18/89/78/992188978.db2.gz FPLMELXVPWBHMN-UHFFFAOYSA-N 0 2 303.366 0.931 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1sccc1F ZINC001392648992 992200591 /nfs/dbraw/zinc/20/05/91/992200591.db2.gz VZDXPJMMJDEOFM-ZETCQYMHSA-N 0 2 313.358 0.961 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1sccc1F ZINC001392648992 992200596 /nfs/dbraw/zinc/20/05/96/992200596.db2.gz VZDXPJMMJDEOFM-ZETCQYMHSA-N 0 2 313.358 0.961 20 0 DCADLN C[C@@H](CN(C)Cc1nnn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001392650147 992205440 /nfs/dbraw/zinc/20/54/40/992205440.db2.gz XCNCAWGLFGTNMU-POYBYMJQSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@@H](CN(C)Cc1nnn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001392650147 992205444 /nfs/dbraw/zinc/20/54/44/992205444.db2.gz XCNCAWGLFGTNMU-POYBYMJQSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@H](CN(C)Cc1nnn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001392650151 992205847 /nfs/dbraw/zinc/20/58/47/992205847.db2.gz XCNCAWGLFGTNMU-SVRRBLITSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@H](CN(C)Cc1nnn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001392650151 992205856 /nfs/dbraw/zinc/20/58/56/992205856.db2.gz XCNCAWGLFGTNMU-SVRRBLITSA-N 0 2 312.271 0.047 20 0 DCADLN Cn1c(C[N@H+](C)CCN2CCCS2(=O)=O)ccc1C(=O)[O-] ZINC001598488048 992236311 /nfs/dbraw/zinc/23/63/11/992236311.db2.gz GRXAGOQDFPEOLE-UHFFFAOYSA-N 0 2 315.395 0.191 20 0 DCADLN Cn1c(C[N@@H+](C)CCN2CCCS2(=O)=O)ccc1C(=O)[O-] ZINC001598488048 992236318 /nfs/dbraw/zinc/23/63/18/992236318.db2.gz GRXAGOQDFPEOLE-UHFFFAOYSA-N 0 2 315.395 0.191 20 0 DCADLN COCc1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001392669549 992237162 /nfs/dbraw/zinc/23/71/62/992237162.db2.gz GSSOCBKUGLHALZ-SECBINFHSA-N 0 2 323.353 0.500 20 0 DCADLN COCc1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001392669549 992237169 /nfs/dbraw/zinc/23/71/69/992237169.db2.gz GSSOCBKUGLHALZ-SECBINFHSA-N 0 2 323.353 0.500 20 0 DCADLN CN(C)C(=O)NCC[N@H+](C)Cc1nc2cc(C(=O)[O-])ccc2[nH]1 ZINC001598491487 992298829 /nfs/dbraw/zinc/29/88/29/992298829.db2.gz ZCYRJWPDJFDKPG-UHFFFAOYSA-N 0 2 319.365 0.964 20 0 DCADLN CN(C)C(=O)NCC[N@@H+](C)Cc1nc2cc(C(=O)[O-])ccc2[nH]1 ZINC001598491487 992298832 /nfs/dbraw/zinc/29/88/32/992298832.db2.gz ZCYRJWPDJFDKPG-UHFFFAOYSA-N 0 2 319.365 0.964 20 0 DCADLN Cn1nncc1CN1CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001393105967 992686291 /nfs/dbraw/zinc/68/62/91/992686291.db2.gz WCIXRAOFGDPZJF-GXSJLCMTSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@](C)(C(=O)[O-])C1 ZINC001598570060 993185692 /nfs/dbraw/zinc/18/56/92/993185692.db2.gz PUIVPVMVJVFUEG-HFAKWTLXSA-N 0 2 323.397 0.244 20 0 DCADLN Cn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@](C)(C(=O)[O-])C1 ZINC001598570060 993185695 /nfs/dbraw/zinc/18/56/95/993185695.db2.gz PUIVPVMVJVFUEG-HFAKWTLXSA-N 0 2 323.397 0.244 20 0 DCADLN Cn1c(N2CC[C@@](C)(C(=O)[O-])C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001598570250 993186801 /nfs/dbraw/zinc/18/68/01/993186801.db2.gz RFIDBEKPOMDTEI-KMUNFCNLSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CC[C@@](C)(C(=O)[O-])C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001598570250 993186803 /nfs/dbraw/zinc/18/68/03/993186803.db2.gz RFIDBEKPOMDTEI-KMUNFCNLSA-N 0 2 323.397 0.028 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2coc(C3CCOCC3)n2)C[C@@H]1C(=O)[O-] ZINC001598576486 993283708 /nfs/dbraw/zinc/28/37/08/993283708.db2.gz SNOQOHFBHOZDQR-CMPLNLGQSA-N 0 2 324.333 0.883 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2coc(C3CCOCC3)n2)C[C@@H]1C(=O)[O-] ZINC001598576486 993283713 /nfs/dbraw/zinc/28/37/13/993283713.db2.gz SNOQOHFBHOZDQR-CMPLNLGQSA-N 0 2 324.333 0.883 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N[C@@H]1CC=C(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC001593081545 993663888 /nfs/dbraw/zinc/66/38/88/993663888.db2.gz CQNGLOYHOXNUTC-DMDPSCGWSA-N 0 2 311.382 0.568 20 0 DCADLN C[N@@H+]1CCN(C(=O)CC(=O)[O-])[C@H](CNC(=O)OC(C)(C)C)C1 ZINC001598606609 993672960 /nfs/dbraw/zinc/67/29/60/993672960.db2.gz ZFEQNLZVFFTHMG-SNVBAGLBSA-N 0 2 315.370 0.128 20 0 DCADLN C[N@H+]1CCN(C(=O)CC(=O)[O-])[C@H](CNC(=O)OC(C)(C)C)C1 ZINC001598606609 993672963 /nfs/dbraw/zinc/67/29/63/993672963.db2.gz ZFEQNLZVFFTHMG-SNVBAGLBSA-N 0 2 315.370 0.128 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCOC[C@H]1C)Cc1n[nH]c(=O)[n-]1 ZINC001399770055 993754363 /nfs/dbraw/zinc/75/43/63/993754363.db2.gz WYDHNECSGBYPQH-GHMZBOCLSA-N 0 2 311.386 0.121 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCOC[C@H]1C)Cc1n[nH]c(=O)[n-]1 ZINC001399770055 993754372 /nfs/dbraw/zinc/75/43/72/993754372.db2.gz WYDHNECSGBYPQH-GHMZBOCLSA-N 0 2 311.386 0.121 20 0 DCADLN O=C(Cc1ccoc1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400018004 994060793 /nfs/dbraw/zinc/06/07/93/994060793.db2.gz YDSMJDKPDAXKEZ-UHFFFAOYSA-N 0 2 305.338 0.427 20 0 DCADLN O=C(Cc1ccoc1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400018004 994060804 /nfs/dbraw/zinc/06/08/04/994060804.db2.gz YDSMJDKPDAXKEZ-UHFFFAOYSA-N 0 2 305.338 0.427 20 0 DCADLN CNC(=O)[C@H]1C[N@H+](Cc2ccccc2O[C@H](C)C(=O)[O-])CCO1 ZINC001598637161 994262288 /nfs/dbraw/zinc/26/22/88/994262288.db2.gz HCAVYMJAFSALDJ-BXUZGUMPSA-N 0 2 322.361 0.485 20 0 DCADLN CNC(=O)[C@H]1C[N@@H+](Cc2ccccc2O[C@H](C)C(=O)[O-])CCO1 ZINC001598637161 994262298 /nfs/dbraw/zinc/26/22/98/994262298.db2.gz HCAVYMJAFSALDJ-BXUZGUMPSA-N 0 2 322.361 0.485 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1nc[nH]n1 ZINC001395095898 994429018 /nfs/dbraw/zinc/42/90/18/994429018.db2.gz HMBYVOBDTPPXSN-RITPCOANSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1nc[nH]n1 ZINC001395095898 994429023 /nfs/dbraw/zinc/42/90/23/994429023.db2.gz HMBYVOBDTPPXSN-RITPCOANSA-N 0 2 311.239 0.282 20 0 DCADLN CCOCC(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001400369394 994446064 /nfs/dbraw/zinc/44/60/64/994446064.db2.gz PITMQYHHEHFQAD-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400369394 994446059 /nfs/dbraw/zinc/44/60/59/994446059.db2.gz PITMQYHHEHFQAD-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnon1)C(F)C(F)(F)F ZINC001400430712 994506362 /nfs/dbraw/zinc/50/63/62/994506362.db2.gz POTLSAAOAZABQC-CAHLUQPWSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnon1)[C@@H](F)C(F)(F)F ZINC001400430712 994506374 /nfs/dbraw/zinc/50/63/74/994506374.db2.gz POTLSAAOAZABQC-CAHLUQPWSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(Cc1cnoc1)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001400492085 994582308 /nfs/dbraw/zinc/58/23/08/994582308.db2.gz ODMGVUNNVMYSAY-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001400492085 994582317 /nfs/dbraw/zinc/58/23/17/994582317.db2.gz ODMGVUNNVMYSAY-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN CCC[N@H+](C)CC(=O)NCC1C[NH+](Cc2nccnc2C)C1 ZINC001400548368 994640508 /nfs/dbraw/zinc/64/05/08/994640508.db2.gz UKMJKXUKZYVLHB-UHFFFAOYSA-N 0 2 305.426 0.675 20 0 DCADLN O=C(NC1(CNC(=O)[C@]23C[C@H]2COC3)CC1)C(F)C(F)(F)F ZINC001400590228 994682951 /nfs/dbraw/zinc/68/29/51/994682951.db2.gz GMJFDKMNXKXNBC-UBJUHAIHSA-N 0 2 324.274 0.688 20 0 DCADLN O=C(NC1(CNC(=O)[C@]23C[C@H]2COC3)CC1)[C@H](F)C(F)(F)F ZINC001400590228 994682959 /nfs/dbraw/zinc/68/29/59/994682959.db2.gz GMJFDKMNXKXNBC-UBJUHAIHSA-N 0 2 324.274 0.688 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001395589910 994782609 /nfs/dbraw/zinc/78/26/09/994782609.db2.gz JHTCFFAATDKGQO-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccncn1 ZINC001395589910 994782619 /nfs/dbraw/zinc/78/26/19/994782619.db2.gz JHTCFFAATDKGQO-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN CNS(=O)(=O)c1cccc2c1CC[N@H+](C/C(C)=C/C(=O)[O-])C2 ZINC001598675964 994843523 /nfs/dbraw/zinc/84/35/23/994843523.db2.gz REMITQODFBSFLQ-DHZHZOJOSA-N 0 2 324.402 0.984 20 0 DCADLN CNS(=O)(=O)c1cccc2c1CC[N@@H+](C/C(C)=C/C(=O)[O-])C2 ZINC001598675964 994843527 /nfs/dbraw/zinc/84/35/27/994843527.db2.gz REMITQODFBSFLQ-DHZHZOJOSA-N 0 2 324.402 0.984 20 0 DCADLN CO[C@@H](CS(=O)(=O)NCc1n[nH]c(=O)n1C1CC1)C1CC1 ZINC001464407153 1015905559 /nfs/dbraw/zinc/90/55/59/1015905559.db2.gz DCHSKKIDGLDJDW-JTQLQIEISA-N 0 2 316.383 0.163 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001598701756 995232423 /nfs/dbraw/zinc/23/24/23/995232423.db2.gz JFNJWUYHTGPPTK-TUAOUCFPSA-N 0 2 323.349 0.432 20 0 DCADLN O=C(NCC1CCOCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001464699413 1015938866 /nfs/dbraw/zinc/93/88/66/1015938866.db2.gz WHVWWYMQJYRNRG-UHFFFAOYSA-N 0 2 318.333 0.423 20 0 DCADLN CC(C)OCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001396724377 995563172 /nfs/dbraw/zinc/56/31/72/995563172.db2.gz KSQYTLVKCMYAJE-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CC(C)OCC(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001396724377 995563177 /nfs/dbraw/zinc/56/31/77/995563177.db2.gz KSQYTLVKCMYAJE-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)C[N@@H+]([C@H](C)c2ncc(C(=O)[O-])s2)C1 ZINC001598757504 995737575 /nfs/dbraw/zinc/73/75/75/995737575.db2.gz PDDXVKSNJHXVJR-HLTSFMKQSA-N 0 2 314.363 0.758 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)C[N@H+]([C@H](C)c2ncc(C(=O)[O-])s2)C1 ZINC001598757504 995737579 /nfs/dbraw/zinc/73/75/79/995737579.db2.gz PDDXVKSNJHXVJR-HLTSFMKQSA-N 0 2 314.363 0.758 20 0 DCADLN C[C@@H](Oc1ccc(C[NH2+][C@H](C)c2nnnn2C)cc1)C(=O)[O-] ZINC001593617252 995850297 /nfs/dbraw/zinc/85/02/97/995850297.db2.gz CZRLMJGFSWPYML-NXEZZACHSA-N 0 2 305.338 0.913 20 0 DCADLN CC[C@@H]1COCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001465190408 1015986706 /nfs/dbraw/zinc/98/67/06/1015986706.db2.gz UITIZCHDGMRGKJ-LLVKDONJSA-N 0 2 318.333 0.517 20 0 DCADLN Cc1nccc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001397921850 996256863 /nfs/dbraw/zinc/25/68/63/996256863.db2.gz YUXKJEQNACKLGU-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1nccc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)n1 ZINC001397921850 996256869 /nfs/dbraw/zinc/25/68/69/996256869.db2.gz YUXKJEQNACKLGU-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cncn1C ZINC001397936235 996266011 /nfs/dbraw/zinc/26/60/11/996266011.db2.gz FHRNCGZAUXAWTH-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cncn1C ZINC001397936235 996266017 /nfs/dbraw/zinc/26/60/17/996266017.db2.gz FHRNCGZAUXAWTH-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CCCN(C(=O)C(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404406840 997079112 /nfs/dbraw/zinc/07/91/12/997079112.db2.gz SGCMJXOUGRBZHX-QMMMGPOBSA-N 0 2 303.313 0.588 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)N(C)C(=O)C(F)C(F)(F)F ZINC001404467011 997141651 /nfs/dbraw/zinc/14/16/51/997141651.db2.gz YHFIUTLQCFGYQM-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1cccnn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001404467011 997141668 /nfs/dbraw/zinc/14/16/68/997141668.db2.gz YHFIUTLQCFGYQM-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN COC[C@@H](O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001404711505 997404108 /nfs/dbraw/zinc/40/41/08/997404108.db2.gz UXUGBHYFAHQBMX-IPYPFGDCSA-N 0 2 324.425 0.176 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404762009 997442039 /nfs/dbraw/zinc/44/20/39/997442039.db2.gz AACOMRFXSHGNMD-POYBYMJQSA-N 0 2 309.267 0.577 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404762009 997442044 /nfs/dbraw/zinc/44/20/44/997442044.db2.gz AACOMRFXSHGNMD-POYBYMJQSA-N 0 2 309.267 0.577 20 0 DCADLN CO[C@@H]1CS(=O)(=O)[C@H]2C[N@H+](Cc3occc3C(=O)[O-])C[C@H]21 ZINC001599324824 997644702 /nfs/dbraw/zinc/64/47/02/997644702.db2.gz XRYZDPYLVLTIQE-WCQGTBRESA-N 0 2 315.347 0.222 20 0 DCADLN CO[C@@H]1CS(=O)(=O)[C@H]2C[N@@H+](Cc3occc3C(=O)[O-])C[C@H]21 ZINC001599324824 997644706 /nfs/dbraw/zinc/64/47/06/997644706.db2.gz XRYZDPYLVLTIQE-WCQGTBRESA-N 0 2 315.347 0.222 20 0 DCADLN COCC[NH+]1CCN(C(=O)C23CCC(C(=O)[O-])(CC2)C3)CC1 ZINC001599240639 998034562 /nfs/dbraw/zinc/03/45/62/998034562.db2.gz NRNFYCWUIQQXFN-UHFFFAOYSA-N 0 2 310.394 0.812 20 0 DCADLN COc1cc2c(cc1O)CC[N@@H+]([C@@H](C)C(=O)NCC(=O)[O-])C2 ZINC001599464565 998334470 /nfs/dbraw/zinc/33/44/70/998334470.db2.gz FTEHKJDXFAWQNJ-VIFPVBQESA-N 0 2 308.334 0.348 20 0 DCADLN COc1cc2c(cc1O)CC[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])C2 ZINC001599464565 998334482 /nfs/dbraw/zinc/33/44/82/998334482.db2.gz FTEHKJDXFAWQNJ-VIFPVBQESA-N 0 2 308.334 0.348 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2C[C@@H](OC)C[C@@]2(C)C(=O)[O-])nc1 ZINC001598971526 998524270 /nfs/dbraw/zinc/52/42/70/998524270.db2.gz FGKAJXUEAZOQBM-WFASDCNBSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2C[C@@H](OC)C[C@@]2(C)C(=O)[O-])nc1 ZINC001598971526 998524283 /nfs/dbraw/zinc/52/42/83/998524283.db2.gz FGKAJXUEAZOQBM-WFASDCNBSA-N 0 2 308.334 0.932 20 0 DCADLN Cc1cc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)on1 ZINC001468413090 1016253484 /nfs/dbraw/zinc/25/34/84/1016253484.db2.gz BIICGAAKGVVZNH-SECBINFHSA-N 0 2 306.326 0.896 20 0 DCADLN COC(=O)c1cnc(C[N@H+]2C[C@@H](OC)C[C@@]2(C)C(=O)[O-])cn1 ZINC001599007689 998869434 /nfs/dbraw/zinc/86/94/34/998869434.db2.gz WCQGKLKMODBQCZ-HZMBPMFUSA-N 0 2 309.322 0.327 20 0 DCADLN COC(=O)c1cnc(C[N@@H+]2C[C@@H](OC)C[C@@]2(C)C(=O)[O-])cn1 ZINC001599007689 998869440 /nfs/dbraw/zinc/86/94/40/998869440.db2.gz WCQGKLKMODBQCZ-HZMBPMFUSA-N 0 2 309.322 0.327 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CC=C(C(=O)[O-])C1 ZINC001594250741 998962752 /nfs/dbraw/zinc/96/27/52/998962752.db2.gz OOHDMMYTPKQBQJ-KWCYVHTRSA-N 0 2 323.393 0.664 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cc[nH+]cc1C(=O)[O-])C(C)C ZINC001599056821 999235850 /nfs/dbraw/zinc/23/58/50/999235850.db2.gz DXFAOFRQDPQYFF-NSHDSACASA-N 0 2 302.352 0.614 20 0 DCADLN C[C@H]1CCc2[nH]nc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])c2C1 ZINC001594446880 999640559 /nfs/dbraw/zinc/64/05/59/999640559.db2.gz DCAQPHZVZCLGQY-JOYOIKCWSA-N 0 2 317.349 0.614 20 0 DCADLN O=C(CC[C@H]1CCOC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418607897 1000387773 /nfs/dbraw/zinc/38/77/73/1000387773.db2.gz GZKJIJSRKXBCQJ-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC[C@H]1CCOC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418607897 1000387779 /nfs/dbraw/zinc/38/77/79/1000387779.db2.gz GZKJIJSRKXBCQJ-RYUDHWBXSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCC1C[NH+]([C@H](C)c2cnccn2)C1 ZINC001419042860 1000714416 /nfs/dbraw/zinc/71/44/16/1000714416.db2.gz KXBYBROLOGZUHG-CYBMUJFWSA-N 0 2 305.426 0.926 20 0 DCADLN CCO[C@@H](C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001401992637 1000931485 /nfs/dbraw/zinc/93/14/85/1000931485.db2.gz FPDYWOFZULMBNS-SDDRHHMPSA-N 0 2 323.397 0.501 20 0 DCADLN CO[C@]12CCC[C@@]1(NC(=O)C[C@@H]1SC(=N)NC1=O)CCO2 ZINC001419383199 1001012857 /nfs/dbraw/zinc/01/28/57/1001012857.db2.gz ZEWDZIRIEINFMV-CKLFPEKLSA-N 0 2 313.379 0.345 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1n[nH]c2c1CCCCC2 ZINC001470582989 1016461409 /nfs/dbraw/zinc/46/14/09/1016461409.db2.gz KPDPXILMKPCEGJ-UHFFFAOYSA-N 0 2 303.322 0.810 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001402187171 1001096312 /nfs/dbraw/zinc/09/63/12/1001096312.db2.gz KMMRMFQWYPJNJO-PWSUYJOCSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)[NH2+][C@H](C)c2csnn2)c1[O-] ZINC001419734414 1001262186 /nfs/dbraw/zinc/26/21/86/1001262186.db2.gz WUHCCBFTLAMBTO-RNFRBKRXSA-N 0 2 310.383 0.744 20 0 DCADLN CC[C@@H](C)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001402711919 1001477952 /nfs/dbraw/zinc/47/79/52/1001477952.db2.gz WIRUFRAXDMOYHZ-NXEZZACHSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1c2cc[nH]c2ncc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001419974183 1001736757 /nfs/dbraw/zinc/73/67/57/1001736757.db2.gz QDHUWOUCXNHXAC-UHFFFAOYSA-N 0 2 323.316 0.846 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001505750876 1016518941 /nfs/dbraw/zinc/51/89/41/1016518941.db2.gz ULFDOVHBNHMHLO-JGVFFNPUSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001505750876 1016518949 /nfs/dbraw/zinc/51/89/49/1016518949.db2.gz ULFDOVHBNHMHLO-JGVFFNPUSA-N 0 2 322.262 0.949 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CCc2ccoc2)[nH]1 ZINC001420139927 1001931768 /nfs/dbraw/zinc/93/17/68/1001931768.db2.gz AUGSNTDKZHMRNK-UHFFFAOYSA-N 0 2 312.351 0.191 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2=CCCCCC2)[nH]1 ZINC001420141743 1001934323 /nfs/dbraw/zinc/93/43/23/1001934323.db2.gz DPGKPWLYRLGZJV-UHFFFAOYSA-N 0 2 312.395 0.856 20 0 DCADLN C[C@]1(NS(=O)(=O)c2onc(C3CC3)c2Cl)CCNC1=O ZINC001424735182 1001962010 /nfs/dbraw/zinc/96/20/10/1001962010.db2.gz MZTZKIYTJRPQAF-NSHDSACASA-N 0 2 319.770 0.762 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CCOC(C)(C)C ZINC001424833373 1002072823 /nfs/dbraw/zinc/07/28/23/1002072823.db2.gz WGYMSRVGFKVBGH-UHFFFAOYSA-N 0 2 303.327 0.529 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1C ZINC001424897766 1002127832 /nfs/dbraw/zinc/12/78/32/1002127832.db2.gz JVSDUCLFUSQCTE-JTQLQIEISA-N 0 2 306.370 0.408 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1C ZINC001424897766 1002127836 /nfs/dbraw/zinc/12/78/36/1002127836.db2.gz JVSDUCLFUSQCTE-JTQLQIEISA-N 0 2 306.370 0.408 20 0 DCADLN CC[N@H+](CCNC(=O)CC[C@@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001420370586 1002294619 /nfs/dbraw/zinc/29/46/19/1002294619.db2.gz IOKLOBJRSMNFEL-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CC[N@@H+](CCNC(=O)CC[C@@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001420370586 1002294626 /nfs/dbraw/zinc/29/46/26/1002294626.db2.gz IOKLOBJRSMNFEL-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN COc1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccc1F ZINC001403690943 1002359295 /nfs/dbraw/zinc/35/92/95/1002359295.db2.gz QKEYRYRPJKYTKE-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN COc1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccc1F ZINC001403690943 1002359306 /nfs/dbraw/zinc/35/93/06/1002359306.db2.gz QKEYRYRPJKYTKE-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN COC[C@H](C)CC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425243771 1002472459 /nfs/dbraw/zinc/47/24/59/1002472459.db2.gz FUMBQUJHYXHCMG-ZJUUUORDSA-N 0 2 311.386 0.119 20 0 DCADLN CC[C@H](C(N)=O)[N@H+]1CCC[C@@H]1CNC(=O)C[NH+]1CCC(C)CC1 ZINC001420496802 1002503420 /nfs/dbraw/zinc/50/34/20/1002503420.db2.gz GSFCNHQMLPVBNV-HUUCEWRRSA-N 0 2 324.469 0.563 20 0 DCADLN CCO[C@H](C)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001425382106 1002625255 /nfs/dbraw/zinc/62/52/55/1002625255.db2.gz IZYIAVWEFYJWNF-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CCO[C@H](C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001425382106 1002625260 /nfs/dbraw/zinc/62/52/60/1002625260.db2.gz IZYIAVWEFYJWNF-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC(F)(F)C1 ZINC001420584779 1002653115 /nfs/dbraw/zinc/65/31/15/1002653115.db2.gz VXYFSFSCSMBNGS-IUCAKERBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC(F)(F)C1 ZINC001420584779 1002653121 /nfs/dbraw/zinc/65/31/21/1002653121.db2.gz VXYFSFSCSMBNGS-IUCAKERBSA-N 0 2 317.340 0.882 20 0 DCADLN CCO[C@@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420602105 1002677419 /nfs/dbraw/zinc/67/74/19/1002677419.db2.gz UNWAFZTZVDZOLY-WCQYABFASA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420602105 1002677428 /nfs/dbraw/zinc/67/74/28/1002677428.db2.gz UNWAFZTZVDZOLY-WCQYABFASA-N 0 2 323.397 0.406 20 0 DCADLN CCCc1nc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)co1 ZINC001403925319 1002754281 /nfs/dbraw/zinc/75/42/81/1002754281.db2.gz PFWPELRTBOLIFK-UHFFFAOYSA-N 0 2 322.369 0.703 20 0 DCADLN CCCc1nc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)co1 ZINC001403925319 1002754294 /nfs/dbraw/zinc/75/42/94/1002754294.db2.gz PFWPELRTBOLIFK-UHFFFAOYSA-N 0 2 322.369 0.703 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@H](C)n1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403945909 1002782692 /nfs/dbraw/zinc/78/26/92/1002782692.db2.gz SQMPKGSAICAJTO-LBPRGKRZSA-N 0 2 320.397 0.901 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@H](C)n1cccc1)Cc1n[nH]c(=O)[n-]1 ZINC001403945909 1002782702 /nfs/dbraw/zinc/78/27/02/1002782702.db2.gz SQMPKGSAICAJTO-LBPRGKRZSA-N 0 2 320.397 0.901 20 0 DCADLN CCC[C@@H](OC)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001508213621 1016718642 /nfs/dbraw/zinc/71/86/42/1016718642.db2.gz IWYIHQMNPUQMCU-GFCCVEGCSA-N 0 2 323.397 0.574 20 0 DCADLN CCC[C@@H](OC)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001508213621 1016718657 /nfs/dbraw/zinc/71/86/57/1016718657.db2.gz IWYIHQMNPUQMCU-GFCCVEGCSA-N 0 2 323.397 0.574 20 0 DCADLN CC(=C(F)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001472085113 1016724766 /nfs/dbraw/zinc/72/47/66/1016724766.db2.gz KZFRKVMSAKEAOC-XFFZJAGNSA-N 0 2 316.358 0.619 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2CCC[C@H](F)C2)[nH]1 ZINC001472084747 1016726461 /nfs/dbraw/zinc/72/64/61/1016726461.db2.gz IIZDBSBIFYRDTN-BDAKNGLRSA-N 0 2 318.374 0.494 20 0 DCADLN O=C(NC1CN(Cc2nnnn2C2CC2)C1)C(F)C(F)(F)F ZINC001421033669 1003250779 /nfs/dbraw/zinc/25/07/79/1003250779.db2.gz ZAWVLXQCCASESC-VIFPVBQESA-N 0 2 322.266 0.209 20 0 DCADLN O=C(NC1CN(Cc2nnnn2C2CC2)C1)[C@H](F)C(F)(F)F ZINC001421033669 1003250792 /nfs/dbraw/zinc/25/07/92/1003250792.db2.gz ZAWVLXQCCASESC-VIFPVBQESA-N 0 2 322.266 0.209 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccccc1[C@H]1CCC(=O)N1 ZINC001426037766 1003365598 /nfs/dbraw/zinc/36/55/98/1003365598.db2.gz GRBDYECXYHHJHA-SNVBAGLBSA-N 0 2 316.321 0.783 20 0 DCADLN Cc1cnc2c(C(=O)N[C@@H](C)c3nn(C)cc3O)cnn2c1 ZINC001472237598 1016768373 /nfs/dbraw/zinc/76/83/73/1016768373.db2.gz WMTBTPAWMDAXLA-VIFPVBQESA-N 0 2 300.322 0.968 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421248980 1003481096 /nfs/dbraw/zinc/48/10/96/1003481096.db2.gz WYHJOXHMEBTEQM-WDEREUQCSA-N 0 2 311.386 0.262 20 0 DCADLN CC(F)(F)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001406253808 1003530911 /nfs/dbraw/zinc/53/09/11/1003530911.db2.gz OKGXXVGSBNHMSV-HSUXUTPPSA-N 0 2 322.205 0.230 20 0 DCADLN CC(F)(F)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001406253808 1003530923 /nfs/dbraw/zinc/53/09/23/1003530923.db2.gz OKGXXVGSBNHMSV-HSUXUTPPSA-N 0 2 322.205 0.230 20 0 DCADLN C[C@H]1CCc2n[nH]cc2[C@H]1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001472519126 1016818096 /nfs/dbraw/zinc/81/80/96/1016818096.db2.gz OMKVUFLOWKNPFW-UFBFGSQYSA-N 0 2 304.354 0.648 20 0 DCADLN CCCC(=O)N(C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001428270538 1003807608 /nfs/dbraw/zinc/80/76/08/1003807608.db2.gz JHTGUCZWDMTDPY-PSASIEDQSA-N 0 2 316.295 0.965 20 0 DCADLN CCCC(=O)N(C)C[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001428270538 1003807624 /nfs/dbraw/zinc/80/76/24/1003807624.db2.gz JHTGUCZWDMTDPY-PSASIEDQSA-N 0 2 316.295 0.965 20 0 DCADLN CC(C)[C@@H](C)N(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001428333100 1003871385 /nfs/dbraw/zinc/87/13/85/1003871385.db2.gz FIKVZRJTPQXZBC-SECBINFHSA-N 0 2 317.415 0.535 20 0 DCADLN COC(=O)[C@@H]1[C@@H](C[NH2+][C@@H](C)c2nc(C(=O)[O-])n[nH]2)C1(F)F ZINC001573915848 1163585539 /nfs/dbraw/zinc/58/55/39/1163585539.db2.gz KSYNOZROZJOSNC-JKUQZMGJSA-N 0 2 304.253 0.208 20 0 DCADLN CCCc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001406675326 1003991530 /nfs/dbraw/zinc/99/15/30/1003991530.db2.gz FCPIBTZDZJJRDR-SECBINFHSA-N 0 2 322.369 0.701 20 0 DCADLN CCCc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001406675326 1003991537 /nfs/dbraw/zinc/99/15/37/1003991537.db2.gz FCPIBTZDZJJRDR-SECBINFHSA-N 0 2 322.369 0.701 20 0 DCADLN Cc1cnc(C[NH2+]C[C@@H](NC(=O)CCc2cn[nH]n2)C2CC2)o1 ZINC001406803777 1004107708 /nfs/dbraw/zinc/10/77/08/1004107708.db2.gz XXPPHYYUIFHZJE-CYBMUJFWSA-N 0 2 318.381 0.718 20 0 DCADLN COCC[N@H+](CCF)C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001407021752 1004311212 /nfs/dbraw/zinc/31/12/12/1004311212.db2.gz XNFISWXYIGVTRY-UHFFFAOYSA-N 0 2 312.389 0.792 20 0 DCADLN CCOCC(=O)N(C)C[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001427449767 1004392427 /nfs/dbraw/zinc/39/24/27/1004392427.db2.gz NXLUSILBLUJMJT-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N(C)C[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001427449767 1004392441 /nfs/dbraw/zinc/39/24/41/1004392441.db2.gz NXLUSILBLUJMJT-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)C[C@@H]1CCOC1 ZINC001429298545 1004515723 /nfs/dbraw/zinc/51/57/23/1004515723.db2.gz ARSAIYOLFNYDJV-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)C[C@@H]1CCOC1 ZINC001429298545 1004515725 /nfs/dbraw/zinc/51/57/25/1004515725.db2.gz ARSAIYOLFNYDJV-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001407769215 1004848087 /nfs/dbraw/zinc/84/80/87/1004848087.db2.gz WVNLWDGNEPEWKR-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001407769215 1004848090 /nfs/dbraw/zinc/84/80/90/1004848090.db2.gz WVNLWDGNEPEWKR-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN O=C(CC1CC1)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001407980511 1004970172 /nfs/dbraw/zinc/97/01/72/1004970172.db2.gz OYTQGBBUPOSUCK-LLVKDONJSA-N 0 2 321.381 0.160 20 0 DCADLN CC(C)NC(=O)CCNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414530407 1005353966 /nfs/dbraw/zinc/35/39/66/1005353966.db2.gz APYPSFAOSSMCEP-SNVBAGLBSA-N 0 2 324.385 0.314 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N[C@]23C[C@H]2CCC3)[nH]1 ZINC001414736036 1005392716 /nfs/dbraw/zinc/39/27/16/1005392716.db2.gz CQNHVSOBRXGJAS-PRHODGIISA-N 0 2 313.383 0.091 20 0 DCADLN COCCC(=O)N[C@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001415393450 1005517060 /nfs/dbraw/zinc/51/70/60/1005517060.db2.gz BLCUKWAIZYBSML-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN COCCC(=O)N[C@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001415393450 1005517059 /nfs/dbraw/zinc/51/70/59/1005517059.db2.gz BLCUKWAIZYBSML-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCC(=O)N(CC)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001415730816 1005601104 /nfs/dbraw/zinc/60/11/04/1005601104.db2.gz UHTSXSXRMFGHGC-UHFFFAOYSA-N 0 2 318.381 0.799 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001416234166 1005675328 /nfs/dbraw/zinc/67/53/28/1005675328.db2.gz LDKIULLJAAUZAD-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001416234166 1005675330 /nfs/dbraw/zinc/67/53/30/1005675330.db2.gz LDKIULLJAAUZAD-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN CC[C@H](CNC(=O)Cc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001416289424 1005682078 /nfs/dbraw/zinc/68/20/78/1005682078.db2.gz LBSUWJMZHVFJAC-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)CNC(=O)C(F)C(F)(F)F ZINC001416465087 1005702814 /nfs/dbraw/zinc/70/28/14/1005702814.db2.gz SNJMMDYKUHUJLP-SFYZADRCSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001416465087 1005702816 /nfs/dbraw/zinc/70/28/16/1005702816.db2.gz SNJMMDYKUHUJLP-SFYZADRCSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1n1cc[nH+]c1 ZINC001417673352 1005864999 /nfs/dbraw/zinc/86/49/99/1005864999.db2.gz IRYQEKVOSXZAST-IUCAKERBSA-N 0 2 304.310 0.203 20 0 DCADLN C[C@@H](CC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001417760194 1005885406 /nfs/dbraw/zinc/88/54/06/1005885406.db2.gz LNFGZIGLHGTZIQ-CMPLNLGQSA-N 0 2 307.398 0.979 20 0 DCADLN CN1C[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1=O ZINC001434776943 1005968586 /nfs/dbraw/zinc/96/85/86/1005968586.db2.gz ZDABKAVCNULPKK-NXEZZACHSA-N 0 2 322.369 0.020 20 0 DCADLN CCn1nccc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434819085 1006009425 /nfs/dbraw/zinc/00/94/25/1006009425.db2.gz BMFGSZNLGAIAJR-SNVBAGLBSA-N 0 2 319.369 0.816 20 0 DCADLN C[C@@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1cnccn1 ZINC001435788090 1006087206 /nfs/dbraw/zinc/08/72/06/1006087206.db2.gz WNCNHFFLIWSKCL-MRVPVSSYSA-N 0 2 300.278 0.166 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001451423203 1006162830 /nfs/dbraw/zinc/16/28/30/1006162830.db2.gz XKLPVFVJAUVOSZ-RKDXNWHRSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)C1=CCCC1 ZINC001451423203 1006162837 /nfs/dbraw/zinc/16/28/37/1006162837.db2.gz XKLPVFVJAUVOSZ-RKDXNWHRSA-N 0 2 312.263 0.590 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCC12CC2 ZINC001438887214 1006193865 /nfs/dbraw/zinc/19/38/65/1006193865.db2.gz AKTKDYHGAPAZKD-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCC12CC2 ZINC001438887214 1006193870 /nfs/dbraw/zinc/19/38/70/1006193870.db2.gz AKTKDYHGAPAZKD-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC1CC(F)(F)C1 ZINC001439336382 1006718205 /nfs/dbraw/zinc/71/82/05/1006718205.db2.gz AMZBIGJHUZINMB-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC1CC(F)(F)C1 ZINC001439336382 1006718214 /nfs/dbraw/zinc/71/82/14/1006718214.db2.gz AMZBIGJHUZINMB-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cn[nH]n1)C(=O)C(F)C(F)(F)F ZINC001452858678 1007119332 /nfs/dbraw/zinc/11/93/32/1007119332.db2.gz HSSRQCWYVOQQTQ-HTRCEHHLSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cn[nH]n1)C(=O)[C@@H](F)C(F)(F)F ZINC001452858678 1007119336 /nfs/dbraw/zinc/11/93/36/1007119336.db2.gz HSSRQCWYVOQQTQ-HTRCEHHLSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN([C@H]2CCCNC2=O)C1 ZINC001439725107 1007155962 /nfs/dbraw/zinc/15/59/62/1007155962.db2.gz JGXKWZBFKPTWOQ-DTWKUNHWSA-N 0 2 311.279 0.308 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN([C@H]2CCCNC2=O)C1 ZINC001439725107 1007155973 /nfs/dbraw/zinc/15/59/73/1007155973.db2.gz JGXKWZBFKPTWOQ-DTWKUNHWSA-N 0 2 311.279 0.308 20 0 DCADLN CCCC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001509334103 1017254682 /nfs/dbraw/zinc/25/46/82/1017254682.db2.gz QTQSBKDUTNYTPQ-RQJHMYQMSA-N 0 2 315.267 0.163 20 0 DCADLN CCCC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001509334103 1017254691 /nfs/dbraw/zinc/25/46/91/1017254691.db2.gz QTQSBKDUTNYTPQ-RQJHMYQMSA-N 0 2 315.267 0.163 20 0 DCADLN Cn1ncc(CN2CCC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001441025893 1008183762 /nfs/dbraw/zinc/18/37/62/1008183762.db2.gz UIZRNNIZCNBTGY-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CCC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001441025893 1008183766 /nfs/dbraw/zinc/18/37/66/1008183766.db2.gz UIZRNNIZCNBTGY-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](C)n1cccc1)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001441519471 1008387916 /nfs/dbraw/zinc/38/79/16/1008387916.db2.gz VOUCQZWEKWCXQF-RYUDHWBXSA-N 0 2 320.397 0.108 20 0 DCADLN COC(=O)[C@]1(NCc2nc(=O)c3sccc3[nH]2)CCOC1 ZINC001421649219 1008871710 /nfs/dbraw/zinc/87/17/10/1008871710.db2.gz FZXUSERPGUVLIG-ZDUSSCGKSA-N 0 2 309.347 0.819 20 0 DCADLN Cn1c(=O)c2ccccc2n1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001433538143 1008913688 /nfs/dbraw/zinc/91/36/88/1008913688.db2.gz ZQMWSISDDYQNMN-UHFFFAOYSA-N 0 2 324.300 0.065 20 0 DCADLN C[NH+](C)[C@@H](CNC(=O)CCCn1c(=O)[n-][nH]c1=O)c1ccco1 ZINC001433590466 1008971710 /nfs/dbraw/zinc/97/17/10/1008971710.db2.gz REGVLEVOBILHBB-JTQLQIEISA-N 0 2 323.353 0.482 20 0 DCADLN NC(=O)CSCC(=O)Nc1nccc(Br)c1O ZINC001454366773 1009100262 /nfs/dbraw/zinc/10/02/62/1009100262.db2.gz XPMJPXBGSLABGJ-UHFFFAOYSA-N 0 2 320.168 0.707 20 0 DCADLN O=C(NCc1n[nH]c(C2CCOCC2)n1)c1cncc(O)c1 ZINC001454606233 1009222593 /nfs/dbraw/zinc/22/25/93/1009222593.db2.gz VFRXTYCEOYLPAC-UHFFFAOYSA-N 0 2 303.322 0.729 20 0 DCADLN C[C@H]1Cc2cn[nH]c2[C@@H](C(=O)N2CCC(c3nn[nH]n3)CC2)C1 ZINC001455020983 1009448484 /nfs/dbraw/zinc/44/84/84/1009448484.db2.gz LPEVFGTVQGIAHN-CABZTGNLSA-N 0 2 315.381 0.995 20 0 DCADLN Cc1nc(C(=O)N2CC(N(C)C(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC001434069403 1009520224 /nfs/dbraw/zinc/52/02/24/1009520224.db2.gz PZHLCXQJKULOJE-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1c(C(F)(F)F)n[nH]c1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001434232125 1009684171 /nfs/dbraw/zinc/68/41/71/1009684171.db2.gz PRVJIEJYHZMLRQ-UHFFFAOYSA-N 0 2 317.227 0.868 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCc2nnn(C)c2C1)c1nn(C)cc1O ZINC001434405623 1009912907 /nfs/dbraw/zinc/91/29/07/1009912907.db2.gz BAOKLAGEQBLACE-IUCAKERBSA-N 0 2 304.354 0.237 20 0 DCADLN COc1ccc(O)c(S(=O)(=O)N[C@H](C)c2n[nH]c(C)n2)c1 ZINC001475272432 1017082913 /nfs/dbraw/zinc/08/29/13/1017082913.db2.gz BEBUNZPTOYTAAQ-SSDOTTSWSA-N 0 2 312.351 0.867 20 0 DCADLN C[C@H]1COCCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001456052145 1010073290 /nfs/dbraw/zinc/07/32/90/1010073290.db2.gz AVDMNLGAUISAQL-SNVBAGLBSA-N 0 2 318.333 0.375 20 0 DCADLN COCCCOCC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001456240448 1010141939 /nfs/dbraw/zinc/14/19/39/1010141939.db2.gz HQJYCKOWGHKDMW-UHFFFAOYSA-N 0 2 313.354 0.250 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2ccoc2C2CC2)[nH]1 ZINC001456312585 1010189266 /nfs/dbraw/zinc/18/92/66/1010189266.db2.gz RUMKSVCUTYCWGX-UHFFFAOYSA-N 0 2 324.362 0.750 20 0 DCADLN CCn1nc(C)cc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422566083 1010309228 /nfs/dbraw/zinc/30/92/28/1010309228.db2.gz WPALSOWIVHNCHK-JTQLQIEISA-N 0 2 321.385 0.285 20 0 DCADLN CCn1nc(C)cc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422566083 1010309237 /nfs/dbraw/zinc/30/92/37/1010309237.db2.gz WPALSOWIVHNCHK-JTQLQIEISA-N 0 2 321.385 0.285 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C[NH2+][C@H](C)c2csnn2)c1[O-] ZINC001422635960 1010436201 /nfs/dbraw/zinc/43/62/01/1010436201.db2.gz WHDBMUKSHILGOB-NKWVEPMBSA-N 0 2 310.383 0.744 20 0 DCADLN CCCc1nc(C)c(CNS(=O)(=O)N=S(C)(C)=O)o1 ZINC001475358436 1017097770 /nfs/dbraw/zinc/09/77/70/1017097770.db2.gz RGDNFOJPDQZYOY-UHFFFAOYSA-N 0 2 309.413 0.998 20 0 DCADLN COCC[N@H+](CCNC(=O)[C@H]1CCC[NH+]1C)Cc1cncn1C ZINC001423627912 1011364970 /nfs/dbraw/zinc/36/49/70/1011364970.db2.gz BJKLCUFWOGIWTH-OAHLLOKOSA-N 0 2 323.441 0.079 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cnon1 ZINC001533536029 1011437365 /nfs/dbraw/zinc/43/73/65/1011437365.db2.gz YISCAKCYVXSSSN-XRHZSYRXSA-N 0 2 310.207 0.372 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1cnon1 ZINC001533536029 1011437369 /nfs/dbraw/zinc/43/73/69/1011437369.db2.gz YISCAKCYVXSSSN-XRHZSYRXSA-N 0 2 310.207 0.372 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCCN(C(=O)C2CC2)C1)c1nn(C)cc1O ZINC001456797785 1011467340 /nfs/dbraw/zinc/46/73/40/1011467340.db2.gz SMVFJKBWYZDZSS-CMPLNLGQSA-N 0 2 320.393 0.952 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001431641525 1011486865 /nfs/dbraw/zinc/48/68/65/1011486865.db2.gz ADWZJJQAVXONLS-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001431641525 1011486873 /nfs/dbraw/zinc/48/68/73/1011486873.db2.gz ADWZJJQAVXONLS-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNC(=O)c1ccc(C)nn1 ZINC001431706748 1011562224 /nfs/dbraw/zinc/56/22/24/1011562224.db2.gz OHUCPFBOSFAKFT-SNVBAGLBSA-N 0 2 316.365 0.294 20 0 DCADLN COC[C@@H](NC(=O)C=CCOc1ccccc1)c1nn[nH]n1 ZINC001558340021 1012349129 /nfs/dbraw/zinc/34/91/29/1012349129.db2.gz SYUORAJSMAHASA-FZKGZDJFSA-N 0 2 303.322 0.639 20 0 DCADLN COC[C@@H](NC(=O)/C=C/COc1ccccc1)c1nn[nH]n1 ZINC001558340021 1012349141 /nfs/dbraw/zinc/34/91/41/1012349141.db2.gz SYUORAJSMAHASA-FZKGZDJFSA-N 0 2 303.322 0.639 20 0 DCADLN CC(=O)N(CCO)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001446093902 1012598210 /nfs/dbraw/zinc/59/82/10/1012598210.db2.gz FPCJDLASJXZJDR-UWVGGRQHSA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N(CCO)[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001446093902 1012598222 /nfs/dbraw/zinc/59/82/22/1012598222.db2.gz FPCJDLASJXZJDR-UWVGGRQHSA-N 0 2 314.279 0.719 20 0 DCADLN C[C@H](NS(=O)(=O)N=S1(=O)CCCC1)c1nccc(N)n1 ZINC001424503745 1012587928 /nfs/dbraw/zinc/58/79/28/1012587928.db2.gz HTUNNZFRQLGILR-QMMMGPOBSA-N 0 2 319.412 0.216 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(F)(F)F ZINC001459183516 1012607037 /nfs/dbraw/zinc/60/70/37/1012607037.db2.gz LHELKIDVTQSZIF-ZETCQYMHSA-N 0 2 303.244 0.528 20 0 DCADLN COC(=O)/C=C/c1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1 ZINC001475644432 1017129162 /nfs/dbraw/zinc/12/91/62/1017129162.db2.gz KPBJTZGZMIYMAS-VMPITWQZSA-N 0 2 323.334 0.197 20 0 DCADLN Cc1nnc(CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)[nH]1 ZINC001475758504 1017153000 /nfs/dbraw/zinc/15/30/00/1017153000.db2.gz UUIXLFQXHQYRFS-GOSISDBHSA-N 0 2 309.417 0.344 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@]1(C)C=CCC1 ZINC001479235745 1017440659 /nfs/dbraw/zinc/44/06/59/1017440659.db2.gz KHZVZSVCCFXIJF-ABAIWWIYSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@]1(C)C=CCC1 ZINC001479235747 1017441255 /nfs/dbraw/zinc/44/12/55/1017441255.db2.gz KHZVZSVCCFXIJF-NHYWBVRUSA-N 0 2 305.382 0.899 20 0 DCADLN CC1(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCCC1 ZINC001479428624 1017553624 /nfs/dbraw/zinc/55/36/24/1017553624.db2.gz OUNDXECZQZGIMN-UHFFFAOYSA-N 0 2 315.399 0.481 20 0 DCADLN C[C@]1(NC(=O)CCCn2c(=O)[n-][nH]c2=O)CC[N@H+](CCF)C1 ZINC001500234802 1018338391 /nfs/dbraw/zinc/33/83/91/1018338391.db2.gz ODWNRVBOFUSSOU-ZDUSSCGKSA-N 0 2 315.349 0.020 20 0 DCADLN C[C@]1(NC(=O)CCCn2c(=O)[n-][nH]c2=O)CC[N@@H+](CCF)C1 ZINC001500234802 1018338401 /nfs/dbraw/zinc/33/84/01/1018338401.db2.gz ODWNRVBOFUSSOU-ZDUSSCGKSA-N 0 2 315.349 0.020 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001493148921 1019146399 /nfs/dbraw/zinc/14/63/99/1019146399.db2.gz UERBCUZFQUNCMU-QMTHXVAHSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001493148921 1019146406 /nfs/dbraw/zinc/14/64/06/1019146406.db2.gz UERBCUZFQUNCMU-QMTHXVAHSA-N 0 2 309.370 0.018 20 0 DCADLN CC[C@H](C)CC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001501772837 1019464208 /nfs/dbraw/zinc/46/42/08/1019464208.db2.gz IHCSWKGQFLVART-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CC(C)(O)CC(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001501828969 1019498550 /nfs/dbraw/zinc/49/85/50/1019498550.db2.gz JPDGHGNEZXIGCQ-ZBJFTSOASA-N 0 2 314.279 0.836 20 0 DCADLN CC(C)(O)CC(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001501828969 1019498556 /nfs/dbraw/zinc/49/85/56/1019498556.db2.gz JPDGHGNEZXIGCQ-ZBJFTSOASA-N 0 2 314.279 0.836 20 0 DCADLN Cn1ccc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)cc1=O ZINC001494390195 1020169042 /nfs/dbraw/zinc/16/90/42/1020169042.db2.gz XAVKXIOJVLBKFU-NSHDSACASA-N 0 2 321.274 0.586 20 0 DCADLN Cn1ccc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)cc1=O ZINC001494390195 1020169049 /nfs/dbraw/zinc/16/90/49/1020169049.db2.gz XAVKXIOJVLBKFU-NSHDSACASA-N 0 2 321.274 0.586 20 0 DCADLN CN(C(=O)NCC(C)(C)[NH+]1CCOCC1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001605972068 1170039925 /nfs/dbraw/zinc/03/99/25/1170039925.db2.gz YRPZAEHFJYVCSY-TXEJJXNPSA-N 0 2 313.398 0.602 20 0 DCADLN Cn1nc(C(F)(F)F)cc1C[N@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001608145841 1170593856 /nfs/dbraw/zinc/59/38/56/1170593856.db2.gz VPBDKDYFLKHMQM-IONNQARKSA-N 0 2 307.272 0.706 20 0 DCADLN O=S(=O)(N[C@H]1CCCC1(F)F)c1ccc(-c2nn[nH]n2)o1 ZINC001609594188 1171034843 /nfs/dbraw/zinc/03/48/43/1171034843.db2.gz YNGOXWCFERZGPF-ZETCQYMHSA-N 0 2 319.293 0.926 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C)C(=O)[O-] ZINC001609690802 1171066948 /nfs/dbraw/zinc/06/69/48/1171066948.db2.gz SUOMXRQZNPKYGJ-GXSJLCMTSA-N 0 2 310.354 0.072 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C)C(=O)[O-] ZINC001609690802 1171066956 /nfs/dbraw/zinc/06/69/56/1171066956.db2.gz SUOMXRQZNPKYGJ-GXSJLCMTSA-N 0 2 310.354 0.072 20 0 DCADLN CCN(C(=O)CC[NH+]1CCOCC1)c1ncc(C(=O)[O-])s1 ZINC001609988227 1171132566 /nfs/dbraw/zinc/13/25/66/1171132566.db2.gz GRFMIBRCSBQBGK-UHFFFAOYSA-N 0 2 313.379 0.917 20 0 DCADLN Cc1cc(C(=O)N[C@@H](CO)C(C)(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000278759989 214381660 /nfs/dbraw/zinc/38/16/60/214381660.db2.gz MNRABRVGTDDEJP-VIFPVBQESA-N 0 2 320.349 0.881 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@H]1CCN(c2ccccc2F)C1=O ZINC000092618654 185329333 /nfs/dbraw/zinc/32/93/33/185329333.db2.gz ZOCLYVRNHCGQDG-NSHDSACASA-N 0 2 305.313 0.474 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)N[C@@H]1c2ccccc2C[C@@H]1O ZINC000281092497 216073786 /nfs/dbraw/zinc/07/37/86/216073786.db2.gz DHJUUHDAEPDBKR-WDEREUQCSA-N 0 2 309.347 0.502 20 0 DCADLN Cc1cn2c([nH+]1)[C@H](CNC(=O)C(=O)NCCCC(=O)[O-])CCC2 ZINC000566240954 291286336 /nfs/dbraw/zinc/28/63/36/291286336.db2.gz OYOOPWXIMMFJAI-NSHDSACASA-N 0 2 322.365 0.166 20 0 DCADLN Cn1cc([C@H]2N(C(=O)CSc3n[nH]c(=O)[nH]3)CC2(C)C)cn1 ZINC000566354480 291296657 /nfs/dbraw/zinc/29/66/57/291296657.db2.gz RIGRORAEZINHIW-SNVBAGLBSA-N 0 2 322.394 0.946 20 0 DCADLN C[C@H]1CN(c2ccc(CNC(=O)C3(C(=O)[O-])CC3)c[nH+]2)CCO1 ZINC000566563279 291312856 /nfs/dbraw/zinc/31/28/56/291312856.db2.gz CCKQWTKYOZODLR-NSHDSACASA-N 0 2 319.361 0.788 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C(F)(F)F ZINC000262154177 304130089 /nfs/dbraw/zinc/13/00/89/304130089.db2.gz HXUDBIPBHOSZSO-RKDXNWHRSA-N 0 2 305.256 0.995 20 0 DCADLN CC(C)(C)c1cc(N2CC[C@@H](O)[C@@H](CO)C2)nc(C(=O)[O-])[nH+]1 ZINC000567978556 291394911 /nfs/dbraw/zinc/39/49/11/291394911.db2.gz KHVRKWTUXHPEMN-NXEZZACHSA-N 0 2 309.366 0.652 20 0 DCADLN Cn1cc(NS(=O)(=O)CCc2ccc3c(c2)CCO3)nn1 ZINC000567998257 291396146 /nfs/dbraw/zinc/39/61/46/291396146.db2.gz IMWICJZQYXIZRC-UHFFFAOYSA-N 0 2 308.363 0.734 20 0 DCADLN CCCCn1nnnc1CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000568676817 291441289 /nfs/dbraw/zinc/44/12/89/291441289.db2.gz GMPCCQFNXWNDCO-JTQLQIEISA-N 0 2 306.374 0.677 20 0 DCADLN O=C(NN1C(=O)NC2(CCCCC2)C1=O)c1cncc(O)c1 ZINC000264867093 204222027 /nfs/dbraw/zinc/22/20/27/204222027.db2.gz QOAVKUSZNFCUSJ-UHFFFAOYSA-N 0 2 304.306 0.687 20 0 DCADLN Cc1cc(C)n(CCCNC(=O)CSc2n[nH]c(=O)[nH]2)n1 ZINC000274880570 212005529 /nfs/dbraw/zinc/00/55/29/212005529.db2.gz IDEREDXXNUFUDS-UHFFFAOYSA-N 0 2 310.383 0.210 20 0 DCADLN O=C(CN1CCCC1=O)N[C@H](CO)C[C@@H](O)c1ccccc1 ZINC000271679347 209121405 /nfs/dbraw/zinc/12/14/05/209121405.db2.gz QCVSXRLOMJXNEI-UONOGXRCSA-N 0 2 306.362 0.210 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)[O-])CC1 ZINC000037300409 182879544 /nfs/dbraw/zinc/87/95/44/182879544.db2.gz MGNSEOHVXJNYPA-UHFFFAOYSA-N 0 2 316.379 0.921 20 0 DCADLN NC(=O)[C@H]1CC[C@@H](CNC(=O)c2cc(F)c(O)c(F)c2)O1 ZINC000273887596 211146194 /nfs/dbraw/zinc/14/61/94/211146194.db2.gz UQLNRCXWCLDZOA-OIBJUYFYSA-N 0 2 300.261 0.433 20 0 DCADLN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(C(C)C)n(C)n1 ZINC000331007827 252648935 /nfs/dbraw/zinc/64/89/35/252648935.db2.gz QIXDKMVHAQNTDN-LBPRGKRZSA-N 0 2 322.365 0.047 20 0 DCADLN COc1ccccc1S(=O)(=O)Nc1ccnc2ncnn21 ZINC000347322451 254148151 /nfs/dbraw/zinc/14/81/51/254148151.db2.gz LFLJFXSHKLTDEB-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN O=C(NCCn1cncn1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348832792 254260269 /nfs/dbraw/zinc/26/02/69/254260269.db2.gz MVFOGALFOLLSLT-UHFFFAOYSA-N 0 2 300.278 0.051 20 0 DCADLN C[C@@H]1C[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC(=O)N1 ZINC000348875178 254262833 /nfs/dbraw/zinc/26/28/33/254262833.db2.gz JYZOWLSBRSANJB-SCZZXKLOSA-N 0 2 316.317 0.883 20 0 DCADLN COC(=O)Cn1ccc(NS(=O)(=O)c2ccsc2)n1 ZINC000349961880 254306237 /nfs/dbraw/zinc/30/62/37/254306237.db2.gz FIRUCQWJBSWJMD-UHFFFAOYSA-N 0 2 301.349 0.918 20 0 DCADLN CCOC(=O)[C@@H](CO)NS(=O)(=O)Cc1c(F)cccc1F ZINC000351974821 254385949 /nfs/dbraw/zinc/38/59/49/254385949.db2.gz KIJREUUXEMPVLP-LLVKDONJSA-N 0 2 323.317 0.308 20 0 DCADLN COCCOCCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275472392 130325406 /nfs/dbraw/zinc/32/54/06/130325406.db2.gz LTBMPWKQSXAEGZ-UHFFFAOYSA-N 0 2 307.354 0.593 20 0 DCADLN COC(=O)c1ccsc1NS(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000284655186 131100755 /nfs/dbraw/zinc/10/07/55/131100755.db2.gz DNWLQIBSACDVKI-SSDOTTSWSA-N 0 2 320.392 0.753 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1n[nH]c2ccc(F)cc21 ZINC000284862299 131117463 /nfs/dbraw/zinc/11/74/63/131117463.db2.gz IIZYEKRSDCBNGR-ZETCQYMHSA-N 0 2 314.342 0.920 20 0 DCADLN O=c1[nH]nc(CN2CCCC[C@@H]2c2nnc3n2CCCC3)[nH]1 ZINC000091553184 395726013 /nfs/dbraw/zinc/72/60/13/395726013.db2.gz OQQJUJYZMRXXTH-SNVBAGLBSA-N 0 2 303.370 0.753 20 0 DCADLN CC(C)CO[C@H](C)c1noc(CN(C)Cc2n[nH]c(=O)[nH]2)n1 ZINC000091588343 395726399 /nfs/dbraw/zinc/72/63/99/395726399.db2.gz HJMZACDGASEONY-SECBINFHSA-N 0 2 310.358 0.847 20 0 DCADLN Cc1cccc(S(O)=CC(=O)NCC(=O)N2CCCC2)c1 ZINC000108100204 395786489 /nfs/dbraw/zinc/78/64/89/395786489.db2.gz ZNSRSZJQARATBL-NRFANRHFSA-N 0 2 308.403 0.841 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)NO[C@H]1CCCCO1 ZINC000104151095 395777832 /nfs/dbraw/zinc/77/78/32/395777832.db2.gz YUSMRTOVOPBXPL-HNNXBMFYSA-N 0 2 320.349 0.756 20 0 DCADLN C[C@@H]1Oc2ccc(NS(=O)(=O)c3cnn(C)c3)cc2NC1=O ZINC000047992409 395804957 /nfs/dbraw/zinc/80/49/57/395804957.db2.gz CXIMTVMNFCHFGX-QMMMGPOBSA-N 0 2 322.346 0.940 20 0 DCADLN CCOC(=O)N[C@H](C(=O)NNc1nnc(C)c(=O)[nH]1)C(C)C ZINC000048130203 395808571 /nfs/dbraw/zinc/80/85/71/395808571.db2.gz QDNUOEHKTJZAER-QMMMGPOBSA-N 0 2 312.330 0.099 20 0 DCADLN COc1cc(OC)nc(NS(=O)(=O)c2c(C)noc2C)n1 ZINC000272433870 395890751 /nfs/dbraw/zinc/89/07/51/395890751.db2.gz NPKCFTGEXRWVQS-UHFFFAOYSA-N 0 2 314.323 0.899 20 0 DCADLN O=C1CN(S(=O)(=O)NCC(F)(F)F)Cc2ccccc2N1 ZINC000127374553 395915241 /nfs/dbraw/zinc/91/52/41/395915241.db2.gz SDPXXZZBXPJPST-UHFFFAOYSA-N 0 2 323.296 0.837 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H](CNC(=O)c2cc(F)c(F)c(O)c2F)O1 ZINC000273409428 395919332 /nfs/dbraw/zinc/91/93/32/395919332.db2.gz KGCQKHYGQILZHL-XNCJUZBTSA-N 0 2 318.251 0.572 20 0 DCADLN C[C@H]1CN(C(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)CC[NH2+]1 ZINC000155038447 396045883 /nfs/dbraw/zinc/04/58/83/396045883.db2.gz CIOXUEHVYZADQM-HOCLYGCPSA-N 0 2 303.406 0.708 20 0 DCADLN COC1(CC(=O)Nc2nnc(NS(C)(=O)=O)s2)CCC1 ZINC000276598073 396013958 /nfs/dbraw/zinc/01/39/58/396013958.db2.gz BSGUAOLRSAUXAB-UHFFFAOYSA-N 0 2 320.396 0.807 20 0 DCADLN CN(CCc1cnccn1)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000277785483 396086890 /nfs/dbraw/zinc/08/68/90/396086890.db2.gz ZNQILYNWEZWKDY-UHFFFAOYSA-N 0 2 318.299 0.935 20 0 DCADLN Cc1cc(C(=O)NCCc2ccco2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000072813805 396136332 /nfs/dbraw/zinc/13/63/32/396136332.db2.gz LWJUOYPSAJYPIC-UHFFFAOYSA-N 0 2 314.301 0.898 20 0 DCADLN CS(=O)(=O)N1CCC(C(=O)Nc2ccc3nn[nH]c3c2)CC1 ZINC000176720914 396158910 /nfs/dbraw/zinc/15/89/10/396158910.db2.gz BJDSETKLFQRMBW-UHFFFAOYSA-N 0 2 323.378 0.568 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000178913013 396214447 /nfs/dbraw/zinc/21/44/47/396214447.db2.gz YTUIPIKTTOVCGN-UHFFFAOYSA-N 0 2 309.341 0.491 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000178913013 396214448 /nfs/dbraw/zinc/21/44/48/396214448.db2.gz YTUIPIKTTOVCGN-UHFFFAOYSA-N 0 2 309.341 0.491 20 0 DCADLN CNC(=O)NC1CN(C(=O)c2cc(F)cc(Cl)c2O)C1 ZINC000282840998 396291970 /nfs/dbraw/zinc/29/19/70/396291970.db2.gz CQSXUCHYYGZILS-UHFFFAOYSA-N 0 2 301.705 0.938 20 0 DCADLN Cc1cc(C(=O)NCc2ccn(C)c2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000081380373 396299271 /nfs/dbraw/zinc/29/92/71/396299271.db2.gz JHHUKKODLRHTIQ-UHFFFAOYSA-N 0 2 313.317 0.601 20 0 DCADLN O=C(N[C@@H]1CCC(=O)NC1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000081959540 396310494 /nfs/dbraw/zinc/31/04/94/396310494.db2.gz QKYJYUOYZAUGFK-SNVBAGLBSA-N 0 2 318.308 0.725 20 0 DCADLN O=C(N[C@]1(CO)CCOC1)C1=NN(c2ccccc2)CC1=O ZINC000185283725 396323814 /nfs/dbraw/zinc/32/38/14/396323814.db2.gz QGZJGYFMIKOUOR-HNNXBMFYSA-N 0 2 303.318 0.459 20 0 DCADLN C[NH+](C)[C@@H](CNC(=O)CNC(=O)[O-])c1c(F)cccc1F ZINC000285847878 396357747 /nfs/dbraw/zinc/35/77/47/396357747.db2.gz YYEYAFHLDBBIIO-JTQLQIEISA-N 0 2 301.293 0.951 20 0 DCADLN Cn1cc(NS(=O)(=O)c2cnn(CC(F)(F)F)c2)cn1 ZINC000186943179 396360260 /nfs/dbraw/zinc/36/02/60/396360260.db2.gz XKJQSFPVOFGNNA-UHFFFAOYSA-N 0 2 309.273 0.980 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H]1COc2ccccc2C1 ZINC000192014771 396428828 /nfs/dbraw/zinc/42/88/28/396428828.db2.gz QEIJDWYYFBTTKK-VIFPVBQESA-N 0 2 310.297 0.976 20 0 DCADLN CC[C@]1(C)NC(=O)N(NS(=O)(=O)c2ccc(N)cc2)C1=O ZINC000289157541 396455906 /nfs/dbraw/zinc/45/59/06/396455906.db2.gz LLNSKXHORUFQBM-LBPRGKRZSA-N 0 2 312.351 0.183 20 0 DCADLN CN(C)c1ccccc1CN(C)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000290664678 396469180 /nfs/dbraw/zinc/46/91/80/396469180.db2.gz OTCLETQPFLLGCR-UHFFFAOYSA-N 0 2 321.406 0.915 20 0 DCADLN CS(=O)(=O)[N-]c1ccccc1C(=O)N1CCn2c[nH+]cc2C1 ZINC000291035321 396477744 /nfs/dbraw/zinc/47/77/44/396477744.db2.gz FQQDGUHGPSECQO-UHFFFAOYSA-N 0 2 320.374 0.911 20 0 DCADLN COC(=O)c1ccncc1NS(=O)(=O)c1cnn(C)c1C ZINC000291932551 396520259 /nfs/dbraw/zinc/52/02/59/396520259.db2.gz WUJLHPKBUUTPGC-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN O=C(c1ccc(F)cc1O)N1CCC[C@@H](c2nc(=O)[nH][nH]2)C1 ZINC000294183057 396658850 /nfs/dbraw/zinc/65/88/50/396658850.db2.gz JTZCYKQEFGLLSL-MRVPVSSYSA-N 0 2 306.297 0.963 20 0 DCADLN C[C@@H]1C[N@H+](C[C@@H](O)C[NH2+][C@@H]2CCCC(F)(F)[C@H]2O)C[C@H](C)O1 ZINC000582360261 396605977 /nfs/dbraw/zinc/60/59/77/396605977.db2.gz GVNIZYBFQQUKNF-VJTDZRGJSA-N 0 2 322.396 0.595 20 0 DCADLN CCCc1nc(C)c(CNC(=O)CSc2n[nH]c(=O)[nH]2)o1 ZINC000293658554 396624032 /nfs/dbraw/zinc/62/40/32/396624032.db2.gz HOFDUKRNHPEOHE-UHFFFAOYSA-N 0 2 311.367 0.755 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NC[C@@H]3CC34CC4)cc-2c(=O)[nH]1 ZINC000561110962 396629808 /nfs/dbraw/zinc/62/98/08/396629808.db2.gz WUQDBWPIFKXQHX-ZETCQYMHSA-N 0 2 322.346 0.514 20 0 DCADLN CCOC(=O)c1ccc(CS(=O)(=O)Nc2ncn(C)n2)cc1 ZINC000295232580 396686701 /nfs/dbraw/zinc/68/67/01/396686701.db2.gz SXJBDQGFSYVGCX-UHFFFAOYSA-N 0 2 324.362 0.934 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(c2[nH+]cnc3c2cnn3C)C1 ZINC000563213924 396714541 /nfs/dbraw/zinc/71/45/41/396714541.db2.gz OYGGFOKIDOAMKA-AWEZNQCLSA-N 0 2 305.338 0.681 20 0 DCADLN C[C@H](CS(=O)(=O)c1ccccc1)[NH2+]C[C@H]1C[NH2+]CCN1C ZINC000563447647 396738814 /nfs/dbraw/zinc/73/88/14/396738814.db2.gz WMZRHKMFPVQESK-ZIAGYGMSSA-N 0 2 311.451 0.342 20 0 DCADLN CCOc1ncc(C)cc1NC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000563494709 396742105 /nfs/dbraw/zinc/74/21/05/396742105.db2.gz KJMBGSPDSMKIOQ-GFCCVEGCSA-N 0 2 307.398 0.895 20 0 DCADLN CCn1nc(C(=O)N=c2nc(C(C)(C)OC)[nH]s2)ccc1=O ZINC000634153969 396797932 /nfs/dbraw/zinc/79/79/32/396797932.db2.gz POKMUEYLNOYPCW-UHFFFAOYSA-N 0 2 323.378 0.670 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn(C[C@H]3CCCO3)c2)c1O ZINC000375951886 396850185 /nfs/dbraw/zinc/85/01/85/396850185.db2.gz XNABKFGXWTUAHD-MNOVXSKESA-N 0 2 322.321 0.050 20 0 DCADLN C[C@@H]1CN(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C[C@H](C)O1 ZINC000625217573 396805625 /nfs/dbraw/zinc/80/56/25/396805625.db2.gz OCAHMSVYHRVGEN-OUAUKWLOSA-N 0 2 309.370 0.919 20 0 DCADLN CC1(NC(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)COC1 ZINC000634196710 396811658 /nfs/dbraw/zinc/81/16/58/396811658.db2.gz GZCUHSDNOSANOJ-UHFFFAOYSA-N 0 2 324.402 0.990 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1(CO)CC1 ZINC000617907458 396871115 /nfs/dbraw/zinc/87/11/15/396871115.db2.gz OJWYYZYJGVAXQP-ZETCQYMHSA-N 0 2 304.306 0.327 20 0 DCADLN COC(=O)c1ccnc(NS(=O)(=O)c2cnc(C)n2C)c1 ZINC000600665644 396910417 /nfs/dbraw/zinc/91/04/17/396910417.db2.gz OYAFYXHAEMHYKE-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)N1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000630218073 396965002 /nfs/dbraw/zinc/96/50/02/396965002.db2.gz CNANHIKFKJZBSJ-XEZPLFJOSA-N 0 2 310.394 0.811 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)N1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000630218073 396965008 /nfs/dbraw/zinc/96/50/08/396965008.db2.gz CNANHIKFKJZBSJ-XEZPLFJOSA-N 0 2 310.394 0.811 20 0 DCADLN CS(=O)(=O)C1(C(=O)Nc2ccc3nn[nH]c3c2)CCOCC1 ZINC000342206748 396986220 /nfs/dbraw/zinc/98/62/20/396986220.db2.gz NLIPPGVTTDMNNU-UHFFFAOYSA-N 0 2 324.362 0.490 20 0 DCADLN CNC(=O)[C@H](C)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000346807019 397024609 /nfs/dbraw/zinc/02/46/09/397024609.db2.gz KASQIWVRMTUDIA-QMMMGPOBSA-N 0 2 306.297 0.581 20 0 DCADLN CC(C)OC[C@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)CCO1 ZINC000377286415 397061881 /nfs/dbraw/zinc/06/18/81/397061881.db2.gz BOVOBDISZBKICH-SECBINFHSA-N 0 2 316.383 0.255 20 0 DCADLN CC(C)S(=O)(=O)CCCN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000377485832 397087111 /nfs/dbraw/zinc/08/71/11/397087111.db2.gz SMYCQQYVQQGWDZ-LLVKDONJSA-N 0 2 316.427 0.903 20 0 DCADLN CCOC(=O)c1nc(NC(=O)CSc2n[nH]c(=O)[nH]2)c[nH]1 ZINC000619448337 397131543 /nfs/dbraw/zinc/13/15/43/397131543.db2.gz NAIWYCBFHQPHGF-UHFFFAOYSA-N 0 2 312.311 0.141 20 0 DCADLN O=C(N[C@H]1CCC(=O)N[C@@H]1[C@@H]1CCCO1)[C@H](F)C(F)(F)F ZINC000378019029 397181382 /nfs/dbraw/zinc/18/13/82/397181382.db2.gz PTRCIDGYEWQAQO-YIKMNZTOSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1CCC(=O)N[C@@H]1[C@@H]1CCCO1)C(F)C(F)(F)F ZINC000378019029 397181389 /nfs/dbraw/zinc/18/13/89/397181389.db2.gz PTRCIDGYEWQAQO-YIKMNZTOSA-N 0 2 312.263 0.829 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN1C[C@H]2CCCCN2C1=O ZINC000620105877 397238390 /nfs/dbraw/zinc/23/83/90/397238390.db2.gz XHIGSMVEBSOOLD-GFCCVEGCSA-N 0 2 305.382 0.667 20 0 DCADLN COC(=O)C[C@H](C)C(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000601796578 397246947 /nfs/dbraw/zinc/24/69/47/397246947.db2.gz PYMFTUPJPBITOE-ZETCQYMHSA-N 0 2 301.368 0.979 20 0 DCADLN C[C@@H]1C[C@@H](C)[C@@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)O1 ZINC000407962898 397338967 /nfs/dbraw/zinc/33/89/67/397338967.db2.gz BHAQENVXIMWHJQ-QYNIQEEDSA-N 0 2 320.396 0.662 20 0 DCADLN CCOC(=O)[C@@](C)(CNc1nc(N)nc(N)[nH+]1)c1ccccc1 ZINC000599068215 397330490 /nfs/dbraw/zinc/33/04/90/397330490.db2.gz GOCITWPHWARKFM-HNNXBMFYSA-N 0 2 316.365 0.052 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)[C@@H]([NH3+])CCC(C)C)[C@H](C)C1 ZINC000602514257 397424886 /nfs/dbraw/zinc/42/48/86/397424886.db2.gz RWGULNSSTUKYQS-KGLIPLIRSA-N 0 2 313.442 0.846 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCC(C(=O)N2CCOCC2)CC1 ZINC000614259411 397408002 /nfs/dbraw/zinc/40/80/02/397408002.db2.gz JGCUYSRFYBHRFG-UHFFFAOYSA-N 0 2 319.361 0.855 20 0 DCADLN C[C@H](C[NH2+]C1CC[NH+]([C@H]2CCC[C@H]2O)CC1)S(C)(=O)=O ZINC000578315858 397417971 /nfs/dbraw/zinc/41/79/71/397417971.db2.gz CTRPHFVSECZZBQ-KWCYVHTRSA-N 0 2 304.456 0.387 20 0 DCADLN COCC[N@@H+](C)C[C@@H](O)C[NH+]1CCC(c2nc(C)no2)CC1 ZINC000578717564 397472820 /nfs/dbraw/zinc/47/28/20/397472820.db2.gz YNSFLJVPDHBGLU-CQSZACIVSA-N 0 2 312.414 0.497 20 0 DCADLN CO[C@@H]1COC[C@H]1NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000578871087 397495643 /nfs/dbraw/zinc/49/56/43/397495643.db2.gz HBHMQBLRCWQBRF-DGCLKSJQSA-N 0 2 321.308 0.861 20 0 DCADLN CCOC(=O)Cn1ccc(NS(=O)(=O)C[C@@H]2CCCO2)n1 ZINC000594463428 397557907 /nfs/dbraw/zinc/55/79/07/397557907.db2.gz YERZMYMIFRKVDN-JTQLQIEISA-N 0 2 317.367 0.367 20 0 DCADLN O=C(c1cc(F)c(F)c(O)c1F)N1CC[C@@H](CO)[C@H](O)C1 ZINC000579560752 397580123 /nfs/dbraw/zinc/58/01/23/397580123.db2.gz JTUYOEDUTOKCGW-IMTBSYHQSA-N 0 2 305.252 0.625 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc2c(c1)C(=O)NCC2 ZINC000604972659 397657394 /nfs/dbraw/zinc/65/73/94/397657394.db2.gz PICLQTHHHCDPCC-UHFFFAOYSA-N 0 2 306.347 0.805 20 0 DCADLN O=C(NC[C@H](O)[C@@H]1CCOC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000605894321 397673644 /nfs/dbraw/zinc/67/36/44/397673644.db2.gz QCUNYIUFNICHOS-NEPJUHHUSA-N 0 2 319.317 0.157 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000066473622 158036390 /nfs/dbraw/zinc/03/63/90/158036390.db2.gz VPBJTOKZSNMMLR-LBPRGKRZSA-N 0 2 304.350 0.940 20 0 DCADLN Cn1cc(NS(=O)(=O)c2cccc(S(C)(=O)=O)c2)cn1 ZINC000075632532 158062047 /nfs/dbraw/zinc/06/20/47/158062047.db2.gz KIGDSVJOTHMLTH-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN CN1CC[C@H](NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)C1=O ZINC000084404361 158088459 /nfs/dbraw/zinc/08/84/59/158088459.db2.gz JTTNECWHEZVVRI-NSHDSACASA-N 0 2 318.308 0.678 20 0 DCADLN CCNC(=O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000084382521 158089064 /nfs/dbraw/zinc/08/90/64/158089064.db2.gz BEKNKFOIKZQJDL-UHFFFAOYSA-N 0 2 306.297 0.583 20 0 DCADLN O=C(NC1CCCCC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000092642966 158115574 /nfs/dbraw/zinc/11/55/74/158115574.db2.gz BDKPIPBBDVYDBZ-UHFFFAOYSA-N 0 2 322.413 0.694 20 0 DCADLN CC[NH+]1CCN([C@H]2CC[N@@H+](CC(=O)N(C)CC3CC3)C2)CC1 ZINC000113504136 158147173 /nfs/dbraw/zinc/14/71/73/158147173.db2.gz MTFCTHHWJQKHOX-INIZCTEOSA-N 0 2 308.470 0.567 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)Cc2ccoc2)s1 ZINC000132703059 158313220 /nfs/dbraw/zinc/31/32/20/158313220.db2.gz HBLBCGHOPFXFDV-UHFFFAOYSA-N 0 2 302.337 0.684 20 0 DCADLN C[C@H]1CCN(S(=O)(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000328723311 159024172 /nfs/dbraw/zinc/02/41/72/159024172.db2.gz AMMROKJHBTZBCZ-VHSXEESVSA-N 0 2 315.399 0.276 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)N3CCCCC3)C2)[nH]1 ZINC000328822799 159032560 /nfs/dbraw/zinc/03/25/60/159032560.db2.gz IFSIAIKZFCDIBS-JTQLQIEISA-N 0 2 315.399 0.420 20 0 DCADLN O=C(Cn1ccccc1=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329082680 159059843 /nfs/dbraw/zinc/05/98/43/159059843.db2.gz SIWMCHPGPOBBBU-JTQLQIEISA-N 0 2 303.322 0.078 20 0 DCADLN O=C(CCN1C(=O)CCC1=O)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000329174212 159070748 /nfs/dbraw/zinc/07/07/48/159070748.db2.gz LQFSWNQHAOFJHD-VIFPVBQESA-N 0 2 321.337 0.103 20 0 DCADLN C[C@@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)C[C@]2(CCOC2)O1 ZINC000329349514 159084567 /nfs/dbraw/zinc/08/45/67/159084567.db2.gz SRWWBVFGZGIESQ-PELKAZGASA-N 0 2 314.367 0.009 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)Cc3ccon3)C2)[nH]1 ZINC000329601258 159105486 /nfs/dbraw/zinc/10/54/86/159105486.db2.gz QGKPTENMWHWOCY-QMMMGPOBSA-N 0 2 313.339 0.208 20 0 DCADLN O=c1[nH]nc(C2CCN(Cc3ncnn3CC(F)F)CC2)[nH]1 ZINC000329685429 159112282 /nfs/dbraw/zinc/11/22/82/159112282.db2.gz ZYJTWGPMCXSKOK-UHFFFAOYSA-N 0 2 313.312 0.747 20 0 DCADLN COCCCS(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330411677 159170647 /nfs/dbraw/zinc/17/06/47/159170647.db2.gz AVQSASGALNDPET-SECBINFHSA-N 0 2 304.372 0.056 20 0 DCADLN Cc1cnn([C@H]2CCN(S(=O)(=O)NCC(F)(F)F)C2)c1 ZINC000368080665 159374771 /nfs/dbraw/zinc/37/47/71/159374771.db2.gz GRGGHKYRHGYHCC-VIFPVBQESA-N 0 2 312.317 0.835 20 0 DCADLN C[C@@H]1OCC[C@]12CN(C(=O)CSc1n[nH]c(=O)[nH]1)CCO2 ZINC000408164080 160050086 /nfs/dbraw/zinc/05/00/86/160050086.db2.gz GJUHRSYPZUMLRW-UFBFGSQYSA-N 0 2 314.367 0.009 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc(-c2ccccc2)n[nH]1 ZINC000080973410 286927477 /nfs/dbraw/zinc/92/74/77/286927477.db2.gz MLAYQGDPJSOKIF-UHFFFAOYSA-N 0 2 312.333 0.851 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc(-n3cnnn3)c2)cn1 ZINC000135806214 287024864 /nfs/dbraw/zinc/02/48/64/287024864.db2.gz RFCFIFQUBYSZJM-UHFFFAOYSA-N 0 2 319.350 0.680 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1ccnc2ncnn21 ZINC000358787985 287213525 /nfs/dbraw/zinc/21/35/25/287213525.db2.gz UNDVHVYGOQXDAX-UHFFFAOYSA-N 0 2 307.339 0.275 20 0 DCADLN CC[C@H](O)CCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000352367740 415150107 /nfs/dbraw/zinc/15/01/07/415150107.db2.gz QLOVCZCHDYNPJU-VIFPVBQESA-N 0 2 306.322 0.717 20 0 DCADLN O=C(N[C@@H]1CCC(=O)NC1)C1=NN(c2ccccc2)CC1=O ZINC000266473031 415139882 /nfs/dbraw/zinc/13/98/82/415139882.db2.gz BFJBCBJOHVZGRR-SNVBAGLBSA-N 0 2 300.318 0.586 20 0 DCADLN CCOC(=O)c1nc(NCc2n[nH]c(=O)[nH]2)cc(C(C)(C)C)n1 ZINC000342484271 415190182 /nfs/dbraw/zinc/19/01/82/415190182.db2.gz UDHGTPDNFWDREE-UHFFFAOYSA-N 0 2 320.353 0.809 20 0 DCADLN C[C@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@@H]1CO ZINC000275988376 415406398 /nfs/dbraw/zinc/40/63/98/415406398.db2.gz LUVSAISPESRCEZ-OIBJUYFYSA-N 0 2 304.306 0.279 20 0 DCADLN C[C@@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)C1=CC[NH+](C)CC1 ZINC000332831351 415489050 /nfs/dbraw/zinc/48/90/50/415489050.db2.gz DRIMCZXOBJXKMS-UKRRQHHQSA-N 0 2 309.458 0.182 20 0 DCADLN CC1CCN(c2ccc(CNC(=O)c3n[nH]c(=O)[n-]3)c[nH+]2)CC1 ZINC000080354609 415474029 /nfs/dbraw/zinc/47/40/29/415474029.db2.gz QXFHPKVOGKPNOY-UHFFFAOYSA-N 0 2 316.365 0.659 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)CCC(=O)N2 ZINC000080975205 415480235 /nfs/dbraw/zinc/48/02/35/415480235.db2.gz KSSAATAMOYQIIQ-UHFFFAOYSA-N 0 2 315.333 0.345 20 0 DCADLN CC(=O)Nc1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)ccc1F ZINC000080974751 415480381 /nfs/dbraw/zinc/48/03/81/415480381.db2.gz GOBAJHGMRSISLH-UHFFFAOYSA-N 0 2 321.312 0.558 20 0 DCADLN CCOc1cccc2c1OCC(C(=O)NCc1n[nH]c(=O)[nH]1)=C2 ZINC000085382938 415505964 /nfs/dbraw/zinc/50/59/64/415505964.db2.gz UDLSUYGXARBCHS-UHFFFAOYSA-N 0 2 316.317 0.589 20 0 DCADLN NC(C(=O)Nc1ccc(N2CCOCC2)cn1)C(F)(F)F ZINC000353466490 415554606 /nfs/dbraw/zinc/55/46/06/415554606.db2.gz HGNOLDMDMZKNIL-JTQLQIEISA-N 0 2 304.272 0.746 20 0 DCADLN Cc1n[nH]c(NC(=O)C2CCN(C(=O)N3CCCC3)CC2)n1 ZINC000103256589 415612766 /nfs/dbraw/zinc/61/27/66/415612766.db2.gz IYXCLFIMKLNERP-UHFFFAOYSA-N 0 2 306.370 0.979 20 0 DCADLN Cc1nn(C)c(C)c1[C@@H](C)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000343560846 415637538 /nfs/dbraw/zinc/63/75/38/415637538.db2.gz WZLATZCEMGGBFU-MRVPVSSYSA-N 0 2 306.370 0.713 20 0 DCADLN CCC(C)(C)NC(=O)[C@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000353897230 415687653 /nfs/dbraw/zinc/68/76/53/415687653.db2.gz YXKKPTYGWBDUIY-QMMMGPOBSA-N 0 2 318.399 0.418 20 0 DCADLN Cn1cc(N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2=O)cn1 ZINC000290309612 415788198 /nfs/dbraw/zinc/78/81/98/415788198.db2.gz GXQLFAIYBFEKQF-VIFPVBQESA-N 0 2 308.235 0.496 20 0 DCADLN Cn1cc(N2CCN(C(=O)C(F)C(F)(F)F)CC2=O)cn1 ZINC000290309612 415788205 /nfs/dbraw/zinc/78/82/05/415788205.db2.gz GXQLFAIYBFEKQF-VIFPVBQESA-N 0 2 308.235 0.496 20 0 DCADLN C[C@@H](C[S@](C)=O)NC(=O)N1CCN(CC(F)(F)F)CC1 ZINC000334035952 415788786 /nfs/dbraw/zinc/78/87/86/415788786.db2.gz BOOZJVTYUIXZSO-LXGOIASLSA-N 0 2 315.361 0.643 20 0 DCADLN C[C@@H](O)C[C@H](C)NC(=O)c1coc(S(=O)(=O)N(C)C)c1 ZINC000334036298 415788860 /nfs/dbraw/zinc/78/88/60/415788860.db2.gz KRPOKMOHQCCXFP-DTWKUNHWSA-N 0 2 304.368 0.419 20 0 DCADLN CCCN1C[C@H](C(=O)N2CCS(=O)(=O)C[C@H](C)C2)CC1=O ZINC000334025907 415789651 /nfs/dbraw/zinc/78/96/51/415789651.db2.gz HGEQRLBGAOEWBL-VXGBXAGGSA-N 0 2 316.423 0.138 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N(Cc1cccs1)C[C@H]1CCCO1 ZINC000125637535 415868467 /nfs/dbraw/zinc/86/84/67/415868467.db2.gz OLBCCYNFPCKAAO-SECBINFHSA-N 0 2 308.363 0.981 20 0 DCADLN CCc1cnc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)o1 ZINC000356250581 415889817 /nfs/dbraw/zinc/88/98/17/415889817.db2.gz IHXWBRRDXLHDCV-UHFFFAOYSA-N 0 2 315.289 0.916 20 0 DCADLN CC[C@@]1(C)CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000135336571 415961062 /nfs/dbraw/zinc/96/10/62/415961062.db2.gz QDWXHXYPUCGKHP-HNNXBMFYSA-N 0 2 318.333 0.253 20 0 DCADLN C[C@@H](O)C(=O)N1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000153144885 415977368 /nfs/dbraw/zinc/97/73/68/415977368.db2.gz SIRDSXOEWRCFDP-SECBINFHSA-N 0 2 322.390 0.010 20 0 DCADLN CN(C)C(=O)NCCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000356790208 415988682 /nfs/dbraw/zinc/98/86/82/415988682.db2.gz XVHLKBWQICTEHW-UHFFFAOYSA-N 0 2 319.321 0.031 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)N[C@H]1C[C@@H](O)C1 ZINC000298210164 415997511 /nfs/dbraw/zinc/99/75/11/415997511.db2.gz GHZWWUWKPWKANI-PHIMTYICSA-N 0 2 317.349 0.706 20 0 DCADLN Cc1cc(F)cc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC000356503371 415933862 /nfs/dbraw/zinc/93/38/62/415933862.db2.gz CBJHQKSPNWZICM-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cc(C3CC3)n(C)n2)cc1C(N)=O ZINC000357336208 416063970 /nfs/dbraw/zinc/06/39/70/416063970.db2.gz UEUQVPQHOYDXMQ-UHFFFAOYSA-N 0 2 323.378 0.536 20 0 DCADLN O=c1nc2[nH]cc(-c3nc(-c4ccncn4)no3)cc-2c(=O)[nH]1 ZINC000338268118 416069858 /nfs/dbraw/zinc/06/98/58/416069858.db2.gz NYHHJKODSXKTRR-UHFFFAOYSA-N 0 2 309.245 0.943 20 0 DCADLN C[C@@H](CNS(=O)(=O)NCC(F)(F)F)N1CCCCC1=O ZINC000337788115 416009484 /nfs/dbraw/zinc/00/94/84/416009484.db2.gz YVLISJNQRHQWKP-QMMMGPOBSA-N 0 2 317.333 0.374 20 0 DCADLN O=S(=O)(NCc1nccc(C(F)F)n1)NCC(F)(F)F ZINC000344953529 416015066 /nfs/dbraw/zinc/01/50/66/416015066.db2.gz MKCVBSDWFLPCLD-UHFFFAOYSA-N 0 2 320.243 0.901 20 0 DCADLN Cn1cnnc1CNS(=O)(=O)c1c(F)c(F)cc(F)c1F ZINC000337846917 416015518 /nfs/dbraw/zinc/01/55/18/416015518.db2.gz IBKCNBZRCJUSEO-UHFFFAOYSA-N 0 2 324.259 0.850 20 0 DCADLN CCOCCO[C@H]1CCN(c2cc(NC[C@H](C)O)[nH+]cn2)C1 ZINC000298854733 416034338 /nfs/dbraw/zinc/03/43/38/416034338.db2.gz JDGKAGYOLJAQJR-STQMWFEESA-N 0 2 310.398 0.901 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000321575740 416120563 /nfs/dbraw/zinc/12/05/63/416120563.db2.gz OKSJFROZBOAKCS-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@H]1C(=O)NCCN1C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000176286827 416124464 /nfs/dbraw/zinc/12/44/64/416124464.db2.gz CECSEZWQVBTVBV-VIFPVBQESA-N 0 2 318.308 0.678 20 0 DCADLN CN1C(=O)c2ccc(S(=O)(=O)Nc3cccnn3)cc2C1=O ZINC000345679049 416131470 /nfs/dbraw/zinc/13/14/70/416131470.db2.gz BKWGVWQFBQIIKG-UHFFFAOYSA-N 0 2 318.314 0.503 20 0 DCADLN Cc1noc(CCCNC(=O)c2c[nH]c3c(cnn3C)c2=O)n1 ZINC000358332756 416223612 /nfs/dbraw/zinc/22/36/12/416223612.db2.gz OEZVDMYZSTVUBH-UHFFFAOYSA-N 0 2 316.321 0.728 20 0 DCADLN CC[C@](C)(NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)OC ZINC000358337502 416225418 /nfs/dbraw/zinc/22/54/18/416225418.db2.gz CAEWUXRAVAGTOU-AWEZNQCLSA-N 0 2 306.322 0.745 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)N(C)CC[N@H+](C)C1CC1 ZINC000185362978 416254670 /nfs/dbraw/zinc/25/46/70/416254670.db2.gz SLHAHAGEVMYIEC-AWEZNQCLSA-N 0 2 311.474 0.358 20 0 DCADLN CC(=O)Nc1cccnc1NS(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000331148166 416274361 /nfs/dbraw/zinc/27/43/61/416274361.db2.gz BCTGNPVHWUQBQW-QMMMGPOBSA-N 0 2 314.367 0.258 20 0 DCADLN c1ccc(OCc2nnc(CNc3ccc4nnnn4n3)[nH]2)cc1 ZINC000351543490 416277560 /nfs/dbraw/zinc/27/75/60/416277560.db2.gz HOKKYBXKXCPVHZ-UHFFFAOYSA-N 0 2 323.320 0.829 20 0 DCADLN COCC1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CC1 ZINC000331509176 416322526 /nfs/dbraw/zinc/32/25/26/416322526.db2.gz PKXNLHSBAXXVQA-UHFFFAOYSA-N 0 2 306.369 0.275 20 0 DCADLN COCC[C@H](COC)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000359523624 416349480 /nfs/dbraw/zinc/34/94/80/416349480.db2.gz YRMBYRRQYURVFF-SECBINFHSA-N 0 2 308.338 0.455 20 0 DCADLN CCOC(=O)C[C@@H](C)CNS(=O)(=O)NCC(F)(F)F ZINC000195438213 416326310 /nfs/dbraw/zinc/32/63/10/416326310.db2.gz SXYCREUWHWIRIN-SSDOTTSWSA-N 0 2 306.306 0.562 20 0 DCADLN CCC[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(N)=O ZINC000359971434 416367187 /nfs/dbraw/zinc/36/71/87/416367187.db2.gz SKHQXDGFCUPNDB-SNVBAGLBSA-N 0 2 304.306 0.414 20 0 DCADLN CC(C)OC(=O)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000435946332 416544074 /nfs/dbraw/zinc/54/40/74/416544074.db2.gz XTWTXFYKQOSRND-UHFFFAOYSA-N 0 2 305.338 0.882 20 0 DCADLN Cn1nc(NS(=O)(=O)C[C@@H]2CCCO2)cc1OC(F)F ZINC000488373862 416559374 /nfs/dbraw/zinc/55/93/74/416559374.db2.gz XICCBBFUQPNMMA-ZETCQYMHSA-N 0 2 311.310 0.942 20 0 DCADLN O=C(N=c1nc(-c2cccnc2)[nH]s1)[C@@H]1CCNC(=O)C1 ZINC000535231695 416519838 /nfs/dbraw/zinc/51/98/38/416519838.db2.gz UGOFEBUBLVCQCR-MRVPVSSYSA-N 0 2 303.347 0.487 20 0 DCADLN COC(=O)C(C)(C)n1ccc(NC(=O)C(N)C(F)(F)F)n1 ZINC000595971878 416574147 /nfs/dbraw/zinc/57/41/47/416574147.db2.gz GVWPNMNROFZCNC-ZETCQYMHSA-N 0 2 308.260 0.619 20 0 DCADLN CSC[C@@](C)(O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000440155262 416628655 /nfs/dbraw/zinc/62/86/55/416628655.db2.gz MSQKAXCLODKTDX-AWEZNQCLSA-N 0 2 323.374 0.874 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C\C1CCOCC1 ZINC000493853439 416642341 /nfs/dbraw/zinc/64/23/41/416642341.db2.gz LCKUYQILPNLVDY-IHWYPQMZSA-N 0 2 315.351 0.353 20 0 DCADLN CCc1nncn1CCNS(=O)(=O)[C@@H](C)C(F)(F)F ZINC000545095896 416663521 /nfs/dbraw/zinc/66/35/21/416663521.db2.gz ZCDRRUFQOXIPON-ZETCQYMHSA-N 0 2 300.306 0.711 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@H](NC(C)=O)C2)c1 ZINC000424899029 416673166 /nfs/dbraw/zinc/67/31/66/416673166.db2.gz YCMSDPFKAAWNSI-AMXDTQDGSA-N 0 2 324.402 0.540 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H]2C[N@H+](C)C[C@H]21)[C@H](C)[NH+]1CCOCC1 ZINC000425664847 416720371 /nfs/dbraw/zinc/72/03/71/416720371.db2.gz QRTIOZKLHPEXIA-FXUDXRNXSA-N 0 2 324.469 0.831 20 0 DCADLN O=C([O-])[C@H]1CCCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000516450443 416723289 /nfs/dbraw/zinc/72/32/89/416723289.db2.gz QHGCNJIDSGVQIT-JTQLQIEISA-N 0 2 316.317 0.596 20 0 DCADLN O=C(NCCCc1cc(=O)[nH][nH]1)c1cn[nH]c1-c1ccccn1 ZINC000614913928 416724352 /nfs/dbraw/zinc/72/43/52/416724352.db2.gz GDQGEBFBUXQRHO-UHFFFAOYSA-N 0 2 312.333 0.858 20 0 DCADLN CC[C@@H]1C[N@H+](CCNC(=O)N[C@@H]2CC[NH+](C)[C@@H](C)C2)CCO1 ZINC000426066583 416739491 /nfs/dbraw/zinc/73/94/91/416739491.db2.gz KUAHIEHDZOXEOY-RRFJBIMHSA-N 0 2 312.458 0.879 20 0 DCADLN CC1(C)c2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccc2NC1=O ZINC000426630108 416778181 /nfs/dbraw/zinc/77/81/81/416778181.db2.gz HIMMJLMSKZCQFM-UHFFFAOYSA-N 0 2 301.306 0.670 20 0 DCADLN CC(C)C(=O)NCCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000444239447 416820449 /nfs/dbraw/zinc/82/04/49/416820449.db2.gz LAFCWHNJSMSDJS-UHFFFAOYSA-N 0 2 318.381 0.702 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000370022895 416868377 /nfs/dbraw/zinc/86/83/77/416868377.db2.gz VVXXYFCEILEFCQ-BDAKNGLRSA-N 0 2 302.318 0.742 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3c(c2)oc(=O)n3C)nn1C ZINC000427644859 416834270 /nfs/dbraw/zinc/83/42/70/416834270.db2.gz AZXZCCPLRIYOMU-UHFFFAOYSA-N 0 2 323.334 0.369 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)[C@@H]2CCCN(C(N)=O)C2)s[nH]1 ZINC000519143402 416895538 /nfs/dbraw/zinc/89/55/38/416895538.db2.gz LXWJNCWBSCJZCG-MRVPVSSYSA-N 0 2 311.411 0.987 20 0 DCADLN COC(=O)c1ccc(NC(=O)C[N@@H+]2CCC[C@@H]2C(=O)[O-])cc1 ZINC000565046800 416914743 /nfs/dbraw/zinc/91/47/43/416914743.db2.gz NBAFYLUGIUODIV-GFCCVEGCSA-N 0 2 306.318 0.961 20 0 DCADLN COC(=O)c1ccc(NC(=O)C[N@H+]2CCC[C@@H]2C(=O)[O-])cc1 ZINC000565046800 416914749 /nfs/dbraw/zinc/91/47/49/416914749.db2.gz NBAFYLUGIUODIV-GFCCVEGCSA-N 0 2 306.318 0.961 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2CC[C@@H](CO)C2)s[nH]1 ZINC000446297492 416920188 /nfs/dbraw/zinc/92/01/88/416920188.db2.gz HFQXXWMCFJULDZ-MRVPVSSYSA-N 0 2 312.395 0.037 20 0 DCADLN CCC[N@H+](C)CC(C)(C)NS(=O)(=O)CCn1cc[nH+]c1 ZINC000641617800 416950930 /nfs/dbraw/zinc/95/09/30/416950930.db2.gz BDQRFDHAOBBJKB-UHFFFAOYSA-N 0 2 302.444 0.923 20 0 DCADLN Cc1ccccc1-n1cc(C[NH2+][C@]2(C(=O)[O-])CCOC2)nn1 ZINC000521216379 416994518 /nfs/dbraw/zinc/99/45/18/416994518.db2.gz HHRYARSCFBPGIK-OAHLLOKOSA-N 0 2 302.334 0.909 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(F)c(NC(N)=O)c1 ZINC000431149667 417110854 /nfs/dbraw/zinc/11/08/54/417110854.db2.gz CKNYIYRKKWOCOC-UHFFFAOYSA-N 0 2 313.314 0.851 20 0 DCADLN COCCO[C@H]1COCC[C@H]1NC(=O)c1cccc2[nH]nnc21 ZINC000526122103 417183863 /nfs/dbraw/zinc/18/38/63/417183863.db2.gz AJVWTIKSIMDQFU-YPMHNXCESA-N 0 2 320.349 0.508 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC2(S(C)(=O)=O)CC2)cn1 ZINC000527264574 417228531 /nfs/dbraw/zinc/22/85/31/417228531.db2.gz DFFROQZBVLFFKI-UHFFFAOYSA-N 0 2 323.378 0.117 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@H+]1CCC[C@H](OCC2CC2)C1 ZINC000569619278 417273743 /nfs/dbraw/zinc/27/37/43/417273743.db2.gz QKVLWBHGXCBUKY-CVEARBPZSA-N 0 2 300.443 0.817 20 0 DCADLN CN1CC[NH+](C)[C@H](CNC(=O)NC[C@@H]2CC[N@@H+]2C2CCCC2)C1 ZINC000527742099 417274001 /nfs/dbraw/zinc/27/40/01/417274001.db2.gz AQRZPWGUWVSIRK-JKSUJKDBSA-N 0 2 323.485 0.548 20 0 DCADLN CN1CCN(c2cc(NCC34CC(C3)CO4)[nH+]cn2)CC1=O ZINC000528184092 417315232 /nfs/dbraw/zinc/31/52/32/417315232.db2.gz DQKPEBPQSFJXDE-UHFFFAOYSA-N 0 2 303.366 0.346 20 0 DCADLN Cn1nnnc1CS(=O)(=O)c1n[nH]c(-c2ccccc2F)n1 ZINC000570341172 417342052 /nfs/dbraw/zinc/34/20/52/417342052.db2.gz BTYOZYLEQGQLLG-UHFFFAOYSA-N 0 2 323.313 0.108 20 0 DCADLN Cc1ncsc1CCNS(=O)(=O)NCC(F)(F)F ZINC000451787856 417397491 /nfs/dbraw/zinc/39/74/91/417397491.db2.gz NSDDOCVUVIRHKI-UHFFFAOYSA-N 0 2 303.331 0.980 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2cnn(CC[NH+](C)C)c2)[C@@H](C)CO1 ZINC000633615989 417463116 /nfs/dbraw/zinc/46/31/16/417463116.db2.gz ANCKDAPYZIIKIC-UONOGXRCSA-N 0 2 323.441 0.284 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1ccc2oc(=O)n(C)c2c1 ZINC000573309867 417469492 /nfs/dbraw/zinc/46/94/92/417469492.db2.gz BZFLAESKZUEKRN-UHFFFAOYSA-N 0 2 324.318 0.811 20 0 DCADLN CC(C)c1nnc(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)[nH]1 ZINC000452425103 417484261 /nfs/dbraw/zinc/48/42/61/417484261.db2.gz QGIJLIFWZUSJKA-UHFFFAOYSA-N 0 2 315.337 0.845 20 0 DCADLN CN(C1CC1)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000645087996 417699248 /nfs/dbraw/zinc/69/92/48/417699248.db2.gz IWNCAIFDXCMBHA-QMMMGPOBSA-N 0 2 301.372 0.029 20 0 DCADLN COCCC1CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000644766504 417657377 /nfs/dbraw/zinc/65/73/77/417657377.db2.gz ZOTKNBHIVAKPDI-UHFFFAOYSA-N 0 2 318.333 0.853 20 0 DCADLN CC(C)OC(=O)[C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000456629182 417658607 /nfs/dbraw/zinc/65/86/07/417658607.db2.gz HYSZSLPEBOBMGX-ZETCQYMHSA-N 0 2 320.305 0.506 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2CCO[C@@H]3C[C@@H]32)s[nH]1 ZINC000645584642 417760354 /nfs/dbraw/zinc/76/03/54/417760354.db2.gz KNZMKLYQUJCQSU-JGVFFNPUSA-N 0 2 310.379 0.196 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@@H+]([C@@H]3CCN(C4CCC4)C3=O)C2)n[nH]1 ZINC000639644766 417707820 /nfs/dbraw/zinc/70/78/20/417707820.db2.gz ASHPECBPTOBWGM-ZYHUDNBSSA-N 0 2 305.382 0.843 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@H+]([C@@H]3CCN(C4CCC4)C3=O)C2)n[nH]1 ZINC000639644766 417707823 /nfs/dbraw/zinc/70/78/23/417707823.db2.gz ASHPECBPTOBWGM-ZYHUDNBSSA-N 0 2 305.382 0.843 20 0 DCADLN CCN1CCc2ccc(NS(=O)(=O)c3cnnn3C)cc21 ZINC000629287904 417772192 /nfs/dbraw/zinc/77/21/92/417772192.db2.gz BUURGDUPTIBIDK-UHFFFAOYSA-N 0 2 307.379 0.998 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc(OCCF)c1 ZINC000629290421 417772763 /nfs/dbraw/zinc/77/27/63/417772763.db2.gz UADCDGSDDGHUCO-UHFFFAOYSA-N 0 2 300.315 0.964 20 0 DCADLN CO[C@H]1CC[N@H+](CC(=O)NCc2cccnc2)[C@@H](C(=O)[O-])C1 ZINC000629361606 417789234 /nfs/dbraw/zinc/78/92/34/417789234.db2.gz JXLPPGPAMJBWJR-QWHCGFSZSA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@H]1CC[N@@H+](CC(=O)NCc2cccnc2)[C@@H](C(=O)[O-])C1 ZINC000629361606 417789237 /nfs/dbraw/zinc/78/92/37/417789237.db2.gz JXLPPGPAMJBWJR-QWHCGFSZSA-N 0 2 307.350 0.262 20 0 DCADLN CO[C@](C)([C@@H](C)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CC1 ZINC000651850883 417799522 /nfs/dbraw/zinc/79/95/22/417799522.db2.gz WGASFSCFIGXINV-MEBBXXQBSA-N 0 2 323.397 0.539 20 0 DCADLN CC[C@H](C)C[C@@H](CO)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651869202 417805382 /nfs/dbraw/zinc/80/53/82/417805382.db2.gz MNOCRHNTLMQEDD-QWRGUYRKSA-N 0 2 311.386 0.133 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cccc(F)c2)[nH]1 ZINC000657102611 417812012 /nfs/dbraw/zinc/81/20/12/417812012.db2.gz BMMJRQLQEUEPCO-UHFFFAOYSA-N 0 2 300.315 0.569 20 0 DCADLN CCCN(CCC)S(=O)(=O)NCc1nnc(COC)[nH]1 ZINC000657104734 417813172 /nfs/dbraw/zinc/81/31/72/417813172.db2.gz SWOHWPSAHBXCAB-UHFFFAOYSA-N 0 2 305.404 0.408 20 0 DCADLN COc1cccnc1CC(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000646044163 417860889 /nfs/dbraw/zinc/86/08/89/417860889.db2.gz HRXWVQIJFISNRL-UHFFFAOYSA-N 0 2 317.349 0.863 20 0 DCADLN Cc1cc(=NC(=O)C(=O)N2CCC([C@@H](C)CO)CC2)[nH]nc1C ZINC000652077655 417843353 /nfs/dbraw/zinc/84/33/53/417843353.db2.gz LUSVAUGURKANMP-NSHDSACASA-N 0 2 320.393 0.321 20 0 DCADLN COCc1nnc(CNC(=O)C(=O)Nc2cc(C)ccc2F)[nH]1 ZINC000658472820 417974350 /nfs/dbraw/zinc/97/43/50/417974350.db2.gz RVLKBGVAAUMKRG-UHFFFAOYSA-N 0 2 321.312 0.654 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](Nc2cc(N3CCSCC3)[nH+]cn2)[C@@H](O)C1 ZINC000662897804 417939916 /nfs/dbraw/zinc/93/99/16/417939916.db2.gz XCBUQEKJPRRLEL-AXFHLTTASA-N 0 2 324.406 0.666 20 0 DCADLN C[NH+]1C[C@@H]2CCN(C(=O)[C@H]3COCC[N@@H+]3C3CCCC3)[C@@H]2C1 ZINC000646940815 417955624 /nfs/dbraw/zinc/95/56/24/417955624.db2.gz NUDWFQYIWMWANF-NUEKZKHPSA-N 0 2 307.438 0.792 20 0 DCADLN CN(CC[NH+]1CCCCC1)C(=O)C(=O)N(C)Cc1c[nH+]c[nH]1 ZINC000658783284 418019501 /nfs/dbraw/zinc/01/95/01/418019501.db2.gz PZIZBJDVGJOGMC-UHFFFAOYSA-N 0 2 307.398 0.312 20 0 DCADLN CC(C)(C)[C@H](C(=O)[O-])C(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000663065977 417985359 /nfs/dbraw/zinc/98/53/59/417985359.db2.gz HLCVNDQDPJATIL-JTQLQIEISA-N 0 2 313.398 0.141 20 0 DCADLN O=C(NCCC1(CO)CCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000652936342 418000067 /nfs/dbraw/zinc/00/00/67/418000067.db2.gz PKTZRAUFMWISGH-UHFFFAOYSA-N 0 2 318.333 0.719 20 0 DCADLN COCc1nnc(CNC(=O)NCCc2ccc(O)cc2)[nH]1 ZINC000663888059 418064598 /nfs/dbraw/zinc/06/45/98/418064598.db2.gz RUMRKZAJCISBJK-UHFFFAOYSA-N 0 2 305.338 0.699 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CC[NH+](C3CC3)C2)[C@H](C)CO1 ZINC000664130864 418088624 /nfs/dbraw/zinc/08/86/24/418088624.db2.gz XJUSZZSDZNOVMI-MCIONIFRSA-N 0 2 310.442 0.632 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCN2CC(F)(F)C[C@H]2C1 ZINC000648941832 418178476 /nfs/dbraw/zinc/17/84/76/418178476.db2.gz PHRNRABOUJIVLK-ZETCQYMHSA-N 0 2 319.337 0.154 20 0 DCADLN Cc1cc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)c(C)nn1 ZINC000648887997 418169522 /nfs/dbraw/zinc/16/95/22/418169522.db2.gz JMSUKMUTVTYNSC-UHFFFAOYSA-N 0 2 302.338 0.937 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCOCC3)nc[nH+]2)CC[C@H]1C(=O)[O-] ZINC000649404679 418251163 /nfs/dbraw/zinc/25/11/63/418251163.db2.gz RQKQLFOLZLDZSZ-VXGBXAGGSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCOCC3)[nH+]cn2)CC[C@H]1C(=O)[O-] ZINC000649404679 418251166 /nfs/dbraw/zinc/25/11/66/418251166.db2.gz RQKQLFOLZLDZSZ-VXGBXAGGSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@@H]1CC[C@@H](O)CN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000649199529 418209312 /nfs/dbraw/zinc/20/93/12/418209312.db2.gz LYZADCKIVZMFHQ-VXNVDRBHSA-N 0 2 304.306 0.421 20 0 DCADLN C[C@H](CNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1)C(=O)[O-] ZINC000655861514 418293734 /nfs/dbraw/zinc/29/37/34/418293734.db2.gz QTWLBBALDSQGJQ-LLVKDONJSA-N 0 2 322.365 0.438 20 0 DCADLN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000650515639 418313375 /nfs/dbraw/zinc/31/33/75/418313375.db2.gz CLJOCYVAEGYVRL-VXGBXAGGSA-N 0 2 313.398 0.299 20 0 DCADLN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000650515639 418313377 /nfs/dbraw/zinc/31/33/77/418313377.db2.gz CLJOCYVAEGYVRL-VXGBXAGGSA-N 0 2 313.398 0.299 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000650515701 418314040 /nfs/dbraw/zinc/31/40/40/418314040.db2.gz FMFYISFHRZXKQE-JSGCOSHPSA-N 0 2 321.377 0.385 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000650515701 418314041 /nfs/dbraw/zinc/31/40/41/418314041.db2.gz FMFYISFHRZXKQE-JSGCOSHPSA-N 0 2 321.377 0.385 20 0 DCADLN CO[C@H]1C[C@@H](C(=O)N2CCCC2)N(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000650769960 418323655 /nfs/dbraw/zinc/32/36/55/418323655.db2.gz KPZOJBSLUDAQTG-JSGCOSHPSA-N 0 2 319.361 0.996 20 0 DCADLN O=C([O-])c1cc(N2CCC[C@@](O)(Cn3ccnn3)C2)cc[nH+]1 ZINC000650770205 418323823 /nfs/dbraw/zinc/32/38/23/418323823.db2.gz PQFSJTPSFGELEP-AWEZNQCLSA-N 0 2 303.322 0.403 20 0 DCADLN O=C(C[NH+]1CCC(c2n[nH]c(=O)[n-]2)CC1)Nc1cnccn1 ZINC000660447931 418261091 /nfs/dbraw/zinc/26/10/91/418261091.db2.gz CGTVVLYNIXVKIB-UHFFFAOYSA-N 0 2 303.326 0.118 20 0 DCADLN CN(C(=O)N[C@H]1CC[NH+](C(C)(C)C)C1)[C@H]1CC[N@H+]2CCO[C@H]1C2 ZINC000661513001 418342407 /nfs/dbraw/zinc/34/24/07/418342407.db2.gz LXWJSBDYDJHOAF-KKUMJFAQSA-N 0 2 324.469 0.974 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](C3CCCCC3)C2=O)[nH]1 ZINC000656832426 418377732 /nfs/dbraw/zinc/37/77/32/418377732.db2.gz LKMAKXCTARKENF-GFCCVEGCSA-N 0 2 307.354 0.952 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCO[C@@H](C3CCCCC3)C2)C1=O ZINC000662210367 418389398 /nfs/dbraw/zinc/38/93/98/418389398.db2.gz FNCUCJDYCWZTDL-ZIAGYGMSSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCO[C@@H](C3CCCCC3)C2)C1=O ZINC000662210367 418389400 /nfs/dbraw/zinc/38/94/00/418389400.db2.gz FNCUCJDYCWZTDL-ZIAGYGMSSA-N 0 2 310.394 0.953 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@H](C)O1 ZINC000651564175 418391891 /nfs/dbraw/zinc/39/18/91/418391891.db2.gz XLHJROZXQGOWEG-WDEREUQCSA-N 0 2 309.370 0.245 20 0 DCADLN CCC(CC)[C@H](O)CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651564974 418392115 /nfs/dbraw/zinc/39/21/15/418392115.db2.gz ZSGWEHHRJUDPHV-LLVKDONJSA-N 0 2 311.386 0.133 20 0 DCADLN CCOC[C@@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651568886 418392237 /nfs/dbraw/zinc/39/22/37/418392237.db2.gz AIFAJKVRRHSVQJ-GFCCVEGCSA-N 0 2 323.397 0.495 20 0 DCADLN C[C@@H]1C[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C[C@@](C)(C(F)F)O1 ZINC000662238183 418392648 /nfs/dbraw/zinc/39/26/48/418392648.db2.gz ZWUXBRQWPBQWPP-KKFJDGPESA-N 0 2 306.309 0.416 20 0 DCADLN C[C@@H]1C[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C[C@@](C)(C(F)F)O1 ZINC000662238183 418392651 /nfs/dbraw/zinc/39/26/51/418392651.db2.gz ZWUXBRQWPBQWPP-KKFJDGPESA-N 0 2 306.309 0.416 20 0 DCADLN CC(C)[C@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C(C)(C)O ZINC000651748045 418408429 /nfs/dbraw/zinc/40/84/29/418408429.db2.gz YHTNANGJPUFPHK-JTQLQIEISA-N 0 2 311.386 0.131 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@H](C)O[C@@H](C3CC3)C2)[nH]1 ZINC000651762709 418409019 /nfs/dbraw/zinc/40/90/19/418409019.db2.gz LILZDKHKELCWQW-CMPLNLGQSA-N 0 2 321.381 0.245 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCCC[C@@H]2CCO)[nH]1 ZINC000651778226 418409989 /nfs/dbraw/zinc/40/99/89/418409989.db2.gz PVDCFJBKQRATML-GFCCVEGCSA-N 0 2 323.397 0.373 20 0 DCADLN COC(=O)c1ccc(C)c(S(=O)(=O)Nc2ncn(C)n2)c1 ZINC000451033042 287454545 /nfs/dbraw/zinc/45/45/45/287454545.db2.gz WQBBVDKPUCBTKD-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN C[C@@H]1CN(C(=O)C2=NN(c3ccc(F)cc3)CC2=O)CC(=O)N1 ZINC000453042231 287491510 /nfs/dbraw/zinc/49/15/10/287491510.db2.gz MEJZPXROVBXMSP-SECBINFHSA-N 0 2 318.308 0.678 20 0 DCADLN CNC(=O)Oc1cccc(NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000495639725 287598596 /nfs/dbraw/zinc/59/85/96/287598596.db2.gz PHZHGYJNECUCRW-UHFFFAOYSA-N 0 2 323.334 0.959 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NCCn2cccc2)s[nH]1 ZINC000355606146 261353022 /nfs/dbraw/zinc/35/30/22/261353022.db2.gz KZRPJTUZHIIIEW-UHFFFAOYSA-N 0 2 321.406 0.814 20 0 DCADLN Cc1cc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n(C)n1 ZINC000356164132 261398511 /nfs/dbraw/zinc/39/85/11/261398511.db2.gz MLLFUBUFNUXWTH-UHFFFAOYSA-N 0 2 314.305 0.408 20 0 DCADLN CCn1cc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)cn1 ZINC000358169716 261643320 /nfs/dbraw/zinc/64/33/20/261643320.db2.gz IBPPPHOVFBFMLY-UHFFFAOYSA-N 0 2 316.368 0.378 20 0 DCADLN O=C(CNC(=O)c1cccc(Cl)c1)NCc1n[nH]c(=O)[nH]1 ZINC000358352610 261660047 /nfs/dbraw/zinc/66/00/47/261660047.db2.gz IHZQIPYBKFHLSB-UHFFFAOYSA-N 0 2 309.713 0.210 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc3c(c2)oc(=O)n3C)o1 ZINC000358902343 261712492 /nfs/dbraw/zinc/71/24/92/261712492.db2.gz JRIONGLIAVXIJV-UHFFFAOYSA-N 0 2 310.291 0.624 20 0 DCADLN CCCN(CC(=O)NC)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355618164 271016840 /nfs/dbraw/zinc/01/68/40/271016840.db2.gz OCDCOTRMTZAVOI-UHFFFAOYSA-N 0 2 305.338 0.272 20 0 DCADLN CNC(=O)COc1ccc(NS(=O)(=O)c2c[nH]cn2)c(C)c1 ZINC000358494921 271053008 /nfs/dbraw/zinc/05/30/08/271053008.db2.gz KTSSJHQOTSYGKF-UHFFFAOYSA-N 0 2 324.362 0.644 20 0 DCADLN O=S(=O)(NCc1noc(C2CC2)n1)NCC(F)(F)F ZINC000443041060 271694579 /nfs/dbraw/zinc/69/45/79/271694579.db2.gz ARIJGRBDZOQFOK-UHFFFAOYSA-N 0 2 300.262 0.433 20 0 DCADLN COC(=O)C1(CNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC1 ZINC000487186835 272038780 /nfs/dbraw/zinc/03/87/80/272038780.db2.gz CJESLUJDRNANCJ-UHFFFAOYSA-N 0 2 317.301 0.713 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)/C=C\c2ccc[nH]2)s1 ZINC000492013973 272112946 /nfs/dbraw/zinc/11/29/46/272112946.db2.gz GRNOFNLIKRZTLZ-PLNGDYQASA-N 0 2 313.364 0.890 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C\c1ccncc1 ZINC000492090972 272115455 /nfs/dbraw/zinc/11/54/55/272115455.db2.gz CCZHMQIQVINEMW-PLNGDYQASA-N 0 2 320.374 0.950 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C\c1cncc(F)c1 ZINC000493414885 272187335 /nfs/dbraw/zinc/18/73/35/272187335.db2.gz FQEYOKTZBBIQQW-ARJAWSKDSA-N 0 2 324.337 0.781 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C\C2CCOCC2)cnn1C ZINC000493435592 272188838 /nfs/dbraw/zinc/18/88/38/272188838.db2.gz UGSCRGAKXRAHMJ-ARJAWSKDSA-N 0 2 313.379 0.516 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)C1(COC)CC1 ZINC000493450081 272189626 /nfs/dbraw/zinc/18/96/26/272189626.db2.gz HVWJOQCVSTZBBQ-PLNGDYQASA-N 0 2 313.379 0.541 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C/c1ccc[nH]1 ZINC000493459289 272190779 /nfs/dbraw/zinc/19/07/79/272190779.db2.gz VPYYQEUMTOMLIQ-VOTSOKGWSA-N 0 2 308.363 0.883 20 0 DCADLN CONC(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC000494349788 272219069 /nfs/dbraw/zinc/21/90/69/272219069.db2.gz PCSCZCAVBWJTNK-JTQLQIEISA-N 0 2 303.359 0.330 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NOCC1CC1 ZINC000496423541 272313310 /nfs/dbraw/zinc/31/33/10/272313310.db2.gz GRCNWNKMYQWASW-UHFFFAOYSA-N 0 2 301.343 0.085 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)OC[C@@H]1CNC(=O)O1 ZINC000497262063 272379374 /nfs/dbraw/zinc/37/93/74/272379374.db2.gz HGJSCAMSDXTWCS-BQBZGAKWSA-N 0 2 312.244 0.341 20 0 DCADLN COCCOC1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000530881075 272750351 /nfs/dbraw/zinc/75/03/51/272750351.db2.gz IRWYKMGHQLNGSD-UHFFFAOYSA-N 0 2 319.317 0.517 20 0 DCADLN O=C(N=c1nc(-c2cccnc2)[nH]s1)[C@@H]1CCS(=O)(=O)C1 ZINC000535985665 287848624 /nfs/dbraw/zinc/84/86/24/287848624.db2.gz AIUIQFSJNYHJPH-SECBINFHSA-N 0 2 324.387 0.395 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)[C@H]1C[N@H+](C)CCO1 ZINC000549728844 288202577 /nfs/dbraw/zinc/20/25/77/288202577.db2.gz CVQRVLZSBZGULQ-GFCCVEGCSA-N 0 2 317.349 0.755 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)[C@H]1C[N@@H+](C)CCO1 ZINC000549728844 288202578 /nfs/dbraw/zinc/20/25/78/288202578.db2.gz CVQRVLZSBZGULQ-GFCCVEGCSA-N 0 2 317.349 0.755 20 0 DCADLN CC(C)(CCNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C(=O)[O-] ZINC000564842391 288775612 /nfs/dbraw/zinc/77/56/12/288775612.db2.gz GCYBIPVZSOWACQ-UHFFFAOYSA-N 0 2 318.333 0.890 20 0 DCADLN Cc1cnn(CCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC000565364252 288846924 /nfs/dbraw/zinc/84/69/24/288846924.db2.gz LJBOZZFHXLYGEY-NSHDSACASA-N 0 2 304.354 0.812 20 0 DCADLN COC[C@](C)(O)C(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000565960327 288891020 /nfs/dbraw/zinc/89/10/20/288891020.db2.gz BOWUJAPISKSMJV-AWEZNQCLSA-N 0 2 306.322 0.822 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@]2(CCCOC2)O1 ZINC000366591600 297029729 /nfs/dbraw/zinc/02/97/29/297029729.db2.gz OEKOXEGDUUSHNL-WBMJQRKESA-N 0 2 318.377 0.793 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@]2(CCCOC2)O1 ZINC000366591600 297029730 /nfs/dbraw/zinc/02/97/30/297029730.db2.gz OEKOXEGDUUSHNL-WBMJQRKESA-N 0 2 318.377 0.793 20 0 DCADLN COc1cc(NS(=O)(=O)c2cn[nH]c2)ccc1NC(N)=O ZINC000353697973 295003224 /nfs/dbraw/zinc/00/32/24/295003224.db2.gz UYAFVLNDBKDNOD-UHFFFAOYSA-N 0 2 311.323 0.710 20 0 DCADLN Cc1cc(C(=O)N2CCOCC23CC3)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000328714194 301102441 /nfs/dbraw/zinc/10/24/41/301102441.db2.gz CFWXMTKCXDFUDJ-UHFFFAOYSA-N 0 2 316.317 0.749 20 0 DCADLN COCc1nnc(N2CC[NH+](C(C)(C)C(=O)[O-])CC2)s1 ZINC000583206962 337271192 /nfs/dbraw/zinc/27/11/92/337271192.db2.gz IYNSJMAMFQRLDO-UHFFFAOYSA-N 0 2 300.384 0.670 20 0 DCADLN C[C@@](O)(CNc1cc(N2CCCC[C@H]2CO)nc[nH+]1)C(=O)[O-] ZINC000584616969 337373006 /nfs/dbraw/zinc/37/30/06/337373006.db2.gz RTBCKDUXGAOHJG-IINYFYTJSA-N 0 2 310.354 0.075 20 0 DCADLN C[C@@](O)(CNc1cc(N2CCCC[C@H]2CO)[nH+]cn1)C(=O)[O-] ZINC000584616969 337373007 /nfs/dbraw/zinc/37/30/07/337373007.db2.gz RTBCKDUXGAOHJG-IINYFYTJSA-N 0 2 310.354 0.075 20 0 DCADLN CCNC(=O)[C@H](C)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000267817075 521745368 /nfs/dbraw/zinc/74/53/68/521745368.db2.gz HAPLPMNSTZFNAH-VIFPVBQESA-N 0 2 320.324 0.971 20 0 DCADLN COC[C@@H](O)CCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000161615793 522394154 /nfs/dbraw/zinc/39/41/54/522394154.db2.gz QJDFTFVMFMBNOZ-LBPRGKRZSA-N 0 2 305.334 0.705 20 0 DCADLN CS[C@@H](CO)[C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000269132603 525819329 /nfs/dbraw/zinc/81/93/29/525819329.db2.gz HVCYWSZHAVXJLQ-RCOVLWMOSA-N 0 2 324.362 0.278 20 0 DCADLN CC1CCC(N2C[C@@H](C(=O)NCc3n[nH]c(=O)[nH]3)CC2=O)CC1 ZINC000331736328 528196495 /nfs/dbraw/zinc/19/64/95/528196495.db2.gz VCIWPNAXTXXADL-YVNMAJEFSA-N 0 2 321.381 0.554 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCOC[C@H](O)C2)c1 ZINC000424870854 536921557 /nfs/dbraw/zinc/92/15/57/536921557.db2.gz VKWMQUVUNNRTJE-WSVYEEACSA-N 0 2 313.375 0.023 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCC[C@@H](CO)C1 ZINC000666191008 546434776 /nfs/dbraw/zinc/43/47/76/546434776.db2.gz TVBUKHNARGILGH-SNVBAGLBSA-N 0 2 303.318 0.874 20 0 DCADLN CC(C)Cn1ncc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)cnc21 ZINC000673948932 547545642 /nfs/dbraw/zinc/54/56/42/547545642.db2.gz GBBZOJKJQSQFEW-UHFFFAOYSA-N 0 2 315.337 0.841 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(F)ccc1N1CCOCC1 ZINC000674718981 547619543 /nfs/dbraw/zinc/61/95/43/547619543.db2.gz KWFGBZKZNQSLSA-UHFFFAOYSA-N 0 2 321.312 0.416 20 0 DCADLN COCCOCc1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000674765367 547624592 /nfs/dbraw/zinc/62/45/92/547624592.db2.gz GSEKSNAEYJABMV-UHFFFAOYSA-N 0 2 321.337 0.995 20 0 DCADLN CCC[C@@H](NC(=O)CCSc1n[nH]c(C)n1)c1nn[nH]n1 ZINC000675144646 547665222 /nfs/dbraw/zinc/66/52/22/547665222.db2.gz SBTRIBQIDFEEJP-MRVPVSSYSA-N 0 2 310.387 0.766 20 0 DCADLN CCC[C@@H](NC(=O)CCSc1nnc(C)[nH]1)c1nn[nH]n1 ZINC000675144646 547665226 /nfs/dbraw/zinc/66/52/26/547665226.db2.gz SBTRIBQIDFEEJP-MRVPVSSYSA-N 0 2 310.387 0.766 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N[C@H](C)c1ccccc1OC ZINC000676230368 547747020 /nfs/dbraw/zinc/74/70/20/547747020.db2.gz BYROFGWILYBBOR-GFCCVEGCSA-N 0 2 324.377 0.905 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N[C@H](C)c1ccccc1OC ZINC000676230368 547747023 /nfs/dbraw/zinc/74/70/23/547747023.db2.gz BYROFGWILYBBOR-GFCCVEGCSA-N 0 2 324.377 0.905 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231073 547747526 /nfs/dbraw/zinc/74/75/26/547747526.db2.gz GPVIALVGEXVBEY-ZDUSSCGKSA-N 0 2 310.325 0.570 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231073 547747529 /nfs/dbraw/zinc/74/75/29/547747529.db2.gz GPVIALVGEXVBEY-ZDUSSCGKSA-N 0 2 310.325 0.570 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@H](OCC[NH+]3CCCC3)C2)c1[O-] ZINC000676905002 547832573 /nfs/dbraw/zinc/83/25/73/547832573.db2.gz OQEZNNWJPCQUTK-LBPRGKRZSA-N 0 2 308.382 0.751 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NC[C@@H](C)S(C)(=O)=O)c2=O ZINC000677220922 547855925 /nfs/dbraw/zinc/85/59/25/547855925.db2.gz PRBYUXBIJOWHJD-SECBINFHSA-N 0 2 323.374 0.807 20 0 DCADLN Cc1cc(C(=O)N(CCCO)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000677937415 547924286 /nfs/dbraw/zinc/92/42/86/547924286.db2.gz PQWFRDJSVYPIMB-UHFFFAOYSA-N 0 2 318.333 0.731 20 0 DCADLN CCc1cccc2c1N(C(=O)C(=O)NCc1n[nH]c(=O)[nH]1)CC2 ZINC000679511809 548063848 /nfs/dbraw/zinc/06/38/48/548063848.db2.gz DJXAYGUNFZVRGJ-UHFFFAOYSA-N 0 2 315.333 0.278 20 0 DCADLN CCN1C[C@H](C[N@H+](C)CC(=O)NCC(=O)[O-])Oc2ccccc21 ZINC000679656927 548080682 /nfs/dbraw/zinc/08/06/82/548080682.db2.gz SWQMEWPBYBLZHM-LBPRGKRZSA-N 0 2 321.377 0.407 20 0 DCADLN CCN1C[C@H](C[N@@H+](C)CC(=O)NCC(=O)[O-])Oc2ccccc21 ZINC000679656927 548080687 /nfs/dbraw/zinc/08/06/87/548080687.db2.gz SWQMEWPBYBLZHM-LBPRGKRZSA-N 0 2 321.377 0.407 20 0 DCADLN NC(=O)C1(NC(=O)c2cc(F)c(O)c(F)c2)CCOCC1 ZINC000680817925 548170632 /nfs/dbraw/zinc/17/06/32/548170632.db2.gz WPGTWPIWLWDKAF-UHFFFAOYSA-N 0 2 300.261 0.435 20 0 DCADLN O=C(Cn1cnc2c1CCCC2)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000681221766 548218115 /nfs/dbraw/zinc/21/81/15/548218115.db2.gz BBHUCVAIRSZEHT-NSHDSACASA-N 0 2 315.381 0.681 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cnn(CC(F)(F)F)c1 ZINC000682609211 548408841 /nfs/dbraw/zinc/40/88/41/548408841.db2.gz HMVKXHLZMFHQGK-UHFFFAOYSA-N 0 2 318.259 0.632 20 0 DCADLN CN(C)c1cccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)c1 ZINC000683142754 548453612 /nfs/dbraw/zinc/45/36/12/548453612.db2.gz QYYWIUAUDQGZGN-UHFFFAOYSA-N 0 2 309.351 0.375 20 0 DCADLN CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000684759995 548646163 /nfs/dbraw/zinc/64/61/63/548646163.db2.gz SHADDNXVQGPDIO-SECBINFHSA-N 0 2 318.337 0.867 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)NC1CCC2(CC1)OCCO2 ZINC000817772309 597471789 /nfs/dbraw/zinc/47/17/89/597471789.db2.gz SNXZXMUTHXCVTB-SNVBAGLBSA-N 0 2 300.355 0.193 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NC1CCC2(CC1)OCCO2 ZINC000817772309 597471790 /nfs/dbraw/zinc/47/17/90/597471790.db2.gz SNXZXMUTHXCVTB-SNVBAGLBSA-N 0 2 300.355 0.193 20 0 DCADLN Cc1ccc(NC(=O)CN(C)C(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])cc1 ZINC000818905100 597474181 /nfs/dbraw/zinc/47/41/81/597474181.db2.gz QRUYPAFABGXBOI-GFCCVEGCSA-N 0 2 321.377 0.797 20 0 DCADLN Cc1ccc(NC(=O)CN(C)C(=O)C[N@H+](C)[C@H](C)C(=O)[O-])cc1 ZINC000818905100 597474183 /nfs/dbraw/zinc/47/41/83/597474183.db2.gz QRUYPAFABGXBOI-GFCCVEGCSA-N 0 2 321.377 0.797 20 0 DCADLN Cc1cccn2cc(C[S@@](=O)CC(=O)NCC(=O)[O-])[nH+]c12 ZINC000821125237 597736805 /nfs/dbraw/zinc/73/68/05/597736805.db2.gz BHIAWSFLIRDYHA-OAQYLSRUSA-N 0 2 309.347 0.092 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])Cc1ccc(Br)o1 ZINC000820562681 597767557 /nfs/dbraw/zinc/76/75/57/597767557.db2.gz QWEZLGXBJCZQOR-UHFFFAOYSA-N 0 2 305.128 0.675 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])Cc1ccc(Br)o1 ZINC000820562681 597767555 /nfs/dbraw/zinc/76/75/55/597767555.db2.gz QWEZLGXBJCZQOR-UHFFFAOYSA-N 0 2 305.128 0.675 20 0 DCADLN CC(C)(C)OC(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC000819922208 597783652 /nfs/dbraw/zinc/78/36/52/597783652.db2.gz ZXYNNTMSSZCRER-SNVBAGLBSA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC(=O)[O-] ZINC000819922208 597783655 /nfs/dbraw/zinc/78/36/55/597783655.db2.gz ZXYNNTMSSZCRER-SNVBAGLBSA-N 0 2 315.370 0.176 20 0 DCADLN CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+](C)CC(=O)[O-])CC1 ZINC000820364771 598039477 /nfs/dbraw/zinc/03/94/77/598039477.db2.gz WDYFJRAGJINTIR-SNVBAGLBSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@H+](C)CC(=O)[O-])CC1 ZINC000820364771 598039473 /nfs/dbraw/zinc/03/94/73/598039473.db2.gz WDYFJRAGJINTIR-SNVBAGLBSA-N 0 2 300.355 0.193 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)NC[C@@H](O)C[NH+]1CCOCC1 ZINC000821415359 598179211 /nfs/dbraw/zinc/17/92/11/598179211.db2.gz JZWIMWMKYGGWQM-LLVKDONJSA-N 0 2 323.349 0.199 20 0 DCADLN CC(C)NC(=O)CN1CCN(c2cc(C(=O)[O-])cc[nH+]2)CC1 ZINC000820029816 598218444 /nfs/dbraw/zinc/21/84/44/598218444.db2.gz PGCIFHZBUAKOQN-UHFFFAOYSA-N 0 2 306.366 0.426 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1cccc(-c2nn[nH]n2)c1 ZINC000736730155 598438221 /nfs/dbraw/zinc/43/82/21/598438221.db2.gz BKRSEMVZUSNNCL-UHFFFAOYSA-N 0 2 319.350 0.884 20 0 DCADLN Cc1ccc(C)c(OCC[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC000315434743 599982746 /nfs/dbraw/zinc/98/27/46/599982746.db2.gz PPPBMPAVVAXRSQ-CYBMUJFWSA-N 0 2 306.362 0.957 20 0 DCADLN Cc1ccc(C)c(OCC[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC000315434743 599982748 /nfs/dbraw/zinc/98/27/48/599982748.db2.gz PPPBMPAVVAXRSQ-CYBMUJFWSA-N 0 2 306.362 0.957 20 0 DCADLN COC(=O)CN(CC(=O)[O-])C(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000737605140 600007548 /nfs/dbraw/zinc/00/75/48/600007548.db2.gz KFHIDDXKNQVAHF-UHFFFAOYSA-N 0 2 319.317 0.271 20 0 DCADLN CCCCCC[C@@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736982832 600230882 /nfs/dbraw/zinc/23/08/82/600230882.db2.gz CWSHNRYQAQLNIN-UPJWGTAASA-N 0 2 300.399 0.981 20 0 DCADLN CCCCCC[C@@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736982832 600230883 /nfs/dbraw/zinc/23/08/83/600230883.db2.gz CWSHNRYQAQLNIN-UPJWGTAASA-N 0 2 300.399 0.981 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H]1CCC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736241820 600538818 /nfs/dbraw/zinc/53/88/18/600538818.db2.gz UTHUCFOJXIVZDP-NSHDSACASA-N 0 2 323.397 0.808 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H]1CCC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736241820 600538821 /nfs/dbraw/zinc/53/88/21/600538821.db2.gz UTHUCFOJXIVZDP-NSHDSACASA-N 0 2 323.397 0.808 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H]1CCC[C@H](C(=O)[O-])C1 ZINC000736580980 600668708 /nfs/dbraw/zinc/66/87/08/600668708.db2.gz GRLJTIYLWCIWOJ-RDBSUJKOSA-N 0 2 311.426 0.630 20 0 DCADLN COCCn1cnn(C[N@@H+]2CCC[C@H](C(=O)[O-])C2)c1=S ZINC000737828895 600716041 /nfs/dbraw/zinc/71/60/41/600716041.db2.gz BRWXAKNIQIBDGM-JTQLQIEISA-N 0 2 300.384 0.815 20 0 DCADLN COCCn1cnn(C[N@H+]2CCC[C@H](C(=O)[O-])C2)c1=S ZINC000737828895 600716044 /nfs/dbraw/zinc/71/60/44/600716044.db2.gz BRWXAKNIQIBDGM-JTQLQIEISA-N 0 2 300.384 0.815 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+](C)[C@H]1CCCCNC1=O)C(=O)[O-] ZINC000828707661 600995536 /nfs/dbraw/zinc/99/55/36/600995536.db2.gz HRHBUCVSBUNGKN-GMXVVIOVSA-N 0 2 313.398 0.202 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+](C)[C@H]1CCCCNC1=O)C(=O)[O-] ZINC000828707661 600995537 /nfs/dbraw/zinc/99/55/37/600995537.db2.gz HRHBUCVSBUNGKN-GMXVVIOVSA-N 0 2 313.398 0.202 20 0 DCADLN CCc1nc(C2([NH2+]CCC(=O)NCC(=O)[O-])CCCC2)no1 ZINC000737282095 601107496 /nfs/dbraw/zinc/10/74/96/601107496.db2.gz SKWBVBLFVHBXHZ-UHFFFAOYSA-N 0 2 310.354 0.582 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(C(=O)[O-])cn2)C[C@@H]1[NH+]1CCOCC1 ZINC000736805160 601109135 /nfs/dbraw/zinc/10/91/35/601109135.db2.gz APBKJTQKHRVHHK-FZMZJTMJSA-N 0 2 319.361 0.573 20 0 DCADLN Cn1cc(N2CCC[C@@H]([N@@H+]3CC[C@](F)(C(=O)[O-])C3)C2=O)cn1 ZINC000833047023 601436132 /nfs/dbraw/zinc/43/61/32/601436132.db2.gz ZDHKZHUNDABBFH-BXUZGUMPSA-N 0 2 310.329 0.414 20 0 DCADLN Cn1cc(N2CCC[C@@H]([N@H+]3CC[C@](F)(C(=O)[O-])C3)C2=O)cn1 ZINC000833047023 601436133 /nfs/dbraw/zinc/43/61/33/601436133.db2.gz ZDHKZHUNDABBFH-BXUZGUMPSA-N 0 2 310.329 0.414 20 0 DCADLN CN(C)C(=O)[C@H](c1ccccc1)[N@@H+]1CCO[C@H](CC(=O)[O-])C1 ZINC000830542980 601458796 /nfs/dbraw/zinc/45/87/96/601458796.db2.gz STMCZUZXAVNREJ-HIFRSBDPSA-N 0 2 306.362 0.991 20 0 DCADLN CN(C)C(=O)[C@H](c1ccccc1)[N@H+]1CCO[C@H](CC(=O)[O-])C1 ZINC000830542980 601458798 /nfs/dbraw/zinc/45/87/98/601458798.db2.gz STMCZUZXAVNREJ-HIFRSBDPSA-N 0 2 306.362 0.991 20 0 DCADLN C[C@H](CS(=O)(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)C(=O)[O-] ZINC000827543157 601801434 /nfs/dbraw/zinc/80/14/34/601801434.db2.gz ZAVVDFKLWSFUOC-ZJUUUORDSA-N 0 2 301.368 0.640 20 0 DCADLN O=C([O-])c1sccc1C[N@@H+]1CCO[C@@H](Cn2cncn2)C1 ZINC000833348956 601825168 /nfs/dbraw/zinc/82/51/68/601825168.db2.gz WOFHOYZVEYBMLM-LLVKDONJSA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])c1sccc1C[N@H+]1CCO[C@@H](Cn2cncn2)C1 ZINC000833348956 601825171 /nfs/dbraw/zinc/82/51/71/601825171.db2.gz WOFHOYZVEYBMLM-LLVKDONJSA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])Cc1cccc(NC(=O)C(=O)NCCn2cc[nH+]c2)c1 ZINC000738621139 602117472 /nfs/dbraw/zinc/11/74/72/602117472.db2.gz PVHUJWNJYNKGBY-UHFFFAOYSA-N 0 2 316.317 0.265 20 0 DCADLN Cn1cc(NC(=O)NCC[N@@H+]2CCOCC2(C)C)cc1C(=O)[O-] ZINC000833050479 602370939 /nfs/dbraw/zinc/37/09/39/602370939.db2.gz GXCRZHNRQKCQOX-UHFFFAOYSA-N 0 2 324.381 0.956 20 0 DCADLN Cn1cc(NC(=O)NCC[N@H+]2CCOCC2(C)C)cc1C(=O)[O-] ZINC000833050479 602370942 /nfs/dbraw/zinc/37/09/42/602370942.db2.gz GXCRZHNRQKCQOX-UHFFFAOYSA-N 0 2 324.381 0.956 20 0 DCADLN Cn1cc(NC(=O)NCC[N@@H+]2CCOC(C)(C)C2)cc1C(=O)[O-] ZINC000833050034 602379292 /nfs/dbraw/zinc/37/92/92/602379292.db2.gz DIDFPYLGOIYSDM-UHFFFAOYSA-N 0 2 324.381 0.956 20 0 DCADLN Cn1cc(NC(=O)NCC[N@H+]2CCOC(C)(C)C2)cc1C(=O)[O-] ZINC000833050034 602379294 /nfs/dbraw/zinc/37/92/94/602379294.db2.gz DIDFPYLGOIYSDM-UHFFFAOYSA-N 0 2 324.381 0.956 20 0 DCADLN O=C([O-])NCC[NH+]1CCN(Cc2cn3ccccc3n2)CC1 ZINC000832641722 603452573 /nfs/dbraw/zinc/45/25/73/603452573.db2.gz GYCAYUNTFIQJRB-UHFFFAOYSA-N 0 2 303.366 0.720 20 0 DCADLN COCc1ccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])o1 ZINC000829039804 603512171 /nfs/dbraw/zinc/51/21/71/603512171.db2.gz BXKIYLMTDCDUEM-SNVBAGLBSA-N 0 2 311.338 0.450 20 0 DCADLN COCc1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])o1 ZINC000829039804 603512175 /nfs/dbraw/zinc/51/21/75/603512175.db2.gz BXKIYLMTDCDUEM-SNVBAGLBSA-N 0 2 311.338 0.450 20 0 DCADLN C[C@@H](CNC(=O)N1CC[C@H](N(C)C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000824930723 603619541 /nfs/dbraw/zinc/61/95/41/603619541.db2.gz QAGCBYBJFUMVIE-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H](NC(=O)C(C)(C)CNC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000825068138 603659768 /nfs/dbraw/zinc/65/97/68/603659768.db2.gz YDZQRGATZATNQW-QWRGUYRKSA-N 0 2 301.387 0.506 20 0 DCADLN Cc1cn2cc(NC(=O)[C@H]3C[C@H](O)CN3C(=O)[O-])ccc2[nH+]1 ZINC000830619825 603731360 /nfs/dbraw/zinc/73/13/60/603731360.db2.gz HWSZRGRUWOJFDH-WDEREUQCSA-N 0 2 304.306 0.694 20 0 DCADLN C[C@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000826102134 603797294 /nfs/dbraw/zinc/79/72/94/603797294.db2.gz URJRCALEDLPRJC-MWLCHTKSSA-N 0 2 308.338 0.770 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@@H+]3CC[C@@H](N(C)C(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073408 603818731 /nfs/dbraw/zinc/81/87/31/603818731.db2.gz QQOKPPQVPDKNET-VXGBXAGGSA-N 0 2 321.381 0.518 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@H+]3CC[C@@H](N(C)C(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073408 603818733 /nfs/dbraw/zinc/81/87/33/603818733.db2.gz QQOKPPQVPDKNET-VXGBXAGGSA-N 0 2 321.381 0.518 20 0 DCADLN C[C@]1(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)CCN(C(=O)[O-])C1 ZINC000825735148 603905581 /nfs/dbraw/zinc/90/55/81/603905581.db2.gz WAECBNBIEARNRK-HNNXBMFYSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@]1(C(=O)NCC[N@H+]2CCOC(C)(C)C2)CCN(C(=O)[O-])C1 ZINC000825735148 603905585 /nfs/dbraw/zinc/90/55/85/603905585.db2.gz WAECBNBIEARNRK-HNNXBMFYSA-N 0 2 313.398 0.603 20 0 DCADLN CCCC[NH+]1CC(S(=O)(=O)N2CCN(C(=O)[O-])CC2)C1 ZINC000826830637 603918247 /nfs/dbraw/zinc/91/82/47/603918247.db2.gz RIZAIKURFHMLJX-UHFFFAOYSA-N 0 2 305.400 0.096 20 0 DCADLN CC(C)N1C(=O)CN(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])C1=O ZINC000824350132 603923744 /nfs/dbraw/zinc/92/37/44/603923744.db2.gz BCXHLFFTBWYHDU-NEPJUHHUSA-N 0 2 324.381 0.833 20 0 DCADLN CC(C)N1C(=O)CN(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])C1=O ZINC000824350132 603923746 /nfs/dbraw/zinc/92/37/46/603923746.db2.gz BCXHLFFTBWYHDU-NEPJUHHUSA-N 0 2 324.381 0.833 20 0 DCADLN C[C@@H](CNC(=O)NCC(C)(C)NC(=O)[O-])[NH+]1CCOCC1 ZINC000824939698 603991085 /nfs/dbraw/zinc/99/10/85/603991085.db2.gz OCCDJQYDVMHHHH-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1CCCN(C(=O)NCCn2cc[nH+]c2)C1 ZINC000825229022 604037795 /nfs/dbraw/zinc/03/77/95/604037795.db2.gz OSZUCCUNHJLWAH-NEPJUHHUSA-N 0 2 309.370 0.961 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CCN(C(=O)[O-])[C@@H](C)C2)CCO1 ZINC000826059600 604083553 /nfs/dbraw/zinc/08/35/53/604083553.db2.gz XOTGABCZUSYFEK-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CCN(C(=O)[O-])[C@@H](C)C2)CCO1 ZINC000826059600 604083557 /nfs/dbraw/zinc/08/35/57/604083557.db2.gz XOTGABCZUSYFEK-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN O=C([O-])N1CCO[C@H](CC(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000831388985 604305899 /nfs/dbraw/zinc/30/58/99/604305899.db2.gz YCZKLTNTYPADMH-GFCCVEGCSA-N 0 2 318.333 0.719 20 0 DCADLN C[C@H](C(=O)NC1CCCC1)N1CC[NH+](CCNC(=O)[O-])CC1 ZINC000823685909 604362410 /nfs/dbraw/zinc/36/24/10/604362410.db2.gz NQYZGAMTFDWIOB-GFCCVEGCSA-N 0 2 312.414 0.319 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(Cl)cn2)[C@H](CNC(=O)[O-])C1 ZINC000828513391 604396649 /nfs/dbraw/zinc/39/66/49/604396649.db2.gz JSHGWNNEWKXQJH-SNVBAGLBSA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(Cl)cn2)[C@H](CNC(=O)[O-])C1 ZINC000828513391 604396653 /nfs/dbraw/zinc/39/66/53/604396653.db2.gz JSHGWNNEWKXQJH-SNVBAGLBSA-N 0 2 312.757 0.759 20 0 DCADLN C[N@@H+]1CCN(C(=O)COC2CCCCC2)[C@@H](CNC(=O)[O-])C1 ZINC000828500227 604398065 /nfs/dbraw/zinc/39/80/65/604398065.db2.gz HMNQAYUSHVZUAE-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN C[N@H+]1CCN(C(=O)COC2CCCCC2)[C@@H](CNC(=O)[O-])C1 ZINC000828500227 604398067 /nfs/dbraw/zinc/39/80/67/604398067.db2.gz HMNQAYUSHVZUAE-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN COc1cccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000829537139 604407068 /nfs/dbraw/zinc/40/70/68/604407068.db2.gz GKKPTXDRJHRNPX-JTQLQIEISA-N 0 2 308.338 0.114 20 0 DCADLN COc1cccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])n1 ZINC000829537139 604407069 /nfs/dbraw/zinc/40/70/69/604407069.db2.gz GKKPTXDRJHRNPX-JTQLQIEISA-N 0 2 308.338 0.114 20 0 DCADLN C[C@H](CCCO)NC(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000824778168 604414219 /nfs/dbraw/zinc/41/42/19/604414219.db2.gz TYCFPSRYQQELCE-LLVKDONJSA-N 0 2 301.387 0.338 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[NH+]1CCC([C@H](O)C(F)(F)F)CC1 ZINC000826001176 604498975 /nfs/dbraw/zinc/49/89/75/604498975.db2.gz SZXOMJVSBJMDKU-XVKPBYJWSA-N 0 2 312.288 0.211 20 0 DCADLN CC[N@H+](CC(=O)NCc1ccccc1OC)C1CN(C(=O)[O-])C1 ZINC000827009123 604557742 /nfs/dbraw/zinc/55/77/42/604557742.db2.gz DNIIHMCRZGGPFV-UHFFFAOYSA-N 0 2 321.377 0.996 20 0 DCADLN CC[N@@H+](CC(=O)NCc1ccccc1OC)C1CN(C(=O)[O-])C1 ZINC000827009123 604557744 /nfs/dbraw/zinc/55/77/44/604557744.db2.gz DNIIHMCRZGGPFV-UHFFFAOYSA-N 0 2 321.377 0.996 20 0 DCADLN CC[N@H+](CC(=O)NCc1cccc(OC)c1)C1CN(C(=O)[O-])C1 ZINC000827009320 604558760 /nfs/dbraw/zinc/55/87/60/604558760.db2.gz IXQAOVQJAXIQAJ-UHFFFAOYSA-N 0 2 321.377 0.996 20 0 DCADLN CC[N@@H+](CC(=O)NCc1cccc(OC)c1)C1CN(C(=O)[O-])C1 ZINC000827009320 604558765 /nfs/dbraw/zinc/55/87/65/604558765.db2.gz IXQAOVQJAXIQAJ-UHFFFAOYSA-N 0 2 321.377 0.996 20 0 DCADLN C[C@@H](Oc1ccc(C[N@@H+]2CCO[C@H](C(N)=O)C2)cc1)C(=O)[O-] ZINC000833538746 604622420 /nfs/dbraw/zinc/62/24/20/604622420.db2.gz FOTMHVQXVVSSTQ-MFKMUULPSA-N 0 2 308.334 0.225 20 0 DCADLN C[C@@H](Oc1ccc(C[N@H+]2CCO[C@H](C(N)=O)C2)cc1)C(=O)[O-] ZINC000833538746 604622421 /nfs/dbraw/zinc/62/24/21/604622421.db2.gz FOTMHVQXVVSSTQ-MFKMUULPSA-N 0 2 308.334 0.225 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC000833498845 604687247 /nfs/dbraw/zinc/68/72/47/604687247.db2.gz IFVQKAZGXOIWIW-UHFFFAOYSA-N 0 2 313.379 0.495 20 0 DCADLN O=C([O-])N1CC[C@H](NC(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000831725758 604837329 /nfs/dbraw/zinc/83/73/29/604837329.db2.gz KBIRFWVPWCWZSR-NSHDSACASA-N 0 2 303.322 0.886 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+](C)[C@@H](COC(C)C)C(=O)[O-] ZINC000833656599 604920831 /nfs/dbraw/zinc/92/08/31/604920831.db2.gz FLCIINXCRGOXFG-UWVGGRQHSA-N 0 2 303.359 0.031 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)[C@@H](COC(C)C)C(=O)[O-] ZINC000833656599 604920832 /nfs/dbraw/zinc/92/08/32/604920832.db2.gz FLCIINXCRGOXFG-UWVGGRQHSA-N 0 2 303.359 0.031 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000833503849 605013412 /nfs/dbraw/zinc/01/34/12/605013412.db2.gz KSOCWXLIWFUDJX-YPMHNXCESA-N 0 2 309.366 0.782 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CSCCS1 ZINC000833767952 605077912 /nfs/dbraw/zinc/07/79/12/605077912.db2.gz VJOCASOADKWRHI-IUCAKERBSA-N 0 2 301.393 0.370 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CSCCS1 ZINC000833767952 605077919 /nfs/dbraw/zinc/07/79/19/605077919.db2.gz VJOCASOADKWRHI-IUCAKERBSA-N 0 2 301.393 0.370 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)CO[C@H]1CCCN(C(=O)[O-])C1 ZINC000825054673 605260898 /nfs/dbraw/zinc/26/08/98/605260898.db2.gz GTGMOSUAUBQYKA-RYUDHWBXSA-N 0 2 310.354 0.547 20 0 DCADLN COc1ccc(NC(=O)C[NH+]2CCC(NC(=O)[O-])CC2)cn1 ZINC000829454548 605573958 /nfs/dbraw/zinc/57/39/58/605573958.db2.gz ZUCYMEBQTXETON-UHFFFAOYSA-N 0 2 308.338 0.761 20 0 DCADLN Cc1c(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cnn1C(C)C ZINC000833896801 605706290 /nfs/dbraw/zinc/70/62/90/605706290.db2.gz OTGZLANBQAOADG-GFCCVEGCSA-N 0 2 323.397 0.796 20 0 DCADLN Cc1c(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cnn1C(C)C ZINC000833896801 605706295 /nfs/dbraw/zinc/70/62/95/605706295.db2.gz OTGZLANBQAOADG-GFCCVEGCSA-N 0 2 323.397 0.796 20 0 DCADLN CC(C)(C)[C@H](NC(=O)[O-])C(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000823839140 605751029 /nfs/dbraw/zinc/75/10/29/605751029.db2.gz NESAMOKYLWJELG-NWDGAFQWSA-N 0 2 313.398 0.602 20 0 DCADLN O=C([O-])N1CC[C@H](NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834014579 605964867 /nfs/dbraw/zinc/96/48/67/605964867.db2.gz DGQTWLNUAYQBDP-RYUDHWBXSA-N 0 2 319.365 0.712 20 0 DCADLN Cc1cccnc1CNC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000833911134 605968518 /nfs/dbraw/zinc/96/85/18/605968518.db2.gz UGNSNKWRRGGKQD-LBPRGKRZSA-N 0 2 321.381 0.483 20 0 DCADLN Cc1cccnc1CNC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000833911134 605968520 /nfs/dbraw/zinc/96/85/20/605968520.db2.gz UGNSNKWRRGGKQD-LBPRGKRZSA-N 0 2 321.381 0.483 20 0 DCADLN O=C([O-])N1CCOC[C@@H]1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000834016517 605975535 /nfs/dbraw/zinc/97/55/35/605975535.db2.gz DAKCXJGXAPTQBH-NWDGAFQWSA-N 0 2 320.349 0.155 20 0 DCADLN O=C([O-])N1CSC[C@@H]1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000834017760 605975540 /nfs/dbraw/zinc/97/55/40/605975540.db2.gz JUKHSKRSDOFJSC-WDEREUQCSA-N 0 2 322.390 0.829 20 0 DCADLN Cn1cc([C@H]2CCC[N@@H+]2CN2C[C@@H](NC(=O)[O-])CC2=O)cn1 ZINC000833947625 605994503 /nfs/dbraw/zinc/99/45/03/605994503.db2.gz OIIYFFAZJUOAOI-NWDGAFQWSA-N 0 2 307.354 0.383 20 0 DCADLN Cn1cc([C@H]2CCC[N@H+]2CN2C[C@@H](NC(=O)[O-])CC2=O)cn1 ZINC000833947625 605994505 /nfs/dbraw/zinc/99/45/05/605994505.db2.gz OIIYFFAZJUOAOI-NWDGAFQWSA-N 0 2 307.354 0.383 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CCCCN1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833959063 605994539 /nfs/dbraw/zinc/99/45/39/605994539.db2.gz NSSCYCZKVJCCNQ-NEPJUHHUSA-N 0 2 321.381 0.773 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@H+]1CCN1CCCS1(=O)=O ZINC000833829512 606045306 /nfs/dbraw/zinc/04/53/06/606045306.db2.gz RWZXQMYAAMEREJ-MNOVXSKESA-N 0 2 305.400 0.095 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@@H+]1CCN1CCCS1(=O)=O ZINC000833829512 606045312 /nfs/dbraw/zinc/04/53/12/606045312.db2.gz RWZXQMYAAMEREJ-MNOVXSKESA-N 0 2 305.400 0.095 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(N[C@H]2CCCC2(C)C)n(C)c1=O ZINC000822600019 606105683 /nfs/dbraw/zinc/10/56/83/606105683.db2.gz IQIUJECRUAAGAP-QMMMGPOBSA-N 0 2 319.369 0.255 20 0 DCADLN Cc1ccncc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)nc1 ZINC000822378599 606637017 /nfs/dbraw/zinc/63/70/17/606637017.db2.gz BWNFQAVFVCJUHU-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000822220091 606660734 /nfs/dbraw/zinc/66/07/34/606660734.db2.gz CYWVFOIEFDSPET-UHFFFAOYSA-N 0 2 315.297 0.321 20 0 DCADLN CC[C@@H](C)CSc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820870116 606799118 /nfs/dbraw/zinc/79/91/18/606799118.db2.gz NBDWHXKMIMQUFA-SSDOTTSWSA-N 0 2 310.383 0.402 20 0 DCADLN O=C(CCc1nc[nH]n1)OCc1ccnc(-c2nn[nH]n2)c1 ZINC000822986349 607132352 /nfs/dbraw/zinc/13/23/52/607132352.db2.gz DQELFDFSXWHYRW-UHFFFAOYSA-N 0 2 300.282 0.056 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001027785175 660726698 /nfs/dbraw/zinc/72/66/98/660726698.db2.gz OGTJPXCRNMNMMN-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN CCn1cc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)cn1 ZINC001027798199 660747250 /nfs/dbraw/zinc/74/72/50/660747250.db2.gz CSGHCBJZGNATJJ-NSHDSACASA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)cn1 ZINC001027798199 660747251 /nfs/dbraw/zinc/74/72/51/660747251.db2.gz CSGHCBJZGNATJJ-NSHDSACASA-N 0 2 319.369 0.121 20 0 DCADLN CCn1nccc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981047557 661070096 /nfs/dbraw/zinc/07/00/96/661070096.db2.gz QVYIBEBUCQOOSC-UHFFFAOYSA-N 0 2 319.369 0.075 20 0 DCADLN C[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCC1 ZINC000981425708 661151448 /nfs/dbraw/zinc/15/14/48/661151448.db2.gz PNOYAWZBYWUWLF-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN CO[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCC1 ZINC000981701617 661200667 /nfs/dbraw/zinc/20/06/67/661200667.db2.gz LNROALUNGKZRTI-CYBMUJFWSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(C1CCC(F)CC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032607068 665414748 /nfs/dbraw/zinc/41/47/48/665414748.db2.gz AGUIPYMKPJRTEZ-QQFIATSDSA-N 0 2 323.372 0.824 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCC12CC2 ZINC001031767877 665470832 /nfs/dbraw/zinc/47/08/32/665470832.db2.gz ZGKPEADFQYWABK-NSHDSACASA-N 0 2 305.382 0.639 20 0 DCADLN C[C@@H](NC(=O)C1CC2(CC2)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970398721 657474220 /nfs/dbraw/zinc/47/42/20/657474220.db2.gz LAMAFYZSUWYXOQ-SECBINFHSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1C[C@H]1C1CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970565481 657637994 /nfs/dbraw/zinc/63/79/94/657637994.db2.gz ALXTXBPIEOKCAS-JIMOISOXSA-N 0 2 319.409 0.883 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H]([NH2+][C@H]2CCCN(C)C2=O)C1 ZINC000970578683 657652540 /nfs/dbraw/zinc/65/25/40/657652540.db2.gz DFZHTCUOCCZAJO-OLZOCXBDSA-N 0 2 319.409 0.072 20 0 DCADLN Cc1cncc(C[NH+]2CC([C@H](C)NC(=O)c3nnc[nH]3)C2)c1 ZINC000970609386 657688076 /nfs/dbraw/zinc/68/80/76/657688076.db2.gz WPOMDIOAHYQRTK-NSHDSACASA-N 0 2 300.366 0.758 20 0 DCADLN Cc1cncc(C[NH+]2CC([C@H](C)NC(=O)c3ncn[nH]3)C2)c1 ZINC000970609386 657688085 /nfs/dbraw/zinc/68/80/85/657688085.db2.gz WPOMDIOAHYQRTK-NSHDSACASA-N 0 2 300.366 0.758 20 0 DCADLN Cc1nc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC000970640381 657728661 /nfs/dbraw/zinc/72/86/61/657728661.db2.gz OBCGCFAJSKINMI-ZETCQYMHSA-N 0 2 320.353 0.365 20 0 DCADLN COCC(=O)N1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC000972548036 657739093 /nfs/dbraw/zinc/73/90/93/657739093.db2.gz FBJXICNJINUTLJ-MRTMQBJTSA-N 0 2 312.263 0.593 20 0 DCADLN COCC(=O)N1CC[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]2C1 ZINC000972548036 657739100 /nfs/dbraw/zinc/73/91/00/657739100.db2.gz FBJXICNJINUTLJ-MRTMQBJTSA-N 0 2 312.263 0.593 20 0 DCADLN C[C@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969460687 657907296 /nfs/dbraw/zinc/90/72/96/657907296.db2.gz WYTIYMDOEHODQZ-MVVXRAEJSA-N 0 2 305.382 0.493 20 0 DCADLN C[C@@H](NC(=O)c1ccn(C)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969492938 657930910 /nfs/dbraw/zinc/93/09/10/657930910.db2.gz FFYKOMXMAFRQSG-SECBINFHSA-N 0 2 304.354 0.099 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C2C[NH+](Cc3ccn(C)n3)C2)c1[O-] ZINC000969505919 657938050 /nfs/dbraw/zinc/93/80/50/657938050.db2.gz JDIBNQBKRVAYRL-SECBINFHSA-N 0 2 318.381 0.408 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969608275 658027983 /nfs/dbraw/zinc/02/79/83/658027983.db2.gz LKJUIJOYMBLROO-SECBINFHSA-N 0 2 318.381 0.651 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001038137158 658565305 /nfs/dbraw/zinc/56/53/05/658565305.db2.gz OEGQECJHCVVFGN-MEWQQHAOSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCOC1 ZINC001006905404 658718723 /nfs/dbraw/zinc/71/87/23/658718723.db2.gz LCSNVVGQQFTEDS-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1nnc([C@@H](C)N(C)[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)o1 ZINC000972427469 658752525 /nfs/dbraw/zinc/75/25/25/658752525.db2.gz XQAWNEBLYQVKSH-ZWNOBZJWSA-N 0 2 318.381 0.938 20 0 DCADLN COc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)o1 ZINC001032696856 665613858 /nfs/dbraw/zinc/61/38/58/665613858.db2.gz JSEQODFAKGTWNQ-IUCAKERBSA-N 0 2 319.321 0.211 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccon1 ZINC000939581735 665607243 /nfs/dbraw/zinc/60/72/43/665607243.db2.gz ULBMYQVEFAHGKV-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccon1 ZINC000939581735 665607245 /nfs/dbraw/zinc/60/72/45/665607245.db2.gz ULBMYQVEFAHGKV-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN C[C@H](NC(=O)[C@H]1C[C@H]2C[C@H]2C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970313564 658847559 /nfs/dbraw/zinc/84/75/59/658847559.db2.gz FIWRMXSBQFMXJQ-UKKRHICBSA-N 0 2 305.382 0.493 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=C1CCC1 ZINC000973023612 658949710 /nfs/dbraw/zinc/94/97/10/658949710.db2.gz YMRXXJIJYRWIBZ-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=C1CCC1 ZINC000973023612 658949715 /nfs/dbraw/zinc/94/97/15/658949715.db2.gz YMRXXJIJYRWIBZ-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)C[C@@H]2CCCO2)C1 ZINC000973053714 658986884 /nfs/dbraw/zinc/98/68/84/658986884.db2.gz NBLHUDIFBTVZIG-AVGNSLFASA-N 0 2 320.393 0.593 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NC[C@@](C)(O)C1CC1 ZINC000872452634 667897817 /nfs/dbraw/zinc/89/78/17/667897817.db2.gz BYSWUPHTMRCLSH-ZMZPIMSZSA-N 0 2 312.457 0.878 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccsc1 ZINC001006680929 659067868 /nfs/dbraw/zinc/06/78/68/659067868.db2.gz TXWUKWSHPCAQND-SNVBAGLBSA-N 0 2 307.379 0.966 20 0 DCADLN CCS(=O)(=O)c1ccccc1N1CC[NH+](CC(=O)[O-])CC1 ZINC000035680478 659083151 /nfs/dbraw/zinc/08/31/51/659083151.db2.gz DCCNDMKPAVSFJE-UHFFFAOYSA-N 0 2 312.391 0.687 20 0 DCADLN Cc1occc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006688994 659104270 /nfs/dbraw/zinc/10/42/70/659104270.db2.gz BLHRAVKUFWJARH-JTQLQIEISA-N 0 2 305.338 0.806 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000973249002 659210667 /nfs/dbraw/zinc/21/06/67/659210667.db2.gz RMQACCDBSJJFBX-XYPYZODXSA-N 0 2 316.365 0.369 20 0 DCADLN C[NH+](Cc1ncccn1)[C@@H]1CCCN(C(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001027331559 659256712 /nfs/dbraw/zinc/25/67/12/659256712.db2.gz ILQCLSVOKZWVPR-CABCVRRESA-N 0 2 317.437 0.994 20 0 DCADLN Cc1cnc(C[N@@H+]2C[C@H](NC(=O)c3cnn[n-]3)C(C)(C)C2)o1 ZINC000974794392 659669447 /nfs/dbraw/zinc/66/94/47/659669447.db2.gz POKDFCVKMAURLY-NSHDSACASA-N 0 2 304.354 0.742 20 0 DCADLN Cc1cnc(C[N@H+]2C[C@H](NC(=O)c3cnn[n-]3)C(C)(C)C2)o1 ZINC000974794392 659669451 /nfs/dbraw/zinc/66/94/51/659669451.db2.gz POKDFCVKMAURLY-NSHDSACASA-N 0 2 304.354 0.742 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cnco1 ZINC000974867823 659700225 /nfs/dbraw/zinc/70/02/25/659700225.db2.gz CAUXEDBNEHFJKB-VIFPVBQESA-N 0 2 306.326 0.139 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000940084071 665696522 /nfs/dbraw/zinc/69/65/22/665696522.db2.gz GJSFAHMBFBPBGV-HRDYMLBCSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000940084071 665696525 /nfs/dbraw/zinc/69/65/25/665696525.db2.gz GJSFAHMBFBPBGV-HRDYMLBCSA-N 0 2 312.263 0.783 20 0 DCADLN C[NH+](C)Cc1ccoc1C(=O)N[C@@H]1C[N@H+](CCO)CC1(C)C ZINC000974977938 659747411 /nfs/dbraw/zinc/74/74/11/659747411.db2.gz ROIYSLYSYDAXOB-CYBMUJFWSA-N 0 2 309.410 0.774 20 0 DCADLN Cc1nn(C)c(C)c1[C@@H](C)C(=O)NNC(=O)c1ccccn1 ZINC000069970663 665717292 /nfs/dbraw/zinc/71/72/92/665717292.db2.gz KJBVBGAVBHXJSR-SECBINFHSA-N 0 2 301.350 0.997 20 0 DCADLN C/C=C(/C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001042449586 661679373 /nfs/dbraw/zinc/67/93/73/661679373.db2.gz GQDCFYCXLPIDJD-PFPYCLJUSA-N 0 2 312.263 0.543 20 0 DCADLN C/C=C(/C)C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001042449586 661679374 /nfs/dbraw/zinc/67/93/74/661679374.db2.gz GQDCFYCXLPIDJD-PFPYCLJUSA-N 0 2 312.263 0.543 20 0 DCADLN O=C(c1ccoc1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029211922 662051661 /nfs/dbraw/zinc/05/16/61/662051661.db2.gz VBUICGGOSQSYJM-PHIMTYICSA-N 0 2 303.322 0.592 20 0 DCADLN O=C(/C=C/C1CC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029253371 662068577 /nfs/dbraw/zinc/06/85/77/662068577.db2.gz KRIQFATVCHMBQN-FCVNMTFCSA-N 0 2 303.366 0.652 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCN=c1nn[n-]n1Cc1ccccc1 ZINC000895089536 662082338 /nfs/dbraw/zinc/08/23/38/662082338.db2.gz BFGPNNFHMHLRMX-OAHLLOKOSA-N 0 2 316.409 0.666 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCN=c1nn[n-]n1Cc1ccccc1 ZINC000895089536 662082340 /nfs/dbraw/zinc/08/23/40/662082340.db2.gz BFGPNNFHMHLRMX-OAHLLOKOSA-N 0 2 316.409 0.666 20 0 DCADLN CC(=O)NCc1nc(CNC(=O)c2[nH]nc(C)c2C)cc(=O)[nH]1 ZINC000984128568 662122733 /nfs/dbraw/zinc/12/27/33/662122733.db2.gz YUZKYCNFUJKJKI-UHFFFAOYSA-N 0 2 318.337 0.088 20 0 DCADLN Cc1ncoc1C[NH2+][C@@H]1CCCN(CC[NH+]2CCOCC2)C1 ZINC000895686653 662205420 /nfs/dbraw/zinc/20/54/20/662205420.db2.gz UGSSOSWEJYOTNN-OAHLLOKOSA-N 0 2 308.426 0.869 20 0 DCADLN CN(C(=O)c1cn[nH]c1)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000984707982 662283281 /nfs/dbraw/zinc/28/32/81/662283281.db2.gz WLXLCOGVZVEISA-ZDUSSCGKSA-N 0 2 316.365 0.439 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC001000478952 665854126 /nfs/dbraw/zinc/85/41/26/665854126.db2.gz RFCCTFJTPRVRCX-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC001000478952 665854130 /nfs/dbraw/zinc/85/41/30/665854130.db2.gz RFCCTFJTPRVRCX-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN C[C@H]1OCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029528526 662359848 /nfs/dbraw/zinc/35/98/48/662359848.db2.gz XAFKXWKDOJIPLM-WISYIIOYSA-N 0 2 321.381 0.111 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)[C@@H]3CCn4cc[nH+]c4C3)C[C@H]1COCC2 ZINC000909562719 662367258 /nfs/dbraw/zinc/36/72/58/662367258.db2.gz PESUYXYACDGERI-BFQNTYOBSA-N 0 2 319.361 0.395 20 0 DCADLN C[C@](O)(CNC(=O)c1ccc(Cn2cc[nH+]c2)cc1)C(=O)[O-] ZINC000262463407 662396127 /nfs/dbraw/zinc/39/61/27/662396127.db2.gz GBJJZVDDNQXJCA-HNNXBMFYSA-N 0 2 303.318 0.497 20 0 DCADLN Cc1nn(C)cc1C[NH2+][C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC000987070684 662640355 /nfs/dbraw/zinc/64/03/55/662640355.db2.gz SJANERHPTRWSFG-SWLSCSKDSA-N 0 2 316.409 0.773 20 0 DCADLN O=C(Cc1c[nH+]c[nH]1)N1CCCN(C(=O)c2ncc[nH]2)CC1 ZINC000940976192 665894227 /nfs/dbraw/zinc/89/42/27/665894227.db2.gz JJGRKVQTCBHVOW-UHFFFAOYSA-N 0 2 302.338 0.050 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@@H]2CCCN(C(=O)Cc3nnc[nH]3)C2)o1 ZINC001000661330 665906048 /nfs/dbraw/zinc/90/60/48/665906048.db2.gz VNHYLAVAVIFKFZ-GXSJLCMTSA-N 0 2 319.369 0.380 20 0 DCADLN Cc1cocc1C(=O)N1C[C@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@@H]1C ZINC000989309239 662899027 /nfs/dbraw/zinc/89/90/27/662899027.db2.gz SWGNLDHUVJJWFN-WDEREUQCSA-N 0 2 319.365 0.403 20 0 DCADLN CC(F)(F)C(=O)N[C@@]12CCC[C@H]1N(Cc1n[nH]c(=O)[nH]1)CC2 ZINC000989906656 662986589 /nfs/dbraw/zinc/98/65/89/662986589.db2.gz UMYOPCHCOOHQSA-AMIZOPFISA-N 0 2 315.324 0.779 20 0 DCADLN Cc1ocnc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990400476 663042609 /nfs/dbraw/zinc/04/26/09/663042609.db2.gz UGLXDVUOTMOKRE-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1ocnc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990400476 663042610 /nfs/dbraw/zinc/04/26/10/663042610.db2.gz UGLXDVUOTMOKRE-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCCOC1 ZINC000990460455 663053486 /nfs/dbraw/zinc/05/34/86/663053486.db2.gz FWTQGSNZLVHGPJ-IONNQARKSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCCOC1 ZINC000990460455 663053487 /nfs/dbraw/zinc/05/34/87/663053487.db2.gz FWTQGSNZLVHGPJ-IONNQARKSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1ncc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC000990484333 663056051 /nfs/dbraw/zinc/05/60/51/663056051.db2.gz ATPNMWNDYNEMNW-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN O=C(Cc1cc[nH]n1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990596803 663071059 /nfs/dbraw/zinc/07/10/59/663071059.db2.gz IKXSNFYCGJLRQJ-SECBINFHSA-N 0 2 308.235 0.180 20 0 DCADLN O=C(Cc1cc[nH]n1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990596803 663071061 /nfs/dbraw/zinc/07/10/61/663071061.db2.gz IKXSNFYCGJLRQJ-SECBINFHSA-N 0 2 308.235 0.180 20 0 DCADLN CC(C)Oc1cncc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1 ZINC000899034942 663119838 /nfs/dbraw/zinc/11/98/38/663119838.db2.gz XWFBKUHZLNCXHP-SECBINFHSA-N 0 2 306.322 0.796 20 0 DCADLN CC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1n1ccnn1 ZINC000990775438 663139449 /nfs/dbraw/zinc/13/94/49/663139449.db2.gz AJWYANJUJNWGDS-VGMNWLOBSA-N 0 2 323.250 0.067 20 0 DCADLN CC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1n1ccnn1 ZINC000990775438 663139450 /nfs/dbraw/zinc/13/94/50/663139450.db2.gz AJWYANJUJNWGDS-VGMNWLOBSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000899410628 663143316 /nfs/dbraw/zinc/14/33/16/663143316.db2.gz CIQAUXGNDJRCLC-VPOLOUISSA-N 0 2 301.350 0.480 20 0 DCADLN CC(C)(C)n1ncc2c1nc[nH+]c2N1CCOC[C@H]1C(=O)[O-] ZINC000900946641 663294465 /nfs/dbraw/zinc/29/44/65/663294465.db2.gz XSBMNHORJOCTSA-JTQLQIEISA-N 0 2 305.338 0.871 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938247948 663364907 /nfs/dbraw/zinc/36/49/07/663364907.db2.gz LGJIUYCLJIXVQJ-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938247948 663364909 /nfs/dbraw/zinc/36/49/09/663364909.db2.gz LGJIUYCLJIXVQJ-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC000902667374 663426880 /nfs/dbraw/zinc/42/68/80/663426880.db2.gz HEWHOYIVNOASKO-NEPJUHHUSA-N 0 2 300.399 0.960 20 0 DCADLN Cn1nc(C2CC2)nc1Cn1c(=O)c2ccccc2c(=O)n1C ZINC000902613149 663422148 /nfs/dbraw/zinc/42/21/48/663422148.db2.gz VCJWOGNHEOAUPX-UHFFFAOYSA-N 0 2 311.345 0.754 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC000902667374 663426879 /nfs/dbraw/zinc/42/68/79/663426879.db2.gz HEWHOYIVNOASKO-NEPJUHHUSA-N 0 2 300.399 0.960 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C(=O)[O-])nc1 ZINC000902667468 663426894 /nfs/dbraw/zinc/42/68/94/663426894.db2.gz JVCUEYIVTCWWEI-GFCCVEGCSA-N 0 2 307.350 0.620 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C(=O)[O-])nc1 ZINC000902667468 663426895 /nfs/dbraw/zinc/42/68/95/663426895.db2.gz JVCUEYIVTCWWEI-GFCCVEGCSA-N 0 2 307.350 0.620 20 0 DCADLN Cc1ncccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031939717 665965914 /nfs/dbraw/zinc/96/59/14/665965914.db2.gz GSSWYJIPZQJDNN-UHFFFAOYSA-N 0 2 302.338 0.076 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)C[C@H](C)OC)cc1C ZINC000903663182 663473140 /nfs/dbraw/zinc/47/31/40/663473140.db2.gz MMKVPRHBRZQRPR-VIFPVBQESA-N 0 2 302.352 0.953 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccnn1[C@H]1CCCOC1 ZINC000903683877 663473597 /nfs/dbraw/zinc/47/35/97/663473597.db2.gz DNARXYNGFVTAAE-JTQLQIEISA-N 0 2 311.367 0.769 20 0 DCADLN CC(=O)NC[C@@H]1C[N@@H+]([C@H](CCc2ccccc2)C(=O)[O-])CCO1 ZINC000903891357 663490199 /nfs/dbraw/zinc/49/01/99/663490199.db2.gz NEXBALLAPCVUGH-HZPDHXFCSA-N 0 2 320.389 0.909 20 0 DCADLN CC(=O)NC[C@@H]1C[N@H+]([C@H](CCc2ccccc2)C(=O)[O-])CCO1 ZINC000903891357 663490201 /nfs/dbraw/zinc/49/02/01/663490201.db2.gz NEXBALLAPCVUGH-HZPDHXFCSA-N 0 2 320.389 0.909 20 0 DCADLN CCCN(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@H](C)C(=O)[O-] ZINC000908913876 663774049 /nfs/dbraw/zinc/77/40/49/663774049.db2.gz LAILLUNNVMAMJI-GFCCVEGCSA-N 0 2 315.414 0.992 20 0 DCADLN CCCN(C(=O)NCC[N@H+]1CCOC(C)(C)C1)[C@H](C)C(=O)[O-] ZINC000908913876 663774050 /nfs/dbraw/zinc/77/40/50/663774050.db2.gz LAILLUNNVMAMJI-GFCCVEGCSA-N 0 2 315.414 0.992 20 0 DCADLN CCCN(C(=O)NCC[N@@H+]1CCOCC1(C)C)[C@H](C)C(=O)[O-] ZINC000908936205 663775263 /nfs/dbraw/zinc/77/52/63/663775263.db2.gz HDTSEYLWIZNVLC-GFCCVEGCSA-N 0 2 315.414 0.992 20 0 DCADLN CCCN(C(=O)NCC[N@H+]1CCOCC1(C)C)[C@H](C)C(=O)[O-] ZINC000908936205 663775264 /nfs/dbraw/zinc/77/52/64/663775264.db2.gz HDTSEYLWIZNVLC-GFCCVEGCSA-N 0 2 315.414 0.992 20 0 DCADLN O=C([O-])C1(NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCC1 ZINC000909081517 663780461 /nfs/dbraw/zinc/78/04/61/663780461.db2.gz FKQGIIPGGHSQBF-NSHDSACASA-N 0 2 304.350 0.967 20 0 DCADLN O=C([O-])c1csc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)n1 ZINC000909259265 663789675 /nfs/dbraw/zinc/78/96/75/663789675.db2.gz HONNJHFGCMJNGT-QMMMGPOBSA-N 0 2 306.347 0.917 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)CNc2cccc[nH+]2)C1 ZINC000909717816 663797204 /nfs/dbraw/zinc/79/72/04/663797204.db2.gz ZLUKSKLLAYOAJK-OAHLLOKOSA-N 0 2 307.350 0.833 20 0 DCADLN COC1(C[C@@H](NC(=O)[C@@H]2CCn3c[nH+]cc3C2)C(=O)[O-])CCC1 ZINC000909895241 663817871 /nfs/dbraw/zinc/81/78/71/663817871.db2.gz XJZUIABGZSEMTI-DGCLKSJQSA-N 0 2 321.377 0.974 20 0 DCADLN COc1cccc(C[C@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])c1 ZINC000910211649 663857723 /nfs/dbraw/zinc/85/77/23/663857723.db2.gz LYUMLHIOBBDMCQ-AWEZNQCLSA-N 0 2 317.345 0.783 20 0 DCADLN O=C([O-])[C@]1(CNC(=O)c2cccc3[nH+]ccn32)CCCOC1 ZINC000910310411 663867671 /nfs/dbraw/zinc/86/76/71/663867671.db2.gz XPVFKHDJPCEAOP-HNNXBMFYSA-N 0 2 303.318 0.946 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000910402325 663877222 /nfs/dbraw/zinc/87/72/22/663877222.db2.gz MPLGBZMIEMBVSQ-RYUDHWBXSA-N 0 2 324.381 0.221 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000910402325 663877224 /nfs/dbraw/zinc/87/72/24/663877224.db2.gz MPLGBZMIEMBVSQ-RYUDHWBXSA-N 0 2 324.381 0.221 20 0 DCADLN C/C(=C\C(=O)N1C[C@@H]2CCC[C@]2(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC000910419659 663881568 /nfs/dbraw/zinc/88/15/68/663881568.db2.gz ZOYDVKLIJXSJHF-DVDPIYLGSA-N 0 2 322.405 0.978 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](CC1CCOCC1)C(=O)[O-] ZINC000910453135 663885533 /nfs/dbraw/zinc/88/55/33/663885533.db2.gz LWVOXVNIKWUDLQ-UPJWGTAASA-N 0 2 321.377 0.910 20 0 DCADLN O=C([O-])[C@@]1(O)CCN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)C1 ZINC000910524992 663898424 /nfs/dbraw/zinc/89/84/24/663898424.db2.gz JDVMKLSAYFTBOV-MRXNPFEDSA-N 0 2 315.329 0.593 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000910594932 663910925 /nfs/dbraw/zinc/91/09/25/663910925.db2.gz XRGQLSOHOSXBRV-RAIGVLPGSA-N 0 2 307.350 0.584 20 0 DCADLN COC[C@@H](OC)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911168979 664000724 /nfs/dbraw/zinc/00/07/24/664000724.db2.gz DGVCCTHMWTYWJU-GFCCVEGCSA-N 0 2 323.349 0.090 20 0 DCADLN CO[C@H](C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1)C1CC1 ZINC000911170736 664000729 /nfs/dbraw/zinc/00/07/29/664000729.db2.gz ZADLDCKEIDTWJN-AWEZNQCLSA-N 0 2 319.361 0.853 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCCN(C)OC)=N2)cc1 ZINC000912163115 664145212 /nfs/dbraw/zinc/14/52/12/664145212.db2.gz UCTLFBOAPYEQLI-UHFFFAOYSA-N 0 2 320.349 0.810 20 0 DCADLN CCn1ncc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1C1CC1 ZINC000912817477 664234371 /nfs/dbraw/zinc/23/43/71/664234371.db2.gz WLZALUFEBHYOBI-QMMMGPOBSA-N 0 2 305.338 0.708 20 0 DCADLN Cc1nnc(CN2CC[C@]3(NC(=O)c4cnn[nH]4)CCC[C@H]23)[nH]1 ZINC000991570649 664423378 /nfs/dbraw/zinc/42/33/78/664423378.db2.gz RPBQZNSLOHUNQI-SMDDNHRTSA-N 0 2 316.369 0.158 20 0 DCADLN CC(C)(C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C(F)F ZINC000950378306 664425967 /nfs/dbraw/zinc/42/59/67/664425967.db2.gz OKBTXZMLEYRBSR-UHFFFAOYSA-N 0 2 303.313 0.446 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(F)ccc1F ZINC001030446095 664548381 /nfs/dbraw/zinc/54/83/81/664548381.db2.gz VQJHJBUDADCWJZ-UHFFFAOYSA-N 0 2 309.276 0.403 20 0 DCADLN O=C(c1ccc(F)cn1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948809274 664594568 /nfs/dbraw/zinc/59/45/68/664594568.db2.gz WPNQFOVWLFIPSF-UHFFFAOYSA-N 0 2 306.301 0.002 20 0 DCADLN CC(C)(C)c1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001030597290 664597824 /nfs/dbraw/zinc/59/78/24/664597824.db2.gz FJTGEUXAHRPUOH-UHFFFAOYSA-N 0 2 319.369 0.145 20 0 DCADLN CC(C)(C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC001030647300 664617975 /nfs/dbraw/zinc/61/79/75/664617975.db2.gz ABUOSMWCUOLGMC-UHFFFAOYSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@]12CCO[C@@H]1CCCC2 ZINC001030664481 664624082 /nfs/dbraw/zinc/62/40/82/664624082.db2.gz QLGBEBHSCMGCPO-ABAIWWIYSA-N 0 2 321.381 0.160 20 0 DCADLN Cc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)nc1C ZINC001030683828 664631639 /nfs/dbraw/zinc/63/16/39/664631639.db2.gz AAKYQEFMFKBFSQ-UHFFFAOYSA-N 0 2 302.338 0.136 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1nccc2occc21 ZINC001030717570 664646331 /nfs/dbraw/zinc/64/63/31/664646331.db2.gz RCSQOOCLKWYMPB-UHFFFAOYSA-N 0 2 314.305 0.266 20 0 DCADLN Cc1ccc(F)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1F ZINC001030894979 664696550 /nfs/dbraw/zinc/69/65/50/664696550.db2.gz WFFBRXOTFXZENK-UHFFFAOYSA-N 0 2 323.303 0.711 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+]1CC[C@@]2(NC(=O)C[NH+]3CCCC3)CCC[C@@H]12 ZINC000992483952 664725578 /nfs/dbraw/zinc/72/55/78/664725578.db2.gz GVPCAZFJZYMOAT-VBQJREDUSA-N 0 2 322.453 0.330 20 0 DCADLN O=C(NC1C[NH+](Cc2ccccc2)C1)c1c[n-]n2c1nccc2=O ZINC001031065207 664783571 /nfs/dbraw/zinc/78/35/71/664783571.db2.gz OVAWKHDPOPPZJJ-UHFFFAOYSA-N 0 2 323.356 0.637 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1nc2ccccc2[nH]1 ZINC000730518091 664784469 /nfs/dbraw/zinc/78/44/69/664784469.db2.gz BCCFAHYJFJISJR-UHFFFAOYSA-N 0 2 309.289 0.586 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nn1cnc2ccccc21 ZINC000730521275 664785144 /nfs/dbraw/zinc/78/51/44/664785144.db2.gz WVBLCCQGIXVZLW-UHFFFAOYSA-N 0 2 309.289 0.191 20 0 DCADLN C[C@@H](c1ccco1)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730526044 664785245 /nfs/dbraw/zinc/78/52/45/664785245.db2.gz NEJREZBQVFUGSY-VIFPVBQESA-N 0 2 301.306 0.884 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccncc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992951623 664786010 /nfs/dbraw/zinc/78/60/10/664786010.db2.gz OBPPWCJRJNSPGE-JQWIXIFHSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C(C)(F)F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992985041 664788802 /nfs/dbraw/zinc/78/88/02/664788802.db2.gz UTAKARSFCVRGTF-YUMQZZPRSA-N 0 2 303.313 0.635 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2c(c1)COC2 ZINC001031092292 664789452 /nfs/dbraw/zinc/78/94/52/664789452.db2.gz YFEKANHVAUCHGA-UHFFFAOYSA-N 0 2 315.333 0.155 20 0 DCADLN CC(C)CCOCCOC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730691606 664800454 /nfs/dbraw/zinc/80/04/54/664800454.db2.gz YXDRNCJZRYPZIA-UHFFFAOYSA-N 0 2 308.338 0.677 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccnn2C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993107525 664809882 /nfs/dbraw/zinc/80/98/82/664809882.db2.gz OVVNMWZSWWGSPT-NXEZZACHSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000993175828 664858772 /nfs/dbraw/zinc/85/87/72/664858772.db2.gz BMLLNNSFXSWMLK-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1cccc2c1N(C(=O)Cn1[nH]cc3c(=O)ncnc1-3)CC2 ZINC000731973907 664895557 /nfs/dbraw/zinc/89/55/57/664895557.db2.gz NVSYHAMFFIXVRZ-UHFFFAOYSA-N 0 2 309.329 0.969 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2ccoc2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993251123 664917481 /nfs/dbraw/zinc/91/74/81/664917481.db2.gz RSGKKGNUYQWZFO-JQWIXIFHSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2ccoc2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993251123 664917482 /nfs/dbraw/zinc/91/74/82/664917482.db2.gz RSGKKGNUYQWZFO-JQWIXIFHSA-N 0 2 319.365 0.815 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1c[nH]c2cccnc12 ZINC001031262475 664958599 /nfs/dbraw/zinc/95/85/99/664958599.db2.gz FNJTUBVSBLQJEP-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000994156100 665043043 /nfs/dbraw/zinc/04/30/43/665043043.db2.gz GAODFIJAMRTPIC-ZJUUUORDSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(Cc1ccccc1F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031341876 665094744 /nfs/dbraw/zinc/09/47/44/665094744.db2.gz DJYZWSKFYQMDFS-UHFFFAOYSA-N 0 2 305.313 0.193 20 0 DCADLN CC(C)c1occc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031463524 665161500 /nfs/dbraw/zinc/16/15/00/665161500.db2.gz PYWSBNSCISKWES-UHFFFAOYSA-N 0 2 305.338 0.841 20 0 DCADLN Cc1cncc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031594206 665218714 /nfs/dbraw/zinc/21/87/14/665218714.db2.gz WKIBVFFCKHSGGM-UHFFFAOYSA-N 0 2 302.338 0.076 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000920953246 665285142 /nfs/dbraw/zinc/28/51/42/665285142.db2.gz CTCROXIPTXTIAU-SNVBAGLBSA-N 0 2 320.374 0.883 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2occc2[nH]1 ZINC001031706207 665315928 /nfs/dbraw/zinc/31/59/28/665315928.db2.gz GMZDJHCNSBLTJQ-UHFFFAOYSA-N 0 2 316.321 0.446 20 0 DCADLN C[C@@H](NS(=O)(=O)c1cccc(F)c1[N+](=O)[O-])c1nnc[nH]1 ZINC000183198306 665996084 /nfs/dbraw/zinc/99/60/84/665996084.db2.gz MGXUMAGVWPKSIY-ZCFIWIBFSA-N 0 2 315.286 0.892 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001001170129 666008685 /nfs/dbraw/zinc/00/86/85/666008685.db2.gz WJHGEXLTQDGUTH-ZYHUDNBSSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001001170129 666008687 /nfs/dbraw/zinc/00/86/87/666008687.db2.gz WJHGEXLTQDGUTH-ZYHUDNBSSA-N 0 2 321.381 0.326 20 0 DCADLN Cc1ncc(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001001201393 666015364 /nfs/dbraw/zinc/01/53/64/666015364.db2.gz VCDJRSVMODBNGP-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001001776840 666097761 /nfs/dbraw/zinc/09/77/61/666097761.db2.gz AMLCMZRLMNPMAP-ZETCQYMHSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001001776840 666097763 /nfs/dbraw/zinc/09/77/63/666097763.db2.gz AMLCMZRLMNPMAP-ZETCQYMHSA-N 0 2 308.235 0.498 20 0 DCADLN O=C([O-])c1cc(F)cc(S(=O)(=O)NCCn2cc[nH+]c2)c1 ZINC000184882160 666297437 /nfs/dbraw/zinc/29/74/37/666297437.db2.gz ZVQKQUUCGXAVON-UHFFFAOYSA-N 0 2 313.310 0.699 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CC=C[C@@H]2CO)o1)C(F)(F)F ZINC000922255825 666355004 /nfs/dbraw/zinc/35/50/04/666355004.db2.gz XPKOZMQFBMVHFG-MRVPVSSYSA-N 0 2 318.251 0.831 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001003812690 666367339 /nfs/dbraw/zinc/36/73/39/666367339.db2.gz VNOYBZLWHLMWIF-SSDOTTSWSA-N 0 2 310.207 0.158 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC001003812690 666367341 /nfs/dbraw/zinc/36/73/41/666367341.db2.gz VNOYBZLWHLMWIF-SSDOTTSWSA-N 0 2 310.207 0.158 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)cn1 ZINC001032140972 666371777 /nfs/dbraw/zinc/37/17/77/666371777.db2.gz TUTITTCEBCOFFL-UHFFFAOYSA-N 0 2 322.756 0.421 20 0 DCADLN COc1ccc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)o1 ZINC001003839531 666380124 /nfs/dbraw/zinc/38/01/24/666380124.db2.gz TXWDTWNWSTVEEW-UHFFFAOYSA-N 0 2 321.337 0.506 20 0 DCADLN CN(C(=O)c1ccncc1F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032978480 666416727 /nfs/dbraw/zinc/41/67/27/666416727.db2.gz VPQGZTITZRPULE-VIFPVBQESA-N 0 2 320.328 0.391 20 0 DCADLN CN(C(=O)[C@@H]1CCCO1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005087596 666665170 /nfs/dbraw/zinc/66/51/70/666665170.db2.gz IQNCVNPBBMDXJK-NSHDSACASA-N 0 2 309.370 0.112 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001033410114 666703529 /nfs/dbraw/zinc/70/35/29/666703529.db2.gz NXXVKWLDESJORJ-MNOVXSKESA-N 0 2 319.365 0.940 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001033410114 666703530 /nfs/dbraw/zinc/70/35/30/666703530.db2.gz NXXVKWLDESJORJ-MNOVXSKESA-N 0 2 319.365 0.940 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N(C)[C@@H]2CC[NH+](Cc3ccnn3C)C2)C1 ZINC001033420381 666710421 /nfs/dbraw/zinc/71/04/21/666710421.db2.gz OQFOBZRPBUEQCO-HUUCEWRRSA-N 0 2 319.453 0.795 20 0 DCADLN CN(C(=O)c1nccs1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033522643 666747232 /nfs/dbraw/zinc/74/72/32/666747232.db2.gz RNSQCOVVWGXISU-MRVPVSSYSA-N 0 2 308.367 0.313 20 0 DCADLN CCN(C(=O)c1cc(C)no1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033748234 666815921 /nfs/dbraw/zinc/81/59/21/666815921.db2.gz SLNNZVHWZDCWBO-SNVBAGLBSA-N 0 2 320.353 0.543 20 0 DCADLN CCN(C(=O)[C@@H]1CCCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033778980 666828611 /nfs/dbraw/zinc/82/86/11/666828611.db2.gz MYCNOXOYIQJMAY-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)[C@@H]1CCCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033778980 666828613 /nfs/dbraw/zinc/82/86/13/666828613.db2.gz MYCNOXOYIQJMAY-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)c1coc(C)n1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033804107 666835045 /nfs/dbraw/zinc/83/50/45/666835045.db2.gz KDDQHYBKHAVTQR-SNVBAGLBSA-N 0 2 320.353 0.543 20 0 DCADLN CCN(C(=O)c1cncnc1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033804683 666835625 /nfs/dbraw/zinc/83/56/25/666835625.db2.gz SRWIGFTXFYNFJV-LLVKDONJSA-N 0 2 317.353 0.037 20 0 DCADLN CCN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)[C@H]1CC[N@@H+](CCO)C1 ZINC001033950806 666875207 /nfs/dbraw/zinc/87/52/07/666875207.db2.gz XXSLIBAOEXDXSK-QWHCGFSZSA-N 0 2 306.410 0.745 20 0 DCADLN CCN(C(=O)[C@H]1CC12CC2)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033976141 666883060 /nfs/dbraw/zinc/88/30/60/666883060.db2.gz SFHWMOFQMYMNFG-WDEREUQCSA-N 0 2 305.382 0.733 20 0 DCADLN CCN(C(=O)[C@H]1CC12CC2)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033976141 666883062 /nfs/dbraw/zinc/88/30/62/666883062.db2.gz SFHWMOFQMYMNFG-WDEREUQCSA-N 0 2 305.382 0.733 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1=CCOCC1 ZINC001034182561 666944526 /nfs/dbraw/zinc/94/45/26/666944526.db2.gz VDBSBONEQJNJPN-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1=CCOCC1 ZINC001034182561 666944529 /nfs/dbraw/zinc/94/45/29/666944529.db2.gz VDBSBONEQJNJPN-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN C[C@@H](O)C[N@H+]1CCCC[C@H](NC(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001034281277 666975956 /nfs/dbraw/zinc/97/59/56/666975956.db2.gz IGQYBLISWAJIOY-OLZOCXBDSA-N 0 2 324.425 0.701 20 0 DCADLN Cn1cc(N2C[C@@H](C(=O)NOc3ccccc3)CC2=O)cn1 ZINC000861781432 666978208 /nfs/dbraw/zinc/97/82/08/666978208.db2.gz UHOGCVMAARWLRF-NSHDSACASA-N 0 2 300.318 0.883 20 0 DCADLN CC[C@H](O)CNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861811149 666982635 /nfs/dbraw/zinc/98/26/35/666982635.db2.gz GUZSAJOIRDEYMK-ZETCQYMHSA-N 0 2 308.256 0.959 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC[C@H]3COCO3)=N2)cc1 ZINC000861970533 667003314 /nfs/dbraw/zinc/00/33/14/667003314.db2.gz BLNDKRIVAGGRLR-LBPRGKRZSA-N 0 2 319.317 0.689 20 0 DCADLN O=C(CC[C@@H]1CCOC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032345979 667004137 /nfs/dbraw/zinc/00/41/37/667004137.db2.gz OYXSBOOPKFTDJI-WOPDTQHZSA-N 0 2 321.381 0.112 20 0 DCADLN CNC(=O)C[NH+]1CCCC[C@@H](NC(=O)C[N@@H+]2CCC[C@H]2C)C1 ZINC001034398172 667013456 /nfs/dbraw/zinc/01/34/56/667013456.db2.gz MZBVYKJRYWEEPY-ZIAGYGMSSA-N 0 2 310.442 0.187 20 0 DCADLN C[C@H]1SCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H]1C ZINC000735645814 667015475 /nfs/dbraw/zinc/01/54/75/667015475.db2.gz XQXDENPSUZZFJY-DTWKUNHWSA-N 0 2 307.379 0.424 20 0 DCADLN CC[C@@H](C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C)c1[O-])OC ZINC001032357701 667031191 /nfs/dbraw/zinc/03/11/91/667031191.db2.gz FUJITHLJHAFEDY-SRVKXCTJSA-N 0 2 308.382 0.747 20 0 DCADLN CC[C@@H](C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C)c1[O-])OC ZINC001032357701 667031194 /nfs/dbraw/zinc/03/11/94/667031194.db2.gz FUJITHLJHAFEDY-SRVKXCTJSA-N 0 2 308.382 0.747 20 0 DCADLN O=C(NN1CCS(=O)(=O)CC1)C(F)(F)c1ccc(F)cc1 ZINC000862736481 667078669 /nfs/dbraw/zinc/07/86/69/667078669.db2.gz NRTPEBDVYPKUEL-UHFFFAOYSA-N 0 2 322.308 0.679 20 0 DCADLN C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C(C)(C)C ZINC001032476728 667299787 /nfs/dbraw/zinc/29/97/87/667299787.db2.gz UIPMSYHXTJXDMB-VWYCJHECSA-N 0 2 307.398 0.978 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000888344634 667306366 /nfs/dbraw/zinc/30/63/66/667306366.db2.gz HCZNXBQSGUKFMZ-UHFFFAOYSA-N 0 2 321.410 0.526 20 0 DCADLN CC1(C)CC[C@H]1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035487991 667356167 /nfs/dbraw/zinc/35/61/67/667356167.db2.gz MUNXPZDTPBFYQR-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H]([C@@H]2CCCO2)C1 ZINC000923159102 667465710 /nfs/dbraw/zinc/46/57/10/667465710.db2.gz NQAAYYPKSWUNTN-AGIUHOORSA-N 0 2 307.350 0.754 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC1(F)F ZINC001014890002 667580550 /nfs/dbraw/zinc/58/05/50/667580550.db2.gz LTTKJEJBCJYQFN-YUMQZZPRSA-N 0 2 301.297 0.246 20 0 DCADLN Cn1c(CNC(=O)C[C@@H]2SC(=N)NC2=O)nc2ccccc21 ZINC000742489175 667792701 /nfs/dbraw/zinc/79/27/01/667792701.db2.gz OLVICQZFEPVEMJ-JTQLQIEISA-N 0 2 317.374 0.746 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC2CCC3(CC2)OCCO3)S1 ZINC000742551071 667793673 /nfs/dbraw/zinc/79/36/73/667793673.db2.gz ZYCHQOBDURRRSL-VIFPVBQESA-N 0 2 313.379 0.345 20 0 DCADLN CCOC(=O)CCN(C(=O)C[C@H]1SC(=N)NC1=O)C1CC1 ZINC000742585978 667794184 /nfs/dbraw/zinc/79/41/84/667794184.db2.gz OUBZHTQDNMHCBD-SECBINFHSA-N 0 2 313.379 0.487 20 0 DCADLN COC(=O)c1[nH]c(C)cc1NC(=O)CC1SC(=N)NC1=O ZINC000742647392 667796078 /nfs/dbraw/zinc/79/60/78/667796078.db2.gz CJQKTAFMLDFTOV-SSDOTTSWSA-N 0 2 310.335 0.605 20 0 DCADLN Cc1noc(C)c1C[C@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000742696273 667797336 /nfs/dbraw/zinc/79/73/36/667797336.db2.gz ZOESCLCZCAYFSJ-QUBYGPBYSA-N 0 2 310.379 0.895 20 0 DCADLN CC(C)OC(=O)[C@H](C)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742803404 667799438 /nfs/dbraw/zinc/79/94/38/667799438.db2.gz SLUYUQSRCUHZCG-HTQZYQBOSA-N 0 2 301.368 0.247 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CC(=O)[N-]OCCCC(F)(F)F ZINC000871210622 667801117 /nfs/dbraw/zinc/80/11/17/667801117.db2.gz RNYDUIPGNXOGAJ-UHFFFAOYSA-N 0 2 313.320 0.833 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)CC(=O)[N-]OCCCC(F)(F)F ZINC000871210622 667801118 /nfs/dbraw/zinc/80/11/18/667801118.db2.gz RNYDUIPGNXOGAJ-UHFFFAOYSA-N 0 2 313.320 0.833 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1SC(=N)NC1=O)CC1(C)OCCO1 ZINC000744590616 667829198 /nfs/dbraw/zinc/82/91/98/667829198.db2.gz XLMOZPZMZBPEEI-RKDXNWHRSA-N 0 2 315.395 0.448 20 0 DCADLN COc1ccccc1CCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000745414084 667846998 /nfs/dbraw/zinc/84/69/98/667846998.db2.gz XSCUMVIPJQHSRQ-NSHDSACASA-N 0 2 307.375 0.910 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2COc3ccccc3O2)S1 ZINC000745413475 667847233 /nfs/dbraw/zinc/84/72/33/667847233.db2.gz ITRADINCSUDBCZ-LDYMZIIASA-N 0 2 321.358 0.499 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1nnc2ccccn21 ZINC000745422181 667847833 /nfs/dbraw/zinc/84/78/33/667847833.db2.gz BTNLARDQUOLWAX-YUMQZZPRSA-N 0 2 318.362 0.463 20 0 DCADLN NS(=O)(=O)c1ccc(NCc2n[nH]c(=O)[nH]2)c2ncccc12 ZINC000746465890 667878029 /nfs/dbraw/zinc/87/80/29/667878029.db2.gz HEOVNFJBPFIWQO-UHFFFAOYSA-N 0 2 320.334 0.318 20 0 DCADLN Cn1cc(C[C@@H]2CCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)cn1 ZINC000746623701 667884502 /nfs/dbraw/zinc/88/45/02/667884502.db2.gz GJPURYYDCSRGJL-GXSJLCMTSA-N 0 2 321.406 0.368 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NC[C@@H]1COCCO1 ZINC000872438542 667896234 /nfs/dbraw/zinc/89/62/34/667896234.db2.gz ANVLWURQVBFNEG-DYBLOJMWSA-N 0 2 314.429 0.133 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(N2CCOC2=O)cc1 ZINC000748057790 667917595 /nfs/dbraw/zinc/91/75/95/667917595.db2.gz SECZLQBXCVOUMX-UHFFFAOYSA-N 0 2 303.278 0.397 20 0 DCADLN CN(CCc1nccs1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000753345764 668028873 /nfs/dbraw/zinc/02/88/73/668028873.db2.gz KYHUWZXIPMSRKQ-UHFFFAOYSA-N 0 2 318.362 0.229 20 0 DCADLN COc1cc(C=O)ccc1OCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000754355325 668044795 /nfs/dbraw/zinc/04/47/95/668044795.db2.gz RSDKBCLTZHXRKO-UHFFFAOYSA-N 0 2 306.278 0.027 20 0 DCADLN CCc1nnc(COC(=O)C(C)(C)NC(=O)C(F)(F)F)[nH]1 ZINC000759116160 668207084 /nfs/dbraw/zinc/20/70/84/668207084.db2.gz KCYJJACMMNYPAW-UHFFFAOYSA-N 0 2 308.260 0.867 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@@H]1CC[S@](=O)C1 ZINC000760381779 668269844 /nfs/dbraw/zinc/26/98/44/668269844.db2.gz CMUFPBOMMSGRDI-YIVBRQOJSA-N 0 2 300.302 0.081 20 0 DCADLN Cn1cc([C@H](O)CNC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC000760441746 668273114 /nfs/dbraw/zinc/27/31/14/668273114.db2.gz FGOVBXTVQZEJRY-MRVPVSSYSA-N 0 2 322.287 0.027 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCC[C@H]1CCCCO1 ZINC000760727154 668284773 /nfs/dbraw/zinc/28/47/73/668284773.db2.gz UNOJWHRVGQXHJH-LLVKDONJSA-N 0 2 319.365 0.537 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1(CCO)CCCC1 ZINC000761592489 668331921 /nfs/dbraw/zinc/33/19/21/668331921.db2.gz ZWYZSEVDGRABFB-UHFFFAOYSA-N 0 2 319.365 0.130 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)Cc1ccccc1 ZINC000762001731 668343400 /nfs/dbraw/zinc/34/34/00/668343400.db2.gz DDRJYRUBHXHNQY-BXKDBHETSA-N 0 2 319.386 0.859 20 0 DCADLN COc1ccccc1[C@@H](O)CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000762335715 668356785 /nfs/dbraw/zinc/35/67/85/668356785.db2.gz VWAXEORDEJIDME-ONGXEEELSA-N 0 2 323.374 0.401 20 0 DCADLN COc1ccccc1[C@H](O)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000762335713 668357125 /nfs/dbraw/zinc/35/71/25/668357125.db2.gz VWAXEORDEJIDME-MWLCHTKSSA-N 0 2 323.374 0.401 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1(CCO)CCCCC1 ZINC000768399729 668607568 /nfs/dbraw/zinc/60/75/68/668607568.db2.gz ZDBRFAVJCJKQJZ-UHFFFAOYSA-N 0 2 319.365 0.273 20 0 DCADLN C[C@]1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCO[C@H]1C1CC1 ZINC000768659391 668617871 /nfs/dbraw/zinc/61/78/71/668617871.db2.gz YPBIOGYUAXAUPB-NURSFMCSSA-N 0 2 311.407 0.864 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](CO)c2ccccc2)S1 ZINC000769270668 668641006 /nfs/dbraw/zinc/64/10/06/668641006.db2.gz BJKXKZPWHJTLGB-MNOVXSKESA-N 0 2 307.375 0.435 20 0 DCADLN COc1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)cc1O ZINC000769377813 668645279 /nfs/dbraw/zinc/64/52/79/668645279.db2.gz ZCWUBJJIPKXMJR-SNVBAGLBSA-N 0 2 309.347 0.573 20 0 DCADLN Cc1ccncc1[C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000771378478 668738572 /nfs/dbraw/zinc/73/85/72/668738572.db2.gz AYGOKARZFLIMRB-SNVBAGLBSA-N 0 2 312.333 0.652 20 0 DCADLN CC(C)OC[C@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)CCO1 ZINC000771674815 668748120 /nfs/dbraw/zinc/74/81/20/668748120.db2.gz DNFTUZUIDDZKNP-ZJUUUORDSA-N 0 2 315.395 0.195 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2COC3(CCCC3)O2)S1 ZINC000773681158 668810964 /nfs/dbraw/zinc/81/09/64/668810964.db2.gz LHINQUVKXHURBN-DTWKUNHWSA-N 0 2 313.379 0.345 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(N2CCCC2=O)cc1 ZINC000774889992 668849985 /nfs/dbraw/zinc/84/99/85/668849985.db2.gz PYIKEDZAWDOPAV-UHFFFAOYSA-N 0 2 302.290 0.994 20 0 DCADLN CC(C)C[C@@H](C(=O)OCc1n[nH]c(=O)[nH]1)N1CCCCC1=O ZINC000774967808 668853075 /nfs/dbraw/zinc/85/30/75/668853075.db2.gz BVIYFXGIPBQIJV-JTQLQIEISA-N 0 2 310.354 0.981 20 0 DCADLN O=C(C[NH+]1CCN(c2ccccn2)CC1)[N-]OC1CCOCC1 ZINC000775245661 668859594 /nfs/dbraw/zinc/85/95/94/668859594.db2.gz IBAICDCANDPTDB-UHFFFAOYSA-N 0 2 320.393 0.430 20 0 DCADLN CCS(=O)(=O)NCCNC(=O)c1cccc(Cl)c1O ZINC000775655630 668866281 /nfs/dbraw/zinc/86/62/81/668866281.db2.gz IWPXEWDMPVVEAJ-UHFFFAOYSA-N 0 2 306.771 0.715 20 0 DCADLN CO[C@@H]1[C@H](C)[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000775973833 668869831 /nfs/dbraw/zinc/86/98/31/668869831.db2.gz ASONMDBOQGMIPF-GGZOMVNGSA-N 0 2 319.365 0.247 20 0 DCADLN CCCC(=O)N1CCC[C@@H]1C(=O)NCCc1n[nH]c(=S)o1 ZINC000776541781 668877496 /nfs/dbraw/zinc/87/74/96/668877496.db2.gz KNUHOIIEUXFOQT-SECBINFHSA-N 0 2 312.395 0.808 20 0 DCADLN CC(C)(CNC(=O)N[C@@](C)(C(=O)[O-])C1CC1)[NH+]1CCOCC1 ZINC000780341815 668980375 /nfs/dbraw/zinc/98/03/75/668980375.db2.gz PTVDPOSPIARRLI-OAHLLOKOSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([N-]O[C@H]1CCCCO1)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000931318770 669179043 /nfs/dbraw/zinc/17/90/43/669179043.db2.gz UHGIUBUVMMZEOF-RDBSUJKOSA-N 0 2 314.382 0.441 20 0 DCADLN NS(=O)(=O)C1CCN(C(=O)c2cccc(Cl)c2O)CC1 ZINC000785408703 669254474 /nfs/dbraw/zinc/25/44/74/669254474.db2.gz UIVLLFATXMBGFR-UHFFFAOYSA-N 0 2 318.782 0.939 20 0 DCADLN Cc1nc([C@H](C)n2cc(CNC(=O)C(F)(F)F)nn2)no1 ZINC000881368749 669329817 /nfs/dbraw/zinc/32/98/17/669329817.db2.gz ASBFLMFAHAJGJZ-YFKPBYRVSA-N 0 2 304.232 0.757 20 0 DCADLN O=C([N-]S(=O)(=O)c1cc[nH]n1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000789791728 669510470 /nfs/dbraw/zinc/51/04/70/669510470.db2.gz SROVGQOONWVOOS-UHFFFAOYSA-N 0 2 317.330 0.714 20 0 DCADLN Cc1ccc(O)c(NS(=O)(=O)N=[S@](C)(=O)N(C)C)c1 ZINC000882708888 669570808 /nfs/dbraw/zinc/57/08/08/669570808.db2.gz KGUUGPWZFHRHQJ-SFHVURJKSA-N 0 2 307.397 0.932 20 0 DCADLN CC1=C(C(=O)OCc2n[nH]c(=O)[nH]2)[C@@H](C)n2ncnc2N1C ZINC000791463798 669611071 /nfs/dbraw/zinc/61/10/71/669611071.db2.gz RMGSTUIQUPBGPY-SSDOTTSWSA-N 0 2 305.298 0.130 20 0 DCADLN COc1cccc(C2=NO[C@@H](C(=O)NCc3n[nH]c(=O)[nH]3)C2)c1 ZINC000792215088 669655674 /nfs/dbraw/zinc/65/56/74/669655674.db2.gz WOUZRBPYHOJNME-LLVKDONJSA-N 0 2 317.305 0.328 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)Nc1ccccc1 ZINC000883414356 669681062 /nfs/dbraw/zinc/68/10/62/669681062.db2.gz UOOUEVFCECUVHZ-NSHDSACASA-N 0 2 308.334 0.963 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)Nc1ccccc1 ZINC000883414356 669681067 /nfs/dbraw/zinc/68/10/67/669681067.db2.gz UOOUEVFCECUVHZ-NSHDSACASA-N 0 2 308.334 0.963 20 0 DCADLN COCCO[N-]C(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000793717969 669737238 /nfs/dbraw/zinc/73/72/38/669737238.db2.gz LUQIRBSEXIOVEG-UHFFFAOYSA-N 0 2 318.333 0.183 20 0 DCADLN Cc1n[nH]c(C(=O)NNC(=O)c2ccccn2)c1Br ZINC000048888782 669942857 /nfs/dbraw/zinc/94/28/57/669942857.db2.gz UYMXTRNUJYHDEN-UHFFFAOYSA-N 0 2 324.138 0.950 20 0 DCADLN CCN(C(=O)[C@@H]1CCC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950595194 670069646 /nfs/dbraw/zinc/06/96/46/670069646.db2.gz JJKQMFMGAXZUHW-VIFPVBQESA-N 0 2 315.324 0.588 20 0 DCADLN Cn1nnc(COC(=O)c2nn(-c3ccccc3F)cc2O)n1 ZINC000801375587 670126873 /nfs/dbraw/zinc/12/68/73/670126873.db2.gz BIYDCHJMRUYDBI-UHFFFAOYSA-N 0 2 318.268 0.598 20 0 DCADLN CS(=O)(=O)NC1CC(NC(=O)c2cccc(Cl)c2O)C1 ZINC000801462596 670130337 /nfs/dbraw/zinc/13/03/37/670130337.db2.gz XQKCOSPXDPSUNY-UHFFFAOYSA-N 0 2 318.782 0.856 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC000951005840 670173872 /nfs/dbraw/zinc/17/38/72/670173872.db2.gz VSVHPZPFXPRRRA-CAHLUQPWSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)C1=CCOCC1 ZINC000949544757 670367207 /nfs/dbraw/zinc/36/72/07/670367207.db2.gz AOXCJBGRIYWWFX-NXEZZACHSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C1=CCOCC1 ZINC000949544757 670367210 /nfs/dbraw/zinc/36/72/10/670367210.db2.gz AOXCJBGRIYWWFX-NXEZZACHSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ncccn1 ZINC000951790096 670370488 /nfs/dbraw/zinc/37/04/88/670370488.db2.gz DCPISGZEDNCAEM-SFYZADRCSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ncccn1 ZINC000951790096 670370492 /nfs/dbraw/zinc/37/04/92/670370492.db2.gz DCPISGZEDNCAEM-SFYZADRCSA-N 0 2 320.246 0.708 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(C3(O)COC3)cc2)cn1 ZINC000808120615 670391135 /nfs/dbraw/zinc/39/11/35/670391135.db2.gz UIRKXCZDJBJJBZ-UHFFFAOYSA-N 0 2 309.347 0.439 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ncc[nH]1 ZINC000949825571 670441162 /nfs/dbraw/zinc/44/11/62/670441162.db2.gz VQDKHMARZWFDTF-RNFRBKRXSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ncc[nH]1 ZINC000949825571 670441167 /nfs/dbraw/zinc/44/11/67/670441167.db2.gz VQDKHMARZWFDTF-RNFRBKRXSA-N 0 2 308.235 0.641 20 0 DCADLN CCN(C(=O)c1ncccc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949861229 670450477 /nfs/dbraw/zinc/45/04/77/670450477.db2.gz CIERMGNOKIIYAG-UHFFFAOYSA-N 0 2 316.365 0.560 20 0 DCADLN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)C(F)C(F)(F)F ZINC000810461674 670486104 /nfs/dbraw/zinc/48/61/04/670486104.db2.gz CXCADODSHUASMF-OXOINMOOSA-N 0 2 311.235 0.381 20 0 DCADLN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)[C@@H](F)C(F)(F)F ZINC000810461674 670486106 /nfs/dbraw/zinc/48/61/06/670486106.db2.gz CXCADODSHUASMF-OXOINMOOSA-N 0 2 311.235 0.381 20 0 DCADLN CS(=O)(=O)NCC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000811523445 670532727 /nfs/dbraw/zinc/53/27/27/670532727.db2.gz BSSPVPHGVROWLZ-MRVPVSSYSA-N 0 2 320.308 0.675 20 0 DCADLN CS(=O)(=O)NCC1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000811523445 670532729 /nfs/dbraw/zinc/53/27/29/670532729.db2.gz BSSPVPHGVROWLZ-MRVPVSSYSA-N 0 2 320.308 0.675 20 0 DCADLN CC(=O)[C@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000854725216 670618784 /nfs/dbraw/zinc/61/87/84/670618784.db2.gz ILSAKZAVFWOPQT-LLVKDONJSA-N 0 2 303.322 0.041 20 0 DCADLN NS(=O)(=O)NC[C@@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000854841363 670640827 /nfs/dbraw/zinc/64/08/27/670640827.db2.gz XUGQNGKGUHARTN-BQBZGAKWSA-N 0 2 321.296 0.061 20 0 DCADLN NS(=O)(=O)NC[C@@H]1CCCCN1C(=O)[C@H](F)C(F)(F)F ZINC000854841363 670640830 /nfs/dbraw/zinc/64/08/30/670640830.db2.gz XUGQNGKGUHARTN-BQBZGAKWSA-N 0 2 321.296 0.061 20 0 DCADLN CN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@H](CO)Cc1ccccc1 ZINC000855138780 670680246 /nfs/dbraw/zinc/68/02/46/670680246.db2.gz QQRFBYQVYNHSEZ-RYUDHWBXSA-N 0 2 321.402 0.605 20 0 DCADLN CN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@@H](CO)Cc1ccccc1 ZINC000855138778 670680699 /nfs/dbraw/zinc/68/06/99/670680699.db2.gz QQRFBYQVYNHSEZ-NEPJUHHUSA-N 0 2 321.402 0.605 20 0 DCADLN COC(=O)C[C@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)C1CC1 ZINC000855910745 670767040 /nfs/dbraw/zinc/76/70/40/670767040.db2.gz RGYDSOIVEUUQIK-BDAKNGLRSA-N 0 2 313.379 0.248 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)nc[nH+]2)C1 ZINC000849348660 670778722 /nfs/dbraw/zinc/77/87/22/670778722.db2.gz KYZYJTQZYNBKQO-CYRTVHNOSA-N 0 2 318.377 0.451 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)[nH+]cn2)C1 ZINC000849348660 670778727 /nfs/dbraw/zinc/77/87/27/670778727.db2.gz KYZYJTQZYNBKQO-CYRTVHNOSA-N 0 2 318.377 0.451 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000849365017 670781457 /nfs/dbraw/zinc/78/14/57/670781457.db2.gz VTNNSXUHPCVNFB-OAHLLOKOSA-N 0 2 303.318 0.978 20 0 DCADLN O=C(NCc1ncc[nH]1)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000115156779 670914825 /nfs/dbraw/zinc/91/48/25/670914825.db2.gz XPQIHFORPVABRR-UHFFFAOYSA-N 0 2 301.331 0.865 20 0 DCADLN C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)NOCCN2CCCC2=O)C1 ZINC000857869975 670956704 /nfs/dbraw/zinc/95/67/04/670956704.db2.gz CNZDXFWOGCSFFV-JQWIXIFHSA-N 0 2 306.366 0.746 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CCOCC2)o1)C(F)(F)F ZINC000858098750 670970591 /nfs/dbraw/zinc/97/05/91/670970591.db2.gz PVVHNXVFDDTYGK-UHFFFAOYSA-N 0 2 306.240 0.931 20 0 DCADLN CCn1nc(C)c(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000819599917 671129030 /nfs/dbraw/zinc/12/90/30/671129030.db2.gz ULJWDNJZXJDAJK-UHFFFAOYSA-N 0 2 321.303 0.680 20 0 DCADLN COc1ccc(COCC(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC000860922062 671250294 /nfs/dbraw/zinc/25/02/94/671250294.db2.gz GIIWJRFFRMXTJL-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cnc(F)c(Cl)c2)C(=O)N1C ZINC000826831536 671502489 /nfs/dbraw/zinc/50/24/89/671502489.db2.gz JFTRIPMXBNPIHF-YFKPBYRVSA-N 0 2 300.677 0.801 20 0 DCADLN CCOC(=O)[C@@H](CC(=O)NCCc1n[nH]c(=S)o1)C(C)=O ZINC000832364222 671716247 /nfs/dbraw/zinc/71/62/47/671716247.db2.gz XTPSJAQXUPMWDF-QMMMGPOBSA-N 0 2 315.351 0.175 20 0 DCADLN O=C([O-])[C@@H]([NH2+][C@@H]1CCN(CC(F)(F)F)C1=O)c1cccnc1 ZINC000833168041 671746375 /nfs/dbraw/zinc/74/63/75/671746375.db2.gz SMYXTHLZDKISJC-ZJUUUORDSA-N 0 2 317.267 0.960 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCC[N@@H+]1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833919347 671750927 /nfs/dbraw/zinc/75/09/27/671750927.db2.gz ISUOHNSPJYRQQP-DGCLKSJQSA-N 0 2 321.381 0.691 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCC[N@H+]1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833919347 671750929 /nfs/dbraw/zinc/75/09/29/671750929.db2.gz ISUOHNSPJYRQQP-DGCLKSJQSA-N 0 2 321.381 0.691 20 0 DCADLN O=C1C[C@H](NS(=O)(=O)c2onc(C3CC3)c2Cl)CO1 ZINC000867287543 671992473 /nfs/dbraw/zinc/99/24/73/671992473.db2.gz VRHPPIZLNQUXHN-LURJTMIESA-N 0 2 306.727 0.799 20 0 DCADLN O=C(CCCn1cccn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843876233 672126694 /nfs/dbraw/zinc/12/66/94/672126694.db2.gz XIBBFVGFOFKOAI-UHFFFAOYSA-N 0 2 304.354 0.893 20 0 DCADLN O=C(N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C1(O)CCSCC1 ZINC000843878845 672127527 /nfs/dbraw/zinc/12/75/27/672127527.db2.gz QOLNKBZRVWEIDV-SECBINFHSA-N 0 2 312.395 0.474 20 0 DCADLN CCO[C@@H]1C[C@@H](NC(=O)C[C@H]2SC(=N)NC2=O)[C@@H]1SC ZINC000844065113 672144381 /nfs/dbraw/zinc/14/43/81/672144381.db2.gz DYCDZZDVZYQLNV-DQUBFYRCSA-N 0 2 317.436 0.568 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)C[C@H]1CCCCO1)n1cc[nH+]c1 ZINC000845457731 672250107 /nfs/dbraw/zinc/25/01/07/672250107.db2.gz FXWZUMMUQLJFFQ-NWDGAFQWSA-N 0 2 315.395 0.849 20 0 DCADLN C[C@H](CC(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC000846703480 672339130 /nfs/dbraw/zinc/33/91/30/672339130.db2.gz KAZXOLNVHZDOMA-MNOVXSKESA-N 0 2 318.337 0.598 20 0 DCADLN Cn1ccc(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)n1 ZINC000738410005 685324094 /nfs/dbraw/zinc/32/40/94/685324094.db2.gz BRYFBQLBLWZHNK-UHFFFAOYSA-N 0 2 315.333 0.476 20 0 DCADLN CN(C(=O)[C@@H]1CCOC1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953853823 685873674 /nfs/dbraw/zinc/87/36/74/685873674.db2.gz UTULJBSGWICHND-APPZFPTMSA-N 0 2 312.263 0.593 20 0 DCADLN CN(C(=O)[C@@H]1CCOC1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953853823 685873679 /nfs/dbraw/zinc/87/36/79/685873679.db2.gz UTULJBSGWICHND-APPZFPTMSA-N 0 2 312.263 0.593 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1)C1CCC1 ZINC001038004228 693982893 /nfs/dbraw/zinc/98/28/93/693982893.db2.gz GVFUJOSUZOEZID-ZDUSSCGKSA-N 0 2 315.377 0.513 20 0 DCADLN Cc1cscc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038147523 693997622 /nfs/dbraw/zinc/99/76/22/693997622.db2.gz XLVVIXSUYYPZHA-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN Cc1cccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1F ZINC001038284954 694035799 /nfs/dbraw/zinc/03/57/99/694035799.db2.gz BLMOUIOAYKOEEC-SNVBAGLBSA-N 0 2 319.340 0.962 20 0 DCADLN CCN(C(=O)c1ncccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957038957 686940427 /nfs/dbraw/zinc/94/04/27/686940427.db2.gz SPIWLLWWDGTQBO-UHFFFAOYSA-N 0 2 320.328 0.391 20 0 DCADLN O=C(N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1(F)CCCCC1 ZINC000957062483 686950559 /nfs/dbraw/zinc/95/05/59/686950559.db2.gz JSIMAGSFHAOZGZ-UHFFFAOYSA-N 0 2 311.361 0.827 20 0 DCADLN CCc1cc(C(=O)N2CC[C@](C)(NC(=O)c3cnn[nH]3)C2)[nH]n1 ZINC000957184276 686988883 /nfs/dbraw/zinc/98/88/83/686988883.db2.gz QWSHKPOYBDFOOV-AWEZNQCLSA-N 0 2 317.353 0.125 20 0 DCADLN C[C@]1(NC(=O)c2cn[nH]n2)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000957186757 686991045 /nfs/dbraw/zinc/99/10/45/686991045.db2.gz UNMBYIDXZMHNHN-XVKPBYJWSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@]1(NC(=O)c2cnon2)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000957409642 687055488 /nfs/dbraw/zinc/05/54/88/687055488.db2.gz MMRNUWXSGFHWHK-XCBNKYQSSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@]1(NC(=O)c2cnon2)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000957409642 687055491 /nfs/dbraw/zinc/05/54/91/687055491.db2.gz MMRNUWXSGFHWHK-XCBNKYQSSA-N 0 2 324.234 0.691 20 0 DCADLN CCc1nnc([C@@H](C)N2CC[C@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001038448702 694084114 /nfs/dbraw/zinc/08/41/14/694084114.db2.gz HAIPMLVTHOELIX-BDAKNGLRSA-N 0 2 304.358 0.051 20 0 DCADLN Cc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c(C)n1 ZINC000957750114 687176977 /nfs/dbraw/zinc/17/69/77/687176977.db2.gz QOKBCBQWVNWIAW-UHFFFAOYSA-N 0 2 316.365 0.480 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001038592646 694124838 /nfs/dbraw/zinc/12/48/38/694124838.db2.gz OPPBAMGFOIYKBU-KGYLQXTDSA-N 0 2 315.377 0.369 20 0 DCADLN O=C(NC1CC(C[NH2+]Cc2nc(C3CC3)no2)C1)c1nnc[nH]1 ZINC000962436278 688909986 /nfs/dbraw/zinc/90/99/86/688909986.db2.gz ZMPOWZFLNZGVMM-UHFFFAOYSA-N 0 2 317.353 0.363 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=COCCC1 ZINC000965472488 689451404 /nfs/dbraw/zinc/45/14/04/689451404.db2.gz DJSVYXUJUZOTKR-CMPLNLGQSA-N 0 2 321.381 0.531 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=COCCC1 ZINC000965472488 689451409 /nfs/dbraw/zinc/45/14/09/689451409.db2.gz DJSVYXUJUZOTKR-CMPLNLGQSA-N 0 2 321.381 0.531 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cocn1 ZINC000965596065 689497640 /nfs/dbraw/zinc/49/76/40/689497640.db2.gz KWOUMJMTCBHPAI-BDAKNGLRSA-N 0 2 306.326 0.139 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000967631790 690033799 /nfs/dbraw/zinc/03/37/99/690033799.db2.gz VLAXICQIYQBKCJ-GZMMTYOYSA-N 0 2 319.369 0.182 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC000969100091 690469967 /nfs/dbraw/zinc/46/99/67/690469967.db2.gz XDKVIRYHODKYJS-NEPJUHHUSA-N 0 2 309.439 0.713 20 0 DCADLN O=C(CC1CC1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039498048 694374347 /nfs/dbraw/zinc/37/43/47/694374347.db2.gz AQMXRLDKXHKKQM-TXEJJXNPSA-N 0 2 305.382 0.876 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007054373 690623423 /nfs/dbraw/zinc/62/34/23/690623423.db2.gz WJMKCWMAOQGNOK-JTQLQIEISA-N 0 2 304.354 0.541 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001007114827 690629298 /nfs/dbraw/zinc/62/92/98/690629298.db2.gz VIHDURZDHNIADY-NEPJUHHUSA-N 0 2 318.381 0.654 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)ncn1 ZINC001007137990 690631779 /nfs/dbraw/zinc/63/17/79/690631779.db2.gz JJSVXRHWTFFLDG-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC1(F)F ZINC001007283185 690657687 /nfs/dbraw/zinc/65/76/87/690657687.db2.gz DAQLHGGJTUJFQT-HTQZYQBOSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1CCC[N@@H+](C/C=C/Cl)C1 ZINC001009349424 691028454 /nfs/dbraw/zinc/02/84/54/691028454.db2.gz ALAPNRRKPWPTHY-HDMKULJXSA-N 0 2 315.761 0.022 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1CCC[N@H+](C/C=C/Cl)C1 ZINC001009349424 691028458 /nfs/dbraw/zinc/02/84/58/691028458.db2.gz ALAPNRRKPWPTHY-HDMKULJXSA-N 0 2 315.761 0.022 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009500852 691050684 /nfs/dbraw/zinc/05/06/84/691050684.db2.gz GLFJUQILVIRDSG-SNVBAGLBSA-N 0 2 304.354 0.541 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cc1 ZINC001009915402 691131224 /nfs/dbraw/zinc/13/12/24/691131224.db2.gz CNBMPSQNGXIBDU-NSHDSACASA-N 0 2 305.313 0.654 20 0 DCADLN O=C(N[C@@]12CCC[C@H]1N(C(=O)c1ccn[nH]1)CC2)c1cn[nH]n1 ZINC001014333785 691993725 /nfs/dbraw/zinc/99/37/25/691993725.db2.gz SENFCMNLPWXEQL-BXUZGUMPSA-N 0 2 315.337 0.095 20 0 DCADLN O=C(CC1CC(F)(F)C1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014992089 692153357 /nfs/dbraw/zinc/15/33/57/692153357.db2.gz VUWGICUWHLHWFC-SECBINFHSA-N 0 2 315.324 0.636 20 0 DCADLN CCc1nnc([C@@H](C)N2CC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001015066515 692179252 /nfs/dbraw/zinc/17/92/52/692179252.db2.gz JLNODNRFRACEQE-RKDXNWHRSA-N 0 2 304.358 0.051 20 0 DCADLN CC(C)(C)[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015239229 692236031 /nfs/dbraw/zinc/23/60/31/692236031.db2.gz PUJUZFBNHHBZKK-GMTAPVOTSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1occ2c1CCC2 ZINC001015404745 692288705 /nfs/dbraw/zinc/28/87/05/692288705.db2.gz QOTZKMCTICKQCI-SNVBAGLBSA-N 0 2 317.349 0.596 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(F)c1F ZINC001015626445 692370248 /nfs/dbraw/zinc/37/02/48/692370248.db2.gz AKBRMYIQMMZWMH-MRVPVSSYSA-N 0 2 323.303 0.793 20 0 DCADLN CCCn1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001015778806 692439227 /nfs/dbraw/zinc/43/92/27/692439227.db2.gz WCWPGBQRHOHJKS-NSHDSACASA-N 0 2 319.369 0.121 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2c([nH]1)CCC2 ZINC001015979632 692531801 /nfs/dbraw/zinc/53/18/01/692531801.db2.gz FHVGRPXVWCGXNX-SNVBAGLBSA-N 0 2 316.365 0.331 20 0 DCADLN Cc1nc(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001016198450 692596915 /nfs/dbraw/zinc/59/69/15/692596915.db2.gz RPMCFHQTNHFRED-VIFPVBQESA-N 0 2 322.394 0.208 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2CC[C@H]([NH2+]Cc3ccccc3F)C2)C1 ZINC001018664082 693186282 /nfs/dbraw/zinc/18/62/82/693186282.db2.gz XYUWCDNIEHIGBV-GOEBONIOSA-N 0 2 321.396 0.847 20 0 DCADLN COCC(=O)N1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001019090087 693350824 /nfs/dbraw/zinc/35/08/24/693350824.db2.gz BTBOPJGFNIOYLG-VIFPVBQESA-N 0 2 312.263 0.594 20 0 DCADLN COCC(=O)N1CCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001019090087 693350829 /nfs/dbraw/zinc/35/08/29/693350829.db2.gz BTBOPJGFNIOYLG-VIFPVBQESA-N 0 2 312.263 0.594 20 0 DCADLN O=C(CC1CC1)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074137994 694825752 /nfs/dbraw/zinc/82/57/52/694825752.db2.gz ZYSNKWFJGYXKBL-NWDGAFQWSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@@H](CCNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001075611966 694963305 /nfs/dbraw/zinc/96/33/05/694963305.db2.gz RTTHOGGOEADBOE-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CCNC(=O)Cn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001075611966 694963306 /nfs/dbraw/zinc/96/33/06/694963306.db2.gz RTTHOGGOEADBOE-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001076856842 695077879 /nfs/dbraw/zinc/07/78/79/695077879.db2.gz FOJUKWMXCCITIP-CAHLUQPWSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001076856842 695077882 /nfs/dbraw/zinc/07/78/82/695077882.db2.gz FOJUKWMXCCITIP-CAHLUQPWSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@@H](CNC(=O)c1cc2occc2[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001570713894 1162595636 /nfs/dbraw/zinc/59/56/36/1162595636.db2.gz CWGMQAKLUXTVBZ-QMMMGPOBSA-N 0 2 318.337 0.835 20 0 DCADLN C[C@@H](CNC(=O)c1cc2occc2[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001570713894 1162595647 /nfs/dbraw/zinc/59/56/47/1162595647.db2.gz CWGMQAKLUXTVBZ-QMMMGPOBSA-N 0 2 318.337 0.835 20 0 DCADLN O=C(NCCCn1cncn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001687197465 1157504136 /nfs/dbraw/zinc/50/41/36/1157504136.db2.gz LPOQIOIORMVYFO-SNVBAGLBSA-N 0 2 320.357 0.081 20 0 DCADLN C/C(=C/C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001691064111 1157565832 /nfs/dbraw/zinc/56/58/32/1157565832.db2.gz BMLZCEGWDBZWNJ-MQGYJPLLSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C/C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001691064111 1157565836 /nfs/dbraw/zinc/56/58/36/1157565836.db2.gz BMLZCEGWDBZWNJ-MQGYJPLLSA-N 0 2 305.382 0.947 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc(Br)s2)n1 ZINC000127688302 1157585829 /nfs/dbraw/zinc/58/58/29/1157585829.db2.gz DTJUXBUKFHBUHO-UHFFFAOYSA-N 0 2 324.185 0.835 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N(C)CCCNC(=O)[C@@H]1CCC[N@@H+]1C ZINC001687415007 1158297638 /nfs/dbraw/zinc/29/76/38/1158297638.db2.gz DIGWWAOBAIUCDM-AWEZNQCLSA-N 0 2 321.425 0.320 20 0 DCADLN COCCCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001704010228 1158477951 /nfs/dbraw/zinc/47/79/51/1158477951.db2.gz HGEFUGDGAKKVFO-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN COCCCC(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001704010228 1158477954 /nfs/dbraw/zinc/47/79/54/1158477954.db2.gz HGEFUGDGAKKVFO-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN Cc1nn(C)cc1CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001349162460 1159174070 /nfs/dbraw/zinc/17/40/70/1159174070.db2.gz CZCVCUOJHJSSQA-SNVBAGLBSA-N 0 2 324.278 0.404 20 0 DCADLN Cc1nn(C)cc1CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001349162460 1159174073 /nfs/dbraw/zinc/17/40/73/1159174073.db2.gz CZCVCUOJHJSSQA-SNVBAGLBSA-N 0 2 324.278 0.404 20 0 DCADLN C[C@H](CCNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001397757070 1159223613 /nfs/dbraw/zinc/22/36/13/1159223613.db2.gz CXGLYRWWNGDRRI-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1cn(C)cn1)NC(=O)[C@H](F)C(F)(F)F ZINC001397757070 1159223619 /nfs/dbraw/zinc/22/36/19/1159223619.db2.gz CXGLYRWWNGDRRI-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@]1(NC(=O)CC[C@H]2CCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446520624 1159448004 /nfs/dbraw/zinc/44/80/04/1159448004.db2.gz YAMBWWPDFQLFRC-ABAIWWIYSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@]1(NC(=O)CC[C@H]2CCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446520624 1159448008 /nfs/dbraw/zinc/44/80/08/1159448008.db2.gz YAMBWWPDFQLFRC-ABAIWWIYSA-N 0 2 323.397 0.550 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@@](C)(CNC(=O)Cn2cncc2C)C1 ZINC001446669459 1159499058 /nfs/dbraw/zinc/49/90/58/1159499058.db2.gz IISKWIMZTAVOEH-INIZCTEOSA-N 0 2 321.425 0.156 20 0 DCADLN O=C(N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]n1 ZINC001447190931 1159597927 /nfs/dbraw/zinc/59/79/27/1159597927.db2.gz URTDJZIRHNNCES-XPUUQOCRSA-N 0 2 323.250 0.330 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)NC(=O)c1ccncc1F ZINC001448108232 1159719533 /nfs/dbraw/zinc/71/95/33/1159719533.db2.gz DLRBOMFOIVOIET-VIFPVBQESA-N 0 2 319.340 0.729 20 0 DCADLN C/C=C(\C)C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001569487047 1161073641 /nfs/dbraw/zinc/07/36/41/1161073641.db2.gz FSUMYSGWXYGNNX-NYYWCZLTSA-N 0 2 315.377 0.631 20 0 DCADLN C/C=C(\C)C(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001572469270 1163188796 /nfs/dbraw/zinc/18/87/96/1163188796.db2.gz CRXPGLDYTWZUNW-AOZRHXBJSA-N 0 2 314.279 0.789 20 0 DCADLN C/C=C(\C)C(=O)N(C)C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001572469270 1163188800 /nfs/dbraw/zinc/18/88/00/1163188800.db2.gz CRXPGLDYTWZUNW-AOZRHXBJSA-N 0 2 314.279 0.789 20 0 DCADLN CC[C@H]1C[N@@H+]([C@@H](C)C(=O)Nc2ncccn2)C[C@]1(F)C(=O)[O-] ZINC001573824906 1163561450 /nfs/dbraw/zinc/56/14/50/1163561450.db2.gz VGDSJCQJHCWKFT-PKFCDNJMSA-N 0 2 310.329 0.938 20 0 DCADLN CC[C@H]1C[N@H+]([C@@H](C)C(=O)Nc2ncccn2)C[C@]1(F)C(=O)[O-] ZINC001573824906 1163561458 /nfs/dbraw/zinc/56/14/58/1163561458.db2.gz VGDSJCQJHCWKFT-PKFCDNJMSA-N 0 2 310.329 0.938 20 0 DCADLN COCC[N@H+](CCC(=O)OC)Cc1nc(C(=O)[O-])cs1 ZINC001573986902 1163618325 /nfs/dbraw/zinc/61/83/25/1163618325.db2.gz VLGWPFDDNNEHQG-UHFFFAOYSA-N 0 2 302.352 0.853 20 0 DCADLN COCC[N@@H+](CCC(=O)OC)Cc1nc(C(=O)[O-])cs1 ZINC001573986902 1163618328 /nfs/dbraw/zinc/61/83/28/1163618328.db2.gz VLGWPFDDNNEHQG-UHFFFAOYSA-N 0 2 302.352 0.853 20 0 DCADLN CS(=O)(=O)NC[C@H]1CC[N@H+](Cc2csc(C(=O)[O-])n2)C1 ZINC001574059330 1163631330 /nfs/dbraw/zinc/63/13/30/1163631330.db2.gz IONRRQJQSWCAHZ-MRVPVSSYSA-N 0 2 319.408 0.212 20 0 DCADLN CS(=O)(=O)NC[C@H]1CC[N@@H+](Cc2csc(C(=O)[O-])n2)C1 ZINC001574059330 1163631336 /nfs/dbraw/zinc/63/13/36/1163631336.db2.gz IONRRQJQSWCAHZ-MRVPVSSYSA-N 0 2 319.408 0.212 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001574110348 1163638115 /nfs/dbraw/zinc/63/81/15/1163638115.db2.gz ALGLLFNLMXVJIQ-JTQLQIEISA-N 0 2 306.322 0.386 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001574110348 1163638122 /nfs/dbraw/zinc/63/81/22/1163638122.db2.gz ALGLLFNLMXVJIQ-JTQLQIEISA-N 0 2 306.322 0.386 20 0 DCADLN Cc1oc2nc(C[N@@H+]3CC[C@@]4(O)C[C@H]4C3)nc(N)c2c1C(=O)[O-] ZINC001574206910 1163663256 /nfs/dbraw/zinc/66/32/56/1163663256.db2.gz WDAUEBKSHRANTJ-VXJOIVPMSA-N 0 2 318.333 0.768 20 0 DCADLN Cc1oc2nc(C[N@H+]3CC[C@@]4(O)C[C@H]4C3)nc(N)c2c1C(=O)[O-] ZINC001574206910 1163663258 /nfs/dbraw/zinc/66/32/58/1163663258.db2.gz WDAUEBKSHRANTJ-VXJOIVPMSA-N 0 2 318.333 0.768 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@@H+]1CC[C@@H](c2nn[nH]n2)C1 ZINC001574299331 1163689500 /nfs/dbraw/zinc/68/95/00/1163689500.db2.gz DUKYQILBBQLJBB-SECBINFHSA-N 0 2 316.321 0.326 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@H+]1CC[C@@H](c2nn[nH]n2)C1 ZINC001574299331 1163689503 /nfs/dbraw/zinc/68/95/03/1163689503.db2.gz DUKYQILBBQLJBB-SECBINFHSA-N 0 2 316.321 0.326 20 0 DCADLN COCCN(CCc1cnn[nH]1)C(=O)c1cccc(O)c1F ZINC001577000736 1164580353 /nfs/dbraw/zinc/58/03/53/1164580353.db2.gz DGBNZTNRFOXYQV-UHFFFAOYSA-N 0 2 308.313 0.981 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CCc2cn[nH]n2)c1C ZINC001577223544 1164642024 /nfs/dbraw/zinc/64/20/24/1164642024.db2.gz NVWCEVTWMMPNQN-ZDUSSCGKSA-N 0 2 317.397 0.534 20 0 DCADLN O=C(NCCC[C@@H]1CCC[N@@H+]1Cc1cnn[nH]1)c1cnns1 ZINC001578005308 1164886916 /nfs/dbraw/zinc/88/69/16/1164886916.db2.gz JDZBQPDRXZGZPR-LLVKDONJSA-N 0 2 321.410 0.831 20 0 DCADLN O=C(NCCC[C@@H]1CCC[N@H+]1Cc1cnn[nH]1)c1cnns1 ZINC001578005308 1164886926 /nfs/dbraw/zinc/88/69/26/1164886926.db2.gz JDZBQPDRXZGZPR-LLVKDONJSA-N 0 2 321.410 0.831 20 0 DCADLN COCc1nc(CNC(=O)c2ccsc2-c2nn[nH]n2)n[nH]1 ZINC001579842441 1165408173 /nfs/dbraw/zinc/40/81/73/1165408173.db2.gz DVUKWWFETAMZLB-UHFFFAOYSA-N 0 2 320.338 0.123 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1C[C@H](O)C1(C)C ZINC001580600449 1165651571 /nfs/dbraw/zinc/65/15/71/1165651571.db2.gz DJMJFTUVCNMMEI-BDAKNGLRSA-N 0 2 318.337 0.165 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC1(O)CCCC1 ZINC001580602275 1165653299 /nfs/dbraw/zinc/65/32/99/1165653299.db2.gz GPNKPOLBABWIHL-UHFFFAOYSA-N 0 2 318.337 0.311 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)NCc1n[nH]c(C2CC2)n1 ZINC001581727173 1165861361 /nfs/dbraw/zinc/86/13/61/1165861361.db2.gz LHMRRGQSAFCMLZ-UHFFFAOYSA-N 0 2 314.309 0.694 20 0 DCADLN Cn1c(=O)[nH]c(Sc2ccccc2)c(-c2nn[nH]n2)c1=O ZINC001581748401 1165863817 /nfs/dbraw/zinc/86/38/17/1165863817.db2.gz AFNCSAJMTJWEFT-UHFFFAOYSA-N 0 2 302.319 0.405 20 0 DCADLN CN(C)C(=O)CC(=O)NC[C@@](C)(NC(=O)[C@@H]1CC12CC2)C1CC1 ZINC001582663016 1166063006 /nfs/dbraw/zinc/06/30/06/1166063006.db2.gz HFWBZTYZUDCRDU-BLLLJJGKSA-N 0 2 321.421 0.666 20 0 DCADLN C[C@](CNC(=O)C(N)=O)(NC(=O)CCc1ccccc1)C1CC1 ZINC001582660071 1166063057 /nfs/dbraw/zinc/06/30/57/1166063057.db2.gz VPHXFSBSBNAZAK-QGZVFWFLSA-N 0 2 317.389 0.506 20 0 DCADLN C[C@H]1CCCC[C@]1(CNC(=O)C(N)=O)NC(=O)C(C)(F)F ZINC001582666135 1166063310 /nfs/dbraw/zinc/06/33/10/1166063310.db2.gz BYBRVTOKMWRVLI-ISVAXAHUSA-N 0 2 305.325 0.308 20 0 DCADLN CN(C)C(=O)CC(=O)NC[C@](C)(NC(=O)[C@H]1CC12CC2)C1CC1 ZINC001582663019 1166063707 /nfs/dbraw/zinc/06/37/07/1166063707.db2.gz HFWBZTYZUDCRDU-WBMJQRKESA-N 0 2 321.421 0.666 20 0 DCADLN C/C=C(\C)C(=O)NC[C@@](C)(NC(=O)[C@H]1CCC(=O)N1C)C1CC1 ZINC001582655536 1166063749 /nfs/dbraw/zinc/06/37/49/1166063749.db2.gz DJSPDPROPBAWPR-KERBNWMQSA-N 0 2 321.421 0.975 20 0 DCADLN C[C@@H]1CC(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C[C@@H](C)O1 ZINC001590205491 1166811322 /nfs/dbraw/zinc/81/13/22/1166811322.db2.gz ATIQMEJSSPEBBD-RNFRBKRXSA-N 0 2 321.341 0.034 20 0 DCADLN CC[C@H]1CCCCN1c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590513189 1166931936 /nfs/dbraw/zinc/93/19/36/1166931936.db2.gz OVAQYJKQGHOVOZ-QMMMGPOBSA-N 0 2 305.342 0.023 20 0 DCADLN CCCCCCn1c(=O)c(-c2nn[nH]n2)c(C)n(CCO)c1=O ZINC001590596248 1166947267 /nfs/dbraw/zinc/94/72/67/1166947267.db2.gz UUPJQDVJXJHAFA-UHFFFAOYSA-N 0 2 322.369 0.071 20 0 DCADLN CCCCOC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001590606998 1166948847 /nfs/dbraw/zinc/94/88/47/1166948847.db2.gz OKIBAUVTDHALMV-UHFFFAOYSA-N 0 2 317.349 0.860 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@H](C)CC(C)C)c1=O ZINC001590949189 1167057336 /nfs/dbraw/zinc/05/73/36/1167057336.db2.gz BHTGIHKYIARSPB-SNVBAGLBSA-N 0 2 306.370 0.892 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(Cc2cccnc2)c1 ZINC001591286189 1167199876 /nfs/dbraw/zinc/19/98/76/1167199876.db2.gz ZONOVCBNWRLECF-UHFFFAOYSA-N 0 2 312.289 0.258 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC2(OC)CCC2)c1 ZINC001591286651 1167201816 /nfs/dbraw/zinc/20/18/16/1167201816.db2.gz OENIYJKQOXQGQS-UHFFFAOYSA-N 0 2 319.321 0.384 20 0 DCADLN COCCOCCOCCn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001591439429 1167289935 /nfs/dbraw/zinc/28/99/35/1167289935.db2.gz FSDCQTVIRPXCJF-UHFFFAOYSA-N 0 2 323.353 0.016 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CSC[C@H]1C ZINC001591836000 1167389876 /nfs/dbraw/zinc/38/98/76/1167389876.db2.gz SDBKJQGYWOWTNX-VXNVDRBHSA-N 0 2 320.378 0.757 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)NC2CCCCC2)c1 ZINC001591836453 1167390056 /nfs/dbraw/zinc/39/00/56/1167390056.db2.gz UVGISRMDJJQZEQ-UHFFFAOYSA-N 0 2 316.365 0.786 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@]1(C)CCOC1 ZINC001591834910 1167390179 /nfs/dbraw/zinc/39/01/79/1167390179.db2.gz XCYJEOPNIVOBSJ-CYBMUJFWSA-N 0 2 304.310 0.185 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NOC1CCOCC1 ZINC001591835697 1167391450 /nfs/dbraw/zinc/39/14/50/1167391450.db2.gz QAYDYRTXIIXKDC-UHFFFAOYSA-N 0 2 320.309 0.116 20 0 DCADLN Cc1ccc(C(=O)Cn2cnc(-c3nn[nH]n3)cc2=O)c(C)c1 ZINC001592115557 1167459105 /nfs/dbraw/zinc/45/91/05/1167459105.db2.gz PIYMLIVXBOPMJW-UHFFFAOYSA-N 0 2 310.317 0.923 20 0 DCADLN Cc1ccn(CCOC[C@H]2CCCO2)c(=O)c1-c1nn[nH]n1 ZINC001592266862 1167479662 /nfs/dbraw/zinc/47/96/62/1167479662.db2.gz ZRXYDLRMJITTPR-LLVKDONJSA-N 0 2 305.338 0.532 20 0 DCADLN Cc1cnn(CCn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)c1 ZINC001592303951 1167487067 /nfs/dbraw/zinc/48/70/67/1167487067.db2.gz DJZRTRNNQFQDSM-UHFFFAOYSA-N 0 2 300.326 0.245 20 0 DCADLN Cc1nn(Cc2cnn(CCF)c2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592438087 1167523541 /nfs/dbraw/zinc/52/35/41/1167523541.db2.gz TVFGRZZQOLRJNE-UHFFFAOYSA-N 0 2 318.316 0.255 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H]1CC(C)(C)OC1=O ZINC001592499245 1167539414 /nfs/dbraw/zinc/53/94/14/1167539414.db2.gz KKCVZYYQMPCOJG-QMMMGPOBSA-N 0 2 305.294 0.592 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(SCC2CCCC2)n(C)c1=O ZINC001592556603 1167557544 /nfs/dbraw/zinc/55/75/44/1167557544.db2.gz FBVPCGTZOSPARI-UHFFFAOYSA-N 0 2 322.394 0.546 20 0 DCADLN O=C(Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2)c1cnccn1 ZINC001592934721 1167667511 /nfs/dbraw/zinc/66/75/11/1167667511.db2.gz SQMWZZJVVVOXAD-UHFFFAOYSA-N 0 2 323.316 0.190 20 0 DCADLN O=C(Nc1n[nH]cc1-c1nn[nH]n1)c1cc(F)c(O)c(F)c1 ZINC001593196999 1167735985 /nfs/dbraw/zinc/73/59/85/1167735985.db2.gz CMKIAQJYJRQXQC-UHFFFAOYSA-N 0 2 307.220 0.826 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@H](O)c1ccc(F)cc1 ZINC001593493164 1167877362 /nfs/dbraw/zinc/87/73/62/1167877362.db2.gz ABMXUPKSINGRHF-NSHDSACASA-N 0 2 302.269 0.296 20 0 DCADLN c1cc(NCc2n[nH]c([C@@H]3CCCO3)n2)cc(-c2nn[nH]n2)n1 ZINC001593560406 1167940784 /nfs/dbraw/zinc/94/07/84/1167940784.db2.gz AIYWUNLETNWVPA-JTQLQIEISA-N 0 2 313.325 0.265 20 0 DCADLN c1sc(CNCCOC[C@@H]2CCCO2)nc1-c1nn[nH]n1 ZINC001593595228 1167957495 /nfs/dbraw/zinc/95/74/95/1167957495.db2.gz GGZWQRLVOKJZTA-VIFPVBQESA-N 0 2 310.383 0.608 20 0 DCADLN COC(=O)[C@H](O)C1CC[NH+]([C@@H](C)c2nc(C(=O)[O-])co2)CC1 ZINC001598714626 1168030229 /nfs/dbraw/zinc/03/02/29/1168030229.db2.gz ZICZZUDFUGINHF-GZMMTYOYSA-N 0 2 312.322 0.680 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@H+](C)C[C@H]2CCCCO2)n1 ZINC001598924704 1168062260 /nfs/dbraw/zinc/06/22/60/1168062260.db2.gz JEJMWKDXDBQOBB-SNVBAGLBSA-N 0 2 311.338 0.826 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@@H+](C)C[C@H]2CCCCO2)n1 ZINC001598924704 1168062269 /nfs/dbraw/zinc/06/22/69/1168062269.db2.gz JEJMWKDXDBQOBB-SNVBAGLBSA-N 0 2 311.338 0.826 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@@H+](C)C[C@H](C(=O)[O-])C2)sn1 ZINC001600293633 1168157157 /nfs/dbraw/zinc/15/71/57/1168157157.db2.gz OEEFUFHDHWLBDF-VIFPVBQESA-N 0 2 319.408 0.088 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@H+](C)C[C@H](C(=O)[O-])C2)sn1 ZINC001600293633 1168157159 /nfs/dbraw/zinc/15/71/59/1168157159.db2.gz OEEFUFHDHWLBDF-VIFPVBQESA-N 0 2 319.408 0.088 20 0 DCADLN Cc1ccc2c(cnn2C[N@@H+]2CCNC(=O)CC2)c1C(=O)[O-] ZINC001600497901 1168192135 /nfs/dbraw/zinc/19/21/35/1168192135.db2.gz FEFZVNGELFSOGG-UHFFFAOYSA-N 0 2 302.334 0.822 20 0 DCADLN Cc1ccc2c(cnn2C[N@H+]2CCNC(=O)CC2)c1C(=O)[O-] ZINC001600497901 1168192139 /nfs/dbraw/zinc/19/21/39/1168192139.db2.gz FEFZVNGELFSOGG-UHFFFAOYSA-N 0 2 302.334 0.822 20 0 DCADLN Cc1cncc(S(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)c1 ZINC001600627994 1168219131 /nfs/dbraw/zinc/21/91/31/1168219131.db2.gz JUTKFSJGHVNNIK-UHFFFAOYSA-N 0 2 313.379 0.171 20 0 DCADLN Cc1nc(C[NH2+]C/C=C\CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])oc1C ZINC001600701674 1168257818 /nfs/dbraw/zinc/25/78/18/1168257818.db2.gz IXMVBHKWQJVIQE-BKGLOODYSA-N 0 2 307.350 0.774 20 0 DCADLN Cn1c(C[NH+]2CCC(O)(C(=O)[O-])CC2)nc2ccccc2c1=O ZINC001600913410 1168505094 /nfs/dbraw/zinc/50/50/94/1168505094.db2.gz ANECNIMXBZGZNL-UHFFFAOYSA-N 0 2 317.345 0.345 20 0 DCADLN Cn1ccc(C[N@H+]2CC=C(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC001600976558 1168540556 /nfs/dbraw/zinc/54/05/56/1168540556.db2.gz RSKMYOYUKCJVQR-ZIAGYGMSSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1ccc(C[N@@H+]2CC=C(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC001600976558 1168540567 /nfs/dbraw/zinc/54/05/67/1168540567.db2.gz RSKMYOYUKCJVQR-ZIAGYGMSSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(C(=O)NOCCCC(=O)[O-])CC1 ZINC001600985290 1168546095 /nfs/dbraw/zinc/54/60/95/1168546095.db2.gz IPAKUZHUSPUMQH-UHFFFAOYSA-N 0 2 324.381 0.545 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ccc(/C=C\C(=O)[O-])o1 ZINC001600993771 1168549871 /nfs/dbraw/zinc/54/98/71/1168549871.db2.gz VXSDOAHSXHKGRZ-ATPLWMGHSA-N 0 2 305.290 0.574 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)Cc1cccc(C(=O)[O-])c1 ZINC001600993751 1168550777 /nfs/dbraw/zinc/55/07/77/1168550777.db2.gz VBVJIWYSNFWKMF-LBPRGKRZSA-N 0 2 303.318 0.511 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)NCCNc1cccc[nH+]1 ZINC001601023964 1168568424 /nfs/dbraw/zinc/56/84/24/1168568424.db2.gz PEZYRKWEVDCGST-UHFFFAOYSA-N 0 2 304.310 0.747 20 0 DCADLN [NH3+][C@@H](CCC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC001601173987 1168621944 /nfs/dbraw/zinc/62/19/44/1168621944.db2.gz JNBKBUPEHNYLAJ-JTQLQIEISA-N 0 2 303.322 0.280 20 0 DCADLN O=C([O-])[C@@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1cccc(O)c1 ZINC001601230591 1168670376 /nfs/dbraw/zinc/67/03/76/1168670376.db2.gz CYCFJXDNUUTTOO-IJJSQOHJSA-N 0 2 320.345 0.523 20 0 DCADLN O=C([O-])c1c(NC(=O)/C=C/C[NH+]2CCOCC2)nc2n1CCC2 ZINC001601230687 1168671099 /nfs/dbraw/zinc/67/10/99/1168671099.db2.gz FOUNEMBRLMSTKY-DUXPYHPUSA-N 0 2 320.349 0.354 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001601251846 1168676999 /nfs/dbraw/zinc/67/69/99/1168676999.db2.gz NVWGWKKVRRGCJJ-YNEHKIRRSA-N 0 2 307.350 0.800 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1c[nH]c2ncccc12 ZINC001601353785 1168717420 /nfs/dbraw/zinc/71/74/20/1168717420.db2.gz WZIFJQLXWQOBLB-GFCCVEGCSA-N 0 2 313.317 0.641 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1c[nH]c2ncccc12 ZINC001601353785 1168717425 /nfs/dbraw/zinc/71/74/25/1168717425.db2.gz WZIFJQLXWQOBLB-GFCCVEGCSA-N 0 2 313.317 0.641 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ncc2n1CCCC2 ZINC001601426295 1168742487 /nfs/dbraw/zinc/74/24/87/1168742487.db2.gz WPMRHNFNZJWRBA-NSHDSACASA-N 0 2 303.322 0.299 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ncc(Cl)s1 ZINC001601426390 1168742694 /nfs/dbraw/zinc/74/26/94/1168742694.db2.gz YQZVBGBIVFVBEB-ZCFIWIBFSA-N 0 2 300.727 0.876 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001601565682 1168782972 /nfs/dbraw/zinc/78/29/72/1168782972.db2.gz LAPZVKJBUNLBOT-ZETCQYMHSA-N 0 2 317.227 0.577 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001601565682 1168782982 /nfs/dbraw/zinc/78/29/82/1168782982.db2.gz LAPZVKJBUNLBOT-ZETCQYMHSA-N 0 2 317.227 0.577 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1csc(NC2CC2)n1 ZINC001601564697 1168783649 /nfs/dbraw/zinc/78/36/49/1168783649.db2.gz GAYGZEZZICVIOS-VIFPVBQESA-N 0 2 321.362 0.866 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1csc(NC2CC2)n1 ZINC001601564697 1168783655 /nfs/dbraw/zinc/78/36/55/1168783655.db2.gz GAYGZEZZICVIOS-VIFPVBQESA-N 0 2 321.362 0.866 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCc2ccccc2O1 ZINC001601568144 1168784689 /nfs/dbraw/zinc/78/46/89/1168784689.db2.gz IAGCKOKGGAMGGA-OCCSQVGLSA-N 0 2 315.329 0.915 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCc2ccccc2O1 ZINC001601568144 1168784695 /nfs/dbraw/zinc/78/46/95/1168784695.db2.gz IAGCKOKGGAMGGA-OCCSQVGLSA-N 0 2 315.329 0.915 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001601650759 1168804532 /nfs/dbraw/zinc/80/45/32/1168804532.db2.gz QUEHFTBYQFYCHK-QWHCGFSZSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001601650759 1168804548 /nfs/dbraw/zinc/80/45/48/1168804548.db2.gz QUEHFTBYQFYCHK-QWHCGFSZSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)C(F)(F)C1CCOCC1 ZINC001601663387 1168809990 /nfs/dbraw/zinc/80/99/90/1168809990.db2.gz SUSGQVZCULLTMV-SNVBAGLBSA-N 0 2 317.292 0.514 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)CC1 ZINC001601681223 1168813657 /nfs/dbraw/zinc/81/36/57/1168813657.db2.gz BNIMJZHORACEEQ-WCQYABFASA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001601775894 1168874294 /nfs/dbraw/zinc/87/42/94/1168874294.db2.gz NHSQBJRDCRPSDM-NWDGAFQWSA-N 0 2 316.361 0.232 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001601775894 1168874309 /nfs/dbraw/zinc/87/43/09/1168874309.db2.gz NHSQBJRDCRPSDM-NWDGAFQWSA-N 0 2 316.361 0.232 20 0 DCADLN O=C([O-])C[C@]1([NH2+]Cc2cc(=O)n3[nH]ccc3n2)CCCOC1 ZINC001601935870 1168939849 /nfs/dbraw/zinc/93/98/49/1168939849.db2.gz BIAXHRGXLXTAPQ-CQSZACIVSA-N 0 2 306.322 0.136 20 0 DCADLN O=C([O-])CCCc1nnc(NC(=O)[C@H]2CSC[NH2+]2)s1 ZINC001602002980 1168958967 /nfs/dbraw/zinc/95/89/67/1168958967.db2.gz UJWYDXJRKDETKS-ZCFIWIBFSA-N 0 2 302.381 0.546 20 0 DCADLN O=C([O-])CCN1CC[C@H]([N@@H+]2CC[C@](O)(C(F)(F)F)C2)C1=O ZINC001602009210 1168960048 /nfs/dbraw/zinc/96/00/48/1168960048.db2.gz ANVRVWGVIYZKSM-GZMMTYOYSA-N 0 2 310.272 0.061 20 0 DCADLN O=C([O-])CCN1CC[C@H]([N@H+]2CC[C@](O)(C(F)(F)F)C2)C1=O ZINC001602009210 1168960054 /nfs/dbraw/zinc/96/00/54/1168960054.db2.gz ANVRVWGVIYZKSM-GZMMTYOYSA-N 0 2 310.272 0.061 20 0 DCADLN O=C([O-])CCNC(=O)C[N@H+]1Cc2cccnc2N2CCC[C@@H]2C1 ZINC001602021713 1168970096 /nfs/dbraw/zinc/97/00/96/1168970096.db2.gz KAXDKNLAHMNPFL-CYBMUJFWSA-N 0 2 318.377 0.457 20 0 DCADLN O=C([O-])CCNC(=O)C[N@@H+]1Cc2cccnc2N2CCC[C@@H]2C1 ZINC001602021713 1168970102 /nfs/dbraw/zinc/97/01/02/1168970102.db2.gz KAXDKNLAHMNPFL-CYBMUJFWSA-N 0 2 318.377 0.457 20 0 DCADLN O=C([O-])Cn1cc(CN[C@H]2CCC[N@@H+]3CCSC[C@H]23)nn1 ZINC001602162526 1169010092 /nfs/dbraw/zinc/01/00/92/1169010092.db2.gz YUBACINGVMEWNF-NWDGAFQWSA-N 0 2 311.411 0.032 20 0 DCADLN O=C([O-])Cn1cc(CN[C@H]2CCC[N@H+]3CCSC[C@H]23)nn1 ZINC001602162526 1169010103 /nfs/dbraw/zinc/01/01/03/1169010103.db2.gz YUBACINGVMEWNF-NWDGAFQWSA-N 0 2 311.411 0.032 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@]12CCO[C@@H]1CCCC2 ZINC001602208468 1169030688 /nfs/dbraw/zinc/03/06/88/1169030688.db2.gz FDNVLFAOCRZJDH-YWPYICTPSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@]12CCO[C@@H]1CCCC2 ZINC001602208468 1169030695 /nfs/dbraw/zinc/03/06/95/1169030695.db2.gz FDNVLFAOCRZJDH-YWPYICTPSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C(F)(F)C1(O)CCCC1 ZINC001602208475 1169030818 /nfs/dbraw/zinc/03/08/18/1169030818.db2.gz FJPWKYYHTHKCRB-VIFPVBQESA-N 0 2 317.292 0.462 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C(F)(F)C1(O)CCCC1 ZINC001602208475 1169030829 /nfs/dbraw/zinc/03/08/29/1169030829.db2.gz FJPWKYYHTHKCRB-VIFPVBQESA-N 0 2 317.292 0.462 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@]12CCO[C@@H]1CCCC2 ZINC001602208470 1169031120 /nfs/dbraw/zinc/03/11/20/1169031120.db2.gz FDNVLFAOCRZJDH-ZOWXZIJZSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@]12CCO[C@@H]1CCCC2 ZINC001602208470 1169031127 /nfs/dbraw/zinc/03/11/27/1169031127.db2.gz FDNVLFAOCRZJDH-ZOWXZIJZSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@]12CCC[C@H]1C[N@H+](Cc1nnnn1CC(F)(F)F)C2 ZINC001602221614 1169036088 /nfs/dbraw/zinc/03/60/88/1169036088.db2.gz NLBJITQZRGCEHW-KWQFWETISA-N 0 2 319.287 0.922 20 0 DCADLN O=C([O-])[C@]12CCC[C@H]1C[N@@H+](Cc1nnnn1CC(F)(F)F)C2 ZINC001602221614 1169036097 /nfs/dbraw/zinc/03/60/97/1169036097.db2.gz NLBJITQZRGCEHW-KWQFWETISA-N 0 2 319.287 0.922 20 0 DCADLN O=C([O-])c1cncc(S(=O)(=O)NCCNc2cccc[nH+]2)c1 ZINC001602542803 1169112453 /nfs/dbraw/zinc/11/24/53/1169112453.db2.gz NUATYMNOISZASE-UHFFFAOYSA-N 0 2 322.346 0.565 20 0 DCADLN C[C@H](NCc1cccc(-c2nn[nH]n2)n1)[C@H](O)C(F)(F)F ZINC001603668636 1169348257 /nfs/dbraw/zinc/34/82/57/1169348257.db2.gz YWJJNYSASCXNSL-RCOVLWMOSA-N 0 2 302.260 0.663 20 0 DCADLN CC(C)[C@H]1C[C@@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCO1 ZINC001603750755 1169375808 /nfs/dbraw/zinc/37/58/08/1169375808.db2.gz RNXWBZREDHLLJN-WCFLWFBJSA-N 0 2 309.366 0.973 20 0 DCADLN CC(C)[C@H]1C[C@@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCO1 ZINC001603750755 1169375816 /nfs/dbraw/zinc/37/58/16/1169375816.db2.gz RNXWBZREDHLLJN-WCFLWFBJSA-N 0 2 309.366 0.973 20 0 DCADLN C[C@H]1CCC[C@H](Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)C1 ZINC001603887598 1169423127 /nfs/dbraw/zinc/42/31/27/1169423127.db2.gz RXMCSZQWAMXGGU-UWVGGRQHSA-N 0 2 304.354 0.553 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1cc(-c2nn[nH]n2)ccn1)OC ZINC001604040338 1169477231 /nfs/dbraw/zinc/47/72/31/1169477231.db2.gz ZYOSVYVKNCLFIG-SECBINFHSA-N 0 2 312.355 0.428 20 0 DCADLN C[C@H](OC[C@@H]1CCCO1)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001604422847 1169591163 /nfs/dbraw/zinc/59/11/63/1169591163.db2.gz QHLYUEYBLYWRMK-SDDRHHMPSA-N 0 2 311.338 0.037 20 0 DCADLN C[C@@H]1CCn2ncc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c2C1 ZINC001604768881 1169675287 /nfs/dbraw/zinc/67/52/87/1169675287.db2.gz QAEZAJFKFKYCNW-BXKDBHETSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@@H]1CCn2ncc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c2C1 ZINC001604768881 1169675290 /nfs/dbraw/zinc/67/52/90/1169675290.db2.gz QAEZAJFKFKYCNW-BXKDBHETSA-N 0 2 317.349 0.614 20 0 DCADLN COC(=O)c1ccoc1Cn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001604987365 1169772073 /nfs/dbraw/zinc/77/20/73/1169772073.db2.gz YXDOAVPCUZBCQD-UHFFFAOYSA-N 0 2 315.289 0.765 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC(C(=O)[O-])CC1 ZINC001605024301 1169784255 /nfs/dbraw/zinc/78/42/55/1169784255.db2.gz FYNDARGNSZEWJK-ZDUSSCGKSA-N 0 2 313.398 0.603 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CC=C(C(=O)[O-])C1 ZINC001605024671 1169784661 /nfs/dbraw/zinc/78/46/61/1169784661.db2.gz JXWYAFJEZCPYHR-QWHCGFSZSA-N 0 2 311.382 0.570 20 0 DCADLN CCC[C@@H](NC(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001605353915 1169902954 /nfs/dbraw/zinc/90/29/54/1169902954.db2.gz JVTUQJMHXYPMME-CYBMUJFWSA-N 0 2 323.393 0.803 20 0 DCADLN CCN(CC)C(=O)CCCC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001605422291 1169917288 /nfs/dbraw/zinc/91/72/88/1169917288.db2.gz OXODFXCVNATZSH-GFCCVEGCSA-N 0 2 324.381 0.491 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](CO)CC1CC1 ZINC001605506716 1169941499 /nfs/dbraw/zinc/94/14/99/1169941499.db2.gz MWQQYYAHWGJCKX-SECBINFHSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCC1(CO)CC1 ZINC001605509168 1169943134 /nfs/dbraw/zinc/94/31/34/1169943134.db2.gz CESFKXLMFSSNEB-UHFFFAOYSA-N 0 2 318.337 0.168 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2nc(C3CC3)nn2C)c1 ZINC001605510784 1169944042 /nfs/dbraw/zinc/94/40/42/1169944042.db2.gz HUHIWLLAQOFDKB-UHFFFAOYSA-N 0 2 312.337 0.391 20 0 DCADLN Cc1nn(C)cc1NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605510921 1169944925 /nfs/dbraw/zinc/94/49/25/1169944925.db2.gz OMZOOXMFYYGRPE-UHFFFAOYSA-N 0 2 314.309 0.570 20 0 DCADLN Cc1ccc(Cn2cncc(-c3nn[nH]n3)c2=O)c(Cl)n1 ZINC001605861080 1170014942 /nfs/dbraw/zinc/01/49/42/1170014942.db2.gz IUYVOOBJUIISOG-UHFFFAOYSA-N 0 2 303.713 0.829 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)[C@H](COC1CCC1)C(=O)[O-] ZINC001605977092 1170041186 /nfs/dbraw/zinc/04/11/86/1170041186.db2.gz MGUKIDNZOHHEIZ-NQBHXWOUSA-N 0 2 307.350 0.994 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)[C@H](COC1CCC1)C(=O)[O-] ZINC001605977092 1170041194 /nfs/dbraw/zinc/04/11/94/1170041194.db2.gz MGUKIDNZOHHEIZ-NQBHXWOUSA-N 0 2 307.350 0.994 20 0 DCADLN C[N@H+](CCCN1C(=O)CS/C1=C\C(=O)[O-])Cc1ncc[nH]1 ZINC001606121939 1170082999 /nfs/dbraw/zinc/08/29/99/1170082999.db2.gz XEDQFQOUVJGCKG-GHXNOFRVSA-N 0 2 310.379 0.733 20 0 DCADLN C[N@@H+](CCCN1C(=O)CS/C1=C\C(=O)[O-])Cc1ncc[nH]1 ZINC001606121939 1170083007 /nfs/dbraw/zinc/08/30/07/1170083007.db2.gz XEDQFQOUVJGCKG-GHXNOFRVSA-N 0 2 310.379 0.733 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)CC(C)(C)C(=O)[O-] ZINC001606125617 1170085035 /nfs/dbraw/zinc/08/50/35/1170085035.db2.gz HRIWPXLNPSWVJK-UHFFFAOYSA-N 0 2 303.384 0.646 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NC2(C3(C)CC3)CC2)n(C)c1=O ZINC001606217692 1170118329 /nfs/dbraw/zinc/11/83/29/1170118329.db2.gz OWGUBYQAIKHBTO-UHFFFAOYSA-N 0 2 317.353 0.009 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NC[C@]2(C(=O)[O-])CCOC2)[nH]n1 ZINC001606226456 1170125022 /nfs/dbraw/zinc/12/50/22/1170125022.db2.gz HBOWCJQOVYRUFD-IUODEOHRSA-N 0 2 322.365 0.398 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)NC[C@]2(C(=O)[O-])CCOC2)[nH]n1 ZINC001606226456 1170125028 /nfs/dbraw/zinc/12/50/28/1170125028.db2.gz HBOWCJQOVYRUFD-IUODEOHRSA-N 0 2 322.365 0.398 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC001606332571 1170161056 /nfs/dbraw/zinc/16/10/56/1170161056.db2.gz QIIZHUMBVLJIIC-RYUDHWBXSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC001606332571 1170161057 /nfs/dbraw/zinc/16/10/57/1170161057.db2.gz QIIZHUMBVLJIIC-RYUDHWBXSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)[C@@H](C(=O)[O-])C1 ZINC001606404387 1170187151 /nfs/dbraw/zinc/18/71/51/1170187151.db2.gz TZWLGZBLSZQLND-IJLUTSLNSA-N 0 2 323.349 0.699 20 0 DCADLN COC(=O)CN(CC(=O)[O-])C(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC001606463657 1170214582 /nfs/dbraw/zinc/21/45/82/1170214582.db2.gz CZYAEYKCONOGBY-LLVKDONJSA-N 0 2 311.338 0.557 20 0 DCADLN COC(=O)c1cccc(C[NH2+]Cc2cn(CC(=O)[O-])nn2)c1 ZINC001606616993 1170242994 /nfs/dbraw/zinc/24/29/94/1170242994.db2.gz WAFFDDRYZXJLGZ-UHFFFAOYSA-N 0 2 304.306 0.439 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)Nc1cccc(F)c1 ZINC001606620520 1170245198 /nfs/dbraw/zinc/24/51/98/1170245198.db2.gz IULKDKLFAHIRAM-UHFFFAOYSA-N 0 2 315.268 0.201 20 0 DCADLN CO[C@]1(CNc2cc(CC(=O)[O-])cc[nH+]2)CCS(=O)(=O)C1 ZINC001606717838 1170271840 /nfs/dbraw/zinc/27/18/40/1170271840.db2.gz VFTJRQVWIKVTEO-ZDUSSCGKSA-N 0 2 314.363 0.324 20 0 DCADLN CON(C)C(=O)C[N@@H+]1CCN(c2ccc(C(=O)[O-])cc2)C[C@H]1C ZINC001606990340 1170348020 /nfs/dbraw/zinc/34/80/20/1170348020.db2.gz WCWDJWKUKGNXGK-GFCCVEGCSA-N 0 2 321.377 0.915 20 0 DCADLN CON(C)C(=O)C[N@H+]1CCN(c2ccc(C(=O)[O-])cc2)C[C@H]1C ZINC001606990340 1170348029 /nfs/dbraw/zinc/34/80/29/1170348029.db2.gz WCWDJWKUKGNXGK-GFCCVEGCSA-N 0 2 321.377 0.915 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@@H]1COc2ccccc2O1 ZINC001607090145 1170385743 /nfs/dbraw/zinc/38/57/43/1170385743.db2.gz YFVCYNNOPNRRPJ-SECBINFHSA-N 0 2 312.289 0.263 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cccc2c1OCCO2 ZINC001607090959 1170389096 /nfs/dbraw/zinc/38/90/96/1170389096.db2.gz FBHJPBHQSWGJQJ-UHFFFAOYSA-N 0 2 312.289 0.243 20 0 DCADLN O=c1cc(C[NH+]2CCSCC2)nc2c(-c3nn[nH]n3)c[n-]n21 ZINC001607110856 1170402414 /nfs/dbraw/zinc/40/24/14/1170402414.db2.gz LGLDGKYBOUIWFH-UHFFFAOYSA-N 0 2 318.366 0.164 20 0 DCADLN Cc1c(Cl)c(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nn1C ZINC001607528885 1170474060 /nfs/dbraw/zinc/47/40/60/1170474060.db2.gz QJLKBHWLTBCGDG-MRVPVSSYSA-N 0 2 311.729 0.531 20 0 DCADLN Cc1c(Cl)c(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nn1C ZINC001607528885 1170474072 /nfs/dbraw/zinc/47/40/72/1170474072.db2.gz QJLKBHWLTBCGDG-MRVPVSSYSA-N 0 2 311.729 0.531 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NCCn2cc[nH+]c2)c(C(=O)[O-])c1 ZINC001607798673 1170508048 /nfs/dbraw/zinc/50/80/48/1170508048.db2.gz UQJJIQRXXHUORL-UHFFFAOYSA-N 0 2 316.317 0.645 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+](C)CC(=O)NC(C)C ZINC001608006630 1170546290 /nfs/dbraw/zinc/54/62/90/1170546290.db2.gz JDYXZRJLUMDJNQ-UHFFFAOYSA-N 0 2 310.398 0.931 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+](C)CC(=O)NC(C)C ZINC001608006630 1170546296 /nfs/dbraw/zinc/54/62/96/1170546296.db2.gz JDYXZRJLUMDJNQ-UHFFFAOYSA-N 0 2 310.398 0.931 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1CCN2C(=O)OC[C@@H]2C1 ZINC001608008534 1170546745 /nfs/dbraw/zinc/54/67/45/1170546745.db2.gz KPSYBSXATPOQCD-LBPRGKRZSA-N 0 2 322.365 0.611 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1CCN2C(=O)OC[C@@H]2C1 ZINC001608008534 1170546751 /nfs/dbraw/zinc/54/67/51/1170546751.db2.gz KPSYBSXATPOQCD-LBPRGKRZSA-N 0 2 322.365 0.611 20 0 DCADLN Cc1oc(C(=O)[O-])cc1C(=O)N1CC[NH2+][C@H](c2cnn(C)c2)C1 ZINC001608033755 1170554101 /nfs/dbraw/zinc/55/41/01/1170554101.db2.gz BCDQCVCICNXOIQ-LBPRGKRZSA-N 0 2 318.333 0.806 20 0 DCADLN Cn1cnc2cncc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c21 ZINC001608136832 1170589511 /nfs/dbraw/zinc/58/95/11/1170589511.db2.gz XFSQUFOGCRWXIP-SNVBAGLBSA-N 0 2 314.305 0.117 20 0 DCADLN Cn1cnc2cncc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c21 ZINC001608136832 1170589513 /nfs/dbraw/zinc/58/95/13/1170589513.db2.gz XFSQUFOGCRWXIP-SNVBAGLBSA-N 0 2 314.305 0.117 20 0 DCADLN Cn1nc(C(F)(F)F)cc1C[N@@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001608145841 1170593852 /nfs/dbraw/zinc/59/38/52/1170593852.db2.gz VPBDKDYFLKHMQM-IONNQARKSA-N 0 2 307.272 0.706 20 0 DCADLN [NH3+][C@H](CC(=O)N1CC[C@H](C(=O)[O-])C[C@@H]1[C@H]1CCCO1)C(F)F ZINC001608247464 1170629725 /nfs/dbraw/zinc/62/97/25/1170629725.db2.gz HJAFHCMKTAYDTJ-LNFKQOIKSA-N 0 2 320.336 0.840 20 0 DCADLN [NH3+][C@@H](CC(=O)NC[C@@H](Oc1ccccc1)C(=O)[O-])C(F)F ZINC001608248920 1170630904 /nfs/dbraw/zinc/63/09/04/1170630904.db2.gz RYZPYYTXEFSXMJ-VHSXEESVSA-N 0 2 302.277 0.617 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)N[C@@H](C(=O)[O-])c1ccccn1 ZINC001608282234 1170641842 /nfs/dbraw/zinc/64/18/42/1170641842.db2.gz SAHMGTBHJNRBIN-PKXJPQMGSA-N 0 2 305.334 0.212 20 0 DCADLN O=C([O-])[C@@H]1CC[N@H+](Cc2nnnn2C2CC2)[C@@H]([C@H]2CCCO2)C1 ZINC001608635435 1170727620 /nfs/dbraw/zinc/72/76/20/1170727620.db2.gz XJRGSWAIMHDVIQ-RAIGVLPGSA-N 0 2 321.381 0.852 20 0 DCADLN O=C([O-])[C@@H]1CC[N@@H+](Cc2nnnn2C2CC2)[C@@H]([C@H]2CCCO2)C1 ZINC001608635435 1170727625 /nfs/dbraw/zinc/72/76/25/1170727625.db2.gz XJRGSWAIMHDVIQ-RAIGVLPGSA-N 0 2 321.381 0.852 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCCN1c1nc(N2CCCC2)cc[nH+]1 ZINC001608752440 1170749772 /nfs/dbraw/zinc/74/97/72/1170749772.db2.gz SJUJGGBLZCNXSM-NSHDSACASA-N 0 2 319.365 0.246 20 0 DCADLN O=C([O-])c1ccc(Cl)c(C(=O)NC[C@H]2C[NH+]3CCN2CC3)c1 ZINC001608891076 1170769296 /nfs/dbraw/zinc/76/92/96/1170769296.db2.gz BISLYSHIIOJZRW-NSHDSACASA-N 0 2 323.780 0.768 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCCCCn2cc[nH+]c2)cn1 ZINC001608912378 1170771233 /nfs/dbraw/zinc/77/12/33/1170771233.db2.gz APXVENSJGPDBEQ-UHFFFAOYSA-N 0 2 324.362 0.735 20 0 DCADLN C[C@@H]1CCC[C@@H]1CNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001609164612 1170834028 /nfs/dbraw/zinc/83/40/28/1170834028.db2.gz UMNHXEZWNKVTLD-RKDXNWHRSA-N 0 2 319.369 0.112 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)OC[C@H](C)O ZINC001609378240 1170957891 /nfs/dbraw/zinc/95/78/91/1170957891.db2.gz RKLYTPOMKDGAJX-ZETCQYMHSA-N 0 2 321.337 0.441 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CC3(CC3)C(=O)O2)c1 ZINC001609386558 1170958737 /nfs/dbraw/zinc/95/87/37/1170958737.db2.gz OZTQSMPRDYBIBB-VIFPVBQESA-N 0 2 301.306 0.433 20 0 DCADLN Cc1nn(C[C@H]2CCO[C@@H](C)C2)c(=O)c(-c2nn[nH]n2)c1C ZINC001609459320 1170985928 /nfs/dbraw/zinc/98/59/28/1170985928.db2.gz AQBHOXKEPXIZBZ-KWQFWETISA-N 0 2 304.354 0.855 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001609624241 1171052615 /nfs/dbraw/zinc/05/26/15/1171052615.db2.gz ZXALFTNCMQUFAN-ZDUSSCGKSA-N 0 2 316.317 0.725 20 0 DCADLN CC(C)(C)n1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cn1 ZINC001609672529 1171063317 /nfs/dbraw/zinc/06/33/17/1171063317.db2.gz WZVGCKVGULTKON-LLVKDONJSA-N 0 2 305.338 0.718 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@@H]2[N@@H+]1Cc1nnnn1C1CC1 ZINC001609853254 1171103749 /nfs/dbraw/zinc/10/37/49/1171103749.db2.gz RSFLASDSLCVKIP-QTKMDUPCSA-N 0 2 307.354 0.603 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@H](C(=O)[O-])C[C@@H]2[N@H+]1Cc1nnnn1C1CC1 ZINC001609853254 1171103751 /nfs/dbraw/zinc/10/37/51/1171103751.db2.gz RSFLASDSLCVKIP-QTKMDUPCSA-N 0 2 307.354 0.603 20 0 DCADLN CCCNC(=O)NC(=O)C[NH2+][C@@](C)(C(=O)[O-])c1ccccc1 ZINC001609979080 1171130976 /nfs/dbraw/zinc/13/09/76/1171130976.db2.gz CACMZQUTHVXZAY-OAHLLOKOSA-N 0 2 307.350 0.812 20 0 DCADLN CCOC(=O)[C@H]1C[NH2+]CCN1C(=O)c1ccc(C(=O)[O-])s1 ZINC001610014657 1171139816 /nfs/dbraw/zinc/13/98/16/1171139816.db2.gz PNBYEKHMVGVXFF-MRVPVSSYSA-N 0 2 312.347 0.423 20 0 DCADLN CNc1nc(N2C[C@H](C(=O)[O-])C[C@H](O)C2)[nH+]c2ccccc21 ZINC001610148950 1171177215 /nfs/dbraw/zinc/17/72/15/1171177215.db2.gz ZACXOWWGJPAJCO-ZJUUUORDSA-N 0 2 302.334 0.943 20 0 DCADLN COC[C@@](C)([NH2+]CC(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC001610245532 1171205223 /nfs/dbraw/zinc/20/52/23/1171205223.db2.gz LSOOJEAHRUNMTO-OAHLLOKOSA-N 0 2 321.333 0.051 20 0 DCADLN COc1cc(-c2noc(C[NH2+][C@@](C)(C(=O)[O-])C3CC3)n2)ncn1 ZINC001610279315 1171216065 /nfs/dbraw/zinc/21/60/65/1171216065.db2.gz BHUGHKQHVLUTLA-CQSZACIVSA-N 0 2 319.321 0.878 20 0 DCADLN COc1cc(N2CC[NH+](Cc3ccc(C(=O)[O-])[nH]3)CC2)ncn1 ZINC001610300931 1171218695 /nfs/dbraw/zinc/21/86/95/1171218695.db2.gz ZHAAZWQUNAAOGM-UHFFFAOYSA-N 0 2 317.349 0.834 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+]2C[C@H](O)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001610396996 1171230625 /nfs/dbraw/zinc/23/06/25/1171230625.db2.gz MIDDRPSOPHUTKV-GARJFASQSA-N 0 2 310.350 0.328 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+]2C[C@H](O)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001610396996 1171230636 /nfs/dbraw/zinc/23/06/36/1171230636.db2.gz MIDDRPSOPHUTKV-GARJFASQSA-N 0 2 310.350 0.328 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2nc[nH]c2n1 ZINC001610682160 1171290053 /nfs/dbraw/zinc/29/00/53/1171290053.db2.gz NYKQQKTZLFJNSS-SNVBAGLBSA-N 0 2 300.278 0.038 20 0 DCADLN O=C([O-])[C@H](CC(F)F)NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC001610715359 1171297283 /nfs/dbraw/zinc/29/72/83/1171297283.db2.gz JYDZGIKHMPWXEP-WDEREUQCSA-N 0 2 320.336 0.854 20 0 DCADLN O=C([O-])[C@H](CC(F)F)NC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC001610715359 1171297286 /nfs/dbraw/zinc/29/72/86/1171297286.db2.gz JYDZGIKHMPWXEP-WDEREUQCSA-N 0 2 320.336 0.854 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(CN2CC[NH+](Cc3ccsc3)CC2)C1 ZINC001610734347 1171301728 /nfs/dbraw/zinc/30/17/28/1171301728.db2.gz IAHGCMCPLZJVMO-ZDUSSCGKSA-N 0 2 323.418 0.756 20 0 DCADLN COC[C@H](C)NC(=S)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000011094038 1171365107 /nfs/dbraw/zinc/36/51/07/1171365107.db2.gz ISCDTPXIGSQATM-QMMMGPOBSA-N 0 2 311.411 0.432 20 0 DCADLN CCn1ncn(NC(=O)c2c(F)cccc2-n2cccn2)c1=O ZINC001628810066 1171536578 /nfs/dbraw/zinc/53/65/78/1171536578.db2.gz DATVLEMRRITWCM-UHFFFAOYSA-N 0 2 316.296 0.773 20 0 DCADLN Cc1cccn2cc(CCNC(=O)c3n[nH]c(=O)[n-]c3=O)[nH+]c12 ZINC001629239756 1171544862 /nfs/dbraw/zinc/54/48/62/1171544862.db2.gz CKANBGKBPLUBPX-UHFFFAOYSA-N 0 2 314.305 0.211 20 0 DCADLN O=C(CCCn1c(=O)[n-][nH]c1=O)NCCCCn1cc[nH+]c1 ZINC001632742662 1171624534 /nfs/dbraw/zinc/62/45/34/1171624534.db2.gz IZXXPXFFARRDJU-UHFFFAOYSA-N 0 2 308.342 0.263 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N[C@H]2C=CCCC2)[nH]1 ZINC001632995284 1171629553 /nfs/dbraw/zinc/62/95/53/1171629553.db2.gz OKRMBKGEAOPKDW-VIFPVBQESA-N 0 2 313.383 0.257 20 0 DCADLN O=C(NN1CCc2ccccc2C1=O)c1ccc2n[nH]nc2n1 ZINC001637067412 1171757925 /nfs/dbraw/zinc/75/79/25/1171757925.db2.gz WOMZAXKDZIWWOW-UHFFFAOYSA-N 0 2 308.301 0.696 20 0 DCADLN O=C(c1cccc2n[nH]nc21)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001638182260 1171792182 /nfs/dbraw/zinc/79/21/82/1171792182.db2.gz PMFSJXDBGFYZGB-SECBINFHSA-N 0 2 312.337 0.566 20 0 DCADLN O=C(/C=C\Cn1cncn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001639544382 1171843314 /nfs/dbraw/zinc/84/33/14/1171843314.db2.gz HNZGHQJYJCQTCL-WUWIAWFRSA-N 0 2 303.326 0.064 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)C1CCC2(CC1)NC(=O)NC2=O ZINC001643447479 1171976833 /nfs/dbraw/zinc/97/68/33/1171976833.db2.gz VCIFETFBKCGMEM-UHFFFAOYSA-N 0 2 319.365 0.445 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)c(C)o1 ZINC001646081526 1172245453 /nfs/dbraw/zinc/24/54/53/1172245453.db2.gz KBVWDEYFBQHGEB-UHFFFAOYSA-N 0 2 316.379 0.677 20 0 DCADLN CN(C(=O)c1cc(C(=O)[O-])on1)C(C)(C)C[NH+]1CCOCC1 ZINC001646262984 1172311838 /nfs/dbraw/zinc/31/18/38/1172311838.db2.gz RQZPQOOOFOJRAV-UHFFFAOYSA-N 0 2 311.338 0.556 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[NH+](CC(=O)[O-])CC2)ccc1F ZINC001646286638 1172322190 /nfs/dbraw/zinc/32/21/90/1172322190.db2.gz JLDSICKPXMTGIX-UHFFFAOYSA-N 0 2 316.354 0.525 20 0 DCADLN COCc1nnc(CNc2ccnc(C(=O)N3CCCC3)c2)[nH]1 ZINC001647372462 1172848644 /nfs/dbraw/zinc/84/86/44/1172848644.db2.gz JPOFSTVUQAHAAM-UHFFFAOYSA-N 0 2 316.365 0.616 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2C[C@H]2CCOC2)S1 ZINC001649706005 1173176009 /nfs/dbraw/zinc/17/60/09/1173176009.db2.gz ALYYUJMVOPGTCY-RKDXNWHRSA-N 0 2 323.378 0.415 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC1(CCO)CC1 ZINC001649761542 1173207180 /nfs/dbraw/zinc/20/71/80/1173207180.db2.gz JJQJOQWBFCIOKF-UHFFFAOYSA-N 0 2 304.350 0.152 20 0 DCADLN CC(C)OCCONC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001681142106 1175877230 /nfs/dbraw/zinc/87/72/30/1175877230.db2.gz YEFXJNPISIRCJI-UHFFFAOYSA-N 0 2 312.330 0.175 20 0 DCADLN CCCC(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001686401488 1176077483 /nfs/dbraw/zinc/07/74/83/1176077483.db2.gz DRLZLUHPFSFBTO-UHFFFAOYSA-N 0 2 304.354 0.456 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001686638184 1176180664 /nfs/dbraw/zinc/18/06/64/1176180664.db2.gz MQTUXSNYZFYWHD-WPRPVWTQSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001686638184 1176180666 /nfs/dbraw/zinc/18/06/66/1176180666.db2.gz MQTUXSNYZFYWHD-WPRPVWTQSA-N 0 2 314.279 0.936 20 0 DCADLN CN(CCNC(=O)c1ccn[nH]1)C(=O)c1ccc2[nH]nnc2c1 ZINC001688309217 1176443116 /nfs/dbraw/zinc/44/31/16/1176443116.db2.gz FWBNLCNOESPDHR-UHFFFAOYSA-N 0 2 313.321 0.183 20 0 DCADLN CC(C)[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(N)=O ZINC001689016471 1176674845 /nfs/dbraw/zinc/67/48/45/1176674845.db2.gz NWBHJMREPGVUDS-RCOVLWMOSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CC(N)=O ZINC001689016471 1176674849 /nfs/dbraw/zinc/67/48/49/1176674849.db2.gz NWBHJMREPGVUDS-RCOVLWMOSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(N)=O ZINC001689016470 1176675302 /nfs/dbraw/zinc/67/53/02/1176675302.db2.gz NWBHJMREPGVUDS-MUWHJKNJSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CC(N)=O ZINC001689016470 1176675309 /nfs/dbraw/zinc/67/53/09/1176675309.db2.gz NWBHJMREPGVUDS-MUWHJKNJSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccco1 ZINC001699436072 1178137093 /nfs/dbraw/zinc/13/70/93/1178137093.db2.gz HJZKAXSZHIJEMB-OMMCCPJFSA-N 0 2 305.338 0.753 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccco1 ZINC001699436072 1178137098 /nfs/dbraw/zinc/13/70/98/1178137098.db2.gz HJZKAXSZHIJEMB-OMMCCPJFSA-N 0 2 305.338 0.753 20 0 DCADLN Cn1cnc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)c1 ZINC001705013874 1180223210 /nfs/dbraw/zinc/22/32/10/1180223210.db2.gz FFZZEDGEPOZHBP-PKRMOACSSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cnc(C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001705013874 1180223213 /nfs/dbraw/zinc/22/32/13/1180223213.db2.gz FFZZEDGEPOZHBP-PKRMOACSSA-N 0 2 322.262 0.723 20 0 DCADLN C[N@@H+](CC(=O)NC/C=C/CNC(=O)Cc1[nH]cc[nH+]1)C1CCC1 ZINC001705057018 1180243728 /nfs/dbraw/zinc/24/37/28/1180243728.db2.gz AAZCEVHNNPANTN-NSCUHMNNSA-N 0 2 319.409 0.225 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001718763407 1183349428 /nfs/dbraw/zinc/34/94/28/1183349428.db2.gz TXKYOUOCHJFGFN-SCZZXKLOSA-N 0 2 314.279 0.789 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001718763407 1183349436 /nfs/dbraw/zinc/34/94/36/1183349436.db2.gz TXKYOUOCHJFGFN-SCZZXKLOSA-N 0 2 314.279 0.789 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)C1=COCCO1 ZINC001720220028 1183513213 /nfs/dbraw/zinc/51/32/13/1183513213.db2.gz PYXFESZYBJEVFX-MRVPVSSYSA-N 0 2 314.235 0.398 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)C1=COCCO1 ZINC001720220028 1183513218 /nfs/dbraw/zinc/51/32/18/1183513218.db2.gz PYXFESZYBJEVFX-MRVPVSSYSA-N 0 2 314.235 0.398 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721754875 1184021543 /nfs/dbraw/zinc/02/15/43/1184021543.db2.gz YDAYFOFPPIDWTA-BYHGJBBWSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001721754875 1184021548 /nfs/dbraw/zinc/02/15/48/1184021548.db2.gz YDAYFOFPPIDWTA-BYHGJBBWSA-N 0 2 300.252 0.446 20 0 DCADLN COc1c(C(=O)NCCCN(C)Cc2ccon2)c(C)nn1C ZINC001731270485 1185232528 /nfs/dbraw/zinc/23/25/28/1185232528.db2.gz UBWVBKJTGQKEBW-UHFFFAOYSA-N 0 2 321.381 0.977 20 0 DCADLN C[C@H](CNC(=O)C=Cc1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001734026806 1186523900 /nfs/dbraw/zinc/52/39/00/1186523900.db2.gz YFMAXBRHKCDKBH-BRAIEQGRSA-N 0 2 305.338 0.753 20 0 DCADLN C[C@H](CNC(=O)C=Cc1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001734026806 1186523904 /nfs/dbraw/zinc/52/39/04/1186523904.db2.gz YFMAXBRHKCDKBH-BRAIEQGRSA-N 0 2 305.338 0.753 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCNC(=O)C2CCC2)cn1 ZINC001736650397 1187013204 /nfs/dbraw/zinc/01/32/04/1187013204.db2.gz DDWAYJDEPNURHX-UHFFFAOYSA-N 0 2 316.365 0.456 20 0 DCADLN Cn1ccnc1CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001736784785 1187104100 /nfs/dbraw/zinc/10/41/00/1187104100.db2.gz BWTIGIIYRDAWKM-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN CC[C@@H](NC(C)=O)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001736784993 1187104164 /nfs/dbraw/zinc/10/41/64/1187104164.db2.gz GUDRNEGVRKLUED-SFYZADRCSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@@H](NC(C)=O)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001736784993 1187104167 /nfs/dbraw/zinc/10/41/67/1187104167.db2.gz GUDRNEGVRKLUED-SFYZADRCSA-N 0 2 315.267 0.034 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cnccn1 ZINC001738434314 1187543558 /nfs/dbraw/zinc/54/35/58/1187543558.db2.gz JUXUJWYDPUEUIT-GPYPMJJRSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1cnccn1 ZINC001738434314 1187543560 /nfs/dbraw/zinc/54/35/60/1187543560.db2.gz JUXUJWYDPUEUIT-GPYPMJJRSA-N 0 2 320.246 0.779 20 0 DCADLN Cc1ccnc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001742372774 1187969289 /nfs/dbraw/zinc/96/92/89/1187969289.db2.gz MBUBJNIJWZFKJM-ZETCQYMHSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1ccnc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001742372774 1187969292 /nfs/dbraw/zinc/96/92/92/1187969292.db2.gz MBUBJNIJWZFKJM-ZETCQYMHSA-N 0 2 308.235 0.531 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001743501257 1188139787 /nfs/dbraw/zinc/13/97/87/1188139787.db2.gz YDUXMQQDYYHORN-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001743501257 1188139790 /nfs/dbraw/zinc/13/97/90/1188139790.db2.gz YDUXMQQDYYHORN-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cc[n+]([O-])cc1 ZINC001744681047 1188227716 /nfs/dbraw/zinc/22/77/16/1188227716.db2.gz SJWHVQPTUBTKFP-IONNQARKSA-N 0 2 323.246 0.455 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cc[n+]([O-])cc1 ZINC001744681047 1188227711 /nfs/dbraw/zinc/22/77/11/1188227711.db2.gz SJWHVQPTUBTKFP-IONNQARKSA-N 0 2 323.246 0.455 20 0 DCADLN C[C@@H](C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001755885863 1189248837 /nfs/dbraw/zinc/24/88/37/1189248837.db2.gz OQRZVRGMRJZLNP-SNVBAGLBSA-N 0 2 305.382 0.805 20 0 DCADLN C[C@@H](C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001755885863 1189248842 /nfs/dbraw/zinc/24/88/42/1189248842.db2.gz OQRZVRGMRJZLNP-SNVBAGLBSA-N 0 2 305.382 0.805 20 0 DCADLN CC(C)[C@@H](F)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001755891483 1189249900 /nfs/dbraw/zinc/24/99/00/1189249900.db2.gz FRVXYICPEVUZJS-GFCCVEGCSA-N 0 2 311.361 0.753 20 0 DCADLN CC(C)[C@@H](F)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001755891483 1189249904 /nfs/dbraw/zinc/24/99/04/1189249904.db2.gz FRVXYICPEVUZJS-GFCCVEGCSA-N 0 2 311.361 0.753 20 0 DCADLN CC(C)=CC(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001757005040 1189489975 /nfs/dbraw/zinc/48/99/75/1189489975.db2.gz MEDQGPAHSLCWHT-NQMVMOMDSA-N 0 2 312.263 0.541 20 0 DCADLN CC(C)=CC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001757005040 1189489980 /nfs/dbraw/zinc/48/99/80/1189489980.db2.gz MEDQGPAHSLCWHT-NQMVMOMDSA-N 0 2 312.263 0.541 20 0 DCADLN C[C@@H]1C[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC001771641236 1190389351 /nfs/dbraw/zinc/38/93/51/1190389351.db2.gz IUADWMUODCGZSS-NXEZZACHSA-N 0 2 305.338 0.003 20 0 DCADLN CC(C)c1noc(CCNC(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC001771611666 1190450121 /nfs/dbraw/zinc/45/01/21/1190450121.db2.gz NPSLWDUGQPHZCJ-SSDOTTSWSA-N 0 2 311.367 0.408 20 0 DCADLN COC(=O)Cc1nc(NC(=O)c2ncoc2C(F)(F)F)n[nH]1 ZINC001771792033 1190500678 /nfs/dbraw/zinc/50/06/78/1190500678.db2.gz GQFIWMVLGATBOB-UHFFFAOYSA-N 0 2 319.199 0.779 20 0 DCADLN CN(CC(=O)NCc1nc(O)cc(=O)[nH]1)Cc1ccccc1 ZINC001771903260 1190547728 /nfs/dbraw/zinc/54/77/28/1190547728.db2.gz BSGHATPEVXIDRK-UHFFFAOYSA-N 0 2 302.334 0.636 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C1CCOCC1 ZINC001771919701 1190556716 /nfs/dbraw/zinc/55/67/16/1190556716.db2.gz VALSNEQOKYAZKD-YPMHNXCESA-N 0 2 307.350 0.442 20 0 DCADLN Cc1cccnc1C[N@H+]1C[C@@H](NC(=O)c2n[nH]cc2F)[C@@H](O)C1 ZINC001083758805 751412710 /nfs/dbraw/zinc/41/27/10/751412710.db2.gz UHTAZSSOPIKJJK-OLZOCXBDSA-N 0 2 319.340 0.227 20 0 DCADLN C[C@H]1C[C@H]1C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001042434918 751642184 /nfs/dbraw/zinc/64/21/84/751642184.db2.gz PMHNARZRZTUPID-GXFFZTMASA-N 0 2 315.377 0.321 20 0 DCADLN CN(C(=O)c1ccc(F)cn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042641900 751801355 /nfs/dbraw/zinc/80/13/55/751801355.db2.gz KCOPEEVBHDIRAE-UHFFFAOYSA-N 0 2 306.301 0.001 20 0 DCADLN Cc1cnccc1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042726118 751867623 /nfs/dbraw/zinc/86/76/23/751867623.db2.gz NRYAHGVLVYCGFX-UHFFFAOYSA-N 0 2 316.365 0.099 20 0 DCADLN CC1(C)CC[C@@H](CNC(=O)C(F)F)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001098826966 752175392 /nfs/dbraw/zinc/17/53/92/752175392.db2.gz QLNRRWDBBNSZJK-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2ncc(C3CC3)o2)c1[O-] ZINC001125728167 747542036 /nfs/dbraw/zinc/54/20/36/747542036.db2.gz BPPKOTDKQHKCQR-UHFFFAOYSA-N 0 2 305.338 0.809 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2CC=CC2)C1)C(F)C(F)(F)F ZINC001043375084 752201519 /nfs/dbraw/zinc/20/15/19/752201519.db2.gz IQWGCBMQXRPBRI-VIFPVBQESA-N 0 2 324.274 0.543 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C2CC=CC2)C1)[C@H](F)C(F)(F)F ZINC001043375084 752201535 /nfs/dbraw/zinc/20/15/35/752201535.db2.gz IQWGCBMQXRPBRI-VIFPVBQESA-N 0 2 324.274 0.543 20 0 DCADLN C[C@@]1(CNC(=O)c2ccoc2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107736183 752267638 /nfs/dbraw/zinc/26/76/38/752267638.db2.gz RRXDAIVWADGEKM-CQSZACIVSA-N 0 2 321.337 0.124 20 0 DCADLN O=C(CC1CCCCC1)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001083327395 748506256 /nfs/dbraw/zinc/50/62/56/748506256.db2.gz RJZQHVXTMDZLQV-NEPJUHHUSA-N 0 2 323.397 0.142 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cccn2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087505460 748882347 /nfs/dbraw/zinc/88/23/47/748882347.db2.gz JLWMSFIUAUAXFY-WDEREUQCSA-N 0 2 318.381 0.632 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cccn2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087505460 748882354 /nfs/dbraw/zinc/88/23/54/748882354.db2.gz JLWMSFIUAUAXFY-WDEREUQCSA-N 0 2 318.381 0.632 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071494141 761903178 /nfs/dbraw/zinc/90/31/78/761903178.db2.gz OLINAQHXICFPRY-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)C[NH+]2CCCC2)CC[N@H+]1Cc1ccnn1C ZINC001087829402 749071771 /nfs/dbraw/zinc/07/17/71/749071771.db2.gz AKSQLABZWDKEBE-JKSUJKDBSA-N 0 2 319.453 0.985 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccnc(F)c2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088489112 749496533 /nfs/dbraw/zinc/49/65/33/749496533.db2.gz IVJIWUPKZOEJFH-SCZZXKLOSA-N 0 2 320.328 0.437 20 0 DCADLN Cc1nscc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088532829 749557017 /nfs/dbraw/zinc/55/70/17/749557017.db2.gz JWTLZFLBNIYTPX-SCZZXKLOSA-N 0 2 322.394 0.668 20 0 DCADLN COCC(=O)NCC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001059765789 749567080 /nfs/dbraw/zinc/56/70/80/749567080.db2.gz CCAQVPKXIHCIPN-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NCC[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001059765789 749567085 /nfs/dbraw/zinc/56/70/85/749567085.db2.gz CCAQVPKXIHCIPN-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@H](O)C(=O)Oc1nc(I)cc(=O)[nH]1 ZINC001228946885 749573939 /nfs/dbraw/zinc/57/39/39/749573939.db2.gz QHCCIFKYMGTCAH-VKHMYHEASA-N 0 2 310.047 0.073 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)cs1 ZINC001088814787 750072430 /nfs/dbraw/zinc/07/24/30/750072430.db2.gz JIFSASYOSYENCW-APPZFPTMSA-N 0 2 322.394 0.668 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2csnn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071713127 762083186 /nfs/dbraw/zinc/08/31/86/762083186.db2.gz ZBZIQCCFUPWJRN-HTQZYQBOSA-N 0 2 323.382 0.145 20 0 DCADLN O=C(C1CC1)N1C[C@@H](C(F)(F)F)[C@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001099195782 751029487 /nfs/dbraw/zinc/02/94/87/751029487.db2.gz JWINDNISYLJLPJ-HTQZYQBOSA-N 0 2 319.287 0.399 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)NCC[NH2+]CCS(C)(=O)=O)ccn12 ZINC001127292970 751036158 /nfs/dbraw/zinc/03/61/58/751036158.db2.gz PQUHFPZUPRTUKS-UHFFFAOYSA-N 0 2 324.406 0.007 20 0 DCADLN C[C@@H]1CCCC[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043687274 752349857 /nfs/dbraw/zinc/34/98/57/752349857.db2.gz NMDSAEBAVPWMCS-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN CCc1nocc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043785618 752389433 /nfs/dbraw/zinc/38/94/33/752389433.db2.gz YIPHMCQROXZVAN-UHFFFAOYSA-N 0 2 306.326 0.017 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044115481 752576093 /nfs/dbraw/zinc/57/60/93/752576093.db2.gz REOSCKDUKLZSBB-QWHCGFSZSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)c1c(F)cccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044225850 752634831 /nfs/dbraw/zinc/63/48/31/752634831.db2.gz AXJLFYDKEMYGEX-UHFFFAOYSA-N 0 2 323.303 0.745 20 0 DCADLN CO[C@H]1CCCC[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044355925 752703164 /nfs/dbraw/zinc/70/31/64/752703164.db2.gz IXLVSRBEDMCVCZ-NEPJUHHUSA-N 0 2 323.397 0.358 20 0 DCADLN CC1(NC(=O)c2cnsn2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045374761 753165635 /nfs/dbraw/zinc/16/56/35/753165635.db2.gz KLZNJFGNFLCCSA-UHFFFAOYSA-N 0 2 323.382 0.146 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CCCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046190537 753540886 /nfs/dbraw/zinc/54/08/86/753540886.db2.gz RSOHOHINGLEXHN-IINYFYTJSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CCCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046190537 753540889 /nfs/dbraw/zinc/54/08/89/753540889.db2.gz RSOHOHINGLEXHN-IINYFYTJSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(NC(=O)c2cscn2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046192387 753543808 /nfs/dbraw/zinc/54/38/08/753543808.db2.gz KHQVKKNARNGRCC-LBPRGKRZSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1cnoc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046745287 753921780 /nfs/dbraw/zinc/92/17/80/753921780.db2.gz IBDVAFBQPNHPLX-ZDUSSCGKSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1cnns1)C2)c1cnon1 ZINC001047166383 754114376 /nfs/dbraw/zinc/11/43/76/754114376.db2.gz ASOIYXXGQSZXSA-AEJSXWLSSA-N 0 2 320.378 0.704 20 0 DCADLN CC(C)C(=O)N1CCOC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001061639157 754170281 /nfs/dbraw/zinc/17/02/81/754170281.db2.gz MEZHRQRSKLIGCD-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)N1CCOC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001061639157 754170286 /nfs/dbraw/zinc/17/02/86/754170286.db2.gz MEZHRQRSKLIGCD-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccn(C)n2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001072072403 762339323 /nfs/dbraw/zinc/33/93/23/762339323.db2.gz JWAKISBWDLIQNQ-NXEZZACHSA-N 0 2 319.369 0.027 20 0 DCADLN O=C(CC1CCC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096914984 755548366 /nfs/dbraw/zinc/54/83/66/755548366.db2.gz HCVLFVJAFSZSRL-UTUOFQBUSA-N 0 2 305.382 0.922 20 0 DCADLN O=C(CC1CCC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001096914984 755548369 /nfs/dbraw/zinc/54/83/69/755548369.db2.gz HCVLFVJAFSZSRL-UTUOFQBUSA-N 0 2 305.382 0.922 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001097047446 755584919 /nfs/dbraw/zinc/58/49/19/755584919.db2.gz QNDFXOZWHCERAD-BBBLOLIVSA-N 0 2 317.353 0.077 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccsn1 ZINC001097306190 755641407 /nfs/dbraw/zinc/64/14/07/755641407.db2.gz CDENLRUWARGJCE-QNSHHTMESA-N 0 2 320.378 0.502 20 0 DCADLN CCN(C(=O)[C@H](C)OC)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001079408054 755828363 /nfs/dbraw/zinc/82/83/63/755828363.db2.gz MTMZIISHHZYMFB-IONNQARKSA-N 0 2 314.279 0.981 20 0 DCADLN CCN(C(=O)[C@H](C)OC)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001079408054 755828369 /nfs/dbraw/zinc/82/83/69/755828369.db2.gz MTMZIISHHZYMFB-IONNQARKSA-N 0 2 314.279 0.981 20 0 DCADLN CCc1ocnc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001079511051 755872939 /nfs/dbraw/zinc/87/29/39/755872939.db2.gz XYASRCCYZWQLCT-RKDXNWHRSA-N 0 2 320.353 0.311 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)o1 ZINC001079543468 755903116 /nfs/dbraw/zinc/90/31/16/755903116.db2.gz CGWHFNUJPMHNMA-MWLCHTKSSA-N 0 2 319.365 0.970 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)n1cccc1 ZINC001079582767 755917830 /nfs/dbraw/zinc/91/78/30/755917830.db2.gz DRUKAKLQEFOVEB-GRYCIOLGSA-N 0 2 318.381 0.510 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)n1cccc1 ZINC001079582767 755917833 /nfs/dbraw/zinc/91/78/33/755917833.db2.gz DRUKAKLQEFOVEB-GRYCIOLGSA-N 0 2 318.381 0.510 20 0 DCADLN CC1(C)C[C@H]1C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053780891 756200166 /nfs/dbraw/zinc/20/01/66/756200166.db2.gz WFUOQIMHJNFHRH-UWVGGRQHSA-N 0 2 321.381 0.016 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080934438 756389417 /nfs/dbraw/zinc/38/94/17/756389417.db2.gz UMNRYSCVRQPPMO-MWLCHTKSSA-N 0 2 304.354 0.397 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080934438 756389421 /nfs/dbraw/zinc/38/94/21/756389421.db2.gz UMNRYSCVRQPPMO-MWLCHTKSSA-N 0 2 304.354 0.397 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3ccon3)C2)c1[O-] ZINC001054570640 756545416 /nfs/dbraw/zinc/54/54/16/756545416.db2.gz INZHQSYRLZAVBO-LDYMZIIASA-N 0 2 305.338 0.662 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@@H]2CN(CCF)C[C@@H]21 ZINC001083089570 757487263 /nfs/dbraw/zinc/48/72/63/757487263.db2.gz LMFWVZXQUIYDKN-YIZRAAEISA-N 0 2 302.243 0.768 20 0 DCADLN C/C=C(/C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001057309625 757546487 /nfs/dbraw/zinc/54/64/87/757546487.db2.gz KMWFFQFUNPGORK-PTDYZRSTSA-N 0 2 314.279 0.790 20 0 DCADLN C/C=C(/C)C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001057309625 757546491 /nfs/dbraw/zinc/54/64/91/757546491.db2.gz KMWFFQFUNPGORK-PTDYZRSTSA-N 0 2 314.279 0.790 20 0 DCADLN C/C(=C\C(=O)N1C[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001084209835 757702662 /nfs/dbraw/zinc/70/26/62/757702662.db2.gz WCNANCOAMSVOEG-SFGZWBMOSA-N 0 2 317.393 0.899 20 0 DCADLN C/C(=C\C(=O)N1C[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CC1 ZINC001084209835 757702667 /nfs/dbraw/zinc/70/26/67/757702667.db2.gz WCNANCOAMSVOEG-SFGZWBMOSA-N 0 2 317.393 0.899 20 0 DCADLN O=C(C[C@H]1C=CCC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084178843 757711331 /nfs/dbraw/zinc/71/13/31/757711331.db2.gz WJZGJMFZTDJWJE-YNEHKIRRSA-N 0 2 317.393 0.899 20 0 DCADLN CC1(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)CC=CC1 ZINC001084632692 757940995 /nfs/dbraw/zinc/94/09/95/757940995.db2.gz IFEXGNZSQYEZSN-VXGBXAGGSA-N 0 2 317.393 0.899 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC12CCC2 ZINC001051143094 758463999 /nfs/dbraw/zinc/46/39/99/758463999.db2.gz WPCRGKXXXZHPCR-GHMZBOCLSA-N 0 2 321.381 0.018 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cc(Cl)c[nH]1 ZINC001085482592 758928656 /nfs/dbraw/zinc/92/86/56/758928656.db2.gz IDZJJHQSGJNMTC-VIFPVBQESA-N 0 2 324.772 0.838 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1=COCCC1 ZINC001085509740 758972575 /nfs/dbraw/zinc/97/25/75/758972575.db2.gz FARBVUGEINYRDC-LLVKDONJSA-N 0 2 307.354 0.237 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1CC(C)(C)C1 ZINC001085581361 759102408 /nfs/dbraw/zinc/10/24/08/759102408.db2.gz JGHGCOKOOUENPY-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cc(F)c[nH]1 ZINC001085728929 759239042 /nfs/dbraw/zinc/23/90/42/759239042.db2.gz KKIQWVQRDYMKJX-SECBINFHSA-N 0 2 308.317 0.324 20 0 DCADLN C/C=C(/C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057830266 759573329 /nfs/dbraw/zinc/57/33/29/759573329.db2.gz BWTPLUPFFBEFIV-PTDYZRSTSA-N 0 2 314.279 0.790 20 0 DCADLN C/C=C(/C)C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057830266 759573336 /nfs/dbraw/zinc/57/33/36/759573336.db2.gz BWTPLUPFFBEFIV-PTDYZRSTSA-N 0 2 314.279 0.790 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058412325 759977630 /nfs/dbraw/zinc/97/76/30/759977630.db2.gz LZJBRLCZXPDRLE-CIUDSAMLSA-N 0 2 314.279 0.480 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001058412325 759977633 /nfs/dbraw/zinc/97/76/33/759977633.db2.gz LZJBRLCZXPDRLE-CIUDSAMLSA-N 0 2 314.279 0.480 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cscn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071378684 761808989 /nfs/dbraw/zinc/80/89/89/761808989.db2.gz NPPFZCWXNGJURI-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)Cc2ccon2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071393813 761819384 /nfs/dbraw/zinc/81/93/84/761819384.db2.gz KQPXNVTVBSLZCM-ONGXEEELSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)Cc2ccon2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071393813 761819396 /nfs/dbraw/zinc/81/93/96/761819396.db2.gz KQPXNVTVBSLZCM-ONGXEEELSA-N 0 2 320.353 0.210 20 0 DCADLN C=C/C(C)=C/CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099956728 763164538 /nfs/dbraw/zinc/16/45/38/763164538.db2.gz DDPOCESMTOONEQ-LGSVWZNTSA-N 0 2 321.381 0.084 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)CC(C)(C)O)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132010810 764194613 /nfs/dbraw/zinc/19/46/13/764194613.db2.gz XCLURINLQIVIKC-ZJUUUORDSA-N 0 2 311.386 0.140 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)CC(C)(C)O)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132010810 764194618 /nfs/dbraw/zinc/19/46/18/764194618.db2.gz XCLURINLQIVIKC-ZJUUUORDSA-N 0 2 311.386 0.140 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1CNC(=O)CCc1nnc[nH]1 ZINC001101865419 764385132 /nfs/dbraw/zinc/38/51/32/764385132.db2.gz MSBAKWRMCXGMTH-VXGBXAGGSA-N 0 2 318.381 0.610 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnccn1)Nc1ccc2nccnc2n1 ZINC001115614664 765768544 /nfs/dbraw/zinc/76/85/44/765768544.db2.gz KXOFIHJSVQZKFT-LLVKDONJSA-N 0 2 323.360 0.761 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)C[C@@H](C)Nc1nc(C)nc(N(C)C)n1 ZINC001115613589 765768703 /nfs/dbraw/zinc/76/87/03/765768703.db2.gz XNUUQHREUNMRII-MNOVXSKESA-N 0 2 324.429 0.930 20 0 DCADLN O=C(NCC1([NH2+]CCF)CCCCC1)c1n[nH]c(=O)[n-]c1=O ZINC001115615514 765768760 /nfs/dbraw/zinc/76/87/60/765768760.db2.gz CHYIJCIAZLCBMS-UHFFFAOYSA-N 0 2 313.333 0.275 20 0 DCADLN CCn1ncn(NC(=O)c2ccc(C=CC(=O)OC)o2)c1=O ZINC001141576060 768584877 /nfs/dbraw/zinc/58/48/77/768584877.db2.gz VZMITQZSNQPUKO-ALCCZGGFSA-N 0 2 306.278 0.228 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc(-c2nc[nH]n2)cc1 ZINC001149285341 768723267 /nfs/dbraw/zinc/72/32/67/768723267.db2.gz YUICTSBSLQFKOH-UHFFFAOYSA-N 0 2 312.289 0.603 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149294491 768726866 /nfs/dbraw/zinc/72/68/66/768726866.db2.gz UTUFRGUNBINEEO-QJGQKNTRSA-N 0 2 323.397 0.574 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@H]1CCCO1 ZINC001230868254 768896147 /nfs/dbraw/zinc/89/61/47/768896147.db2.gz ZQUQBDBTBFCHRK-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)NC[C@@H]2C[N@H+](CCF)CCCO2)C1 ZINC001150333870 769066405 /nfs/dbraw/zinc/06/64/05/769066405.db2.gz QGVWHBVDRQMIGG-UONOGXRCSA-N 0 2 301.406 0.505 20 0 DCADLN CN1CCN(C2C[NH+](Cc3ccc(C(=O)[O-])s3)C2)CC1=O ZINC001231464742 769267992 /nfs/dbraw/zinc/26/79/92/769267992.db2.gz QKXYXVGWQXZQPL-UHFFFAOYSA-N 0 2 309.391 0.405 20 0 DCADLN COc1ccc(C[NH+]2CC(N3CCOCC3)C2)cc1C(=O)[O-] ZINC001231752111 769281911 /nfs/dbraw/zinc/28/19/11/769281911.db2.gz MFRMZDKEFSPNOM-UHFFFAOYSA-N 0 2 306.362 0.910 20 0 DCADLN O=C(NCCCNc1nccn2nnnc12)C(F)C(F)(F)F ZINC001094845815 769377961 /nfs/dbraw/zinc/37/79/61/769377961.db2.gz IMXYZIGCGUAZAX-ZCFIWIBFSA-N 0 2 321.238 0.338 20 0 DCADLN O=C(NCCCNc1nccn2nnnc12)[C@@H](F)C(F)(F)F ZINC001094845815 769377966 /nfs/dbraw/zinc/37/79/66/769377966.db2.gz IMXYZIGCGUAZAX-ZCFIWIBFSA-N 0 2 321.238 0.338 20 0 DCADLN CCOCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-] ZINC001233696123 769428447 /nfs/dbraw/zinc/42/84/47/769428447.db2.gz WHEAWAUQNBNKQH-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN CCOCCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-] ZINC001233696123 769428455 /nfs/dbraw/zinc/42/84/55/769428455.db2.gz WHEAWAUQNBNKQH-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@](C)(O)C1CC1 ZINC001233781450 769450219 /nfs/dbraw/zinc/45/02/19/769450219.db2.gz PYMHZXCWVQCSNX-IAQYHMDHSA-N 0 2 323.397 0.094 20 0 DCADLN O=C(Nc1ncnc2n[nH]nc21)c1cc(=O)c2cccc(O)c2[nH]1 ZINC001152670098 769620669 /nfs/dbraw/zinc/62/06/69/769620669.db2.gz SMWFLKJHMPVCBV-UHFFFAOYSA-N 0 2 323.272 0.960 20 0 DCADLN NC(=O)c1cnoc1NC(=O)c1cc(=O)c2cccc(O)c2[nH]1 ZINC001152671395 769621450 /nfs/dbraw/zinc/62/14/50/769621450.db2.gz NGMIYXIVNTYOBO-UHFFFAOYSA-N 0 2 314.257 0.985 20 0 DCADLN O=C(C[C@@H]1CCOC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095254702 769712900 /nfs/dbraw/zinc/71/29/00/769712900.db2.gz DODMOVOURLABQN-USZNOCQGSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(C[C@@H]1CCOC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095254702 769712910 /nfs/dbraw/zinc/71/29/10/769712910.db2.gz DODMOVOURLABQN-USZNOCQGSA-N 0 2 321.381 0.158 20 0 DCADLN C[C@H]([NH2+]CCNC(=O)CCCn1cc[nH+]c1)C(=O)NC(C)(C)C ZINC001153356661 769796476 /nfs/dbraw/zinc/79/64/76/769796476.db2.gz XEFAUCNMZSGEIL-ZDUSSCGKSA-N 0 2 323.441 0.672 20 0 DCADLN C[NH+](C)C[C@H]1COCCN1C(=O)c1cc2n(n1)CCC[N@H+](C)C2 ZINC001175077424 769862707 /nfs/dbraw/zinc/86/27/07/769862707.db2.gz NMFQRPFNMZRZSZ-AWEZNQCLSA-N 0 2 321.425 0.121 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc2[nH]c(=O)ccc2c1 ZINC001153838824 769957250 /nfs/dbraw/zinc/95/72/50/769957250.db2.gz OWWKJUUMXINGJI-LBPRGKRZSA-N 0 2 303.299 0.567 20 0 DCADLN COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1c[nH]c2cccnc2c1=O ZINC001153857648 769965749 /nfs/dbraw/zinc/96/57/49/769965749.db2.gz PWYWNFJYMCYELN-KWQFWETISA-N 0 2 319.292 0.649 20 0 DCADLN C/C=C\C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OCC ZINC001153942803 770012241 /nfs/dbraw/zinc/01/22/41/770012241.db2.gz FFUPPGPTKCSNIZ-LPMXFETPSA-N 0 2 313.379 0.557 20 0 DCADLN C/C=C/C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OCC ZINC001153942802 770013719 /nfs/dbraw/zinc/01/37/19/770013719.db2.gz FFUPPGPTKCSNIZ-KRALRXKRSA-N 0 2 313.379 0.557 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccc2ncc(O)cc21 ZINC001155052069 770379397 /nfs/dbraw/zinc/37/93/97/770379397.db2.gz QQACTVZRRINGRI-GFCCVEGCSA-N 0 2 303.299 0.979 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1nnc2cccc(Cl)n21 ZINC001156026733 770694197 /nfs/dbraw/zinc/69/41/97/770694197.db2.gz PZQMUDQRSPSJTG-SECBINFHSA-N 0 2 311.710 0.422 20 0 DCADLN C[C@H]1CCC(=CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001179020666 770921313 /nfs/dbraw/zinc/92/13/13/770921313.db2.gz DMOBUWFOEQALAY-LKJZUNMESA-N 0 2 312.395 0.712 20 0 DCADLN COc1ccc(CC(=O)NCc2n[nH]c(=O)[nH]2)c(F)c1OC ZINC001181292881 771262160 /nfs/dbraw/zinc/26/21/60/771262160.db2.gz WUICOQBLRYITMT-UHFFFAOYSA-N 0 2 310.285 0.526 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1CN(c2ccc(Cl)cc2)C1 ZINC001181538075 771299987 /nfs/dbraw/zinc/29/99/87/771299987.db2.gz MRTDRRRDKKUTAR-UHFFFAOYSA-N 0 2 307.741 0.916 20 0 DCADLN CCC(C)=C(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001157027839 771520365 /nfs/dbraw/zinc/52/03/65/771520365.db2.gz XHQULAIFNFOSHA-HJWRWDBZSA-N 0 2 300.384 0.712 20 0 DCADLN CC(=O)NCc1cccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC001182832271 771562823 /nfs/dbraw/zinc/56/28/23/771562823.db2.gz HKIVYKVHHDEBGB-UHFFFAOYSA-N 0 2 317.349 0.509 20 0 DCADLN Cc1cnn(CCO)c1NS(=O)(=O)c1ccc2n[nH]cc2c1 ZINC001185954963 771975276 /nfs/dbraw/zinc/97/52/76/771975276.db2.gz RJIMXKYOUHQENS-UHFFFAOYSA-N 0 2 321.362 0.861 20 0 DCADLN C[NH+]1CC2(CN(S(=O)(=O)c3ccc(F)c(C(=O)[O-])c3)C2)C1 ZINC001186179569 772014026 /nfs/dbraw/zinc/01/40/26/772014026.db2.gz WUQLJLIZSHRRIH-UHFFFAOYSA-N 0 2 314.338 0.460 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1nc(OC)nc(OC)c1C ZINC001188360765 772299765 /nfs/dbraw/zinc/29/97/65/772299765.db2.gz ZLZAEPQPDOJQKR-UHFFFAOYSA-N 0 2 319.339 0.107 20 0 DCADLN O=C(CCC(F)F)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001188584934 772329467 /nfs/dbraw/zinc/32/94/67/772329467.db2.gz HGZYCLQXYBTCJQ-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN O=C(CCC(F)F)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001188584934 772329474 /nfs/dbraw/zinc/32/94/74/772329474.db2.gz HGZYCLQXYBTCJQ-DTWKUNHWSA-N 0 2 315.324 0.588 20 0 DCADLN COC(=O)c1nc2ccc(N[C@@H]3CCS(=O)(=O)C3)nc2[nH]1 ZINC001162501231 772382285 /nfs/dbraw/zinc/38/22/85/772382285.db2.gz MJMHFAYIINPSSM-SSDOTTSWSA-N 0 2 310.335 0.344 20 0 DCADLN COC(=O)c1nc2ccc(N[C@@H]3CCS(=O)(=O)C3)[nH]c-2n1 ZINC001162501231 772382288 /nfs/dbraw/zinc/38/22/88/772382288.db2.gz MJMHFAYIINPSSM-SSDOTTSWSA-N 0 2 310.335 0.344 20 0 DCADLN CN1CCN(c2cc(NS(=O)(=O)CC3CC3)ncn2)CC1 ZINC001188930482 772383906 /nfs/dbraw/zinc/38/39/06/772383906.db2.gz KZUFXLVBISXPBC-UHFFFAOYSA-N 0 2 311.411 0.380 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)Cc1cc(F)ccc1F)[C@@H](C)O ZINC001189709988 772520971 /nfs/dbraw/zinc/52/09/71/772520971.db2.gz JSEWLGXVQOYGPV-RDDDGLTNSA-N 0 2 323.317 0.307 20 0 DCADLN COc1nc(C)nc(Cl)c1NS(=O)(=O)c1ncc[nH]1 ZINC001190690487 772665548 /nfs/dbraw/zinc/66/55/48/772665548.db2.gz MIQPOIJSPPJYAT-UHFFFAOYSA-N 0 2 303.731 0.971 20 0 DCADLN CC1(c2cccc(C(=O)NCc3n[nH]c(=O)[nH]3)n2)OCCO1 ZINC001190859256 772689031 /nfs/dbraw/zinc/68/90/31/772689031.db2.gz UWZBWAPRUSZQEI-UHFFFAOYSA-N 0 2 305.294 0.055 20 0 DCADLN COCc1[nH]nc2c1CN(C(=O)c1cnc(SC)[nH]c1=O)C2 ZINC001191427598 772767208 /nfs/dbraw/zinc/76/72/08/772767208.db2.gz LBWLGQPEAMNEKJ-UHFFFAOYSA-N 0 2 321.362 0.930 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccnc1OC1CCOCC1 ZINC001192151387 772874873 /nfs/dbraw/zinc/87/48/73/772874873.db2.gz PYTZVGLAHZGZMU-UHFFFAOYSA-N 0 2 319.321 0.393 20 0 DCADLN O=C([O-])CCc1ccc(S(=O)(=O)NCC[NH+]2CCC2)cc1 ZINC001192159696 772876770 /nfs/dbraw/zinc/87/67/70/772876770.db2.gz UBVYYWYZXOJTMP-UHFFFAOYSA-N 0 2 312.391 0.688 20 0 DCADLN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2ccc(O)c(F)c2F)C1 ZINC001192842504 772969028 /nfs/dbraw/zinc/96/90/28/772969028.db2.gz JUHYLJPVWAYESR-LJGSYFOKSA-N 0 2 305.302 0.976 20 0 DCADLN C[C@]1(CO)CN(C(=O)c2cc(F)c(O)cc2F)CC[C@@H]1O ZINC001192860471 772971070 /nfs/dbraw/zinc/97/10/70/772971070.db2.gz UTNJWPGMYHEIPY-GXTWGEPZSA-N 0 2 301.289 0.876 20 0 DCADLN O=S(=O)(C[C@@H]1CCCO1)Nc1cnn2c1OCC1(CC1)C2 ZINC001193212144 773025489 /nfs/dbraw/zinc/02/54/89/773025489.db2.gz BDMJGDDSJLXQKX-JTQLQIEISA-N 0 2 313.379 0.976 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=Cc1ccc[nH]1 ZINC001206906644 773129509 /nfs/dbraw/zinc/12/95/09/773129509.db2.gz WEBZFVNNRBWABN-ZHLVKLTDSA-N 0 2 316.365 0.488 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=Cc1ccc[nH]1 ZINC001206906644 773129510 /nfs/dbraw/zinc/12/95/10/773129510.db2.gz WEBZFVNNRBWABN-ZHLVKLTDSA-N 0 2 316.365 0.488 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2c(C)[nH]nc2C(=O)OC)[nH]n1 ZINC001194284508 773176296 /nfs/dbraw/zinc/17/62/96/773176296.db2.gz YZKLZBJMYDOYGI-UHFFFAOYSA-N 0 2 307.266 0.267 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2c(C)[nH]nc2C(=O)OC)n[nH]1 ZINC001194284508 773176300 /nfs/dbraw/zinc/17/63/00/773176300.db2.gz YZKLZBJMYDOYGI-UHFFFAOYSA-N 0 2 307.266 0.267 20 0 DCADLN CC(=O)CCCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001194862755 773271522 /nfs/dbraw/zinc/27/15/22/773271522.db2.gz AMNBKAICESQFRJ-UHFFFAOYSA-N 0 2 309.370 0.304 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(CCF)CC1 ZINC001208066948 773392921 /nfs/dbraw/zinc/39/29/21/773392921.db2.gz WHCXHMCDYKLDKA-NXEZZACHSA-N 0 2 311.361 0.587 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(CCF)CC1 ZINC001208066948 773392930 /nfs/dbraw/zinc/39/29/30/773392930.db2.gz WHCXHMCDYKLDKA-NXEZZACHSA-N 0 2 311.361 0.587 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CCC1 ZINC001208221180 773411334 /nfs/dbraw/zinc/41/13/34/773411334.db2.gz XSBMZLLBHVBELB-IRUJWGPZSA-N 0 2 323.397 0.262 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CCC1 ZINC001208221180 773411338 /nfs/dbraw/zinc/41/13/38/773411338.db2.gz XSBMZLLBHVBELB-IRUJWGPZSA-N 0 2 323.397 0.262 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110472462 773451638 /nfs/dbraw/zinc/45/16/38/773451638.db2.gz FHAIGBFXBMHUOR-QCNOEVLYSA-N 0 2 323.397 0.547 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110472462 773451643 /nfs/dbraw/zinc/45/16/43/773451643.db2.gz FHAIGBFXBMHUOR-QCNOEVLYSA-N 0 2 323.397 0.547 20 0 DCADLN COC(=O)c1ncc(NS(=O)(=O)c2ccc(O)cc2)cn1 ZINC001195766490 773471341 /nfs/dbraw/zinc/47/13/41/773471341.db2.gz PFDFYOMUYUSUTR-UHFFFAOYSA-N 0 2 309.303 0.770 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3ncccn3)C[C@H]21)C(F)C(F)(F)F ZINC001114309449 773492802 /nfs/dbraw/zinc/49/28/02/773492802.db2.gz WGLYMUNCKUYYTH-YKDSUIRESA-N 0 2 318.274 0.923 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cc(C(=O)OC)n(C)c1 ZINC001195939312 773498476 /nfs/dbraw/zinc/49/84/76/773498476.db2.gz RBZJJURGEFMNIG-UHFFFAOYSA-N 0 2 304.324 0.117 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2c(cc[nH]c2=O)c1 ZINC001195973571 773509587 /nfs/dbraw/zinc/50/95/87/773509587.db2.gz STSVAMHPEPFVGX-UHFFFAOYSA-N 0 2 310.331 0.833 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cccc2c1CNC(=O)N2 ZINC001195984027 773511188 /nfs/dbraw/zinc/51/11/88/773511188.db2.gz HNDZIYLBQKHAJK-UHFFFAOYSA-N 0 2 313.335 0.627 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cccc2c1CCNC2=O ZINC001195983691 773511371 /nfs/dbraw/zinc/51/13/71/773511371.db2.gz DEPARWCVIHBAAV-UHFFFAOYSA-N 0 2 312.347 0.277 20 0 DCADLN COCCCN1CC[C@H]([NH+]2CCOCC2)C[C@@]1(C)C(=O)OC ZINC001209050972 773557833 /nfs/dbraw/zinc/55/78/33/773557833.db2.gz YMVHWMNOBCGQST-HOCLYGCPSA-N 0 2 314.426 0.751 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC)[NH+](C)C ZINC001213458353 773850060 /nfs/dbraw/zinc/85/00/60/773850060.db2.gz UPHRIVYMCRGAHI-VNHYZAJKSA-N 0 2 324.425 0.639 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC)[NH+](C)C ZINC001213457974 773850670 /nfs/dbraw/zinc/85/06/70/773850670.db2.gz KDAFKNDHSSZSGS-QLFBSQMISA-N 0 2 324.425 0.639 20 0 DCADLN COCOc1ccccc1-c1noc(-c2c[nH]c(=O)c(=O)[nH]2)n1 ZINC001213928097 773902154 /nfs/dbraw/zinc/90/21/54/773902154.db2.gz OTOOFVBEDHPQDO-UHFFFAOYSA-N 0 2 316.273 0.763 20 0 DCADLN CCCCOCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CN2CCCC2)C1 ZINC001198673311 773963023 /nfs/dbraw/zinc/96/30/23/773963023.db2.gz ZWMMSSAXINAHJZ-HUUCEWRRSA-N 0 2 313.442 0.060 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1nccn1Cc1ccccc1F ZINC001199469272 774116835 /nfs/dbraw/zinc/11/68/35/774116835.db2.gz LYURUIFEVSPOPW-UHFFFAOYSA-N 0 2 316.296 0.824 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc2c(cnn2CCO)c1 ZINC001218878966 774318939 /nfs/dbraw/zinc/31/89/39/774318939.db2.gz KHLDBJBDHPYVEM-ZDUSSCGKSA-N 0 2 314.349 0.260 20 0 DCADLN CCOCCC(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001582142703 1165969508 /nfs/dbraw/zinc/96/95/08/1165969508.db2.gz IKQKNCRLHAAMQG-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN CCOCCCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221311474 775063699 /nfs/dbraw/zinc/06/36/99/775063699.db2.gz XSUBEEZFHPXFKQ-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)Oc1cccc(F)c1 ZINC001225226969 775656189 /nfs/dbraw/zinc/65/61/89/775656189.db2.gz KTOJFITXMSZGHD-ZDUSSCGKSA-N 0 2 319.354 0.793 20 0 DCADLN CC1(C)O[C@H]2C(=O)O[C@H](COc3nc(Cl)cc(=O)[nH]3)[C@H]2O1 ZINC001225563134 775693750 /nfs/dbraw/zinc/69/37/50/775693750.db2.gz QZZBGCVOBDEWNM-JISRMSBWSA-N 0 2 316.697 0.660 20 0 DCADLN C[C@@H](Oc1[nH]c(=O)nc2c(=O)[nH]c(=O)[nH]c21)c1cnccn1 ZINC001226887080 775891090 /nfs/dbraw/zinc/89/10/90/775891090.db2.gz YIGKTEKFPXQVLB-RXMQYKEDSA-N 0 2 302.250 0.467 20 0 DCADLN C=CC(=O)OC[C@@H](C)Oc1[nH]c(=O)nnc1Br ZINC001227063462 775921409 /nfs/dbraw/zinc/92/14/09/775921409.db2.gz GASDTJSJVZRZBW-RXMQYKEDSA-N 0 2 304.100 0.836 20 0 DCADLN CCC[C@@H](OC)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001228579609 776098610 /nfs/dbraw/zinc/09/86/10/776098610.db2.gz MLEHMSMUPUXHKX-LLVKDONJSA-N 0 2 311.386 0.406 20 0 DCADLN Cn1cnc2nc(=O)[nH]c(O[C@@H]3[C@H]4C[C@H]5C(=O)O[C@@H]3[C@H]5C4)c21 ZINC001228677456 776112186 /nfs/dbraw/zinc/11/21/86/776112186.db2.gz QPSRSPFJPZENLV-KWZOGVTMSA-N 0 2 302.290 0.398 20 0 DCADLN CC(C)(F)CCNS(=O)(=O)c1ccc(-c2nn[nH]n2)o1 ZINC001589312626 1166646127 /nfs/dbraw/zinc/64/61/27/1166646127.db2.gz LHUMDHCJKCNYSY-UHFFFAOYSA-N 0 2 303.319 0.876 20 0 DCADLN O=C([O-])c1cc(F)ccc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC001602275727 1169046703 /nfs/dbraw/zinc/04/67/03/1169046703.db2.gz GLNZEINKDDOGJP-UHFFFAOYSA-N 0 2 314.338 0.674 20 0 DCADLN O=C([O-])c1csc(C[N@@H+]2CCC[C@@H](N3CCNC3=O)C2)n1 ZINC001602560409 1169118512 /nfs/dbraw/zinc/11/85/12/1169118512.db2.gz HKBPUUQJTPEAAK-SECBINFHSA-N 0 2 310.379 0.831 20 0 DCADLN O=C([O-])c1csc(C[N@H+]2CCC[C@@H](N3CCNC3=O)C2)n1 ZINC001602560409 1169118517 /nfs/dbraw/zinc/11/85/17/1169118517.db2.gz HKBPUUQJTPEAAK-SECBINFHSA-N 0 2 310.379 0.831 20 0 DCADLN C[N@H+](CCNC(=O)c1onc2c1CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001480890497 939245319 /nfs/dbraw/zinc/24/53/19/939245319.db2.gz GQHKZKZGTIQHME-UHFFFAOYSA-N 0 2 320.353 0.239 20 0 DCADLN C[N@@H+](CCNC(=O)c1onc2c1CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001480890497 939245321 /nfs/dbraw/zinc/24/53/21/939245321.db2.gz GQHKZKZGTIQHME-UHFFFAOYSA-N 0 2 320.353 0.239 20 0 DCADLN CC[NH+](CCNC(=O)C[N@H+]1[C@H](C)CC[C@@H]1C)Cc1cnnn1C ZINC001481018079 939524978 /nfs/dbraw/zinc/52/49/78/939524978.db2.gz DGMFXIVYDNLRSV-OKILXGFUSA-N 0 2 322.457 0.626 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CCOCC1 ZINC001481155750 939587336 /nfs/dbraw/zinc/58/73/36/939587336.db2.gz DOBUZBQZGJNYBE-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CCOCC1 ZINC001481155750 939587338 /nfs/dbraw/zinc/58/73/38/939587338.db2.gz DOBUZBQZGJNYBE-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(C=Cc1ccco1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001267620757 939593782 /nfs/dbraw/zinc/59/37/82/939593782.db2.gz GSFMKIBZBJZJFX-GZTOBOFZSA-N 0 2 317.349 0.897 20 0 DCADLN O=C(Cc1cscn1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481189703 939602148 /nfs/dbraw/zinc/60/21/48/939602148.db2.gz WIWWEEZOHVFLEI-UHFFFAOYSA-N 0 2 322.394 0.290 20 0 DCADLN O=C(Cc1cscn1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001481189703 939602150 /nfs/dbraw/zinc/60/21/50/939602150.db2.gz WIWWEEZOHVFLEI-UHFFFAOYSA-N 0 2 322.394 0.290 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C(=O)c1cnon1)C1CC1 ZINC001408931338 940274701 /nfs/dbraw/zinc/27/47/01/940274701.db2.gz CCRMQACDXJMELO-UHFFFAOYSA-N 0 2 318.337 0.065 20 0 DCADLN CC1(C)CC[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363709845 940909466 /nfs/dbraw/zinc/90/94/66/940909466.db2.gz ZESCWMWMRNRCQT-QMMMGPOBSA-N 0 2 300.384 0.402 20 0 DCADLN CC(C)OCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001409146891 941551966 /nfs/dbraw/zinc/55/19/66/941551966.db2.gz HXKRCRXEZUZKJH-SNVBAGLBSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)OCC(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409146891 941551968 /nfs/dbraw/zinc/55/19/68/941551968.db2.gz HXKRCRXEZUZKJH-SNVBAGLBSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N[C@@H](C)CC[NH2+]Cc1ncccn1 ZINC001482853741 941690192 /nfs/dbraw/zinc/69/01/92/941690192.db2.gz SFFDJEHICZZVIX-LBPRGKRZSA-N 0 2 302.382 0.666 20 0 DCADLN Cc1nccnc1C[NH2+]CC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001482887401 941698886 /nfs/dbraw/zinc/69/88/86/941698886.db2.gz BFCWPXXFGVWUND-LBPRGKRZSA-N 0 2 316.409 0.746 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C[NH2+]Cc2nnc(C3CC3)[nH]2)c1[O-] ZINC001483220446 941946121 /nfs/dbraw/zinc/94/61/21/941946121.db2.gz UXGLMDMLZANSOB-ZETCQYMHSA-N 0 2 319.369 0.327 20 0 DCADLN CN1C(=O)COCC12CN(C(=O)c1ccc(F)c(F)c1O)C2 ZINC001272262826 941981790 /nfs/dbraw/zinc/98/17/90/941981790.db2.gz FDNLMIAJXZTBKK-UHFFFAOYSA-N 0 2 312.272 0.354 20 0 DCADLN COCC1(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001409590143 942068153 /nfs/dbraw/zinc/06/81/53/942068153.db2.gz YFTKDTMGDDGBDU-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COCC1(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001409590143 942068158 /nfs/dbraw/zinc/06/81/58/942068158.db2.gz YFTKDTMGDDGBDU-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CNc1ncccc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001412891507 942126065 /nfs/dbraw/zinc/12/60/65/942126065.db2.gz ZURYMUGMOIOGFI-SECBINFHSA-N 0 2 302.338 0.967 20 0 DCADLN O=C([O-])c1cccc2c1CC[N@H+](CC(=O)NC(=O)NC1CC1)C2 ZINC000322387455 970881371 /nfs/dbraw/zinc/88/13/71/970881371.db2.gz NMEYUURHVLFOJG-UHFFFAOYSA-N 0 2 317.345 0.731 20 0 DCADLN O=C([O-])c1cccc2c1CC[N@@H+](CC(=O)NC(=O)NC1CC1)C2 ZINC000322387455 970881378 /nfs/dbraw/zinc/88/13/78/970881378.db2.gz NMEYUURHVLFOJG-UHFFFAOYSA-N 0 2 317.345 0.731 20 0 DCADLN CC[C@H](SC)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483325928 942267867 /nfs/dbraw/zinc/26/78/67/942267867.db2.gz ODCOLVBVXVFPSD-IUCAKERBSA-N 0 2 301.416 0.588 20 0 DCADLN CC[C@H](SC)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483325928 942267871 /nfs/dbraw/zinc/26/78/71/942267871.db2.gz ODCOLVBVXVFPSD-IUCAKERBSA-N 0 2 301.416 0.588 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@H+](C)CCn2cccn2)c1[O-] ZINC001483326826 942269285 /nfs/dbraw/zinc/26/92/85/942269285.db2.gz WTWKZORMFYVUKZ-SNVBAGLBSA-N 0 2 306.370 0.371 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@@H+](C)CCn2cccn2)c1[O-] ZINC001483326826 942269288 /nfs/dbraw/zinc/26/92/88/942269288.db2.gz WTWKZORMFYVUKZ-SNVBAGLBSA-N 0 2 306.370 0.371 20 0 DCADLN CC[C@H](CS(=O)(=O)Nc1cccc(-c2nn[nH]n2)n1)OC ZINC001604039602 1169475851 /nfs/dbraw/zinc/47/58/51/1169475851.db2.gz MKVYFFSXHWLFQP-MRVPVSSYSA-N 0 2 312.355 0.428 20 0 DCADLN COc1cccc(C(=O)CNS(=O)(=O)N=S(C)(C)=O)c1 ZINC001413420270 942964957 /nfs/dbraw/zinc/96/49/57/942964957.db2.gz GWNXRDWCHSTAID-UHFFFAOYSA-N 0 2 320.392 0.440 20 0 DCADLN C[C@@H](NC(=O)c1cccc2c1NC(=O)CO2)c1nn(C)cc1O ZINC001413768945 943676393 /nfs/dbraw/zinc/67/63/93/943676393.db2.gz XHIHPFFBEZLMPK-MRVPVSSYSA-N 0 2 316.317 0.948 20 0 DCADLN O=C(CC[C@H]1CCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001491218135 943840741 /nfs/dbraw/zinc/84/07/41/943840741.db2.gz ZULUYBGKRFGWFN-WPRPVWTQSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(CC[C@H]1CCOC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491218135 943840742 /nfs/dbraw/zinc/84/07/42/943840742.db2.gz ZULUYBGKRFGWFN-WPRPVWTQSA-N 0 2 314.279 0.936 20 0 DCADLN COC[C@H](C)CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491352953 943934871 /nfs/dbraw/zinc/93/48/71/943934871.db2.gz JTPXEOLWHUYOTR-VXNVDRBHSA-N 0 2 302.268 0.792 20 0 DCADLN COC[C@H](C)CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491352953 943934880 /nfs/dbraw/zinc/93/48/80/943934880.db2.gz JTPXEOLWHUYOTR-VXNVDRBHSA-N 0 2 302.268 0.792 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C(C)C ZINC001491353261 943935149 /nfs/dbraw/zinc/93/51/49/943935149.db2.gz NVDUJAHMBXNJKT-SFYZADRCSA-N 0 2 302.268 0.790 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C(C)C ZINC001491353261 943935153 /nfs/dbraw/zinc/93/51/53/943935153.db2.gz NVDUJAHMBXNJKT-SFYZADRCSA-N 0 2 302.268 0.790 20 0 DCADLN C[C@H](CCC[NH2+]Cc1nccn1C)NC(=O)[C@H]1C[N@@H+](C)CCO1 ZINC001485715839 944094383 /nfs/dbraw/zinc/09/43/83/944094383.db2.gz HQBQFEOZWOXJOF-ZIAGYGMSSA-N 0 2 323.441 0.125 20 0 DCADLN NS(=O)(=O)C[C@H]1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001413815387 944120145 /nfs/dbraw/zinc/12/01/45/944120145.db2.gz OHXDPUZXJFLCEQ-XVMARJQXSA-N 0 2 306.281 0.460 20 0 DCADLN NS(=O)(=O)C[C@H]1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001413815387 944120149 /nfs/dbraw/zinc/12/01/49/944120149.db2.gz OHXDPUZXJFLCEQ-XVMARJQXSA-N 0 2 306.281 0.460 20 0 DCADLN CO[C@H](C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C(C)C ZINC001486514911 944949151 /nfs/dbraw/zinc/94/91/51/944949151.db2.gz MKKRXIZINSJPHL-ZDUSSCGKSA-N 0 2 323.397 0.430 20 0 DCADLN CO[C@H](C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C(C)C ZINC001486514911 944949155 /nfs/dbraw/zinc/94/91/55/944949155.db2.gz MKKRXIZINSJPHL-ZDUSSCGKSA-N 0 2 323.397 0.430 20 0 DCADLN CCN(C(=O)CCCOC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001318461069 945373480 /nfs/dbraw/zinc/37/34/80/945373480.db2.gz WONADZCWXPGGLH-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCN(C(=O)CCCOC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001318461069 945373486 /nfs/dbraw/zinc/37/34/86/945373486.db2.gz WONADZCWXPGGLH-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cnc(-c2ccncc2)s1 ZINC001318765718 945440413 /nfs/dbraw/zinc/44/04/13/945440413.db2.gz QXPFNWAJMKIERB-UHFFFAOYSA-N 0 2 303.303 0.402 20 0 DCADLN CCCNC(=O)CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC001364771636 945504937 /nfs/dbraw/zinc/50/49/37/945504937.db2.gz JZUPYOCQLUYCCL-SFHVURJKSA-N 0 2 313.445 0.243 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc(OCCF)c1 ZINC001319936314 945699291 /nfs/dbraw/zinc/69/92/91/945699291.db2.gz DILHHGDVENDTJY-UHFFFAOYSA-N 0 2 323.284 0.114 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NCCc1nc[nH]n1 ZINC001364814610 945928321 /nfs/dbraw/zinc/92/83/21/945928321.db2.gz MPFNOPKHRPTKIY-SFHVURJKSA-N 0 2 309.417 0.078 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1=CCOCC1 ZINC001410344951 946225296 /nfs/dbraw/zinc/22/52/96/946225296.db2.gz AFGOOJMJXWWSSR-IONNQARKSA-N 0 2 312.263 0.854 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C1=CCOCC1 ZINC001410344951 946225309 /nfs/dbraw/zinc/22/53/09/946225309.db2.gz AFGOOJMJXWWSSR-IONNQARKSA-N 0 2 312.263 0.854 20 0 DCADLN CC[C@H](O)CCCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001322212179 946610824 /nfs/dbraw/zinc/61/08/24/946610824.db2.gz GUPMKRYTKUXLNC-NSHDSACASA-N 0 2 306.366 0.541 20 0 DCADLN Cc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001085487816 946702021 /nfs/dbraw/zinc/70/20/21/946702021.db2.gz JZOXXJPFAOKFDN-LBPRGKRZSA-N 0 2 316.365 0.560 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2nc(C(C)C)nn2c1 ZINC001259020599 946894762 /nfs/dbraw/zinc/89/47/62/946894762.db2.gz XVJSINVTXGDWBF-UHFFFAOYSA-N 0 2 312.351 0.767 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccccc1C1OCCO1 ZINC001259027078 946899480 /nfs/dbraw/zinc/89/94/80/946899480.db2.gz KVJDHFIQZDOHAP-UHFFFAOYSA-N 0 2 301.320 0.647 20 0 DCADLN CC(=O)c1ccc(S(=O)(=O)Nc2c(O)nc[nH]c2=O)cc1 ZINC001259172604 946921807 /nfs/dbraw/zinc/92/18/07/946921807.db2.gz KVIMXKKYZPQTNJ-UHFFFAOYSA-N 0 2 309.303 0.891 20 0 DCADLN COC(=O)C1(NS(=O)(=O)Cc2ccc(F)cc2)COC1 ZINC001259255468 946932791 /nfs/dbraw/zinc/93/27/91/946932791.db2.gz LLTGUDBTGHNCKT-UHFFFAOYSA-N 0 2 303.311 0.187 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(N3CC[C@H](O)C3)nc2)cn1 ZINC001259821150 946989080 /nfs/dbraw/zinc/98/90/80/946989080.db2.gz KHXVKFLFXSQVRH-NSHDSACASA-N 0 2 323.378 0.187 20 0 DCADLN CC(C)CS(=O)(=O)Nc1ncnc2c1ncn2C[C@@H](C)O ZINC001259878871 947008068 /nfs/dbraw/zinc/00/80/68/947008068.db2.gz VUGFARVUOFTNIJ-SECBINFHSA-N 0 2 313.383 0.605 20 0 DCADLN COC(=O)C1(NS(=O)(=O)C(F)F)Cc2ccccc2C1 ZINC001259949595 947034363 /nfs/dbraw/zinc/03/43/63/947034363.db2.gz YXDVWHCYGAPEPJ-UHFFFAOYSA-N 0 2 305.302 0.839 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ncccn2)C1 ZINC001410892591 947095109 /nfs/dbraw/zinc/09/51/09/947095109.db2.gz MDVIGIFLHDODAP-QMMMGPOBSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2ncccn2)C1 ZINC001410892591 947095125 /nfs/dbraw/zinc/09/51/25/947095125.db2.gz MDVIGIFLHDODAP-QMMMGPOBSA-N 0 2 320.246 0.660 20 0 DCADLN Cc1[nH+]cc(NS(=O)(=O)c2ccc(O)c(C(=O)[O-])c2)n1C ZINC001260394230 947098876 /nfs/dbraw/zinc/09/88/76/947098876.db2.gz WFRLILCXNJAOSN-UHFFFAOYSA-N 0 2 311.319 0.933 20 0 DCADLN COc1ccc2nc(NS(=O)(=O)c3cn[nH]c3)nnc2c1 ZINC001260953867 947156369 /nfs/dbraw/zinc/15/63/69/947156369.db2.gz UZRQZSBLGYXEGV-UHFFFAOYSA-N 0 2 306.307 0.557 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1ccc(C(N)=O)c(O)c1 ZINC001261030622 947168136 /nfs/dbraw/zinc/16/81/36/947168136.db2.gz HFWIYSOARHKVRW-UHFFFAOYSA-N 0 2 310.335 0.632 20 0 DCADLN CCCC(=O)NC[C@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001411140829 947385754 /nfs/dbraw/zinc/38/57/54/947385754.db2.gz JARLODWZCCDAJY-MWLCHTKSSA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)NC[C@]1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001411140829 947385767 /nfs/dbraw/zinc/38/57/67/947385767.db2.gz JARLODWZCCDAJY-MWLCHTKSSA-N 0 2 314.279 0.767 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)[nH]1 ZINC001415135015 947477655 /nfs/dbraw/zinc/47/76/55/947477655.db2.gz WTRSLIQFGVRCET-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ncc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)[nH]1 ZINC001415135015 947477638 /nfs/dbraw/zinc/47/76/38/947477638.db2.gz WTRSLIQFGVRCET-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN C[C@H]1CN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C[C@@H]1C ZINC001413950221 947548334 /nfs/dbraw/zinc/54/83/34/947548334.db2.gz UXPYSNRKQWMZFT-IUCAKERBSA-N 0 2 315.399 0.147 20 0 DCADLN COC[C@@](C)(CC(=O)[O-])NC(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC001262177420 947593068 /nfs/dbraw/zinc/59/30/68/947593068.db2.gz UKZVRJAQMBHSCN-LDCOFTPGSA-N 0 2 314.382 0.261 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H](C)[C@H](C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001602735190 971417287 /nfs/dbraw/zinc/41/72/87/971417287.db2.gz UWZZCWPMZRBQJF-UYEGUDHTSA-N 0 2 310.394 0.834 20 0 DCADLN CO[C@H]1C[C@@H](CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001570922064 948632719 /nfs/dbraw/zinc/63/27/19/948632719.db2.gz UQWYRKWNPNIARQ-DTORHVGOSA-N 0 2 318.337 0.431 20 0 DCADLN CON1CCC(CC(=O)NCCc2n[nH]c(=S)o2)CC1 ZINC001328289656 948808827 /nfs/dbraw/zinc/80/88/27/948808827.db2.gz XOHQMCXLMZOIOJ-UHFFFAOYSA-N 0 2 300.384 0.681 20 0 DCADLN C/C(=C\C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001264132775 948904485 /nfs/dbraw/zinc/90/44/85/948904485.db2.gz BPRPHFVJBPRTHM-VGDRSHSASA-N 0 2 305.382 0.946 20 0 DCADLN C/C(=C\C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001264132775 948904499 /nfs/dbraw/zinc/90/44/99/948904499.db2.gz BPRPHFVJBPRTHM-VGDRSHSASA-N 0 2 305.382 0.946 20 0 DCADLN Cc1noc2ncc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cc12 ZINC000378728898 971575697 /nfs/dbraw/zinc/57/56/97/971575697.db2.gz VQSKJJMFPJLSRW-NSHDSACASA-N 0 2 315.289 0.680 20 0 DCADLN Cc1noc2ncc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cc12 ZINC000378728898 971575703 /nfs/dbraw/zinc/57/57/03/971575703.db2.gz VQSKJJMFPJLSRW-NSHDSACASA-N 0 2 315.289 0.680 20 0 DCADLN O=C([O-])c1ccc(N2CCC3(CC2)C[C@H](O)CNC3=O)[nH+]c1 ZINC001595236317 949410244 /nfs/dbraw/zinc/41/02/44/949410244.db2.gz SKTSCWYUCYFWMW-NSHDSACASA-N 0 2 305.334 0.247 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1C[C@H](CCO)[C@H](CO)C1 ZINC001364541278 949526478 /nfs/dbraw/zinc/52/64/78/949526478.db2.gz BXUYADMMKSRSSE-IUCAKERBSA-N 0 2 301.289 0.733 20 0 DCADLN COCC(=O)N1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001489750879 949983564 /nfs/dbraw/zinc/98/35/64/949983564.db2.gz IJACVTBIJDXEDR-CBAPKCEASA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N1CC[C@H]1CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001489750879 949983580 /nfs/dbraw/zinc/98/35/80/949983580.db2.gz IJACVTBIJDXEDR-CBAPKCEASA-N 0 2 300.252 0.593 20 0 DCADLN CC(C)C[C@H](C(=O)N1CC[C@H](c2nn[nH]n2)C1)n1ccnc1 ZINC001548763282 1013096729 /nfs/dbraw/zinc/09/67/29/1013096729.db2.gz XOFJGIZWLXHUND-NWDGAFQWSA-N 0 2 303.370 1.000 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NC[C@@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001329962303 950017028 /nfs/dbraw/zinc/01/70/28/950017028.db2.gz DCTMQHLNDSJLLB-PUHVVEEASA-N 0 2 302.371 0.090 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NC[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001329962303 950017048 /nfs/dbraw/zinc/01/70/48/950017048.db2.gz DCTMQHLNDSJLLB-PUHVVEEASA-N 0 2 302.371 0.090 20 0 DCADLN Cc1nocc1S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364849841 950067448 /nfs/dbraw/zinc/06/74/48/950067448.db2.gz CNENKYJHNBNZMV-QMMMGPOBSA-N 0 2 313.339 0.375 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)Cc3ccno3)C2)[nH]1 ZINC001364851012 950073010 /nfs/dbraw/zinc/07/30/10/950073010.db2.gz GWNJZQZVGTXRKU-MRVPVSSYSA-N 0 2 313.339 0.208 20 0 DCADLN CCOC(=O)[C@H](C)[NH+]1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001590058852 950780197 /nfs/dbraw/zinc/78/01/97/950780197.db2.gz SJMOOIAQLGVFKO-WDEREUQCSA-N 0 2 312.366 0.336 20 0 DCADLN Cc1nc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nn1C ZINC001365262103 950833946 /nfs/dbraw/zinc/83/39/46/950833946.db2.gz YSJGDOJMYMECIC-MRVPVSSYSA-N 0 2 306.330 0.359 20 0 DCADLN CN(CC1CCC1)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365274934 950863239 /nfs/dbraw/zinc/86/32/39/950863239.db2.gz ZBIFQGSSTMAQDP-UHFFFAOYSA-N 0 2 315.399 0.291 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc2c1OCCCO2 ZINC001365279494 950865695 /nfs/dbraw/zinc/86/56/95/950865695.db2.gz VCSJOKOYVQGGTH-UHFFFAOYSA-N 0 2 305.294 0.993 20 0 DCADLN CCOC(=O)[C@H]1CN(c2[nH+]cccc2C(=O)[O-])CC12COC2 ZINC001590346157 950908981 /nfs/dbraw/zinc/90/89/81/950908981.db2.gz IYNCVPOUFIWSOR-LLVKDONJSA-N 0 2 306.318 0.796 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C(=O)O1 ZINC001365433112 951123917 /nfs/dbraw/zinc/12/39/17/951123917.db2.gz STGCIQGNNJCYKU-HLTSFMKQSA-N 0 2 309.326 0.103 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@@H](C(=O)N[C@@H]2CCC[N@H+](CCF)C2)CO1 ZINC001595003741 951169858 /nfs/dbraw/zinc/16/98/58/951169858.db2.gz NXCUCAAORMFJNN-JHJVBQTASA-N 0 2 316.373 0.806 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@@H](C(=O)N[C@@H]2CCC[N@@H+](CCF)C2)CO1 ZINC001595003741 951169869 /nfs/dbraw/zinc/16/98/69/951169869.db2.gz NXCUCAAORMFJNN-JHJVBQTASA-N 0 2 316.373 0.806 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)N[C@@H]1COC(=O)C1 ZINC001365662501 951403051 /nfs/dbraw/zinc/40/30/51/951403051.db2.gz CYQCYATWFMGSJM-ZETCQYMHSA-N 0 2 322.346 0.854 20 0 DCADLN Cc1cnc(C[NH2+]CC=CCNC(=O)CCc2cn[nH]n2)s1 ZINC001274371721 951593594 /nfs/dbraw/zinc/59/35/94/951593594.db2.gz YMFDKYOZHAXDAS-NSCUHMNNSA-N 0 2 320.422 0.964 20 0 DCADLN CC(C)=CC[N@H+](C)C[C@@H](O)CN(C)C(=O)c1[nH]nc(C)c1[O-] ZINC001332635168 951736229 /nfs/dbraw/zinc/73/62/29/951736229.db2.gz WOIMWOJJQNSLKT-GFCCVEGCSA-N 0 2 310.398 0.755 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@@H](OCC2CC2)C1 ZINC001595123935 951993585 /nfs/dbraw/zinc/99/35/85/951993585.db2.gz HFLPTSOFAMAITJ-GFCCVEGCSA-N 0 2 305.396 0.377 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@@H](OCC2CC2)C1 ZINC001595123935 951993592 /nfs/dbraw/zinc/99/35/92/951993592.db2.gz HFLPTSOFAMAITJ-GFCCVEGCSA-N 0 2 305.396 0.377 20 0 DCADLN Cc1ccn2ncnc2c1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001411406493 952108514 /nfs/dbraw/zinc/10/85/14/952108514.db2.gz WBAAWQWHNGTOSC-UHFFFAOYSA-N 0 2 324.304 0.061 20 0 DCADLN Cc1cc(CCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001412062163 952625753 /nfs/dbraw/zinc/62/57/53/952625753.db2.gz BRBJCWSYGVMGSM-SNVBAGLBSA-N 0 2 304.354 0.881 20 0 DCADLN CO[C@H]1C[C@@H](N(C)C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001412114716 952650900 /nfs/dbraw/zinc/65/09/00/952650900.db2.gz FPMRRCMVRBKYJT-TXEJJXNPSA-N 0 2 318.333 0.516 20 0 DCADLN CC[C@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@@H](C)O ZINC001412117852 952651779 /nfs/dbraw/zinc/65/17/79/952651779.db2.gz YHTSYAGMICAIPC-KCJUWKMLSA-N 0 2 306.322 0.155 20 0 DCADLN C[C@@H](CC(C)(C)O)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412139669 952661734 /nfs/dbraw/zinc/66/17/34/952661734.db2.gz DXBCMFNEXGNADZ-VIFPVBQESA-N 0 2 320.349 0.546 20 0 DCADLN O=C(NC[C@H]1CCCO1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412374969 952767584 /nfs/dbraw/zinc/76/75/84/952767584.db2.gz USFOQSSWDWMTRS-LLVKDONJSA-N 0 2 304.306 0.175 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCCCCCO ZINC001337236678 952830726 /nfs/dbraw/zinc/83/07/26/952830726.db2.gz CBZFLXYFAXTBLG-UHFFFAOYSA-N 0 2 306.366 0.542 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001412557176 952895556 /nfs/dbraw/zinc/89/55/56/952895556.db2.gz IFYDKGZATMGKMM-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001412557176 952895562 /nfs/dbraw/zinc/89/55/62/952895562.db2.gz IFYDKGZATMGKMM-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001365942057 953438971 /nfs/dbraw/zinc/43/89/71/953438971.db2.gz JGGDGERPVWHICE-NXEZZACHSA-N 0 2 313.427 0.733 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)C[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001589293650 953622511 /nfs/dbraw/zinc/62/25/11/953622511.db2.gz PDIFLJDEBDYZLJ-ZJUUUORDSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)C[N@H+]1CC(=O)NCC(=O)[O-] ZINC001589293650 953622518 /nfs/dbraw/zinc/62/25/18/953622518.db2.gz PDIFLJDEBDYZLJ-ZJUUUORDSA-N 0 2 315.370 0.175 20 0 DCADLN Cc1cnn(C)c1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001366124108 953714794 /nfs/dbraw/zinc/71/47/94/953714794.db2.gz JLKWNLPILJKWFV-VIFPVBQESA-N 0 2 305.342 0.964 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001283033473 953938751 /nfs/dbraw/zinc/93/87/51/953938751.db2.gz NCMAIVJYEOJQMT-GFCCVEGCSA-N 0 2 321.425 0.402 20 0 DCADLN CCO[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001283033451 953939600 /nfs/dbraw/zinc/93/96/00/953939600.db2.gz MLUBMTQABAJEHN-IUCAKERBSA-N 0 2 314.279 0.934 20 0 DCADLN CCO[C@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001283033451 953939608 /nfs/dbraw/zinc/93/96/08/953939608.db2.gz MLUBMTQABAJEHN-IUCAKERBSA-N 0 2 314.279 0.934 20 0 DCADLN O=C([N-]OCc1ccccc1)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001347085115 954021070 /nfs/dbraw/zinc/02/10/70/954021070.db2.gz LWXWOTVRARXDMW-ZDUSSCGKSA-N 0 2 314.345 0.562 20 0 DCADLN CN(C(=O)CC1(O)CCC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001479887455 954135942 /nfs/dbraw/zinc/13/59/42/954135942.db2.gz QNPOHQIBGCUMSF-UHFFFAOYSA-N 0 2 323.397 0.238 20 0 DCADLN C[C@@H](CCNC(=O)CCc1cnn[nH]1)[NH2+]Cc1nncs1 ZINC001366483198 954262930 /nfs/dbraw/zinc/26/29/30/954262930.db2.gz CMICGCQCIBEGQY-VIFPVBQESA-N 0 2 309.399 0.273 20 0 DCADLN C[C@H]1C[C@H]1C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001348389592 954292750 /nfs/dbraw/zinc/29/27/50/954292750.db2.gz RUCSTDCNTUIIMX-GXSJLCMTSA-N 0 2 316.365 0.312 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CCC(C)(C(=O)[O-])CC2)CCO1 ZINC001589399772 954407249 /nfs/dbraw/zinc/40/72/49/954407249.db2.gz IPVCMLZSOBEZKC-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CCC(C)(C(=O)[O-])CC2)CCO1 ZINC001589399772 954407253 /nfs/dbraw/zinc/40/72/53/954407253.db2.gz IPVCMLZSOBEZKC-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)C(=O)[O-] ZINC001589400415 954418466 /nfs/dbraw/zinc/41/84/66/954418466.db2.gz PYHNNZOOGWSPLA-RYUDHWBXSA-N 0 2 301.387 0.363 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@H+]1CCO[C@@H](C)C1)C(=O)[O-] ZINC001589400415 954418472 /nfs/dbraw/zinc/41/84/72/954418472.db2.gz PYHNNZOOGWSPLA-RYUDHWBXSA-N 0 2 301.387 0.363 20 0 DCADLN CO[C@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001366588087 954469756 /nfs/dbraw/zinc/46/97/56/954469756.db2.gz SOFGCMWHAKHFSM-LBPRGKRZSA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001366588087 954469762 /nfs/dbraw/zinc/46/97/62/954469762.db2.gz SOFGCMWHAKHFSM-LBPRGKRZSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001589408529 954489375 /nfs/dbraw/zinc/48/93/75/954489375.db2.gz HNVQSAAAQJKWAJ-JHJVBQTASA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)[C@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001589408529 954489384 /nfs/dbraw/zinc/48/93/84/954489384.db2.gz HNVQSAAAQJKWAJ-JHJVBQTASA-N 0 2 314.382 0.093 20 0 DCADLN O=C([O-])C1=NO[C@@H](C(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC001594891089 954526530 /nfs/dbraw/zinc/52/65/30/954526530.db2.gz MBOJJMKRCVRDKR-CYBMUJFWSA-N 0 2 303.318 0.348 20 0 DCADLN Cn1c(N2CCC[C@@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001593774047 954575231 /nfs/dbraw/zinc/57/52/31/954575231.db2.gz MGEXLYASXPTPRP-UEKVPHQBSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC[C@@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001593774047 954575239 /nfs/dbraw/zinc/57/52/39/954575239.db2.gz MGEXLYASXPTPRP-UEKVPHQBSA-N 0 2 323.397 0.028 20 0 DCADLN COCC1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001366670370 954620954 /nfs/dbraw/zinc/62/09/54/954620954.db2.gz SMVJENSETRIXHT-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN COCC1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001366670370 954620961 /nfs/dbraw/zinc/62/09/61/954620961.db2.gz SMVJENSETRIXHT-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H]1CCC[C@H](OCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001366948157 955105658 /nfs/dbraw/zinc/10/56/58/955105658.db2.gz VFACJMCUKJEGIG-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@H](CC(F)F)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001367010806 955193633 /nfs/dbraw/zinc/19/36/33/955193633.db2.gz PVCQBHLPLUXXHK-BDAKNGLRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001589040054 955589151 /nfs/dbraw/zinc/58/91/51/955589151.db2.gz XNDJGIHNMXIHED-FRRDWIJNSA-N 0 2 314.382 0.093 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1CCc1ccc([N+](=O)[O-])cc1 ZINC000387189505 972110280 /nfs/dbraw/zinc/11/02/80/972110280.db2.gz BRAGTYZSOPEABT-GFCCVEGCSA-N 0 2 307.306 0.412 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1CCc1ccc([N+](=O)[O-])cc1 ZINC000387189505 972110281 /nfs/dbraw/zinc/11/02/81/972110281.db2.gz BRAGTYZSOPEABT-GFCCVEGCSA-N 0 2 307.306 0.412 20 0 DCADLN Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)[C@@]2(C(=O)[O-])CCCO2)C1 ZINC001594555251 955753511 /nfs/dbraw/zinc/75/35/11/955753511.db2.gz FNQOPAKHPIFHSM-BLLLJJGKSA-N 0 2 321.377 0.835 20 0 DCADLN Cn1cnc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)n1 ZINC001285538676 955779430 /nfs/dbraw/zinc/77/94/30/955779430.db2.gz OASYTIVAMSBIPP-SKRYNEFJSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1cnc(C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001285538676 955779445 /nfs/dbraw/zinc/77/94/45/955779445.db2.gz OASYTIVAMSBIPP-SKRYNEFJSA-N 0 2 323.250 0.118 20 0 DCADLN C[C@@H](CNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2)C(=O)[O-] ZINC001589072024 955780074 /nfs/dbraw/zinc/78/00/74/955780074.db2.gz CIJHTEXESRLJND-JTQLQIEISA-N 0 2 312.391 0.674 20 0 DCADLN C[C@@H](CNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2)C(=O)[O-] ZINC001589072024 955780095 /nfs/dbraw/zinc/78/00/95/955780095.db2.gz CIJHTEXESRLJND-JTQLQIEISA-N 0 2 312.391 0.674 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001430129563 1013144583 /nfs/dbraw/zinc/14/45/83/1013144583.db2.gz WDOUPWUHFJCXLC-NQMVMOMDSA-N 0 2 322.262 0.547 20 0 DCADLN O=C(Cn1cccn1)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430129563 1013144597 /nfs/dbraw/zinc/14/45/97/1013144597.db2.gz WDOUPWUHFJCXLC-NQMVMOMDSA-N 0 2 322.262 0.547 20 0 DCADLN C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001589263207 957060366 /nfs/dbraw/zinc/06/03/66/957060366.db2.gz YVPRODGRHTZRHU-SFYZADRCSA-N 0 2 303.302 0.759 20 0 DCADLN C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001589263207 957060374 /nfs/dbraw/zinc/06/03/74/957060374.db2.gz YVPRODGRHTZRHU-SFYZADRCSA-N 0 2 303.302 0.759 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cnco1 ZINC001357887478 957396772 /nfs/dbraw/zinc/39/67/72/957396772.db2.gz KGJWDQXMYIADKG-JQSQLHRZSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1cnco1 ZINC001357887478 957396782 /nfs/dbraw/zinc/39/67/82/957396782.db2.gz KGJWDQXMYIADKG-JQSQLHRZSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cnco1 ZINC001357887476 957398419 /nfs/dbraw/zinc/39/84/19/957398419.db2.gz KGJWDQXMYIADKG-FHKCNRDCSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1cnco1 ZINC001357887476 957398426 /nfs/dbraw/zinc/39/84/26/957398426.db2.gz KGJWDQXMYIADKG-FHKCNRDCSA-N 0 2 309.219 0.977 20 0 DCADLN CC(=O)Nc1cnccc1C(=O)NN1C(=O)c2ccccc2C1=O ZINC001296100447 957899824 /nfs/dbraw/zinc/89/98/24/957899824.db2.gz BLHLDGUVWXBSFH-UHFFFAOYSA-N 0 2 324.296 0.981 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001430140829 1013163211 /nfs/dbraw/zinc/16/32/11/1013163211.db2.gz RQJKBXFZJORTTH-NQMVMOMDSA-N 0 2 323.246 0.881 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430140829 1013163220 /nfs/dbraw/zinc/16/32/20/1013163220.db2.gz RQJKBXFZJORTTH-NQMVMOMDSA-N 0 2 323.246 0.881 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+](CC)CC(=O)N(C)C)C(=O)[O-] ZINC001604928576 1169748848 /nfs/dbraw/zinc/74/88/48/1169748848.db2.gz FWANMAACFUNEGZ-GXFFZTMASA-N 0 2 301.387 0.012 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+](CC)CC(=O)N(C)C)C(=O)[O-] ZINC001604928576 1169748858 /nfs/dbraw/zinc/74/88/58/1169748858.db2.gz FWANMAACFUNEGZ-GXFFZTMASA-N 0 2 301.387 0.012 20 0 DCADLN CN(CCCC(=O)NCc1n[nH]c(=O)[nH]1)C(=O)c1ccncc1 ZINC001362077262 958701316 /nfs/dbraw/zinc/70/13/16/958701316.db2.gz YCONCJWAOICBLL-UHFFFAOYSA-N 0 2 318.337 0.074 20 0 DCADLN O=C(NC1CC(F)(F)C1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362357747 959208285 /nfs/dbraw/zinc/20/82/85/959208285.db2.gz PDXIGLPONVPJSX-UHFFFAOYSA-N 0 2 310.260 0.794 20 0 DCADLN O=C([O-])c1sccc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC000392153833 972417147 /nfs/dbraw/zinc/41/71/47/972417147.db2.gz ACBWBXVBMDNHEM-UHFFFAOYSA-N 0 2 302.377 0.596 20 0 DCADLN C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001594227896 959446238 /nfs/dbraw/zinc/44/62/38/959446238.db2.gz UXQNGQWURICICX-ABAIWWIYSA-N 0 2 322.365 0.265 20 0 DCADLN Cc1cc(CCC(=O)N2CCSC[C@@H]2c2nn[nH]n2)[nH]n1 ZINC001362518827 959510908 /nfs/dbraw/zinc/51/09/08/959510908.db2.gz LJULYOYDHNEWPZ-SNVBAGLBSA-N 0 2 307.383 0.481 20 0 DCADLN COCC1(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCC1 ZINC001362525526 959520916 /nfs/dbraw/zinc/52/09/16/959520916.db2.gz LJPRGBMXTFNMKE-UHFFFAOYSA-N 0 2 318.333 0.565 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cncn1C ZINC001377171368 959617858 /nfs/dbraw/zinc/61/78/58/959617858.db2.gz BOVKBYPBSRNNGC-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN C[N@H+](CCNC(=O)CCc1ccsc1)Cc1n[nH]c(=O)[n-]1 ZINC001492280415 959792725 /nfs/dbraw/zinc/79/27/25/959792725.db2.gz VPTBJFSANKIYKN-UHFFFAOYSA-N 0 2 309.395 0.753 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1ccsc1)Cc1n[nH]c(=O)[n-]1 ZINC001492280415 959792735 /nfs/dbraw/zinc/79/27/35/959792735.db2.gz VPTBJFSANKIYKN-UHFFFAOYSA-N 0 2 309.395 0.753 20 0 DCADLN O=C([C@@H]1CCCn2ncnc21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362725232 959897173 /nfs/dbraw/zinc/89/71/73/959897173.db2.gz QGWGXFIFMIYQJO-NXEZZACHSA-N 0 2 317.353 0.385 20 0 DCADLN C[C@H](NC(N)=O)C(=O)Nc1nccc(Br)c1O ZINC001362730900 959904978 /nfs/dbraw/zinc/90/49/78/959904978.db2.gz RHRAFPFQGXFAQA-BYPYZUCNSA-N 0 2 303.116 0.545 20 0 DCADLN CCn1ncc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)c1C ZINC001377347525 959958631 /nfs/dbraw/zinc/95/86/31/959958631.db2.gz HKAGOAJQHNUNJI-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CCn1ncc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)c1C ZINC001377347525 959958641 /nfs/dbraw/zinc/95/86/41/959958641.db2.gz HKAGOAJQHNUNJI-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnn(C(C)C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377366043 959981702 /nfs/dbraw/zinc/98/17/02/959981702.db2.gz WTRKLPGKJXCGEX-UHFFFAOYSA-N 0 2 321.385 0.540 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cnn(C(C)C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377366043 959981709 /nfs/dbraw/zinc/98/17/09/959981709.db2.gz WTRKLPGKJXCGEX-UHFFFAOYSA-N 0 2 321.385 0.540 20 0 DCADLN CC[NH+](CCNC(=O)[C@H]1C[N@H+](CC)CCO1)Cc1cccnc1 ZINC001377367016 959983856 /nfs/dbraw/zinc/98/38/56/959983856.db2.gz GQWQLVBKYBQBDQ-MRXNPFEDSA-N 0 2 320.437 0.740 20 0 DCADLN NS(=O)(=O)C1(CNC(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC001362816124 960033396 /nfs/dbraw/zinc/03/33/96/960033396.db2.gz HKSMJDIWKCYEAW-UHFFFAOYSA-N 0 2 306.290 0.221 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](c3nnc[nH]3)C2)S1 ZINC001362853716 960091120 /nfs/dbraw/zinc/09/11/20/960091120.db2.gz VURKBWQROZTYMD-JGVFFNPUSA-N 0 2 308.367 0.067 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2CCC[C@H](c3nnc[nH]3)C2)S1 ZINC001362853716 960091133 /nfs/dbraw/zinc/09/11/33/960091133.db2.gz VURKBWQROZTYMD-JGVFFNPUSA-N 0 2 308.367 0.067 20 0 DCADLN C[C@@H]1COCC[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362901166 960150542 /nfs/dbraw/zinc/15/05/42/960150542.db2.gz ODSKUQIOMCEZNA-SKDRFNHKSA-N 0 2 318.333 0.421 20 0 DCADLN CC(C)(C)OC(=O)c1cc(NC(=O)CCCc2nn[nH]n2)[nH]n1 ZINC001362929301 960190492 /nfs/dbraw/zinc/19/04/92/960190492.db2.gz JEVBSLZVDQBBSJ-UHFFFAOYSA-N 0 2 321.341 0.840 20 0 DCADLN O=S(=O)(N[C@H](c1nnc[nH]1)c1ccccc1)c1ncc[nH]1 ZINC001309410936 960194915 /nfs/dbraw/zinc/19/49/15/960194915.db2.gz BULAXXSLOPQXSO-JTQLQIEISA-N 0 2 304.335 0.596 20 0 DCADLN CC(=O)N[C@H](C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1)C(C)C ZINC001362972392 960244781 /nfs/dbraw/zinc/24/47/81/960244781.db2.gz NNSBGBUQWDPCKX-LBPRGKRZSA-N 0 2 324.381 0.358 20 0 DCADLN CC[NH+](CC)Cc1csc(NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001362973860 960245891 /nfs/dbraw/zinc/24/58/91/960245891.db2.gz VSJXLSYQWAEBOT-UHFFFAOYSA-N 0 2 324.366 0.833 20 0 DCADLN CO[C@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001363092315 960391231 /nfs/dbraw/zinc/39/12/31/960391231.db2.gz FOLGMUGSFWYFFC-HOMQSWHASA-N 0 2 304.306 0.173 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2c[nH]nc2Br)S1 ZINC001363142676 960468908 /nfs/dbraw/zinc/46/89/08/960468908.db2.gz UJXWYPZDUDSYAA-BYPYZUCNSA-N 0 2 318.156 0.667 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccnn1CC1CCC1 ZINC001363148802 960484925 /nfs/dbraw/zinc/48/49/25/960484925.db2.gz DLKBJKQNQXRZTA-UHFFFAOYSA-N 0 2 303.322 0.814 20 0 DCADLN C[C@H]1CCCCN1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363172835 960530886 /nfs/dbraw/zinc/53/08/86/960530886.db2.gz ZQRRHZPEWJECCU-JTQLQIEISA-N 0 2 317.349 0.785 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CC(Oc3cc[nH+]cc3)C2)O[C@H]1C(=O)[O-] ZINC001571092651 961126858 /nfs/dbraw/zinc/12/68/58/961126858.db2.gz WYAUPOMKUHVNGE-OASPWFOLSA-N 0 2 306.318 0.549 20 0 DCADLN CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@@H]1CCC[C@H]1O ZINC001363439000 961154394 /nfs/dbraw/zinc/15/43/94/961154394.db2.gz FDSPIQPQPKVRSU-VXGBXAGGSA-N 0 2 318.333 0.252 20 0 DCADLN COc1cc(C(N)=O)ccc1NC(=O)CC1SC(=N)NC1=O ZINC001363442370 961162792 /nfs/dbraw/zinc/16/27/92/961162792.db2.gz UKMKHWGDTUUSDJ-VIFPVBQESA-N 0 2 322.346 0.289 20 0 DCADLN Cn1nccc1[C@H]1[C@@H](Nc2ccc(C(=O)[O-])c[nH+]2)CC(=O)N1C ZINC001549038493 1013239704 /nfs/dbraw/zinc/23/97/04/1013239704.db2.gz YHDDAOXSZQMNFZ-IINYFYTJSA-N 0 2 315.333 0.897 20 0 DCADLN Cn1nccc1[C@@H]1[C@@H](Nc2ccc(C(=O)[O-])c[nH+]2)CC(=O)N1C ZINC001549038492 1013239770 /nfs/dbraw/zinc/23/97/70/1013239770.db2.gz YHDDAOXSZQMNFZ-HZMBPMFUSA-N 0 2 315.333 0.897 20 0 DCADLN COC(=O)[C@@H](CC1OCCO1)NC(=O)C(F)C(F)(F)F ZINC001363537209 961389662 /nfs/dbraw/zinc/38/96/62/961389662.db2.gz ZPWJZISMTYMJRV-IYSWYEEDSA-N 0 2 303.208 0.308 20 0 DCADLN COC(=O)[C@@H](CC1OCCO1)NC(=O)[C@@H](F)C(F)(F)F ZINC001363537209 961389676 /nfs/dbraw/zinc/38/96/76/961389676.db2.gz ZPWJZISMTYMJRV-IYSWYEEDSA-N 0 2 303.208 0.308 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1)[NH+]1CCOCC1 ZINC001571117256 961409938 /nfs/dbraw/zinc/40/99/38/961409938.db2.gz YORWHBJPSYZQAE-LOWDOPEQSA-N 0 2 313.398 0.648 20 0 DCADLN CC(C)N(CC(=O)[O-])C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001571122981 961461453 /nfs/dbraw/zinc/46/14/53/961461453.db2.gz KCZRKKHYCWFKJW-OLZOCXBDSA-N 0 2 314.382 0.188 20 0 DCADLN CCn1nccc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001430216705 1013257143 /nfs/dbraw/zinc/25/71/43/1013257143.db2.gz IHFQAQCUEOYLMP-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1nccc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430216705 1013257151 /nfs/dbraw/zinc/25/71/51/1013257151.db2.gz IHFQAQCUEOYLMP-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)C(C)(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378132274 961489352 /nfs/dbraw/zinc/48/93/52/961489352.db2.gz UJVAAJDLBBWCEG-HTQZYQBOSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)C(C)(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378132274 961489360 /nfs/dbraw/zinc/48/93/60/961489360.db2.gz UJVAAJDLBBWCEG-HTQZYQBOSA-N 0 2 305.329 0.738 20 0 DCADLN COc1nccc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n1 ZINC001363622506 961564572 /nfs/dbraw/zinc/56/45/72/961564572.db2.gz JYINOTYKHCOOHA-UHFFFAOYSA-N 0 2 319.321 0.310 20 0 DCADLN CC(C)(O)CC(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001378858078 961613168 /nfs/dbraw/zinc/61/31/68/961613168.db2.gz QAIUTRYLLDARHL-QMMMGPOBSA-N 0 2 314.279 0.813 20 0 DCADLN CC(C)(O)CC(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001378858078 961613179 /nfs/dbraw/zinc/61/31/79/961613179.db2.gz QAIUTRYLLDARHL-QMMMGPOBSA-N 0 2 314.279 0.813 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)N[C@H]3CCn4cc[nH+]c4C3)[C@@H]2C1 ZINC001571138313 961635771 /nfs/dbraw/zinc/63/57/71/961635771.db2.gz WITBUWSSJCCABG-SJHCENCUSA-N 0 2 318.377 0.996 20 0 DCADLN C[C@@H](NC(=O)c1ccc2c(c1)OCC(=O)N2)c1nn(C)cc1O ZINC001363667102 961658363 /nfs/dbraw/zinc/65/83/63/961658363.db2.gz PCKLETNNRDCFPN-MRVPVSSYSA-N 0 2 316.317 0.948 20 0 DCADLN C[C@H](NC(=O)C[C@H]1CCCS1(=O)=O)c1nn(C)cc1O ZINC001363666968 961659021 /nfs/dbraw/zinc/65/90/21/961659021.db2.gz OHPMLFOFCCOZST-DTWKUNHWSA-N 0 2 301.368 0.270 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CC[N@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001571145001 961720115 /nfs/dbraw/zinc/72/01/15/961720115.db2.gz FWWAYFMLHYQWPQ-QMMMGPOBSA-N 0 2 319.408 0.212 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CC[N@@H+](Cc2nc(C(=O)[O-])cs2)C1 ZINC001571145001 961720126 /nfs/dbraw/zinc/72/01/26/961720126.db2.gz FWWAYFMLHYQWPQ-QMMMGPOBSA-N 0 2 319.408 0.212 20 0 DCADLN C[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(F)(F)F ZINC001363706951 961733723 /nfs/dbraw/zinc/73/37/23/961733723.db2.gz QOHNXVSYXZIJAI-YFKPBYRVSA-N 0 2 314.289 0.164 20 0 DCADLN C/C(=C\C(=O)N1CC[C@](F)(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001334185688 961770093 /nfs/dbraw/zinc/77/00/93/961770093.db2.gz DZVBNRBXPYYDDV-BMGYJQCNSA-N 0 2 300.330 0.290 20 0 DCADLN O=C(N[C@@H]1CC[C@@H](O)[C@@H](O)C1)C1=NN(c2ccccc2)CC1=O ZINC001363755210 961814793 /nfs/dbraw/zinc/81/47/93/961814793.db2.gz WMERRNLNWDIRIK-RTXFEEFZSA-N 0 2 317.345 0.582 20 0 DCADLN COC(=O)C1CCC(NC(=O)CCc2[nH]cc[nH+]2)(C(=O)[O-])CC1 ZINC001571158785 961898479 /nfs/dbraw/zinc/89/84/79/961898479.db2.gz SCVMKSFZUPEELX-UHFFFAOYSA-N 0 2 323.349 0.645 20 0 DCADLN CCc1noc(COCC(=O)N[C@H](C)c2nn(C)cc2O)n1 ZINC001363845870 962007945 /nfs/dbraw/zinc/00/79/45/962007945.db2.gz CDKBUOUXVDRESA-MRVPVSSYSA-N 0 2 309.326 0.465 20 0 DCADLN C[C@](Cn1cccn1)(NC(=O)c1cc(F)c(O)c(F)c1)C(N)=O ZINC001363893089 962094844 /nfs/dbraw/zinc/09/48/44/962094844.db2.gz BLQVXZOCVITKGW-CQSZACIVSA-N 0 2 324.287 0.541 20 0 DCADLN Cc1ccc2n[nH]nc2c1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001363916841 962122429 /nfs/dbraw/zinc/12/24/29/962122429.db2.gz AZXWKTCYISGRMH-UHFFFAOYSA-N 0 2 324.304 0.290 20 0 DCADLN CCn1ncc(CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)n1 ZINC001364012343 962290212 /nfs/dbraw/zinc/29/02/12/962290212.db2.gz MXDOGJVULRLRFJ-LJQANCHMSA-N 0 2 323.444 0.529 20 0 DCADLN Cc1nnc(C[NH2+][C@H](C)CN(C)C(=O)Cn2c[nH+]cc2C)[nH]1 ZINC001379192808 962322941 /nfs/dbraw/zinc/32/29/41/962322941.db2.gz TYCWBHRZNNFSNZ-SNVBAGLBSA-N 0 2 305.386 0.255 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001364057047 962384169 /nfs/dbraw/zinc/38/41/69/962384169.db2.gz ZJGFODPBZORLKS-LSDHHAIUSA-N 0 2 323.437 0.757 20 0 DCADLN Cc1nnc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001379971887 962426346 /nfs/dbraw/zinc/42/63/46/962426346.db2.gz QFVRFFQETYPLOZ-CBAPKCEASA-N 0 2 309.267 0.704 20 0 DCADLN Cc1nnc(CN2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)[nH]1 ZINC001379971887 962426353 /nfs/dbraw/zinc/42/63/53/962426353.db2.gz QFVRFFQETYPLOZ-CBAPKCEASA-N 0 2 309.267 0.704 20 0 DCADLN Cc1nc(C(=O)N(CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C(C)C)co1 ZINC001379996796 962470415 /nfs/dbraw/zinc/47/04/15/962470415.db2.gz KADGLDKWGPGNCV-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN Cc1nc(C(=O)N(CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C(C)C)co1 ZINC001379996796 962470433 /nfs/dbraw/zinc/47/04/33/962470433.db2.gz KADGLDKWGPGNCV-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN C=Cc1ccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)cc1 ZINC001364114334 962499049 /nfs/dbraw/zinc/49/90/49/962499049.db2.gz JJWPCOIATDHFNE-UHFFFAOYSA-N 0 2 320.374 0.922 20 0 DCADLN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)NCc2nc(O)cc(=O)[nH]2)C1 ZINC001364206973 962672324 /nfs/dbraw/zinc/67/23/24/962672324.db2.gz QTQIUFGINOLHHM-VXNVDRBHSA-N 0 2 303.322 0.593 20 0 DCADLN CCc1nnc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001364275243 962795935 /nfs/dbraw/zinc/79/59/35/962795935.db2.gz ZQKMYOJLZLHAGH-MRVPVSSYSA-N 0 2 320.357 0.280 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)N[C@H]1CCOC1=O ZINC001364360895 962957615 /nfs/dbraw/zinc/95/76/15/962957615.db2.gz YWZNTDRHKPSFNH-QMMMGPOBSA-N 0 2 322.346 0.854 20 0 DCADLN C[C@@H](C[NH2+]Cc1nnc(C2CC2)o1)NC(=O)[C@@H]1CCC[N@@H+]1C ZINC001379489339 963043427 /nfs/dbraw/zinc/04/34/27/963043427.db2.gz GLPOOEPWGSMVDT-JQWIXIFHSA-N 0 2 307.398 0.636 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001430392080 1013427373 /nfs/dbraw/zinc/42/73/73/1013427373.db2.gz YJPYEKAZBQYXGE-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430392080 1013427381 /nfs/dbraw/zinc/42/73/81/1013427381.db2.gz YJPYEKAZBQYXGE-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN CCn1cc(C[NH+](C)CCCN(C)C(=O)[C@H]2CCC[N@@H+]2C)nn1 ZINC001380968439 963729802 /nfs/dbraw/zinc/72/98/02/963729802.db2.gz BCXUBUKGDVCPHD-OAHLLOKOSA-N 0 2 322.457 0.673 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368959732 964194128 /nfs/dbraw/zinc/19/41/28/964194128.db2.gz YSDIYHBOVVPVRL-NSHDSACASA-N 0 2 303.366 0.690 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368959732 964194135 /nfs/dbraw/zinc/19/41/35/964194135.db2.gz YSDIYHBOVVPVRL-NSHDSACASA-N 0 2 303.366 0.690 20 0 DCADLN COCc1noc([C@@H](C)N(C)[C@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001368966908 964208438 /nfs/dbraw/zinc/20/84/38/964208438.db2.gz UXBWNENPXNGLMB-RKDXNWHRSA-N 0 2 323.357 0.145 20 0 DCADLN CC(C)NC(=O)CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001373626420 964382297 /nfs/dbraw/zinc/38/22/97/964382297.db2.gz DRWQWGBBMLOJCB-PSASIEDQSA-N 0 2 313.295 0.602 20 0 DCADLN Cc1ccoc1CC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001375463684 964432158 /nfs/dbraw/zinc/43/21/58/964432158.db2.gz AJQZIVIOFNJEMG-NSHDSACASA-N 0 2 319.365 0.687 20 0 DCADLN Cc1ccoc1CC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001375463680 964432842 /nfs/dbraw/zinc/43/28/42/964432842.db2.gz AJQZIVIOFNJEMG-LLVKDONJSA-N 0 2 319.365 0.687 20 0 DCADLN CCC[N@H+](C)CC(=O)N[C@H]1CC[NH+](Cc2snnc2C)C1 ZINC001376157269 965302510 /nfs/dbraw/zinc/30/25/10/965302510.db2.gz WRZVNQGCZTVKIT-LBPRGKRZSA-N 0 2 311.455 0.879 20 0 DCADLN Cn1nnc(CN[C@H](CNC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001376213592 965390854 /nfs/dbraw/zinc/39/08/54/965390854.db2.gz DWUCVRXJYVLPLB-APPZFPTMSA-N 0 2 324.282 0.095 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001374480644 965433244 /nfs/dbraw/zinc/43/32/44/965433244.db2.gz VDIOJIRVBXKZKV-UHFFFAOYSA-N 0 2 308.342 0.319 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001374480644 965433258 /nfs/dbraw/zinc/43/32/58/965433258.db2.gz VDIOJIRVBXKZKV-UHFFFAOYSA-N 0 2 308.342 0.319 20 0 DCADLN C[C@@H](CNC(=O)c1ncc[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001369823923 965454482 /nfs/dbraw/zinc/45/44/82/965454482.db2.gz AGSBCAOTALSRCT-NKWVEPMBSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)c1ncc[nH]1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001369823923 965454488 /nfs/dbraw/zinc/45/44/88/965454488.db2.gz AGSBCAOTALSRCT-NKWVEPMBSA-N 0 2 310.251 0.887 20 0 DCADLN CO[C@H]1CCC[C@@H]1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374510857 965467873 /nfs/dbraw/zinc/46/78/73/965467873.db2.gz WKWJZYAHRQGNTA-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN CO[C@H]1CCC[C@@H]1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374510857 965467883 /nfs/dbraw/zinc/46/78/83/965467883.db2.gz WKWJZYAHRQGNTA-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccncn2)C1)C(F)C(F)(F)F ZINC001374849003 966030326 /nfs/dbraw/zinc/03/03/26/966030326.db2.gz WLULXFKBUNKUBF-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccncn2)C1)[C@H](F)C(F)(F)F ZINC001374849003 966030336 /nfs/dbraw/zinc/03/03/36/966030336.db2.gz WLULXFKBUNKUBF-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN COCC(=O)N(C)[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001370378216 966053784 /nfs/dbraw/zinc/05/37/84/966053784.db2.gz XCHDRWLACGTXJM-WPRPVWTQSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N(C)[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001370378216 966053799 /nfs/dbraw/zinc/05/37/99/966053799.db2.gz XCHDRWLACGTXJM-WPRPVWTQSA-N 0 2 314.279 0.886 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001375105644 966358254 /nfs/dbraw/zinc/35/82/54/966358254.db2.gz HAUSVJQLUHETKX-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001375105644 966358263 /nfs/dbraw/zinc/35/82/63/966358263.db2.gz HAUSVJQLUHETKX-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](SC)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508017 966476346 /nfs/dbraw/zinc/47/63/46/966476346.db2.gz MIADKQWZAGGTFY-DTWKUNHWSA-N 0 2 301.416 0.588 20 0 DCADLN CC[C@@H](SC)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508017 966476353 /nfs/dbraw/zinc/47/63/53/966476353.db2.gz MIADKQWZAGGTFY-DTWKUNHWSA-N 0 2 301.416 0.588 20 0 DCADLN O=C(CCc1nn[nH]n1)Nc1nc(Br)ccc1O ZINC001447930149 1013655999 /nfs/dbraw/zinc/65/59/99/1013655999.db2.gz OGPJAFHTNIAAGG-UHFFFAOYSA-N 0 2 313.115 0.634 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)F)C(=O)C(F)C(F)(F)F ZINC001371004538 966659468 /nfs/dbraw/zinc/65/94/68/966659468.db2.gz IWCRQNXYADRTHO-RFZPGFLSSA-N 0 2 310.194 0.087 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)F)C(=O)[C@@H](F)C(F)(F)F ZINC001371004538 966659471 /nfs/dbraw/zinc/65/94/71/966659471.db2.gz IWCRQNXYADRTHO-RFZPGFLSSA-N 0 2 310.194 0.087 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001382067487 966910589 /nfs/dbraw/zinc/91/05/89/966910589.db2.gz KOHNBFHYTLABDN-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cncn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001382067487 966910593 /nfs/dbraw/zinc/91/05/93/966910593.db2.gz KOHNBFHYTLABDN-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001382067492 966911177 /nfs/dbraw/zinc/91/11/77/966911177.db2.gz KOHNBFHYTLABDN-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1cncn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001382067492 966911184 /nfs/dbraw/zinc/91/11/84/966911184.db2.gz KOHNBFHYTLABDN-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN CC1(C(=O)N2C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C2)CC1 ZINC001371463788 967012306 /nfs/dbraw/zinc/01/23/06/967012306.db2.gz MSZWAGZRUKRCHN-PRJMDXOYSA-N 0 2 312.263 0.375 20 0 DCADLN CC1(C(=O)N2C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)CC1 ZINC001371463788 967012316 /nfs/dbraw/zinc/01/23/16/967012316.db2.gz MSZWAGZRUKRCHN-PRJMDXOYSA-N 0 2 312.263 0.375 20 0 DCADLN COC1(CC(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001448009026 1013701329 /nfs/dbraw/zinc/70/13/29/1013701329.db2.gz VBTDEXIHLVWEKG-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN COC1(CC(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001448009026 1013701332 /nfs/dbraw/zinc/70/13/32/1013701332.db2.gz VBTDEXIHLVWEKG-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN CC[N@H+](CCNC(=O)c1nc[nH]n1)[C@@H]1CCN(C(C)(C)C)C1=O ZINC001372436179 967988698 /nfs/dbraw/zinc/98/86/98/967988698.db2.gz VIDKNEUHWMGWIR-LLVKDONJSA-N 0 2 322.413 0.256 20 0 DCADLN CC[N@@H+](CCNC(=O)c1nc[nH]n1)[C@@H]1CCN(C(C)(C)C)C1=O ZINC001372436179 967988705 /nfs/dbraw/zinc/98/87/05/967988705.db2.gz VIDKNEUHWMGWIR-LLVKDONJSA-N 0 2 322.413 0.256 20 0 DCADLN O=C(NC[C@H]1CC[N@H+](CCNC(=O)C2CC2)C1)c1[nH]ncc1F ZINC001372645097 968210695 /nfs/dbraw/zinc/21/06/95/968210695.db2.gz FXVRXQBSWBPMJX-SNVBAGLBSA-N 0 2 323.372 0.127 20 0 DCADLN C[C@@H](F)CC[NH+]1CC(CCO)(NC(=O)CCc2cn[nH]n2)C1 ZINC001448186693 1013811583 /nfs/dbraw/zinc/81/15/83/1013811583.db2.gz RKTMEEMSSIRDJE-LLVKDONJSA-N 0 2 313.377 0.038 20 0 DCADLN C[C@@H]1CCC[C@]1(C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373532519 969193440 /nfs/dbraw/zinc/19/34/40/969193440.db2.gz OEJNMBJCDLICFL-BMIGLBTASA-N 0 2 307.398 0.885 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)COCC1CC1 ZINC001448410065 1013901087 /nfs/dbraw/zinc/90/10/87/1013901087.db2.gz UUODTIACTJQCEC-LLVKDONJSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)COCC1CC1 ZINC001448410065 1013901090 /nfs/dbraw/zinc/90/10/90/1013901090.db2.gz UUODTIACTJQCEC-LLVKDONJSA-N 0 2 323.397 0.264 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1CCCO1 ZINC001431305036 1013988411 /nfs/dbraw/zinc/98/84/11/1013988411.db2.gz QZFMUGQVXGRMML-HTQZYQBOSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@H]1CCCO1 ZINC001431305036 1013988415 /nfs/dbraw/zinc/98/84/15/1013988415.db2.gz QZFMUGQVXGRMML-HTQZYQBOSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@@H+]3C[C@@H](O)C[C@H]3C(=O)[O-])nc12 ZINC000318341518 970427020 /nfs/dbraw/zinc/42/70/20/970427020.db2.gz UTUSWWVAOUQERT-RYUDHWBXSA-N 0 2 303.318 0.023 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@H+]3C[C@@H](O)C[C@H]3C(=O)[O-])nc12 ZINC000318341518 970427025 /nfs/dbraw/zinc/42/70/25/970427025.db2.gz UTUSWWVAOUQERT-RYUDHWBXSA-N 0 2 303.318 0.023 20 0 DCADLN C[C@H](c1ccccc1)[N@H+](CCO)CCS(=O)(=O)CC(=O)[O-] ZINC001605053691 972667319 /nfs/dbraw/zinc/66/73/19/972667319.db2.gz KMMCLSFNXKDUAH-GFCCVEGCSA-N 0 2 315.391 0.541 20 0 DCADLN C[C@H](c1ccccc1)[N@@H+](CCO)CCS(=O)(=O)CC(=O)[O-] ZINC001605053691 972667323 /nfs/dbraw/zinc/66/73/23/972667323.db2.gz KMMCLSFNXKDUAH-GFCCVEGCSA-N 0 2 315.391 0.541 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(c2nnc([C@H]3C[C@H](O)C[N@@H+]3C)n2C)C1 ZINC001603371679 972899813 /nfs/dbraw/zinc/89/98/13/972899813.db2.gz IPOGKTQECGDLMG-NOOOWODRSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(c2nnc([C@H]3C[C@H](O)C[N@H+]3C)n2C)C1 ZINC001603371679 972899822 /nfs/dbraw/zinc/89/98/22/972899822.db2.gz IPOGKTQECGDLMG-NOOOWODRSA-N 0 2 323.397 0.100 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001448917317 1014095756 /nfs/dbraw/zinc/09/57/56/1014095756.db2.gz HXIMVSLNLBAEAK-VIFPVBQESA-N 0 2 310.251 0.427 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cc1cc[nH]n1 ZINC001448917317 1014095761 /nfs/dbraw/zinc/09/57/61/1014095761.db2.gz HXIMVSLNLBAEAK-VIFPVBQESA-N 0 2 310.251 0.427 20 0 DCADLN Cn1c[nH+]cc1CCNS(=O)(=O)c1ccc(CC(=O)[O-])cc1 ZINC000401708467 973379525 /nfs/dbraw/zinc/37/95/25/973379525.db2.gz GJRUEOZNJJMUER-UHFFFAOYSA-N 0 2 323.374 0.568 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC001605448842 973525042 /nfs/dbraw/zinc/52/50/42/973525042.db2.gz LDMBNJSKQQIQEG-RYUDHWBXSA-N 0 2 322.365 0.523 20 0 DCADLN Cc1noc(C[C@@H]2CCC[N@@H+](CN3C[C@@H](C(=O)[O-])CC3=O)C2)n1 ZINC001605448842 973525049 /nfs/dbraw/zinc/52/50/49/973525049.db2.gz LDMBNJSKQQIQEG-RYUDHWBXSA-N 0 2 322.365 0.523 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(C(=O)C[C@H](C)n2cc[nH+]c2)CCO1 ZINC001604550641 973559549 /nfs/dbraw/zinc/55/95/49/973559549.db2.gz KAISAVDWGCMXNR-FZMZJTMJSA-N 0 2 311.338 0.163 20 0 DCADLN CN(CCNC(=O)Cn1cc[nH+]c1)C(=O)C[N@H+](C)CC(C)(C)C ZINC001448991374 1014121861 /nfs/dbraw/zinc/12/18/61/1014121861.db2.gz XJNYCOYICKXXFM-UHFFFAOYSA-N 0 2 323.441 0.436 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001605533314 973722089 /nfs/dbraw/zinc/72/20/89/973722089.db2.gz ZFKYCMDOBBGCEE-SECBINFHSA-N 0 2 303.322 0.861 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1C[N@H+](Cn1ccc(Br)n1)C2 ZINC001606459073 973904785 /nfs/dbraw/zinc/90/47/85/973904785.db2.gz RZHNBBALVQERLT-LDYMZIIASA-N 0 2 316.155 0.636 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1C[N@@H+](Cn1ccc(Br)n1)C2 ZINC001606459073 973904792 /nfs/dbraw/zinc/90/47/92/973904792.db2.gz RZHNBBALVQERLT-LDYMZIIASA-N 0 2 316.155 0.636 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)C1=CCOCC1 ZINC001528247681 1014238264 /nfs/dbraw/zinc/23/82/64/1014238264.db2.gz GHSGGAZCOUFGDZ-SECBINFHSA-N 0 2 312.263 0.808 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1=CCOCC1 ZINC001528247681 1014238273 /nfs/dbraw/zinc/23/82/73/1014238273.db2.gz GHSGGAZCOUFGDZ-SECBINFHSA-N 0 2 312.263 0.808 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)c2cc(C(=O)[O-])ccn2)CC1 ZINC001591724777 975674083 /nfs/dbraw/zinc/67/40/83/975674083.db2.gz KHWQDEUGQROJAQ-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN COC1CC(C(=O)NCCNC(=O)C(F)C(F)(F)F)C1 ZINC001522687480 1014294308 /nfs/dbraw/zinc/29/43/08/1014294308.db2.gz JUFURAJCAWFRBK-RRQHEKLDSA-N 0 2 300.252 0.544 20 0 DCADLN COC1CC(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001522687480 1014294316 /nfs/dbraw/zinc/29/43/16/1014294316.db2.gz JUFURAJCAWFRBK-RRQHEKLDSA-N 0 2 300.252 0.544 20 0 DCADLN Cc1nc(C)c(C(=O)NCCNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001522686279 1014298495 /nfs/dbraw/zinc/29/84/95/1014298495.db2.gz DEOXBHZTDIVUQL-QMMMGPOBSA-N 0 2 310.251 0.773 20 0 DCADLN Cc1nc(C)c(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)[nH]1 ZINC001522686279 1014298501 /nfs/dbraw/zinc/29/85/01/1014298501.db2.gz DEOXBHZTDIVUQL-QMMMGPOBSA-N 0 2 310.251 0.773 20 0 DCADLN O=C(NCCNC(=O)C1(F)CCOCC1)C(F)C(F)(F)F ZINC001522685720 1014298767 /nfs/dbraw/zinc/29/87/67/1014298767.db2.gz CYCVEVRFMVYZET-SSDOTTSWSA-N 0 2 318.242 0.638 20 0 DCADLN O=C(NCCNC(=O)C1(F)CCOCC1)[C@@H](F)C(F)(F)F ZINC001522685720 1014298771 /nfs/dbraw/zinc/29/87/71/1014298771.db2.gz CYCVEVRFMVYZET-SSDOTTSWSA-N 0 2 318.242 0.638 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N(C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001528567282 1014343241 /nfs/dbraw/zinc/34/32/41/1014343241.db2.gz RMOGLPXXBLFMMR-UHFFFAOYSA-N 0 2 309.414 0.257 20 0 DCADLN CC(C)c1nnc(C[NH2+]C2(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)[nH]1 ZINC001592019344 976704238 /nfs/dbraw/zinc/70/42/38/976704238.db2.gz CFIFDPNZXJMHHG-NXEZZACHSA-N 0 2 321.381 0.387 20 0 DCADLN C[C@@H](C1CC1)N(C(=O)C[N@@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1)C1CC1 ZINC001592178778 977086898 /nfs/dbraw/zinc/08/68/98/977086898.db2.gz SYKFIQVLRSMIMH-SUNKGSAMSA-N 0 2 310.394 0.950 20 0 DCADLN C[C@@H](C1CC1)N(C(=O)C[N@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1)C1CC1 ZINC001592178778 977086905 /nfs/dbraw/zinc/08/69/05/977086905.db2.gz SYKFIQVLRSMIMH-SUNKGSAMSA-N 0 2 310.394 0.950 20 0 DCADLN Cc1ccn(CC(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001522935694 1014478455 /nfs/dbraw/zinc/47/84/55/1014478455.db2.gz NKSKABJYIZCKTA-SNVBAGLBSA-N 0 2 324.278 0.714 20 0 DCADLN Cc1ccn(CC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001522935694 1014478467 /nfs/dbraw/zinc/47/84/67/1014478467.db2.gz NKSKABJYIZCKTA-SNVBAGLBSA-N 0 2 324.278 0.714 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CC[C@@H](CC(=O)[O-])C1 ZINC001592622775 978591591 /nfs/dbraw/zinc/59/15/91/978591591.db2.gz AWSIFRUEBHEIAM-XQQFMLRXSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC001592624613 978600342 /nfs/dbraw/zinc/60/03/42/978600342.db2.gz QUUFQNGXHJOINU-WCQYABFASA-N 0 2 313.398 0.507 20 0 DCADLN C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)CNc1ncc(C(=O)[O-])cn1 ZINC001592655277 978638506 /nfs/dbraw/zinc/63/85/06/978638506.db2.gz QOAJZFUNSAUFOY-SECBINFHSA-N 0 2 318.337 0.305 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ccncn1 ZINC001523438752 1014704339 /nfs/dbraw/zinc/70/43/39/1014704339.db2.gz XEPRZUDOKQFIBP-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccncn1 ZINC001523438752 1014704349 /nfs/dbraw/zinc/70/43/49/1014704349.db2.gz XEPRZUDOKQFIBP-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCCC[C@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC001595640850 981964726 /nfs/dbraw/zinc/96/47/26/981964726.db2.gz OHOYCAYLLABLOR-LBPRGKRZSA-N 0 2 322.427 0.272 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+]1CC[C@H](NC(=O)OC)C1)C(=O)[O-] ZINC001594893886 982311857 /nfs/dbraw/zinc/31/18/57/982311857.db2.gz LLMKJABOTWSBRW-SCVCMEIPSA-N 0 2 315.370 0.032 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+]1CC[C@H](NC(=O)OC)C1)C(=O)[O-] ZINC001594893886 982311865 /nfs/dbraw/zinc/31/18/65/982311865.db2.gz LLMKJABOTWSBRW-SCVCMEIPSA-N 0 2 315.370 0.032 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCCC[C@]1(COC)C(=O)[O-] ZINC001596485993 984225200 /nfs/dbraw/zinc/22/52/00/984225200.db2.gz WYBBCMQWPSUXFD-HZMBPMFUSA-N 0 2 315.370 0.176 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCCC[C@]1(COC)C(=O)[O-] ZINC001596485993 984225206 /nfs/dbraw/zinc/22/52/06/984225206.db2.gz WYBBCMQWPSUXFD-HZMBPMFUSA-N 0 2 315.370 0.176 20 0 DCADLN Cn1cc([C@H]2CN(c3[nH+]cccc3C(=O)[O-])C[C@H]2CO)cn1 ZINC001551353341 1015023223 /nfs/dbraw/zinc/02/32/23/1015023223.db2.gz GQJMFIBGMDKKRJ-WCQYABFASA-N 0 2 302.334 0.726 20 0 DCADLN CCOC(=O)C1([NH2+]CCc2cn(CC(=O)[O-])nn2)CCCCC1 ZINC001596558918 984429991 /nfs/dbraw/zinc/42/99/91/984429991.db2.gz BKHHJNMLBVQRMU-UHFFFAOYSA-N 0 2 324.381 0.761 20 0 DCADLN C[C@H](CC(=O)[O-])OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001599891071 984695057 /nfs/dbraw/zinc/69/50/57/984695057.db2.gz ZKHHBFKVFJEKHC-UTUOFQBUSA-N 0 2 301.339 0.273 20 0 DCADLN C[C@H](NC(=O)[C@H](C)NC(=O)Nc1ccc2[nH+]ccn2c1)C(=O)[O-] ZINC001599899884 984800296 /nfs/dbraw/zinc/80/02/96/984800296.db2.gz RKAXFOPQGRVULE-IUCAKERBSA-N 0 2 319.321 0.434 20 0 DCADLN CCOC(=O)c1cc(C[N@@H+]2CCSC[C@@H]2CC(=O)[O-])[nH]n1 ZINC001596707694 984917570 /nfs/dbraw/zinc/91/75/70/984917570.db2.gz WVYLCAHRWBZFMF-JTQLQIEISA-N 0 2 313.379 0.979 20 0 DCADLN CCOC(=O)c1cc(C[N@H+]2CCSC[C@@H]2CC(=O)[O-])[nH]n1 ZINC001596707694 984917577 /nfs/dbraw/zinc/91/75/77/984917577.db2.gz WVYLCAHRWBZFMF-JTQLQIEISA-N 0 2 313.379 0.979 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001383846507 985133851 /nfs/dbraw/zinc/13/38/51/985133851.db2.gz COMVOEIJLLFHAB-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccncc1 ZINC001383846507 985133856 /nfs/dbraw/zinc/13/38/56/985133856.db2.gz COMVOEIJLLFHAB-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN C[C@@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)n1cccn1 ZINC001450376211 1015099735 /nfs/dbraw/zinc/09/97/35/1015099735.db2.gz MVZAWCSXXRTRQA-IONNQARKSA-N 0 2 322.262 0.672 20 0 DCADLN C[C@@H](C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)n1cccn1 ZINC001450376211 1015099748 /nfs/dbraw/zinc/09/97/48/1015099748.db2.gz MVZAWCSXXRTRQA-IONNQARKSA-N 0 2 322.262 0.672 20 0 DCADLN C[C@H]1CN(c2nnc([C@H]3C[C@H](O)C[N@@H+]3C)n2C)CC[C@H]1C(=O)[O-] ZINC001599936007 985395349 /nfs/dbraw/zinc/39/53/49/985395349.db2.gz VCVQOUVLJLSGPJ-NNYUYHANSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@H]1CN(c2nnc([C@H]3C[C@H](O)C[N@H+]3C)n2C)CC[C@H]1C(=O)[O-] ZINC001599936007 985395358 /nfs/dbraw/zinc/39/53/58/985395358.db2.gz VCVQOUVLJLSGPJ-NNYUYHANSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1CN(C)C(=O)C[N@@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594499983 985671916 /nfs/dbraw/zinc/67/19/16/985671916.db2.gz QGWSXWWCCOKVKJ-DGCLKSJQSA-N 0 2 322.361 0.287 20 0 DCADLN C[C@@H]1CN(C)C(=O)C[N@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594499983 985671917 /nfs/dbraw/zinc/67/19/17/985671917.db2.gz QGWSXWWCCOKVKJ-DGCLKSJQSA-N 0 2 322.361 0.287 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)C[C@@H]2CCOC2)n[nH]1 ZINC001551658752 1015165385 /nfs/dbraw/zinc/16/53/85/1015165385.db2.gz AZBKXROLDOPNAF-YNEHKIRRSA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@H]2CCC(=O)C2)n[nH]1 ZINC001551659034 1015166757 /nfs/dbraw/zinc/16/67/57/1015166757.db2.gz KYTFHLZKTRZAGH-UHTWSYAYSA-N 0 2 306.366 0.813 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2cnn(C)c2)n[nH]1 ZINC001551662851 1015169155 /nfs/dbraw/zinc/16/91/55/1015169155.db2.gz GFSRYBUXSBJLQU-RYUDHWBXSA-N 0 2 304.354 0.491 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2ccnc(C)n2)n[nH]1 ZINC001551666680 1015171331 /nfs/dbraw/zinc/17/13/31/1015171331.db2.gz NNUSGRRWDTTYLY-YPMHNXCESA-N 0 2 316.365 0.856 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001599967859 985943686 /nfs/dbraw/zinc/94/36/86/985943686.db2.gz DBZGZXOQYDGHBL-VIFPVBQESA-N 0 2 304.310 0.024 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2ccnc(C(=O)[O-])c2)[C@@H](C)CO1 ZINC001594526594 986057508 /nfs/dbraw/zinc/05/75/08/986057508.db2.gz AGQDWKYQAYBAOX-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2ccnc(C(=O)[O-])c2)[C@@H](C)CO1 ZINC001594526594 986057524 /nfs/dbraw/zinc/05/75/24/986057524.db2.gz AGQDWKYQAYBAOX-QWRGUYRKSA-N 0 2 307.350 0.619 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2cc[nH]n2)C1 ZINC001385233952 986172602 /nfs/dbraw/zinc/17/26/02/986172602.db2.gz JMGJVIRRSVPRFW-IONNQARKSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001385233952 986172609 /nfs/dbraw/zinc/17/26/09/986172609.db2.gz JMGJVIRRSVPRFW-IONNQARKSA-N 0 2 322.262 0.983 20 0 DCADLN C[C@H](C(=O)N1CCCCC1)[N@@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001589358457 986349587 /nfs/dbraw/zinc/34/95/87/986349587.db2.gz CCDNBIZNOYVASD-SNVBAGLBSA-N 0 2 306.366 0.867 20 0 DCADLN C[C@H](C(=O)N1CCCCC1)[N@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001589358457 986349596 /nfs/dbraw/zinc/34/95/96/986349596.db2.gz CCDNBIZNOYVASD-SNVBAGLBSA-N 0 2 306.366 0.867 20 0 DCADLN C[C@@H](C(=O)N[C@@H](C(=O)[O-])C1CCOCC1)[NH+]1CCSCC1 ZINC001589376326 986436074 /nfs/dbraw/zinc/43/60/74/986436074.db2.gz YHXBNRBFGPYWAA-CMPLNLGQSA-N 0 2 316.423 0.420 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001385651322 986495522 /nfs/dbraw/zinc/49/55/22/986495522.db2.gz GDESWRZRGZQDBZ-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)[C@@H](F)C(F)(F)F ZINC001385651322 986495530 /nfs/dbraw/zinc/49/55/30/986495530.db2.gz GDESWRZRGZQDBZ-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385923288 986686861 /nfs/dbraw/zinc/68/68/61/986686861.db2.gz MWSWUESKAVUHTJ-XAMCCFCMSA-N 0 2 300.252 0.685 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385923288 986686868 /nfs/dbraw/zinc/68/68/68/986686868.db2.gz MWSWUESKAVUHTJ-XAMCCFCMSA-N 0 2 300.252 0.685 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cc[nH]n3)C[C@H]21)C(F)C(F)(F)F ZINC001386103813 986835465 /nfs/dbraw/zinc/83/54/65/986835465.db2.gz QPKJYBYTXRQKCJ-CRYJXSNHSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3ccn[nH]3)C[C@H]21)C(F)C(F)(F)F ZINC001386103813 986835485 /nfs/dbraw/zinc/83/54/85/986835485.db2.gz QPKJYBYTXRQKCJ-CRYJXSNHSA-N 0 2 320.246 0.497 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cnoc1 ZINC001386935773 987513482 /nfs/dbraw/zinc/51/34/82/987513482.db2.gz OFHMPRGXZNTGPH-SECBINFHSA-N 0 2 311.235 0.692 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cc1cnoc1 ZINC001386935773 987513486 /nfs/dbraw/zinc/51/34/86/987513486.db2.gz OFHMPRGXZNTGPH-SECBINFHSA-N 0 2 311.235 0.692 20 0 DCADLN CCc1[nH]c(C(=O)N2CC[N@H+](C)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001597361548 987520960 /nfs/dbraw/zinc/52/09/60/987520960.db2.gz HNZLHTRPDLDRRH-JTQLQIEISA-N 0 2 309.366 0.332 20 0 DCADLN CCc1[nH]c(C(=O)N2CC[N@@H+](C)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001597361548 987520963 /nfs/dbraw/zinc/52/09/63/987520963.db2.gz HNZLHTRPDLDRRH-JTQLQIEISA-N 0 2 309.366 0.332 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)NC(=O)C(F)C(F)(F)F ZINC001387061699 987606179 /nfs/dbraw/zinc/60/61/79/987606179.db2.gz WIQHTDWCQVZBGF-RQJHMYQMSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001387061699 987606185 /nfs/dbraw/zinc/60/61/85/987606185.db2.gz WIQHTDWCQVZBGF-RQJHMYQMSA-N 0 2 308.235 0.612 20 0 DCADLN CCc1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc(=O)[nH]1 ZINC001597429518 987764280 /nfs/dbraw/zinc/76/42/80/987764280.db2.gz HTESKSPNEGQZRR-NSHDSACASA-N 0 2 304.306 0.429 20 0 DCADLN C[C@H](CCNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001387424161 987824445 /nfs/dbraw/zinc/82/44/45/987824445.db2.gz YYNJLKLQPTYFLJ-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1ccnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001387424161 987824450 /nfs/dbraw/zinc/82/44/50/987824450.db2.gz YYNJLKLQPTYFLJ-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC(C)(C)[C@H](Cn1cc[nH+]c1)NC(=O)Cn1cc(C(=O)[O-])nn1 ZINC001589711897 987841169 /nfs/dbraw/zinc/84/11/69/987841169.db2.gz IZBCCRJJBTVTQK-NSHDSACASA-N 0 2 320.353 0.404 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CC(C)(C)O ZINC001387797509 988160416 /nfs/dbraw/zinc/16/04/16/988160416.db2.gz XBEJOFJEICYCHB-QMMMGPOBSA-N 0 2 302.268 0.622 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)CC(C)(C)O ZINC001387797509 988160421 /nfs/dbraw/zinc/16/04/21/988160421.db2.gz XBEJOFJEICYCHB-QMMMGPOBSA-N 0 2 302.268 0.622 20 0 DCADLN CSc1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccn1 ZINC001599807820 988284986 /nfs/dbraw/zinc/28/49/86/988284986.db2.gz XTTNGZUXJHBHAC-JTQLQIEISA-N 0 2 306.347 0.952 20 0 DCADLN CSc1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccn1 ZINC001599807820 988284987 /nfs/dbraw/zinc/28/49/87/988284987.db2.gz XTTNGZUXJHBHAC-JTQLQIEISA-N 0 2 306.347 0.952 20 0 DCADLN CC[C@@H](C(=O)NC[C@@H](C)CNC(=O)Cc1c[nH+]cn1C)[NH+](C)C ZINC001388469826 988342040 /nfs/dbraw/zinc/34/20/40/988342040.db2.gz RPZIUGWKSWGHMX-JSGCOSHPSA-N 0 2 323.441 0.171 20 0 DCADLN CCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@H]3C[C@H]3C(=O)[O-])C2)no1 ZINC001597674133 988781800 /nfs/dbraw/zinc/78/18/00/988781800.db2.gz UUGQRWYEPBCORG-AATLWQCWSA-N 0 2 308.338 0.090 20 0 DCADLN Cn1cncc1CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001389230332 989006417 /nfs/dbraw/zinc/00/64/17/989006417.db2.gz AHEOOLKXXFZPNI-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN C[C@@H]1C[N@H+](Cn2nc(-c3cnn(C)c3)ccc2=O)C[C@H]1C(=O)[O-] ZINC001599867842 989300482 /nfs/dbraw/zinc/30/04/82/989300482.db2.gz WSYXEFYKMWYIQD-ZYHUDNBSSA-N 0 2 317.349 0.254 20 0 DCADLN C[C@@H]1C[N@@H+](Cn2nc(-c3cnn(C)c3)ccc2=O)C[C@H]1C(=O)[O-] ZINC001599867842 989300487 /nfs/dbraw/zinc/30/04/87/989300487.db2.gz WSYXEFYKMWYIQD-ZYHUDNBSSA-N 0 2 317.349 0.254 20 0 DCADLN C[C@@H]1CN(c2nnc(C[NH+]3CCCCC3)n2C)C[C@H]1C(=O)[O-] ZINC001599870649 989344269 /nfs/dbraw/zinc/34/42/69/989344269.db2.gz WGAKGHRAPVRFPM-VXGBXAGGSA-N 0 2 307.398 0.958 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2CCCO2)CC1)C(F)C(F)(F)F ZINC001389869653 989630624 /nfs/dbraw/zinc/63/06/24/989630624.db2.gz NZVIVCQZUFQHGI-SFYZADRCSA-N 0 2 312.263 0.831 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2CCCO2)CC1)[C@H](F)C(F)(F)F ZINC001389869653 989630630 /nfs/dbraw/zinc/63/06/30/989630630.db2.gz NZVIVCQZUFQHGI-SFYZADRCSA-N 0 2 312.263 0.831 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ncc[nH]1 ZINC001389863788 989646125 /nfs/dbraw/zinc/64/61/25/989646125.db2.gz GWBJNUIQTUUZDK-ZCFIWIBFSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1ncc[nH]1 ZINC001389863788 989646132 /nfs/dbraw/zinc/64/61/32/989646132.db2.gz GWBJNUIQTUUZDK-ZCFIWIBFSA-N 0 2 308.235 0.689 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ccncn1 ZINC001389935991 989693938 /nfs/dbraw/zinc/69/39/38/989693938.db2.gz AJFGJTAOLGUYEL-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)c1ccncn1 ZINC001389935991 989693942 /nfs/dbraw/zinc/69/39/42/989693942.db2.gz AJFGJTAOLGUYEL-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN CN(C(=O)CC(C)(C)O)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001390053535 989780409 /nfs/dbraw/zinc/78/04/09/989780409.db2.gz HKZOUSDUBQCNMJ-VIFPVBQESA-N 0 2 314.279 0.717 20 0 DCADLN CN(C(=O)CC(C)(C)O)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001390053535 989780412 /nfs/dbraw/zinc/78/04/12/989780412.db2.gz HKZOUSDUBQCNMJ-VIFPVBQESA-N 0 2 314.279 0.717 20 0 DCADLN O=C(C[C@H]1CCOC1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001390103572 989823765 /nfs/dbraw/zinc/82/37/65/989823765.db2.gz GKCPCWKHLJKSES-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@H]1CCOC1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001390103572 989823774 /nfs/dbraw/zinc/82/37/74/989823774.db2.gz GKCPCWKHLJKSES-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001391057805 990548104 /nfs/dbraw/zinc/54/81/04/990548104.db2.gz CKFYHEWSPAVWLV-MNOVXSKESA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001391057805 990548107 /nfs/dbraw/zinc/54/81/07/990548107.db2.gz CKFYHEWSPAVWLV-MNOVXSKESA-N 0 2 319.365 0.988 20 0 DCADLN CCOCC(=O)NC[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001391163371 990633351 /nfs/dbraw/zinc/63/33/51/990633351.db2.gz OQYBSQGCSPHIKC-APPZFPTMSA-N 0 2 302.268 0.792 20 0 DCADLN CCOCC(=O)NC[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001391163371 990633354 /nfs/dbraw/zinc/63/33/54/990633354.db2.gz OQYBSQGCSPHIKC-APPZFPTMSA-N 0 2 302.268 0.792 20 0 DCADLN Cc1ccnc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001391417389 990820008 /nfs/dbraw/zinc/82/00/08/990820008.db2.gz BIOMSEYKUWZARV-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN Cc1ccnc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001391417389 990820010 /nfs/dbraw/zinc/82/00/10/990820010.db2.gz BIOMSEYKUWZARV-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN COc1cccc([C@H]([NH2+]CC(=O)Nc2cnccn2)C(=O)[O-])c1 ZINC001599687611 991011540 /nfs/dbraw/zinc/01/15/40/991011540.db2.gz YUKPWESZEQBWIF-AWEZNQCLSA-N 0 2 316.317 0.839 20 0 DCADLN CC[C@H](CNC(=O)Cc1nnc(C)[nH]1)[NH2+][C@@H](C)c1nnc(C)[nH]1 ZINC001391686017 991095646 /nfs/dbraw/zinc/09/56/46/991095646.db2.gz UFXSBGNTOFPZTM-GZMMTYOYSA-N 0 2 320.401 0.328 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001598360444 991503115 /nfs/dbraw/zinc/50/31/15/991503115.db2.gz UTMGIVUQCAEILM-IUCAKERBSA-N 0 2 314.773 0.463 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001598360444 991503121 /nfs/dbraw/zinc/50/31/21/991503121.db2.gz UTMGIVUQCAEILM-IUCAKERBSA-N 0 2 314.773 0.463 20 0 DCADLN COc1cccn2c(=O)n(C[N@@H+]3C[C@@H](C)[C@H](CC(=O)[O-])C3)nc12 ZINC001599719273 991508987 /nfs/dbraw/zinc/50/89/87/991508987.db2.gz IDRSWUGPXGNKJQ-GHMZBOCLSA-N 0 2 320.349 0.505 20 0 DCADLN COc1cccn2c(=O)n(C[N@H+]3C[C@@H](C)[C@H](CC(=O)[O-])C3)nc12 ZINC001599719273 991508997 /nfs/dbraw/zinc/50/89/97/991508997.db2.gz IDRSWUGPXGNKJQ-GHMZBOCLSA-N 0 2 320.349 0.505 20 0 DCADLN CN(C)c1noc(C[NH+]2CCC3(CC(C(=O)[O-])=NO3)CC2)n1 ZINC001598364956 991512286 /nfs/dbraw/zinc/51/22/86/991512286.db2.gz TYMKTAVKHDFGQD-UHFFFAOYSA-N 0 2 309.326 0.331 20 0 DCADLN CC(C)C[C@H](C(=O)N1C[C@H](C(=O)[O-])C[C@H](O)C1)n1cc[nH+]c1 ZINC001591118710 991785479 /nfs/dbraw/zinc/78/54/79/991785479.db2.gz YFYMCMXCPDPMTA-FRRDWIJNSA-N 0 2 309.366 0.764 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CC[C@](O)(C(F)F)C1)C(=O)[O-] ZINC001591167778 991940514 /nfs/dbraw/zinc/94/05/14/991940514.db2.gz MXISSYDIXKKFLW-NOZJJQNGSA-N 0 2 308.325 0.304 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CC[C@](O)(C(F)F)C1)C(=O)[O-] ZINC001591167778 991940515 /nfs/dbraw/zinc/94/05/15/991940515.db2.gz MXISSYDIXKKFLW-NOZJJQNGSA-N 0 2 308.325 0.304 20 0 DCADLN CN(CCCNc1cc(CC(=O)[O-])cc[nH+]1)S(C)(=O)=O ZINC001598482963 992180274 /nfs/dbraw/zinc/18/02/74/992180274.db2.gz KKELYQCTXRTNJK-UHFFFAOYSA-N 0 2 301.368 0.402 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2cccc(C(=O)[O-])n2)CC1 ZINC001599776935 992314677 /nfs/dbraw/zinc/31/46/77/992314677.db2.gz NPDUFDHIGHFFAU-NRFANRHFSA-N 0 2 311.407 0.276 20 0 DCADLN C[N@H+](CCOCCO)Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001598498518 992396611 /nfs/dbraw/zinc/39/66/11/992396611.db2.gz ZUBPWJXTAGMMOD-UHFFFAOYSA-N 0 2 321.333 0.474 20 0 DCADLN C[N@@H+](CCOCCO)Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001598498518 992396618 /nfs/dbraw/zinc/39/66/18/992396618.db2.gz ZUBPWJXTAGMMOD-UHFFFAOYSA-N 0 2 321.333 0.474 20 0 DCADLN Cn1ncnc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001392829929 992437624 /nfs/dbraw/zinc/43/76/24/992437624.db2.gz CWGPCSUUWZAQGH-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncnc1CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001392829929 992437635 /nfs/dbraw/zinc/43/76/35/992437635.db2.gz CWGPCSUUWZAQGH-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1nncc1CN1CC[C@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001393105972 992686858 /nfs/dbraw/zinc/68/68/58/992686858.db2.gz WCIXRAOFGDPZJF-ONGXEEELSA-N 0 2 323.294 0.796 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1ccn(C)n1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001591593836 992811243 /nfs/dbraw/zinc/81/12/43/992811243.db2.gz JKAIOWRIWDMSFX-KGLIPLIRSA-N 0 2 322.409 0.810 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1ccn(C)n1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001591593836 992811248 /nfs/dbraw/zinc/81/12/48/992811248.db2.gz JKAIOWRIWDMSFX-KGLIPLIRSA-N 0 2 322.409 0.810 20 0 DCADLN CC[N@@H+](CCNC(=O)c1n[nH]c(C)c1[O-])CCc1cnn(C)c1 ZINC001399698375 993681630 /nfs/dbraw/zinc/68/16/30/993681630.db2.gz NBXOAJLANZUVFO-UHFFFAOYSA-N 0 2 320.397 0.452 20 0 DCADLN CC[N@H+](CCNC(=O)c1ocnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001399777317 993762212 /nfs/dbraw/zinc/76/22/12/993762212.db2.gz ZZOHMDYJMVMFPD-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ocnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001399777317 993762220 /nfs/dbraw/zinc/76/22/20/993762220.db2.gz ZZOHMDYJMVMFPD-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN COc1ccc(OCC[N@H+](C)Cc2cn(CC(=O)[O-])nn2)cc1 ZINC001599605944 993885295 /nfs/dbraw/zinc/88/52/95/993885295.db2.gz PZVPMMLNIBSUIV-UHFFFAOYSA-N 0 2 320.349 0.882 20 0 DCADLN COc1ccc(OCC[N@@H+](C)Cc2cn(CC(=O)[O-])nn2)cc1 ZINC001599605944 993885303 /nfs/dbraw/zinc/88/53/03/993885303.db2.gz PZVPMMLNIBSUIV-UHFFFAOYSA-N 0 2 320.349 0.882 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@@](C)(CC(=O)[O-])c2ccccn2)C1 ZINC001598623965 994055514 /nfs/dbraw/zinc/05/55/14/994055514.db2.gz CPWUTHLEAFUTOG-NHYWBVRUSA-N 0 2 307.350 0.218 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N[C@@](C)(CC(=O)[O-])c2ccccn2)C1 ZINC001598623965 994055521 /nfs/dbraw/zinc/05/55/21/994055521.db2.gz CPWUTHLEAFUTOG-NHYWBVRUSA-N 0 2 307.350 0.218 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)NC[C@H](F)C(=O)[O-])C1 ZINC001598627102 994115889 /nfs/dbraw/zinc/11/58/89/994115889.db2.gz ZMYMZBRMUOUCCF-NSHDSACASA-N 0 2 316.354 0.376 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)NC[C@H](F)C(=O)[O-])C1 ZINC001598627102 994115894 /nfs/dbraw/zinc/11/58/94/994115894.db2.gz ZMYMZBRMUOUCCF-NSHDSACASA-N 0 2 316.354 0.376 20 0 DCADLN CC(C)N(CC[N@@H+](C)CC(=O)NC1CC1)C(=O)C[NH+]1CCCC1 ZINC001394810798 994178995 /nfs/dbraw/zinc/17/89/95/994178995.db2.gz CBJKUDDEMLHFSR-UHFFFAOYSA-N 0 2 324.469 0.530 20 0 DCADLN COCCN(CCNC(=O)C1=CCCC1)Cc1n[nH]c(=O)[nH]1 ZINC001394827851 994192272 /nfs/dbraw/zinc/19/22/72/994192272.db2.gz GVXPITOBCPBJOL-UHFFFAOYSA-N 0 2 309.370 0.185 20 0 DCADLN CNC(=O)N1CC[NH+](Cc2ccccc2O[C@H](C)C(=O)[O-])CC1 ZINC001598651979 994459358 /nfs/dbraw/zinc/45/93/58/994459358.db2.gz MKSGDDSSQQURBB-GFCCVEGCSA-N 0 2 321.377 0.996 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400630854 994731014 /nfs/dbraw/zinc/73/10/14/994731014.db2.gz OCXPTOPMMGKKTK-QWRGUYRKSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@@](O)(C[N@@H+]1CCN(Cc2cccc(F)c2)C(=O)C1)C(=O)[O-] ZINC001593396068 995137136 /nfs/dbraw/zinc/13/71/36/995137136.db2.gz GAZQBONVCZTADV-OAHLLOKOSA-N 0 2 310.325 0.306 20 0 DCADLN C[C@@](O)(C[N@H+]1CCN(Cc2cccc(F)c2)C(=O)C1)C(=O)[O-] ZINC001593396068 995137141 /nfs/dbraw/zinc/13/71/41/995137141.db2.gz GAZQBONVCZTADV-OAHLLOKOSA-N 0 2 310.325 0.306 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC001598701752 995232230 /nfs/dbraw/zinc/23/22/30/995232230.db2.gz JFNJWUYHTGPPTK-GRYCIOLGSA-N 0 2 323.349 0.432 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1ccccc1 ZINC001396987268 995726238 /nfs/dbraw/zinc/72/62/38/995726238.db2.gz WCDLRCUUXCKQOD-ZDUSSCGKSA-N 0 2 315.377 0.786 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397253371 995888318 /nfs/dbraw/zinc/88/83/18/995888318.db2.gz RQJKBXFZJORTTH-XKSSXDPKSA-N 0 2 323.246 0.881 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397253371 995888319 /nfs/dbraw/zinc/88/83/19/995888319.db2.gz RQJKBXFZJORTTH-XKSSXDPKSA-N 0 2 323.246 0.881 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001397394517 995962429 /nfs/dbraw/zinc/96/24/29/995962429.db2.gz PJVVVVCMHJJCNQ-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)n(C)n1 ZINC001397394517 995962435 /nfs/dbraw/zinc/96/24/35/995962435.db2.gz PJVVVVCMHJJCNQ-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001397455803 996009242 /nfs/dbraw/zinc/00/92/42/996009242.db2.gz DSHLSRGDRJCRQV-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001397455803 996009247 /nfs/dbraw/zinc/00/92/47/996009247.db2.gz DSHLSRGDRJCRQV-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](C(=O)N(C)C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C[NH+]1CCCC1 ZINC001397553985 996063601 /nfs/dbraw/zinc/06/36/01/996063601.db2.gz KWKIOSCTTLTLRM-ZIAGYGMSSA-N 0 2 310.442 0.092 20 0 DCADLN COC(=O)[C@H]1CCC[C@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001598790941 996071920 /nfs/dbraw/zinc/07/19/20/996071920.db2.gz NGIOCQNCFHUBHR-NHCYSSNCSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H]1CCC[C@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001598790941 996071923 /nfs/dbraw/zinc/07/19/23/996071923.db2.gz NGIOCQNCFHUBHR-NHCYSSNCSA-N 0 2 323.349 0.501 20 0 DCADLN COCC(=O)N1CCC[C@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001397703711 996139383 /nfs/dbraw/zinc/13/93/83/996139383.db2.gz RRSIILFWFYLENZ-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N1CCC[C@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397703711 996139385 /nfs/dbraw/zinc/13/93/85/996139385.db2.gz RRSIILFWFYLENZ-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001397936283 996266249 /nfs/dbraw/zinc/26/62/49/996266249.db2.gz FOBWJCQXLCUVLY-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001397936283 996266256 /nfs/dbraw/zinc/26/62/56/996266256.db2.gz FOBWJCQXLCUVLY-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[N@@H+]1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001593724977 996272027 /nfs/dbraw/zinc/27/20/27/996272027.db2.gz KNIHPRNWMDWPDJ-BDAKNGLRSA-N 0 2 317.367 0.305 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[N@H+]1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001593724977 996272031 /nfs/dbraw/zinc/27/20/31/996272031.db2.gz KNIHPRNWMDWPDJ-BDAKNGLRSA-N 0 2 317.367 0.305 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2cc(Cl)n(C)n2)C[C@H]1C(=O)[O-] ZINC001598824489 996367548 /nfs/dbraw/zinc/36/75/48/996367548.db2.gz AELNGQNLAJFERE-RKDXNWHRSA-N 0 2 301.730 0.379 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2cc(Cl)n(C)n2)C[C@H]1C(=O)[O-] ZINC001598824489 996367551 /nfs/dbraw/zinc/36/75/51/996367551.db2.gz AELNGQNLAJFERE-RKDXNWHRSA-N 0 2 301.730 0.379 20 0 DCADLN COC(=O)/C=C\c1ccc(C(=O)O[C@@H]2C[C@@H](C(=O)[O-])[N@H+](C)C2)o1 ZINC001598832039 996446740 /nfs/dbraw/zinc/44/67/40/996446740.db2.gz RCWQBCNRNCIQEO-FPNIURKZSA-N 0 2 323.301 0.780 20 0 DCADLN COC(=O)/C=C\c1ccc(C(=O)O[C@@H]2C[C@@H](C(=O)[O-])[N@@H+](C)C2)o1 ZINC001598832039 996446746 /nfs/dbraw/zinc/44/67/46/996446746.db2.gz RCWQBCNRNCIQEO-FPNIURKZSA-N 0 2 323.301 0.780 20 0 DCADLN COC(=O)CC1(C[N@@H+]2CC[C@@H](C(=O)[O-])C[C@@H]2C(=O)OC)CC1 ZINC001598841404 996528453 /nfs/dbraw/zinc/52/84/53/996528453.db2.gz PTQBDGURXVLVHT-GHMZBOCLSA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)CC1(C[N@H+]2CC[C@@H](C(=O)[O-])C[C@@H]2C(=O)OC)CC1 ZINC001598841404 996528459 /nfs/dbraw/zinc/52/84/59/996528459.db2.gz PTQBDGURXVLVHT-GHMZBOCLSA-N 0 2 313.350 0.668 20 0 DCADLN CC1(C(=O)[O-])C[NH+](Cc2ccc(Cl)c(S(N)(=O)=O)c2)C1 ZINC001593808041 996540474 /nfs/dbraw/zinc/54/04/74/996540474.db2.gz LKJUBAYMMRLCRK-UHFFFAOYSA-N 0 2 318.782 0.894 20 0 DCADLN CC(C)(O)CC(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398757146 996674307 /nfs/dbraw/zinc/67/43/07/996674307.db2.gz VBURUOXJQRSFEJ-SECBINFHSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)(O)CC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001398757146 996674302 /nfs/dbraw/zinc/67/43/02/996674302.db2.gz VBURUOXJQRSFEJ-SECBINFHSA-N 0 2 314.279 0.622 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCC[N@@H+]1CC(=O)NC1CCOCC1 ZINC001599189073 997085934 /nfs/dbraw/zinc/08/59/34/997085934.db2.gz VQIAQAAXYBVSAM-HNNXBMFYSA-N 0 2 314.382 0.237 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCC[N@H+]1CC(=O)NC1CCOCC1 ZINC001599189073 997085944 /nfs/dbraw/zinc/08/59/44/997085944.db2.gz VQIAQAAXYBVSAM-HNNXBMFYSA-N 0 2 314.382 0.237 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)N(C)C(=O)C(F)C(F)(F)F ZINC001404467018 997142809 /nfs/dbraw/zinc/14/28/09/997142809.db2.gz YHFIUTLQCFGYQM-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001404467018 997142816 /nfs/dbraw/zinc/14/28/16/997142816.db2.gz YHFIUTLQCFGYQM-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C(F)C(F)(F)F)C1)C(F)F ZINC001404499496 997175216 /nfs/dbraw/zinc/17/52/16/997175216.db2.gz ZMGWZHGKTIZHHR-YFKPBYRVSA-N 0 2 322.205 0.232 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404762018 997441866 /nfs/dbraw/zinc/44/18/66/997441866.db2.gz AACOMRFXSHGNMD-XPUUQOCRSA-N 0 2 309.267 0.577 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404762018 997441874 /nfs/dbraw/zinc/44/18/74/997441874.db2.gz AACOMRFXSHGNMD-XPUUQOCRSA-N 0 2 309.267 0.577 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405090707 997776838 /nfs/dbraw/zinc/77/68/38/997776838.db2.gz PIAFIZDORLQCCY-CMPLNLGQSA-N 0 2 323.397 0.358 20 0 DCADLN COC1CC[NH+](Cc2cn(Cc3oncc3C(=O)[O-])nn2)CC1 ZINC001599125260 997830854 /nfs/dbraw/zinc/83/08/54/997830854.db2.gz WQKWJMOUDGVFEQ-UHFFFAOYSA-N 0 2 321.337 0.623 20 0 DCADLN COc1ccc(CCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001599552394 998030493 /nfs/dbraw/zinc/03/04/93/998030493.db2.gz DTTLEGFOTAPFCM-GFCCVEGCSA-N 0 2 318.333 0.558 20 0 DCADLN COc1ccc(CCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001599552394 998030503 /nfs/dbraw/zinc/03/05/03/998030503.db2.gz DTTLEGFOTAPFCM-GFCCVEGCSA-N 0 2 318.333 0.558 20 0 DCADLN CC(C)(C)CC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405293808 998050275 /nfs/dbraw/zinc/05/02/75/998050275.db2.gz ONIONDDUOAVLMS-APPZFPTMSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001405293808 998050286 /nfs/dbraw/zinc/05/02/86/998050286.db2.gz ONIONDDUOAVLMS-APPZFPTMSA-N 0 2 316.295 0.916 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@H]2C[N@H+](C)CCO2)c1O ZINC001599359640 998238798 /nfs/dbraw/zinc/23/87/98/998238798.db2.gz YYAIKOICGXDWOE-LLVKDONJSA-N 0 2 310.306 0.368 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@H]2C[N@@H+](C)CCO2)c1O ZINC001599359640 998238806 /nfs/dbraw/zinc/23/88/06/998238806.db2.gz YYAIKOICGXDWOE-LLVKDONJSA-N 0 2 310.306 0.368 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2C[C@H](OC)C[C@@]2(C)C(=O)[O-])nc1 ZINC001598971521 998524858 /nfs/dbraw/zinc/52/48/58/998524858.db2.gz FGKAJXUEAZOQBM-DOMZBBRYSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2C[C@H](OC)C[C@@]2(C)C(=O)[O-])nc1 ZINC001598971521 998524865 /nfs/dbraw/zinc/52/48/65/998524865.db2.gz FGKAJXUEAZOQBM-DOMZBBRYSA-N 0 2 308.334 0.932 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC001594248697 998947966 /nfs/dbraw/zinc/94/79/66/998947966.db2.gz BWRBUGDXDZFDLG-RYUDHWBXSA-N 0 2 319.361 0.715 20 0 DCADLN CC1CCC(N(C)C(=O)C[N@@H+]2CCC[C@H](O)[C@H]2C(=O)[O-])CC1 ZINC001594310018 999198167 /nfs/dbraw/zinc/19/81/67/999198167.db2.gz XQIXZEDANMABDL-DVTFPSANSA-N 0 2 312.410 0.933 20 0 DCADLN CC1CCC(N(C)C(=O)C[N@H+]2CCC[C@H](O)[C@H]2C(=O)[O-])CC1 ZINC001594310018 999198170 /nfs/dbraw/zinc/19/81/70/999198170.db2.gz XQIXZEDANMABDL-DVTFPSANSA-N 0 2 312.410 0.933 20 0 DCADLN CC[N@H+](CCNC(=O)Cn1ccnc1C)[C@@H](C)C(=O)NC1CC1 ZINC001418583497 1000364615 /nfs/dbraw/zinc/36/46/15/1000364615.db2.gz VZEYEJFJCJBTMX-LBPRGKRZSA-N 0 2 321.425 0.297 20 0 DCADLN Cn1nncc1CN(CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001418768683 1000505643 /nfs/dbraw/zinc/50/56/43/1000505643.db2.gz YTUVRYFVJHACFU-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1nncc1CN(CCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001418768683 1000505647 /nfs/dbraw/zinc/50/56/47/1000505647.db2.gz YTUVRYFVJHACFU-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1(F)CCCC1 ZINC001418770833 1000507822 /nfs/dbraw/zinc/50/78/22/1000507822.db2.gz PPNQQBJLEMWPCX-UHFFFAOYSA-N 0 2 311.361 0.873 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1(F)CCCC1 ZINC001418770833 1000507828 /nfs/dbraw/zinc/50/78/28/1000507828.db2.gz PPNQQBJLEMWPCX-UHFFFAOYSA-N 0 2 311.361 0.873 20 0 DCADLN O=C(NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)C1(F)CCCC1 ZINC001418770833 1000507833 /nfs/dbraw/zinc/50/78/33/1000507833.db2.gz PPNQQBJLEMWPCX-UHFFFAOYSA-N 0 2 311.361 0.873 20 0 DCADLN C[C@H](C(N)=O)[N@H+]1CC[C@H](CCNC(=O)CCc2c[nH+]cn2C)C1 ZINC001418908860 1000608187 /nfs/dbraw/zinc/60/81/87/1000608187.db2.gz SQRKXKQGUVQVPA-OLZOCXBDSA-N 0 2 321.425 0.055 20 0 DCADLN Cc1ccncc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401748298 1000685264 /nfs/dbraw/zinc/68/52/64/1000685264.db2.gz SOSIOKFNCIBSTA-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ccncc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401748298 1000685267 /nfs/dbraw/zinc/68/52/67/1000685267.db2.gz SOSIOKFNCIBSTA-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN CSc1ncc(C(=O)N2CC[C@@H](c3nn[nH]n3)C2)c(=O)[nH]1 ZINC001419044882 1000716543 /nfs/dbraw/zinc/71/65/43/1000716543.db2.gz VVVNNDBPEYRBHK-ZCFIWIBFSA-N 0 2 307.339 0.047 20 0 DCADLN Cn1ncc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001401897271 1000831287 /nfs/dbraw/zinc/83/12/87/1000831287.db2.gz WIMXTNYRIQPVSO-IUCAKERBSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncc(CN2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001401897271 1000831292 /nfs/dbraw/zinc/83/12/92/1000831292.db2.gz WIMXTNYRIQPVSO-IUCAKERBSA-N 0 2 309.267 0.406 20 0 DCADLN CC(C)(C)C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001401905043 1000839725 /nfs/dbraw/zinc/83/97/25/1000839725.db2.gz BAWSOEHPPZUULI-GFCCVEGCSA-N 0 2 317.393 0.759 20 0 DCADLN CS[C@H](C)CC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001402118157 1001030772 /nfs/dbraw/zinc/03/07/72/1001030772.db2.gz FGVWVFRCVHNNBS-RNCFNFMXSA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@H](C)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001402118157 1001030775 /nfs/dbraw/zinc/03/07/75/1001030775.db2.gz FGVWVFRCVHNNBS-RNCFNFMXSA-N 0 2 313.427 0.733 20 0 DCADLN COc1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc(OC)c1O ZINC001470582996 1016461487 /nfs/dbraw/zinc/46/14/87/1016461487.db2.gz KWXAHSMNIMGOJN-UHFFFAOYSA-N 0 2 321.289 0.541 20 0 DCADLN COc1cc(C(=O)NCC2=NC(=O)CC(=O)N2)cc(OC)c1O ZINC001470582996 1016461493 /nfs/dbraw/zinc/46/14/93/1016461493.db2.gz KWXAHSMNIMGOJN-UHFFFAOYSA-N 0 2 321.289 0.541 20 0 DCADLN COCC(=O)N[C@H]1CCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001402156645 1001070913 /nfs/dbraw/zinc/07/09/13/1001070913.db2.gz PGLXQXBZKYWDAT-VIFPVBQESA-N 0 2 322.390 0.734 20 0 DCADLN CC(F)(F)C1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001402186465 1001093889 /nfs/dbraw/zinc/09/38/89/1001093889.db2.gz PYMTVMSFNHYOHA-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN CC[C@@H](C)CCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001470741682 1016489200 /nfs/dbraw/zinc/48/92/00/1016489200.db2.gz BAXCALWCMRVRTJ-SECBINFHSA-N 0 2 317.415 0.585 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H](O)C1CC1 ZINC001471066639 1016516936 /nfs/dbraw/zinc/51/69/36/1016516936.db2.gz IWPLDWIAWUPFLE-NEPJUHHUSA-N 0 2 302.334 0.681 20 0 DCADLN CCCNC(=O)C[N@H+](C)CCN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001419964680 1001728414 /nfs/dbraw/zinc/72/84/14/1001728414.db2.gz DDRJKCQHCHHTTD-UHFFFAOYSA-N 0 2 312.458 0.387 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001419971700 1001736139 /nfs/dbraw/zinc/73/61/39/1001736139.db2.gz FQTFUGRPMMJLOU-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001419971700 1001736144 /nfs/dbraw/zinc/73/61/44/1001736144.db2.gz FQTFUGRPMMJLOU-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN C[C@H](NC(=O)C1(C)CCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001403326740 1001818885 /nfs/dbraw/zinc/81/88/85/1001818885.db2.gz FXEZZWXQIJRIBG-JTQLQIEISA-N 0 2 323.397 0.264 20 0 DCADLN CCOc1nc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001424976380 1002203358 /nfs/dbraw/zinc/20/33/58/1002203358.db2.gz VGWWUQUHXGHIPO-QMMMGPOBSA-N 0 2 324.341 0.147 20 0 DCADLN CCOc1nc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001424976380 1002203365 /nfs/dbraw/zinc/20/33/65/1002203365.db2.gz VGWWUQUHXGHIPO-QMMMGPOBSA-N 0 2 324.341 0.147 20 0 DCADLN CCn1c(C)cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001403610191 1002205021 /nfs/dbraw/zinc/20/50/21/1002205021.db2.gz LTTHUVBLOQPXCN-UHFFFAOYSA-N 0 2 320.397 0.810 20 0 DCADLN CCn1c(C)cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001403610191 1002205028 /nfs/dbraw/zinc/20/50/28/1002205028.db2.gz LTTHUVBLOQPXCN-UHFFFAOYSA-N 0 2 320.397 0.810 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1cccc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001420422783 1002397816 /nfs/dbraw/zinc/39/78/16/1002397816.db2.gz CRJUDXWDYVDMPL-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1cccc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001420422783 1002397827 /nfs/dbraw/zinc/39/78/27/1002397827.db2.gz CRJUDXWDYVDMPL-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1CCCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425271810 1002500165 /nfs/dbraw/zinc/50/01/65/1002500165.db2.gz ZEZQVCCGRHDUOD-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001403788069 1002522136 /nfs/dbraw/zinc/52/21/36/1002522136.db2.gz SHSZVTPCWGGOEQ-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001403788069 1002522139 /nfs/dbraw/zinc/52/21/39/1002522139.db2.gz SHSZVTPCWGGOEQ-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1nccs1 ZINC001420686996 1002800238 /nfs/dbraw/zinc/80/02/38/1002800238.db2.gz KEKDWTQHJKCZGM-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1nccs1 ZINC001420686996 1002800253 /nfs/dbraw/zinc/80/02/53/1002800253.db2.gz KEKDWTQHJKCZGM-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](CO)CCc2ccccc2)S1 ZINC001471927840 1016689487 /nfs/dbraw/zinc/68/94/87/1016689487.db2.gz KNUSZXIYJADIMA-NEPJUHHUSA-N 0 2 321.402 0.653 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001425721353 1003004287 /nfs/dbraw/zinc/00/42/87/1003004287.db2.gz GCAUCVOCZABTEI-WHFBIAKZSA-N 0 2 310.194 0.087 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C(F)F ZINC001425721353 1003004300 /nfs/dbraw/zinc/00/43/00/1003004300.db2.gz GCAUCVOCZABTEI-WHFBIAKZSA-N 0 2 310.194 0.087 20 0 DCADLN Cc1ccccc1CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472082812 1016725252 /nfs/dbraw/zinc/72/52/52/1016725252.db2.gz VBGOBMDFGUVZRD-UHFFFAOYSA-N 0 2 322.390 0.517 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccccc1[C@@H]1CCC(=O)N1 ZINC001426037763 1003366104 /nfs/dbraw/zinc/36/61/04/1003366104.db2.gz GRBDYECXYHHJHA-JTQLQIEISA-N 0 2 316.321 0.783 20 0 DCADLN O=C(COc1ccsc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421178646 1003410390 /nfs/dbraw/zinc/41/03/90/1003410390.db2.gz DEBVOBRLMAPUGK-UHFFFAOYSA-N 0 2 323.378 0.199 20 0 DCADLN C[C@@H](NC(=O)c1ccc(S(N)(=O)=O)cc1)c1nn(C)cc1O ZINC001472238281 1016768524 /nfs/dbraw/zinc/76/85/24/1016768524.db2.gz WXYOJFNFHCPKJR-MRVPVSSYSA-N 0 2 324.362 0.264 20 0 DCADLN C[C@@H]1CCc2n[nH]cc2[C@H]1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001472519123 1016817618 /nfs/dbraw/zinc/81/76/18/1016817618.db2.gz OMKVUFLOWKNPFW-PELKAZGASA-N 0 2 304.354 0.648 20 0 DCADLN CCCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001426508705 1003802050 /nfs/dbraw/zinc/80/20/50/1003802050.db2.gz WBXGWIRJUGSOFV-ZXFLCMHBSA-N 0 2 300.252 0.375 20 0 DCADLN CCCC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001426508705 1003802054 /nfs/dbraw/zinc/80/20/54/1003802054.db2.gz WBXGWIRJUGSOFV-ZXFLCMHBSA-N 0 2 300.252 0.375 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)CONC(=O)OC(C)(C)C ZINC001472579884 1016831438 /nfs/dbraw/zinc/83/14/38/1016831438.db2.gz JKIYOWNOBNRZOV-UHFFFAOYSA-N 0 2 302.287 0.190 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406585384 1003884483 /nfs/dbraw/zinc/88/44/83/1003884483.db2.gz UIPMGIFEUIJFMA-QWRGUYRKSA-N 0 2 313.402 0.508 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406585384 1003884498 /nfs/dbraw/zinc/88/44/98/1003884498.db2.gz UIPMGIFEUIJFMA-QWRGUYRKSA-N 0 2 313.402 0.508 20 0 DCADLN Cc1cccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406591958 1003900855 /nfs/dbraw/zinc/90/08/55/1003900855.db2.gz ZJPCQDQULFRGHR-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406591958 1003900866 /nfs/dbraw/zinc/90/08/66/1003900866.db2.gz ZJPCQDQULFRGHR-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN CCC(=O)NCCN(CCOC)C(=O)[C@@H](F)C(F)(F)F ZINC001428404032 1003910683 /nfs/dbraw/zinc/91/06/83/1003910683.db2.gz QUDLNZYWLKLFJV-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCC(=O)NCCN(CCOC)C(=O)C(F)C(F)(F)F ZINC001428404032 1003910679 /nfs/dbraw/zinc/91/06/79/1003910679.db2.gz QUDLNZYWLKLFJV-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN Cc1cc(C)nc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001406632094 1003949609 /nfs/dbraw/zinc/94/96/09/1003949609.db2.gz BOQDSMHVXCJAAC-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cc(C)nc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001406632094 1003949612 /nfs/dbraw/zinc/94/96/12/1003949612.db2.gz BOQDSMHVXCJAAC-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN Cn1ncnc1C[NH2+]C1(CNC(=O)C(C)(C)c2c[nH]cn2)CC1 ZINC001406987806 1004286518 /nfs/dbraw/zinc/28/65/18/1004286518.db2.gz MLPQFFVRTKXYMT-UHFFFAOYSA-N 0 2 317.397 0.254 20 0 DCADLN CO[C@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)Cc1c[nH+]c[nH]1 ZINC001406993806 1004292730 /nfs/dbraw/zinc/29/27/30/1004292730.db2.gz YPIRQPVRQAVNTN-ZRJCITRHSA-N 0 2 320.393 0.625 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)[nH]n1 ZINC001429175925 1004433269 /nfs/dbraw/zinc/43/32/69/1004433269.db2.gz WGFTZXLECBZFEI-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)[nH]n1 ZINC001429175925 1004433274 /nfs/dbraw/zinc/43/32/74/1004433274.db2.gz WGFTZXLECBZFEI-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN C[C@]1(NC(=O)C[C@H]2CCCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407172840 1004442703 /nfs/dbraw/zinc/44/27/03/1004442703.db2.gz DGTJNOIXTFQPGC-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(NC(=O)C[C@H]2CCCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001407172840 1004442712 /nfs/dbraw/zinc/44/27/12/1004442712.db2.gz DGTJNOIXTFQPGC-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN CC1(C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001427822047 1004629145 /nfs/dbraw/zinc/62/91/45/1004629145.db2.gz FSZYDKWJZSMPSA-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)CCC1 ZINC001427822047 1004629149 /nfs/dbraw/zinc/62/91/49/1004629149.db2.gz FSZYDKWJZSMPSA-YUMQZZPRSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001427827047 1004632282 /nfs/dbraw/zinc/63/22/82/1004632282.db2.gz QBALJVZTYMEKQC-RNFRBKRXSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001427827047 1004632284 /nfs/dbraw/zinc/63/22/84/1004632284.db2.gz QBALJVZTYMEKQC-RNFRBKRXSA-N 0 2 300.252 0.280 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@H]1CC2(CCC2)CO1 ZINC001414277272 1005273620 /nfs/dbraw/zinc/27/36/20/1005273620.db2.gz OYVJJGNVJNUMRM-ZJUUUORDSA-N 0 2 309.359 0.376 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001414779501 1005401019 /nfs/dbraw/zinc/40/10/19/1005401019.db2.gz HOLJGVDWYMFHGS-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC001414779501 1005401020 /nfs/dbraw/zinc/40/10/20/1005401020.db2.gz HOLJGVDWYMFHGS-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN CCN(CCNC(=O)Cn1cccn1)C(=O)C(F)C(F)(F)F ZINC001415640425 1005581850 /nfs/dbraw/zinc/58/18/50/1005581850.db2.gz SAWNXIBPOLYTER-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN CCN(CCNC(=O)Cn1cccn1)C(=O)[C@H](F)C(F)(F)F ZINC001415640425 1005581852 /nfs/dbraw/zinc/58/18/52/1005581852.db2.gz SAWNXIBPOLYTER-JTQLQIEISA-N 0 2 324.278 0.748 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)cnn1 ZINC001416805671 1005739068 /nfs/dbraw/zinc/73/90/68/1005739068.db2.gz JUYSJKYWZGVRBG-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)cnn1 ZINC001416805671 1005739070 /nfs/dbraw/zinc/73/90/70/1005739070.db2.gz JUYSJKYWZGVRBG-APPZFPTMSA-N 0 2 322.262 0.920 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001416828066 1005742689 /nfs/dbraw/zinc/74/26/89/1005742689.db2.gz BGNFVMGIKDNUBF-GFCCVEGCSA-N 0 2 309.414 0.234 20 0 DCADLN CC1(C)CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@H]1O ZINC001417768169 1005886831 /nfs/dbraw/zinc/88/68/31/1005886831.db2.gz LIYJGYPWBYQQQC-LLVKDONJSA-N 0 2 318.333 0.109 20 0 DCADLN CC(C)OCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418154040 1006109787 /nfs/dbraw/zinc/10/97/87/1006109787.db2.gz URTJQYPKZILUFF-LLVKDONJSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)OCC(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418154040 1006109794 /nfs/dbraw/zinc/10/97/94/1006109794.db2.gz URTJQYPKZILUFF-LLVKDONJSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(CCCF)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001451416857 1006158782 /nfs/dbraw/zinc/15/87/82/1006158782.db2.gz NELFWYTVTDQLBT-XPUUQOCRSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001451416857 1006158790 /nfs/dbraw/zinc/15/87/90/1006158790.db2.gz NELFWYTVTDQLBT-XPUUQOCRSA-N 0 2 306.231 0.230 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001418206865 1006171147 /nfs/dbraw/zinc/17/11/47/1006171147.db2.gz VGBYOSMNRYUBBF-SVRRBLITSA-N 0 2 307.358 0.319 20 0 DCADLN O=C(Cc1ccon1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437034173 1006543761 /nfs/dbraw/zinc/54/37/61/1006543761.db2.gz OTFNKXMNJQPLOX-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN O=C(Cc1ccon1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437034173 1006543773 /nfs/dbraw/zinc/54/37/73/1006543773.db2.gz OTFNKXMNJQPLOX-LLVKDONJSA-N 0 2 320.353 0.212 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)[NH2+]Cc2nnc(C(C)C)[nH]2)c1[O-] ZINC001437124827 1006616400 /nfs/dbraw/zinc/61/64/00/1006616400.db2.gz LWSAOYLXLDVYLE-QMMMGPOBSA-N 0 2 321.385 0.573 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1CCC(F)CC1 ZINC001439374246 1006777531 /nfs/dbraw/zinc/77/75/31/1006777531.db2.gz NZJXUDWENSUSPE-KPPDAEKUSA-N 0 2 313.377 0.975 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1CCC(F)CC1 ZINC001439374246 1006777539 /nfs/dbraw/zinc/77/75/39/1006777539.db2.gz NZJXUDWENSUSPE-KPPDAEKUSA-N 0 2 313.377 0.975 20 0 DCADLN C[C@@H]1CC[C@H](C(N)=O)[C@@H](C)N1C(=O)C[C@H]1SC(=N)NC1=O ZINC001452456897 1006842323 /nfs/dbraw/zinc/84/23/23/1006842323.db2.gz IFXHWFVDSADRSN-LURQLKTLSA-N 0 2 312.395 0.044 20 0 DCADLN CCOC(=O)C(C)(C)ONC(=O)C1=CS(=O)(=O)CCC1 ZINC001452504455 1006881143 /nfs/dbraw/zinc/88/11/43/1006881143.db2.gz XRNYNQVEKUTUIT-UHFFFAOYSA-N 0 2 305.352 0.468 20 0 DCADLN C[N@H+](CCNC(=O)c1cnoc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001437538027 1007110307 /nfs/dbraw/zinc/11/03/07/1007110307.db2.gz NLNCWBZCMNZMKV-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnoc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001437538027 1007110315 /nfs/dbraw/zinc/11/03/15/1007110315.db2.gz NLNCWBZCMNZMKV-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN COc1ccccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437549385 1007122324 /nfs/dbraw/zinc/12/23/24/1007122324.db2.gz LDOUCDKIGDBTBQ-UHFFFAOYSA-N 0 2 305.338 0.381 20 0 DCADLN COc1ccccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437549385 1007122325 /nfs/dbraw/zinc/12/23/25/1007122325.db2.gz LDOUCDKIGDBTBQ-UHFFFAOYSA-N 0 2 305.338 0.381 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CC[C@H](CNC(=O)CCc2c[nH+]cn2C)C1 ZINC001437743061 1007342873 /nfs/dbraw/zinc/34/28/73/1007342873.db2.gz UDSFDEDZITWMOF-TZMCWYRMSA-N 0 2 321.425 0.055 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]CCN(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001437828216 1007436550 /nfs/dbraw/zinc/43/65/50/1007436550.db2.gz GHTYESHOHAVCMP-MRVPVSSYSA-N 0 2 307.358 0.273 20 0 DCADLN CC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CCCC1 ZINC001438582298 1008011434 /nfs/dbraw/zinc/01/14/34/1008011434.db2.gz SXYBHEJSLCNDEN-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@H](CNC(=O)c1cncc(F)c1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440923307 1008118800 /nfs/dbraw/zinc/11/88/00/1008118800.db2.gz YJKMYRMHLOPPDI-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](CNC(=O)c1cncc(F)c1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440923307 1008118805 /nfs/dbraw/zinc/11/88/05/1008118805.db2.gz YJKMYRMHLOPPDI-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1C[C@H]1C1CCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440986292 1008159986 /nfs/dbraw/zinc/15/99/86/1008159986.db2.gz YOXUVWPZRDJADL-ZMLRMANQSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1C[C@H]1C1CCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440986292 1008159988 /nfs/dbraw/zinc/15/99/88/1008159988.db2.gz YOXUVWPZRDJADL-ZMLRMANQSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)[N@@H+]1CCC[C@@H]1C(=O)N[C@@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001433544189 1008921382 /nfs/dbraw/zinc/92/13/82/1008921382.db2.gz LPHNIDLBLHZSRV-QWHCGFSZSA-N 0 2 321.425 0.446 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001454303599 1009054589 /nfs/dbraw/zinc/05/45/89/1009054589.db2.gz BKAWRLBKJJSCSX-BGZDPUMWSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CCOC2)C1)[C@H](F)C(F)(F)F ZINC001454303599 1009054598 /nfs/dbraw/zinc/05/45/98/1009054598.db2.gz BKAWRLBKJJSCSX-BGZDPUMWSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001433742259 1009137577 /nfs/dbraw/zinc/13/75/77/1009137577.db2.gz XMVDUDCPAQZPST-SCZZXKLOSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001433742259 1009137587 /nfs/dbraw/zinc/13/75/87/1009137587.db2.gz XMVDUDCPAQZPST-SCZZXKLOSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1nc[nH]n1)C(F)C(F)(F)F ZINC001433742592 1009140787 /nfs/dbraw/zinc/14/07/87/1009140787.db2.gz YOBJMJKWSCCEEC-PHDIDXHHSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1nc[nH]n1)[C@@H](F)C(F)(F)F ZINC001433742592 1009140800 /nfs/dbraw/zinc/14/08/00/1009140800.db2.gz YOBJMJKWSCCEEC-PHDIDXHHSA-N 0 2 309.223 0.036 20 0 DCADLN Cn1nccc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001433845490 1009249435 /nfs/dbraw/zinc/24/94/35/1009249435.db2.gz AYOWSFKZAZGCQD-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1nccc1C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001433845490 1009249444 /nfs/dbraw/zinc/24/94/44/1009249444.db2.gz AYOWSFKZAZGCQD-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN C[C@@H](CC(N)=O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001433927472 1009360867 /nfs/dbraw/zinc/36/08/67/1009360867.db2.gz YKSZTQGZSVRZJE-JTQLQIEISA-N 0 2 317.349 0.511 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001454872761 1009370942 /nfs/dbraw/zinc/37/09/42/1009370942.db2.gz FBBZVCSHAOCKLK-SNVBAGLBSA-N 0 2 301.306 0.199 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001454872761 1009370956 /nfs/dbraw/zinc/37/09/56/1009370956.db2.gz FBBZVCSHAOCKLK-SNVBAGLBSA-N 0 2 301.306 0.199 20 0 DCADLN Cc1nc(C(=O)N2CC(N(C)C(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC001434069408 1009520027 /nfs/dbraw/zinc/52/00/27/1009520027.db2.gz PZHLCXQJKULOJE-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN CC[N@H+](CCNC(=O)c1oc(C)nc1C)Cc1n[nH]c(=O)[n-]1 ZINC001442648295 1009572320 /nfs/dbraw/zinc/57/23/20/1009572320.db2.gz QMWDTXBMXHRXLL-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN CC[N@@H+](CCNC(=O)c1oc(C)nc1C)Cc1n[nH]c(=O)[n-]1 ZINC001442648295 1009572331 /nfs/dbraw/zinc/57/23/31/1009572331.db2.gz QMWDTXBMXHRXLL-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN C[C@@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2nc[nH]n2)C1 ZINC001434176569 1009637563 /nfs/dbraw/zinc/63/75/63/1009637563.db2.gz DAYRURQBUVWKAT-LHLIQPBNSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@@]1(NC(=O)[C@@H](F)C(F)(F)F)CCN(C(=O)c2nc[nH]n2)C1 ZINC001434176569 1009637568 /nfs/dbraw/zinc/63/75/68/1009637568.db2.gz DAYRURQBUVWKAT-LHLIQPBNSA-N 0 2 323.250 0.426 20 0 DCADLN CSc1ncc(C(=O)N2CCC[C@H]2c2nnc[nH]2)c(=O)[nH]1 ZINC001434208055 1009663265 /nfs/dbraw/zinc/66/32/65/1009663265.db2.gz QQXIQKRFCZDKFO-QMMMGPOBSA-N 0 2 306.351 1.000 20 0 DCADLN Cc1cnn(CC(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)c1 ZINC001456250829 1010150549 /nfs/dbraw/zinc/15/05/49/1010150549.db2.gz ZVYKEXOMQLWULA-UHFFFAOYSA-N 0 2 305.338 0.402 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N1CC(NC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001456255281 1010153401 /nfs/dbraw/zinc/15/34/01/1010153401.db2.gz XDYODPCPLCJCGQ-UHFFFAOYSA-N 0 2 323.397 0.095 20 0 DCADLN CC[N@@H+](C)C(C)(C)C(=O)N1CC(NC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001456255281 1010153405 /nfs/dbraw/zinc/15/34/05/1010153405.db2.gz XDYODPCPLCJCGQ-UHFFFAOYSA-N 0 2 323.397 0.095 20 0 DCADLN CC(C)SCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456312338 1010189224 /nfs/dbraw/zinc/18/92/24/1010189224.db2.gz QVHZTXZAVNOCDU-UHFFFAOYSA-N 0 2 306.413 0.107 20 0 DCADLN C[C@H](CNC(=O)c1ccc(F)s1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422594073 1010370854 /nfs/dbraw/zinc/37/08/54/1010370854.db2.gz BRJWSGOMKZQNTG-SSDOTTSWSA-N 0 2 313.358 0.961 20 0 DCADLN C[C@H](CNC(=O)c1ccc(F)s1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422594073 1010370862 /nfs/dbraw/zinc/37/08/62/1010370862.db2.gz BRJWSGOMKZQNTG-SSDOTTSWSA-N 0 2 313.358 0.961 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@H]1CCC2(CCC2)O1 ZINC001475349855 1017096455 /nfs/dbraw/zinc/09/64/55/1017096455.db2.gz WSGJTYCKQQCPII-ZJUUUORDSA-N 0 2 309.359 0.519 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn[nH]c1 ZINC001508904316 1017098851 /nfs/dbraw/zinc/09/88/51/1017098851.db2.gz XWIONVTWWBILDT-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cn[nH]c1 ZINC001508904316 1017098857 /nfs/dbraw/zinc/09/88/57/1017098857.db2.gz XWIONVTWWBILDT-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C[N@H+](C)Cc2ccn(C)n2)c1[O-] ZINC001422801879 1010624005 /nfs/dbraw/zinc/62/40/05/1010624005.db2.gz ZBFMEBDFCSKVMU-VIFPVBQESA-N 0 2 306.370 0.408 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C[N@@H+](C)Cc2ccn(C)n2)c1[O-] ZINC001422801879 1010624010 /nfs/dbraw/zinc/62/40/10/1010624010.db2.gz ZBFMEBDFCSKVMU-VIFPVBQESA-N 0 2 306.370 0.408 20 0 DCADLN Cc1nnc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)o1 ZINC001423093778 1010881346 /nfs/dbraw/zinc/88/13/46/1010881346.db2.gz MLJKGSKAPZVTMG-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nnc(CN2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)o1 ZINC001423093778 1010881351 /nfs/dbraw/zinc/88/13/51/1010881351.db2.gz MLJKGSKAPZVTMG-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1conc1C(=O)NC[C@H](CO)NCc1ncnn1C(C)C ZINC001423282562 1011045152 /nfs/dbraw/zinc/04/51/52/1011045152.db2.gz QAPWMUHNQBRFFG-LLVKDONJSA-N 0 2 322.369 0.036 20 0 DCADLN Cc1ncc(C(=O)NCC2(NCc3ncccn3)CC2)c(C)n1 ZINC001423283362 1011045780 /nfs/dbraw/zinc/04/57/80/1011045780.db2.gz CZAYEZFOGVTXSN-UHFFFAOYSA-N 0 2 312.377 0.936 20 0 DCADLN Cc1cnoc1C(=O)NC[C@@H](CO)NCc1nnc(C)s1 ZINC001423282820 1011046114 /nfs/dbraw/zinc/04/61/14/1011046114.db2.gz KGTGANSANPJWRV-VIFPVBQESA-N 0 2 311.367 0.023 20 0 DCADLN C[C@H]1[C@H](NC(=O)CC2(O)CCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423377948 1011110297 /nfs/dbraw/zinc/11/02/97/1011110297.db2.gz XNSIERFYUIPCHE-WDEREUQCSA-N 0 2 323.397 0.285 20 0 DCADLN C[C@H]1[C@H](NC(=O)CC2(O)CCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423377948 1011110302 /nfs/dbraw/zinc/11/03/02/1011110302.db2.gz XNSIERFYUIPCHE-WDEREUQCSA-N 0 2 323.397 0.285 20 0 DCADLN CCO[C@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001444985945 1011282646 /nfs/dbraw/zinc/28/26/46/1011282646.db2.gz DMZIMGSMALYOPH-LBPRGKRZSA-N 0 2 311.386 0.216 20 0 DCADLN CCO[C@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001444985945 1011282658 /nfs/dbraw/zinc/28/26/58/1011282658.db2.gz DMZIMGSMALYOPH-LBPRGKRZSA-N 0 2 311.386 0.216 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H](O)c1ccccc1C(F)(F)F ZINC001445410370 1011740400 /nfs/dbraw/zinc/74/04/00/1011740400.db2.gz CFQRCPBOXKASQS-VIFPVBQESA-N 0 2 316.239 0.879 20 0 DCADLN O=C(C[C@H]1CCC2(CCOCC2)CO1)NCc1n[nH]c(=O)[nH]1 ZINC001445416187 1011748353 /nfs/dbraw/zinc/74/83/53/1011748353.db2.gz SIQMUFKXYOVPAR-SNVBAGLBSA-N 0 2 310.354 0.492 20 0 DCADLN Cn1cnc(C(=O)NC2(CNC(=O)C(F)C(F)(F)F)CC2)c1 ZINC001535521150 1011917729 /nfs/dbraw/zinc/91/77/29/1011917729.db2.gz POQDIQCXPSUWJI-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cnc(C(=O)NC2(CNC(=O)[C@@H](F)C(F)(F)F)CC2)c1 ZINC001535521150 1011917736 /nfs/dbraw/zinc/91/77/36/1011917736.db2.gz POQDIQCXPSUWJI-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cc[nH]n1 ZINC001424161453 1012105469 /nfs/dbraw/zinc/10/54/69/1012105469.db2.gz FFIGTMAUFDHHOH-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccn[nH]1 ZINC001424161453 1012105482 /nfs/dbraw/zinc/10/54/82/1012105482.db2.gz FFIGTMAUFDHHOH-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn(C)cn2)C1 ZINC001536392621 1012108550 /nfs/dbraw/zinc/10/85/50/1012108550.db2.gz KNNIINGDGOFPLQ-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cn(C)cn2)C1 ZINC001536392621 1012108557 /nfs/dbraw/zinc/10/85/57/1012108557.db2.gz KNNIINGDGOFPLQ-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccc(F)cn1 ZINC001445768020 1012232745 /nfs/dbraw/zinc/23/27/45/1012232745.db2.gz RYZSFMCUWHRDGH-VIFPVBQESA-N 0 2 322.344 0.224 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccc(F)cn1 ZINC001445768020 1012232759 /nfs/dbraw/zinc/23/27/59/1012232759.db2.gz RYZSFMCUWHRDGH-VIFPVBQESA-N 0 2 322.344 0.224 20 0 DCADLN O=Cc1ccc(OCC(=O)NCc2nc(O)cc(=O)[nH]2)cc1 ZINC001557704195 1012292213 /nfs/dbraw/zinc/29/22/13/1012292213.db2.gz UPHULLWAAKQFRB-UHFFFAOYSA-N 0 2 303.274 0.396 20 0 DCADLN CCC(CC)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001424358552 1012422856 /nfs/dbraw/zinc/42/28/56/1012422856.db2.gz BKDGUIDYOMSFML-LLVKDONJSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(-c2cccc(O)c2)on1 ZINC001545329722 1012563905 /nfs/dbraw/zinc/56/39/05/1012563905.db2.gz WWBUGKKMONPJOZ-UHFFFAOYSA-N 0 2 301.262 0.801 20 0 DCADLN O=C(NCc1nc(=O)o[n-]1)c1cc(-n2cc[nH+]c2)ccc1O ZINC001446602407 1012861264 /nfs/dbraw/zinc/86/12/64/1012861264.db2.gz DMINWGGWYGCQBZ-UHFFFAOYSA-N 0 2 301.262 0.184 20 0 DCADLN CO[C@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001506263977 1017152593 /nfs/dbraw/zinc/15/25/93/1017152593.db2.gz QHBVXEJCVTUZTD-HTRCEHHLSA-N 0 2 300.252 0.591 20 0 DCADLN CO[C@H](C)C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001506263977 1017152605 /nfs/dbraw/zinc/15/26/05/1017152605.db2.gz QHBVXEJCVTUZTD-HTRCEHHLSA-N 0 2 300.252 0.591 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1)C(F)F ZINC001506779278 1017540047 /nfs/dbraw/zinc/54/00/47/1017540047.db2.gz WRQMNLFQFRRSDE-ANLVUFKYSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)C1)C(F)F ZINC001506779278 1017540051 /nfs/dbraw/zinc/54/00/51/1017540051.db2.gz WRQMNLFQFRRSDE-ANLVUFKYSA-N 0 2 322.205 0.232 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N2CCCCC2)[nH]1 ZINC001479429415 1017553912 /nfs/dbraw/zinc/55/39/12/1017553912.db2.gz UHMSMFLZTFORLD-UHFFFAOYSA-N 0 2 301.372 0.045 20 0 DCADLN CC(C)N(CCCNC(=O)C(F)C(F)(F)F)C(=O)C(N)=O ZINC001497656208 1018311390 /nfs/dbraw/zinc/31/13/90/1018311390.db2.gz OQRBICCGBOVEPX-ZETCQYMHSA-N 0 2 315.267 0.115 20 0 DCADLN CC(C)N(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)C(N)=O ZINC001497656208 1018311398 /nfs/dbraw/zinc/31/13/98/1018311398.db2.gz OQRBICCGBOVEPX-ZETCQYMHSA-N 0 2 315.267 0.115 20 0 DCADLN CC(C)N(CCCNC(=O)C(F)C(F)(F)F)C(=O)C(N)=O ZINC001497656206 1018313138 /nfs/dbraw/zinc/31/31/38/1018313138.db2.gz OQRBICCGBOVEPX-SSDOTTSWSA-N 0 2 315.267 0.115 20 0 DCADLN CC(C)N(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(N)=O ZINC001497656206 1018313150 /nfs/dbraw/zinc/31/31/50/1018313150.db2.gz OQRBICCGBOVEPX-SSDOTTSWSA-N 0 2 315.267 0.115 20 0 DCADLN O=C(Cc1ccoc1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480317461 1018425500 /nfs/dbraw/zinc/42/55/00/1018425500.db2.gz WBEAKUCZJJDSQD-LBPRGKRZSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(Cc1ccoc1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480317461 1018425502 /nfs/dbraw/zinc/42/55/02/1018425502.db2.gz WBEAKUCZJJDSQD-LBPRGKRZSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(CC1=CCOCC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498222567 1018772337 /nfs/dbraw/zinc/77/23/37/1018772337.db2.gz HDEGWWGQOSJMIV-NSHDSACASA-N 0 2 324.274 0.951 20 0 DCADLN O=C(CC1=CCOCC1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001498222567 1018772372 /nfs/dbraw/zinc/77/23/72/1018772372.db2.gz HDEGWWGQOSJMIV-NSHDSACASA-N 0 2 324.274 0.951 20 0 DCADLN COCC1(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001498341678 1018975769 /nfs/dbraw/zinc/97/57/69/1018975769.db2.gz OXZOMUVLGHQIIK-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COCC1(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001498341678 1018975788 /nfs/dbraw/zinc/97/57/88/1018975788.db2.gz OXZOMUVLGHQIIK-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)cnn1 ZINC001496124043 1019089431 /nfs/dbraw/zinc/08/94/31/1019089431.db2.gz XJSCIFSXOUOLFN-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)cnn1 ZINC001496124043 1019089415 /nfs/dbraw/zinc/08/94/15/1019089415.db2.gz XJSCIFSXOUOLFN-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CCC[NH2+]Cc2cnsn2)c1[O-] ZINC001498534626 1019201464 /nfs/dbraw/zinc/20/14/64/1019201464.db2.gz PMWVWYONUQXQJS-UHFFFAOYSA-N 0 2 310.383 0.527 20 0 DCADLN O=C(N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001493580620 1019539002 /nfs/dbraw/zinc/53/90/02/1019539002.db2.gz PJUVPXVSKBBRPU-DTWKUNHWSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001493580620 1019539011 /nfs/dbraw/zinc/53/90/11/1019539011.db2.gz PJUVPXVSKBBRPU-DTWKUNHWSA-N 0 2 322.262 0.935 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2C[C@H](NC(=O)C[N@@H+](C)C(C)C)C2)c1C ZINC001494010914 1019917352 /nfs/dbraw/zinc/91/73/52/1019917352.db2.gz QDQCVIFFOMERFG-JOCQHMNTSA-N 0 2 321.425 0.744 20 0 DCADLN CSc1nccnc1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001607415994 1170447198 /nfs/dbraw/zinc/44/71/98/1170447198.db2.gz XANVVKQYHOWODW-QMMMGPOBSA-N 0 2 307.335 0.278 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)Cn1cc(C(=O)[O-])[nH+]c1C)C(=O)OC ZINC001609901349 1171119173 /nfs/dbraw/zinc/11/91/73/1171119173.db2.gz MKQWVSFSQAOTKQ-PRHODGIISA-N 0 2 311.338 0.594 20 0 DCADLN CC(C)(O)CONC(=O)CNC(=O)c1cccc(Cl)c1 ZINC000278772624 214389696 /nfs/dbraw/zinc/38/96/96/214389696.db2.gz XFERWSMNSIHTOB-UHFFFAOYSA-N 0 2 300.742 0.889 20 0 DCADLN CN(CCOCC1CC1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000088333117 185246234 /nfs/dbraw/zinc/24/62/34/185246234.db2.gz MEPALMVXXXNRRC-UHFFFAOYSA-N 0 2 318.333 0.522 20 0 DCADLN O=C(Cc1ccccc1F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092618094 185329360 /nfs/dbraw/zinc/32/93/60/185329360.db2.gz AGOOWDSEUKGGCU-UHFFFAOYSA-N 0 2 319.340 0.124 20 0 DCADLN COCCNC(=O)CNC(=O)c1cc2c([nH]1)c(F)ccc2OC ZINC000279895437 215215740 /nfs/dbraw/zinc/21/57/40/215215740.db2.gz KXJSABUJKSWLAC-UHFFFAOYSA-N 0 2 323.324 0.808 20 0 DCADLN CC(C)c1ncc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)cn1 ZINC000279963929 215275316 /nfs/dbraw/zinc/27/53/16/215275316.db2.gz ODQROJRQKMRCTF-UHFFFAOYSA-N 0 2 323.378 0.838 20 0 DCADLN CS[C@@H](CO)[C@@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000427443067 240358636 /nfs/dbraw/zinc/35/86/36/240358636.db2.gz SFIGGTOGNMOHJV-KCJUWKMLSA-N 0 2 323.374 0.872 20 0 DCADLN CC(C)(CO)[C@@H](CNC(=O)c1nc(=O)[nH][nH]1)c1ccccc1 ZINC000154085347 291210744 /nfs/dbraw/zinc/21/07/44/291210744.db2.gz MFKXYNQCCRHKDR-NSHDSACASA-N 0 2 304.350 0.630 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCCc2nc(C3CC3)no2)[C@H](C[NH3+])C1 ZINC000162374757 291244000 /nfs/dbraw/zinc/24/40/00/291244000.db2.gz ZBXFSQNKBLKOCQ-GFCCVEGCSA-N 0 2 307.398 0.371 20 0 DCADLN CCOCc1nnc(NS(=O)(=O)c2cncc(OC)c2)o1 ZINC000281545943 216375347 /nfs/dbraw/zinc/37/53/47/216375347.db2.gz XEFGZGXZZUQNFI-UHFFFAOYSA-N 0 2 314.323 0.811 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(N3CCSCC3)[nH+]cn2)CCO1 ZINC000566294814 291291940 /nfs/dbraw/zinc/29/19/40/291291940.db2.gz LFFYPAMHWLALDG-SNVBAGLBSA-N 0 2 310.379 0.320 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(N3CCSCC3)nc[nH+]2)CCO1 ZINC000566294814 291291942 /nfs/dbraw/zinc/29/19/42/291291942.db2.gz LFFYPAMHWLALDG-SNVBAGLBSA-N 0 2 310.379 0.320 20 0 DCADLN Cc1cc(Br)oc1C(=O)NN1CC(=O)N(C)C1=O ZINC000271848591 209264466 /nfs/dbraw/zinc/26/44/66/209264466.db2.gz SNOPCJZHTXNXNT-UHFFFAOYSA-N 0 2 316.111 0.889 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1ccc(OC)cc1 ZINC000421812731 240106367 /nfs/dbraw/zinc/10/63/67/240106367.db2.gz MFJKMCQVHKFOLG-GFCCVEGCSA-N 0 2 305.290 0.299 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C(=O)NC1CC1 ZINC000568413945 291428634 /nfs/dbraw/zinc/42/86/34/291428634.db2.gz VXCFDQBFHRLMAW-UHFFFAOYSA-N 0 2 301.306 0.703 20 0 DCADLN CN(CC(C)(C)C)C(=O)N[C@H](C[NH+]1CCOCC1)C(=O)[O-] ZINC000572305123 291561050 /nfs/dbraw/zinc/56/10/50/291561050.db2.gz RCJFACCOHOVSBX-LLVKDONJSA-N 0 2 301.387 0.459 20 0 DCADLN Cc1cc(Cl)nc(CNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000282921523 217324732 /nfs/dbraw/zinc/32/47/32/217324732.db2.gz YNKXFBFTACDRGP-UHFFFAOYSA-N 0 2 313.770 0.863 20 0 DCADLN O=C([O-])[C@H]1CCCC[N@@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000262270135 304179732 /nfs/dbraw/zinc/17/97/32/304179732.db2.gz IEQJUMXWXSFATG-SSDOTTSWSA-N 0 2 311.260 0.314 20 0 DCADLN O=C([O-])[C@H]1CCCC[N@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000262270135 304179735 /nfs/dbraw/zinc/17/97/35/304179735.db2.gz IEQJUMXWXSFATG-SSDOTTSWSA-N 0 2 311.260 0.314 20 0 DCADLN CN(CC(C)(CO)CO)c1cc(C(C)(C)C)[nH+]c(C(=O)[O-])n1 ZINC000573805753 291656592 /nfs/dbraw/zinc/65/65/92/291656592.db2.gz NGSGMKOAOYMJCZ-UHFFFAOYSA-N 0 2 311.382 0.899 20 0 DCADLN CN(C(=O)N=c1nc(-c2ccccc2)[nH]s1)[C@H]1CCNC1=O ZINC000340101671 253200738 /nfs/dbraw/zinc/20/07/38/253200738.db2.gz QZALWQPUQSHCOE-JTQLQIEISA-N 0 2 317.374 0.979 20 0 DCADLN Cn1cc(-c2noc(-c3c[nH]c4nc(=O)[nH]c(=O)c-4c3)n2)cn1 ZINC000346050297 254003132 /nfs/dbraw/zinc/00/31/32/254003132.db2.gz CKMPJULBCUBVRI-UHFFFAOYSA-N 0 2 311.261 0.887 20 0 DCADLN CNC(=O)[C@@H](C)NC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000346854558 254103873 /nfs/dbraw/zinc/10/38/73/254103873.db2.gz XATRSIAHMKOLPS-SECBINFHSA-N 0 2 318.333 0.451 20 0 DCADLN Cc1nc(C(C)(C)C)[nH]c(=O)c1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000348299522 254231119 /nfs/dbraw/zinc/23/11/19/254231119.db2.gz YALRTGKYYVUDQP-UHFFFAOYSA-N 0 2 317.353 0.720 20 0 DCADLN COCC(C)(C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000349118014 254275516 /nfs/dbraw/zinc/27/55/16/254275516.db2.gz LTRQTESOEKUUJP-UHFFFAOYSA-N 0 2 306.322 0.899 20 0 DCADLN COCCOc1ccnc(NS(=O)(=O)c2ccnn2C)n1 ZINC000351108297 254333236 /nfs/dbraw/zinc/33/32/36/254333236.db2.gz LPMWNYNNWLUBTF-UHFFFAOYSA-N 0 2 313.339 0.036 20 0 DCADLN CO[C@@H]1CC[C@H](NC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC000351688895 254358976 /nfs/dbraw/zinc/35/89/76/254358976.db2.gz ZVEHNLMCDIJOTI-WDEREUQCSA-N 0 2 304.350 0.557 20 0 DCADLN CN(C)C(=O)CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275598500 130345314 /nfs/dbraw/zinc/34/53/14/130345314.db2.gz POWUHEULRFCLFA-UHFFFAOYSA-N 0 2 304.354 0.408 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)Cc1c(F)cccc1F)[C@H](C)O ZINC000275818280 130392633 /nfs/dbraw/zinc/39/26/33/130392633.db2.gz RTTGDYKVEOSABO-CPCISQLKSA-N 0 2 323.317 0.307 20 0 DCADLN C[C@H]1c2nncn2CCN1CC(=O)NOCc1ccccc1 ZINC000276841862 130552445 /nfs/dbraw/zinc/55/24/45/130552445.db2.gz QUNDYUHPZAYGLA-LBPRGKRZSA-N 0 2 301.350 0.903 20 0 DCADLN C[N@H+](CCNC(=O)C(=O)NCc1ccn2cc[nH+]c2c1)C1CC1 ZINC000284885409 131119459 /nfs/dbraw/zinc/11/94/59/131119459.db2.gz GRTZLOMBBWMAAB-UHFFFAOYSA-N 0 2 315.377 0.161 20 0 DCADLN C[C@@H](c1ccc(S(N)(=O)=O)cc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000091536917 395725917 /nfs/dbraw/zinc/72/59/17/395725917.db2.gz JTGCEHDQOMALRX-QMMMGPOBSA-N 0 2 311.367 0.351 20 0 DCADLN C[C@@H](c1ccc(S(N)(=O)=O)cc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000091536917 395725919 /nfs/dbraw/zinc/72/59/19/395725919.db2.gz JTGCEHDQOMALRX-QMMMGPOBSA-N 0 2 311.367 0.351 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000037731232 395728386 /nfs/dbraw/zinc/72/83/86/395728386.db2.gz JZGJCIRYMVBECN-UHFFFAOYSA-N 0 2 305.323 0.495 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000038012330 395730549 /nfs/dbraw/zinc/73/05/49/395730549.db2.gz RRDPYMJDUTYLSK-UHFFFAOYSA-N 0 2 309.347 0.968 20 0 DCADLN CSC1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000194676279 395803436 /nfs/dbraw/zinc/80/34/36/395803436.db2.gz KHUWADMDFTZHHD-UHFFFAOYSA-N 0 2 306.347 0.237 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H]1CCO[C@H](C2CC2)C1 ZINC000195454798 395827700 /nfs/dbraw/zinc/82/77/00/395827700.db2.gz IUYNGEJVNZKFGY-IUCAKERBSA-N 0 2 302.318 0.930 20 0 DCADLN CC(C)(C)OCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000272574367 395900689 /nfs/dbraw/zinc/90/06/89/395900689.db2.gz QZMOWPMRCOBCIC-UHFFFAOYSA-N 0 2 308.385 0.663 20 0 DCADLN CO[C@H]1C[C@@H](C(=O)[O-])N(C(=O)Cc2cn3ccccc3[nH+]2)C1 ZINC000237239996 395907921 /nfs/dbraw/zinc/90/79/21/395907921.db2.gz BBBLKSLLJHJCFX-RYUDHWBXSA-N 0 2 303.318 0.577 20 0 DCADLN COC(=O)[C@]1(NC(=O)c2cc(F)c(F)c(O)c2F)CCOC1 ZINC000273376412 395916577 /nfs/dbraw/zinc/91/65/77/395916577.db2.gz CYSOWBQFPQTCQI-ZDUSSCGKSA-N 0 2 319.235 0.871 20 0 DCADLN COC[C@@](C)(NCC(=O)NOCc1ccccc1)C(=O)OC ZINC000273387705 395917845 /nfs/dbraw/zinc/91/78/45/395917845.db2.gz ZPJCSFQDCUTGOD-OAHLLOKOSA-N 0 2 310.350 0.402 20 0 DCADLN COC[C@](C)(NCC(=O)NOCc1ccccc1)C(=O)OC ZINC000273387698 395918010 /nfs/dbraw/zinc/91/80/10/395918010.db2.gz ZPJCSFQDCUTGOD-HNNXBMFYSA-N 0 2 310.350 0.402 20 0 DCADLN COCC[N@H+](CC(=O)[N-]OCc1ccccc1)[C@@H](C)C(N)=O ZINC000271398295 395863414 /nfs/dbraw/zinc/86/34/14/395863414.db2.gz SPWOURHDTZQTOW-LBPRGKRZSA-N 0 2 309.366 0.057 20 0 DCADLN COCC[N@@H+](CC(=O)[N-]OCc1ccccc1)[C@@H](C)C(N)=O ZINC000271398295 395863417 /nfs/dbraw/zinc/86/34/17/395863417.db2.gz SPWOURHDTZQTOW-LBPRGKRZSA-N 0 2 309.366 0.057 20 0 DCADLN COC[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)C ZINC000150751960 395971938 /nfs/dbraw/zinc/97/19/38/395971938.db2.gz WZMWCWBXENCYCK-JTQLQIEISA-N 0 2 306.322 0.012 20 0 DCADLN Cn1cc(CCCNC(=O)c2cn[nH]c2-c2cnn(C)c2)cn1 ZINC000275897953 395987427 /nfs/dbraw/zinc/98/74/27/395987427.db2.gz YWQZLDPLSHYBIP-UHFFFAOYSA-N 0 2 313.365 0.906 20 0 DCADLN COC(=O)Cn1ccc(NS(=O)(=O)c2cccc(F)c2)n1 ZINC000067899444 396037869 /nfs/dbraw/zinc/03/78/69/396037869.db2.gz GWNNTBUWINIRJF-UHFFFAOYSA-N 0 2 313.310 0.996 20 0 DCADLN [NH3+][C@H](C(=O)N1CC[NH+](Cc2ccccn2)CC1)C1CCOCC1 ZINC000261610362 396048879 /nfs/dbraw/zinc/04/88/79/396048879.db2.gz BEGPSWMILFRHDK-INIZCTEOSA-N 0 2 318.421 0.480 20 0 DCADLN O=C([O-])[C@H]1CCCC[N@@H+]1CC(=O)NCc1ccc2c(c1)OCO2 ZINC000262316898 396123188 /nfs/dbraw/zinc/12/31/88/396123188.db2.gz URJYVTOHQPHXAV-GFCCVEGCSA-N 0 2 320.345 0.971 20 0 DCADLN O=C([O-])[C@H]1CCCC[N@H+]1CC(=O)NCc1ccc2c(c1)OCO2 ZINC000262316898 396123191 /nfs/dbraw/zinc/12/31/91/396123191.db2.gz URJYVTOHQPHXAV-GFCCVEGCSA-N 0 2 320.345 0.971 20 0 DCADLN COc1cc(OC)cc(N2CC[C@@H]([N@H+](C)CC(=O)[O-])C2=O)c1 ZINC000261932197 396080919 /nfs/dbraw/zinc/08/09/19/396080919.db2.gz LTXNCGXMMRDOED-CYBMUJFWSA-N 0 2 308.334 0.826 20 0 DCADLN COc1cc(OC)cc(N2CC[C@@H]([N@@H+](C)CC(=O)[O-])C2=O)c1 ZINC000261932197 396080920 /nfs/dbraw/zinc/08/09/20/396080920.db2.gz LTXNCGXMMRDOED-CYBMUJFWSA-N 0 2 308.334 0.826 20 0 DCADLN CCC[C@H]([NH3+])C(=O)N1CC[NH+](Cc2c(C)nn(C)c2C)CC1 ZINC000178886630 396212764 /nfs/dbraw/zinc/21/27/64/396212764.db2.gz LZOIMWQBVNCDTE-HNNXBMFYSA-N 0 2 307.442 0.809 20 0 DCADLN COC(=O)C[C@H]1CN(Cc2nc(=O)c3sccc3[nH]2)CCO1 ZINC000178811631 396209697 /nfs/dbraw/zinc/20/96/97/396209697.db2.gz JLEBTXOBRURXCM-VIFPVBQESA-N 0 2 323.374 0.749 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000262674319 396171653 /nfs/dbraw/zinc/17/16/53/396171653.db2.gz SXHOUTBYHYAGSX-JTQLQIEISA-N 0 2 319.361 0.922 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000262674319 396171656 /nfs/dbraw/zinc/17/16/56/396171656.db2.gz SXHOUTBYHYAGSX-JTQLQIEISA-N 0 2 319.361 0.922 20 0 DCADLN O=C(N[C@H]1CCC(=O)NC1)C1=NN(c2ccccc2)CC1=O ZINC000266473026 396352167 /nfs/dbraw/zinc/35/21/67/396352167.db2.gz BFJBCBJOHVZGRR-JTQLQIEISA-N 0 2 300.318 0.586 20 0 DCADLN CCC[C@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(N)=O ZINC000286349553 396389945 /nfs/dbraw/zinc/38/99/45/396389945.db2.gz MJWNDEVBHPBLBO-NSHDSACASA-N 0 2 302.334 0.962 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCOc1ccc(F)cc1 ZINC000287664120 396415088 /nfs/dbraw/zinc/41/50/88/396415088.db2.gz RTBBSLSHGDLVBN-UHFFFAOYSA-N 0 2 312.326 0.524 20 0 DCADLN CC(C)[C@](C)(NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(N)=O ZINC000288160278 396445340 /nfs/dbraw/zinc/44/53/40/396445340.db2.gz ZOECROGAHGSORP-AWEZNQCLSA-N 0 2 319.321 0.066 20 0 DCADLN CCn1cc(NS(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)cn1 ZINC000192715881 396436366 /nfs/dbraw/zinc/43/63/66/396436366.db2.gz HYVQXBJZRVBOEV-UHFFFAOYSA-N 0 2 307.335 0.873 20 0 DCADLN COCCNC(=O)[C@H](CC(C)C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000515179160 396500996 /nfs/dbraw/zinc/50/09/96/396500996.db2.gz HPMDSFSWQNYBMH-OCCSQVGLSA-N 0 2 324.425 0.882 20 0 DCADLN COCCNC(=O)[C@H](CC(C)C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000515179160 396500999 /nfs/dbraw/zinc/50/09/99/396500999.db2.gz HPMDSFSWQNYBMH-OCCSQVGLSA-N 0 2 324.425 0.882 20 0 DCADLN CN(C[C@H]1CCC[C@H]1O)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000270167298 396462325 /nfs/dbraw/zinc/46/23/25/396462325.db2.gz ZJMNJOHGGUKYFZ-LDYMZIIASA-N 0 2 318.333 0.669 20 0 DCADLN COC[C@@H](CCO)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000270385335 396465270 /nfs/dbraw/zinc/46/52/70/396465270.db2.gz DWRIUXLZWVWKEZ-LLVKDONJSA-N 0 2 323.324 0.844 20 0 DCADLN C[C@@H]1Oc2ccccc2[C@H]1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000290697054 396470190 /nfs/dbraw/zinc/47/01/90/396470190.db2.gz SFSPGEYDWUKFBX-CPCISQLKSA-N 0 2 306.347 0.829 20 0 DCADLN C[C@H]1OC(=O)N[C@@H]1C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000497047257 396487010 /nfs/dbraw/zinc/48/70/10/396487010.db2.gz QQSXUTYQZUJDBS-MUWHJKNJSA-N 0 2 322.346 0.852 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nc(C)n(C)n2)oc1C ZINC000291931834 396519934 /nfs/dbraw/zinc/51/99/34/396519934.db2.gz CBSGVXARKLYTLI-UHFFFAOYSA-N 0 2 314.323 0.612 20 0 DCADLN CC(=O)Nc1ncc(S(=O)(=O)Nc2nc(C)n(C)n2)s1 ZINC000291948159 396520611 /nfs/dbraw/zinc/52/06/11/396520611.db2.gz DOGLPBKPZDRULC-UHFFFAOYSA-N 0 2 316.368 0.339 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000372968299 396557375 /nfs/dbraw/zinc/55/73/75/396557375.db2.gz RMIMDEYXKSHDFT-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000372968299 396557378 /nfs/dbraw/zinc/55/73/78/396557378.db2.gz RMIMDEYXKSHDFT-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN C[C@@H]1OCC[C@@]1(O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000581528730 396562750 /nfs/dbraw/zinc/56/27/50/396562750.db2.gz RURUFTMCQVCGPN-BJOHPYRUSA-N 0 2 319.317 0.300 20 0 DCADLN COC[C@](C)(O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000531464206 396596192 /nfs/dbraw/zinc/59/61/92/396596192.db2.gz LROFUPFTJDBNLF-OAHLLOKOSA-N 0 2 323.324 0.844 20 0 DCADLN O=C(COC[C@@H]1CCCO1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000584723780 396618608 /nfs/dbraw/zinc/61/86/08/396618608.db2.gz UQVNNDKAMJDOIT-MNOVXSKESA-N 0 2 310.354 0.412 20 0 DCADLN COC(=O)c1cccc(NC(=O)N2CC[N@@H+](C)C[C@@H]2C[NH3+])c1F ZINC000584768702 396621674 /nfs/dbraw/zinc/62/16/74/396621674.db2.gz XTVRXMFLWVOCJR-JTQLQIEISA-N 0 2 324.356 0.719 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@H](C)CO1 ZINC000617722599 396795639 /nfs/dbraw/zinc/79/56/39/396795639.db2.gz BBKUWWRKMOZKAW-NXEZZACHSA-N 0 2 320.349 0.767 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@H](C)CO1 ZINC000617722599 396795642 /nfs/dbraw/zinc/79/56/42/396795642.db2.gz BBKUWWRKMOZKAW-NXEZZACHSA-N 0 2 320.349 0.767 20 0 DCADLN CSCCNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000610612283 396736027 /nfs/dbraw/zinc/73/60/27/396736027.db2.gz SAVXZLRISIOWML-UHFFFAOYSA-N 0 2 316.364 0.077 20 0 DCADLN COc1cccc(OC)c1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000617628767 396763487 /nfs/dbraw/zinc/76/34/87/396763487.db2.gz XIQGDSMYHBQEMK-UHFFFAOYSA-N 0 2 324.362 0.936 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CCN2CCCC2=O)s[nH]1 ZINC000634154575 396798100 /nfs/dbraw/zinc/79/81/00/396798100.db2.gz YDPHIPBFIGLYFX-UHFFFAOYSA-N 0 2 312.395 0.793 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N[C@@H]1C[C@H]1C(F)(F)F ZINC000634503821 396868601 /nfs/dbraw/zinc/86/86/01/396868601.db2.gz RFONRHKQNRLYCY-RKDXNWHRSA-N 0 2 314.267 0.941 20 0 DCADLN O=C(c1cnn2cccnc12)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000329053081 396837865 /nfs/dbraw/zinc/83/78/65/396837865.db2.gz AGRDXUGSPMCVBE-JTQLQIEISA-N 0 2 313.321 0.920 20 0 DCADLN C[C@H](CO)[C@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000617861662 396843032 /nfs/dbraw/zinc/84/30/32/396843032.db2.gz JBJIKACFCXJXRM-RKDXNWHRSA-N 0 2 300.384 0.612 20 0 DCADLN COC(=O)C[C@H](O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000600458232 396849214 /nfs/dbraw/zinc/84/92/14/396849214.db2.gz YJQKJDZZADFUPB-NSHDSACASA-N 0 2 319.317 0.232 20 0 DCADLN O=c1[n-]c(C[N@H+](Cc2ccccc2)[C@]2(CO)CCOC2)n[nH]1 ZINC000625390149 396858163 /nfs/dbraw/zinc/85/81/63/396858163.db2.gz OYOLWTIHTYFBSB-HNNXBMFYSA-N 0 2 304.350 0.664 20 0 DCADLN O=c1[n-]c(C[N@@H+](Cc2ccccc2)[C@]2(CO)CCOC2)n[nH]1 ZINC000625390149 396858169 /nfs/dbraw/zinc/85/81/69/396858169.db2.gz OYOLWTIHTYFBSB-HNNXBMFYSA-N 0 2 304.350 0.664 20 0 DCADLN COc1cccc(C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)C2)c1 ZINC000634584480 396887910 /nfs/dbraw/zinc/88/79/10/396887910.db2.gz CXCHQHROXHXGQG-NSHDSACASA-N 0 2 308.359 0.819 20 0 DCADLN COc1cccc(-c2cc(=O)n([C@H]3CCS(=O)(=O)C3)[nH]2)c1 ZINC000634584480 396887914 /nfs/dbraw/zinc/88/79/14/396887914.db2.gz CXCHQHROXHXGQG-NSHDSACASA-N 0 2 308.359 0.819 20 0 DCADLN COC[C@](C)(O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000611687627 396909985 /nfs/dbraw/zinc/90/99/85/396909985.db2.gz ZPENVZNEMLMVJM-CQSZACIVSA-N 0 2 307.306 0.157 20 0 DCADLN C[C@H](C(F)(F)F)S(=O)(=O)N[C@H](CO)[C@H]1CCCOC1 ZINC000571582261 396967324 /nfs/dbraw/zinc/96/73/24/396967324.db2.gz NSQLCJNLCCUWOY-HRDYMLBCSA-N 0 2 305.318 0.644 20 0 DCADLN O=C(CCc1nn[nH]n1)Nc1ccc(CN2CCOCC2)cc1 ZINC000630526834 397038120 /nfs/dbraw/zinc/03/81/20/397038120.db2.gz FJPZDQMPYOAABV-UHFFFAOYSA-N 0 2 316.365 0.603 20 0 DCADLN C[C@@H](NC(=O)NCc1cc[nH+]c(N2CCSCC2)c1)C(=O)[O-] ZINC000630501185 397032670 /nfs/dbraw/zinc/03/26/70/397032670.db2.gz PGFFRMCRKUAICE-SNVBAGLBSA-N 0 2 324.406 0.907 20 0 DCADLN NC(=O)C[C@H]1CCN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC000377137185 397034904 /nfs/dbraw/zinc/03/49/04/397034904.db2.gz YGGPNHLAXSDLAS-LLVKDONJSA-N 0 2 307.423 0.732 20 0 DCADLN NC(=O)C[C@H]1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000377135656 397035630 /nfs/dbraw/zinc/03/56/30/397035630.db2.gz FTEAYDMSVKLQBR-OLZOCXBDSA-N 0 2 319.409 0.530 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H]2[C@@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000618921561 397042397 /nfs/dbraw/zinc/04/23/97/397042397.db2.gz ITATZMTVWXJXHX-PSASIEDQSA-N 0 2 318.333 0.730 20 0 DCADLN CC(C)(C)c1cc(N2CC[C@H](CO)[C@H](O)C2)nc(C(=O)[O-])[nH+]1 ZINC000573613520 397187410 /nfs/dbraw/zinc/18/74/10/397187410.db2.gz BBDCLHNWHMWLBA-NXEZZACHSA-N 0 2 309.366 0.652 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC(C)(CO)CO)=N1 ZINC000613382238 397250835 /nfs/dbraw/zinc/25/08/35/397250835.db2.gz XLSFISRJWDSRGK-UHFFFAOYSA-N 0 2 319.361 0.613 20 0 DCADLN COC[C@H](OC)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000613596919 397284935 /nfs/dbraw/zinc/28/49/35/397284935.db2.gz NANRCZWUGBBSQX-LBPRGKRZSA-N 0 2 320.349 0.661 20 0 DCADLN Cc1n[nH]c([C@@H]2CCC[N@H+](CCS(=O)(=O)N(C)C)C2)n1 ZINC000407951631 397333652 /nfs/dbraw/zinc/33/36/52/397333652.db2.gz PWHBGJMOVYINNU-LLVKDONJSA-N 0 2 301.416 0.184 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1[C@@H](C)CC[C@H]1C(=O)[O-])C(=O)OC ZINC000593290512 397348580 /nfs/dbraw/zinc/34/85/80/397348580.db2.gz KXMSNPRGCVRPDJ-MRBYEJRBSA-N 0 2 314.382 0.628 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1[C@@H](C)CC[C@H]1C(=O)[O-])C(=O)OC ZINC000593290512 397348583 /nfs/dbraw/zinc/34/85/83/397348583.db2.gz KXMSNPRGCVRPDJ-MRBYEJRBSA-N 0 2 314.382 0.628 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1CCNC1=O ZINC000614386823 397430325 /nfs/dbraw/zinc/43/03/25/397430325.db2.gz IDKJXACBSVOHGN-SECBINFHSA-N 0 2 301.306 0.560 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NC(C)(C)CCO)s[nH]1 ZINC000578302802 397416978 /nfs/dbraw/zinc/41/69/78/397416978.db2.gz GYMHVMPKKOIHMV-UHFFFAOYSA-N 0 2 314.411 0.473 20 0 DCADLN CCOC(=O)CCN(C(=O)CSc1n[nH]c(=O)[nH]1)C(C)C ZINC000594409658 397544564 /nfs/dbraw/zinc/54/45/64/397544564.db2.gz SNQGAYCTTGYVFB-UHFFFAOYSA-N 0 2 316.383 0.793 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCCCC(N)=O)cn1 ZINC000579787789 397592611 /nfs/dbraw/zinc/59/26/11/397592611.db2.gz JMFPDFLMBJYDEE-UHFFFAOYSA-N 0 2 304.354 0.679 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2nc3c(s2)COCC3)n1 ZINC000605257842 397662798 /nfs/dbraw/zinc/66/27/98/397662798.db2.gz XIKZJWAUCPFRHA-UHFFFAOYSA-N 0 2 300.365 0.750 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2cccc(Cl)c2)cn1 ZINC000047251572 158003088 /nfs/dbraw/zinc/00/30/88/158003088.db2.gz FWMPUWQZCOFXAL-UHFFFAOYSA-N 0 2 314.754 0.823 20 0 DCADLN CCc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cc1 ZINC000092616974 158115895 /nfs/dbraw/zinc/11/58/95/158115895.db2.gz OLRGOEVDAYUESM-UHFFFAOYSA-N 0 2 315.377 0.618 20 0 DCADLN CC[C@H]1CCCC[NH+]1CCNC(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000113404466 158147926 /nfs/dbraw/zinc/14/79/26/158147926.db2.gz LXEFBXMSTVCNOD-LSDHHAIUSA-N 0 2 312.458 0.881 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](OC)CN1Cc1nc(=O)c2sccc2[nH]1 ZINC000116282382 158164831 /nfs/dbraw/zinc/16/48/31/158164831.db2.gz RFTRKUOAVDOGDF-SCZZXKLOSA-N 0 2 323.374 0.747 20 0 DCADLN O=C(c1ccc2nncn2c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328794287 159029654 /nfs/dbraw/zinc/02/96/54/159029654.db2.gz SJUKRELENRNNJW-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN O=C(Cn1ccccc1=O)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000329163679 159069913 /nfs/dbraw/zinc/06/99/13/159069913.db2.gz GOEAGJCJVCBVPM-JTQLQIEISA-N 0 2 303.322 0.426 20 0 DCADLN Cn1c(=O)cccc1C(=O)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000329197259 159072186 /nfs/dbraw/zinc/07/21/86/159072186.db2.gz VETGWISYIJVHMD-VIFPVBQESA-N 0 2 303.322 0.576 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)[C@@H]2CCC(=O)N2C)[nH]1 ZINC000330260205 159155335 /nfs/dbraw/zinc/15/53/35/159155335.db2.gz OAGHUVSAURNAAA-MNOVXSKESA-N 0 2 321.381 0.626 20 0 DCADLN COCC[C@H](C)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330442528 159174416 /nfs/dbraw/zinc/17/44/16/159174416.db2.gz VMVSIXVCHAVQII-UWVGGRQHSA-N 0 2 318.399 0.445 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc3c2OCCC3=O)c1O ZINC000348687015 159240910 /nfs/dbraw/zinc/24/09/10/159240910.db2.gz FQCQYNDVJLDKAM-NSHDSACASA-N 0 2 318.285 0.640 20 0 DCADLN CC(C)OC[C@@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)CCO1 ZINC000366722847 159281627 /nfs/dbraw/zinc/28/16/27/159281627.db2.gz NQIDBGHJFZJENO-ZDUSSCGKSA-N 0 2 306.366 0.648 20 0 DCADLN CCNC(=O)C[N@@H+]1CCCN(C(=O)C23CCC[NH+]2CCC3)CC1 ZINC000368448688 159395280 /nfs/dbraw/zinc/39/52/80/159395280.db2.gz DFQRFNFHSRDGAU-UHFFFAOYSA-N 0 2 322.453 0.285 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)C[C@H]1CCC(=O)[C@@H]1C ZINC000408453718 160112147 /nfs/dbraw/zinc/11/21/47/160112147.db2.gz SMCKEQXKRFIMOF-PSASIEDQSA-N 0 2 313.379 0.539 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)[C@@H]2CCO[C@@H](C)C2)cn1 ZINC000408485506 160118639 /nfs/dbraw/zinc/11/86/39/160118639.db2.gz QNEBUEUUDARHGG-VHSXEESVSA-N 0 2 314.363 0.710 20 0 DCADLN COCCOCCCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355695573 287187444 /nfs/dbraw/zinc/18/74/44/287187444.db2.gz JGPPMFMYLNNGGE-UHFFFAOYSA-N 0 2 308.338 0.457 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cccc(-n2cnnn2)c1 ZINC000342453270 415178478 /nfs/dbraw/zinc/17/84/78/415178478.db2.gz SNJUFBCEWCGURJ-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2ccc(F)c(F)c2)cn1 ZINC000047251582 415268200 /nfs/dbraw/zinc/26/82/00/415268200.db2.gz KGTWVCNLVXNAIS-UHFFFAOYSA-N 0 2 316.289 0.447 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1cccc(NC(=O)CO)c1 ZINC000342776038 415290953 /nfs/dbraw/zinc/29/09/53/415290953.db2.gz GIQYHDXCGSFOQI-UHFFFAOYSA-N 0 2 324.362 0.758 20 0 DCADLN Cc1cc2[nH+]cn(C[C@@H](O)C[N@@H+]3CCC[C@@H]3C(N)=O)c2cc1C ZINC000051562921 415314553 /nfs/dbraw/zinc/31/45/53/415314553.db2.gz MTWODVWGJWZADE-DZGCQCFKSA-N 0 2 316.405 0.964 20 0 DCADLN CO[C@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)C(C)C ZINC000352986709 415392485 /nfs/dbraw/zinc/39/24/85/415392485.db2.gz NJRPLTKQJPVAST-LURJTMIESA-N 0 2 308.385 0.519 20 0 DCADLN COc1cccc(C(=O)NCC(=O)NOC[C@H]2CCOC2)c1 ZINC000276264269 415459885 /nfs/dbraw/zinc/45/98/85/415459885.db2.gz IZJOEGJBIMPGLU-NSHDSACASA-N 0 2 308.334 0.509 20 0 DCADLN O=C(CC1CCCC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000092618606 415544222 /nfs/dbraw/zinc/54/42/22/415544222.db2.gz WFFLNMYJEKSVOW-UHFFFAOYSA-N 0 2 307.398 0.759 20 0 DCADLN CC[NH+]1CCN([C@H](C)CNC(=O)[C@H]2CC[NH2+]CC2(F)F)CC1 ZINC000343451971 415604232 /nfs/dbraw/zinc/60/42/32/415604232.db2.gz GPEKQVGJHMUXLQ-CHWSQXEVSA-N 0 2 318.412 0.373 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1C[C@@H](CO)c2ccccc21 ZINC000353821953 415670642 /nfs/dbraw/zinc/67/06/42/415670642.db2.gz DQTIVTAIAYFJCC-QMMMGPOBSA-N 0 2 306.347 0.725 20 0 DCADLN CC(=O)Nc1ccc(S(O)=CC(=O)N2CCOCC2)cc1 ZINC000107973140 415674554 /nfs/dbraw/zinc/67/45/54/415674554.db2.gz SDFKULTZQSJOGU-OAQYLSRUSA-N 0 2 310.375 0.611 20 0 DCADLN CCOC(=O)c1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)cn1C ZINC000354133582 415764792 /nfs/dbraw/zinc/76/47/92/415764792.db2.gz HLNUIDJCRPMFBK-UHFFFAOYSA-N 0 2 308.298 0.347 20 0 DCADLN C[C@H]1CN(C(=O)NC[C@@H]2CCCO2)CC[N@@H+]1C1CC[NH+](C)CC1 ZINC000354150466 415773030 /nfs/dbraw/zinc/77/30/30/415773030.db2.gz RSQJRVNLHYUGSL-HOCLYGCPSA-N 0 2 324.469 0.975 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1c[nH]c2nc(C)ccc2c1=O)[C@H](C)O ZINC000354157512 415775219 /nfs/dbraw/zinc/77/52/19/415775219.db2.gz IIJOMNBUDPIYJC-KWQFWETISA-N 0 2 319.317 0.296 20 0 DCADLN Nc1nnc(CCNC(=O)C2=NN(c3ccccc3)CC2=O)o1 ZINC000355028635 415834075 /nfs/dbraw/zinc/83/40/75/415834075.db2.gz HKLFIKZJXUETLM-UHFFFAOYSA-N 0 2 314.305 0.516 20 0 DCADLN C[C@@H](c1nnnn1C1CC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000344085540 415836841 /nfs/dbraw/zinc/83/68/41/415836841.db2.gz HIRJVDLYNSTQNQ-DTWKUNHWSA-N 0 2 304.358 0.772 20 0 DCADLN C[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)[C@H](O)c1ccccc1 ZINC000290568019 415820472 /nfs/dbraw/zinc/82/04/72/415820472.db2.gz GKJJGJQSFQBKBQ-KWQFWETISA-N 0 2 308.363 0.428 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CC(=O)N1CC[C@]2(C1)CCC[NH+](C)C2 ZINC000356261429 415891905 /nfs/dbraw/zinc/89/19/05/415891905.db2.gz SIXCABRORZCADK-QGZVFWFLSA-N 0 2 324.469 0.387 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(N2CCCC2=O)cc1 ZINC000128622784 415902792 /nfs/dbraw/zinc/90/27/92/415902792.db2.gz RUKJISCHEPOVKG-UHFFFAOYSA-N 0 2 316.321 0.547 20 0 DCADLN CC(C)CN(CC[NH+](C)C)C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000356357126 415906447 /nfs/dbraw/zinc/90/64/47/415906447.db2.gz MNWTXGQUQXOORT-HNNXBMFYSA-N 0 2 314.474 0.936 20 0 DCADLN CCOC(=O)CCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000338095277 416043528 /nfs/dbraw/zinc/04/35/28/416043528.db2.gz XLXBMWSMGBHLGT-UHFFFAOYSA-N 0 2 320.305 0.509 20 0 DCADLN CNS(=O)(=O)c1cc(NC(=O)c2cn[nH]c2C)ccc1O ZINC000357333238 416064856 /nfs/dbraw/zinc/06/48/56/416064856.db2.gz GYTZDEPDDJUJGA-UHFFFAOYSA-N 0 2 310.335 0.584 20 0 DCADLN CN(C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCSC1 ZINC000163529938 416015201 /nfs/dbraw/zinc/01/52/01/416015201.db2.gz FSIIXBACGFKETF-MRVPVSSYSA-N 0 2 306.347 0.189 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)N[C@H]1CCOC1 ZINC000344975078 416019835 /nfs/dbraw/zinc/01/98/35/416019835.db2.gz HNWUQIFNVCAYNC-NSHDSACASA-N 0 2 317.349 0.972 20 0 DCADLN Cc1ncsc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000298907060 416037985 /nfs/dbraw/zinc/03/79/85/416037985.db2.gz AKRMYGKTKQBJJA-UHFFFAOYSA-N 0 2 319.393 0.927 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2ccc(OCCC(N)=O)cc2)c1 ZINC000357540122 416093439 /nfs/dbraw/zinc/09/34/39/416093439.db2.gz JGULBCVRRRKCPF-UHFFFAOYSA-N 0 2 324.362 0.475 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)NCC1(C(=O)[O-])CCOCC1 ZINC000316896323 416116045 /nfs/dbraw/zinc/11/60/45/416116045.db2.gz RKOLQEMARMLAMY-LLVKDONJSA-N 0 2 310.354 0.452 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(OC(F)F)nc1 ZINC000338614124 416116296 /nfs/dbraw/zinc/11/62/96/416116296.db2.gz UFZLASPVYVQGTF-UHFFFAOYSA-N 0 2 300.225 0.828 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cnn(C)c2C)cn1C ZINC000176972266 416138541 /nfs/dbraw/zinc/13/85/41/416138541.db2.gz HARFUHORAULHJG-UHFFFAOYSA-N 0 2 312.351 0.654 20 0 DCADLN Cc1cc(C(=O)NCc2ccn(C)n2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000345925923 416169530 /nfs/dbraw/zinc/16/95/30/416169530.db2.gz SVTMBSQVKVKPKU-UHFFFAOYSA-N 0 2 314.305 0.408 20 0 DCADLN CC(=O)c1cccc(O[C@@H](C)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000358353440 416226034 /nfs/dbraw/zinc/22/60/34/416226034.db2.gz JCOJQTQERMVFRX-VIFPVBQESA-N 0 2 304.306 0.797 20 0 DCADLN CC(C)[C@@H](NC(=O)c1cccs1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358352594 416226260 /nfs/dbraw/zinc/22/62/60/416226260.db2.gz HZXZHQMWBJUQKX-SNVBAGLBSA-N 0 2 323.378 0.643 20 0 DCADLN CC(C)N1C[C@@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)CC1=O ZINC000358355530 416228389 /nfs/dbraw/zinc/22/83/89/416228389.db2.gz WQCKGFDQDPOWSX-VIFPVBQESA-N 0 2 317.349 0.413 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCOCC1CC1 ZINC000342025033 416269763 /nfs/dbraw/zinc/26/97/63/416269763.db2.gz RKIOISQMAHLCJI-UHFFFAOYSA-N 0 2 304.350 0.416 20 0 DCADLN COC(=O)CC1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000352220429 416306964 /nfs/dbraw/zinc/30/69/64/416306964.db2.gz VUEFTAQIGYYROA-UHFFFAOYSA-N 0 2 314.367 0.404 20 0 DCADLN CC[C@]1(CO)CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000195463040 416326494 /nfs/dbraw/zinc/32/64/94/416326494.db2.gz DSPIFXSQRBLKSK-VIFPVBQESA-N 0 2 304.334 0.868 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N(C)CCCC(=O)[O-])[nH+]cn2)C1 ZINC000416423153 416369177 /nfs/dbraw/zinc/36/91/77/416369177.db2.gz SGBKPAKHCUXNHI-RYUDHWBXSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N(C)CCCC(=O)[O-])nc[nH+]2)C1 ZINC000416423153 416369178 /nfs/dbraw/zinc/36/91/78/416369178.db2.gz SGBKPAKHCUXNHI-RYUDHWBXSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@@H]1CCC[C@@]1(O)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000359808179 416359530 /nfs/dbraw/zinc/35/95/30/416359530.db2.gz QHFSPQKTBDBBFL-RFAUZJTJSA-N 0 2 304.350 0.955 20 0 DCADLN CCCc1nsc(NC2CCN(S(C)(=O)=O)CC2)n1 ZINC000359833587 416361620 /nfs/dbraw/zinc/36/16/20/416361620.db2.gz AQVTZVYBJDGDNP-UHFFFAOYSA-N 0 2 304.441 0.748 20 0 DCADLN Cc1cc(C(=O)Nc2cnn(C)c2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000360163210 416376019 /nfs/dbraw/zinc/37/60/19/416376019.db2.gz PWPKBHFACLWDIA-UHFFFAOYSA-N 0 2 300.278 0.730 20 0 DCADLN Cc1cc(C(=O)N2CC[C@](C)(O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000361436696 416436666 /nfs/dbraw/zinc/43/66/66/416436666.db2.gz ARMRTHFMQVSBES-AWEZNQCLSA-N 0 2 304.306 0.341 20 0 DCADLN Cc1cc(C(=O)N2CCO[C@H](C)[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000361446426 416437301 /nfs/dbraw/zinc/43/73/01/416437301.db2.gz SHVVBDOHHLBZTO-RKDXNWHRSA-N 0 2 318.333 0.994 20 0 DCADLN CCc1cc(=O)[nH]c(C[N@@H+]2CCCC[C@H]2CNC(=O)CC[NH3+])n1 ZINC000436055421 416545124 /nfs/dbraw/zinc/54/51/24/416545124.db2.gz UCHQUBXYDURCTL-ZDUSSCGKSA-N 0 2 321.425 0.564 20 0 DCADLN Nc1c(=O)[nH]c(=O)[nH]c1C(=O)Nc1[nH]nc2c1cccc2Cl ZINC000435997131 416546096 /nfs/dbraw/zinc/54/60/96/416546096.db2.gz BUCNLCKOSJGPGX-UHFFFAOYSA-N 0 2 320.696 1.252 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2CCC[C@@H](O)C2)s1 ZINC000439471076 416614327 /nfs/dbraw/zinc/61/43/27/416614327.db2.gz QPIMGKQNUMJVEB-RNFRBKRXSA-N 0 2 320.396 0.399 20 0 DCADLN CCC(CC)(CNC(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1)C(=O)[O-] ZINC000424512872 416658322 /nfs/dbraw/zinc/65/83/22/416658322.db2.gz BNZCELQWERQIBZ-NSHDSACASA-N 0 2 324.381 0.395 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@@H](C(N)=O)C2)c1 ZINC000424655232 416665309 /nfs/dbraw/zinc/66/53/09/416665309.db2.gz ZQUNNUQPOUCKRU-UZJPJQLHSA-N 0 2 310.375 0.137 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCN(C)C(=O)[C@H]2C)c1 ZINC000424803210 416668314 /nfs/dbraw/zinc/66/83/14/416668314.db2.gz YLRVRILBDWBQBC-RKFFSXRUSA-N 0 2 324.402 0.492 20 0 DCADLN Cn1cc(C[C@H](CO)CNC(=O)c2cccc3[nH]nnc32)cn1 ZINC000515355236 416699363 /nfs/dbraw/zinc/69/93/63/416699363.db2.gz DLYCZTCGKOJHKN-NSHDSACASA-N 0 2 314.349 0.272 20 0 DCADLN Cn1cnc(=NC(=O)N=c2ccn(CCC(F)(F)F)[nH]2)[nH]1 ZINC000425687972 416721971 /nfs/dbraw/zinc/72/19/71/416721971.db2.gz WIJZIHJJPZVBQE-UHFFFAOYSA-N 0 2 303.248 0.452 20 0 DCADLN CC(C)[C@H](O)C1(CNS(=O)(=O)NCC(F)(F)F)CC1 ZINC000443046273 416728421 /nfs/dbraw/zinc/72/84/21/416728421.db2.gz SVZIMNQAKAZJRB-QMMMGPOBSA-N 0 2 304.334 0.770 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCc2ncsc2C1 ZINC000443195651 416734548 /nfs/dbraw/zinc/73/45/48/416734548.db2.gz LIJOGAMMOBIPJD-UHFFFAOYSA-N 0 2 301.315 0.898 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ncc(C)o2)cc1C(N)=O ZINC000443386195 416756391 /nfs/dbraw/zinc/75/63/91/416756391.db2.gz MRJWDRXGBFOYIJ-UHFFFAOYSA-N 0 2 311.319 0.891 20 0 DCADLN NC(=O)c1ccc(S(=O)(=O)Nc2ccc3[nH]nnc3c2)cc1 ZINC000426354980 416763684 /nfs/dbraw/zinc/76/36/84/416763684.db2.gz XEBPTHYIJUUXKE-UHFFFAOYSA-N 0 2 317.330 0.858 20 0 DCADLN NC(=O)c1ccc(S(=O)(=O)Nc2ccc3n[nH]nc3c2)cc1 ZINC000426354980 416763691 /nfs/dbraw/zinc/76/36/91/416763691.db2.gz XEBPTHYIJUUXKE-UHFFFAOYSA-N 0 2 317.330 0.858 20 0 DCADLN NC(=O)c1ccc(S(=O)(=O)Nc2ccc3nn[nH]c3c2)cc1 ZINC000426354980 416763698 /nfs/dbraw/zinc/76/36/98/416763698.db2.gz XEBPTHYIJUUXKE-UHFFFAOYSA-N 0 2 317.330 0.858 20 0 DCADLN CC(C)(C)OC(=O)CN(Cc1n[nH]c(=O)[nH]1)C1CCOCC1 ZINC000517034421 416772518 /nfs/dbraw/zinc/77/25/18/416772518.db2.gz ZGQJLYKPIOGAGP-UHFFFAOYSA-N 0 2 312.370 0.833 20 0 DCADLN O=C(NCCSCCCO)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000427000135 416795802 /nfs/dbraw/zinc/79/58/02/416795802.db2.gz AZMPENHCOVPUBH-UHFFFAOYSA-N 0 2 323.374 0.875 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)c1ncn(C)n1 ZINC000444835026 416871464 /nfs/dbraw/zinc/87/14/64/416871464.db2.gz ILCDRSBRHBXXLE-MRVPVSSYSA-N 0 2 314.305 0.649 20 0 DCADLN COC(=O)[C@@]1(C)CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000428329481 416886805 /nfs/dbraw/zinc/88/68/05/416886805.db2.gz ALJDAJNTDAJYPC-OAHLLOKOSA-N 0 2 318.333 0.842 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cc3c(cn2)OCCC3)C1=O ZINC000370142747 416888855 /nfs/dbraw/zinc/88/88/55/416888855.db2.gz ZYDNAVUQSWBLNE-HNNXBMFYSA-N 0 2 318.333 0.772 20 0 DCADLN CC(=O)N(C)c1ccc(S(=O)(=O)Nc2nc(C)n(C)n2)cc1 ZINC000427645305 416833928 /nfs/dbraw/zinc/83/39/28/416833928.db2.gz CSRLHAGMHRHKBK-UHFFFAOYSA-N 0 2 323.378 0.907 20 0 DCADLN Cn1ncc(N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)c(Cl)c1=O ZINC000565548311 416990277 /nfs/dbraw/zinc/99/02/77/416990277.db2.gz KDABZYQHBSLAOW-ZETCQYMHSA-N 0 2 310.745 0.989 20 0 DCADLN CCNC(=O)c1cccc(NS(=O)(=O)c2ccnn2C)c1 ZINC000524049239 417096258 /nfs/dbraw/zinc/09/62/58/417096258.db2.gz BLVFPMSGRJFVPT-UHFFFAOYSA-N 0 2 308.363 0.971 20 0 DCADLN CCn1cc[nH+]c1CNC(=O)N1CC[C@](COC)(C(=O)[O-])C1 ZINC000385357661 417090844 /nfs/dbraw/zinc/09/08/44/417090844.db2.gz VODDOVOMLQTOPT-AWEZNQCLSA-N 0 2 310.354 0.536 20 0 DCADLN C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2n[nH]c(=O)[n-]2)CC1 ZINC000524453688 417106811 /nfs/dbraw/zinc/10/68/11/417106811.db2.gz GTZILIHWMQOJNP-SNVBAGLBSA-N 0 2 302.338 0.424 20 0 DCADLN CCOC(=O)c1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)nc1 ZINC000450003543 417189026 /nfs/dbraw/zinc/18/90/26/417189026.db2.gz HRXFJDKGCGLTHU-UHFFFAOYSA-N 0 2 319.321 0.445 20 0 DCADLN CS(=O)(=O)CC1(CNC(=O)c2cccc3[nH]nnc32)CC1 ZINC000527107173 417214892 /nfs/dbraw/zinc/21/48/92/417214892.db2.gz BCRGJUUUPWDPFP-UHFFFAOYSA-N 0 2 308.363 0.513 20 0 DCADLN Nc1ncncc1CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000622450415 417446868 /nfs/dbraw/zinc/44/68/68/417446868.db2.gz AFRRTQGLYHXWNN-UHFFFAOYSA-N 0 2 312.289 0.332 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1cc(Cl)cnc1N ZINC000439199987 287383504 /nfs/dbraw/zinc/38/35/04/287383504.db2.gz PVSNLHJFNNMEHV-UHFFFAOYSA-N 0 2 301.759 0.827 20 0 DCADLN O=C([O-])c1cccc(N2CCN(S(=O)(=O)C3CC3)CC2)[nH+]1 ZINC000530253208 417559984 /nfs/dbraw/zinc/55/99/84/417559984.db2.gz UHQFVURDJLVCCP-UHFFFAOYSA-N 0 2 311.363 0.394 20 0 DCADLN NC(=O)Cc1ccc(CNC(=O)c2cccc3[nH]nnc32)cc1 ZINC000644597675 417625924 /nfs/dbraw/zinc/62/59/24/417625924.db2.gz YJZMCFDLXGGYEZ-UHFFFAOYSA-N 0 2 309.329 0.916 20 0 DCADLN CNC(=O)C[C@H](C)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000456502381 417652230 /nfs/dbraw/zinc/65/22/30/417652230.db2.gz JCTIXMFRPGCBHT-VIFPVBQESA-N 0 2 320.324 0.971 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2cc(C)c(C)n[nH]2)[C@H](C)CO1 ZINC000652005360 417830449 /nfs/dbraw/zinc/83/04/49/417830449.db2.gz YPMFWRNDFUJOHS-PWSUYJOCSA-N 0 2 306.366 0.480 20 0 DCADLN CCc1cc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)n(C)n1 ZINC000635876669 417779902 /nfs/dbraw/zinc/77/99/02/417779902.db2.gz CKUJUIJJJWRPAG-UHFFFAOYSA-N 0 2 304.354 0.826 20 0 DCADLN CC[C@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@H](O)C(C)C ZINC000651851064 417798738 /nfs/dbraw/zinc/79/87/38/417798738.db2.gz CTNFFSFZLIYXAO-WDEREUQCSA-N 0 2 311.386 0.131 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC2CCC(F)CC2)[nH]1 ZINC000651870938 417803832 /nfs/dbraw/zinc/80/38/32/417803832.db2.gz UOXLDSPCHQBUAU-UHFFFAOYSA-N 0 2 311.361 0.864 20 0 DCADLN Cn1cc[nH+]c1COCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000646633989 417884725 /nfs/dbraw/zinc/88/47/25/417884725.db2.gz OSGJKGHHFJXEMV-UHFFFAOYSA-N 0 2 304.232 0.712 20 0 DCADLN O=C(NC1CC1)[C@@H]1Cc2ccccc2CN1Cc1n[nH]c(=O)[nH]1 ZINC000645994565 417842301 /nfs/dbraw/zinc/84/23/01/417842301.db2.gz ZFKMFGMGVDILJQ-ZDUSSCGKSA-N 0 2 313.361 0.716 20 0 DCADLN COC[C@@H](CC1CCOCC1)[NH2+]CC(=O)N1CC[NH+](C)CC1 ZINC000657856321 417911901 /nfs/dbraw/zinc/91/19/01/417911901.db2.gz MMZDNHVUJYEWNZ-OAHLLOKOSA-N 0 2 313.442 0.182 20 0 DCADLN CCn1cc[nH+]c1[C@H](C)NC(=O)CN1CCCC[C@H]([NH3+])C1=O ZINC000662901480 417944032 /nfs/dbraw/zinc/94/40/32/417944032.db2.gz IILHFONQZRFWFC-RYUDHWBXSA-N 0 2 307.398 0.420 20 0 DCADLN C[NH+]1C[C@H]2[C@@H](CCN2C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000646940813 417957010 /nfs/dbraw/zinc/95/70/10/417957010.db2.gz NUDWFQYIWMWANF-CWRNSKLLSA-N 0 2 307.438 0.792 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)C[C@H](OC)C(C)C)nc1 ZINC000647274505 418005607 /nfs/dbraw/zinc/00/56/07/418005607.db2.gz VVDFBXUPRQEFFC-NSHDSACASA-N 0 2 315.395 0.854 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1nn(C)cc1C)C1CCOCC1 ZINC000663264290 418005772 /nfs/dbraw/zinc/00/57/72/418005772.db2.gz JDWDAUGLFYLZRL-LBPRGKRZSA-N 0 2 317.411 0.912 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC000647581178 418026293 /nfs/dbraw/zinc/02/62/93/418026293.db2.gz REPFQMUFLJYTAU-LLVKDONJSA-N 0 2 322.365 0.101 20 0 DCADLN O=C([O-])[C@H](C(=O)N1CC[NH+](Cc2cccnc2)CC1)C1CC1 ZINC000663037384 417980852 /nfs/dbraw/zinc/98/08/52/417980852.db2.gz IIBFGWRYYFJMOF-AWEZNQCLSA-N 0 2 303.362 0.837 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000663038823 417980903 /nfs/dbraw/zinc/98/09/03/417980903.db2.gz DBKZSQCTJGUTOU-MRXNPFEDSA-N 0 2 324.352 0.960 20 0 DCADLN CC(C)(C)[C@@H](C(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000663075993 417986971 /nfs/dbraw/zinc/98/69/71/417986971.db2.gz MLTXATCPAHYETH-LLVKDONJSA-N 0 2 300.399 0.960 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCN(C)C(=O)C3)nc[nH+]2)C[C@]1(C)CO ZINC000664598448 418138627 /nfs/dbraw/zinc/13/86/27/418138627.db2.gz RJPYKUHKQYHKDD-MLGOLLRUSA-N 0 2 319.409 0.210 20 0 DCADLN CO[C@](C)(CO)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000655212728 418239191 /nfs/dbraw/zinc/23/91/91/418239191.db2.gz FAQZREDAZPGIDO-HNNXBMFYSA-N 0 2 305.334 0.705 20 0 DCADLN COCCc1nsc(N2CC[NH+](C(C)(C)C(=O)[O-])CC2)n1 ZINC000649403063 418251288 /nfs/dbraw/zinc/25/12/88/418251288.db2.gz ROCMLZPJSSHTDB-UHFFFAOYSA-N 0 2 314.411 0.712 20 0 DCADLN COCC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000649211620 418208831 /nfs/dbraw/zinc/20/88/31/418208831.db2.gz XUWZFYFBCYXFSM-KBPBESRZSA-N 0 2 306.410 0.673 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)C(=O)[O-] ZINC000655897020 418298042 /nfs/dbraw/zinc/29/80/42/418298042.db2.gz QHWRUOIFGFDXSZ-XQQFMLRXSA-N 0 2 313.398 0.506 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)C(=O)[O-] ZINC000655897020 418298044 /nfs/dbraw/zinc/29/80/44/418298044.db2.gz QHWRUOIFGFDXSZ-XQQFMLRXSA-N 0 2 313.398 0.506 20 0 DCADLN CCN1C[C@@H](CNC(=O)N=c2nc3n([nH]2)CCCC3)CC1=O ZINC000650240883 418298888 /nfs/dbraw/zinc/29/88/88/418298888.db2.gz YADHWDCZINAGJH-SNVBAGLBSA-N 0 2 306.370 0.026 20 0 DCADLN Cc1ccn2cc(CNC(=O)C(=O)NC[C@@H](C)C(=O)[O-])[nH+]c2c1 ZINC000655982113 418310753 /nfs/dbraw/zinc/31/07/53/418310753.db2.gz TUKJWHPPMLWZHB-SNVBAGLBSA-N 0 2 318.333 0.096 20 0 DCADLN Cc1nc(N(C)C)nc(N[C@@H](Cc2ccncc2)C(=O)[O-])[nH+]1 ZINC000656092855 418327386 /nfs/dbraw/zinc/32/73/86/418327386.db2.gz RLUGMORGYJSQKB-NSHDSACASA-N 0 2 302.338 0.749 20 0 DCADLN Cc1nc(N[C@@H](Cc2ccncc2)C(=O)[O-])nc(N(C)C)[nH+]1 ZINC000656092855 418327387 /nfs/dbraw/zinc/32/73/87/418327387.db2.gz RLUGMORGYJSQKB-NSHDSACASA-N 0 2 302.338 0.749 20 0 DCADLN O=C([O-])c1cc(N2CCC[C@@H](C(=O)N3CCOCC3)C2)cc[nH+]1 ZINC000650765756 418323739 /nfs/dbraw/zinc/32/37/39/418323739.db2.gz FRRPJXUHCCYULO-GFCCVEGCSA-N 0 2 319.361 0.855 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000649462764 418255264 /nfs/dbraw/zinc/25/52/64/418255264.db2.gz ZHCJJAHAFQURIK-VXGBXAGGSA-N 0 2 305.334 0.255 20 0 DCADLN COCCCNC(=O)C[N@@H+]1CCC[C@@H]([NH+]2CCOCC2)CC1 ZINC000660468226 418262641 /nfs/dbraw/zinc/26/26/41/418262641.db2.gz KXNGDTGSJUSUIH-OAHLLOKOSA-N 0 2 313.442 0.326 20 0 DCADLN CC(C)[C@@H](CNC(=O)c1ccc(-n2cc[nH+]c2)nn1)C(=O)[O-] ZINC000655565149 418276918 /nfs/dbraw/zinc/27/69/18/418276918.db2.gz JQQUPTNTDWOPBS-SNVBAGLBSA-N 0 2 303.322 0.749 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cc(C)cc(C(N)=O)c2)no1 ZINC000656731234 418374361 /nfs/dbraw/zinc/37/43/61/418374361.db2.gz LBEJPVOHGBRKTI-UHFFFAOYSA-N 0 2 310.335 0.840 20 0 DCADLN CCN(C(C)=O)c1ccc(NS(=O)(=O)c2ccn(C)n2)cn1 ZINC000656739811 418375073 /nfs/dbraw/zinc/37/50/73/418375073.db2.gz YXWJJACARKBIIQ-UHFFFAOYSA-N 0 2 323.378 0.989 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[N@H+](CC(=O)[O-])CC(C)(C)C ZINC000662226281 418391896 /nfs/dbraw/zinc/39/18/96/418391896.db2.gz FHXQCASPAOVBJC-UHFFFAOYSA-N 0 2 315.414 0.404 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[N@@H+](CC(=O)[O-])CC(C)(C)C ZINC000662226281 418391899 /nfs/dbraw/zinc/39/18/99/418391899.db2.gz FHXQCASPAOVBJC-UHFFFAOYSA-N 0 2 315.414 0.404 20 0 DCADLN CO[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC[C@H]1C ZINC000651740422 418407835 /nfs/dbraw/zinc/40/78/35/418407835.db2.gz AGIYHJSWXQCYFJ-MNOVXSKESA-N 0 2 309.370 0.103 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC(C)(C)OC(C)(C)C2)[nH]1 ZINC000651741732 418407921 /nfs/dbraw/zinc/40/79/21/418407921.db2.gz UNADEKAGJHQFMV-UHFFFAOYSA-N 0 2 323.397 0.635 20 0 DCADLN CC(C)[C@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C(F)(F)F ZINC000651744462 418408610 /nfs/dbraw/zinc/40/86/10/418408610.db2.gz CYXMXYCBKYWFJC-QMMMGPOBSA-N 0 2 321.303 0.923 20 0 DCADLN CCC[C@]1(CO)CCCN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651757298 418409000 /nfs/dbraw/zinc/40/90/00/418409000.db2.gz JOYNCEPVNJMJPR-OAHLLOKOSA-N 0 2 323.397 0.373 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1CCC[C@H]2CCC[C@@H]21 ZINC000651641766 418399826 /nfs/dbraw/zinc/39/98/26/418399826.db2.gz XKWUYGWGBHODOZ-NEPJUHHUSA-N 0 2 317.393 0.766 20 0 DCADLN C[C@H]1CCCCN(C(=O)C(=O)N=c2nc3n([nH]2)CCCC3)C1 ZINC000651673836 418402440 /nfs/dbraw/zinc/40/24/40/418402440.db2.gz AQQDILBFZZRTCI-NSHDSACASA-N 0 2 305.382 0.623 20 0 DCADLN O=C(NO[C@H]1CCCCO1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000299510632 229167456 /nfs/dbraw/zinc/16/74/56/229167456.db2.gz BMKYPNHRANRWDF-VIFPVBQESA-N 0 2 306.278 0.624 20 0 DCADLN C[C@H](CN1CC[NH+](C)CC1)NC(=O)NCCCn1cc[nH+]c1 ZINC000106511983 261107903 /nfs/dbraw/zinc/10/79/03/261107903.db2.gz OUQHUFQWIULUFB-CQSZACIVSA-N 0 2 308.430 0.208 20 0 DCADLN Cn1cnc(CNS(=O)(=O)c2c(F)c(F)cc(F)c2F)n1 ZINC000193815806 261143792 /nfs/dbraw/zinc/14/37/92/261143792.db2.gz MPKPJNQCYPJMOB-UHFFFAOYSA-N 0 2 324.259 0.850 20 0 DCADLN COc1ccc(OC)c([C@@H]2C[C@H]2C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000350035284 261187727 /nfs/dbraw/zinc/18/77/27/261187727.db2.gz RCPCJSFZGLWUGC-GXSJLCMTSA-N 0 2 318.333 0.947 20 0 DCADLN COC(=O)c1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)ccc1C ZINC000354201273 261189587 /nfs/dbraw/zinc/18/95/87/261189587.db2.gz XXUXOHBYIUHVPK-UHFFFAOYSA-N 0 2 305.294 0.927 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCC[C@H](CO)C1)c2=O ZINC000412938886 262197443 /nfs/dbraw/zinc/19/74/43/262197443.db2.gz PUYYDRLIPDZJLU-UWVGGRQHSA-N 0 2 304.350 0.955 20 0 DCADLN C[C@H]1C[C@@H](CO)CCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000426149811 262547189 /nfs/dbraw/zinc/54/71/89/262547189.db2.gz OYKQGMHCGYMTMQ-IUCAKERBSA-N 0 2 318.333 0.669 20 0 DCADLN O=C(Cn1ccccc1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000356004582 271032542 /nfs/dbraw/zinc/03/25/42/271032542.db2.gz RLRQLKHONRAHIS-UHFFFAOYSA-N 0 2 311.301 0.978 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2C[C@@H](C)[C@H](C(=O)[O-])C2)c[nH+]1 ZINC000420583676 271374139 /nfs/dbraw/zinc/37/41/39/271374139.db2.gz NWKJCCKAOACJFF-ZWNOBZJWSA-N 0 2 319.361 0.494 20 0 DCADLN CO[C@H]1C[C@@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1(C)C ZINC000440005628 271647374 /nfs/dbraw/zinc/64/73/74/271647374.db2.gz VEIYTZLCVSQRGQ-ZJUUUORDSA-N 0 2 318.333 0.979 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCSC[C@H]1CCO ZINC000443031839 271694170 /nfs/dbraw/zinc/69/41/70/271694170.db2.gz ZDXCWZYKWKFSJK-SSDOTTSWSA-N 0 2 308.347 0.183 20 0 DCADLN Cc1ccnc(-n2nccc2NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000471920830 272032554 /nfs/dbraw/zinc/03/25/54/272032554.db2.gz BQVPBVRLRUSURS-UHFFFAOYSA-N 0 2 314.309 0.721 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)CCCCOC ZINC000492567065 272135358 /nfs/dbraw/zinc/13/53/58/272135358.db2.gz OVGHTNLBUOTEPG-VOTSOKGWSA-N 0 2 315.395 0.789 20 0 DCADLN O=C(/C=C/c1ccncn1)NS(=O)(=O)c1cnc2n1CCC2 ZINC000492761144 272147794 /nfs/dbraw/zinc/14/77/94/272147794.db2.gz FMDSCMIVOJBJIG-ONEGZZNKSA-N 0 2 319.346 0.138 20 0 DCADLN Cc1ccc(/C=C/C(=O)NS(=O)(=O)c2ccnn2C)nc1 ZINC000493471026 272191439 /nfs/dbraw/zinc/19/14/39/272191439.db2.gz XQNJOEALLLUCJC-AATRIKPKSA-N 0 2 306.347 0.642 20 0 DCADLN O=C(C=Cc1cnccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493566425 272196435 /nfs/dbraw/zinc/19/64/35/272196435.db2.gz YSMKXIBDJXQBIU-HMDXOVGESA-N 0 2 300.322 0.720 20 0 DCADLN O=c1cc(CN2CCN3C(=O)OCC[C@@H]3C2)nc2cc[nH]n21 ZINC000494134710 272212026 /nfs/dbraw/zinc/21/20/26/272212026.db2.gz QOEFFOVHLRHHOC-LLVKDONJSA-N 0 2 303.322 0.049 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NOC1CCCC1 ZINC000494398134 272220501 /nfs/dbraw/zinc/22/05/01/272220501.db2.gz BUJZATPYCYXMRI-UHFFFAOYSA-N 0 2 315.370 0.618 20 0 DCADLN CONC(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000495069303 272237601 /nfs/dbraw/zinc/23/76/01/272237601.db2.gz VCAAAQYMFPYQBA-VIFPVBQESA-N 0 2 301.343 0.180 20 0 DCADLN COC(=O)N(C)CC(=O)NOC[C@@H](C)NC(=O)OC(C)(C)C ZINC000495239801 272241761 /nfs/dbraw/zinc/24/17/61/272241761.db2.gz VHUNDFXVBUYLTL-SECBINFHSA-N 0 2 319.358 0.646 20 0 DCADLN C[C@H]1C[C@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)CC(=O)N1 ZINC000544618046 287978869 /nfs/dbraw/zinc/97/88/69/287978869.db2.gz UEMDQRNYMJDGLE-WPRPVWTQSA-N 0 2 317.374 0.875 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC[C@@H]1O ZINC000547671207 288067506 /nfs/dbraw/zinc/06/75/06/288067506.db2.gz ZRUUOWWSGMKXID-SKDRFNHKSA-N 0 2 303.318 0.873 20 0 DCADLN C[C@@H]1OCC[C@@]12C[N@H+](Cc1cc(=O)n3[n-]ccc3n1)CCO2 ZINC000547769815 288077068 /nfs/dbraw/zinc/07/70/68/288077068.db2.gz MXTFOQNCPOQQND-XHDPSFHLSA-N 0 2 304.350 0.402 20 0 DCADLN C[C@@H]1OCC[C@@]12C[N@@H+](Cc1cc(=O)n3[n-]ccc3n1)CCO2 ZINC000547769815 288077071 /nfs/dbraw/zinc/07/70/71/288077071.db2.gz MXTFOQNCPOQQND-XHDPSFHLSA-N 0 2 304.350 0.402 20 0 DCADLN Cc1ccccc1CS(=O)(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000547876230 288087045 /nfs/dbraw/zinc/08/70/45/288087045.db2.gz XXHWQQDTDOWZHI-ZDUSSCGKSA-N 0 2 323.374 0.833 20 0 DCADLN Cc1ccccc1CS(=O)(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000547876230 288087046 /nfs/dbraw/zinc/08/70/46/288087046.db2.gz XXHWQQDTDOWZHI-ZDUSSCGKSA-N 0 2 323.374 0.833 20 0 DCADLN CC(C)(C)c1nc(=NC[C@H]2CS(=O)(=O)CCO2)s[nH]1 ZINC000547958817 288095896 /nfs/dbraw/zinc/09/58/96/288095896.db2.gz HVGVMCNIBPJADA-QMMMGPOBSA-N 0 2 305.425 0.483 20 0 DCADLN COC[C@](C)(O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000548113170 288113009 /nfs/dbraw/zinc/11/30/09/288113009.db2.gz FPKRPZNTYGMGBH-HNNXBMFYSA-N 0 2 320.349 0.397 20 0 DCADLN O=S(=O)(CC(F)(F)F)NC[C@@H](O)COc1ccccc1 ZINC000548119664 288113519 /nfs/dbraw/zinc/11/35/19/288113519.db2.gz ATOJYZZSDATAOK-SECBINFHSA-N 0 2 313.297 0.908 20 0 DCADLN CC(C)[C@H](Nc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000263532526 280335895 /nfs/dbraw/zinc/33/58/95/280335895.db2.gz XJBFKVDYGWAIHV-ZDUSSCGKSA-N 0 2 307.354 0.276 20 0 DCADLN CC(C)[C@H](Nc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000263532526 280335897 /nfs/dbraw/zinc/33/58/97/280335897.db2.gz XJBFKVDYGWAIHV-ZDUSSCGKSA-N 0 2 307.354 0.276 20 0 DCADLN CC(C)c1ccc(N2CC(=O)C(C(=O)NCC(N)=O)=N2)cc1 ZINC000175233078 280587914 /nfs/dbraw/zinc/58/79/14/280587914.db2.gz LSGPSJSEROUYJY-UHFFFAOYSA-N 0 2 302.334 0.916 20 0 DCADLN CC(=O)N[C@@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC000552107121 288264816 /nfs/dbraw/zinc/26/48/16/288264816.db2.gz UWWWBZCUGJKFLL-GHMZBOCLSA-N 0 2 309.370 0.377 20 0 DCADLN CCN1C[C@@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC1=O ZINC000556428376 288376597 /nfs/dbraw/zinc/37/65/97/288376597.db2.gz ODLMEWHTYKQETE-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2ccc(OC)nn2)cc1 ZINC000559948339 288482729 /nfs/dbraw/zinc/48/27/29/288482729.db2.gz SCSRDIPWFRXKRM-UHFFFAOYSA-N 0 2 322.346 0.646 20 0 DCADLN C[C@@H]1CSCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000191220118 297178716 /nfs/dbraw/zinc/17/87/16/297178716.db2.gz BOJOAEYCJZYKRF-QMMMGPOBSA-N 0 2 320.374 0.437 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCO[C@@H]2CCC[C@@H]21 ZINC000299116932 304594214 /nfs/dbraw/zinc/59/42/14/304594214.db2.gz DDSSXTBKMNVPOY-WDEREUQCSA-N 0 2 316.317 0.830 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CC[N@H+](Cc2csc(C(=O)[O-])n2)C1 ZINC001574059346 1159243837 /nfs/dbraw/zinc/24/38/37/1159243837.db2.gz IONRRQJQSWCAHZ-QMMMGPOBSA-N 0 2 319.408 0.212 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CC[N@@H+](Cc2csc(C(=O)[O-])n2)C1 ZINC001574059346 1159243839 /nfs/dbraw/zinc/24/38/39/1159243839.db2.gz IONRRQJQSWCAHZ-QMMMGPOBSA-N 0 2 319.408 0.212 20 0 DCADLN O=C([O-])C1(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)CC1 ZINC000582363458 336942386 /nfs/dbraw/zinc/94/23/86/336942386.db2.gz XBGIGGSZGDWZFK-UHFFFAOYSA-N 0 2 305.334 0.399 20 0 DCADLN COCc1ccccc1CNC(=O)N1CC[N@@H+](C)C[C@@H]1C[NH3+] ZINC000583860929 337353393 /nfs/dbraw/zinc/35/33/93/337353393.db2.gz YMDWKOKHFKAKRK-HNNXBMFYSA-N 0 2 306.410 0.617 20 0 DCADLN O=C([O-])CCC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000583871326 337364312 /nfs/dbraw/zinc/36/43/12/337364312.db2.gz BFYYQMDYQYCXKU-OAHLLOKOSA-N 0 2 320.389 0.911 20 0 DCADLN C[C@](O)(CNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1)C(=O)[O-] ZINC000584616971 337372981 /nfs/dbraw/zinc/37/29/81/337372981.db2.gz RTBCKDUXGAOHJG-YGRLFVJLSA-N 0 2 310.354 0.075 20 0 DCADLN C[C@](O)(CNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1)C(=O)[O-] ZINC000584616971 337372982 /nfs/dbraw/zinc/37/29/82/337372982.db2.gz RTBCKDUXGAOHJG-YGRLFVJLSA-N 0 2 310.354 0.075 20 0 DCADLN O=C(N[C@@H]1CC[S@](=O)C1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000576563882 341852549 /nfs/dbraw/zinc/85/25/49/341852549.db2.gz JXSYXILJOGROFP-UZJPJQLHSA-N 0 2 307.331 0.281 20 0 DCADLN Cc1ccc(CNC(=O)NCC(=O)NOC(C)(C)CO)cc1 ZINC000296744139 534011459 /nfs/dbraw/zinc/01/14/59/534011459.db2.gz AXIZFXJGSKMWCB-UHFFFAOYSA-N 0 2 309.366 0.613 20 0 DCADLN CC(C)=CC(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001718763409 1183349211 /nfs/dbraw/zinc/34/92/11/1183349211.db2.gz TXKYOUOCHJFGFN-WPRPVWTQSA-N 0 2 314.279 0.789 20 0 DCADLN CC(C)=CC(=O)NC[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001718763409 1183349215 /nfs/dbraw/zinc/34/92/15/1183349215.db2.gz TXKYOUOCHJFGFN-WPRPVWTQSA-N 0 2 314.279 0.789 20 0 DCADLN CCN(CC(C)(C)O)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266986282 520402970 /nfs/dbraw/zinc/40/29/70/520402970.db2.gz HPALEHMEEQCPRN-UHFFFAOYSA-N 0 2 320.349 0.977 20 0 DCADLN CCS(=O)(=O)Nc1ncn(CC(=O)NCc2ccccc2)n1 ZINC000130026129 521686864 /nfs/dbraw/zinc/68/68/64/521686864.db2.gz LPHVKLIYQYALNB-UHFFFAOYSA-N 0 2 323.378 0.356 20 0 DCADLN CN(C[C@H]1CCCCO1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000154546521 523108789 /nfs/dbraw/zinc/10/87/89/523108789.db2.gz OQGFDQKFWRDAMW-SNVBAGLBSA-N 0 2 318.333 0.253 20 0 DCADLN C[C@H]1CCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000267622421 524305178 /nfs/dbraw/zinc/30/51/78/524305178.db2.gz LFAIVWQVCCUGCW-ZETCQYMHSA-N 0 2 310.335 0.467 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CC[NH+](CC(=O)[O-])CC2)c(C)c1 ZINC000035126625 525541180 /nfs/dbraw/zinc/54/11/80/525541180.db2.gz AIRTYFZMGHTPIP-UHFFFAOYSA-N 0 2 312.391 0.694 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000364798028 527048632 /nfs/dbraw/zinc/04/86/32/527048632.db2.gz JISLLAGTWUTIAF-SNVBAGLBSA-N 0 2 321.362 0.677 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)C(=O)N(C)CC2 ZINC000268365014 527621667 /nfs/dbraw/zinc/62/16/67/527621667.db2.gz RDQQUISTGRRIGX-UHFFFAOYSA-N 0 2 320.374 0.849 20 0 DCADLN CC(C)c1nc2c(n1C)CCN(C(=O)CCS(C)(=O)=O)C2 ZINC000330699259 528325212 /nfs/dbraw/zinc/32/52/12/528325212.db2.gz GDVXVJRLEJKSCX-UHFFFAOYSA-N 0 2 313.423 0.863 20 0 DCADLN CC(C)c1nc2c([nH]1)C[C@H](C(=O)N[C@@H]1CCN(C)C1=O)CC2 ZINC000299743739 528325250 /nfs/dbraw/zinc/32/52/50/528325250.db2.gz LZLFIUIPNDRLLY-ZYHUDNBSSA-N 0 2 304.394 0.985 20 0 DCADLN CC(C)c1nc2c([nH]1)CC[C@@H](C(=O)N[C@@H]1CCN(C)C1=O)C2 ZINC000299743739 528325258 /nfs/dbraw/zinc/32/52/58/528325258.db2.gz LZLFIUIPNDRLLY-ZYHUDNBSSA-N 0 2 304.394 0.985 20 0 DCADLN CCOC(=O)CC[C@H](C)NS(=O)(=O)NCC(F)(F)F ZINC000195438291 528760722 /nfs/dbraw/zinc/76/07/22/528760722.db2.gz UTTKVGVRUJCWLE-ZETCQYMHSA-N 0 2 306.306 0.705 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N[C@@H]1CCC[C@H](C)[C@H]1C ZINC000049607414 545653496 /nfs/dbraw/zinc/65/34/96/545653496.db2.gz DBBQGIJKFLNCBT-YNEHKIRRSA-N 0 2 300.399 0.960 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@H]1CCC[C@H](C)[C@H]1C ZINC000049607414 545653501 /nfs/dbraw/zinc/65/35/01/545653501.db2.gz DBBQGIJKFLNCBT-YNEHKIRRSA-N 0 2 300.399 0.960 20 0 DCADLN CN(CC(=O)[O-])C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000311775734 546022883 /nfs/dbraw/zinc/02/28/83/546022883.db2.gz NZGZNFGTBKDTHD-AWEZNQCLSA-N 0 2 321.377 0.613 20 0 DCADLN CN(CC(=O)[O-])C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000311775734 546022893 /nfs/dbraw/zinc/02/28/93/546022893.db2.gz NZGZNFGTBKDTHD-AWEZNQCLSA-N 0 2 321.377 0.613 20 0 DCADLN COCc1nnc(CNC(=O)NCCc2ccccc2O)[nH]1 ZINC000666117614 546427722 /nfs/dbraw/zinc/42/77/22/546427722.db2.gz HCOTWVLVLUQXBU-UHFFFAOYSA-N 0 2 305.338 0.699 20 0 DCADLN O=C(N[C@H]1CCCCNC1=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666292785 546449851 /nfs/dbraw/zinc/44/98/51/546449851.db2.gz YUHOSCIVPGHAOW-NSHDSACASA-N 0 2 316.317 0.428 20 0 DCADLN C[NH+](C)CCn1cc(NC(=O)[C@@H]2CCc3[nH+]c[nH]c3C2)cn1 ZINC000667772062 546651633 /nfs/dbraw/zinc/65/16/33/546651633.db2.gz VBOCGHNWWFBPPX-LLVKDONJSA-N 0 2 302.382 0.911 20 0 DCADLN C[NH+](C)CCn1cc(NC(=O)[C@@H]2CCc3[nH]c[nH+]c3C2)cn1 ZINC000667772062 546651639 /nfs/dbraw/zinc/65/16/39/546651639.db2.gz VBOCGHNWWFBPPX-LLVKDONJSA-N 0 2 302.382 0.911 20 0 DCADLN Cc1[nH+]cc(CN2CC[NH+]([C@H]3CCNC(=O)CC3)CC2)n1C ZINC000668225231 546711570 /nfs/dbraw/zinc/71/15/70/546711570.db2.gz IHCVLRSOWUVURE-CQSZACIVSA-N 0 2 305.426 0.515 20 0 DCADLN COCCOC[C@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000669204439 546936203 /nfs/dbraw/zinc/93/62/03/546936203.db2.gz JHVINMBWZDXPNG-JTQLQIEISA-N 0 2 321.333 0.811 20 0 DCADLN O=C(NC[C@@H]1CCCNC1=O)C1=NN(c2ccccc2)CC1=O ZINC000670430419 547087191 /nfs/dbraw/zinc/08/71/91/547087191.db2.gz XUFQXLCCBDENLR-NSHDSACASA-N 0 2 314.345 0.834 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(C(C)(C)C)[nH]n1 ZINC000671151359 547169702 /nfs/dbraw/zinc/16/97/02/547169702.db2.gz LRVDCAYGEJDWFO-QMMMGPOBSA-N 0 2 302.400 0.926 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H](c3ccnc(N)n3)C2)c1O ZINC000671825298 547298425 /nfs/dbraw/zinc/29/84/25/547298425.db2.gz SHLQFPXQZITJER-VIFPVBQESA-N 0 2 302.338 0.816 20 0 DCADLN COc1cccc(CCNC(=O)CSc2n[nH]c(=O)[nH]2)n1 ZINC000672984463 547432699 /nfs/dbraw/zinc/43/26/99/547432699.db2.gz ZWWCVBYRDZACNJ-UHFFFAOYSA-N 0 2 309.351 0.365 20 0 DCADLN CC(=O)N1c2ccccc2C[C@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000673918887 547537559 /nfs/dbraw/zinc/53/75/59/547537559.db2.gz APJMFGJICMRRSZ-NSHDSACASA-N 0 2 301.306 0.104 20 0 DCADLN NC(=O)C1(C(=O)Nc2nc(-c3c(F)cccc3F)n[nH]2)CC1 ZINC000673936553 547543045 /nfs/dbraw/zinc/54/30/45/547543045.db2.gz GPUBYZYODLKHAK-UHFFFAOYSA-N 0 2 307.260 0.954 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1noc(-c2cccc(F)c2)n1 ZINC000674719273 547619779 /nfs/dbraw/zinc/61/97/79/547619779.db2.gz UQTXORVWAKLPHP-UHFFFAOYSA-N 0 2 304.241 0.629 20 0 DCADLN CC(=O)NCc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000675568845 547693256 /nfs/dbraw/zinc/69/32/56/547693256.db2.gz GDVJPVFYPPYIRG-UHFFFAOYSA-N 0 2 304.310 0.468 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnn(C[C@H]2CCCO2)c1 ZINC000675569374 547693600 /nfs/dbraw/zinc/69/36/00/547693600.db2.gz PSCSFRKCQYONEM-SECBINFHSA-N 0 2 307.314 0.208 20 0 DCADLN CC(C)C[C@@H](NC(=O)c1ccc(-n2cc[nH+]c2)nn1)C(=O)[O-] ZINC000676216040 547745282 /nfs/dbraw/zinc/74/52/82/547745282.db2.gz GHEGOGDYKRTPCK-LLVKDONJSA-N 0 2 303.322 0.891 20 0 DCADLN Cc1cc(C(=O)NCC(C)(C)CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000676402500 547774883 /nfs/dbraw/zinc/77/48/83/547774883.db2.gz GUYXNZSXXSKXAY-UHFFFAOYSA-N 0 2 306.322 0.493 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc([C@H]2CNC(=O)C2)c1 ZINC000676448573 547778884 /nfs/dbraw/zinc/77/88/84/547778884.db2.gz HUURFQPHFZHXBN-SECBINFHSA-N 0 2 316.321 0.436 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccn(-c2ncccc2F)n1 ZINC000677637671 547893668 /nfs/dbraw/zinc/89/36/68/547893668.db2.gz HTMWFVGZNNAKTI-UHFFFAOYSA-N 0 2 318.272 0.552 20 0 DCADLN Cn1cc[nH+]c1-c1cccc(NC(=O)c2n[nH]c(=O)[n-]c2=O)c1 ZINC000677949487 547927129 /nfs/dbraw/zinc/92/71/29/547927129.db2.gz IZAFLIQXUQNPOG-UHFFFAOYSA-N 0 2 312.289 0.936 20 0 DCADLN CCC[C@H]1CN(C(=O)c2[nH]nc(C)c2[O-])CC[N@@H+]1CCOC ZINC000678052109 547940008 /nfs/dbraw/zinc/94/00/08/547940008.db2.gz QFLCQDARLSNCLQ-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN CCC[C@H]1CN(C(=O)c2[nH]nc(C)c2[O-])CC[N@H+]1CCOC ZINC000678052109 547940012 /nfs/dbraw/zinc/94/00/12/547940012.db2.gz QFLCQDARLSNCLQ-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN COc1cc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)ccc1F ZINC000682490311 548388275 /nfs/dbraw/zinc/38/82/75/548388275.db2.gz PAJWZORETCEVCI-UHFFFAOYSA-N 0 2 314.298 0.457 20 0 DCADLN CC(C)Cc1nnc(NS(=O)(=O)c2cnnn2C)s1 ZINC000682807210 548427212 /nfs/dbraw/zinc/42/72/12/548427212.db2.gz VGRIBFQMRLKMDF-UHFFFAOYSA-N 0 2 302.385 0.666 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(-n2cncn2)c(F)c1 ZINC000682833294 548430805 /nfs/dbraw/zinc/43/08/05/548430805.db2.gz ZIEYYLZRIVQAHE-UHFFFAOYSA-N 0 2 323.313 0.336 20 0 DCADLN Cn1cc([C@@H](NC(=O)NCc2n[nH]c(=O)[nH]2)C(F)(F)F)cn1 ZINC000683644560 548505945 /nfs/dbraw/zinc/50/59/45/548505945.db2.gz YMHRJTSDUZLRKU-SSDOTTSWSA-N 0 2 319.247 0.347 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN3C(=O)N(CC(C)C)C[C@@H]3C2)c1O ZINC000683877999 548529649 /nfs/dbraw/zinc/52/96/49/548529649.db2.gz ZLRONQQAMPSHGH-NSHDSACASA-N 0 2 321.381 0.642 20 0 DCADLN O=C(NC[C@@H]1CCCC(=O)N1)C1=NN(c2ccccc2)CC1=O ZINC000684080329 548564303 /nfs/dbraw/zinc/56/43/03/548564303.db2.gz QHHZAPQKADIUFJ-NSHDSACASA-N 0 2 314.345 0.976 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)NCCC(=O)[O-])nc[nH+]1 ZINC000263303948 597294217 /nfs/dbraw/zinc/29/42/17/597294217.db2.gz XKKWOHSGTSQGKO-JTQLQIEISA-N 0 2 322.369 0.261 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)NCCC(=O)[O-])[nH+]cn1 ZINC000263303948 597294220 /nfs/dbraw/zinc/29/42/20/597294220.db2.gz XKKWOHSGTSQGKO-JTQLQIEISA-N 0 2 322.369 0.261 20 0 DCADLN O=C([O-])[C@H]1CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000819258117 597508237 /nfs/dbraw/zinc/50/82/37/597508237.db2.gz MYYZDVZVBWJMQP-NSHDSACASA-N 0 2 306.366 0.878 20 0 DCADLN CCc1nn(C[C@H]2CCOCO2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706542 599370202 /nfs/dbraw/zinc/37/02/02/599370202.db2.gz XOXNCQZDQXBUHX-SECBINFHSA-N 0 2 320.353 0.311 20 0 DCADLN CCc1nn(C[C@@H]2CCOCO2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706545 599370286 /nfs/dbraw/zinc/37/02/86/599370286.db2.gz XOXNCQZDQXBUHX-VIFPVBQESA-N 0 2 320.353 0.311 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)CCSCC(=O)[O-] ZINC000736736912 599758396 /nfs/dbraw/zinc/75/83/96/599758396.db2.gz ISPGNHIBDHZXOZ-UHFFFAOYSA-N 0 2 304.412 0.421 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)CCSCC(=O)[O-] ZINC000736736912 599758398 /nfs/dbraw/zinc/75/83/98/599758398.db2.gz ISPGNHIBDHZXOZ-UHFFFAOYSA-N 0 2 304.412 0.421 20 0 DCADLN CN(Cc1cnn(C)c1)C(=O)C[NH2+][C@H](C(=O)[O-])c1ccccc1 ZINC000737496775 599781509 /nfs/dbraw/zinc/78/15/09/599781509.db2.gz IXGPNUWUJULQSE-HNNXBMFYSA-N 0 2 316.361 0.794 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC000703007000 599847035 /nfs/dbraw/zinc/84/70/35/599847035.db2.gz CWEZBQWSRBYANC-SNVBAGLBSA-N 0 2 302.352 0.491 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC000703007000 599847037 /nfs/dbraw/zinc/84/70/37/599847037.db2.gz CWEZBQWSRBYANC-SNVBAGLBSA-N 0 2 302.352 0.491 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2nc(CC(=O)[O-])cs2)C1 ZINC000737528660 599959527 /nfs/dbraw/zinc/95/95/27/599959527.db2.gz BGSUNGGSCXRTDF-SECBINFHSA-N 0 2 314.367 0.222 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2nc(CC(=O)[O-])cs2)C1 ZINC000737528660 599959530 /nfs/dbraw/zinc/95/95/30/599959530.db2.gz BGSUNGGSCXRTDF-SECBINFHSA-N 0 2 314.367 0.222 20 0 DCADLN CC(C)(CNC(=O)CCSCC(=O)[O-])[NH+]1CCOCC1 ZINC000736320588 599960324 /nfs/dbraw/zinc/96/03/24/599960324.db2.gz XABMYRRSEASBPB-UHFFFAOYSA-N 0 2 304.412 0.421 20 0 DCADLN O=C([O-])CNC(=O)CN1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC000739809792 600011038 /nfs/dbraw/zinc/01/10/38/600011038.db2.gz ZXLBEBMRRCUBLJ-QPJJXVBHSA-N 0 2 317.389 0.518 20 0 DCADLN Cc1cc(NCC[N@H+]2CCOC[C@@H]2C)n2nc(C(=O)[O-])nc2n1 ZINC000738459142 600162438 /nfs/dbraw/zinc/16/24/38/600162438.db2.gz KMDMPJPIAOLCEA-JTQLQIEISA-N 0 2 320.353 0.264 20 0 DCADLN Cc1cc(NCC[N@@H+]2CCOC[C@@H]2C)n2nc(C(=O)[O-])nc2n1 ZINC000738459142 600162440 /nfs/dbraw/zinc/16/24/40/600162440.db2.gz KMDMPJPIAOLCEA-JTQLQIEISA-N 0 2 320.353 0.264 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2ccc(F)c(C(=O)[O-])c2)CC1 ZINC000737519568 600306515 /nfs/dbraw/zinc/30/65/15/600306515.db2.gz YKXDVTACCQPXPB-UHFFFAOYSA-N 0 2 309.297 0.236 20 0 DCADLN O=C([O-])Cn1ccc(NC(=O)NCCNc2cccc[nH+]2)n1 ZINC000739913317 600569960 /nfs/dbraw/zinc/56/99/60/600569960.db2.gz RPHQWYXLLOLOJY-UHFFFAOYSA-N 0 2 304.310 0.596 20 0 DCADLN CC(C)[N@H+](CC(=O)[O-])CC(=O)NCC(C)(C)N1CCOCC1 ZINC000736455241 600612667 /nfs/dbraw/zinc/61/26/67/600612667.db2.gz XBKARVIGBXBKSD-UHFFFAOYSA-N 0 2 315.414 0.008 20 0 DCADLN CC(C)[N@@H+](CC(=O)[O-])CC(=O)NCC(C)(C)N1CCOCC1 ZINC000736455241 600612670 /nfs/dbraw/zinc/61/26/70/600612670.db2.gz XBKARVIGBXBKSD-UHFFFAOYSA-N 0 2 315.414 0.008 20 0 DCADLN COc1cc(NC(=O)[C@H]2C[N@H+](C)CCO2)c(C(=O)[O-])cc1OC ZINC000832016394 600884034 /nfs/dbraw/zinc/88/40/34/600884034.db2.gz BUJDDYDSMICYKD-CYBMUJFWSA-N 0 2 324.333 0.671 20 0 DCADLN COc1cc(NC(=O)[C@H]2C[N@@H+](C)CCO2)c(C(=O)[O-])cc1OC ZINC000832016394 600884039 /nfs/dbraw/zinc/88/40/39/600884039.db2.gz BUJDDYDSMICYKD-CYBMUJFWSA-N 0 2 324.333 0.671 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](C)[C@@H]1CCCCNC1=O)C(=O)[O-] ZINC000828707667 600995470 /nfs/dbraw/zinc/99/54/70/600995470.db2.gz HRHBUCVSBUNGKN-LOWVWBTDSA-N 0 2 313.398 0.202 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)[C@@H]1CCCCNC1=O)C(=O)[O-] ZINC000828707667 600995473 /nfs/dbraw/zinc/99/54/73/600995473.db2.gz HRHBUCVSBUNGKN-LOWVWBTDSA-N 0 2 313.398 0.202 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN([C@@H](C(=O)[O-])c2ccccc2)CC1 ZINC000832730149 601027906 /nfs/dbraw/zinc/02/79/06/601027906.db2.gz NMCSIAQIGPARCS-SPLOXXLWSA-N 0 2 310.419 0.808 20 0 DCADLN COC(=O)c1cc(NC(=O)[C@@H]2C[N@H+](C)CCO2)cc(C(=O)[O-])c1 ZINC000737661097 601125560 /nfs/dbraw/zinc/12/55/60/601125560.db2.gz QCIYDYJGZCAFAO-LBPRGKRZSA-N 0 2 322.317 0.441 20 0 DCADLN COC(=O)c1cc(NC(=O)[C@@H]2C[N@@H+](C)CCO2)cc(C(=O)[O-])c1 ZINC000737661097 601125561 /nfs/dbraw/zinc/12/55/61/601125561.db2.gz QCIYDYJGZCAFAO-LBPRGKRZSA-N 0 2 322.317 0.441 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)Cn2cc(C(=O)[O-])nn2)c1C ZINC000831851624 601279431 /nfs/dbraw/zinc/27/94/31/601279431.db2.gz BDAHBQREFUYQLX-UHFFFAOYSA-N 0 2 319.321 0.313 20 0 DCADLN Cc1oc(C(=O)[O-])cc1C[N@H+](C)CCN1CCCS1(=O)=O ZINC000833024531 601435161 /nfs/dbraw/zinc/43/51/61/601435161.db2.gz JQRDLWFXSPGAPX-UHFFFAOYSA-N 0 2 316.379 0.754 20 0 DCADLN Cc1oc(C(=O)[O-])cc1C[N@@H+](C)CCN1CCCS1(=O)=O ZINC000833024531 601435163 /nfs/dbraw/zinc/43/51/63/601435163.db2.gz JQRDLWFXSPGAPX-UHFFFAOYSA-N 0 2 316.379 0.754 20 0 DCADLN Cn1cc(N2CCC[C@@H]([N@@H+]3CC[C@@](F)(C(=O)[O-])C3)C2=O)cn1 ZINC000833047029 601436113 /nfs/dbraw/zinc/43/61/13/601436113.db2.gz ZDHKZHUNDABBFH-RISCZKNCSA-N 0 2 310.329 0.414 20 0 DCADLN Cn1cc(N2CCC[C@@H]([N@H+]3CC[C@@](F)(C(=O)[O-])C3)C2=O)cn1 ZINC000833047029 601436115 /nfs/dbraw/zinc/43/61/15/601436115.db2.gz ZDHKZHUNDABBFH-RISCZKNCSA-N 0 2 310.329 0.414 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NC1CCC2(CC1)OCCO2)C1CC1 ZINC000833247632 601464031 /nfs/dbraw/zinc/46/40/31/601464031.db2.gz PPZDVMGQWMJJBG-UHFFFAOYSA-N 0 2 312.366 0.337 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NC1CCC2(CC1)OCCO2)C1CC1 ZINC000833247632 601464033 /nfs/dbraw/zinc/46/40/33/601464033.db2.gz PPZDVMGQWMJJBG-UHFFFAOYSA-N 0 2 312.366 0.337 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)N1CCN(Cc2ccco2)CC1)C1CC1 ZINC000833247274 601579509 /nfs/dbraw/zinc/57/95/09/601579509.db2.gz FCUIBFKWWFNLQH-UHFFFAOYSA-N 0 2 321.377 0.473 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)N1CCN(Cc2ccco2)CC1)C1CC1 ZINC000833247274 601579511 /nfs/dbraw/zinc/57/95/11/601579511.db2.gz FCUIBFKWWFNLQH-UHFFFAOYSA-N 0 2 321.377 0.473 20 0 DCADLN C[C@@H](NC(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000828347190 601663623 /nfs/dbraw/zinc/66/36/23/601663623.db2.gz DEAWJOQAGVJHQG-FVCCEPFGSA-N 0 2 313.398 0.458 20 0 DCADLN C[C@H](CS(=O)(=O)NCc1cn2c([nH+]1)CCCC2)C(=O)[O-] ZINC000827564353 601786098 /nfs/dbraw/zinc/78/60/98/601786098.db2.gz WGXYHFMARXGIKF-SECBINFHSA-N 0 2 301.368 0.360 20 0 DCADLN C[C@H](CC(=O)[O-])C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000827282134 602117784 /nfs/dbraw/zinc/11/77/84/602117784.db2.gz QNHPKLCDUVRTAR-BXUZGUMPSA-N 0 2 316.423 0.421 20 0 DCADLN COc1ccccc1C[NH+]1CCN(C(=O)CCNC(=O)[O-])CC1 ZINC000738131154 602252136 /nfs/dbraw/zinc/25/21/36/602252136.db2.gz VYNZXQPZICHDIH-UHFFFAOYSA-N 0 2 321.377 0.997 20 0 DCADLN O=C([O-])c1c(N2CCC[C@H](O)C2)nc[nH+]c1N1CCC[C@H](O)C1 ZINC000833293805 602294195 /nfs/dbraw/zinc/29/41/95/602294195.db2.gz JAIXCHGVCAHJJG-QWRGUYRKSA-N 0 2 322.365 0.097 20 0 DCADLN CC(C)n1ccc(CC(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)n1 ZINC000824520219 603366811 /nfs/dbraw/zinc/36/68/11/603366811.db2.gz MRYOYDWQDCMOHB-UHFFFAOYSA-N 0 2 323.397 0.418 20 0 DCADLN CC(C)CCO[C@@H](C)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824239102 603509255 /nfs/dbraw/zinc/50/92/55/603509255.db2.gz QBTZGCLIFAOUBM-STQMWFEESA-N 0 2 315.414 0.848 20 0 DCADLN CC(C)CCO[C@@H](C)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824239102 603509257 /nfs/dbraw/zinc/50/92/57/603509257.db2.gz QBTZGCLIFAOUBM-STQMWFEESA-N 0 2 315.414 0.848 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(C3CC3)n[nH]2)[C@H](CNC(=O)[O-])C1 ZINC000828506139 603516346 /nfs/dbraw/zinc/51/63/46/603516346.db2.gz DZZOJJFMEHRKLH-SNVBAGLBSA-N 0 2 307.354 0.311 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(C3CC3)n[nH]2)[C@H](CNC(=O)[O-])C1 ZINC000828506139 603516348 /nfs/dbraw/zinc/51/63/48/603516348.db2.gz DZZOJJFMEHRKLH-SNVBAGLBSA-N 0 2 307.354 0.311 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2ccncc2)[C@H](CNC(=O)[O-])C1 ZINC000828501499 603518246 /nfs/dbraw/zinc/51/82/46/603518246.db2.gz WNQUUUZRGXXCNX-CYBMUJFWSA-N 0 2 306.366 0.424 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2ccncc2)[C@H](CNC(=O)[O-])C1 ZINC000828501499 603518249 /nfs/dbraw/zinc/51/82/49/603518249.db2.gz WNQUUUZRGXXCNX-CYBMUJFWSA-N 0 2 306.366 0.424 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)[C@@H]2CC[C@H](NC(=O)[O-])C2)CCO1 ZINC000825733405 603545317 /nfs/dbraw/zinc/54/53/17/603545317.db2.gz BBYOBTNYHMHSDI-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)[C@@H]2CC[C@H](NC(=O)[O-])C2)CCO1 ZINC000825733405 603545322 /nfs/dbraw/zinc/54/53/22/603545322.db2.gz BBYOBTNYHMHSDI-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000831779038 603666102 /nfs/dbraw/zinc/66/61/02/603666102.db2.gz XXIBCSRGCZUIQU-OLZOCXBDSA-N 0 2 316.317 0.924 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@H]1CNC(=O)[O-] ZINC000824907204 603696887 /nfs/dbraw/zinc/69/68/87/603696887.db2.gz JJHSEKADXFCJKN-NWDGAFQWSA-N 0 2 314.386 0.149 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000831273419 603713078 /nfs/dbraw/zinc/71/30/78/603713078.db2.gz QLUMDZYQFOAXIS-MNOVXSKESA-N 0 2 308.338 0.229 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@@H+]([C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000827883381 603806713 /nfs/dbraw/zinc/80/67/13/603806713.db2.gz XPEUNZRJUCCMCN-IUCAKERBSA-N 0 2 309.288 0.834 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@H+]([C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000827883381 603806716 /nfs/dbraw/zinc/80/67/16/603806716.db2.gz XPEUNZRJUCCMCN-IUCAKERBSA-N 0 2 309.288 0.834 20 0 DCADLN O=C(COC1CN(C(=O)[O-])C1)NCc1cn2c([nH+]1)CCCC2 ZINC000831537866 603995777 /nfs/dbraw/zinc/99/57/77/603995777.db2.gz PFUATHLKFBOSLP-UHFFFAOYSA-N 0 2 308.338 0.214 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CCCN(C(=O)NCCn2cc[nH+]c2)C1 ZINC000825229024 604038157 /nfs/dbraw/zinc/03/81/57/604038157.db2.gz OSZUCCUNHJLWAH-RYUDHWBXSA-N 0 2 309.370 0.961 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)CCN1C(=O)[O-] ZINC000826059598 604083086 /nfs/dbraw/zinc/08/30/86/604083086.db2.gz XOTGABCZUSYFEK-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)CCN1C(=O)[O-] ZINC000826059598 604083090 /nfs/dbraw/zinc/08/30/90/604083090.db2.gz XOTGABCZUSYFEK-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN COc1ccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000829277046 604398113 /nfs/dbraw/zinc/39/81/13/604398113.db2.gz MVEOXLJSVGIRPS-LLVKDONJSA-N 0 2 308.338 0.114 20 0 DCADLN COc1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000829277046 604398115 /nfs/dbraw/zinc/39/81/15/604398115.db2.gz MVEOXLJSVGIRPS-LLVKDONJSA-N 0 2 308.338 0.114 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)c1cc[nH]c(=O)c1 ZINC000828315686 604462537 /nfs/dbraw/zinc/46/25/37/604462537.db2.gz AITQCHNLKBBOPT-UHFFFAOYSA-N 0 2 308.338 0.155 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2CCC[C@@H]2CC(=O)[O-])CCO1 ZINC000828074334 604529576 /nfs/dbraw/zinc/52/95/76/604529576.db2.gz GYTSWSZRJZVYTC-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2CCC[C@@H]2CC(=O)[O-])CCO1 ZINC000828074334 604529578 /nfs/dbraw/zinc/52/95/78/604529578.db2.gz GYTSWSZRJZVYTC-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833629986 604553592 /nfs/dbraw/zinc/55/35/92/604553592.db2.gz CEGJDMNHRQMGOX-RKDXNWHRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833629986 604553597 /nfs/dbraw/zinc/55/35/97/604553597.db2.gz CEGJDMNHRQMGOX-RKDXNWHRSA-N 0 2 302.352 0.691 20 0 DCADLN C[N@H+](CC(=O)Nc1ccccc1C(=O)[O-])CC(C)(CO)CO ZINC000830683995 604648904 /nfs/dbraw/zinc/64/89/04/604648904.db2.gz WFJXSJIJCXDYEX-UHFFFAOYSA-N 0 2 310.350 0.246 20 0 DCADLN C[N@@H+](CC(=O)Nc1ccccc1C(=O)[O-])CC(C)(CO)CO ZINC000830683995 604648906 /nfs/dbraw/zinc/64/89/06/604648906.db2.gz WFJXSJIJCXDYEX-UHFFFAOYSA-N 0 2 310.350 0.246 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N2CC(Oc3cc[nH+]cc3)C2)C1 ZINC000832049311 604822739 /nfs/dbraw/zinc/82/27/39/604822739.db2.gz DOTOCFJVZWEWLZ-GFCCVEGCSA-N 0 2 307.306 0.050 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[NH+]2CCN(C(=O)[C@H]3CCCO3)CC2)O1 ZINC000833579789 604823329 /nfs/dbraw/zinc/82/33/29/604823329.db2.gz MUTDPHYDEFGLOO-TZMCWYRMSA-N 0 2 324.377 0.457 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCNC(=O)CC2)cc(C(=O)[O-])c1 ZINC000833712338 605203094 /nfs/dbraw/zinc/20/30/94/605203094.db2.gz FFZNAUSSAGOTOW-UHFFFAOYSA-N 0 2 306.318 0.493 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCNC(=O)CC2)cc(C(=O)[O-])c1 ZINC000833712338 605203096 /nfs/dbraw/zinc/20/30/96/605203096.db2.gz FFZNAUSSAGOTOW-UHFFFAOYSA-N 0 2 306.318 0.493 20 0 DCADLN O=C(CO[C@@H]1CCCN(C(=O)[O-])C1)NCCNc1cccc[nH+]1 ZINC000831512929 605255809 /nfs/dbraw/zinc/25/58/09/605255809.db2.gz KKXRWMXCHLJEMD-GFCCVEGCSA-N 0 2 322.365 0.769 20 0 DCADLN O=C([O-])Nc1ccccc1C(=O)NC[C@@H](O)C[NH+]1CCOCC1 ZINC000833289120 605406645 /nfs/dbraw/zinc/40/66/45/605406645.db2.gz INZGTVWQCQKKCR-LLVKDONJSA-N 0 2 323.349 0.199 20 0 DCADLN C[N@@H+]1CCN(C(=O)COc2ccccc2O)[C@H](CNC(=O)[O-])C1 ZINC000828500752 605444564 /nfs/dbraw/zinc/44/45/64/605444564.db2.gz OMXINLAIUVZSKW-LLVKDONJSA-N 0 2 323.349 0.181 20 0 DCADLN C[N@H+]1CCN(C(=O)COc2ccccc2O)[C@H](CNC(=O)[O-])C1 ZINC000828500752 605444569 /nfs/dbraw/zinc/44/45/69/605444569.db2.gz OMXINLAIUVZSKW-LLVKDONJSA-N 0 2 323.349 0.181 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828508212 605452559 /nfs/dbraw/zinc/45/25/59/605452559.db2.gz YKUILYHMOPCSHO-CYBMUJFWSA-N 0 2 306.366 0.780 20 0 DCADLN C[N@H+]1CCN(C(=O)NCc2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828508212 605452560 /nfs/dbraw/zinc/45/25/60/605452560.db2.gz YKUILYHMOPCSHO-CYBMUJFWSA-N 0 2 306.366 0.780 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1CCN(C(=O)NCCCn2cc[nH+]c2)C1 ZINC000833816364 605485681 /nfs/dbraw/zinc/48/56/81/605485681.db2.gz KTPIMQFVPSJYAD-NEPJUHHUSA-N 0 2 309.370 0.961 20 0 DCADLN NC(=O)C[C@@H](NC(=O)[O-])C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000833985343 605754002 /nfs/dbraw/zinc/75/40/02/605754002.db2.gz YGAKEUHTNBUONY-LLVKDONJSA-N 0 2 317.305 0.322 20 0 DCADLN O=C([O-])N[C@H](CNC(=O)NCCNc1cccc[nH+]1)C1CC1 ZINC000834144533 605849472 /nfs/dbraw/zinc/84/94/72/605849472.db2.gz KTILBKNXAINKQS-LLVKDONJSA-N 0 2 307.354 0.839 20 0 DCADLN C[C@H]1C[C@H](CNC(=O)[O-])C[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833827819 605901721 /nfs/dbraw/zinc/90/17/21/605901721.db2.gz BALMINMCJHLGQY-VHSXEESVSA-N 0 2 305.338 0.501 20 0 DCADLN C[C@H]1C[C@H](CNC(=O)[O-])C[N@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833827819 605901723 /nfs/dbraw/zinc/90/17/23/605901723.db2.gz BALMINMCJHLGQY-VHSXEESVSA-N 0 2 305.338 0.501 20 0 DCADLN O=C([O-])N1CCc2ncc(NC(=O)Cn3cc[nH+]c3)cc2C1 ZINC000834012100 605917564 /nfs/dbraw/zinc/91/75/64/605917564.db2.gz HUBMYWJYLLANPK-UHFFFAOYSA-N 0 2 301.306 0.953 20 0 DCADLN O=C([O-])N[C@@H]1CC[N@H+](CN2C[C@@H](c3cccnc3)CC2=O)C1 ZINC000834190575 606023721 /nfs/dbraw/zinc/02/37/21/606023721.db2.gz UQMWOIMDUAGQAR-QWHCGFSZSA-N 0 2 304.350 0.697 20 0 DCADLN O=C([O-])N[C@@H]1CC[N@@H+](CN2C[C@@H](c3cccnc3)CC2=O)C1 ZINC000834190575 606023725 /nfs/dbraw/zinc/02/37/25/606023725.db2.gz UQMWOIMDUAGQAR-QWHCGFSZSA-N 0 2 304.350 0.697 20 0 DCADLN O=C([O-])N[C@@H](CC(F)F)C(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC000834128141 606035477 /nfs/dbraw/zinc/03/54/77/606035477.db2.gz JCJHTEORDKAWSG-JTQLQIEISA-N 0 2 315.276 0.963 20 0 DCADLN CCOC(=O)c1cnn(C[N@@H+]2CC[C@H](NC(=O)[O-])[C@@H](C)C2)c1 ZINC000833861642 606036023 /nfs/dbraw/zinc/03/60/23/606036023.db2.gz STPKFWCYNLDXFH-JQWIXIFHSA-N 0 2 310.354 0.995 20 0 DCADLN CCOC(=O)c1cnn(C[N@H+]2CC[C@H](NC(=O)[O-])[C@@H](C)C2)c1 ZINC000833861642 606036027 /nfs/dbraw/zinc/03/60/27/606036027.db2.gz STPKFWCYNLDXFH-JQWIXIFHSA-N 0 2 310.354 0.995 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@H+]1CCn1nc2ccccn2c1=O ZINC000833826904 606040503 /nfs/dbraw/zinc/04/05/03/606040503.db2.gz IYNGYTJQIQTKNA-NEPJUHHUSA-N 0 2 319.365 0.569 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@@H+]1CCn1nc2ccccn2c1=O ZINC000833826904 606040509 /nfs/dbraw/zinc/04/05/09/606040509.db2.gz IYNGYTJQIQTKNA-NEPJUHHUSA-N 0 2 319.365 0.569 20 0 DCADLN O=C([O-])Nc1cnc(C(=O)N2CC(Oc3cc[nH+]cc3)C2)cn1 ZINC000834275985 606056242 /nfs/dbraw/zinc/05/62/42/606056242.db2.gz TUJDHZAHQUBLKM-UHFFFAOYSA-N 0 2 315.289 0.865 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)[C@H]2CSCN2C(=O)[O-])C1 ZINC000833954628 606081987 /nfs/dbraw/zinc/08/19/87/606081987.db2.gz LRWCBYDCLNGPIE-VHSXEESVSA-N 0 2 310.379 0.789 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1cccs1 ZINC001027733913 660669676 /nfs/dbraw/zinc/66/96/76/660669676.db2.gz LXNBUOHAVSRKNL-SECBINFHSA-N 0 2 307.379 0.966 20 0 DCADLN Cc1cccnc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027818818 660783516 /nfs/dbraw/zinc/78/35/16/660783516.db2.gz VGWIZWWQIFCCDK-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cccnc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027818818 660783517 /nfs/dbraw/zinc/78/35/17/660783517.db2.gz VGWIZWWQIFCCDK-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001027847033 660830550 /nfs/dbraw/zinc/83/05/50/660830550.db2.gz XJNSRLCXEOJUJE-LLVKDONJSA-N 0 2 305.338 0.806 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027945140 660952553 /nfs/dbraw/zinc/95/25/53/660952553.db2.gz QYCXOHZASGXTNA-MDZLAQPJSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027945140 660952555 /nfs/dbraw/zinc/95/25/55/660952555.db2.gz QYCXOHZASGXTNA-MDZLAQPJSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1ccc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000980665134 660980092 /nfs/dbraw/zinc/98/00/92/660980092.db2.gz COPMQPVDESZCMU-UHFFFAOYSA-N 0 2 305.338 0.760 20 0 DCADLN Cn1nncc1CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981206718 661102540 /nfs/dbraw/zinc/10/25/40/661102540.db2.gz CZPGBEDZXFELKI-JTQLQIEISA-N 0 2 323.294 0.750 20 0 DCADLN Cn1nncc1CN1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000981206718 661102543 /nfs/dbraw/zinc/10/25/43/661102543.db2.gz CZPGBEDZXFELKI-JTQLQIEISA-N 0 2 323.294 0.750 20 0 DCADLN Cc1noc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)c1C ZINC000981414132 661149170 /nfs/dbraw/zinc/14/91/70/661149170.db2.gz GZVVGOKWPFRYAQ-UHFFFAOYSA-N 0 2 320.353 0.463 20 0 DCADLN C[C@H](O)C[N@H+]1CCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC000981472081 661157935 /nfs/dbraw/zinc/15/79/35/661157935.db2.gz DCLWXKLUYBLULX-KBPBESRZSA-N 0 2 306.410 0.361 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001028149344 661243785 /nfs/dbraw/zinc/24/37/85/661243785.db2.gz CPXMEBJQCDRWRP-QCNOEVLYSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001028149344 661243786 /nfs/dbraw/zinc/24/37/86/661243786.db2.gz CPXMEBJQCDRWRP-QCNOEVLYSA-N 0 2 321.381 0.158 20 0 DCADLN CC[C@@H](F)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981937153 661249672 /nfs/dbraw/zinc/24/96/72/661249672.db2.gz GITUDEYXEUDHPM-SNVBAGLBSA-N 0 2 311.361 0.683 20 0 DCADLN CC[C@@H](F)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981937153 661249673 /nfs/dbraw/zinc/24/96/73/661249673.db2.gz GITUDEYXEUDHPM-SNVBAGLBSA-N 0 2 311.361 0.683 20 0 DCADLN CN1CCN(C(=O)C[N@H+](C)[C@@H]2CCSC2)CC[NH+](C)CC1 ZINC000888987803 657474342 /nfs/dbraw/zinc/47/43/42/657474342.db2.gz BDURNAGSQXHYGQ-CQSZACIVSA-N 0 2 314.499 0.130 20 0 DCADLN C[C@H](NC(=O)c1ccc(F)nc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969409863 657823651 /nfs/dbraw/zinc/82/36/51/657823651.db2.gz IJBUPXCLNQDNGL-QMMMGPOBSA-N 0 2 320.328 0.295 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CN(C(=O)C2=CCCC2)C[C@@H]1O ZINC000969413834 657832138 /nfs/dbraw/zinc/83/21/38/657832138.db2.gz ZTJUTSRNXQLLDK-KGLIPLIRSA-N 0 2 318.377 0.059 20 0 DCADLN Cc1cccnc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969469708 657910729 /nfs/dbraw/zinc/91/07/29/657910729.db2.gz PORFDBMMJHSQMX-JTQLQIEISA-N 0 2 316.365 0.464 20 0 DCADLN CCc1nc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC000969518003 657948565 /nfs/dbraw/zinc/94/85/65/657948565.db2.gz SAEHSWGJQALKIN-QMMMGPOBSA-N 0 2 320.353 0.311 20 0 DCADLN CCc1cc(C(=O)N2CC[C@@H]([N@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000971113392 658286179 /nfs/dbraw/zinc/28/61/79/658286179.db2.gz AHDHEQDCCJQHHQ-SNVBAGLBSA-N 0 2 319.369 0.142 20 0 DCADLN CCc1cc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000971113392 658286189 /nfs/dbraw/zinc/28/61/89/658286189.db2.gz AHDHEQDCCJQHHQ-SNVBAGLBSA-N 0 2 319.369 0.142 20 0 DCADLN CCc1noc([C@@H](C)[NH+]2CC([C@@H](C)NC(=O)c3cnn[n-]3)C2)n1 ZINC000970035006 658385305 /nfs/dbraw/zinc/38/53/05/658385305.db2.gz LFCZMQUDFHFJJJ-RKDXNWHRSA-N 0 2 319.369 0.561 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@@H]1CCN(C(=O)C(=O)C(C)(C)C)C1 ZINC000971225376 658424413 /nfs/dbraw/zinc/42/44/13/658424413.db2.gz IYCOWGLFAXSHEP-SECBINFHSA-N 0 2 309.370 0.158 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC2(C)C)[nH]n1 ZINC000972830225 658466780 /nfs/dbraw/zinc/46/67/80/658466780.db2.gz DSKMCYGYGHBOSS-SNVBAGLBSA-N 0 2 319.369 0.182 20 0 DCADLN CC[C@@H](C)C(=O)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972274296 658507675 /nfs/dbraw/zinc/50/76/75/658507675.db2.gz YHUOVTNTCLFVPR-IAQYHMDHSA-N 0 2 323.397 0.360 20 0 DCADLN COC[C@@H](C)[N@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972297493 658534489 /nfs/dbraw/zinc/53/44/89/658534489.db2.gz JYRNOBQZZMDABK-MLGOLLRUSA-N 0 2 322.409 0.670 20 0 DCADLN O=C(Cc1c[nH+]c[nH]1)N1[C@@H]2CC[C@H]1CN(C(=O)c1ncc[nH]1)C2 ZINC000970145232 658570665 /nfs/dbraw/zinc/57/06/65/658570665.db2.gz AORITIXQTPYXJM-TXEJJXNPSA-N 0 2 314.349 0.191 20 0 DCADLN COCC(=O)N1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001021860042 658590990 /nfs/dbraw/zinc/59/09/90/658590990.db2.gz QMCWBRSBESIDSJ-QXFUBDJGSA-N 0 2 312.263 0.593 20 0 DCADLN COCC(=O)N1C[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]2C1 ZINC001021860042 658590998 /nfs/dbraw/zinc/59/09/98/658590998.db2.gz QMCWBRSBESIDSJ-QXFUBDJGSA-N 0 2 312.263 0.593 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000939519552 665592699 /nfs/dbraw/zinc/59/26/99/665592699.db2.gz BDJXPJLRPDJLEA-SVRRBLITSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000939519552 665592701 /nfs/dbraw/zinc/59/27/01/665592701.db2.gz BDJXPJLRPDJLEA-SVRRBLITSA-N 0 2 308.235 0.641 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)cs1 ZINC001032683397 665610940 /nfs/dbraw/zinc/61/09/40/665610940.db2.gz BCAAJGFGXWNUSV-QWRGUYRKSA-N 0 2 319.390 0.979 20 0 DCADLN O=C(CCc1nc[nH]n1)N1CCCC[C@@H]1C[NH2+]Cc1ccon1 ZINC001024916910 658828721 /nfs/dbraw/zinc/82/87/21/658828721.db2.gz BQERTBJUINQWEP-CYBMUJFWSA-N 0 2 318.381 0.896 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccccc1O ZINC001038073790 665667441 /nfs/dbraw/zinc/66/74/41/665667441.db2.gz KZPFAQQCPCCDFV-SECBINFHSA-N 0 2 303.322 0.220 20 0 DCADLN O=C(NC1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn[nH]1)c1cn[nH]n1 ZINC000973628938 659384187 /nfs/dbraw/zinc/38/41/87/659384187.db2.gz VLFDZMCFIGPDSN-PBINXNQUSA-N 0 2 315.337 0.093 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC=CCC1 ZINC001006716493 659401057 /nfs/dbraw/zinc/40/10/57/659401057.db2.gz QYZOIHNKIPMZIX-NEPJUHHUSA-N 0 2 305.382 0.947 20 0 DCADLN CC1(C)C[N@@H+](CCCO)C[C@H]1NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000974743308 659652950 /nfs/dbraw/zinc/65/29/50/659652950.db2.gz QNWJJWUACLYDBF-ZIAGYGMSSA-N 0 2 320.437 0.655 20 0 DCADLN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)c2cnc[nH]2)C1=O ZINC000725878172 659699717 /nfs/dbraw/zinc/69/97/17/659699717.db2.gz DQNZZKXCCZWOII-OAHLLOKOSA-N 0 2 313.317 0.912 20 0 DCADLN CC[C@]1(c2ccccc2)NC(=O)N([N-]C(=O)c2c[nH+]c[nH]2)C1=O ZINC000725878172 659699723 /nfs/dbraw/zinc/69/97/23/659699723.db2.gz DQNZZKXCCZWOII-OAHLLOKOSA-N 0 2 313.317 0.912 20 0 DCADLN O=C(CCn1cccc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982747701 661640321 /nfs/dbraw/zinc/64/03/21/661640321.db2.gz UMRAGWFNBRPVKT-UHFFFAOYSA-N 0 2 318.381 0.436 20 0 DCADLN Cc1cc(C)nc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038432629 662038435 /nfs/dbraw/zinc/03/84/35/662038435.db2.gz SOJJVHDEHKDKJI-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN C/C(=C/C(=O)N(C[C@@H](C)C(=O)[O-])C1CC1)C[NH+]1CCOCC1 ZINC000909453073 662112334 /nfs/dbraw/zinc/11/23/34/662112334.db2.gz CEESTJMWQNCPHW-KIWPFMIBSA-N 0 2 310.394 0.977 20 0 DCADLN O=C([C@H]1CC[C@@H](F)C1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029443217 662194178 /nfs/dbraw/zinc/19/41/78/662194178.db2.gz GYCVQFMTDHYYJD-WHOHXGKFSA-N 0 2 323.372 0.824 20 0 DCADLN Cc1cnc(C[NH2+]C[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC000984961995 662343057 /nfs/dbraw/zinc/34/30/57/662343057.db2.gz YHXHDGJCFAPBIT-JOCQHMNTSA-N 0 2 314.393 0.735 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCOC[C@H]3CC(=O)[O-])[nH+]c12 ZINC000909616818 662481412 /nfs/dbraw/zinc/48/14/12/662481412.db2.gz NQYXNBCPOBRXOE-CYBMUJFWSA-N 0 2 317.345 0.887 20 0 DCADLN CC(C)CN1C[C@H](C(=O)NNC(=O)c2ccccn2)CC1=O ZINC000029748197 662632296 /nfs/dbraw/zinc/63/22/96/662632296.db2.gz MYXNFYKCONANBA-LLVKDONJSA-N 0 2 304.350 0.347 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000263440321 662688588 /nfs/dbraw/zinc/68/85/88/662688588.db2.gz WSJBOBYKXNGCNP-ABAIWWIYSA-N 0 2 306.366 0.739 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000263440321 662688589 /nfs/dbraw/zinc/68/85/89/662688589.db2.gz WSJBOBYKXNGCNP-ABAIWWIYSA-N 0 2 306.366 0.739 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000940973721 665893103 /nfs/dbraw/zinc/89/31/03/665893103.db2.gz GJSFAHMBFBPBGV-HLTSFMKQSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000940973721 665893106 /nfs/dbraw/zinc/89/31/06/665893106.db2.gz GJSFAHMBFBPBGV-HLTSFMKQSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H]([NH2+]Cc3ccon3)C[C@H]2C)c1[O-] ZINC000988631829 662807897 /nfs/dbraw/zinc/80/78/97/662807897.db2.gz HUSQOSSOJOKFQS-KCJUWKMLSA-N 0 2 305.338 0.805 20 0 DCADLN C[C@@H]1C[C@@H]([NH2+]Cc2cnn(C)c2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC000988754290 662853761 /nfs/dbraw/zinc/85/37/61/662853761.db2.gz ZJAZLWSZCGGSDW-TZMCWYRMSA-N 0 2 316.409 0.855 20 0 DCADLN C[C@H]1C[C@H]([NH2+]CC(N)=O)CN1C(=O)c1cccc2[nH+]ccn21 ZINC000989178081 662888520 /nfs/dbraw/zinc/88/85/20/662888520.db2.gz CVTOIHYJRMCAJN-QWRGUYRKSA-N 0 2 301.350 0.012 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1C(=O)[C@@H]1CCC[N@@H+]1C ZINC000989494910 662953880 /nfs/dbraw/zinc/95/38/80/662953880.db2.gz IOMCTFPPBPOOKI-AGIUHOORSA-N 0 2 319.409 0.152 20 0 DCADLN O=C(N[C@@]12CCC[C@H]1N(Cc1n[nH]c(=O)[nH]1)CC2)C(F)F ZINC000989885071 662985841 /nfs/dbraw/zinc/98/58/41/662985841.db2.gz YQMKWNHHCQBBGC-JMCQJSRRSA-N 0 2 301.297 0.389 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccccn1 ZINC000990065296 662999525 /nfs/dbraw/zinc/99/95/25/662999525.db2.gz UIBYEAAOBJPZBO-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccccn1 ZINC000990065296 662999526 /nfs/dbraw/zinc/99/95/26/662999526.db2.gz UIBYEAAOBJPZBO-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cc[n+]([O-])cc1 ZINC000990152437 663009700 /nfs/dbraw/zinc/00/97/00/663009700.db2.gz ODIBXRXDFHHACJ-SECBINFHSA-N 0 2 321.230 0.161 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cc[n+]([O-])cc1 ZINC000990152437 663009701 /nfs/dbraw/zinc/00/97/01/663009701.db2.gz ODIBXRXDFHHACJ-SECBINFHSA-N 0 2 321.230 0.161 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnsn1 ZINC000990337876 663034687 /nfs/dbraw/zinc/03/46/87/663034687.db2.gz SWZZCYQKKNRLLV-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnsn1 ZINC000990337876 663034688 /nfs/dbraw/zinc/03/46/88/663034688.db2.gz SWZZCYQKKNRLLV-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN COCc1nc(C)c(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)s1 ZINC000899033113 663119787 /nfs/dbraw/zinc/11/97/87/663119787.db2.gz MELNCYNYTFZCMX-ZETCQYMHSA-N 0 2 312.351 0.525 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2ccc3c(n2)CCCN3)C(=O)N1C ZINC000899462071 663146092 /nfs/dbraw/zinc/14/60/92/663146092.db2.gz AMRUYOYBEHGAFT-QMMMGPOBSA-N 0 2 303.322 0.367 20 0 DCADLN COCc1cc(C[NH2+]Cc2cn(CC(=O)[O-])nn2)ccc1F ZINC000902230331 663397798 /nfs/dbraw/zinc/39/77/98/663397798.db2.gz CJBSONXPUCKKBU-UHFFFAOYSA-N 0 2 308.313 0.938 20 0 DCADLN CC[C@H]1CC(=O)N(CN2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)C1 ZINC000902614462 663422140 /nfs/dbraw/zinc/42/21/40/663422140.db2.gz HULHZTKEWUKBPO-WOPDTQHZSA-N 0 2 303.428 0.059 20 0 DCADLN O=C(c1cnon1)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000941290039 665964749 /nfs/dbraw/zinc/96/47/49/665964749.db2.gz BBOITSQPZDEPIH-QMMMGPOBSA-N 0 2 324.234 0.645 20 0 DCADLN O=C(c1cnon1)N1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000941290039 665964751 /nfs/dbraw/zinc/96/47/51/665964751.db2.gz BBOITSQPZDEPIH-QMMMGPOBSA-N 0 2 324.234 0.645 20 0 DCADLN Cc1nc(NS(=O)(=O)N=[S@](C)(=O)N(C)C)sc1C ZINC000903573201 663467209 /nfs/dbraw/zinc/46/72/09/663467209.db2.gz GZRVQTNOBDZWCH-KRWDZBQOSA-N 0 2 312.442 0.991 20 0 DCADLN O=S(=O)(Nc1ncc2c(n1)COC2)c1cnc2n1CCCC2 ZINC000903646150 663472321 /nfs/dbraw/zinc/47/23/21/663472321.db2.gz ZPZRXGLNOCDYSQ-UHFFFAOYSA-N 0 2 321.362 0.840 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccnn2[C@H]2CCOC2)cnn1C ZINC000903667129 663473031 /nfs/dbraw/zinc/47/30/31/663473031.db2.gz XTGBTSYKNJRQFD-JTQLQIEISA-N 0 2 311.367 0.687 20 0 DCADLN Cn1ncc(NS(=O)(=O)N=S2(=O)CCCC2)c1Cl ZINC000905105834 663531446 /nfs/dbraw/zinc/53/14/46/663531446.db2.gz GZCRLZBLWZVYIP-UHFFFAOYSA-N 0 2 312.804 0.992 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000908985642 663777781 /nfs/dbraw/zinc/77/77/81/663777781.db2.gz ALHAVSAFSSSUHN-GXTWGEPZSA-N 0 2 321.377 0.928 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000908990310 663777973 /nfs/dbraw/zinc/77/79/73/663777973.db2.gz VSVVSZOKTQNAAE-CYBMUJFWSA-N 0 2 309.366 0.835 20 0 DCADLN CSC[C@H](NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)C(=O)[O-] ZINC000909081220 663780430 /nfs/dbraw/zinc/78/04/30/663780430.db2.gz CMSISBGUACZKAK-QWRGUYRKSA-N 0 2 324.406 0.776 20 0 DCADLN O=C([O-])C12CC(C1)CN2C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000909082209 663780477 /nfs/dbraw/zinc/78/04/77/663780477.db2.gz NFADQCPGEQAZKS-BGMSHATGSA-N 0 2 316.361 0.919 20 0 DCADLN O=C([O-])c1csc(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)n1 ZINC000909258930 663789702 /nfs/dbraw/zinc/78/97/02/663789702.db2.gz RUGLPMFXQGXXBR-QMMMGPOBSA-N 0 2 306.347 0.917 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000909709800 663795830 /nfs/dbraw/zinc/79/58/30/663795830.db2.gz ALMVWLDGFXBCDP-BLLLJJGKSA-N 0 2 321.377 0.785 20 0 DCADLN COC1(C[C@H](NC(=O)[C@H]2CCc3c[nH+]cn3C2)C(=O)[O-])CCC1 ZINC000909894078 663817631 /nfs/dbraw/zinc/81/76/31/663817631.db2.gz QHGSBLLKSJKJMM-AAEUAGOBSA-N 0 2 321.377 0.974 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000910148022 663850131 /nfs/dbraw/zinc/85/01/31/663850131.db2.gz QCUOWEJPRYUTIX-QWRGUYRKSA-N 0 2 309.391 0.722 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000910594933 663910757 /nfs/dbraw/zinc/91/07/57/663910757.db2.gz XRGQLSOHOSXBRV-WCFLWFBJSA-N 0 2 307.350 0.584 20 0 DCADLN C[C@H]1CCN(C(=O)[C@@H]2CC[C@H](C(=O)[O-])O2)C[C@H]1n1cc[nH+]c1 ZINC000910687164 663923570 /nfs/dbraw/zinc/92/35/70/663923570.db2.gz LINFEFXUYLCPMF-QNWHQSFQSA-N 0 2 307.350 0.925 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCc1ccc(C(=O)[O-])nc1 ZINC000910980238 663964563 /nfs/dbraw/zinc/96/45/63/663964563.db2.gz SLNPAABDFROKEI-GHMZBOCLSA-N 0 2 300.318 0.933 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cc(C(=O)[O-])ccn1 ZINC000910990744 663966534 /nfs/dbraw/zinc/96/65/34/663966534.db2.gz ZYUAZCFOTLABRG-GFCCVEGCSA-N 0 2 307.350 0.620 20 0 DCADLN Cn1cncc1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911170427 664000568 /nfs/dbraw/zinc/00/05/68/664000568.db2.gz SXSHDSSQQYLYTG-UHFFFAOYSA-N 0 2 315.333 0.476 20 0 DCADLN COC1CC(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)C1 ZINC000911170039 664000653 /nfs/dbraw/zinc/00/06/53/664000653.db2.gz OGNZMWZOCZIEHF-UHFFFAOYSA-N 0 2 319.361 0.853 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@H]3CCCCO3)CC2)[nH+]c1 ZINC000911171398 664001115 /nfs/dbraw/zinc/00/11/15/664001115.db2.gz FAEIZGPIFHUXAE-CYBMUJFWSA-N 0 2 319.361 0.998 20 0 DCADLN O=C([O-])c1ccc(N2CCCN(C(=O)C3CC(=O)C3)CC2)[nH+]c1 ZINC000911304699 664023524 /nfs/dbraw/zinc/02/35/24/664023524.db2.gz GNVMWIJVCSGHCB-UHFFFAOYSA-N 0 2 317.345 0.798 20 0 DCADLN O=C([O-])c1ccc(N2CCCN(C(=O)[C@H]3C[C@@H](O)C3)CC2)[nH+]c1 ZINC000911309745 664025517 /nfs/dbraw/zinc/02/55/17/664025517.db2.gz ZARICRNVWKJLAG-BETUJISGSA-N 0 2 319.361 0.589 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)Nc1nnc(C(C)C)o1 ZINC000912557499 664188129 /nfs/dbraw/zinc/18/81/29/664188129.db2.gz AXUFFIUMPOWOLS-UHFFFAOYSA-N 0 2 315.311 0.504 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2[nH]cnc2C(F)(F)F)C(=O)N1C ZINC000912817353 664234333 /nfs/dbraw/zinc/23/43/33/664234333.db2.gz SNWSBCFDIRYHQH-BYPYZUCNSA-N 0 2 305.216 0.356 20 0 DCADLN C[C@@H]1CCC[C@@]1(C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950332103 664364772 /nfs/dbraw/zinc/36/47/72/664364772.db2.gz FNOAHWHOZJXLSU-IAQYHMDHSA-N 0 2 307.398 0.981 20 0 DCADLN CC(C)(F)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030047194 664403922 /nfs/dbraw/zinc/40/39/22/664403922.db2.gz KMHQYNKPRAKPJS-UHFFFAOYSA-N 0 2 307.329 0.071 20 0 DCADLN CC1CC(C(=O)NC2CN(Cc3cc(=O)n4[nH]ccc4n3)C2)C1 ZINC001030206077 664472681 /nfs/dbraw/zinc/47/26/81/664472681.db2.gz PCADOEPNHKDMCX-UHFFFAOYSA-N 0 2 315.377 0.369 20 0 DCADLN CC1(C)C[C@H]1C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030230038 664482240 /nfs/dbraw/zinc/48/22/40/664482240.db2.gz PZCIBXZCZCSRTB-LBPRGKRZSA-N 0 2 315.377 0.369 20 0 DCADLN CC[C@H]1CCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950099105 664492977 /nfs/dbraw/zinc/49/29/77/664492977.db2.gz GOSAZQLQAONWMW-NWDGAFQWSA-N 0 2 307.398 0.981 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)c(F)c1 ZINC001030446417 664548061 /nfs/dbraw/zinc/54/80/61/664548061.db2.gz GIEKDDGBWPDDRK-UHFFFAOYSA-N 0 2 309.276 0.403 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000913560781 664642242 /nfs/dbraw/zinc/64/22/42/664642242.db2.gz GJKRMSAXSRCDDG-QNWHQSFQSA-N 0 2 314.345 0.803 20 0 DCADLN Cc1cccc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030883981 664694053 /nfs/dbraw/zinc/69/40/53/664694053.db2.gz DFXXKFTVOQIKTK-UHFFFAOYSA-N 0 2 301.350 0.362 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001007114841 664730597 /nfs/dbraw/zinc/73/05/97/664730597.db2.gz VIHDURZDHNIADY-VXGBXAGGSA-N 0 2 318.381 0.654 20 0 DCADLN CC(C)c1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001031048286 664774584 /nfs/dbraw/zinc/77/45/84/664774584.db2.gz HNNDDTFKOQEHHC-UHFFFAOYSA-N 0 2 306.326 0.236 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992943989 664785758 /nfs/dbraw/zinc/78/57/58/664785758.db2.gz AMJYNGCBLUYQCT-QWRGUYRKSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccncc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992951621 664786153 /nfs/dbraw/zinc/78/61/53/664786153.db2.gz OBPPWCJRJNSPGE-CMPLNLGQSA-N 0 2 316.365 0.688 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1nc2ccccc2[nH]1 ZINC000730589518 664795774 /nfs/dbraw/zinc/79/57/74/664795774.db2.gz UEUFTAACVWMKPE-UHFFFAOYSA-N 0 2 323.316 0.264 20 0 DCADLN CC(C)c1noc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000730659737 664799718 /nfs/dbraw/zinc/79/97/18/664799718.db2.gz ZLERPEHQZMLBOX-UHFFFAOYSA-N 0 2 318.293 0.321 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccn[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993082441 664803529 /nfs/dbraw/zinc/80/35/29/664803529.db2.gz BTYZUTSNPWOVTD-IUCAKERBSA-N 0 2 305.342 0.016 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2cnccc2c1 ZINC001031211620 664886840 /nfs/dbraw/zinc/88/68/40/664886840.db2.gz OHGZKOKARJOLFZ-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN Cc1ncc(C(=O)NN2C(=O)N[C@@](C)(c3ccccc3)C2=O)[nH]1 ZINC000731864691 664887095 /nfs/dbraw/zinc/88/70/95/664887095.db2.gz CXSZLQRSTVXJSR-HNNXBMFYSA-N 0 2 313.317 0.830 20 0 DCADLN Cc1[nH]c(C(=O)[N-]N2C(=O)N[C@@](C)(c3ccccc3)C2=O)c[nH+]1 ZINC000731864691 664887096 /nfs/dbraw/zinc/88/70/96/664887096.db2.gz CXSZLQRSTVXJSR-HNNXBMFYSA-N 0 2 313.317 0.830 20 0 DCADLN Cc1ncc(C(=O)NN2C(=O)N[C@](C)(c3ccccc3)C2=O)[nH]1 ZINC000731864692 664887171 /nfs/dbraw/zinc/88/71/71/664887171.db2.gz CXSZLQRSTVXJSR-OAHLLOKOSA-N 0 2 313.317 0.830 20 0 DCADLN Cc1[nH]c(C(=O)[N-]N2C(=O)N[C@](C)(c3ccccc3)C2=O)c[nH+]1 ZINC000731864692 664887174 /nfs/dbraw/zinc/88/71/74/664887174.db2.gz CXSZLQRSTVXJSR-OAHLLOKOSA-N 0 2 313.317 0.830 20 0 DCADLN CC[C@@H]1CO[C@H](C)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000731962330 664895236 /nfs/dbraw/zinc/89/52/36/664895236.db2.gz JMBOJBOXHKVWDZ-NXEZZACHSA-N 0 2 305.338 0.097 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2ccccc2n1 ZINC001031295934 664969407 /nfs/dbraw/zinc/96/94/07/664969407.db2.gz FLAXYSVMUHJLOV-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN C[C@H]1[C@H](NC(=O)Cc2ccco2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994049726 665031905 /nfs/dbraw/zinc/03/19/05/665031905.db2.gz HXBFOULKSOUMPY-CMPLNLGQSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1[C@H](NC(=O)Cc2ccco2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000994049726 665031908 /nfs/dbraw/zinc/03/19/08/665031908.db2.gz HXBFOULKSOUMPY-CMPLNLGQSA-N 0 2 319.365 0.815 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000994156098 665042989 /nfs/dbraw/zinc/04/29/89/665042989.db2.gz GAODFIJAMRTPIC-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnc[nH]c1=O ZINC000994439582 665106735 /nfs/dbraw/zinc/10/67/35/665106735.db2.gz NSZJLELXPROIQT-ZETCQYMHSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnc[nH]c1=O ZINC000994439582 665106738 /nfs/dbraw/zinc/10/67/38/665106738.db2.gz NSZJLELXPROIQT-ZETCQYMHSA-N 0 2 322.218 0.023 20 0 DCADLN Cc1ccccc1CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031368558 665120561 /nfs/dbraw/zinc/12/05/61/665120561.db2.gz FHYLMIMOGSGAFH-UHFFFAOYSA-N 0 2 301.350 0.362 20 0 DCADLN C[C@@](O)(CNS(=O)(=O)N=S(C)(C)=O)c1cccc(F)c1 ZINC000921400227 665300645 /nfs/dbraw/zinc/30/06/45/665300645.db2.gz QCQGDSMEJRJBIY-LLVKDONJSA-N 0 2 324.399 0.595 20 0 DCADLN CC(C)O[C@]1(CNS(=O)(=O)N=S(C)(C)=O)CCOC1 ZINC000921460985 665303279 /nfs/dbraw/zinc/30/32/79/665303279.db2.gz ITJDGXRLCIUGRZ-JTQLQIEISA-N 0 2 314.429 0.133 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1C=CC=CC=C1 ZINC001031702347 665313746 /nfs/dbraw/zinc/31/37/46/665313746.db2.gz AZJARTINWGOWJH-UHFFFAOYSA-N 0 2 301.350 0.357 20 0 DCADLN CC1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CCCC1 ZINC001032547200 665334556 /nfs/dbraw/zinc/33/45/56/665334556.db2.gz UIVHRPKKCCBQNW-QWRGUYRKSA-N 0 2 305.382 0.876 20 0 DCADLN C[C@@]1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)C=CCC1 ZINC001032567480 665360855 /nfs/dbraw/zinc/36/08/55/665360855.db2.gz AAOVROJZJLXOIB-ZIBATOQPSA-N 0 2 303.366 0.652 20 0 DCADLN C[C@H](NS(=O)(=O)c1cccc(F)c1[N+](=O)[O-])c1nnc[nH]1 ZINC000183198287 665996503 /nfs/dbraw/zinc/99/65/03/665996503.db2.gz MGXUMAGVWPKSIY-LURJTMIESA-N 0 2 315.286 0.892 20 0 DCADLN Cc1ncc(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001001201396 666015603 /nfs/dbraw/zinc/01/56/03/666015603.db2.gz VCDJRSVMODBNGP-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN C[C@@H]1OCC[C@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001304763 666032752 /nfs/dbraw/zinc/03/27/52/666032752.db2.gz KAOFBOPXZWKPGF-CMPLNLGQSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1OCC[C@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001304763 666032754 /nfs/dbraw/zinc/03/27/54/666032754.db2.gz KAOFBOPXZWKPGF-CMPLNLGQSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1OCC[C@@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001304765 666032758 /nfs/dbraw/zinc/03/27/58/666032758.db2.gz KAOFBOPXZWKPGF-JQWIXIFHSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1OCC[C@@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001304765 666032761 /nfs/dbraw/zinc/03/27/61/666032761.db2.gz KAOFBOPXZWKPGF-JQWIXIFHSA-N 0 2 321.381 0.184 20 0 DCADLN Cc1c[nH]nc1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001001794769 666102944 /nfs/dbraw/zinc/10/29/44/666102944.db2.gz WHAGZZUZFBFFBO-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1c[nH]nc1C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001001794769 666102948 /nfs/dbraw/zinc/10/29/48/666102948.db2.gz WHAGZZUZFBFFBO-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cn1ccc(C[NH+]2CCC(CNC(=O)c3nnc[nH]3)CC2)n1 ZINC001001953174 666114694 /nfs/dbraw/zinc/11/46/94/666114694.db2.gz UZQCNLRDDFPBRC-UHFFFAOYSA-N 0 2 303.370 0.180 20 0 DCADLN Cn1ccc(C[NH+]2CCC(CNC(=O)c3ncn[nH]3)CC2)n1 ZINC001001953174 666114695 /nfs/dbraw/zinc/11/46/95/666114695.db2.gz UZQCNLRDDFPBRC-UHFFFAOYSA-N 0 2 303.370 0.180 20 0 DCADLN Cc1cnc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)cn1 ZINC001002267337 666147961 /nfs/dbraw/zinc/14/79/61/666147961.db2.gz FSJXRIPPQJYEEA-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(NC1CCN(Cc2nnc(C3CC3)[nH]2)CC1)c1cnn[nH]1 ZINC001003231337 666285470 /nfs/dbraw/zinc/28/54/70/666285470.db2.gz MEFZRFYQWTWECG-UHFFFAOYSA-N 0 2 316.369 0.195 20 0 DCADLN C[C@@H]1COCC[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003581694 666331391 /nfs/dbraw/zinc/33/13/91/666331391.db2.gz AVJXULGZGKEDSU-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cccc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001032926700 666395036 /nfs/dbraw/zinc/39/50/36/666395036.db2.gz SRVSYNWBSNGONN-NSHDSACASA-N 0 2 316.365 0.560 20 0 DCADLN Cc1conc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003918012 666404810 /nfs/dbraw/zinc/40/48/10/666404810.db2.gz PCNVTRHZEFYOBJ-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN CN(C(=O)[C@@H]1CCCCO1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033018392 666428947 /nfs/dbraw/zinc/42/89/47/666428947.db2.gz YIOLSLNQLDMQHU-MNOVXSKESA-N 0 2 309.370 0.112 20 0 DCADLN O=C(C1CC1)N1C[C@@H]2C[C@H]1CN2Cc1cc(=O)n2[nH]ccc2n1 ZINC001032241117 666582007 /nfs/dbraw/zinc/58/20/07/666582007.db2.gz WAGPWDZTEHOYTG-STQMWFEESA-N 0 2 313.361 0.218 20 0 DCADLN CN(C(=O)c1ccsn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033181536 666608876 /nfs/dbraw/zinc/60/88/76/666608876.db2.gz GRUGOMUAEODIGL-MRVPVSSYSA-N 0 2 308.367 0.313 20 0 DCADLN Cc1cc(C(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c(C)[nH]1 ZINC001033460061 666719188 /nfs/dbraw/zinc/71/91/88/666719188.db2.gz RUVGIMVXNUOMNV-NSHDSACASA-N 0 2 318.381 0.802 20 0 DCADLN Cc1cc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c(C)[nH]1 ZINC001033460061 666719190 /nfs/dbraw/zinc/71/91/90/666719190.db2.gz RUVGIMVXNUOMNV-NSHDSACASA-N 0 2 318.381 0.802 20 0 DCADLN CCN(C(=O)[C@H]1CCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033742794 666813641 /nfs/dbraw/zinc/81/36/41/666813641.db2.gz PGQDWKQJNIMEBX-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN CCN(C(=O)[C@H]1CCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033742794 666813644 /nfs/dbraw/zinc/81/36/44/666813644.db2.gz PGQDWKQJNIMEBX-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN CCN(C(=O)c1cnns1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033759939 666816188 /nfs/dbraw/zinc/81/61/88/666816188.db2.gz CYBWHSRUOUEPEC-MRVPVSSYSA-N 0 2 323.382 0.098 20 0 DCADLN COc1ncc(C(=O)Nn2c(C)nc3sccc3c2=O)cn1 ZINC000733912163 666825250 /nfs/dbraw/zinc/82/52/50/666825250.db2.gz GBAIYIKLUQBRGY-UHFFFAOYSA-N 0 2 317.330 0.949 20 0 DCADLN O=C(c1ccnc(F)c1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032310188 666842583 /nfs/dbraw/zinc/84/25/83/666842583.db2.gz NOGXMXBSOQLBFC-UWVGGRQHSA-N 0 2 318.312 0.143 20 0 DCADLN CCN(C(=O)c1ncccn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033998164 666891019 /nfs/dbraw/zinc/89/10/19/666891019.db2.gz DIICVASVKHUGRM-SNVBAGLBSA-N 0 2 317.353 0.037 20 0 DCADLN CC1(C)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCO1 ZINC000735256774 666973777 /nfs/dbraw/zinc/97/37/77/666973777.db2.gz XZTDEGZFYNDSNE-UHFFFAOYSA-N 0 2 305.338 0.099 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(c3ncco3)CC2)S1 ZINC000862110776 667018339 /nfs/dbraw/zinc/01/83/39/667018339.db2.gz VPTRXDOUAQUAQA-SECBINFHSA-N 0 2 308.363 0.937 20 0 DCADLN C[C@]1(C(=O)N[C@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001034466788 667030935 /nfs/dbraw/zinc/03/09/35/667030935.db2.gz IBTWREMRTBMLIK-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(C(=O)N[C@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001034466788 667030942 /nfs/dbraw/zinc/03/09/42/667030942.db2.gz IBTWREMRTBMLIK-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H]2CC[C@@H](C1)S2 ZINC000862726743 667074619 /nfs/dbraw/zinc/07/46/19/667074619.db2.gz ISDIJAPDXOXVGV-ZJUUUORDSA-N 0 2 319.390 0.568 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000863399291 667139354 /nfs/dbraw/zinc/13/93/54/667139354.db2.gz CORSRNVGMKYKET-UHFFFAOYSA-N 0 2 323.378 0.453 20 0 DCADLN O=C(NN1CCOCC1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000863855619 667190327 /nfs/dbraw/zinc/19/03/27/667190327.db2.gz KYDSZGPFGHKTEF-UHFFFAOYSA-N 0 2 321.255 0.435 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1C[C@H]2CC[C@@H](C1)S2 ZINC000888358865 667323583 /nfs/dbraw/zinc/32/35/83/667323583.db2.gz ICBKWVQKORCWNP-PBINXNQUSA-N 0 2 319.390 0.614 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035641442 667396514 /nfs/dbraw/zinc/39/65/14/667396514.db2.gz MBMGWFMLYFFABM-GHMZBOCLSA-N 0 2 305.382 0.591 20 0 DCADLN CC1(NS(=O)(=O)N=[S@@](C)(=O)c2ccccc2F)COC1 ZINC000867632037 667478232 /nfs/dbraw/zinc/47/82/32/667478232.db2.gz UNHIZKSFSLXJSY-IBGZPJMESA-N 0 2 322.383 0.906 20 0 DCADLN CC1(NS(=O)(=O)N=[S@](C)(=O)c2ccccc2F)COC1 ZINC000867632040 667478405 /nfs/dbraw/zinc/47/84/05/667478405.db2.gz UNHIZKSFSLXJSY-LJQANCHMSA-N 0 2 322.383 0.906 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1nnc(CC(F)(F)F)o1 ZINC000775103450 667588047 /nfs/dbraw/zinc/58/80/47/667588047.db2.gz XZOQDZTXMFBRNW-UHFFFAOYSA-N 0 2 311.245 0.709 20 0 DCADLN C[C@H]1OCC[C@@H]1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006202342 667580480 /nfs/dbraw/zinc/58/04/80/667580480.db2.gz LZRQFNAYPNFRNK-PWSUYJOCSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(Cc1cn2cc(F)ccc2[nH+]1)[N-]S(=O)(=O)CC1CC1 ZINC000870202387 667719389 /nfs/dbraw/zinc/71/93/89/667719389.db2.gz PKJGXFINRCTXSK-UHFFFAOYSA-N 0 2 311.338 0.872 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCOCC(F)(F)F)S1 ZINC000742532234 667792896 /nfs/dbraw/zinc/79/28/96/667792896.db2.gz UWFRAYDYTJDNSI-ZCFIWIBFSA-N 0 2 313.301 0.628 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCOc2ccc(F)cc2)S1 ZINC000742527807 667793083 /nfs/dbraw/zinc/79/30/83/667793083.db2.gz NLNUTXFGFTXMAS-JTQLQIEISA-N 0 2 311.338 0.877 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cn3ccsc3n2)S1 ZINC000742521859 667793183 /nfs/dbraw/zinc/79/31/83/667793183.db2.gz JYRVQKKMPIUPIR-SSDOTTSWSA-N 0 2 309.376 0.568 20 0 DCADLN COC(=O)[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1ccccc1 ZINC000742605447 667795006 /nfs/dbraw/zinc/79/50/06/667795006.db2.gz SXQOXJDRQSNKOI-MWLCHTKSSA-N 0 2 321.358 0.573 20 0 DCADLN C[C@](NC(=O)C[C@@H]1SC(=N)NC1=O)(C(N)=O)c1ccccc1 ZINC000742602999 667795310 /nfs/dbraw/zinc/79/53/10/667795310.db2.gz DBNCXUWKVBQNIO-LKFCYVNXSA-N 0 2 320.374 0.060 20 0 DCADLN C[S@](=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000742659684 667796247 /nfs/dbraw/zinc/79/62/47/667796247.db2.gz OGQXDFZLSBFTBP-LXGOIASLSA-N 0 2 311.388 0.919 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCCC[C@H]2c2ncon2)S1 ZINC000742692482 667797359 /nfs/dbraw/zinc/79/73/59/667797359.db2.gz LUWOFQNZEOOOMP-JGVFFNPUSA-N 0 2 309.351 0.680 20 0 DCADLN CCOC(=O)C1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCC1 ZINC000742697136 667797362 /nfs/dbraw/zinc/79/73/62/667797362.db2.gz IBETZPAAKRUOAV-QMMMGPOBSA-N 0 2 313.379 0.392 20 0 DCADLN CC(C)CC[C@](C)(O)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000743718313 667814837 /nfs/dbraw/zinc/81/48/37/667814837.db2.gz OMWPDPIWCMABKO-HNNXBMFYSA-N 0 2 321.381 0.375 20 0 DCADLN O=C(NC[C@@]12COC(=O)N1CCOC2)C(F)C(F)(F)F ZINC000871407205 667817339 /nfs/dbraw/zinc/81/73/39/667817339.db2.gz HXGODAXPFHJUJC-IMTBSYHQSA-N 0 2 300.208 0.224 20 0 DCADLN O=C(NC[C@@]12COC(=O)N1CCOC2)[C@H](F)C(F)(F)F ZINC000871407205 667817340 /nfs/dbraw/zinc/81/73/40/667817340.db2.gz HXGODAXPFHJUJC-IMTBSYHQSA-N 0 2 300.208 0.224 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3cncn3)nc2)S1 ZINC000745427894 667847779 /nfs/dbraw/zinc/84/77/79/667847779.db2.gz PCBZIXJOMKNXJD-QMMMGPOBSA-N 0 2 317.334 0.157 20 0 DCADLN COC[C@H](Cc1ccccc1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000746657091 667884864 /nfs/dbraw/zinc/88/48/64/667884864.db2.gz LFXMCOKWRICACD-RYUDHWBXSA-N 0 2 321.402 0.917 20 0 DCADLN CS(=O)(=O)C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC000887754914 667908774 /nfs/dbraw/zinc/90/87/74/667908774.db2.gz OOGCTIRCJZWSGM-RNFRBKRXSA-N 0 2 307.265 0.159 20 0 DCADLN CS(=O)(=O)C[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC000887754914 667908777 /nfs/dbraw/zinc/90/87/77/667908777.db2.gz OOGCTIRCJZWSGM-RNFRBKRXSA-N 0 2 307.265 0.159 20 0 DCADLN CCCn1cc(NS(=O)(=O)c2cnn(CCOC)c2)cn1 ZINC000747793035 667912322 /nfs/dbraw/zinc/91/23/22/667912322.db2.gz XHIHMZZUBSJPIL-UHFFFAOYSA-N 0 2 313.383 0.937 20 0 DCADLN C[C@@H](O)c1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000748558144 667932668 /nfs/dbraw/zinc/93/26/68/667932668.db2.gz YMJHUTSHLODXFE-LDYMZIIASA-N 0 2 307.375 0.913 20 0 DCADLN COC(=O)c1cc(NC(=O)CC2SC(=N)NC2=O)cn1C ZINC000749447067 667955463 /nfs/dbraw/zinc/95/54/63/667955463.db2.gz TZWIHOBZXPBACZ-MRVPVSSYSA-N 0 2 310.335 0.307 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccc1 ZINC000749996353 667968715 /nfs/dbraw/zinc/96/87/15/667968715.db2.gz LRSSCQNFYXLBSR-IINYFYTJSA-N 0 2 307.375 0.567 20 0 DCADLN O=C(COCCNC(=O)c1ccccc1)OCc1n[nH]c(=O)[nH]1 ZINC000752335294 668015885 /nfs/dbraw/zinc/01/58/85/668015885.db2.gz ZXIPYYGOGMUVQS-UHFFFAOYSA-N 0 2 320.305 0.000 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2CSCCS2)S1 ZINC000755499771 668061658 /nfs/dbraw/zinc/06/16/58/668061658.db2.gz URVLHHLLKOQNMD-RNFRBKRXSA-N 0 2 305.450 0.508 20 0 DCADLN C[C@@H]1N(C(=O)C[C@H]2SC(=N)NC2=O)CCC12CCOCC2 ZINC000756831160 668102274 /nfs/dbraw/zinc/10/22/74/668102274.db2.gz LOVMJGIWBWBLMI-VHSXEESVSA-N 0 2 311.407 0.960 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N(CCO)CCc2ccccc2)S1 ZINC000757172181 668115647 /nfs/dbraw/zinc/11/56/47/668115647.db2.gz DTGSRMPVLYBFOX-LBPRGKRZSA-N 0 2 321.402 0.606 20 0 DCADLN COC(=O)CCCO[N-]C(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000839558134 668119756 /nfs/dbraw/zinc/11/97/56/668119756.db2.gz PPYMWPHRXDHOBS-UHFFFAOYSA-N 0 2 323.349 0.946 20 0 DCADLN O=C(N[C@H]1C[C@H](C(=O)[O-])C1)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000874396715 668180028 /nfs/dbraw/zinc/18/00/28/668180028.db2.gz KAIOTOPREBFZOV-IJLUTSLNSA-N 0 2 304.350 0.823 20 0 DCADLN Cn1c(Cl)ncc1S(=O)(=O)Nc1cccc(C(N)=O)c1 ZINC000759709659 668233244 /nfs/dbraw/zinc/23/32/44/668233244.db2.gz WHYLTEMTDCLOGQ-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN NC(=O)Cn1cnc(-c2noc(-c3cccc(Cl)c3O)n2)n1 ZINC000761939576 668340754 /nfs/dbraw/zinc/34/07/54/668340754.db2.gz PUSWVAKZIPXQFG-UHFFFAOYSA-N 0 2 320.696 0.839 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)NC(=O)CO2 ZINC000762206321 668351206 /nfs/dbraw/zinc/35/12/06/668351206.db2.gz GZPKELXYSAUFCN-UHFFFAOYSA-N 0 2 304.266 0.163 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1CCCC2(CC2)C1 ZINC000888702710 668422243 /nfs/dbraw/zinc/42/22/43/668422243.db2.gz PBDZCXMBHOQMFZ-JTQLQIEISA-N 0 2 301.350 0.910 20 0 DCADLN CCNC(=O)CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000764228679 668440562 /nfs/dbraw/zinc/44/05/62/668440562.db2.gz NSOFUEXNRNWZRK-JTQLQIEISA-N 0 2 313.295 0.557 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCCN(CC(F)F)CC2)S1 ZINC000767117157 668560400 /nfs/dbraw/zinc/56/04/00/668560400.db2.gz AIEHBOPDFXWMEJ-MRVPVSSYSA-N 0 2 320.365 0.342 20 0 DCADLN CCC(=O)N1CCCC[C@H]1C(=O)NCCc1n[nH]c(=S)o1 ZINC000773088793 668792786 /nfs/dbraw/zinc/79/27/86/668792786.db2.gz STEAJTMTDFMUMW-VIFPVBQESA-N 0 2 312.395 0.808 20 0 DCADLN COC(=O)C[C@H]1CCCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000773274220 668798653 /nfs/dbraw/zinc/79/86/53/668798653.db2.gz AGVGRKPURQMKOM-BDAKNGLRSA-N 0 2 313.379 0.487 20 0 DCADLN CCn1c2ccccc2n(CC(=O)OCc2n[nH]c(=O)[nH]2)c1=O ZINC000774909249 668850862 /nfs/dbraw/zinc/85/08/62/668850862.db2.gz LUKYJVXLSFXRBG-UHFFFAOYSA-N 0 2 317.305 0.390 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)C1CCN(c2cnccn2)CC1 ZINC000774914734 668851048 /nfs/dbraw/zinc/85/10/48/668851048.db2.gz QMTNBHUSZNVBIV-UHFFFAOYSA-N 0 2 304.310 0.260 20 0 DCADLN CC[C@@H](C)C(=O)N1CCC[C@@H](C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000774940471 668851850 /nfs/dbraw/zinc/85/18/50/668851850.db2.gz BTCYBCCTBINPIX-NXEZZACHSA-N 0 2 310.354 0.838 20 0 DCADLN Cc1nn(-c2ccc(F)cc2)nc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774957557 668852416 /nfs/dbraw/zinc/85/24/16/668852416.db2.gz FJHGQRFGQSYSGT-UHFFFAOYSA-N 0 2 318.268 0.896 20 0 DCADLN C[C@@H]1Oc2c(cccc2C(=O)OCc2n[nH]c(=O)[nH]2)NC1=O ZINC000774948313 668852473 /nfs/dbraw/zinc/85/24/73/668852473.db2.gz SHXMIJQUQVIONU-LURJTMIESA-N 0 2 304.262 0.587 20 0 DCADLN O=C(NCCCN1C(=O)CNC1=O)c1cccc(Cl)c1O ZINC000775726608 668866964 /nfs/dbraw/zinc/86/69/64/668866964.db2.gz VNLJHHNKHUGRIY-UHFFFAOYSA-N 0 2 311.725 0.717 20 0 DCADLN C[C@H](CCO)C1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCC1 ZINC000775889185 668868768 /nfs/dbraw/zinc/86/87/68/668868768.db2.gz GQMZRIHIVYPSLH-ZJUUUORDSA-N 0 2 313.423 0.848 20 0 DCADLN CO[C@@H]1[C@H](C)[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000775973834 668869866 /nfs/dbraw/zinc/86/98/66/668869866.db2.gz ASONMDBOQGMIPF-JFUSQASVSA-N 0 2 319.365 0.247 20 0 DCADLN CO[C@@H]1[C@@H](C)[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000775973837 668869870 /nfs/dbraw/zinc/86/98/70/668869870.db2.gz ASONMDBOQGMIPF-XXILOJSOSA-N 0 2 319.365 0.247 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cc(-c3ccncc3)on2)C1=O ZINC000776247247 668874170 /nfs/dbraw/zinc/87/41/70/668874170.db2.gz WYLABOAIICOKPS-UHFFFAOYSA-N 0 2 301.262 0.275 20 0 DCADLN CC(C)C[C@H](C)S(=O)(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000776471064 668876657 /nfs/dbraw/zinc/87/66/57/668876657.db2.gz MZFAEKVGQUMRLE-ONGXEEELSA-N 0 2 303.384 0.759 20 0 DCADLN CC(C)C[C@H](C)S(=O)(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000776471064 668876659 /nfs/dbraw/zinc/87/66/59/668876659.db2.gz MZFAEKVGQUMRLE-ONGXEEELSA-N 0 2 303.384 0.759 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCCOC(N)=O)=N2)cc1 ZINC000779546359 668912946 /nfs/dbraw/zinc/91/29/46/668912946.db2.gz XDRQFWIPONHIOC-UHFFFAOYSA-N 0 2 320.305 0.826 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(CC[S@](=O)C(F)(F)F)C2)[nH]1 ZINC000930499063 669029836 /nfs/dbraw/zinc/02/98/36/669029836.db2.gz UIEMDLKCMQXKRZ-GLEHDBDLSA-N 0 2 312.317 0.958 20 0 DCADLN O=C(NN1CCCNC1=O)c1csc(-c2ncccn2)n1 ZINC000783599435 669162579 /nfs/dbraw/zinc/16/25/79/669162579.db2.gz FKPFDNMHZHLJTQ-UHFFFAOYSA-N 0 2 304.335 0.660 20 0 DCADLN CS(=O)(=O)c1csc(C(=O)NN2CCCNC2=O)c1 ZINC000783604868 669163756 /nfs/dbraw/zinc/16/37/56/669163756.db2.gz DDNKZVGJGLVUKM-UHFFFAOYSA-N 0 2 303.365 0.212 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCC[C@@H]1CCCCO1 ZINC000784954744 669232748 /nfs/dbraw/zinc/23/27/48/669232748.db2.gz IWODANMYVBWNJJ-JTQLQIEISA-N 0 2 306.322 0.574 20 0 DCADLN CCO[N-]C(=O)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000785358336 669249974 /nfs/dbraw/zinc/24/99/74/669249974.db2.gz ITOOQNPXRMFVSO-UHFFFAOYSA-N 0 2 308.338 0.233 20 0 DCADLN Cc1noc([C@H](C)n2cc(CNC(=O)C(F)(F)F)nn2)n1 ZINC000881189299 669302466 /nfs/dbraw/zinc/30/24/66/669302466.db2.gz JOXVZVCVNWSYMN-YFKPBYRVSA-N 0 2 304.232 0.757 20 0 DCADLN CCN1C[C@@H](Cn2cc(CNC(=O)C(F)(F)F)nn2)OC1=O ZINC000881358724 669327989 /nfs/dbraw/zinc/32/79/89/669327989.db2.gz DKPFZPJMCICZII-QMMMGPOBSA-N 0 2 321.259 0.297 20 0 DCADLN C[C@H]1C[C@H](NC(=O)c2cnns2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000947099809 669498837 /nfs/dbraw/zinc/49/88/37/669498837.db2.gz SGOUSBBKDYLKGB-JGVFFNPUSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@H]1C[C@H](NC(=O)c2cnns2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000947099809 669498840 /nfs/dbraw/zinc/49/88/40/669498840.db2.gz SGOUSBBKDYLKGB-JGVFFNPUSA-N 0 2 323.382 0.145 20 0 DCADLN CCn1ccc(CN(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000790989681 669586322 /nfs/dbraw/zinc/58/63/22/669586322.db2.gz APHXPCYBPZHQCW-UHFFFAOYSA-N 0 2 314.349 0.551 20 0 DCADLN COc1cnc([C@H]2CCCN2C[C@H](O)C2(O)CCCC2)[nH]c1=O ZINC000934273436 669590293 /nfs/dbraw/zinc/59/02/93/669590293.db2.gz MXNBHNJTLQQJRS-YPMHNXCESA-N 0 2 323.393 0.994 20 0 DCADLN C[C@](O)(CNC(=O)C[C@H]1SC(=N)NC1=O)C1CCCCC1 ZINC000791072274 669591982 /nfs/dbraw/zinc/59/19/82/669591982.db2.gz LNROJPWZBZHQTQ-YGRLFVJLSA-N 0 2 313.423 0.990 20 0 DCADLN Nc1nc(N2CCC[C@H](OC(=O)CCc3nc[nH]n3)C2)cc[nH+]1 ZINC000791105912 669593384 /nfs/dbraw/zinc/59/33/84/669593384.db2.gz FQSWKJILPKVCNQ-JTQLQIEISA-N 0 2 317.353 0.322 20 0 DCADLN C[C@H](O)C[C@@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000791157061 669595404 /nfs/dbraw/zinc/59/54/04/669595404.db2.gz GNIGFYMTXLFQMJ-QWRGUYRKSA-N 0 2 319.365 0.223 20 0 DCADLN COC(=O)[C@]1(O)CCN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC000882846415 669602854 /nfs/dbraw/zinc/60/28/54/669602854.db2.gz MDQJADJYCAHJTL-ZDUSSCGKSA-N 0 2 301.245 0.420 20 0 DCADLN C[C@@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccnn1C ZINC000791924512 669639896 /nfs/dbraw/zinc/63/98/96/669639896.db2.gz KIIKDJNJRKWGST-MRVPVSSYSA-N 0 2 302.294 0.109 20 0 DCADLN COc1cccc(C2=NO[C@H](C(=O)NCc3n[nH]c(=O)[nH]3)C2)c1 ZINC000792215103 669655589 /nfs/dbraw/zinc/65/55/89/669655589.db2.gz WOUZRBPYHOJNME-NSHDSACASA-N 0 2 317.305 0.328 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)NC1CCCC1 ZINC000883414387 669681610 /nfs/dbraw/zinc/68/16/10/669681610.db2.gz VQCMOVPUDGTDBT-JTQLQIEISA-N 0 2 300.355 0.383 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)NC1CCCC1 ZINC000883414387 669681612 /nfs/dbraw/zinc/68/16/12/669681612.db2.gz VQCMOVPUDGTDBT-JTQLQIEISA-N 0 2 300.355 0.383 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@H]1CCO[C@@H]1C ZINC000793432976 669717663 /nfs/dbraw/zinc/71/76/63/669717663.db2.gz XLFGPRTXWCFTDW-GHMZBOCLSA-N 0 2 305.334 0.842 20 0 DCADLN CCc1cc(CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)n(C)n1 ZINC000935748366 669767355 /nfs/dbraw/zinc/76/73/55/669767355.db2.gz ARZQBOAFHOGUBK-UHFFFAOYSA-N 0 2 318.381 0.755 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cccc3c2COC3=O)nn1C ZINC000794069531 669777921 /nfs/dbraw/zinc/77/79/21/669777921.db2.gz JFQWZKZMKZZGFF-UHFFFAOYSA-N 0 2 308.319 0.595 20 0 DCADLN CC(C)(NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)N1CCCC1 ZINC000794407226 669832146 /nfs/dbraw/zinc/83/21/46/669832146.db2.gz RQIORXSBLSTLIT-QMMMGPOBSA-N 0 2 312.395 0.060 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@@H]1CCC[C@@H](O)C1 ZINC000795411445 669887276 /nfs/dbraw/zinc/88/72/76/669887276.db2.gz DTMJKDKIDKBXFH-VXGBXAGGSA-N 0 2 319.361 0.968 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@@H](c3ccccn3)C2)S1 ZINC000799064599 670035656 /nfs/dbraw/zinc/03/56/56/670035656.db2.gz YJBBRZOXGDQKIL-KOLCDFICSA-N 0 2 304.375 0.954 20 0 DCADLN O=C(N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1(C(F)(F)F)CC1 ZINC000950659033 670086539 /nfs/dbraw/zinc/08/65/39/670086539.db2.gz KWEOWBHVLIVSNF-UHFFFAOYSA-N 0 2 319.287 0.497 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCc2cc(F)ccc21 ZINC000801075865 670111178 /nfs/dbraw/zinc/11/11/78/670111178.db2.gz NWKRYCBHILYECO-UHFFFAOYSA-N 0 2 313.292 0.800 20 0 DCADLN CC(C)[C@H]1OCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948698585 670160501 /nfs/dbraw/zinc/16/05/01/670160501.db2.gz LLIVRRBAYSRTDT-WCQYABFASA-N 0 2 323.397 0.216 20 0 DCADLN O=C(CC[C@H]1CCCCO1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950976251 670164723 /nfs/dbraw/zinc/16/47/23/670164723.db2.gz UWTDVKKWZPLHAJ-GFCCVEGCSA-N 0 2 323.397 0.504 20 0 DCADLN CCOC1CC2(C1)CCN(C(=O)C[C@@H]1SC(=N)NC1=O)C2 ZINC000804629405 670301939 /nfs/dbraw/zinc/30/19/39/670301939.db2.gz RRCQVQPWCVXVLY-XIRUVYRFSA-N 0 2 311.407 0.960 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000810113913 670476721 /nfs/dbraw/zinc/47/67/21/670476721.db2.gz HVTMQOMTTZBIOU-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000810113913 670476723 /nfs/dbraw/zinc/47/67/23/670476723.db2.gz HVTMQOMTTZBIOU-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc2c1OCC(=O)N2 ZINC000810256853 670478934 /nfs/dbraw/zinc/47/89/34/670478934.db2.gz HPOAUTWSGRXTQJ-UHFFFAOYSA-N 0 2 304.266 0.163 20 0 DCADLN C[C@H](Cc1ccccn1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000847200810 670525266 /nfs/dbraw/zinc/52/52/66/670525266.db2.gz BRSYXLCFKNDLDC-SNVBAGLBSA-N 0 2 312.333 0.214 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@@H]1CCCCO1 ZINC000847250517 670527590 /nfs/dbraw/zinc/52/75/90/670527590.db2.gz NBJTZGQKOKXMSY-NEPJUHHUSA-N 0 2 319.365 0.535 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCO[C@H]2CCCCO2)S1 ZINC000854552128 670587628 /nfs/dbraw/zinc/58/76/28/670587628.db2.gz PMRZMLYLCWFYBO-WPRPVWTQSA-N 0 2 301.368 0.202 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCS(=O)(=O)C[C@H]1C1CC1 ZINC000854812107 670637641 /nfs/dbraw/zinc/63/76/41/670637641.db2.gz ZIZLVERODBZDLR-JGVFFNPUSA-N 0 2 303.277 0.922 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCS(=O)(=O)C[C@H]1C1CC1 ZINC000854812107 670637639 /nfs/dbraw/zinc/63/76/39/670637639.db2.gz ZIZLVERODBZDLR-JGVFFNPUSA-N 0 2 303.277 0.922 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)C(=O)OC3)S1 ZINC000813870124 670657381 /nfs/dbraw/zinc/65/73/81/670657381.db2.gz RMEAKDPEXRZHBO-SECBINFHSA-N 0 2 305.315 0.852 20 0 DCADLN C[C@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H]1CCO ZINC000855538981 670729129 /nfs/dbraw/zinc/72/91/29/670729129.db2.gz XBQCJNPWCAAADH-CMPLNLGQSA-N 0 2 319.365 0.081 20 0 DCADLN C[C@@H]1CCC[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)O1 ZINC000855794878 670756119 /nfs/dbraw/zinc/75/61/19/670756119.db2.gz XEODDSKVMSGQDL-NXEZZACHSA-N 0 2 305.338 0.145 20 0 DCADLN CO[C@@H](COC(=O)Cc1c(C)nc2cc[nH]n2c1=O)C1CC1 ZINC000816377998 670808484 /nfs/dbraw/zinc/80/84/84/670808484.db2.gz HZLFTDYQTUFEEM-LBPRGKRZSA-N 0 2 305.334 0.842 20 0 DCADLN O=C(C[C@@H](n1cccn1)C(F)(F)F)OCc1n[nH]c(=O)[nH]1 ZINC000849906714 670865157 /nfs/dbraw/zinc/86/51/57/670865157.db2.gz WHWRHYDKVRZRDR-ZCFIWIBFSA-N 0 2 305.216 0.944 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)C1 ZINC000857860852 670955908 /nfs/dbraw/zinc/95/59/08/670955908.db2.gz MOOXVZNJMBXVOI-CKYFFXLPSA-N 0 2 315.381 0.995 20 0 DCADLN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)NOCCN2CCCC2=O)C1 ZINC000857869977 670956634 /nfs/dbraw/zinc/95/66/34/670956634.db2.gz CNZDXFWOGCSFFV-PWSUYJOCSA-N 0 2 306.366 0.746 20 0 DCADLN CC(C)(C)C(F)(F)CNS(=O)(=O)CCS(C)(=O)=O ZINC000851856757 671046533 /nfs/dbraw/zinc/04/65/33/671046533.db2.gz BBIDPFOEUIFLOX-UHFFFAOYSA-N 0 2 307.384 0.632 20 0 DCADLN CC[C@@H](OC(=O)c1ccc(CNC(=O)C(F)(F)F)o1)C(N)=O ZINC000860308731 671198115 /nfs/dbraw/zinc/19/81/15/671198115.db2.gz ABNCCWXUMNANGY-SSDOTTSWSA-N 0 2 322.239 0.879 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(CNC(=O)C(F)(F)F)o2)C[C@@H]1O ZINC000860530829 671215895 /nfs/dbraw/zinc/21/58/95/671215895.db2.gz BTEMVGIKWDIGOI-CBAPKCEASA-N 0 2 320.267 0.911 20 0 DCADLN CCc1noc(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)n1 ZINC000820801149 671229173 /nfs/dbraw/zinc/22/91/73/671229173.db2.gz YPGAQGGYTFVBRX-MRVPVSSYSA-N 0 2 313.339 0.591 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCCN(C)OC)cn1 ZINC000824561371 671433401 /nfs/dbraw/zinc/43/34/01/671433401.db2.gz VMJMVPIIQIRKRD-UHFFFAOYSA-N 0 2 306.370 0.906 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC[C@H]1C[N@@H+]2CCC[C@H]2CO1 ZINC000824740546 671439262 /nfs/dbraw/zinc/43/92/62/671439262.db2.gz HFCZIXBBHMMRHW-IGQOVBAYSA-N 0 2 304.394 0.503 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@H](CO)CC(F)F ZINC000867192472 671831603 /nfs/dbraw/zinc/83/16/03/671831603.db2.gz YYHQHLZVNMIRJK-ZETCQYMHSA-N 0 2 308.372 0.345 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCOCC1CCCC1 ZINC000837214424 671851297 /nfs/dbraw/zinc/85/12/97/671851297.db2.gz GVIKGPNXKLADMW-UHFFFAOYSA-N 0 2 319.365 0.394 20 0 DCADLN COC(=O)[C@]1(C)CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000840555485 671894265 /nfs/dbraw/zinc/89/42/65/671894265.db2.gz GQHBADLHJGJTHP-ISVAXAHUSA-N 0 2 313.379 0.345 20 0 DCADLN CC[C@H](NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C(=O)[O-] ZINC000843048283 672055929 /nfs/dbraw/zinc/05/59/29/672055929.db2.gz XJRBREHQGGHVQR-VIFPVBQESA-N 0 2 308.338 0.374 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H](c2cccnc2)C1 ZINC000843634950 672115467 /nfs/dbraw/zinc/11/54/67/672115467.db2.gz IWPBTVSZAAHIMS-GFCCVEGCSA-N 0 2 324.344 0.482 20 0 DCADLN CC[C@@H](NS(=O)(=O)N=S(=O)(CC)CC)[C@H](O)C(F)F ZINC000867330948 672115864 /nfs/dbraw/zinc/11/58/64/672115864.db2.gz MXAJQTDSBRSCHR-SFYZADRCSA-N 0 2 322.399 0.733 20 0 DCADLN O=C(Cc1ccccc1CO)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843877854 672126910 /nfs/dbraw/zinc/12/69/10/672126910.db2.gz JCYIRRFKSMAFLD-GFCCVEGCSA-N 0 2 316.361 0.951 20 0 DCADLN CC[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H](C)CO1 ZINC000844832497 672206315 /nfs/dbraw/zinc/20/63/15/672206315.db2.gz BPUIXPDSRILJSX-ZJUUUORDSA-N 0 2 305.338 0.097 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)[N-]C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845576699 672264658 /nfs/dbraw/zinc/26/46/58/672264658.db2.gz PSDJOVOOKBUQIF-JTQLQIEISA-N 0 2 311.367 0.381 20 0 DCADLN O=C(N[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1)c1cc(=O)[nH][n-]1 ZINC000846654056 672333626 /nfs/dbraw/zinc/33/36/26/672333626.db2.gz IUVWYZZDGKDRAB-XYPYZODXSA-N 0 2 301.350 0.797 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCCC[C@@H]2C[NH2+]Cc2cnon2)c1[O-] ZINC001024930403 693846993 /nfs/dbraw/zinc/84/69/93/693846993.db2.gz XCRIUNSQCSAFJL-LLVKDONJSA-N 0 2 320.353 0.591 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]n1)[C@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC000953559223 685736184 /nfs/dbraw/zinc/73/61/84/685736184.db2.gz MEXYUOKZLBIJFV-JOYOIKCWSA-N 0 2 317.353 0.341 20 0 DCADLN CN(C(=O)[C@H]1CCOC1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953853824 685873683 /nfs/dbraw/zinc/87/36/83/685873683.db2.gz UTULJBSGWICHND-CBAPKCEASA-N 0 2 312.263 0.593 20 0 DCADLN CN(C(=O)[C@H]1CCOC1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953853824 685873688 /nfs/dbraw/zinc/87/36/88/685873688.db2.gz UTULJBSGWICHND-CBAPKCEASA-N 0 2 312.263 0.593 20 0 DCADLN Cc1n[nH]nc1C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954946196 686193425 /nfs/dbraw/zinc/19/34/25/686193425.db2.gz JXWYQUPGVNTLSE-QMMMGPOBSA-N 0 2 323.250 0.296 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001038276123 694034393 /nfs/dbraw/zinc/03/43/93/694034393.db2.gz MEOHDVNGNMRZBN-YVECIDJPSA-N 0 2 317.393 0.803 20 0 DCADLN CC(C)[C@]1(C)C[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956980284 686926708 /nfs/dbraw/zinc/92/67/08/686926708.db2.gz WFOZCGLZUORIAL-ABAIWWIYSA-N 0 2 307.398 0.837 20 0 DCADLN CCc1nc[nH]c1C(=O)N(CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957337772 687036587 /nfs/dbraw/zinc/03/65/87/687036587.db2.gz BOLAYZJEYUROPE-UHFFFAOYSA-N 0 2 319.369 0.142 20 0 DCADLN O=C([C@H]1CC2CCC1CC2)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957809403 687201611 /nfs/dbraw/zinc/20/16/11/687201611.db2.gz WZBAOEURQDODNF-BPCQOVAHSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CCOCC1 ZINC000957951168 687274410 /nfs/dbraw/zinc/27/44/10/687274410.db2.gz XPHPGARPVJXTDU-LLVKDONJSA-N 0 2 323.397 0.217 20 0 DCADLN CCC(=O)N(C)[C@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000958349519 687570078 /nfs/dbraw/zinc/57/00/78/687570078.db2.gz FFHQEDNOXHKBIF-ZKWXMUAHSA-N 0 2 300.252 0.327 20 0 DCADLN CCC(=O)N(C)[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC000958349519 687570088 /nfs/dbraw/zinc/57/00/88/687570088.db2.gz FFHQEDNOXHKBIF-ZKWXMUAHSA-N 0 2 300.252 0.327 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1occc1Cl ZINC001038671360 694156496 /nfs/dbraw/zinc/15/64/96/694156496.db2.gz PBSGFWNIADSMQG-ZETCQYMHSA-N 0 2 311.729 0.761 20 0 DCADLN O=C(NC1CC(C[NH2+]Cc2nnc(C3CC3)o2)C1)c1nnc[nH]1 ZINC000962434293 688908428 /nfs/dbraw/zinc/90/84/28/688908428.db2.gz GPPWIFPAYNEBTO-UHFFFAOYSA-N 0 2 317.353 0.363 20 0 DCADLN CCc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001038936895 694247718 /nfs/dbraw/zinc/24/77/18/694247718.db2.gz VTTGIODNQBNYOP-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)cc1F ZINC001038981855 694261452 /nfs/dbraw/zinc/26/14/52/694261452.db2.gz LDGQTVIEOYTMIC-SECBINFHSA-N 0 2 323.303 0.793 20 0 DCADLN CCc1onc(C)c1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039001531 694265781 /nfs/dbraw/zinc/26/57/81/694265781.db2.gz DMSUCRFQWDRNNR-VIFPVBQESA-N 0 2 320.353 0.373 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@@H]2C)no1 ZINC000964869010 689316015 /nfs/dbraw/zinc/31/60/15/689316015.db2.gz CKCGJHBGACHDSD-GZMMTYOYSA-N 0 2 320.353 0.447 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccoc1 ZINC000965135241 689362572 /nfs/dbraw/zinc/36/25/72/689362572.db2.gz XKQWBTZHVSHEDB-ONGXEEELSA-N 0 2 305.338 0.744 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000968501468 690295062 /nfs/dbraw/zinc/29/50/62/690295062.db2.gz VRAHTPKNSCCJAD-SCZZXKLOSA-N 0 2 320.353 0.447 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccc[nH]1 ZINC000968672636 690362537 /nfs/dbraw/zinc/36/25/37/690362537.db2.gz FGBIVUMKMFCGRG-PWSUYJOCSA-N 0 2 318.381 0.408 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccc[nH]1 ZINC000968672636 690362540 /nfs/dbraw/zinc/36/25/40/690362540.db2.gz FGBIVUMKMFCGRG-PWSUYJOCSA-N 0 2 318.381 0.408 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCCO1 ZINC001007120716 690630055 /nfs/dbraw/zinc/63/00/55/690630055.db2.gz LYCGVTSCINOSCR-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC1(F)F ZINC001007589858 690711233 /nfs/dbraw/zinc/71/12/33/690711233.db2.gz JFHAJHUNJHHTHR-IUCAKERBSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC001008919707 690952734 /nfs/dbraw/zinc/95/27/34/690952734.db2.gz QNWSOIGQWHOSGO-LBPRGKRZSA-N 0 2 316.365 0.608 20 0 DCADLN C[C@@]1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCOC1 ZINC001008999442 690970877 /nfs/dbraw/zinc/97/08/77/690970877.db2.gz BLNPDCUUZAUVBQ-IINYFYTJSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2CCCO ZINC001039765413 694427081 /nfs/dbraw/zinc/42/70/81/694427081.db2.gz MMCRZODYFMYILL-GASCZTMLSA-N 0 2 306.410 0.639 20 0 DCADLN CCC(=O)N1CC[C@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040070114 694476160 /nfs/dbraw/zinc/47/61/60/694476160.db2.gz PYNOPPFRUUZEPP-WPRPVWTQSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)N1CC[C@](O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001040070114 694476165 /nfs/dbraw/zinc/47/61/65/694476165.db2.gz PYNOPPFRUUZEPP-WPRPVWTQSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1[nH]ccc1C1CC1 ZINC001014683879 692071445 /nfs/dbraw/zinc/07/14/45/692071445.db2.gz QXAFIWLBRLGRIU-SNVBAGLBSA-N 0 2 316.365 0.720 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(F)ccc1F ZINC001014730057 692081913 /nfs/dbraw/zinc/08/19/13/692081913.db2.gz YLXSHSJPVZBSKW-VIFPVBQESA-N 0 2 323.303 0.793 20 0 DCADLN CCc1nocc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015666876 692388077 /nfs/dbraw/zinc/38/80/77/692388077.db2.gz BNDOHCPHFREZHD-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1C1CCC1 ZINC001015821886 692456600 /nfs/dbraw/zinc/45/66/00/692456600.db2.gz CGIJZALRFWXPNZ-SDDRHHMPSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncccc1F ZINC001015900706 692494993 /nfs/dbraw/zinc/49/49/93/692494993.db2.gz ODILBUNHVBCSPZ-QMMMGPOBSA-N 0 2 306.301 0.049 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1c[nH]cc1C1CC1 ZINC001015922483 692506966 /nfs/dbraw/zinc/50/69/66/692506966.db2.gz XSRBKLPEPXIEIO-JTQLQIEISA-N 0 2 316.365 0.720 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncccc1Cl ZINC001016043502 692556606 /nfs/dbraw/zinc/55/66/06/692556606.db2.gz DWOMIZDZRKXMBC-QMMMGPOBSA-N 0 2 322.756 0.563 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC001016426296 692685173 /nfs/dbraw/zinc/68/51/73/692685173.db2.gz ILSLKSDNWHGRIH-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[C@@H]1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001073576057 694745366 /nfs/dbraw/zinc/74/53/66/694745366.db2.gz WJQYFXFSSNOVPX-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(C1CCC1)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074133790 694825186 /nfs/dbraw/zinc/82/51/86/694825186.db2.gz QQMPSSPUCRQLFV-NWDGAFQWSA-N 0 2 321.381 0.112 20 0 DCADLN CC[C@H](CCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001446075898 1159337079 /nfs/dbraw/zinc/33/70/79/1159337079.db2.gz JLQGOXQFKLECFV-SNVBAGLBSA-N 0 2 306.322 0.157 20 0 DCADLN Cc1cnc(C[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)o1 ZINC001446153960 1159356006 /nfs/dbraw/zinc/35/60/06/1159356006.db2.gz KEXRDGXZVRTXBH-UHFFFAOYSA-N 0 2 305.338 0.772 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ncccn2)C1)C(F)C(F)(F)F ZINC001446575670 1159478160 /nfs/dbraw/zinc/47/81/60/1159478160.db2.gz WDRWLPIJTFGLCQ-HTQZYQBOSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ncccn2)C1)[C@@H](F)C(F)(F)F ZINC001446575670 1159478164 /nfs/dbraw/zinc/47/81/64/1159478164.db2.gz WDRWLPIJTFGLCQ-HTQZYQBOSA-N 0 2 320.246 0.708 20 0 DCADLN CO[C@@H]1CCCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001466099593 1159769432 /nfs/dbraw/zinc/76/94/32/1159769432.db2.gz SHGZJFXSNMCODM-GFCCVEGCSA-N 0 2 318.333 0.517 20 0 DCADLN Cc1csc(C[NH2+]CCN(C)C(=O)CCc2cn[nH]n2)n1 ZINC001567562608 1160421672 /nfs/dbraw/zinc/42/16/72/1160421672.db2.gz NCRVZIAQJZBMRY-UHFFFAOYSA-N 0 2 308.411 0.750 20 0 DCADLN Cc1csc(C[NH2+]CCN(C)C(=O)CCc2c[nH]nn2)n1 ZINC001567562608 1160421677 /nfs/dbraw/zinc/42/16/77/1160421677.db2.gz NCRVZIAQJZBMRY-UHFFFAOYSA-N 0 2 308.411 0.750 20 0 DCADLN C[C@H](CC(=O)N[C@H](C(=O)[O-])[C@H](O)c1cccnc1)n1cc[nH+]c1 ZINC001573534613 1163463576 /nfs/dbraw/zinc/46/35/76/1163463576.db2.gz BBRYUBHCLXGARL-DDTOSNHZSA-N 0 2 318.333 0.532 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)c1nc(C(=O)[O-])n[nH]1 ZINC001573559811 1163497234 /nfs/dbraw/zinc/49/72/34/1163497234.db2.gz JXPHKBYHQNYLNI-YUMQZZPRSA-N 0 2 304.310 0.139 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC1CN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC001573814559 1163559867 /nfs/dbraw/zinc/55/98/67/1163559867.db2.gz HWAIITDGWNFJOD-OLZOCXBDSA-N 0 2 319.361 0.900 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])cc2)CC1 ZINC001573890598 1163580211 /nfs/dbraw/zinc/58/02/11/1163580211.db2.gz UTEJHTXCNLXTRP-UHFFFAOYSA-N 0 2 319.361 0.279 20 0 DCADLN CNC(=O)C[N@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])cc2)CC1 ZINC001573890598 1163580215 /nfs/dbraw/zinc/58/02/15/1163580215.db2.gz UTEJHTXCNLXTRP-UHFFFAOYSA-N 0 2 319.361 0.279 20 0 DCADLN C[S@](=O)C1(C(=O)[O-])CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001574059399 1163632789 /nfs/dbraw/zinc/63/27/89/1163632789.db2.gz KCAFYBPIYGSYDZ-NRFANRHFSA-N 0 2 313.379 0.167 20 0 DCADLN C[S@](=O)C1(C(=O)[O-])CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001574059399 1163632795 /nfs/dbraw/zinc/63/27/95/1163632795.db2.gz KCAFYBPIYGSYDZ-NRFANRHFSA-N 0 2 313.379 0.167 20 0 DCADLN Cn1c[nH+]cc1C1CCN(S(=O)(=O)CCCC(=O)[O-])CC1 ZINC001574221568 1163668207 /nfs/dbraw/zinc/66/82/07/1163668207.db2.gz SIHZICXNEIVASV-UHFFFAOYSA-N 0 2 315.395 0.794 20 0 DCADLN NS(=O)(=O)c1cccc(C[N@@H+]2CCC[C@H](NC(=O)[O-])C2)c1 ZINC001574281033 1163682782 /nfs/dbraw/zinc/68/27/82/1163682782.db2.gz UGDAHEFYMWHSTQ-NSHDSACASA-N 0 2 313.379 0.566 20 0 DCADLN NS(=O)(=O)c1cccc(C[N@H+]2CCC[C@H](NC(=O)[O-])C2)c1 ZINC001574281033 1163682789 /nfs/dbraw/zinc/68/27/89/1163682789.db2.gz UGDAHEFYMWHSTQ-NSHDSACASA-N 0 2 313.379 0.566 20 0 DCADLN CN(C)[S@@](C)(=O)=NC(=O)C[N@H+]1[C@H](C(=O)[O-])C[C@@H]2CCC[C@@H]21 ZINC001574550193 1163731506 /nfs/dbraw/zinc/73/15/06/1163731506.db2.gz FIYRSMNCDYIWIH-YCHZWESXSA-N 0 2 317.411 0.415 20 0 DCADLN CN(C)[S@@](C)(=O)=NC(=O)C[N@@H+]1[C@H](C(=O)[O-])C[C@@H]2CCC[C@@H]21 ZINC001574550193 1163731512 /nfs/dbraw/zinc/73/15/12/1163731512.db2.gz FIYRSMNCDYIWIH-YCHZWESXSA-N 0 2 317.411 0.415 20 0 DCADLN C[C@@H](CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001575312299 1163971769 /nfs/dbraw/zinc/97/17/69/1163971769.db2.gz SUZDZEOAVYQEIN-WCBMZHEXSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@@H](CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1cccn1 ZINC001575312299 1163971777 /nfs/dbraw/zinc/97/17/77/1163971777.db2.gz SUZDZEOAVYQEIN-WCBMZHEXSA-N 0 2 324.278 0.967 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2C[C@@H]([NH2+]Cc3c[nH]nn3)C2)n(C)n1 ZINC001577294068 1164661497 /nfs/dbraw/zinc/66/14/97/1164661497.db2.gz RGGVFQDXECJJLI-PHIMTYICSA-N 0 2 303.370 0.145 20 0 DCADLN O=C(NCC1CC([NH2+]Cc2c[nH]nn2)C1)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001577999214 1164883114 /nfs/dbraw/zinc/88/31/14/1164883114.db2.gz RJEOTTVCJBVSTO-ZFTUNWOLSA-N 0 2 319.409 0.604 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)c2ccc(-c3nn[nH]n3)o2)n1 ZINC001578254196 1164965206 /nfs/dbraw/zinc/96/52/06/1164965206.db2.gz CYVVGVPNQLEWFS-UHFFFAOYSA-N 0 2 317.265 0.612 20 0 DCADLN CO[C@@H]1CC[C@H](NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001579613357 1165359329 /nfs/dbraw/zinc/35/93/29/1165359329.db2.gz UCTOCNLHEOJOJK-DTWKUNHWSA-N 0 2 318.337 0.573 20 0 DCADLN CO[C@@H]1CCCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001579616309 1165360347 /nfs/dbraw/zinc/36/03/47/1165360347.db2.gz FDMLTGRFKUSIES-SECBINFHSA-N 0 2 318.337 0.527 20 0 DCADLN COc1cc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)ccn1 ZINC001579929511 1165440147 /nfs/dbraw/zinc/44/01/47/1165440147.db2.gz ONXJTCSLXAEDAJ-UHFFFAOYSA-N 0 2 324.344 0.969 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@H](CO)[C@H]1C ZINC001580597520 1165650394 /nfs/dbraw/zinc/65/03/94/1165650394.db2.gz ICJPZWNSUZVVDL-RKDXNWHRSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N=c1sccn1C ZINC001580600003 1165651580 /nfs/dbraw/zinc/65/15/80/1165651580.db2.gz KEJQQUGNUVOMMW-UHFFFAOYSA-N 0 2 317.334 0.417 20 0 DCADLN Cc1cc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)nn1C ZINC001580931191 1165758093 /nfs/dbraw/zinc/75/80/93/1165758093.db2.gz WFEHSNWLDXOGBQ-UHFFFAOYSA-N 0 2 311.349 0.607 20 0 DCADLN CCOCCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001582142703 1165969502 /nfs/dbraw/zinc/96/95/02/1165969502.db2.gz IKQKNCRLHAAMQG-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN CCCOCC(=O)NC[C@](C)(NC(=O)c1ncccn1)C1CC1 ZINC001582655863 1166062801 /nfs/dbraw/zinc/06/28/01/1166062801.db2.gz JMLSTTGDCCVMSG-INIZCTEOSA-N 0 2 320.393 0.918 20 0 DCADLN C[C@@H](C(=O)NC[C@@](C)(NC(=O)C(N)=O)C1CC1)c1ccccc1 ZINC001582655821 1166063010 /nfs/dbraw/zinc/06/30/10/1166063010.db2.gz IWFXOBNWNSIOFK-PIGZYNQJSA-N 0 2 317.389 0.677 20 0 DCADLN C[C@@](CNC(=O)c1cc2occc2[nH]1)(NC(=O)C(N)=O)C1CC1 ZINC001582656798 1166063181 /nfs/dbraw/zinc/06/31/81/1166063181.db2.gz URPVPNWCZIWJDY-HNNXBMFYSA-N 0 2 318.333 0.261 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)NC/C=C/CNC(=O)CCc1[nH]cc[nH+]1 ZINC001583152834 1166215464 /nfs/dbraw/zinc/21/54/64/1166215464.db2.gz RJPZEJLONGHDLF-IBUXWKBASA-N 0 2 319.409 0.225 20 0 DCADLN C[C@H]1CC[C@@H](C(N)=O)CN1Cc1nc(-c2nn[nH]n2)cs1 ZINC001590225992 1166820504 /nfs/dbraw/zinc/82/05/04/1166820504.db2.gz ISXWXIGCDRPWII-JGVFFNPUSA-N 0 2 307.383 0.409 20 0 DCADLN C[C@H]1CC[C@H](C(N)=O)CN1Cc1nc(-c2nn[nH]n2)cs1 ZINC001590225994 1166822105 /nfs/dbraw/zinc/82/21/05/1166822105.db2.gz ISXWXIGCDRPWII-YUMQZZPRSA-N 0 2 307.383 0.409 20 0 DCADLN C[C@@H]1CCCC[C@@H]1Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC001590252176 1166826217 /nfs/dbraw/zinc/82/62/17/1166826217.db2.gz LDTDOCLVAVMLGH-NXEZZACHSA-N 0 2 304.354 0.553 20 0 DCADLN CCCCOC(=O)Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001590607649 1166949651 /nfs/dbraw/zinc/94/96/51/1166949651.db2.gz TYXSHURJQXJHFM-UHFFFAOYSA-N 0 2 306.326 0.384 20 0 DCADLN COC(C)(C)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001591314464 1167208779 /nfs/dbraw/zinc/20/87/79/1167208779.db2.gz QNHBGTYHSYJWFR-UHFFFAOYSA-N 0 2 306.326 0.431 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)[C@@H](C)C[S@](C)=O ZINC001592495996 1167539337 /nfs/dbraw/zinc/53/93/37/1167539337.db2.gz SCFWNPNOHHRBGR-SGWXMTNESA-N 0 2 311.367 0.607 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@H](C)S(=O)[C@@H](C)C1 ZINC001592497226 1167539766 /nfs/dbraw/zinc/53/97/66/1167539766.db2.gz VPKXQNYUFAHDSP-YUMQZZPRSA-N 0 2 323.378 0.750 20 0 DCADLN O=C(c1ccc(-c2nn[nH]n2)o1)N1CC[C@H](c2nc[nH]n2)C1 ZINC001593341336 1167780966 /nfs/dbraw/zinc/78/09/66/1167780966.db2.gz TZJPTYVMEXSCJM-ZETCQYMHSA-N 0 2 300.282 0.208 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1cccc(-n2cccn2)c1 ZINC001593494289 1167876951 /nfs/dbraw/zinc/87/69/51/1167876951.db2.gz NAESPFFBFNXDKP-UHFFFAOYSA-N 0 2 320.316 0.657 20 0 DCADLN O=c1c(-c2nn[nH]n2)cnc2ncn(CC3CC(F)(F)C3)n21 ZINC001593493657 1167877457 /nfs/dbraw/zinc/87/74/57/1167877457.db2.gz AONMVBIVIFJCEZ-UHFFFAOYSA-N 0 2 308.252 0.116 20 0 DCADLN c1cnc(-c2nn[nH]n2)c(NCc2n[nH]c(-c3ccncc3)n2)n1 ZINC001593544956 1167924732 /nfs/dbraw/zinc/92/47/32/1167924732.db2.gz QTRMUGCCTWXWAA-UHFFFAOYSA-N 0 2 321.308 0.449 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@@H]2[N@@H+]1Cc1nnc2n1CCOC2 ZINC001594341237 1167965354 /nfs/dbraw/zinc/96/53/54/1167965354.db2.gz SQRNRRYRUOYIHL-NOOOWODRSA-N 0 2 322.365 0.403 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@@H]2[N@H+]1Cc1nnc2n1CCOC2 ZINC001594341237 1167965360 /nfs/dbraw/zinc/96/53/60/1167965360.db2.gz SQRNRRYRUOYIHL-NOOOWODRSA-N 0 2 322.365 0.403 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)c2ccc(C(=O)[O-])o2)[C@@H](C)C1 ZINC001598853696 1168047632 /nfs/dbraw/zinc/04/76/32/1168047632.db2.gz KCMOVHAJZGMXBT-JTQLQIEISA-N 0 2 324.333 0.687 20 0 DCADLN COC(=O)CC[N@H+]1CCN(C(=O)c2ccc(C(=O)[O-])o2)[C@@H](C)C1 ZINC001598853696 1168047689 /nfs/dbraw/zinc/04/76/89/1168047689.db2.gz KCMOVHAJZGMXBT-JTQLQIEISA-N 0 2 324.333 0.687 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@H+](C)C[C@@H]2CCCCO2)n1 ZINC001598924703 1168062882 /nfs/dbraw/zinc/06/28/82/1168062882.db2.gz JEJMWKDXDBQOBB-JTQLQIEISA-N 0 2 311.338 0.826 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@@H+](C)C[C@@H]2CCCCO2)n1 ZINC001598924703 1168062888 /nfs/dbraw/zinc/06/28/88/1168062888.db2.gz JEJMWKDXDBQOBB-JTQLQIEISA-N 0 2 311.338 0.826 20 0 DCADLN Cc1cc(C)cc([C@H]([NH2+]CC(=O)N2CCOCC2)C(=O)[O-])c1 ZINC001600167248 1168129754 /nfs/dbraw/zinc/12/97/54/1168129754.db2.gz FIUTVLMAQJLJQL-HNNXBMFYSA-N 0 2 306.362 0.878 20 0 DCADLN Cc1cc(CNC(=O)/C=C/C[NH+]2CCOCC2)oc1C(=O)[O-] ZINC001600199092 1168137306 /nfs/dbraw/zinc/13/73/06/1168137306.db2.gz WMSOTCKUAIIZKO-NSCUHMNNSA-N 0 2 308.334 0.791 20 0 DCADLN Cc1ccnc(C[NH2+]C/C=C\CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600601663 1168209381 /nfs/dbraw/zinc/20/93/81/1168209381.db2.gz RQAOSUOWPDKKRR-DQOJRVPBSA-N 0 2 304.350 0.268 20 0 DCADLN Cc1cn2ccnc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c2n1 ZINC001600615536 1168215365 /nfs/dbraw/zinc/21/53/65/1168215365.db2.gz VMFGHXPQOOIQFN-JTQLQIEISA-N 0 2 314.305 0.187 20 0 DCADLN Cc1cn2ccnc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c2n1 ZINC001600615536 1168215372 /nfs/dbraw/zinc/21/53/72/1168215372.db2.gz VMFGHXPQOOIQFN-JTQLQIEISA-N 0 2 314.305 0.187 20 0 DCADLN Cc1nc(NC2(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CCC2)cc[nH+]1 ZINC001600752365 1168276320 /nfs/dbraw/zinc/27/63/20/1168276320.db2.gz GLRFVBNAAOASOU-WDEREUQCSA-N 0 2 304.350 0.957 20 0 DCADLN Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600769124 1168285582 /nfs/dbraw/zinc/28/55/82/1168285582.db2.gz NFPVVPPWPLKULE-CABZTGNLSA-N 0 2 319.365 0.607 20 0 DCADLN Cn1c(C[NH+]2CCCCC2)nnc1N1CC[C@](F)(C(=O)[O-])C1 ZINC001600914733 1168507860 /nfs/dbraw/zinc/50/78/60/1168507860.db2.gz MWRBIPVPUPDABL-CQSZACIVSA-N 0 2 311.361 0.804 20 0 DCADLN Cn1cc(CC[N@H+](CCC(=O)[O-])CC(=O)OC(C)(C)C)nn1 ZINC001600949798 1168528186 /nfs/dbraw/zinc/52/81/86/1168528186.db2.gz HGOWYLLWLWVYKC-UHFFFAOYSA-N 0 2 312.370 0.476 20 0 DCADLN Cn1cc(CC[N@@H+](CCC(=O)[O-])CC(=O)OC(C)(C)C)nn1 ZINC001600949798 1168528195 /nfs/dbraw/zinc/52/81/95/1168528195.db2.gz HGOWYLLWLWVYKC-UHFFFAOYSA-N 0 2 312.370 0.476 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N[C@H]2C[C@H]3C[C@H](C(=O)[O-])C[C@H]32)c1 ZINC001600988947 1168547328 /nfs/dbraw/zinc/54/73/28/1168547328.db2.gz PEPSHYKLXHTQDF-DNIRFERGSA-N 0 2 306.366 0.761 20 0 DCADLN Cn1nc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c2c1CCCC2 ZINC001601000256 1168556970 /nfs/dbraw/zinc/55/69/70/1168556970.db2.gz OKNILRMZPKUGAL-NSHDSACASA-N 0 2 317.349 0.448 20 0 DCADLN Cn1nc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c2c1CCCC2 ZINC001601000256 1168556961 /nfs/dbraw/zinc/55/69/61/1168556961.db2.gz OKNILRMZPKUGAL-NSHDSACASA-N 0 2 317.349 0.448 20 0 DCADLN Cn1ncc2c1nc[nH+]c2NCCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001601030638 1168572727 /nfs/dbraw/zinc/57/27/27/1168572727.db2.gz GKMRSCCVAAPHTQ-RKDXNWHRSA-N 0 2 318.337 0.002 20 0 DCADLN Nc1ccnc(C[NH+]2CCC(n3cc(C(=O)[O-])nn3)CC2)n1 ZINC001601218992 1168663582 /nfs/dbraw/zinc/66/35/82/1168663582.db2.gz SGMCXFMEUAPVGF-UHFFFAOYSA-N 0 2 303.326 0.186 20 0 DCADLN O=C([O-])[C@@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1cccc(O)c1 ZINC001601230592 1168670821 /nfs/dbraw/zinc/67/08/21/1168670821.db2.gz CYCFJXDNUUTTOO-PRSOIBJXSA-N 0 2 320.345 0.523 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001601251844 1168677595 /nfs/dbraw/zinc/67/75/95/1168677595.db2.gz NVWGWKKVRRGCJJ-XQQFMLRXSA-N 0 2 307.350 0.800 20 0 DCADLN O=C(CC[C@@H]1C[C@H](C(=O)[O-])CO1)N[C@@H]1CCn2c[nH+]cc2C1 ZINC001601284188 1168690357 /nfs/dbraw/zinc/69/03/57/1168690357.db2.gz HCBHFIFLSYDOLJ-DMDPSCGWSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])[C@@H]1CCCCC[N@@H+]1CC(=O)NCC(=O)N1CCCC1 ZINC001601313302 1168702693 /nfs/dbraw/zinc/70/26/93/1168702693.db2.gz OLINBFITQWUBEC-LBPRGKRZSA-N 0 2 311.382 0.054 20 0 DCADLN O=C([O-])[C@@H]1CCCCC[N@H+]1CC(=O)NCC(=O)N1CCCC1 ZINC001601313302 1168702700 /nfs/dbraw/zinc/70/27/00/1168702700.db2.gz OLINBFITQWUBEC-LBPRGKRZSA-N 0 2 311.382 0.054 20 0 DCADLN O=C([O-])[C@@H](Cc1cncs1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001601425154 1168741339 /nfs/dbraw/zinc/74/13/39/1168741339.db2.gz UCSGQEBAMSYWMN-JOYOIKCWSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cc2n[nH]nc2cc1F ZINC001601425431 1168741741 /nfs/dbraw/zinc/74/17/41/1168741741.db2.gz AGUUUGNLHQFNQI-NSHDSACASA-N 0 2 318.268 0.177 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccn(CC(F)F)n1 ZINC001601425889 1168742624 /nfs/dbraw/zinc/74/26/24/1168742624.db2.gz MQKREJVLSBITJE-VIFPVBQESA-N 0 2 313.264 0.228 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)[C@H]1CCC[N@@H+]1Cc1ccccn1 ZINC001601645663 1168802004 /nfs/dbraw/zinc/80/20/04/1168802004.db2.gz UGZRUPYTIBQAMI-CHWSQXEVSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)[C@H]1CCC[N@H+]1Cc1ccccn1 ZINC001601645663 1168802017 /nfs/dbraw/zinc/80/20/17/1168802017.db2.gz UGZRUPYTIBQAMI-CHWSQXEVSA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@@]1(N2CCN(c3nccn4c[nH+]cc34)CC2)CCOC1 ZINC001601720687 1168830637 /nfs/dbraw/zinc/83/06/37/1168830637.db2.gz VSJLSSLASILQMP-OAHLLOKOSA-N 0 2 317.349 0.095 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](Cc2cnn(-c3ccccc3)n2)C1 ZINC001601769222 1168867958 /nfs/dbraw/zinc/86/79/58/1168867958.db2.gz MYPGKDDYURSFBU-RISCZKNCSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](Cc2cnn(-c3ccccc3)n2)C1 ZINC001601769222 1168867968 /nfs/dbraw/zinc/86/79/68/1168867968.db2.gz MYPGKDDYURSFBU-RISCZKNCSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001601776621 1168875425 /nfs/dbraw/zinc/87/54/25/1168875425.db2.gz CEBGNFUHPVECFI-UONOGXRCSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001601776621 1168875435 /nfs/dbraw/zinc/87/54/35/1168875435.db2.gz CEBGNFUHPVECFI-UONOGXRCSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001601777393 1168878664 /nfs/dbraw/zinc/87/86/64/1168878664.db2.gz CWGXHVYSVAAAQN-CHWSQXEVSA-N 0 2 320.349 0.429 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001601777393 1168878679 /nfs/dbraw/zinc/87/86/79/1168878679.db2.gz CWGXHVYSVAAAQN-CHWSQXEVSA-N 0 2 320.349 0.429 20 0 DCADLN O=C([O-])[C@@H]1CC[C@@H]2C(=O)N(CCCCn3cc[nH+]c3)C(=O)N2C1 ZINC001601797116 1168900042 /nfs/dbraw/zinc/90/00/42/1168900042.db2.gz DPZQFEZDADIGDQ-VXGBXAGGSA-N 0 2 320.349 0.791 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]([C@@H]2CCCO2)C1 ZINC001601821235 1168904501 /nfs/dbraw/zinc/90/45/01/1168904501.db2.gz GIDFTRJFLASDHX-UTUOFQBUSA-N 0 2 307.350 0.823 20 0 DCADLN O=C([O-])[C@H]1c2ccccc2CCN1C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC001601867734 1168920716 /nfs/dbraw/zinc/92/07/16/1168920716.db2.gz IWDDGUGEGZAEPE-OLZOCXBDSA-N 0 2 301.302 0.654 20 0 DCADLN O=C([O-])CCCCCCN1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC001601982878 1168951958 /nfs/dbraw/zinc/95/19/58/1168951958.db2.gz FJDLMUFPBJXHQM-NSHDSACASA-N 0 2 308.338 0.908 20 0 DCADLN O=C([O-])CCCCCCN1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC001601982878 1168951959 /nfs/dbraw/zinc/95/19/59/1168951959.db2.gz FJDLMUFPBJXHQM-NSHDSACASA-N 0 2 308.338 0.908 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N[C@H]2CCn3cc[nH+]c3C2)O1 ZINC001602037782 1168974047 /nfs/dbraw/zinc/97/40/47/1168974047.db2.gz RSMUGSOCNVZYKW-SDDRHHMPSA-N 0 2 307.350 0.727 20 0 DCADLN O=C([O-])C[N@H+](CCc1ccccc1)CN1C[C@@H]2CCO[C@@H]2C1=O ZINC001602056651 1168979588 /nfs/dbraw/zinc/97/95/88/1168979588.db2.gz CCZXOKDALTWWLP-HOCLYGCPSA-N 0 2 318.373 0.821 20 0 DCADLN O=C([O-])C[N@@H+](CCc1ccccc1)CN1C[C@@H]2CCO[C@@H]2C1=O ZINC001602056651 1168979595 /nfs/dbraw/zinc/97/95/95/1168979595.db2.gz CCZXOKDALTWWLP-HOCLYGCPSA-N 0 2 318.373 0.821 20 0 DCADLN O=C([O-])COc1cccc(NC(=O)/C=C\C[NH+]2CCOCC2)c1 ZINC001602092662 1168992843 /nfs/dbraw/zinc/99/28/43/1168992843.db2.gz KDUOUYOCHYHWKR-DJWKRKHSSA-N 0 2 320.345 0.977 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@]12CCO[C@H]1CCCC2 ZINC001602168916 1169013669 /nfs/dbraw/zinc/01/36/69/1169013669.db2.gz FDNVLFAOCRZJDH-XUJVJEKNSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@]12CCO[C@H]1CCCC2 ZINC001602168916 1169013679 /nfs/dbraw/zinc/01/36/79/1169013679.db2.gz FDNVLFAOCRZJDH-XUJVJEKNSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])c1cn([C@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)nn1 ZINC001602493062 1169091378 /nfs/dbraw/zinc/09/13/78/1169091378.db2.gz NVCIODXXFFFNLM-JTQLQIEISA-N 0 2 304.310 0.106 20 0 DCADLN O=C([O-])c1cn([C@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)nn1 ZINC001602493062 1169091387 /nfs/dbraw/zinc/09/13/87/1169091387.db2.gz NVCIODXXFFFNLM-JTQLQIEISA-N 0 2 304.310 0.106 20 0 DCADLN O=C([O-])c1cnc(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)nc1 ZINC001602536245 1169111343 /nfs/dbraw/zinc/11/13/43/1169111343.db2.gz SXKMJLXDMANXRP-SNVBAGLBSA-N 0 2 316.321 0.153 20 0 DCADLN O=C([O-])c1csc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)n1 ZINC001602562201 1169118329 /nfs/dbraw/zinc/11/83/29/1169118329.db2.gz KQCCPIGEMALYDW-UHFFFAOYSA-N 0 2 323.378 0.438 20 0 DCADLN O=C([O-])c1n[nH]c2c1C[N@H+](Cc1cn(C3CCC3)nn1)CC2 ZINC001602567512 1169120391 /nfs/dbraw/zinc/12/03/91/1169120391.db2.gz PSTMCYQCWPFXIK-UHFFFAOYSA-N 0 2 302.338 0.983 20 0 DCADLN O=C([O-])c1n[nH]c2c1C[N@@H+](Cc1cn(C3CCC3)nn1)CC2 ZINC001602567512 1169120397 /nfs/dbraw/zinc/12/03/97/1169120397.db2.gz PSTMCYQCWPFXIK-UHFFFAOYSA-N 0 2 302.338 0.983 20 0 DCADLN C/C(=C\C(=O)N[C@H]1C[C@H]2C[C@H](C(=O)[O-])C[C@H]21)C[NH+]1CCOCC1 ZINC001602954526 1169220102 /nfs/dbraw/zinc/22/01/02/1169220102.db2.gz DRLXDMBRKCYSTC-UYTUHJIOSA-N 0 2 322.405 0.880 20 0 DCADLN CC[C@@H]1CCCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CC1 ZINC001604103296 1169491565 /nfs/dbraw/zinc/49/15/65/1169491565.db2.gz UTROCMWROFTKEJ-SECBINFHSA-N 0 2 319.369 0.270 20 0 DCADLN CC[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@H](C)CO1 ZINC001604112194 1169495807 /nfs/dbraw/zinc/49/58/07/1169495807.db2.gz SCEQEXITFASXES-GHMZBOCLSA-N 0 2 304.354 0.485 20 0 DCADLN CCCCNC(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001604184711 1169517201 /nfs/dbraw/zinc/51/72/01/1169517201.db2.gz RYQITUFXGUWVJA-UHFFFAOYSA-N 0 2 316.365 0.433 20 0 DCADLN C[C@H](O)C[N@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccccc1 ZINC001604387341 1169579376 /nfs/dbraw/zinc/57/93/76/1169579376.db2.gz NRQBUHXXQSEKBK-LBPRGKRZSA-N 0 2 304.350 0.746 20 0 DCADLN C[C@H](O)C[N@@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccccc1 ZINC001604387341 1169579382 /nfs/dbraw/zinc/57/93/82/1169579382.db2.gz NRQBUHXXQSEKBK-LBPRGKRZSA-N 0 2 304.350 0.746 20 0 DCADLN CCc1nc([C@H](C)NC(=O)c2cc(-c3nn[nH]n3)oc2C)n[nH]1 ZINC001604552098 1169615518 /nfs/dbraw/zinc/61/55/18/1169615518.db2.gz DNXJDHDOHLQZKO-LURJTMIESA-N 0 2 316.325 0.940 20 0 DCADLN CCc1nnc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)s1 ZINC001604581158 1169622282 /nfs/dbraw/zinc/62/22/82/1169622282.db2.gz HWXAKOBEZREMRQ-UHFFFAOYSA-N 0 2 303.351 0.799 20 0 DCADLN C[C@]1(NS(=O)(=O)CCn2cc[nH+]c2)CCCC[C@@H]1C(=O)[O-] ZINC001604597797 1169626841 /nfs/dbraw/zinc/62/68/41/1169626841.db2.gz LAWLNWUVFFLSBK-YPMHNXCESA-N 0 2 315.395 0.836 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H](CF)C(=O)[O-])[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC001604784461 1169680892 /nfs/dbraw/zinc/68/08/92/1169680892.db2.gz XXDXEALUBFLHDA-WYUUTHIRSA-N 0 2 304.362 0.659 20 0 DCADLN CCC(=O)N1CCC[C@H](C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])C1 ZINC001604860187 1169716934 /nfs/dbraw/zinc/71/69/34/1169716934.db2.gz OEUWEBWBIBGLIT-NWDGAFQWSA-N 0 2 322.365 0.101 20 0 DCADLN CCCn1nccc1NC(=O)C[NH+]1CCC([C@@H](O)C(=O)[O-])CC1 ZINC001605390287 1169909514 /nfs/dbraw/zinc/90/95/14/1169909514.db2.gz CTKPLGIZYWLZBP-CQSZACIVSA-N 0 2 324.381 0.389 20 0 DCADLN CCN(CCOC)c1cccc(C[N@@H+]2C[C@@H](O)C[C@@H]2C(=O)[O-])n1 ZINC001605449492 1169924456 /nfs/dbraw/zinc/92/44/56/1169924456.db2.gz HMBHKQUKKDBLHQ-UONOGXRCSA-N 0 2 323.393 0.574 20 0 DCADLN CCN(CCOC)c1cccc(C[N@H+]2C[C@@H](O)C[C@@H]2C(=O)[O-])n1 ZINC001605449492 1169924461 /nfs/dbraw/zinc/92/44/61/1169924461.db2.gz HMBHKQUKKDBLHQ-UONOGXRCSA-N 0 2 323.393 0.574 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(F)cc2C(=O)[O-])CC1 ZINC001605480164 1169935419 /nfs/dbraw/zinc/93/54/19/1169935419.db2.gz OFEHCKZPHFSAIL-UHFFFAOYSA-N 0 2 323.324 0.627 20 0 DCADLN CCn1c(N2CC[C@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001605912462 1170026050 /nfs/dbraw/zinc/02/60/50/1170026050.db2.gz UIDRRLVPJLUMPC-PGUXBMHVSA-N 0 2 323.397 0.121 20 0 DCADLN CCn1c(N2CC[C@H](C(=O)[O-])C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001605912462 1170026054 /nfs/dbraw/zinc/02/60/54/1170026054.db2.gz UIDRRLVPJLUMPC-PGUXBMHVSA-N 0 2 323.397 0.121 20 0 DCADLN Cc1ccn(CC2(CS(C)(=O)=O)CC2)c(=O)c1-c1nn[nH]n1 ZINC001605948985 1170031932 /nfs/dbraw/zinc/03/19/32/1170031932.db2.gz KPOKUHAWMJGBDM-UHFFFAOYSA-N 0 2 323.378 0.162 20 0 DCADLN Cc1nc(CCn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cs1 ZINC001606042292 1170063711 /nfs/dbraw/zinc/06/37/11/1170063711.db2.gz RPRHQIZCYZUKRW-UHFFFAOYSA-N 0 2 305.323 0.137 20 0 DCADLN Cc1nn(C[C@H](O)COCC(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114425 1170080172 /nfs/dbraw/zinc/08/01/72/1170080172.db2.gz NUEKORUQYPXMDB-NSHDSACASA-N 0 2 322.369 0.074 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCc2nc(C(=O)[O-])co2)[nH]n1 ZINC001606226463 1170124644 /nfs/dbraw/zinc/12/46/44/1170124644.db2.gz HJUNVAJVDLDHBC-LLVKDONJSA-N 0 2 319.321 0.793 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCc2nc(C(=O)[O-])co2)[nH]n1 ZINC001606226463 1170124649 /nfs/dbraw/zinc/12/46/49/1170124649.db2.gz HJUNVAJVDLDHBC-LLVKDONJSA-N 0 2 319.321 0.793 20 0 DCADLN CO[C@H](CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])C(F)(F)F ZINC001606670126 1170257527 /nfs/dbraw/zinc/25/75/27/1170257527.db2.gz NTKKTCBQCLSMTN-JGVFFNPUSA-N 0 2 309.244 0.420 20 0 DCADLN CO[C@H](CS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1)C(C)C ZINC001606683736 1170261031 /nfs/dbraw/zinc/26/10/31/1170261031.db2.gz YPPANYHYIAPHGJ-GFCCVEGCSA-N 0 2 322.427 0.080 20 0 DCADLN COCCO[C@H]1CC[N@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001606901074 1170327143 /nfs/dbraw/zinc/32/71/43/1170327143.db2.gz GMIKRNZJRIDXLU-NSHDSACASA-N 0 2 323.349 0.456 20 0 DCADLN COCCO[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)C1 ZINC001606901074 1170327151 /nfs/dbraw/zinc/32/71/51/1170327151.db2.gz GMIKRNZJRIDXLU-NSHDSACASA-N 0 2 323.349 0.456 20 0 DCADLN COc1c(C(=O)[O-])ncnc1N1CCN(c2cccc[nH+]2)CC1 ZINC001607011533 1170354430 /nfs/dbraw/zinc/35/44/30/1170354430.db2.gz LTCDPTMFLPTBCL-UHFFFAOYSA-N 0 2 315.333 0.905 20 0 DCADLN O=C1CC(c2cccc(-c3nn[nH]n3)c2)=NN1[C@@H]1CCC[C@H]1O ZINC001607041451 1170364138 /nfs/dbraw/zinc/36/41/38/1170364138.db2.gz ZNCNBEHPKJUMDF-CHWSQXEVSA-N 0 2 312.333 0.717 20 0 DCADLN O=c1cc(-c2cccc(-c3nn[nH]n3)c2)[nH]n1[C@@H]1CCC[C@H]1O ZINC001607041451 1170364146 /nfs/dbraw/zinc/36/41/46/1170364146.db2.gz ZNCNBEHPKJUMDF-CHWSQXEVSA-N 0 2 312.333 0.717 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cccc2ncccc12 ZINC001607088296 1170386241 /nfs/dbraw/zinc/38/62/41/1170386241.db2.gz HVJKLIKXONHFFW-UHFFFAOYSA-N 0 2 321.300 0.726 20 0 DCADLN COc1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nc1Cl ZINC001607207293 1170415019 /nfs/dbraw/zinc/41/50/19/1170415019.db2.gz QZOQFBYUFCTUEB-VIFPVBQESA-N 0 2 324.724 0.892 20 0 DCADLN COc1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nc1Cl ZINC001607207293 1170415021 /nfs/dbraw/zinc/41/50/21/1170415021.db2.gz QZOQFBYUFCTUEB-VIFPVBQESA-N 0 2 324.724 0.892 20 0 DCADLN COc1ccc([C@@H](NC(=O)C[NH+]2CC(C)(C)C2)C(=O)[O-])cc1O ZINC001607227395 1170416242 /nfs/dbraw/zinc/41/62/42/1170416242.db2.gz XJPIXCASUAKACN-CQSZACIVSA-N 0 2 322.361 0.985 20 0 DCADLN COc1ncc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1Cl ZINC001607359915 1170435367 /nfs/dbraw/zinc/43/53/67/1170435367.db2.gz UMKWOTIOBKOIPE-SNVBAGLBSA-N 0 2 324.724 0.823 20 0 DCADLN C[C@@H]([NH2+]CC(=O)NCCC(=O)[O-])c1nnc2n1CCCCC2 ZINC001607422334 1170448617 /nfs/dbraw/zinc/44/86/17/1170448617.db2.gz RJGQEUJPSRHINN-SNVBAGLBSA-N 0 2 309.370 0.246 20 0 DCADLN Cc1[nH]c(C(=O)N[C@H](C)C[NH+]2CCOCC2)c(C)c1C(=O)[O-] ZINC001607490694 1170466146 /nfs/dbraw/zinc/46/61/46/1170466146.db2.gz UZDPALZOVOEFGM-SECBINFHSA-N 0 2 309.366 0.780 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+](C)[C@H]1CCC(=O)N(C)C1 ZINC001607496143 1170466939 /nfs/dbraw/zinc/46/69/39/1170466939.db2.gz ULWOKWXYSFEHHF-JTQLQIEISA-N 0 2 307.350 0.757 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+](C)[C@H]1CCC(=O)N(C)C1 ZINC001607496143 1170466943 /nfs/dbraw/zinc/46/69/43/1170466943.db2.gz ULWOKWXYSFEHHF-JTQLQIEISA-N 0 2 307.350 0.757 20 0 DCADLN Cc1cc(C(=O)NC2CC([NH+]3CCOCC3)C2)oc1C(=O)[O-] ZINC001607577600 1170478819 /nfs/dbraw/zinc/47/88/19/1170478819.db2.gz MZSHAMUIHUMLIS-UHFFFAOYSA-N 0 2 308.334 0.879 20 0 DCADLN Cc1nccc(CCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001607986831 1170540216 /nfs/dbraw/zinc/54/02/16/1170540216.db2.gz OGUMWQKJWOMIFZ-LBPRGKRZSA-N 0 2 303.322 0.253 20 0 DCADLN Cc1nccc(CCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001607986831 1170540221 /nfs/dbraw/zinc/54/02/21/1170540221.db2.gz OGUMWQKJWOMIFZ-LBPRGKRZSA-N 0 2 303.322 0.253 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1Cc1cn(CC(=O)[O-])nn1 ZINC001608004343 1170545965 /nfs/dbraw/zinc/54/59/65/1170545965.db2.gz GDCGFFKQDUJWLM-ZDUSSCGKSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCC[N@H+]1Cc1cn(CC(=O)[O-])nn1 ZINC001608004343 1170545970 /nfs/dbraw/zinc/54/59/70/1170545970.db2.gz GDCGFFKQDUJWLM-ZDUSSCGKSA-N 0 2 304.354 0.742 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@H]3CCC[C@H](C(=O)[O-])C3)CC[NH2+]2)cn1 ZINC001608105911 1170573689 /nfs/dbraw/zinc/57/36/89/1170573689.db2.gz AAHXHUILYNMVDR-OBJOEFQTSA-N 0 2 320.393 0.784 20 0 DCADLN Cn1ccc([C@H]2CCC[N@H+](CN3C[C@@H](C(=O)[O-])OC3=O)C2)n1 ZINC001608127577 1170584959 /nfs/dbraw/zinc/58/49/59/1170584959.db2.gz ZSQWAVUXHXSWCU-JQWIXIFHSA-N 0 2 308.338 0.462 20 0 DCADLN Cn1ccc([C@H]2CCC[N@@H+](CN3C[C@@H](C(=O)[O-])OC3=O)C2)n1 ZINC001608127577 1170584962 /nfs/dbraw/zinc/58/49/62/1170584962.db2.gz ZSQWAVUXHXSWCU-JQWIXIFHSA-N 0 2 308.338 0.462 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)Nc1nnc(CCC(=O)[O-])s1 ZINC001608137173 1170589578 /nfs/dbraw/zinc/58/95/78/1170589578.db2.gz XVDYDFFDRDQOLQ-UHFFFAOYSA-N 0 2 309.351 0.860 20 0 DCADLN Cn1[nH]c(C[N@H+]2C[C@H](C(F)(F)F)C[C@H](C(=O)[O-])C2)nc1=O ZINC001608148484 1170595657 /nfs/dbraw/zinc/59/56/57/1170595657.db2.gz MYDSNMMTUDJOBM-NKWVEPMBSA-N 0 2 308.260 0.193 20 0 DCADLN Cn1[nH]c(C[N@@H+]2C[C@H](C(F)(F)F)C[C@H](C(=O)[O-])C2)nc1=O ZINC001608148484 1170595673 /nfs/dbraw/zinc/59/56/73/1170595673.db2.gz MYDSNMMTUDJOBM-NKWVEPMBSA-N 0 2 308.260 0.193 20 0 DCADLN Cn1nccc1C[NH+]1CCN(c2ccc(C(=O)[O-])nn2)CC1 ZINC001608164997 1170605600 /nfs/dbraw/zinc/60/56/00/1170605600.db2.gz CUFHMTAOEBMFQL-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN O=C(C[C@@H](n1cc[nH+]c1)C(F)(F)F)N[C@@]1(C(=O)[O-])C[C@@H](O)C1 ZINC001608290042 1170642692 /nfs/dbraw/zinc/64/26/92/1170642692.db2.gz KPRFASOITOXKCT-XLDPMVHQSA-N 0 2 321.255 0.471 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](CCC(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC001608308836 1170647483 /nfs/dbraw/zinc/64/74/83/1170647483.db2.gz CIPSBPBVMQRPSY-OAHLLOKOSA-N 0 2 321.329 0.816 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](CCC(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC001608308836 1170647488 /nfs/dbraw/zinc/64/74/88/1170647488.db2.gz CIPSBPBVMQRPSY-OAHLLOKOSA-N 0 2 321.329 0.816 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)CN1CCCCCCC1=O ZINC001608330870 1170651537 /nfs/dbraw/zinc/65/15/37/1170651537.db2.gz GLMGUJHGDSKILF-GFCCVEGCSA-N 0 2 322.365 0.245 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cccc2c1OCCO2 ZINC001608403349 1170670130 /nfs/dbraw/zinc/67/01/30/1170670130.db2.gz PBGNNLJQFYEJIT-NSHDSACASA-N 0 2 317.301 0.538 20 0 DCADLN O=C([O-])[C@H](c1cccnc1)[NH+]1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC001608561492 1170703203 /nfs/dbraw/zinc/70/32/03/1170703203.db2.gz COYYGSCVXIYXBJ-NSHDSACASA-N 0 2 303.322 0.911 20 0 DCADLN O=C([O-])CC1CC[NH+](Cc2nnnn2CC2CCOCC2)CC1 ZINC001608698007 1170739359 /nfs/dbraw/zinc/73/93/59/1170739359.db2.gz BIBZNMQPWXZWIG-UHFFFAOYSA-N 0 2 323.397 0.786 20 0 DCADLN O=C([O-])CCc1nc(CSCC[NH+]2CCOCC2)no1 ZINC001608741864 1170747876 /nfs/dbraw/zinc/74/78/76/1170747876.db2.gz KWUJLYSPDJKWHE-UHFFFAOYSA-N 0 2 301.368 0.652 20 0 DCADLN O=C([O-])c1cn(C2CCN(C(=O)CCn3cc[nH+]c3)CC2)nn1 ZINC001608959810 1170776010 /nfs/dbraw/zinc/77/60/10/1170776010.db2.gz LNDHIQLYISIGLY-UHFFFAOYSA-N 0 2 318.337 0.427 20 0 DCADLN CC[C@H](C)NC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001609186788 1170857966 /nfs/dbraw/zinc/85/79/66/1170857966.db2.gz KSLOIPAFLUPDQE-VIFPVBQESA-N 0 2 316.365 0.432 20 0 DCADLN Cc1ccn(CC2CCS(=O)(=O)CC2)c(=O)c1-c1nn[nH]n1 ZINC001609437372 1170975738 /nfs/dbraw/zinc/97/57/38/1170975738.db2.gz BSFBNLXEXNGIBJ-UHFFFAOYSA-N 0 2 323.378 0.162 20 0 DCADLN Cc1ccn(C[N@H+](C)CC2CCOCC2)c(=O)c1-c1nn[n-]n1 ZINC001609437739 1170976784 /nfs/dbraw/zinc/97/67/84/1170976784.db2.gz XFHJVEGYSBIJCF-UHFFFAOYSA-N 0 2 318.381 0.653 20 0 DCADLN Cc1ccn(C[N@@H+](C)CC2CCOCC2)c(=O)c1-c1nn[n-]n1 ZINC001609437739 1170976791 /nfs/dbraw/zinc/97/67/91/1170976791.db2.gz XFHJVEGYSBIJCF-UHFFFAOYSA-N 0 2 318.381 0.653 20 0 DCADLN CC[C@@](COC)(NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C(=O)[O-] ZINC001609924668 1171122297 /nfs/dbraw/zinc/12/22/97/1171122297.db2.gz PESLLJIOVZLXBV-HNNXBMFYSA-N 0 2 318.333 0.877 20 0 DCADLN CC[C@@H](C(=O)N[C@H](CCOC)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001609946166 1171124945 /nfs/dbraw/zinc/12/49/45/1171124945.db2.gz GWPZEZZJEXRCED-UPJWGTAASA-N 0 2 316.398 0.482 20 0 DCADLN CC[C@@H](C(=O)N[C@H](CCOC)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001609946166 1171124949 /nfs/dbraw/zinc/12/49/49/1171124949.db2.gz GWPZEZZJEXRCED-UPJWGTAASA-N 0 2 316.398 0.482 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@@H](C)Sc2nc(C(=O)[O-])c[nH]2)CC1 ZINC001610006854 1171136748 /nfs/dbraw/zinc/13/67/48/1171136748.db2.gz HENQYZXGYWEFSN-SECBINFHSA-N 0 2 312.395 0.753 20 0 DCADLN CCc1noc(CC)c1CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610078280 1171150736 /nfs/dbraw/zinc/15/07/36/1171150736.db2.gz ZDBANEYNPKCDSM-LBPRGKRZSA-N 0 2 320.349 0.877 20 0 DCADLN CCc1noc(CC)c1CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610078280 1171150742 /nfs/dbraw/zinc/15/07/42/1171150742.db2.gz ZDBANEYNPKCDSM-LBPRGKRZSA-N 0 2 320.349 0.877 20 0 DCADLN CN(C)c1cc(COC(=O)Cn2cc(C(=O)[O-])nn2)cc[nH+]1 ZINC001610105369 1171157791 /nfs/dbraw/zinc/15/77/91/1171157791.db2.gz SPQSHHWOKNERMW-UHFFFAOYSA-N 0 2 305.294 0.181 20 0 DCADLN CN(C)c1nc(N)nc(C[NH2+][C@H](C(=O)[O-])C2CCCCC2)n1 ZINC001610107293 1171158021 /nfs/dbraw/zinc/15/80/21/1171158021.db2.gz QKDFCHKEFFDBBE-NSHDSACASA-N 0 2 308.386 0.643 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cncc(C(=O)[O-])c1 ZINC001610115968 1171161985 /nfs/dbraw/zinc/16/19/85/1171161985.db2.gz AWJHLBXVXUEPKY-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cncc(C(=O)[O-])c1 ZINC001610115968 1171161988 /nfs/dbraw/zinc/16/19/88/1171161988.db2.gz AWJHLBXVXUEPKY-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN C[N@H+](Cc1cn(CC(=O)[O-])nn1)[C@@H](CCO)c1ccccc1 ZINC001610124907 1171163986 /nfs/dbraw/zinc/16/39/86/1171163986.db2.gz NPPPVLRAJPJPCH-AWEZNQCLSA-N 0 2 304.350 0.918 20 0 DCADLN C[N@@H+](Cc1cn(CC(=O)[O-])nn1)[C@@H](CCO)c1ccccc1 ZINC001610124907 1171163990 /nfs/dbraw/zinc/16/39/90/1171163990.db2.gz NPPPVLRAJPJPCH-AWEZNQCLSA-N 0 2 304.350 0.918 20 0 DCADLN CO[C@]1(C(F)(F)F)CCC[N@@H+]([C@H](C)C(=O)NCC(=O)[O-])C1 ZINC001610231557 1171202662 /nfs/dbraw/zinc/20/26/62/1171202662.db2.gz OCNBWQLXVOGCGZ-LDYMZIIASA-N 0 2 312.288 0.619 20 0 DCADLN CO[C@]1(C(F)(F)F)CCC[N@H+]([C@H](C)C(=O)NCC(=O)[O-])C1 ZINC001610231557 1171202670 /nfs/dbraw/zinc/20/26/70/1171202670.db2.gz OCNBWQLXVOGCGZ-LDYMZIIASA-N 0 2 312.288 0.619 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+](C)[C@@]1(CO)CCOC1 ZINC001610487862 1171243675 /nfs/dbraw/zinc/24/36/75/1171243675.db2.gz OZEIJBTYNPQPHG-MRXNPFEDSA-N 0 2 322.361 0.715 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+](C)[C@@]1(CO)CCOC1 ZINC001610487862 1171243679 /nfs/dbraw/zinc/24/36/79/1171243679.db2.gz OZEIJBTYNPQPHG-MRXNPFEDSA-N 0 2 322.361 0.715 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1noc2c1CCCC2 ZINC001610682495 1171289845 /nfs/dbraw/zinc/28/98/45/1171289845.db2.gz WMCOXGKDWJUWPT-JTQLQIEISA-N 0 2 304.306 0.633 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCCN1c1cc(NCC2CC2)[nH+]cn1 ZINC001610784078 1171324920 /nfs/dbraw/zinc/32/49/20/1171324920.db2.gz UEBFDUBMTAUBBI-LLVKDONJSA-N 0 2 319.365 0.468 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCCN1c1cc(NCC2CC2)nc[nH+]1 ZINC001610784078 1171324930 /nfs/dbraw/zinc/32/49/30/1171324930.db2.gz UEBFDUBMTAUBBI-LLVKDONJSA-N 0 2 319.365 0.468 20 0 DCADLN Cn1nnc(C(=O)Nc2n[nH]c(-c3ccc(O)c(Cl)c3)n2)n1 ZINC001611187232 1171352717 /nfs/dbraw/zinc/35/27/17/1171352717.db2.gz QOYIURUFLGBELX-UHFFFAOYSA-N 0 2 320.700 0.607 20 0 DCADLN CN(C)c1nc2cc(NC(=O)CCc3nn[nH]n3)ccc2[nH]1 ZINC001617995027 1171393141 /nfs/dbraw/zinc/39/31/41/1171393141.db2.gz SHMZEVPDJAVNIF-UHFFFAOYSA-N 0 2 300.326 0.713 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2ccc3ncn(C)c3n2)C1=O ZINC001618561095 1171399431 /nfs/dbraw/zinc/39/94/31/1171399431.db2.gz UYPNKURGCBSMNL-CQSZACIVSA-N 0 2 316.321 0.334 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1cc(-c2cccs2)n[nH]1 ZINC001641004366 1171902774 /nfs/dbraw/zinc/90/27/74/1171902774.db2.gz OCERZQKOHHLARL-UHFFFAOYSA-N 0 2 304.335 0.679 20 0 DCADLN O=C(c1cc(F)cc2n[nH]nc21)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001644299442 1172001586 /nfs/dbraw/zinc/00/15/86/1172001586.db2.gz PUYKMGQOQPUCDY-ZCFIWIBFSA-N 0 2 302.273 0.240 20 0 DCADLN Cn1cc(S(=O)(=O)N[C@@H](CCO)C(F)(F)F)nc1Cl ZINC001646181010 1172280061 /nfs/dbraw/zinc/28/00/61/1172280061.db2.gz SBYMNGXPIPKOQO-YFKPBYRVSA-N 0 2 321.708 0.665 20 0 DCADLN Cc1nnc([C@@H]2CC[N@@H+]([C@H](C)c3nc(-c4nc[nH]n4)no3)C2)[nH]1 ZINC001646221788 1172295192 /nfs/dbraw/zinc/29/51/92/1172295192.db2.gz TWJMPRKFXJSKOG-VXNVDRBHSA-N 0 2 315.341 0.832 20 0 DCADLN Cc1nnc([C@@H]2CC[N@H+]([C@H](C)c3nc(-c4nc[nH]n4)no3)C2)[nH]1 ZINC001646221788 1172295197 /nfs/dbraw/zinc/29/51/97/1172295197.db2.gz TWJMPRKFXJSKOG-VXNVDRBHSA-N 0 2 315.341 0.832 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCC1CCOCC1 ZINC001647087611 1172665900 /nfs/dbraw/zinc/66/59/00/1172665900.db2.gz GYXYQFUDCIAKSE-UHFFFAOYSA-N 0 2 305.338 0.004 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc2nccnc2cc1F ZINC001647868684 1173059793 /nfs/dbraw/zinc/05/97/93/1173059793.db2.gz VPADYKMJBXZDOV-UHFFFAOYSA-N 0 2 315.264 0.900 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cc2nccnc2cc1F ZINC001647868684 1173059796 /nfs/dbraw/zinc/05/97/96/1173059796.db2.gz VPADYKMJBXZDOV-UHFFFAOYSA-N 0 2 315.264 0.900 20 0 DCADLN COC(=O)c1[nH]c(C)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1C ZINC001654366158 1173804056 /nfs/dbraw/zinc/80/40/56/1173804056.db2.gz QGCZIFGOKDJBEN-UHFFFAOYSA-N 0 2 321.337 0.604 20 0 DCADLN C[C@H]1CCc2[nH]nc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c2C1 ZINC001654366525 1173805685 /nfs/dbraw/zinc/80/56/85/1173805685.db2.gz ZDHMDURWQPAWPV-QMMMGPOBSA-N 0 2 304.354 0.721 20 0 DCADLN C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)Nc1c[nH][nH]c1=O ZINC001654603364 1173808158 /nfs/dbraw/zinc/80/81/58/1173808158.db2.gz LCBGEXLQCBGBAJ-SSDOTTSWSA-N 0 2 314.305 0.749 20 0 DCADLN CCCCNC(=O)C[NH+]1CC[C@H](NC(=O)C[N@H+]2CC[C@@H](C)C2)C1 ZINC001665487337 1174525452 /nfs/dbraw/zinc/52/54/52/1174525452.db2.gz MFSDLWSEMWKKQF-CABCVRRESA-N 0 2 324.469 0.435 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000092618409 1175601188 /nfs/dbraw/zinc/60/11/88/1175601188.db2.gz FITDIHPFROYFQV-JTQLQIEISA-N 0 2 311.386 0.977 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000092618409 1175601190 /nfs/dbraw/zinc/60/11/90/1175601190.db2.gz FITDIHPFROYFQV-JTQLQIEISA-N 0 2 311.386 0.977 20 0 DCADLN Cc1nn[nH]c1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001687051573 1176268988 /nfs/dbraw/zinc/26/89/88/1176268988.db2.gz LPYJWDCVFPCPGT-ZETCQYMHSA-N 0 2 311.239 0.250 20 0 DCADLN Cc1nn[nH]c1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001687051573 1176268991 /nfs/dbraw/zinc/26/89/91/1176268991.db2.gz LPYJWDCVFPCPGT-ZETCQYMHSA-N 0 2 311.239 0.250 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001689318511 1176822627 /nfs/dbraw/zinc/82/26/27/1176822627.db2.gz YHYFWNJJUFZZPF-UREXNXCXSA-N 0 2 314.279 0.692 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001689318511 1176822611 /nfs/dbraw/zinc/82/26/11/1176822611.db2.gz YHYFWNJJUFZZPF-UREXNXCXSA-N 0 2 314.279 0.692 20 0 DCADLN CN(CCOCC[NH2+]CCF)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001701038139 1178892948 /nfs/dbraw/zinc/89/29/48/1178892948.db2.gz XMASCTKJHKNAFX-CYBMUJFWSA-N 0 2 312.389 0.480 20 0 DCADLN CC(C)[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001701556401 1179067469 /nfs/dbraw/zinc/06/74/69/1179067469.db2.gz LYKRHAOXRAERTM-RNFRBKRXSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001701556401 1179067476 /nfs/dbraw/zinc/06/74/76/1179067476.db2.gz LYKRHAOXRAERTM-RNFRBKRXSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@@H](CC(=O)NCCNC(=O)C[N@H+](C)C1CCC1)n1cc[nH+]c1 ZINC001703188518 1179381244 /nfs/dbraw/zinc/38/12/44/1179381244.db2.gz MGPXYBRXPRRXEY-ZDUSSCGKSA-N 0 2 321.425 0.551 20 0 DCADLN C/C=C(\C)C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001716648495 1182479146 /nfs/dbraw/zinc/47/91/46/1182479146.db2.gz QYWZHDGHWCWFEX-TWXILYBASA-N 0 2 315.377 0.679 20 0 DCADLN COC[C@H](C)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001718017782 1183112011 /nfs/dbraw/zinc/11/20/11/1183112011.db2.gz UVWIIEWVLKTDLJ-JTQLQIEISA-N 0 2 309.370 0.041 20 0 DCADLN COC[C@H](C)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001718017782 1183112015 /nfs/dbraw/zinc/11/20/15/1183112015.db2.gz UVWIIEWVLKTDLJ-JTQLQIEISA-N 0 2 309.370 0.041 20 0 DCADLN CC(C)=C(F)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001718622881 1183292373 /nfs/dbraw/zinc/29/23/73/1183292373.db2.gz YRYKGIJGFMSUJD-SVRRBLITSA-N 0 2 318.242 0.744 20 0 DCADLN CC(C)=C(F)C(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001718622881 1183292376 /nfs/dbraw/zinc/29/23/76/1183292376.db2.gz YRYKGIJGFMSUJD-SVRRBLITSA-N 0 2 318.242 0.744 20 0 DCADLN CCn1ccc(C(=O)NCCCN(C)Cc2ccn(C)n2)n1 ZINC001731270734 1185232377 /nfs/dbraw/zinc/23/23/77/1185232377.db2.gz YOLAADJPBJTQPM-UHFFFAOYSA-N 0 2 304.398 0.888 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)[C@@H]2CCC[N@H+]2C(C)C)c1[O-] ZINC001736718543 1187062802 /nfs/dbraw/zinc/06/28/02/1187062802.db2.gz ZVHHAAIOXLMNPW-NSHDSACASA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)[C@@H]2CCC[N@@H+]2C(C)C)c1[O-] ZINC001736718543 1187062805 /nfs/dbraw/zinc/06/28/05/1187062805.db2.gz ZVHHAAIOXLMNPW-NSHDSACASA-N 0 2 323.397 0.143 20 0 DCADLN CC(C)(C)OCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001736722028 1187065465 /nfs/dbraw/zinc/06/54/65/1187065465.db2.gz WWLSGYINXRAQMW-MRVPVSSYSA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)(C)OCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736722028 1187065468 /nfs/dbraw/zinc/06/54/68/1187065468.db2.gz WWLSGYINXRAQMW-MRVPVSSYSA-N 0 2 302.268 0.934 20 0 DCADLN O=C(CCOCC(F)F)NCCNC(=O)C(F)C(F)(F)F ZINC001736765349 1187094033 /nfs/dbraw/zinc/09/40/33/1187094033.db2.gz PIUGEDBOUAEFAX-MRVPVSSYSA-N 0 2 324.221 0.791 20 0 DCADLN O=C(CCOCC(F)F)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736765349 1187094035 /nfs/dbraw/zinc/09/40/35/1187094035.db2.gz PIUGEDBOUAEFAX-MRVPVSSYSA-N 0 2 324.221 0.791 20 0 DCADLN C[C@]1(C(=O)NCCNC(=O)C(F)C(F)(F)F)CCCOC1 ZINC001742496853 1187994755 /nfs/dbraw/zinc/99/47/55/1187994755.db2.gz GQQMXAOIXSGNQF-KWQFWETISA-N 0 2 314.279 0.936 20 0 DCADLN C[C@]1(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)CCCOC1 ZINC001742496853 1187994757 /nfs/dbraw/zinc/99/47/57/1187994757.db2.gz GQQMXAOIXSGNQF-KWQFWETISA-N 0 2 314.279 0.936 20 0 DCADLN CCC(=O)N(C)CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001743738321 1188159508 /nfs/dbraw/zinc/15/95/08/1188159508.db2.gz HESUFEZKLKBJHI-UHFFFAOYSA-N 0 2 318.381 0.799 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1C[C@H](C)[C@@H](C(=O)[O-])O1)[C@@H](C)[NH+]1CCOCC1 ZINC001771921823 1190557709 /nfs/dbraw/zinc/55/77/09/1190557709.db2.gz ZMOJUPILXSDHRQ-QWQWKMKNSA-N 0 2 314.382 0.090 20 0 DCADLN C[C@H]1[C@@H](CO)CCCN1c1nnc(-c2n[nH]c(Cl)n2)n1C ZINC001772150679 1190615444 /nfs/dbraw/zinc/61/54/44/1190615444.db2.gz HVXCILFFDCDWGR-JGVFFNPUSA-N 0 2 311.777 0.851 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1CNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001772293958 1190655951 /nfs/dbraw/zinc/65/59/51/1190655951.db2.gz BIGQIIOSXICZEL-HUUCEWRRSA-N 0 2 310.442 0.587 20 0 DCADLN CN(C(=O)c1cncc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042604906 751774285 /nfs/dbraw/zinc/77/42/85/751774285.db2.gz BBJYNTVEWYXTCL-UHFFFAOYSA-N 0 2 306.301 0.001 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001042826810 751935026 /nfs/dbraw/zinc/93/50/26/751935026.db2.gz FGJLCKYYMWTCQD-MNOVXSKESA-N 0 2 309.370 0.111 20 0 DCADLN CN(C(=O)C[C@@H]1CC[C@H]2C[C@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043158710 752107041 /nfs/dbraw/zinc/10/70/41/752107041.db2.gz UUGLMEVFWLDXIF-JBLDHEPKSA-N 0 2 305.382 0.589 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2coc(-c3cccnc3)n2)C(=O)N1C ZINC001167405509 747163484 /nfs/dbraw/zinc/16/34/84/747163484.db2.gz SRLNRQZSEZAGCI-QMMMGPOBSA-N 0 2 315.289 0.664 20 0 DCADLN O=C([O-])C(=O)N1Cc2c[nH+]cn2C[C@@H](COc2cccnc2)C1 ZINC001143420350 747328342 /nfs/dbraw/zinc/32/83/42/747328342.db2.gz UWWSSZACGFDJJS-NSHDSACASA-N 0 2 316.317 0.400 20 0 DCADLN CC(C)=CC(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043373797 752200268 /nfs/dbraw/zinc/20/02/68/752200268.db2.gz FAKJKYVWYPDLJQ-SECBINFHSA-N 0 2 312.263 0.543 20 0 DCADLN CC(C)=CC(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043373797 752200276 /nfs/dbraw/zinc/20/02/76/752200276.db2.gz FAKJKYVWYPDLJQ-SECBINFHSA-N 0 2 312.263 0.543 20 0 DCADLN CN(C(=O)[C@H]1C[N@@H+]2CC3CC2(C3)CO1)C1C[NH+](CCCF)C1 ZINC001043388006 752210365 /nfs/dbraw/zinc/21/03/65/752210365.db2.gz AOPJZXPRBZYGPP-CXOJTPOUSA-N 0 2 311.401 0.352 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CC[N@@H+]1Cc1cn(C)nc1C ZINC001087767131 749042832 /nfs/dbraw/zinc/04/28/32/749042832.db2.gz LDCYPHQQCQVBTG-QWHCGFSZSA-N 0 2 317.397 0.630 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@]2(C)CCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087775345 749046635 /nfs/dbraw/zinc/04/66/35/749046635.db2.gz ISBQFZHPOZCWCR-RWSFTLGLSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@]2(C)CCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087775345 749046638 /nfs/dbraw/zinc/04/66/38/749046638.db2.gz ISBQFZHPOZCWCR-RWSFTLGLSA-N 0 2 323.397 0.406 20 0 DCADLN [NH2+]=C(Nc1ccc2ncnn2c1)SCCS(=O)(=O)[O-] ZINC001168090928 749386477 /nfs/dbraw/zinc/38/64/77/749386477.db2.gz QMWHKDSBEALAPF-UHFFFAOYSA-N 0 2 301.353 0.697 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC2CCOCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088500069 749514420 /nfs/dbraw/zinc/51/44/20/749514420.db2.gz JQBDUQFBCAGBIB-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC2CCOCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088500069 749514422 /nfs/dbraw/zinc/51/44/22/749514422.db2.gz JQBDUQFBCAGBIB-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)co1 ZINC001088533141 749556860 /nfs/dbraw/zinc/55/68/60/749556860.db2.gz BJADTXPHRWNBLZ-BDAKNGLRSA-N 0 2 320.353 0.454 20 0 DCADLN O=c1cc(I)nc(OC[C@H]2COCO2)[nH]1 ZINC001228946853 749574433 /nfs/dbraw/zinc/57/44/33/749574433.db2.gz PFYGNZGSTRBQFS-RXMQYKEDSA-N 0 2 324.074 0.539 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)N(C)[C@H]2CC[N@H+]3CCO[C@H]2C3)C[NH+]1C1CC1 ZINC000454648980 749714122 /nfs/dbraw/zinc/71/41/22/749714122.db2.gz DPUGKAIIVPNTTA-VDERGJSUSA-N 0 2 322.453 0.726 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CCC1CC1 ZINC001212059935 749760448 /nfs/dbraw/zinc/76/04/48/749760448.db2.gz FPXISYBTVKZDPW-GHMZBOCLSA-N 0 2 309.370 0.016 20 0 DCADLN COC1CC(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)C1 ZINC001088645671 749825576 /nfs/dbraw/zinc/82/55/76/749825576.db2.gz SBMHJHZVFJURPI-SFXRXQKFSA-N 0 2 309.370 0.014 20 0 DCADLN COC1CC(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)C1 ZINC001088645671 749825582 /nfs/dbraw/zinc/82/55/82/749825582.db2.gz SBMHJHZVFJURPI-SFXRXQKFSA-N 0 2 309.370 0.014 20 0 DCADLN CC[C@H](C(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1)[NH+](C)C ZINC001077152158 750232135 /nfs/dbraw/zinc/23/21/35/750232135.db2.gz GFFHAIYMERUTIO-WCQYABFASA-N 0 2 309.414 0.303 20 0 DCADLN C[C@H](CNC(=O)C[N@@H+]1CC[C@H](C)C1)Nc1ncnc2[nH]cnc21 ZINC001098284420 750769595 /nfs/dbraw/zinc/76/95/95/750769595.db2.gz CYIYNZGPOADHGQ-WDEREUQCSA-N 0 2 317.397 0.611 20 0 DCADLN O=C(NC[C@H](O)CNc1cc(F)ncn1)C(F)C(F)(F)F ZINC001106142468 750980356 /nfs/dbraw/zinc/98/03/56/750980356.db2.gz QIJUWPHKJALHRE-XRGYYRRGSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](O)CNc1cc(F)ncn1)[C@H](F)C(F)(F)F ZINC001106142468 750980359 /nfs/dbraw/zinc/98/03/59/750980359.db2.gz QIJUWPHKJALHRE-XRGYYRRGSA-N 0 2 314.214 0.405 20 0 DCADLN Cc1ncc(C)c(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142816 750980522 /nfs/dbraw/zinc/98/05/22/750980522.db2.gz ZCAWQSWDAWWNGB-BDAKNGLRSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1ncc(C)c(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001106142816 750980524 /nfs/dbraw/zinc/98/05/24/750980524.db2.gz ZCAWQSWDAWWNGB-BDAKNGLRSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1nc(CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001044270258 752656446 /nfs/dbraw/zinc/65/64/46/752656446.db2.gz HELNDGOSLLQUIZ-UHFFFAOYSA-N 0 2 322.394 0.161 20 0 DCADLN CC1(NC(=O)c2nccs2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045597637 753258653 /nfs/dbraw/zinc/25/86/53/753258653.db2.gz QSBARROQNZQERJ-UHFFFAOYSA-N 0 2 322.394 0.751 20 0 DCADLN COC1CCC(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001043693480 753479916 /nfs/dbraw/zinc/47/99/16/753479916.db2.gz VAMYEOYROORECL-UHFFFAOYSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001046124351 753494395 /nfs/dbraw/zinc/49/43/95/753494395.db2.gz YSTJPZKNIMOSRA-ZDUSSCGKSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CC=CCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046137790 753502276 /nfs/dbraw/zinc/50/22/76/753502276.db2.gz OLMUFSKPFNKTOL-XHDPSFHLSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2CC=CCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046137790 753502281 /nfs/dbraw/zinc/50/22/81/753502281.db2.gz OLMUFSKPFNKTOL-XHDPSFHLSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CC2(F)F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046300796 753629501 /nfs/dbraw/zinc/62/95/01/753629501.db2.gz BCPHUPYVWUWSOJ-WRWORJQWSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CC2(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300796 753629505 /nfs/dbraw/zinc/62/95/05/753629505.db2.gz BCPHUPYVWUWSOJ-WRWORJQWSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CC2(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300796 753629511 /nfs/dbraw/zinc/62/95/11/753629511.db2.gz BCPHUPYVWUWSOJ-WRWORJQWSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@]1(NC(=O)c2cnn[nH]2)CCN(Cc2nnc(C3CC3)[nH]2)C1 ZINC001046445816 753725121 /nfs/dbraw/zinc/72/51/21/753725121.db2.gz UBYUAMPWPIINCW-AWEZNQCLSA-N 0 2 316.369 0.195 20 0 DCADLN C[C@]1(NC(=O)c2nccs2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046725079 753910051 /nfs/dbraw/zinc/91/00/51/753910051.db2.gz UHMJQKNGAGLJDT-LBPRGKRZSA-N 0 2 308.367 0.361 20 0 DCADLN COc1ccc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001046730700 753912594 /nfs/dbraw/zinc/91/25/94/753912594.db2.gz UCNBHMZWLFGJMQ-AWEZNQCLSA-N 0 2 321.337 0.506 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1nccs1 ZINC001096226304 754460937 /nfs/dbraw/zinc/46/09/37/754460937.db2.gz FTGYPWSLYUIEPG-VGMNWLOBSA-N 0 2 320.378 0.502 20 0 DCADLN CCCC(=O)N(C)[C@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001048066171 754598942 /nfs/dbraw/zinc/59/89/42/754598942.db2.gz ZTLBFCVCEJSWFI-OYNCUSHFSA-N 0 2 314.279 0.717 20 0 DCADLN CCCC(=O)N(C)[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC001048066171 754598945 /nfs/dbraw/zinc/59/89/45/754598945.db2.gz ZTLBFCVCEJSWFI-OYNCUSHFSA-N 0 2 314.279 0.717 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C(F)F)CCO1)C(F)C(F)(F)F ZINC001064727253 755477049 /nfs/dbraw/zinc/47/70/49/755477049.db2.gz ABUVPAYIDJNBIZ-RITPCOANSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C(F)F)CCO1)[C@H](F)C(F)(F)F ZINC001064727253 755477052 /nfs/dbraw/zinc/47/70/52/755477052.db2.gz ABUVPAYIDJNBIZ-RITPCOANSA-N 0 2 322.205 0.496 20 0 DCADLN Cc1coc(C)c1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001079650927 755944940 /nfs/dbraw/zinc/94/49/40/755944940.db2.gz RMMRGFASZMNLAU-LDYMZIIASA-N 0 2 319.365 0.970 20 0 DCADLN O=C(C=C1CCC1)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053774798 756197217 /nfs/dbraw/zinc/19/72/17/756197217.db2.gz KAZWLMCQIBDDQM-LLVKDONJSA-N 0 2 319.365 0.080 20 0 DCADLN COc1ccc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)o1 ZINC001080661982 756286296 /nfs/dbraw/zinc/28/62/96/756286296.db2.gz SQRUBWQHIQJGOD-RKDXNWHRSA-N 0 2 321.337 0.362 20 0 DCADLN CCc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)no1 ZINC001080806686 756349623 /nfs/dbraw/zinc/34/96/23/756349623.db2.gz ASHKRBZFSIQTJY-LDYMZIIASA-N 0 2 320.353 0.311 20 0 DCADLN O=C(CCCn1cccc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113670222 762465103 /nfs/dbraw/zinc/46/51/03/762465103.db2.gz XOSAFYWOKNMUNN-UHFFFAOYSA-N 0 2 318.381 0.436 20 0 DCADLN CC(C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001057009529 757020523 /nfs/dbraw/zinc/02/05/23/757020523.db2.gz HDWJXBAZPGZVLL-MRVPVSSYSA-N 0 2 302.268 0.480 20 0 DCADLN CC(C)C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001057009529 757020533 /nfs/dbraw/zinc/02/05/33/757020533.db2.gz HDWJXBAZPGZVLL-MRVPVSSYSA-N 0 2 302.268 0.480 20 0 DCADLN Cc1coc(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)c1 ZINC001084594828 757925539 /nfs/dbraw/zinc/92/55/39/757925539.db2.gz ZYIRCSRGQBKIEB-GHMZBOCLSA-N 0 2 317.349 0.758 20 0 DCADLN CC(C)C(=O)NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001065237146 758301080 /nfs/dbraw/zinc/30/10/80/758301080.db2.gz UWHIACUKKYZINM-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)NC[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001065237146 758301085 /nfs/dbraw/zinc/30/10/85/758301085.db2.gz UWHIACUKKYZINM-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CC=CCC1 ZINC001085479831 758931513 /nfs/dbraw/zinc/93/15/13/758931513.db2.gz AUPVAQNUEJOHEA-RYUDHWBXSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1ccncc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085528555 759002151 /nfs/dbraw/zinc/00/21/51/759002151.db2.gz BMPGZQVCKUTJLT-NSHDSACASA-N 0 2 316.365 0.560 20 0 DCADLN Cc1noc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001085661307 759176019 /nfs/dbraw/zinc/17/60/19/759176019.db2.gz UHAHVOYKDCJTHF-SNVBAGLBSA-N 0 2 320.353 0.462 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]1 ZINC001085674824 759180956 /nfs/dbraw/zinc/18/09/56/759180956.db2.gz FDZNFZGKIGDIHI-LLVKDONJSA-N 0 2 318.381 0.802 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CCC1(C)C ZINC001085774623 759294734 /nfs/dbraw/zinc/29/47/34/759294734.db2.gz HIKZXIWNJBHFRR-MNOVXSKESA-N 0 2 307.398 0.979 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]1 ZINC001085823440 759357862 /nfs/dbraw/zinc/35/78/62/759357862.db2.gz JEQAAEQWIJWIRH-JTQLQIEISA-N 0 2 319.369 0.197 20 0 DCADLN O=C(NC[C@H](CO)Nc1ncc(F)cn1)C(F)C(F)(F)F ZINC001122762050 767871799 /nfs/dbraw/zinc/87/17/99/767871799.db2.gz WTDKOCWOORVMOU-RQJHMYQMSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](CO)Nc1ncc(F)cn1)[C@H](F)C(F)(F)F ZINC001122762050 767871806 /nfs/dbraw/zinc/87/18/06/767871806.db2.gz WTDKOCWOORVMOU-RQJHMYQMSA-N 0 2 314.214 0.405 20 0 DCADLN CC1(C(=O)NC[C@@]2(C)CN(CCO)CCO2)CCOCC1 ZINC001108282753 760274295 /nfs/dbraw/zinc/27/42/95/760274295.db2.gz ZQTJLUMIYUUGGT-HNNXBMFYSA-N 0 2 300.399 0.003 20 0 DCADLN O=C(Cc1[nH]cc[nH+]1)NCCC[NH2+]Cc1cc2n(n1)CCC2 ZINC001169211333 760603791 /nfs/dbraw/zinc/60/37/91/760603791.db2.gz DZPRVKCSWRORKE-UHFFFAOYSA-N 0 2 302.382 0.391 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1(CF)CCC1 ZINC001109742495 761527221 /nfs/dbraw/zinc/52/72/21/761527221.db2.gz LDQSVJVZOWVNSX-VWYCJHECSA-N 0 2 323.372 0.872 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C(C)(F)F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071299538 761768788 /nfs/dbraw/zinc/76/87/88/761768788.db2.gz LGCNSMVAJVJWOZ-HTQZYQBOSA-N 0 2 303.313 0.635 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001071330046 761781220 /nfs/dbraw/zinc/78/12/20/761781220.db2.gz QUOYRFZKZAVXIC-WCBMZHEXSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cccn2C)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071359518 761794684 /nfs/dbraw/zinc/79/46/84/761794684.db2.gz VKEGQWLWGCRPPC-MNOVXSKESA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cccn2C)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071359518 761794685 /nfs/dbraw/zinc/79/46/85/761794685.db2.gz VKEGQWLWGCRPPC-MNOVXSKESA-N 0 2 318.381 0.632 20 0 DCADLN CC1(C)NC(=O)N(CCCNC(=O)C(F)C(F)(F)F)C1=O ZINC001124083665 768122323 /nfs/dbraw/zinc/12/23/23/768122323.db2.gz SXQMRSWWSIINSF-ZCFIWIBFSA-N 0 2 313.251 0.724 20 0 DCADLN CC1(C)NC(=O)N(CCCNC(=O)[C@@H](F)C(F)(F)F)C1=O ZINC001124083665 768122326 /nfs/dbraw/zinc/12/23/26/768122326.db2.gz SXQMRSWWSIINSF-ZCFIWIBFSA-N 0 2 313.251 0.724 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)C[C@@H](C)Nc1nccn2nnnc12 ZINC001115612872 765768642 /nfs/dbraw/zinc/76/86/42/765768642.db2.gz MVPYIFIHJFSPTF-ZJUUUORDSA-N 0 2 307.358 0.203 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1ncn(C)n1 ZINC001117668590 766124473 /nfs/dbraw/zinc/12/44/73/766124473.db2.gz LVGULDZDPYQZEI-UHFFFAOYSA-N 0 2 304.335 0.798 20 0 DCADLN Cc1[nH]ncc1CCCNC(=O)Cc1c(C)[nH]c(=O)[nH]c1=O ZINC001136188431 766258402 /nfs/dbraw/zinc/25/84/02/766258402.db2.gz JBJIXSHJOVTOSB-UHFFFAOYSA-N 0 2 305.338 0.519 20 0 DCADLN O=C(c1ccc2n[nH]nc2n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001118281060 766277051 /nfs/dbraw/zinc/27/70/51/766277051.db2.gz VEVRUKLDKHPFJA-SSDOTTSWSA-N 0 2 314.309 0.196 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC001136640268 766404883 /nfs/dbraw/zinc/40/48/83/766404883.db2.gz QVVLHLWKLNLDED-UHFFFAOYSA-N 0 2 311.301 0.321 20 0 DCADLN O=C(Cc1ccc(-n2cc[nH+]c2)cc1)NCCc1nc(=O)o[n-]1 ZINC001139476624 768476938 /nfs/dbraw/zinc/47/69/38/768476938.db2.gz IAEMOQHCGCLYLP-UHFFFAOYSA-N 0 2 313.317 0.450 20 0 DCADLN O=C(C=Cc1ccc(Cn2ccnc2)cc1)NCc1nn[nH]n1 ZINC001142372798 768642009 /nfs/dbraw/zinc/64/20/09/768642009.db2.gz UGLKYJDQIUJFSB-AATRIKPKSA-N 0 2 309.333 0.774 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1c[nH]c(=O)c2ccccc12 ZINC001151159206 769278990 /nfs/dbraw/zinc/27/89/90/769278990.db2.gz AHVQIAPQTOVSNJ-GFCCVEGCSA-N 0 2 303.299 0.979 20 0 DCADLN COC(=O)CN1CC[NH+](Cc2ccc(OC)c(C(=O)[O-])c2)CC1 ZINC001231753081 769281829 /nfs/dbraw/zinc/28/18/29/769281829.db2.gz ZMWXRSZXOIDAJZ-UHFFFAOYSA-N 0 2 322.361 0.684 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@H]1CCCCO1 ZINC001233664797 769422849 /nfs/dbraw/zinc/42/28/49/769422849.db2.gz WWYNNIRLEIWFRT-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[NH2+][C@@H]1CCC[C@@H](C(=O)OC)C1 ZINC001172595019 769485667 /nfs/dbraw/zinc/48/56/67/769485667.db2.gz QEPCTOLIILKPKT-MXWKQRLJSA-N 0 2 301.339 0.714 20 0 DCADLN C[C@@]1(CO)CCN(C(=O)c2c[nH]c3cccnc3c2=O)C[C@H]1O ZINC001153855661 769964386 /nfs/dbraw/zinc/96/43/86/769964386.db2.gz BTOOAMVVEMVJEF-WBMJQRKESA-N 0 2 317.345 0.129 20 0 DCADLN O=C(NCCN1CCC(F)(F)C1)c1c[nH]c2cccnc2c1=O ZINC001153862044 769970828 /nfs/dbraw/zinc/97/08/28/769970828.db2.gz MXSUJVFDWWRULT-UHFFFAOYSA-N 0 2 322.315 0.994 20 0 DCADLN Cc1nn(CC(=O)NCc2n[nH]c(=O)[nH]2)cc1Br ZINC001177017821 770297842 /nfs/dbraw/zinc/29/78/42/770297842.db2.gz GUMHXNFNJYIAJA-UHFFFAOYSA-N 0 2 315.131 0.094 20 0 DCADLN COC(=O)c1c[nH]c(C(=O)OC)c1NC(=O)Cc1n[nH]c(C)n1 ZINC001177433415 770460009 /nfs/dbraw/zinc/46/00/09/770460009.db2.gz CGCXQMNNIAHCII-UHFFFAOYSA-N 0 2 321.293 0.196 20 0 DCADLN O=C(Cc1ccnc(C(F)(F)F)c1)NCc1n[nH]c(=O)[nH]1 ZINC001177572140 770497875 /nfs/dbraw/zinc/49/78/75/770497875.db2.gz XDGNUIZVZUDWCR-UHFFFAOYSA-N 0 2 301.228 0.783 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)C1=NO[C@H](c2ccccc2)C1 ZINC001178805021 770868514 /nfs/dbraw/zinc/86/85/14/770868514.db2.gz BZEZUHXDVGYUTM-CABZTGNLSA-N 0 2 305.315 0.929 20 0 DCADLN Cc1cnc2c(C(=O)NCCCc3n[nH]c(=O)[nH]3)cnn2c1 ZINC001182832260 771562719 /nfs/dbraw/zinc/56/27/19/771562719.db2.gz GYASFWJWNYPLJW-UHFFFAOYSA-N 0 2 301.310 0.224 20 0 DCADLN CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110381781 772045959 /nfs/dbraw/zinc/04/59/59/772045959.db2.gz VYHRKXBCYKIVCW-MXWKQRLJSA-N 0 2 309.370 0.158 20 0 DCADLN CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110381781 772045965 /nfs/dbraw/zinc/04/59/65/772045965.db2.gz VYHRKXBCYKIVCW-MXWKQRLJSA-N 0 2 309.370 0.158 20 0 DCADLN COC[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110386661 772112273 /nfs/dbraw/zinc/11/22/73/772112273.db2.gz TYPOZDNZSUNWJG-ZDCRXTMVSA-N 0 2 309.370 0.014 20 0 DCADLN CCOCCS(=O)(=O)Nc1csc(N2CCOCC2)n1 ZINC001187245750 772152875 /nfs/dbraw/zinc/15/28/75/772152875.db2.gz DLAYYRMGDDSBOO-UHFFFAOYSA-N 0 2 321.424 0.758 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cccc2nnsc21 ZINC001188393920 772297177 /nfs/dbraw/zinc/29/71/77/772297177.db2.gz UMUIOGFFHGOYQF-UHFFFAOYSA-N 0 2 301.349 0.996 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)Cc1cc(F)ccc1F)[C@@H](C)O ZINC001189700125 772519315 /nfs/dbraw/zinc/51/93/15/772519315.db2.gz JSEWLGXVQOYGPV-HQJQHLMTSA-N 0 2 323.317 0.307 20 0 DCADLN CC(C)NC(=O)C[NH+](C)[C@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190947601 772696284 /nfs/dbraw/zinc/69/62/84/772696284.db2.gz RCBNWMAICWNCCW-LSDHHAIUSA-N 0 2 324.469 0.528 20 0 DCADLN C[NH+](CC(=O)NC1CC1)[C@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190947666 772696443 /nfs/dbraw/zinc/69/64/43/772696443.db2.gz RXUZINOBEPQKKU-GJZGRUSLSA-N 0 2 322.453 0.282 20 0 DCADLN CC(C)NC(=O)C[NH+](C)[C@@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190947598 772696883 /nfs/dbraw/zinc/69/68/83/772696883.db2.gz RCBNWMAICWNCCW-CABCVRRESA-N 0 2 324.469 0.528 20 0 DCADLN C[C@]1(CO)CCN(C(=O)c2c(F)ccc(F)c2O)C[C@@H]1O ZINC001192694414 772948930 /nfs/dbraw/zinc/94/89/30/772948930.db2.gz XBKYCMGMXAYEIS-IINYFYTJSA-N 0 2 301.289 0.876 20 0 DCADLN COC(=O)[C@H]1CN(C)CCN1C(=O)c1ccc(O)c(F)c1F ZINC001192839830 772968472 /nfs/dbraw/zinc/96/84/72/772968472.db2.gz NAIWPXHIBVBQJF-SECBINFHSA-N 0 2 314.288 0.600 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C(=O)C(C)(C)C ZINC001206692519 773100193 /nfs/dbraw/zinc/10/01/93/773100193.db2.gz OVMDTRSTDQXLPI-RKDXNWHRSA-N 0 2 309.370 0.062 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cccnc2OC2COC2)[nH]n1 ZINC001194282176 773175475 /nfs/dbraw/zinc/17/54/75/773175475.db2.gz DGVBOTWHHTXWIC-UHFFFAOYSA-N 0 2 318.289 0.621 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cccnc2OC2COC2)n[nH]1 ZINC001194282176 773175477 /nfs/dbraw/zinc/17/54/77/773175477.db2.gz DGVBOTWHHTXWIC-UHFFFAOYSA-N 0 2 318.289 0.621 20 0 DCADLN Cn1ncc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(F)C(F)(F)F)n1 ZINC001114308574 773209570 /nfs/dbraw/zinc/20/95/70/773209570.db2.gz JBFHTYWKLFAOCC-XFWSIPNHSA-N 0 2 321.278 0.262 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2ccc(F)nc2F)n1 ZINC001195127200 773331996 /nfs/dbraw/zinc/33/19/96/773331996.db2.gz HTYZZPGAQBKERZ-UHFFFAOYSA-N 0 2 304.282 0.728 20 0 DCADLN C[C@H](CC(F)F)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208030904 773381059 /nfs/dbraw/zinc/38/10/59/773381059.db2.gz PYJIHICHAJHMSY-IWSPIJDZSA-N 0 2 317.340 0.738 20 0 DCADLN C[C@H](CC(F)F)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208030904 773381068 /nfs/dbraw/zinc/38/10/68/773381068.db2.gz PYJIHICHAJHMSY-IWSPIJDZSA-N 0 2 317.340 0.738 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cncc(N2CCCC2)c1 ZINC001195941865 773498956 /nfs/dbraw/zinc/49/89/56/773498956.db2.gz JNJCYBSMPVWOBO-UHFFFAOYSA-N 0 2 313.379 0.987 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(N2CCCCC2)cn1 ZINC001197948702 773827009 /nfs/dbraw/zinc/82/70/09/773827009.db2.gz DLUWQHUJXPIIQR-UHFFFAOYSA-N 0 2 302.338 0.826 20 0 DCADLN COc1cc(F)cc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)c1 ZINC001213927778 773902892 /nfs/dbraw/zinc/90/28/92/773902892.db2.gz BEDUQGGRWAKGRZ-UHFFFAOYSA-N 0 2 304.237 0.928 20 0 DCADLN COc1c(F)cccc1-c1noc(-c2c[nH]c(=O)c(=O)[nH]2)n1 ZINC001213928529 773904392 /nfs/dbraw/zinc/90/43/92/773904392.db2.gz ZKNTVDULFVRVSD-UHFFFAOYSA-N 0 2 304.237 0.928 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cnc3c(c2)CC(=O)N3)n1C ZINC001198360897 773915985 /nfs/dbraw/zinc/91/59/85/773915985.db2.gz HQXDLFLXWNQKCU-UHFFFAOYSA-N 0 2 307.335 0.419 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198763322 773977018 /nfs/dbraw/zinc/97/70/18/773977018.db2.gz XNELONVJHDRCQS-PHIMTYICSA-N 0 2 310.375 0.852 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198763322 773977020 /nfs/dbraw/zinc/97/70/20/773977020.db2.gz XNELONVJHDRCQS-PHIMTYICSA-N 0 2 310.375 0.852 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O)[NH+](C)C ZINC001219848270 774627280 /nfs/dbraw/zinc/62/72/80/774627280.db2.gz LHJSGFLMCVIQDB-NIRPKFQOSA-N 0 2 303.834 0.486 20 0 DCADLN O=C(NCCCn1cc[nH+]c1)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC001220541767 774840536 /nfs/dbraw/zinc/84/05/36/774840536.db2.gz XFICJGAJYHWDLY-LLVKDONJSA-N 0 2 319.369 0.686 20 0 DCADLN CCC[C@H](C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221456798 775109105 /nfs/dbraw/zinc/10/91/05/775109105.db2.gz CFRLPNYOJAQLMI-QJPTWQEYSA-N 0 2 307.398 0.979 20 0 DCADLN CC/C(C)=C\C(=O)N1C[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001221533803 775143691 /nfs/dbraw/zinc/14/36/91/775143691.db2.gz SRRWNBFILVKINT-CIYHXPSUSA-N 0 2 305.382 0.899 20 0 DCADLN CC/C(C)=C\C(=O)N1C[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001221533803 775143697 /nfs/dbraw/zinc/14/36/97/775143697.db2.gz SRRWNBFILVKINT-CIYHXPSUSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095844144 775804766 /nfs/dbraw/zinc/80/47/66/775804766.db2.gz MJJDILJDEAOQSQ-MIMYLULJSA-N 0 2 317.353 0.077 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001227090575 775926297 /nfs/dbraw/zinc/92/62/97/775926297.db2.gz FAQHXZXFCHETLR-ONEGZZNKSA-N 0 2 316.365 0.632 20 0 DCADLN C[C@@H](c1ccccn1)[N@@H+]1CC[C@@H](Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227570437 775987925 /nfs/dbraw/zinc/98/79/25/775987925.db2.gz KNMHIHRNYTWPCY-VHSXEESVSA-N 0 2 303.322 0.892 20 0 DCADLN C[C@@H](c1ccccn1)[N@H+]1CC[C@@H](Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227570437 775987931 /nfs/dbraw/zinc/98/79/31/775987931.db2.gz KNMHIHRNYTWPCY-VHSXEESVSA-N 0 2 303.322 0.892 20 0 DCADLN C[N@@H+]1CCO[C@@H](COc2ccc(-n3nn[n-]c3=S)cc2)C1 ZINC001228438866 776083541 /nfs/dbraw/zinc/08/35/41/776083541.db2.gz RCOGIEIVLCPQFD-GFCCVEGCSA-N 0 2 307.379 0.660 20 0 DCADLN C[N@H+]1CCO[C@@H](COc2ccc(-n3nn[n-]c3=S)cc2)C1 ZINC001228438866 776083547 /nfs/dbraw/zinc/08/35/47/776083547.db2.gz RCOGIEIVLCPQFD-GFCCVEGCSA-N 0 2 307.379 0.660 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CCOCC(F)(F)C(F)F ZINC001593492509 1167876477 /nfs/dbraw/zinc/87/64/77/1167876477.db2.gz JHDCHCDSYCSNRF-UHFFFAOYSA-N 0 2 321.234 0.945 20 0 DCADLN O=C([O-])c1nscc1S(=O)(=O)N1CC[C@@H]([NH+]2CCC2)C1 ZINC001602586503 1169127221 /nfs/dbraw/zinc/12/72/21/1169127221.db2.gz CRKCVDZWXKIHNU-MRVPVSSYSA-N 0 2 317.392 0.310 20 0 DCADLN Cc1cc(C(=O)[O-])cc(C(=O)N[C@H]2CCC[N@H+](CC(N)=O)C2)c1 ZINC001600109149 970764657 /nfs/dbraw/zinc/76/46/57/970764657.db2.gz LVMJDMDYKHYOEG-ZDUSSCGKSA-N 0 2 319.361 0.373 20 0 DCADLN Cc1cc(C(=O)[O-])cc(C(=O)N[C@H]2CCC[N@@H+](CC(N)=O)C2)c1 ZINC001600109149 970764660 /nfs/dbraw/zinc/76/46/60/970764660.db2.gz LVMJDMDYKHYOEG-ZDUSSCGKSA-N 0 2 319.361 0.373 20 0 DCADLN Cn1[n-]nnc1=NC(=O)c1noc2c1C[N@H+](CCC1CC1)CC2 ZINC001278196258 945175533 /nfs/dbraw/zinc/17/55/33/945175533.db2.gz HVPDRYMWLKETPA-UHFFFAOYSA-N 0 2 317.353 0.031 20 0 DCADLN Cn1[n-]nnc1=NC(=O)c1noc2c1C[N@@H+](CCC1CC1)CC2 ZINC001278196258 945175542 /nfs/dbraw/zinc/17/55/42/945175542.db2.gz HVPDRYMWLKETPA-UHFFFAOYSA-N 0 2 317.353 0.031 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC=CCC1 ZINC001266584208 939171006 /nfs/dbraw/zinc/17/10/06/939171006.db2.gz XBTSRRLULFZYTF-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC=CCC1 ZINC001266584208 939171007 /nfs/dbraw/zinc/17/10/07/939171007.db2.gz XBTSRRLULFZYTF-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN CN(CCNC(=O)[C@]12C[C@H]1COC2)C(=O)C(F)C(F)(F)F ZINC001408589259 939392606 /nfs/dbraw/zinc/39/26/06/939392606.db2.gz IGTIWXXWONGOFY-RNSXUZJQSA-N 0 2 312.263 0.498 20 0 DCADLN CN(CCNC(=O)[C@]12C[C@H]1COC2)C(=O)[C@@H](F)C(F)(F)F ZINC001408589259 939392609 /nfs/dbraw/zinc/39/26/09/939392609.db2.gz IGTIWXXWONGOFY-RNSXUZJQSA-N 0 2 312.263 0.498 20 0 DCADLN CCCSCC(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001267260771 939406829 /nfs/dbraw/zinc/40/68/29/939406829.db2.gz NENRNUVRLUBTJX-JTQLQIEISA-N 0 2 313.427 0.734 20 0 DCADLN CN(CCNC(=O)CCCC(N)=O)C(=O)C(F)C(F)(F)F ZINC001408612985 939469104 /nfs/dbraw/zinc/46/91/04/939469104.db2.gz PZVMZTIYCYCCEB-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN CN(CCNC(=O)CCCC(N)=O)C(=O)[C@H](F)C(F)(F)F ZINC001408612985 939469105 /nfs/dbraw/zinc/46/91/05/939469105.db2.gz PZVMZTIYCYCCEB-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN O=C(CCC(F)F)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481050016 939541543 /nfs/dbraw/zinc/54/15/43/939541543.db2.gz VGXLUPBJGWTIPP-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN O=C(CCC(F)F)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481050016 939541544 /nfs/dbraw/zinc/54/15/44/939541544.db2.gz VGXLUPBJGWTIPP-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN Cc1nc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)co1 ZINC001481166840 939592679 /nfs/dbraw/zinc/59/26/79/939592679.db2.gz VKOKSRKERCHENC-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1nc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)co1 ZINC001481166840 939592681 /nfs/dbraw/zinc/59/26/81/939592681.db2.gz VKOKSRKERCHENC-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccc(Cl)[nH]1 ZINC001481185467 939600272 /nfs/dbraw/zinc/60/02/72/939600272.db2.gz DGWGJKXHLBVJMG-UHFFFAOYSA-N 0 2 324.772 0.886 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccc(Cl)[nH]1 ZINC001481185467 939600274 /nfs/dbraw/zinc/60/02/74/939600274.db2.gz DGWGJKXHLBVJMG-UHFFFAOYSA-N 0 2 324.772 0.886 20 0 DCADLN O=C(CC1=CCCCC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481617443 939974159 /nfs/dbraw/zinc/97/41/59/939974159.db2.gz HRYZBWRANHQWNJ-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN NC(=O)c1nnc2n1CCN(C(=O)c1cccc(Cl)c1O)C2 ZINC001269757506 940771413 /nfs/dbraw/zinc/77/14/13/940771413.db2.gz VLZZCZHTZQVJGH-UHFFFAOYSA-N 0 2 321.724 0.392 20 0 DCADLN COC(=O)c1ccc(C[NH+]2CC(n3cc(C(=O)[O-])nn3)C2)cc1 ZINC000321659645 970794588 /nfs/dbraw/zinc/79/45/88/970794588.db2.gz QULSHFPEKNABPP-UHFFFAOYSA-N 0 2 316.317 0.820 20 0 DCADLN Cn1nccc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060451 941300119 /nfs/dbraw/zinc/30/01/19/941300119.db2.gz LMABFQWZHGLRPU-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1nccc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409060451 941300124 /nfs/dbraw/zinc/30/01/24/941300124.db2.gz LMABFQWZHGLRPU-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN COCC(C)(C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409061353 941301848 /nfs/dbraw/zinc/30/18/48/941301848.db2.gz HUXHYTDLBRZSBL-QMMMGPOBSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(C)(C)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409061353 941301850 /nfs/dbraw/zinc/30/18/50/941301850.db2.gz HUXHYTDLBRZSBL-QMMMGPOBSA-N 0 2 314.279 0.886 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1cccnc1 ZINC001482610606 941590175 /nfs/dbraw/zinc/59/01/75/941590175.db2.gz CVXAWUMESQOMIZ-UHFFFAOYSA-N 0 2 318.381 0.428 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1cccnc1 ZINC001482610606 941590178 /nfs/dbraw/zinc/59/01/78/941590178.db2.gz CVXAWUMESQOMIZ-UHFFFAOYSA-N 0 2 318.381 0.428 20 0 DCADLN CC(C)[C@H]1CN(S(=O)(=O)c2ccc(C(=O)[O-])o2)CC[N@@H+]1C ZINC001603983881 1169463308 /nfs/dbraw/zinc/46/33/08/1169463308.db2.gz ZIGLJLVEFHSYMH-SNVBAGLBSA-N 0 2 316.379 0.939 20 0 DCADLN CC(C)[C@H]1CN(S(=O)(=O)c2ccc(C(=O)[O-])o2)CC[N@H+]1C ZINC001603983881 1169463313 /nfs/dbraw/zinc/46/33/13/1169463313.db2.gz ZIGLJLVEFHSYMH-SNVBAGLBSA-N 0 2 316.379 0.939 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+][C@@H](C)c2csnn2)c1[O-] ZINC001482997714 941766089 /nfs/dbraw/zinc/76/60/89/941766089.db2.gz RPDUZUMLRUIOET-RTMURIBGSA-N 0 2 322.394 0.912 20 0 DCADLN Cc1nc(CC(=O)NC[C@H](C)[N@H+](C)Cc2ncccc2C)n[nH]1 ZINC001409561958 942054538 /nfs/dbraw/zinc/05/45/38/942054538.db2.gz YBGHDIGKRGRLIH-LBPRGKRZSA-N 0 2 316.409 0.996 20 0 DCADLN Cc1ccncc1CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409571191 942061943 /nfs/dbraw/zinc/06/19/43/942061943.db2.gz VNGVXKMCZXTEHT-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ccncc1CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409571191 942061948 /nfs/dbraw/zinc/06/19/48/942061948.db2.gz VNGVXKMCZXTEHT-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)c1cccnc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409648209 942118405 /nfs/dbraw/zinc/11/84/05/942118405.db2.gz PZZMMZFPAFGULF-GHMZBOCLSA-N 0 2 318.381 0.646 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)c1cccnc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409648209 942118406 /nfs/dbraw/zinc/11/84/06/942118406.db2.gz PZZMMZFPAFGULF-GHMZBOCLSA-N 0 2 318.381 0.646 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001483397140 942327591 /nfs/dbraw/zinc/32/75/91/942327591.db2.gz GITHPBRFKJQETI-QMMMGPOBSA-N 0 2 319.369 0.167 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001483397140 942327597 /nfs/dbraw/zinc/32/75/97/942327597.db2.gz GITHPBRFKJQETI-QMMMGPOBSA-N 0 2 319.369 0.167 20 0 DCADLN C[C@@H]1CC[C@@H](O)CN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001413239786 942581109 /nfs/dbraw/zinc/58/11/09/942581109.db2.gz MODCLUJOBXOEEL-BXKDBHETSA-N 0 2 318.333 0.252 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1(CO)CC1 ZINC001413272616 942597983 /nfs/dbraw/zinc/59/79/83/942597983.db2.gz PBBHFEDGZJIUNN-SECBINFHSA-N 0 2 318.333 0.157 20 0 DCADLN C[C@H](NC(=O)Cc1ccsc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409874022 942638641 /nfs/dbraw/zinc/63/86/41/942638641.db2.gz YBCSZRMVMQEKJH-VIFPVBQESA-N 0 2 321.406 0.751 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnnn2C)C1 ZINC001409898167 942888539 /nfs/dbraw/zinc/88/85/39/942888539.db2.gz FYNUPBCIJNAFJN-XVKPBYJWSA-N 0 2 323.294 0.652 20 0 DCADLN CC(=O)Nc1cnccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001413345618 942912141 /nfs/dbraw/zinc/91/21/41/942912141.db2.gz RZGQTXHQGKXJLR-UHFFFAOYSA-N 0 2 303.278 0.171 20 0 DCADLN C[C@@H](NC(=O)Cc1cncs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409908422 942932622 /nfs/dbraw/zinc/93/26/22/942932622.db2.gz MAMCYBZYBXUTEV-MRVPVSSYSA-N 0 2 322.394 0.146 20 0 DCADLN C[C@H](CC(N)=O)C(=O)Nc1nccc(Br)c1O ZINC001413373249 942935064 /nfs/dbraw/zinc/93/50/64/942935064.db2.gz DADQLNYYZAHPSL-RXMQYKEDSA-N 0 2 302.128 1.000 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H]1COCCO1 ZINC001413409283 942957628 /nfs/dbraw/zinc/95/76/28/942957628.db2.gz OHVMILYIXBFRDM-RYUDHWBXSA-N 0 2 318.333 0.326 20 0 DCADLN O=C(N[C@H](CO)Cc1c[nH]c[nH+]1)c1s[n-]c(=O)c1Cl ZINC001413423630 942968025 /nfs/dbraw/zinc/96/80/25/942968025.db2.gz ANZBXHGFRMSSBZ-LURJTMIESA-N 0 2 302.743 0.559 20 0 DCADLN O=C(N[C@H](CO)Cc1c[nH+]c[nH]1)c1s[n-]c(=O)c1Cl ZINC001413423630 942968029 /nfs/dbraw/zinc/96/80/29/942968029.db2.gz ANZBXHGFRMSSBZ-LURJTMIESA-N 0 2 302.743 0.559 20 0 DCADLN CC[C@H](CC(F)F)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001483866974 942992273 /nfs/dbraw/zinc/99/22/73/942992273.db2.gz OKMLQQMDCYHUJN-MRVPVSSYSA-N 0 2 317.340 0.834 20 0 DCADLN O=C(c1ccc(O)cc1O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001413565160 943080106 /nfs/dbraw/zinc/08/01/06/943080106.db2.gz VFQMXIVWTBCXOA-SECBINFHSA-N 0 2 303.322 0.706 20 0 DCADLN O=C(NCCN(CCO)C(=O)C1CCC1)C(F)C(F)(F)F ZINC001056764727 943402177 /nfs/dbraw/zinc/40/21/77/943402177.db2.gz IOUYULLVTMWAJP-VIFPVBQESA-N 0 2 314.279 0.624 20 0 DCADLN O=C(NCCN(CCO)C(=O)C1CCC1)[C@H](F)C(F)(F)F ZINC001056764727 943402181 /nfs/dbraw/zinc/40/21/81/943402181.db2.gz IOUYULLVTMWAJP-VIFPVBQESA-N 0 2 314.279 0.624 20 0 DCADLN Cn1ncc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1=O ZINC001413680868 943571867 /nfs/dbraw/zinc/57/18/67/943571867.db2.gz UMOITBFUFASONG-QMMMGPOBSA-N 0 2 319.325 0.016 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCNC(=O)CC2CC2)cn1 ZINC001491064440 943730834 /nfs/dbraw/zinc/73/08/34/943730834.db2.gz ZBGKCBXCDZSZON-UHFFFAOYSA-N 0 2 316.365 0.456 20 0 DCADLN CC(C)(C)NC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001491123371 943772324 /nfs/dbraw/zinc/77/23/24/943772324.db2.gz VJVGOLFEGUJDDV-NSHDSACASA-N 0 2 322.413 0.161 20 0 DCADLN C[C@@H](CNC(=O)c1cnn[nH]1)N(C)Cc1nnc(C(C)(C)C)[nH]1 ZINC001485324264 943847468 /nfs/dbraw/zinc/84/74/68/943847468.db2.gz YBICGYPJNLQFNS-VIFPVBQESA-N 0 2 320.401 0.471 20 0 DCADLN CO[C@@H](C)CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491241554 943864935 /nfs/dbraw/zinc/86/49/35/943864935.db2.gz BNSKLFROENLRFZ-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CO[C@@H](C)CCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491241554 943864938 /nfs/dbraw/zinc/86/49/38/943864938.db2.gz BNSKLFROENLRFZ-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](OC)C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001485563248 944006683 /nfs/dbraw/zinc/00/66/83/944006683.db2.gz JXFVDIIBNJWYGY-UWVGGRQHSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)NNc1nncc(N)c1Cl ZINC001319380673 945573989 /nfs/dbraw/zinc/57/39/89/945573989.db2.gz UFHVUWJWMOYCIZ-UHFFFAOYSA-N 0 2 317.762 0.358 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)OCc3n[nH]c(=O)[nH]3)cn(C)c2n1 ZINC001319797520 945668378 /nfs/dbraw/zinc/66/83/78/945668378.db2.gz WGSDATKZOLFHIA-UHFFFAOYSA-N 0 2 315.289 0.423 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001410577604 946586609 /nfs/dbraw/zinc/58/66/09/946586609.db2.gz RKYGSRPUYVXLQX-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001410577604 946586621 /nfs/dbraw/zinc/58/66/21/946586621.db2.gz RKYGSRPUYVXLQX-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccccc2Cl)S1 ZINC001256341406 946620357 /nfs/dbraw/zinc/62/03/57/946620357.db2.gz KKBPOJVVLXKJKA-QMMMGPOBSA-N 0 2 306.752 0.927 20 0 DCADLN CCOC(=O)c1cccc(NS(=O)(=O)CC(=O)OC)c1 ZINC001259025344 946899845 /nfs/dbraw/zinc/89/98/45/946899845.db2.gz SMIQSGNXMQKNMD-UHFFFAOYSA-N 0 2 301.320 0.778 20 0 DCADLN CCOC(=O)[C@@H](NS(=O)(=O)c1ccccn1)c1cnccn1 ZINC001259292759 946939540 /nfs/dbraw/zinc/93/95/40/946939540.db2.gz FJYKSLHLGVXGGB-LBPRGKRZSA-N 0 2 322.346 0.454 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cc(C(F)(F)F)nn2C)cn1 ZINC001259820343 946986974 /nfs/dbraw/zinc/98/69/74/946986974.db2.gz NHXBHJLXSXIMJO-UHFFFAOYSA-N 0 2 309.273 0.973 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001410891681 947090628 /nfs/dbraw/zinc/09/06/28/947090628.db2.gz JJVWVWCTZAFILB-OIBJUYFYSA-N 0 2 314.279 0.981 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001410891681 947090640 /nfs/dbraw/zinc/09/06/40/947090640.db2.gz JJVWVWCTZAFILB-OIBJUYFYSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@H](F)C(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC001410916116 947124343 /nfs/dbraw/zinc/12/43/43/947124343.db2.gz VYVUVMUBTZUXHT-PKFCDNJMSA-N 0 2 311.361 0.872 20 0 DCADLN CC[C@H](F)C(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC001410916116 947124351 /nfs/dbraw/zinc/12/43/51/947124351.db2.gz VYVUVMUBTZUXHT-PKFCDNJMSA-N 0 2 311.361 0.872 20 0 DCADLN O=c1[nH]c(=O)c2cc(S(=O)(=O)Nc3nnco3)ccc2[nH]1 ZINC001260610082 947130397 /nfs/dbraw/zinc/13/03/97/947130397.db2.gz KIKJSPZIEABHIT-UHFFFAOYSA-N 0 2 309.263 0.225 20 0 DCADLN CC(=O)Nc1ncc(S(=O)(=O)Nc2ncn(C)n2)s1 ZINC001260700010 947140130 /nfs/dbraw/zinc/14/01/30/947140130.db2.gz QRJKXDWSOMJRIH-UHFFFAOYSA-N 0 2 302.341 0.031 20 0 DCADLN O=S(=O)(Nc1cnc(Br)nc1)c1cn[nH]c1 ZINC001260953998 947156772 /nfs/dbraw/zinc/15/67/72/947156772.db2.gz DZNMDFBVSZPXQK-UHFFFAOYSA-N 0 2 304.129 0.763 20 0 DCADLN CCC(=O)NC[C@@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001411136685 947379520 /nfs/dbraw/zinc/37/95/20/947379520.db2.gz CHPHVKCBFVYJTE-WPRPVWTQSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)NC[C@@]1(O)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001411136685 947379532 /nfs/dbraw/zinc/37/95/32/947379532.db2.gz CHPHVKCBFVYJTE-WPRPVWTQSA-N 0 2 300.252 0.376 20 0 DCADLN C[C@@H](CCCNC(=O)CC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001487507934 947718541 /nfs/dbraw/zinc/71/85/41/947718541.db2.gz NLJMSBJVHAUFKT-RCOVLWMOSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CCCNC(=O)CC(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001487507934 947718543 /nfs/dbraw/zinc/71/85/43/947718543.db2.gz NLJMSBJVHAUFKT-RCOVLWMOSA-N 0 2 315.267 0.163 20 0 DCADLN CC(C)[C@@H](CCNC(=O)c1ccn[nH]1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001487598217 948140922 /nfs/dbraw/zinc/14/09/22/948140922.db2.gz XISWEPBGVYGXRD-GFCCVEGCSA-N 0 2 318.381 0.636 20 0 DCADLN Cc1cc(NC(=O)C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001326385882 948145491 /nfs/dbraw/zinc/14/54/91/948145491.db2.gz SSEDMBPLXGHKOU-MRVPVSSYSA-N 0 2 320.309 0.151 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)OCc1nnc[nH]1 ZINC001329398877 949558624 /nfs/dbraw/zinc/55/86/24/949558624.db2.gz AMWNYUNZPPDBKM-UHFFFAOYSA-N 0 2 316.321 0.846 20 0 DCADLN O=C(Cc1[nH]nc2c1CCCC2)NC1(c2nn[nH]n2)CCC1 ZINC001364661705 949718675 /nfs/dbraw/zinc/71/86/75/949718675.db2.gz GISIBUMCVLDSCP-UHFFFAOYSA-N 0 2 301.354 0.540 20 0 DCADLN O=C(Cc1n[nH]c2c1CCCC2)NC1(c2nn[nH]n2)CCC1 ZINC001364661705 949718689 /nfs/dbraw/zinc/71/86/89/949718689.db2.gz GISIBUMCVLDSCP-UHFFFAOYSA-N 0 2 301.354 0.540 20 0 DCADLN CC(C)=CC[NH+]1CC(CCO)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001329652615 949752363 /nfs/dbraw/zinc/75/23/63/949752363.db2.gz WHMJQMKPHUSTOD-UHFFFAOYSA-N 0 2 308.382 0.557 20 0 DCADLN COC(=O)[C@@H]1c2ccccc2OCCN1Cc1n[nH]c(=O)[nH]1 ZINC001364837133 950045485 /nfs/dbraw/zinc/04/54/85/950045485.db2.gz POFFOUGRMGQNNM-LBPRGKRZSA-N 0 2 304.306 0.619 20 0 DCADLN COC(=O)[C@H]1c2ccccc2OCCN1Cc1n[nH]c(=O)[nH]1 ZINC001364837132 950048023 /nfs/dbraw/zinc/04/80/23/950048023.db2.gz POFFOUGRMGQNNM-GFCCVEGCSA-N 0 2 304.306 0.619 20 0 DCADLN Cc1c(S(=O)(=O)Nc2nnc(-c3cnn(C)c3)o2)cnn1C ZINC001330195007 950185791 /nfs/dbraw/zinc/18/57/91/950185791.db2.gz YGNFOFMAWGGUGC-UHFFFAOYSA-N 0 2 323.338 0.313 20 0 DCADLN CCc1nn(C)cc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001364973013 950280445 /nfs/dbraw/zinc/28/04/45/950280445.db2.gz JHEPZKRZBHOMTR-UHFFFAOYSA-N 0 2 319.365 0.807 20 0 DCADLN CC/C=C(/C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001281090984 950292551 /nfs/dbraw/zinc/29/25/51/950292551.db2.gz OHCSCKCSRIPOSL-JYUDYDOHSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(/C)C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001281090984 950292568 /nfs/dbraw/zinc/29/25/68/950292568.db2.gz OHCSCKCSRIPOSL-JYUDYDOHSA-N 0 2 314.279 0.836 20 0 DCADLN CCS(=O)(=O)N1C[C@H](O)C[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC001365004402 950342709 /nfs/dbraw/zinc/34/27/09/950342709.db2.gz KPOFLKKBTWAVAP-NEPJUHHUSA-N 0 2 322.390 0.929 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)NCCc1ccncc1C ZINC001365267981 950850716 /nfs/dbraw/zinc/85/07/16/950850716.db2.gz TZLKHMAOEAXWSH-UHFFFAOYSA-N 0 2 303.326 0.232 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C(=O)O1 ZINC001365433114 951123951 /nfs/dbraw/zinc/12/39/51/951123951.db2.gz STGCIQGNNJCYKU-HRDYMLBCSA-N 0 2 309.326 0.103 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@H](C(=O)N[C@H]2CCC[N@H+](CCF)C2)CO1 ZINC001595003743 951170099 /nfs/dbraw/zinc/17/00/99/951170099.db2.gz NXCUCAAORMFJNN-RWMBFGLXSA-N 0 2 316.373 0.806 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@H](C(=O)N[C@H]2CCC[N@@H+](CCF)C2)CO1 ZINC001595003743 951170107 /nfs/dbraw/zinc/17/01/07/951170107.db2.gz NXCUCAAORMFJNN-RWMBFGLXSA-N 0 2 316.373 0.806 20 0 DCADLN O=C([O-])CCCC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC001595025781 951350741 /nfs/dbraw/zinc/35/07/41/951350741.db2.gz MDEGQUPSNWYPAV-AWEZNQCLSA-N 0 2 316.423 0.565 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)[C@H]1CCC[C@H]1OC ZINC001365745220 951497780 /nfs/dbraw/zinc/49/77/80/951497780.db2.gz HLRQMCVNZHMIAK-SFYZADRCSA-N 0 2 301.311 0.282 20 0 DCADLN O=C(CCCCc1cn[nH]n1)NCc1nc([C@H]2CCCO2)n[nH]1 ZINC001332923345 951851262 /nfs/dbraw/zinc/85/12/62/951851262.db2.gz DDWZMXNLBIZTKA-LLVKDONJSA-N 0 2 319.369 0.804 20 0 DCADLN O=C([O-])CSCC(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC001595126167 952014526 /nfs/dbraw/zinc/01/45/26/952014526.db2.gz LMRVCZDHLPCLDI-UHFFFAOYSA-N 0 2 323.418 0.586 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)NCC[NH+]2CC=CC2)c1F ZINC001334849645 952402496 /nfs/dbraw/zinc/40/24/96/952402496.db2.gz NPSQGUIINZOFQK-UHFFFAOYSA-N 0 2 314.338 0.674 20 0 DCADLN CNC(=O)C1(C(=O)N(C)Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC001338654617 953040629 /nfs/dbraw/zinc/04/06/29/953040629.db2.gz USTGJJFHNONTSZ-UHFFFAOYSA-N 0 2 320.374 0.882 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(-n2cccn2)c(F)c1 ZINC001339890820 953128586 /nfs/dbraw/zinc/12/85/86/953128586.db2.gz KCLBBFBOZCMVKO-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN COCCCNC(=O)Cc1noc(C(=O)Nc2cc(C)on2)n1 ZINC001343848007 953386834 /nfs/dbraw/zinc/38/68/34/953386834.db2.gz PGPLHTHPBWNGSS-UHFFFAOYSA-N 0 2 323.309 0.314 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H]([C@@H](F)C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001344531505 953491466 /nfs/dbraw/zinc/49/14/66/953491466.db2.gz FCDNQXMQEHXRSR-RBDCFEFUSA-N 0 2 314.357 0.536 20 0 DCADLN C[C@H]1CCCN(S(=O)(=O)CCn2cc[nH+]c2)[C@@H]1C(=O)[O-] ZINC001589333187 953962690 /nfs/dbraw/zinc/96/26/90/953962690.db2.gz KXLDTVHRKNAGIM-QWRGUYRKSA-N 0 2 301.368 0.398 20 0 DCADLN C[C@@H]1CCN(c2nnc([C@@H]3C[C@H](O)C[N@@H+]3C)n2C)C[C@H]1C(=O)[O-] ZINC001589363794 954173311 /nfs/dbraw/zinc/17/33/11/954173311.db2.gz ZALZAGAYUKFHAF-KXNHARMFSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1CCN(c2nnc([C@@H]3C[C@H](O)C[N@H+]3C)n2C)C[C@H]1C(=O)[O-] ZINC001589363794 954173318 /nfs/dbraw/zinc/17/33/18/954173318.db2.gz ZALZAGAYUKFHAF-KXNHARMFSA-N 0 2 323.397 0.100 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001593733077 954327300 /nfs/dbraw/zinc/32/73/00/954327300.db2.gz QGSVAWHJSHSSKE-UHFFFAOYSA-N 0 2 316.317 0.744 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC001593742474 954366305 /nfs/dbraw/zinc/36/63/05/954366305.db2.gz UNJXGDGQXLNMSB-UHFFFAOYSA-N 0 2 322.409 0.955 20 0 DCADLN Cc1ccncc1CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001366540541 954388559 /nfs/dbraw/zinc/38/85/59/954388559.db2.gz LDCYMTCBWHBXQX-UHFFFAOYSA-N 0 2 304.354 0.004 20 0 DCADLN Cc1ccncc1CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001366540541 954388576 /nfs/dbraw/zinc/38/85/76/954388576.db2.gz LDCYMTCBWHBXQX-UHFFFAOYSA-N 0 2 304.354 0.004 20 0 DCADLN CN(CCCNC(=O)c1cn(C)cn1)C(=O)C(F)C(F)(F)F ZINC001283521590 954420350 /nfs/dbraw/zinc/42/03/50/954420350.db2.gz LAQJSACWMWQECR-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)c1cn(C)cn1)C(=O)[C@H](F)C(F)(F)F ZINC001283521590 954420355 /nfs/dbraw/zinc/42/03/55/954420355.db2.gz LAQJSACWMWQECR-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN O=C([O-])C1(NS(=O)(=O)CCn2cc[nH+]c2)CCCCC1 ZINC001594883569 954449150 /nfs/dbraw/zinc/44/91/50/954449150.db2.gz ZRRLCNWCKHKISI-UHFFFAOYSA-N 0 2 301.368 0.590 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC001589402728 954451944 /nfs/dbraw/zinc/45/19/44/954451944.db2.gz YWDKZAFZPXDDNN-GHMZBOCLSA-N 0 2 319.427 0.052 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC001589402728 954451951 /nfs/dbraw/zinc/45/19/51/954451951.db2.gz YWDKZAFZPXDDNN-GHMZBOCLSA-N 0 2 319.427 0.052 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)C(=O)NCC[N@H+](C)Cc1ccccn1 ZINC001366584715 954461137 /nfs/dbraw/zinc/46/11/37/954461137.db2.gz BNONTSPTYYKRKP-OAHLLOKOSA-N 0 2 320.437 0.598 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](C)CC(=O)[O-] ZINC001603002711 972049113 /nfs/dbraw/zinc/04/91/13/972049113.db2.gz GMYVSAWDIKXZJG-PWSUYJOCSA-N 0 2 324.381 0.463 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](C)CC(=O)[O-] ZINC001603002711 972049120 /nfs/dbraw/zinc/04/91/20/972049120.db2.gz GMYVSAWDIKXZJG-PWSUYJOCSA-N 0 2 324.381 0.463 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC001594957946 954995361 /nfs/dbraw/zinc/99/53/61/954995361.db2.gz WNWOLAZURJDLSW-LBPRGKRZSA-N 0 2 317.301 0.504 20 0 DCADLN CO[C@@]1(CNc2ccc(C(=O)[O-])c[nH+]2)CCS(=O)(=O)C1 ZINC000720881023 955183876 /nfs/dbraw/zinc/18/38/76/955183876.db2.gz UKJMMTYTOOGUIV-GFCCVEGCSA-N 0 2 300.336 0.395 20 0 DCADLN C[C@@H](CC(=O)O[C@@H]1CCCCN(CC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001589007158 955369531 /nfs/dbraw/zinc/36/95/31/955369531.db2.gz DFMYBNYIWAFPOC-NWDGAFQWSA-N 0 2 323.349 0.843 20 0 DCADLN COCCCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001284971687 955377393 /nfs/dbraw/zinc/37/73/93/955377393.db2.gz HVSQDQYQIINTBU-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN COCCCC(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001284971687 955377410 /nfs/dbraw/zinc/37/74/10/955377410.db2.gz HVSQDQYQIINTBU-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN Cn1cc([C@H]2CSCCC[N@@H+]2CC(=O)NCC(=O)[O-])cn1 ZINC001594536929 955582284 /nfs/dbraw/zinc/58/22/84/955582284.db2.gz GTOAIEXZYPREME-LLVKDONJSA-N 0 2 312.395 0.101 20 0 DCADLN Cn1cc([C@H]2CSCCC[N@H+]2CC(=O)NCC(=O)[O-])cn1 ZINC001594536929 955582297 /nfs/dbraw/zinc/58/22/97/955582297.db2.gz GTOAIEXZYPREME-LLVKDONJSA-N 0 2 312.395 0.101 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001416764827 955746293 /nfs/dbraw/zinc/74/62/93/955746293.db2.gz JTEDANFNVROJEL-HTQZYQBOSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001416764827 955746302 /nfs/dbraw/zinc/74/63/02/955746302.db2.gz JTEDANFNVROJEL-HTQZYQBOSA-N 0 2 311.235 0.122 20 0 DCADLN C[C@@H](NC(=O)Nc1ccnc(C(=O)[O-])c1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001589108064 956039110 /nfs/dbraw/zinc/03/91/10/956039110.db2.gz CWVXEFNBWBNTBM-MFKMUULPSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@@H](NC(=O)Nc1ccnc(C(=O)[O-])c1)[C@@H]1CN(C)CC[N@H+]1C ZINC001589108064 956039121 /nfs/dbraw/zinc/03/91/21/956039121.db2.gz CWVXEFNBWBNTBM-MFKMUULPSA-N 0 2 321.381 0.536 20 0 DCADLN COC[C@H](C)CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416051846 956215900 /nfs/dbraw/zinc/21/59/00/956215900.db2.gz YZTYDWXGGBOQPO-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN COC[C@H](C)CC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416051846 956215906 /nfs/dbraw/zinc/21/59/06/956215906.db2.gz YZTYDWXGGBOQPO-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@@]1(C(F)(F)F)CC[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001593941844 956283966 /nfs/dbraw/zinc/28/39/66/956283966.db2.gz KDHUAWKEOHNUFQ-KCJUWKMLSA-N 0 2 310.272 0.325 20 0 DCADLN CO[C@@]1(C(F)(F)F)CC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001593941844 956283973 /nfs/dbraw/zinc/28/39/73/956283973.db2.gz KDHUAWKEOHNUFQ-KCJUWKMLSA-N 0 2 310.272 0.325 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(C)Cc3ccn(C)n3)n2C)c1=O ZINC001355717620 956707653 /nfs/dbraw/zinc/70/76/53/956707653.db2.gz DEBXQXJBYRRLGU-UHFFFAOYSA-N 0 2 316.369 0.774 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1ccon1 ZINC001357624808 957337943 /nfs/dbraw/zinc/33/79/43/957337943.db2.gz AYZXROMHAXKSSM-JQSQLHRZSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1ccon1 ZINC001357624808 957337950 /nfs/dbraw/zinc/33/79/50/957337950.db2.gz AYZXROMHAXKSSM-JQSQLHRZSA-N 0 2 309.219 0.977 20 0 DCADLN COCc1cc(C[NH2+][C@@H]2CCCCN(CC(=O)[O-])C2=O)no1 ZINC001594028142 957728061 /nfs/dbraw/zinc/72/80/61/957728061.db2.gz VRYILOOWEWEXDX-GFCCVEGCSA-N 0 2 311.338 0.376 20 0 DCADLN C[C@H](CNCc1nnn(C)n1)N(C)C(=O)C(F)C(F)(F)F ZINC001367402808 957773797 /nfs/dbraw/zinc/77/37/97/957773797.db2.gz BNNOUUPIPNNSJY-HTRCEHHLSA-N 0 2 312.271 0.047 20 0 DCADLN Cn1ncc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1Cl ZINC001361554735 958079778 /nfs/dbraw/zinc/07/97/78/958079778.db2.gz NCSGOGMTJLWQLU-UHFFFAOYSA-N 0 2 307.701 0.097 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001446987514 1013167671 /nfs/dbraw/zinc/16/76/71/1013167671.db2.gz MUWFYOOJQJCAJS-JGVFFNPUSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001446987514 1013167679 /nfs/dbraw/zinc/16/76/79/1013167679.db2.gz MUWFYOOJQJCAJS-JGVFFNPUSA-N 0 2 322.262 0.949 20 0 DCADLN COc1ccc(N(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1 ZINC001361894613 958459945 /nfs/dbraw/zinc/45/99/45/958459945.db2.gz SDGVVWJXXRCXEX-UHFFFAOYSA-N 0 2 313.317 0.743 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)Cn1nccc1N ZINC001463914090 1013180881 /nfs/dbraw/zinc/18/08/81/1013180881.db2.gz WYSASGZDLGJIDJ-UHFFFAOYSA-N 0 2 318.362 0.834 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)[C@H](c2ccccc2)C1 ZINC001362050687 958656607 /nfs/dbraw/zinc/65/66/07/958656607.db2.gz RVEZIQAJNFQKAZ-LBPRGKRZSA-N 0 2 317.349 0.205 20 0 DCADLN C[N@H+]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)[C@H](c2ccccc2)C1 ZINC001362050687 958656611 /nfs/dbraw/zinc/65/66/11/958656611.db2.gz RVEZIQAJNFQKAZ-LBPRGKRZSA-N 0 2 317.349 0.205 20 0 DCADLN CCc1cc(CNC(=O)c2cc(S(N)(=O)=O)ccc2O)n[nH]1 ZINC001362079696 958705993 /nfs/dbraw/zinc/70/59/93/958705993.db2.gz DMZICXHRQLJJDU-UHFFFAOYSA-N 0 2 324.362 0.255 20 0 DCADLN CCc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001367871503 958748743 /nfs/dbraw/zinc/74/87/43/958748743.db2.gz SZZWXVSLRAZICH-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CCc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001367871503 958748759 /nfs/dbraw/zinc/74/87/59/958748759.db2.gz SZZWXVSLRAZICH-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN Cc1n[nH]c(NC(=O)Cc2ccc(S(=O)(=O)CCO)cc2)n1 ZINC001362223709 958956605 /nfs/dbraw/zinc/95/66/05/958956605.db2.gz VWMFWPDQJJVVPQ-UHFFFAOYSA-N 0 2 324.362 0.060 20 0 DCADLN CN(C(=O)CCc1cncs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001368080254 959198823 /nfs/dbraw/zinc/19/88/23/959198823.db2.gz BMDSTIVJNMZWAO-UHFFFAOYSA-N 0 2 322.394 0.242 20 0 DCADLN CN(C(=O)C(C)(C)C(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001368081128 959200015 /nfs/dbraw/zinc/20/00/15/959200015.db2.gz BINWSTGFRZRPKF-UHFFFAOYSA-N 0 2 303.313 0.444 20 0 DCADLN CO[C@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001368148079 959330389 /nfs/dbraw/zinc/33/03/89/959330389.db2.gz IKUYGWNUEXDCCU-FZMZJTMJSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001368148079 959330400 /nfs/dbraw/zinc/33/04/00/959330400.db2.gz IKUYGWNUEXDCCU-FZMZJTMJSA-N 0 2 309.370 0.016 20 0 DCADLN COC(=O)c1cccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000170573092 959416893 /nfs/dbraw/zinc/41/68/93/959416893.db2.gz MMINLPSHRAZBJT-GFCCVEGCSA-N 0 2 317.301 0.622 20 0 DCADLN COC(=O)c1cccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000170573092 959416898 /nfs/dbraw/zinc/41/68/98/959416898.db2.gz MMINLPSHRAZBJT-GFCCVEGCSA-N 0 2 317.301 0.622 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1Cc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001377212196 959700647 /nfs/dbraw/zinc/70/06/47/959700647.db2.gz PHMSWAAJFWDIKZ-LBPRGKRZSA-N 0 2 301.350 0.398 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1Cc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001377212196 959700659 /nfs/dbraw/zinc/70/06/59/959700659.db2.gz PHMSWAAJFWDIKZ-LBPRGKRZSA-N 0 2 301.350 0.398 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1ncoc1[C@H]1CCCO1 ZINC001362723476 959895075 /nfs/dbraw/zinc/89/50/75/959895075.db2.gz ZKFYRTCHFQKHET-SSDOTTSWSA-N 0 2 306.278 0.657 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ncoc1[C@H]1CCCO1 ZINC001362723476 959895086 /nfs/dbraw/zinc/89/50/86/959895086.db2.gz ZKFYRTCHFQKHET-SSDOTTSWSA-N 0 2 306.278 0.657 20 0 DCADLN O=C(c1ccc(F)c(O)c1)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001362728151 959899761 /nfs/dbraw/zinc/89/97/61/959899761.db2.gz VLVBSXORHAFUMG-UHFFFAOYSA-N 0 2 307.285 0.168 20 0 DCADLN C[C@@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1cnccn1 ZINC001362740304 959915973 /nfs/dbraw/zinc/91/59/73/959915973.db2.gz MZOVAKCQJRUEGY-ZJUUUORDSA-N 0 2 302.338 0.810 20 0 DCADLN CCc1nnc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)[nH]1 ZINC001362751872 959935075 /nfs/dbraw/zinc/93/50/75/959935075.db2.gz IYSNBMFROVAOPD-UHFFFAOYSA-N 0 2 307.276 0.440 20 0 DCADLN C[C@@]1(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CCC(=O)N1 ZINC001362880503 960125603 /nfs/dbraw/zinc/12/56/03/960125603.db2.gz YHGKRTRDPWSWNT-AWEZNQCLSA-N 0 2 301.306 0.785 20 0 DCADLN Cc1ccc(-c2nc(NC(=O)CCCc3nn[nH]n3)n[nH]2)o1 ZINC001306696490 960132233 /nfs/dbraw/zinc/13/22/33/960132233.db2.gz AFMWONNRNRXCON-UHFFFAOYSA-N 0 2 302.298 0.848 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@H](C)C1 ZINC001368691556 960163269 /nfs/dbraw/zinc/16/32/69/960163269.db2.gz HMOLSCXZFHAPCQ-ZYHUDNBSSA-N 0 2 323.397 0.739 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H](C)C1 ZINC001368691542 960163544 /nfs/dbraw/zinc/16/35/44/960163544.db2.gz HMOLSCXZFHAPCQ-JQWIXIFHSA-N 0 2 323.397 0.739 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N[C@H]1CC[NH+](Cc2cn(C)nn2)[C@@H](C)C1 ZINC001368696234 960166832 /nfs/dbraw/zinc/16/68/32/960166832.db2.gz NJMCGQJEQAMDTG-KBPBESRZSA-N 0 2 322.457 0.624 20 0 DCADLN COCc1nnc(CNC(=O)[C@H]2COc3ccc(O)cc3O2)[nH]1 ZINC001362921887 960181509 /nfs/dbraw/zinc/18/15/09/960181509.db2.gz MEUQJGRSCDQPOK-LLVKDONJSA-N 0 2 320.305 0.113 20 0 DCADLN C[C@@H]1SCC[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363016566 960302058 /nfs/dbraw/zinc/30/20/58/960302058.db2.gz RPDCTQFFOIESOC-KWQFWETISA-N 0 2 320.374 0.890 20 0 DCADLN COC(=O)C[C@@H]1CCC[C@H]1NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001363081160 960382359 /nfs/dbraw/zinc/38/23/59/960382359.db2.gz WIPIRHFPQBZPSP-YIZRAAEISA-N 0 2 313.379 0.391 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1COC(=O)N1 ZINC001363232253 960657867 /nfs/dbraw/zinc/65/78/67/960657867.db2.gz JCPWNFQSBMAOOQ-VHSXEESVSA-N 0 2 317.305 0.019 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](CO)Cc2ccoc2)S1 ZINC001363242146 960684085 /nfs/dbraw/zinc/68/40/85/960684085.db2.gz FNTDYGMUUFYHPI-VHSXEESVSA-N 0 2 311.363 0.103 20 0 DCADLN CC(=O)Nc1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)ccc1F ZINC001363314405 960871732 /nfs/dbraw/zinc/87/17/32/960871732.db2.gz CJSXTGAMGZBFON-UHFFFAOYSA-N 0 2 320.280 0.915 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001378644681 961185655 /nfs/dbraw/zinc/18/56/55/961185655.db2.gz QCLQHWMNNIATAE-UWVGGRQHSA-N 0 2 311.386 0.119 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001378644681 961185660 /nfs/dbraw/zinc/18/56/60/961185660.db2.gz QCLQHWMNNIATAE-UWVGGRQHSA-N 0 2 311.386 0.119 20 0 DCADLN COc1nsc(C[N@@H+]2CC[C@@H](C)C[C@@H]2C(N)=O)c1C(=O)[O-] ZINC001571116461 961396052 /nfs/dbraw/zinc/39/60/52/961396052.db2.gz DQGPSYCKJPQWAK-HTQZYQBOSA-N 0 2 313.379 0.936 20 0 DCADLN COc1nsc(C[N@H+]2CC[C@@H](C)C[C@@H]2C(N)=O)c1C(=O)[O-] ZINC001571116461 961396071 /nfs/dbraw/zinc/39/60/71/961396071.db2.gz DQGPSYCKJPQWAK-HTQZYQBOSA-N 0 2 313.379 0.936 20 0 DCADLN CC[C@H](F)C(=O)N[C@@H]1CC[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001378113900 961459903 /nfs/dbraw/zinc/45/99/03/961459903.db2.gz IPZNNFJTXGYAMW-PWSUYJOCSA-N 0 2 321.356 0.461 20 0 DCADLN CC[C@H](F)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001378113900 961459936 /nfs/dbraw/zinc/45/99/36/961459936.db2.gz IPZNNFJTXGYAMW-PWSUYJOCSA-N 0 2 321.356 0.461 20 0 DCADLN C[C@H](NC(=O)[C@H]1C[C@@H](C)[C@H](C(=O)[O-])O1)[C@H](C)[NH+]1CCOCC1 ZINC001571124121 961483093 /nfs/dbraw/zinc/48/30/93/961483093.db2.gz ZMOJUPILXSDHRQ-KSSYENDESA-N 0 2 314.382 0.090 20 0 DCADLN Cc1ncc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C2CC2)n1 ZINC001571125716 961494520 /nfs/dbraw/zinc/49/45/20/961494520.db2.gz KGLSJSOWPLEKOS-GFCCVEGCSA-N 0 2 315.333 0.811 20 0 DCADLN Cc1ncc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C2CC2)n1 ZINC001571125716 961494532 /nfs/dbraw/zinc/49/45/32/961494532.db2.gz KGLSJSOWPLEKOS-GFCCVEGCSA-N 0 2 315.333 0.811 20 0 DCADLN CO[C@@H](C)CCC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378158510 961556355 /nfs/dbraw/zinc/55/63/55/961556355.db2.gz YCQLFHNVIHHVTB-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CO[C@@H](C)CCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378158510 961556371 /nfs/dbraw/zinc/55/63/71/961556371.db2.gz YCQLFHNVIHHVTB-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@H+]([C@@H](C)C(=O)[O-])C1CC1)C(C)C ZINC001573927825 961557142 /nfs/dbraw/zinc/55/71/42/961557142.db2.gz IGPOWIMZRDREFN-CABZTGNLSA-N 0 2 300.355 0.238 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@@H+]([C@@H](C)C(=O)[O-])C1CC1)C(C)C ZINC001573927825 961557148 /nfs/dbraw/zinc/55/71/48/961557148.db2.gz IGPOWIMZRDREFN-CABZTGNLSA-N 0 2 300.355 0.238 20 0 DCADLN Cc1nocc1C[N@H+]1CC[C@@H](N(C)C(=O)CCc2nc[nH]n2)C1 ZINC001378165732 961579425 /nfs/dbraw/zinc/57/94/25/961579425.db2.gz UUCPUSSUQOUCHB-CYBMUJFWSA-N 0 2 318.381 0.767 20 0 DCADLN CNC(=O)c1ccc(C(=O)N[C@H](C)c2nn(C)cc2O)cn1 ZINC001363666881 961660519 /nfs/dbraw/zinc/66/05/19/961660519.db2.gz NWMQYMSIXHQZPW-MRVPVSSYSA-N 0 2 303.322 0.371 20 0 DCADLN CCC[NH+](C)CC(=O)N(CC)[C@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001378215523 961679292 /nfs/dbraw/zinc/67/92/92/961679292.db2.gz NNJRAYYUYBEKNB-AWEZNQCLSA-N 0 2 322.457 0.580 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378216913 961684429 /nfs/dbraw/zinc/68/44/29/961684429.db2.gz ATJMQWJRXNWVGT-QMMMGPOBSA-N 0 2 321.385 0.029 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378216913 961684436 /nfs/dbraw/zinc/68/44/36/961684436.db2.gz ATJMQWJRXNWVGT-QMMMGPOBSA-N 0 2 321.385 0.029 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)cn1 ZINC001379767483 961984544 /nfs/dbraw/zinc/98/45/44/961984544.db2.gz JWXMPOYRMNVRGZ-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)cn1 ZINC001379767483 961984554 /nfs/dbraw/zinc/98/45/54/961984554.db2.gz JWXMPOYRMNVRGZ-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC2(C1)CC(=O)Nc1nccn12 ZINC001363849092 962019980 /nfs/dbraw/zinc/01/99/80/962019980.db2.gz KHDRRMIOQRQWSU-ZETCQYMHSA-N 0 2 306.219 0.663 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CC2(C1)CC(=O)Nc1nccn12 ZINC001363849092 962019998 /nfs/dbraw/zinc/01/99/98/962019998.db2.gz KHDRRMIOQRQWSU-ZETCQYMHSA-N 0 2 306.219 0.663 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@@H](C)c2nn(C)cc2O)n(C)n1 ZINC001363854570 962031665 /nfs/dbraw/zinc/03/16/65/962031665.db2.gz VUMOPIOTVZIROS-ZETCQYMHSA-N 0 2 307.310 0.137 20 0 DCADLN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)NCc2nc(O)cc(=O)[nH]2)C1 ZINC001364206958 962671598 /nfs/dbraw/zinc/67/15/98/962671598.db2.gz QTQIUFGINOLHHM-APPZFPTMSA-N 0 2 303.322 0.593 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1[nH]ncc1Br ZINC001364208838 962675137 /nfs/dbraw/zinc/67/51/37/962675137.db2.gz WLXORDRWZPPSSK-UHFFFAOYSA-N 0 2 314.099 0.303 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1[nH]ncc1Br ZINC001364208838 962675142 /nfs/dbraw/zinc/67/51/42/962675142.db2.gz WLXORDRWZPPSSK-UHFFFAOYSA-N 0 2 314.099 0.303 20 0 DCADLN Cc1cc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001364219812 962694944 /nfs/dbraw/zinc/69/49/44/962694944.db2.gz RNRWQHCVMSNDCC-VIFPVBQESA-N 0 2 305.342 0.631 20 0 DCADLN CC(C)n1ncnc1C[NH2+][C@H]1CCCCN(CC(=O)[O-])C1=O ZINC001588988629 962785451 /nfs/dbraw/zinc/78/54/51/962785451.db2.gz XIUBFECLBHCINC-NSHDSACASA-N 0 2 309.370 0.414 20 0 DCADLN Cc1ccoc1CC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380359084 963102114 /nfs/dbraw/zinc/10/21/14/963102114.db2.gz OVIYZTXSLOTVLH-OAHLLOKOSA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccoc1CC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380359084 963102123 /nfs/dbraw/zinc/10/21/23/963102123.db2.gz OVIYZTXSLOTVLH-OAHLLOKOSA-N 0 2 319.365 0.735 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)OCC1CC1 ZINC001379634771 963301581 /nfs/dbraw/zinc/30/15/81/963301581.db2.gz SPXMJNISZJQVLW-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)OCC1CC1 ZINC001379634771 963301595 /nfs/dbraw/zinc/30/15/95/963301595.db2.gz SPXMJNISZJQVLW-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN COCCN1CCOC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001380806580 963594651 /nfs/dbraw/zinc/59/46/51/963594651.db2.gz CAJVXUVXYXBKBU-BDAKNGLRSA-N 0 2 302.268 0.350 20 0 DCADLN COCCN1CCOC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001380806580 963594658 /nfs/dbraw/zinc/59/46/58/963594658.db2.gz CAJVXUVXYXBKBU-BDAKNGLRSA-N 0 2 302.268 0.350 20 0 DCADLN C[C@H](CNC(=O)C1CCOCC1)NC(=O)C(F)C(F)(F)F ZINC001430408343 1013441272 /nfs/dbraw/zinc/44/12/72/1013441272.db2.gz PVGXPHIFQRXXLP-VXNVDRBHSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)C1CCOCC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001430408343 1013441277 /nfs/dbraw/zinc/44/12/77/1013441277.db2.gz PVGXPHIFQRXXLP-VXNVDRBHSA-N 0 2 314.279 0.934 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1F ZINC000314089141 963709764 /nfs/dbraw/zinc/70/97/64/963709764.db2.gz GVGCUTAQYXALIZ-LLVKDONJSA-N 0 2 307.281 0.983 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1F ZINC000314089141 963709768 /nfs/dbraw/zinc/70/97/68/963709768.db2.gz GVGCUTAQYXALIZ-LLVKDONJSA-N 0 2 307.281 0.983 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N(C)CCC[N@H+](C)Cc1cncn1C ZINC001380968649 963729717 /nfs/dbraw/zinc/72/97/17/963729717.db2.gz RDQSVOWAWMSXAW-UHFFFAOYSA-N 0 2 318.425 0.906 20 0 DCADLN COc1ccccc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375151487 964060692 /nfs/dbraw/zinc/06/06/92/964060692.db2.gz TYUOKWJSEDIYPN-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN COc1ccccc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375151487 964060701 /nfs/dbraw/zinc/06/07/01/964060701.db2.gz TYUOKWJSEDIYPN-SNVBAGLBSA-N 0 2 319.365 0.769 20 0 DCADLN CCc1noc(C)c1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375153538 964064207 /nfs/dbraw/zinc/06/42/07/964064207.db2.gz GEYHNSZGHVUSRU-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1noc(C)c1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375153538 964064213 /nfs/dbraw/zinc/06/42/13/964064213.db2.gz GEYHNSZGHVUSRU-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN CSC[C@H](C)C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368934717 964149764 /nfs/dbraw/zinc/14/97/64/964149764.db2.gz QSUWKBLIFGMMRW-DTWKUNHWSA-N 0 2 301.416 0.446 20 0 DCADLN CSC[C@H](C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368934717 964149773 /nfs/dbraw/zinc/14/97/73/964149773.db2.gz QSUWKBLIFGMMRW-DTWKUNHWSA-N 0 2 301.416 0.446 20 0 DCADLN CCO[C@@H](C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001368941344 964165526 /nfs/dbraw/zinc/16/55/26/964165526.db2.gz QACLCNSMXBYPJY-BXKDBHETSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@@H](C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001368941344 964165530 /nfs/dbraw/zinc/16/55/30/964165530.db2.gz QACLCNSMXBYPJY-BXKDBHETSA-N 0 2 311.386 0.262 20 0 DCADLN CCn1ncnc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001375314678 964241644 /nfs/dbraw/zinc/24/16/44/964241644.db2.gz PGDCVSRCUJWPER-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncnc1CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001375314678 964241656 /nfs/dbraw/zinc/24/16/56/964241656.db2.gz PGDCVSRCUJWPER-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCCF ZINC001369526796 965137364 /nfs/dbraw/zinc/13/73/64/965137364.db2.gz BHPWDPOSJWLDDF-UHFFFAOYSA-N 0 2 301.366 0.929 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCCF ZINC001369526796 965137373 /nfs/dbraw/zinc/13/73/73/965137373.db2.gz BHPWDPOSJWLDDF-UHFFFAOYSA-N 0 2 301.366 0.929 20 0 DCADLN Cc1noc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001376123243 965234014 /nfs/dbraw/zinc/23/40/14/965234014.db2.gz IQQMPGMFEDMGNY-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1noc(CN2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001376123243 965234027 /nfs/dbraw/zinc/23/40/27/965234027.db2.gz IQQMPGMFEDMGNY-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cccc3[nH]cnc32)C1 ZINC001376147705 965284696 /nfs/dbraw/zinc/28/46/96/965284696.db2.gz OGZCCUDTTRZTGV-LLVKDONJSA-N 0 2 315.377 0.503 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369790641 965402724 /nfs/dbraw/zinc/40/27/24/965402724.db2.gz BIHJVXDDXPERLV-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H]1CCC[C@H]1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374510856 965468454 /nfs/dbraw/zinc/46/84/54/965468454.db2.gz WKWJZYAHRQGNTA-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN CO[C@H]1CCC[C@H]1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374510856 965468458 /nfs/dbraw/zinc/46/84/58/965468458.db2.gz WKWJZYAHRQGNTA-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN Cc1cc(CCC(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001374537734 965502159 /nfs/dbraw/zinc/50/21/59/965502159.db2.gz UFNRJQNYOJPDMU-UHFFFAOYSA-N 0 2 322.369 0.330 20 0 DCADLN Cc1cc(CCC(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001374537734 965502165 /nfs/dbraw/zinc/50/21/65/965502165.db2.gz UFNRJQNYOJPDMU-UHFFFAOYSA-N 0 2 322.369 0.330 20 0 DCADLN CCOCC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001369985459 965655338 /nfs/dbraw/zinc/65/53/38/965655338.db2.gz ZXYRGJUOOFKHGC-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN COCC(=O)N[C@@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001370083537 965755571 /nfs/dbraw/zinc/75/55/71/965755571.db2.gz ZGMBQCHYKONELA-IMTBSYHQSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N[C@@H](C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001370083537 965755578 /nfs/dbraw/zinc/75/55/78/965755578.db2.gz ZGMBQCHYKONELA-IMTBSYHQSA-N 0 2 300.252 0.496 20 0 DCADLN CO[C@@H](C)c1nc(C[NH2+]C[C@@H](C)NC(=O)c2nnc[nH]2)cs1 ZINC001374823373 965985845 /nfs/dbraw/zinc/98/58/45/965985845.db2.gz SDCPLSJCZLJMBW-BDAKNGLRSA-N 0 2 324.410 0.877 20 0 DCADLN Cc1ncn(C)c1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001416901907 966040912 /nfs/dbraw/zinc/04/09/12/966040912.db2.gz FYKJFYPOUMOJHU-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncn(C)c1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001416901907 966040920 /nfs/dbraw/zinc/04/09/20/966040920.db2.gz FYKJFYPOUMOJHU-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN CCC[N@H+](C)CC(=O)N(C)C[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001370572500 966251549 /nfs/dbraw/zinc/25/15/49/966251549.db2.gz MGRYUYHPVIFGPK-ZDUSSCGKSA-N 0 2 309.414 0.188 20 0 DCADLN CC(F)(F)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370635522 966308021 /nfs/dbraw/zinc/30/80/21/966308021.db2.gz IINJARZGTDMQKV-RFZPGFLSSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001370635522 966308034 /nfs/dbraw/zinc/30/80/34/966308034.db2.gz IINJARZGTDMQKV-RFZPGFLSSA-N 0 2 310.194 0.135 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001370715661 966408642 /nfs/dbraw/zinc/40/86/42/966408642.db2.gz WFRTYOXPBLUXEO-SVRRBLITSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccco1 ZINC001370715661 966408655 /nfs/dbraw/zinc/40/86/55/966408655.db2.gz WFRTYOXPBLUXEO-SVRRBLITSA-N 0 2 312.219 0.387 20 0 DCADLN CC1(C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F)CCC1 ZINC001370757043 966448121 /nfs/dbraw/zinc/44/81/21/966448121.db2.gz HPMWHUYLGXSSOV-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)CCC1 ZINC001370757043 966448127 /nfs/dbraw/zinc/44/81/27/966448127.db2.gz HPMWHUYLGXSSOV-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)oc1C ZINC001381509751 966479920 /nfs/dbraw/zinc/47/99/20/966479920.db2.gz QYXWIPOFBVPEGN-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)oc1C ZINC001381509751 966479923 /nfs/dbraw/zinc/47/99/23/966479923.db2.gz QYXWIPOFBVPEGN-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN C[C@H](CNC(=O)c1ccc(Cl)[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381573540 966545612 /nfs/dbraw/zinc/54/56/12/966545612.db2.gz LOASEDAGRLKYAP-SSDOTTSWSA-N 0 2 312.761 0.742 20 0 DCADLN C[C@H](CNC(=O)c1ccc(Cl)[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381573540 966545613 /nfs/dbraw/zinc/54/56/13/966545613.db2.gz LOASEDAGRLKYAP-SSDOTTSWSA-N 0 2 312.761 0.742 20 0 DCADLN CN(C[C@@H](O)CNC(=O)CCCF)C(=O)C(F)C(F)(F)F ZINC001371005529 966651854 /nfs/dbraw/zinc/65/18/54/966651854.db2.gz JVIDXHCXEMXLSV-IONNQARKSA-N 0 2 320.258 0.572 20 0 DCADLN CN(C[C@@H](O)CNC(=O)CCCF)C(=O)[C@@H](F)C(F)(F)F ZINC001371005529 966651865 /nfs/dbraw/zinc/65/18/65/966651865.db2.gz JVIDXHCXEMXLSV-IONNQARKSA-N 0 2 320.258 0.572 20 0 DCADLN C[C@H](NC(=O)[C@H](C)n1cccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381796747 966689339 /nfs/dbraw/zinc/68/93/39/966689339.db2.gz XETPGQJLNVLEBH-QWRGUYRKSA-N 0 2 318.381 0.510 20 0 DCADLN C[C@@H](NC(=O)C1(CF)CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381804322 966694825 /nfs/dbraw/zinc/69/48/25/966694825.db2.gz LKVVPAQDQXIQNC-SECBINFHSA-N 0 2 311.361 0.587 20 0 DCADLN C[N@H+](CCNC(=O)Cc1cc(C2CC2)no1)Cc1n[nH]c(=O)[n-]1 ZINC001371998188 967532506 /nfs/dbraw/zinc/53/25/06/967532506.db2.gz LTSGBEUCMPNQDI-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN C[N@@H+](CCNC(=O)Cc1cc(C2CC2)no1)Cc1n[nH]c(=O)[n-]1 ZINC001371998188 967532512 /nfs/dbraw/zinc/53/25/12/967532512.db2.gz LTSGBEUCMPNQDI-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CN(CCNC(=O)C1(C(F)F)CCCC1)Cc1n[nH]c(=O)[nH]1 ZINC001372086015 967634212 /nfs/dbraw/zinc/63/42/12/967634212.db2.gz DTKCATDDRXDJOP-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN C[N@H+](CCNC(=O)C1(C(F)F)CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001372086015 967634220 /nfs/dbraw/zinc/63/42/20/967634220.db2.gz DTKCATDDRXDJOP-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN C[N@@H+](CCNC(=O)C1(C(F)F)CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001372086015 967634224 /nfs/dbraw/zinc/63/42/24/967634224.db2.gz DTKCATDDRXDJOP-UHFFFAOYSA-N 0 2 317.340 0.884 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001372363298 967929830 /nfs/dbraw/zinc/92/98/30/967929830.db2.gz KSUAZBIBLOKPJY-UTUOFQBUSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001372363298 967929835 /nfs/dbraw/zinc/92/98/35/967929835.db2.gz KSUAZBIBLOKPJY-UTUOFQBUSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C[N@@H+]1CCC(C)(C)C1 ZINC001448161947 1013794642 /nfs/dbraw/zinc/79/46/42/1013794642.db2.gz HSNAXFUPTXGZAH-GFCCVEGCSA-N 0 2 321.425 0.305 20 0 DCADLN O=C(C[C@H]1CCOC1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001372862987 968476190 /nfs/dbraw/zinc/47/61/90/968476190.db2.gz ORYAGGBMERDHDY-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(C[C@H]1CCOC1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001372862987 968476194 /nfs/dbraw/zinc/47/61/94/968476194.db2.gz ORYAGGBMERDHDY-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1csnn1 ZINC001372901495 968515289 /nfs/dbraw/zinc/51/52/89/968515289.db2.gz GWQVIXBTWMVZIB-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1csnn1 ZINC001372901495 968515297 /nfs/dbraw/zinc/51/52/97/968515297.db2.gz GWQVIXBTWMVZIB-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN CN(CCNC(=O)c1cc[nH]c(=O)c1)C(=O)C(F)C(F)(F)F ZINC001373396178 969038255 /nfs/dbraw/zinc/03/82/55/969038255.db2.gz TZIGGQIULVXTIG-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)c1cc[nH]c(=O)c1)C(=O)[C@H](F)C(F)(F)F ZINC001373396178 969038261 /nfs/dbraw/zinc/03/82/61/969038261.db2.gz TZIGGQIULVXTIG-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN CCn1nnc(C)c1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001373427667 969082783 /nfs/dbraw/zinc/08/27/83/969082783.db2.gz LZDQBKPFPUBUOI-SNVBAGLBSA-N 0 2 323.294 0.807 20 0 DCADLN CCn1nnc(C)c1CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001373427667 969082787 /nfs/dbraw/zinc/08/27/87/969082787.db2.gz LZDQBKPFPUBUOI-SNVBAGLBSA-N 0 2 323.294 0.807 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001431222535 1013954654 /nfs/dbraw/zinc/95/46/54/1013954654.db2.gz NXCQJPCETDCADB-HTQZYQBOSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001431222535 1013954655 /nfs/dbraw/zinc/95/46/55/1013954655.db2.gz NXCQJPCETDCADB-HTQZYQBOSA-N 0 2 302.268 0.478 20 0 DCADLN CCOC(=O)[C@H]([NH2+]C[C@@H]1[C@@H](C(=O)[O-])C1(F)F)[C@H]1CCCOC1 ZINC001605515531 1169944492 /nfs/dbraw/zinc/94/44/92/1169944492.db2.gz GOJINORFBQQAMC-ZRUFSTJUSA-N 0 2 321.320 0.900 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000319660513 970558754 /nfs/dbraw/zinc/55/87/54/970558754.db2.gz GPXKZCUPTLMTCL-NSHDSACASA-N 0 2 322.252 0.883 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000319660513 970558761 /nfs/dbraw/zinc/55/87/61/970558761.db2.gz GPXKZCUPTLMTCL-NSHDSACASA-N 0 2 322.252 0.883 20 0 DCADLN COC(=O)C1CC2(C1)CN(C(=O)Cc1[nH]cc[nH+]1)C[C@@H]2C(=O)[O-] ZINC001604283310 972868411 /nfs/dbraw/zinc/86/84/11/972868411.db2.gz GYGSEMVPEJXGLO-KGXJWFGYSA-N 0 2 321.333 0.065 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC000401878683 973485814 /nfs/dbraw/zinc/48/58/14/973485814.db2.gz LJNDHMDRPNLLKI-SCZZXKLOSA-N 0 2 314.288 0.671 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC000401878683 973485819 /nfs/dbraw/zinc/48/58/19/973485819.db2.gz LJNDHMDRPNLLKI-SCZZXKLOSA-N 0 2 314.288 0.671 20 0 DCADLN O=C([O-])C[N@H+](Cc1cc(=O)n2[nH]ccc2n1)C1CCOCC1 ZINC001606229628 973486555 /nfs/dbraw/zinc/48/65/55/973486555.db2.gz UZAVENFOTSWSOM-UHFFFAOYSA-N 0 2 306.322 0.088 20 0 DCADLN O=C([O-])C[N@@H+](Cc1cc(=O)n2[nH]ccc2n1)C1CCOCC1 ZINC001606229628 973486561 /nfs/dbraw/zinc/48/65/61/973486561.db2.gz UZAVENFOTSWSOM-UHFFFAOYSA-N 0 2 306.322 0.088 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2cnc3c(cnn3C)c2)CCO1 ZINC001604563721 973619250 /nfs/dbraw/zinc/61/92/50/973619250.db2.gz BIRQSTLPXKCBHT-OAHLLOKOSA-N 0 2 320.349 0.270 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2cnc3c(cnn3C)c2)CCO1 ZINC001604563721 973619256 /nfs/dbraw/zinc/61/92/56/973619256.db2.gz BIRQSTLPXKCBHT-OAHLLOKOSA-N 0 2 320.349 0.270 20 0 DCADLN O=C([O-])[C@@H]1CCCN1S(=O)(=O)NCc1ccn2cc[nH+]c2c1 ZINC001606388542 973839853 /nfs/dbraw/zinc/83/98/53/973839853.db2.gz PJYSXYIASUPNSM-NSHDSACASA-N 0 2 324.362 0.218 20 0 DCADLN CC[C@H](OC)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001527977276 1014155543 /nfs/dbraw/zinc/15/55/43/1014155543.db2.gz MCZKNKLSLPKDGE-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@H](OC)C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001527977276 1014155548 /nfs/dbraw/zinc/15/55/48/1014155548.db2.gz MCZKNKLSLPKDGE-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@@H](OC)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001527977273 1014156119 /nfs/dbraw/zinc/15/61/19/1014156119.db2.gz MCZKNKLSLPKDGE-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@@H](OC)C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001527977273 1014156123 /nfs/dbraw/zinc/15/61/23/1014156123.db2.gz MCZKNKLSLPKDGE-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)[C@H](C)C1 ZINC001603764428 974213850 /nfs/dbraw/zinc/21/38/50/974213850.db2.gz YNRKHGWGNRMBPE-LLVKDONJSA-N 0 2 319.427 0.054 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)[C@H](C)C1 ZINC001603764428 974213856 /nfs/dbraw/zinc/21/38/56/974213856.db2.gz YNRKHGWGNRMBPE-LLVKDONJSA-N 0 2 319.427 0.054 20 0 DCADLN CCc1n[nH]cc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001522686315 1014298093 /nfs/dbraw/zinc/29/80/93/1014298093.db2.gz DOYXZIGCIAEJEQ-MRVPVSSYSA-N 0 2 310.251 0.719 20 0 DCADLN CCc1n[nH]cc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522686315 1014298102 /nfs/dbraw/zinc/29/81/02/1014298102.db2.gz DOYXZIGCIAEJEQ-MRVPVSSYSA-N 0 2 310.251 0.719 20 0 DCADLN CC(C)c1nc(C[NH2+]CCCNC(=O)[C@H]2C[C@H]2C(=O)[O-])no1 ZINC001592020302 976712486 /nfs/dbraw/zinc/71/24/86/976712486.db2.gz WDMGWXQTKTWAAO-VHSXEESVSA-N 0 2 310.354 0.510 20 0 DCADLN CC(C)n1ncc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1N ZINC001592153496 977026336 /nfs/dbraw/zinc/02/63/36/977026336.db2.gz KKGXHMBQMKARRT-SNVBAGLBSA-N 0 2 306.326 0.195 20 0 DCADLN CC(C)n1ncc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1N ZINC001592153496 977026347 /nfs/dbraw/zinc/02/63/47/977026347.db2.gz KKGXHMBQMKARRT-SNVBAGLBSA-N 0 2 306.326 0.195 20 0 DCADLN CCc1nc(CC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cs1 ZINC001605851729 1170012391 /nfs/dbraw/zinc/01/23/91/1170012391.db2.gz NDWSJLGRBWQQBS-SNVBAGLBSA-N 0 2 308.363 0.714 20 0 DCADLN COCC(=O)NC[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001529151456 1014506445 /nfs/dbraw/zinc/50/64/45/1014506445.db2.gz VTJWLLBBTWFSAO-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)NC[C@@H]1CCCN1C(=O)[C@H](F)C(F)(F)F ZINC001529151456 1014506458 /nfs/dbraw/zinc/50/64/58/1014506458.db2.gz VTJWLLBBTWFSAO-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ncc(C(=O)[O-])cn1 ZINC001595145787 979616185 /nfs/dbraw/zinc/61/61/85/979616185.db2.gz WGJVIGKZPSFFJR-SNVBAGLBSA-N 0 2 318.337 0.447 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001592907194 979854017 /nfs/dbraw/zinc/85/40/17/979854017.db2.gz NACFASXJKFYSIQ-ONGXEEELSA-N 0 2 318.337 0.352 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001592907194 979854023 /nfs/dbraw/zinc/85/40/23/979854023.db2.gz NACFASXJKFYSIQ-ONGXEEELSA-N 0 2 318.337 0.352 20 0 DCADLN CC[C@@](COC)([NH2+]Cc1nc2ccc(OC)nc2[nH]1)C(=O)[O-] ZINC001595200220 979947506 /nfs/dbraw/zinc/94/75/06/979947506.db2.gz VNMUDSBPEQLANU-AWEZNQCLSA-N 0 2 308.338 0.936 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1cccc(C(=O)[O-])c1 ZINC001592931325 979981602 /nfs/dbraw/zinc/98/16/02/979981602.db2.gz ULDZPOWPTKEOOQ-JTQLQIEISA-N 0 2 316.317 0.725 20 0 DCADLN COc1ccc([C@H]2C[NH2+]CCN2C(=O)CCc2nn[n-]n2)cc1 ZINC001550194416 1014621802 /nfs/dbraw/zinc/62/18/02/1014621802.db2.gz ACFNAAHHQUEDQP-CYBMUJFWSA-N 0 2 316.365 0.314 20 0 DCADLN CC[C@@]1(C)CC(=O)N(C[N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001595369183 980835731 /nfs/dbraw/zinc/83/57/31/980835731.db2.gz SDOILXUGEJDWBJ-GJZGRUSLSA-N 0 2 309.366 0.898 20 0 DCADLN CC[C@@]1(C)CC(=O)N(C[N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001595369183 980835734 /nfs/dbraw/zinc/83/57/34/980835734.db2.gz SDOILXUGEJDWBJ-GJZGRUSLSA-N 0 2 309.366 0.898 20 0 DCADLN CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cc(C(=O)[O-])[nH]n1 ZINC001595488083 981233929 /nfs/dbraw/zinc/23/39/29/981233929.db2.gz MPAUEGQLTVCCBX-VIFPVBQESA-N 0 2 316.383 0.213 20 0 DCADLN CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cc(C(=O)[O-])[nH]n1 ZINC001595488083 981233935 /nfs/dbraw/zinc/23/39/35/981233935.db2.gz MPAUEGQLTVCCBX-VIFPVBQESA-N 0 2 316.383 0.213 20 0 DCADLN CCCCNC(=O)C1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001595756288 982456755 /nfs/dbraw/zinc/45/67/55/982456755.db2.gz YVZXIVXODDPQQQ-UHFFFAOYSA-N 0 2 323.397 0.491 20 0 DCADLN CNc1cc(CNC(=O)Nc2nn(C)cc2C(=O)[O-])cc[nH+]1 ZINC001573910754 983136708 /nfs/dbraw/zinc/13/67/08/983136708.db2.gz VIFBUULYTDJMRB-UHFFFAOYSA-N 0 2 304.310 0.877 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001450133891 1014936531 /nfs/dbraw/zinc/93/65/31/1014936531.db2.gz VYSXSMAGSHQUPF-WPRPVWTQSA-N 0 2 314.279 0.840 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001450133891 1014936541 /nfs/dbraw/zinc/93/65/41/1014936541.db2.gz VYSXSMAGSHQUPF-WPRPVWTQSA-N 0 2 314.279 0.840 20 0 DCADLN Cn1cnc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)c1 ZINC001530787683 1014937728 /nfs/dbraw/zinc/93/77/28/1014937728.db2.gz JRTMNFKNJPRJMQ-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cnc(C(=O)N2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC001530787683 1014937737 /nfs/dbraw/zinc/93/77/37/1014937737.db2.gz JRTMNFKNJPRJMQ-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1cc(OC)no1 ZINC001596332097 983769649 /nfs/dbraw/zinc/76/96/49/983769649.db2.gz GHLMPVLPARQKFW-GHMZBOCLSA-N 0 2 311.338 0.342 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1cc(OC)no1 ZINC001596332097 983769652 /nfs/dbraw/zinc/76/96/52/983769652.db2.gz GHLMPVLPARQKFW-GHMZBOCLSA-N 0 2 311.338 0.342 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1snnc1C ZINC001596333662 983773712 /nfs/dbraw/zinc/77/37/12/983773712.db2.gz UXLZOBCMNDWAJQ-VHSXEESVSA-N 0 2 312.395 0.505 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1snnc1C ZINC001596333662 983773714 /nfs/dbraw/zinc/77/37/14/983773714.db2.gz UXLZOBCMNDWAJQ-VHSXEESVSA-N 0 2 312.395 0.505 20 0 DCADLN CCN(CC[NH2+]Cc1nnc(C2CC2)o1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001596339038 983782574 /nfs/dbraw/zinc/78/25/74/983782574.db2.gz CHGCCECWONYPEA-WDEREUQCSA-N 0 2 322.365 0.606 20 0 DCADLN CCOC(=O)[C@H]1C[NH2+]CCN1C(=O)c1cccc(C(=O)[O-])c1 ZINC001596586215 984515184 /nfs/dbraw/zinc/51/51/84/984515184.db2.gz ZNBDWFVNDUTNRL-GFCCVEGCSA-N 0 2 306.318 0.362 20 0 DCADLN C[C@H](CNc1ncc(C(=O)[O-])cn1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001599890736 984691109 /nfs/dbraw/zinc/69/11/09/984691109.db2.gz FJKAPSZCBBMPMY-SECBINFHSA-N 0 2 318.337 0.447 20 0 DCADLN C[C@H](CNc1ncc(C(=O)[O-])cn1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001599890736 984691113 /nfs/dbraw/zinc/69/11/13/984691113.db2.gz FJKAPSZCBBMPMY-SECBINFHSA-N 0 2 318.337 0.447 20 0 DCADLN CCOC(=O)NCCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001596662507 984716774 /nfs/dbraw/zinc/71/67/74/984716774.db2.gz IILSJOVCTIEVHV-JTQLQIEISA-N 0 2 312.326 0.048 20 0 DCADLN CCOC(=O)NCCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001596662507 984716779 /nfs/dbraw/zinc/71/67/79/984716779.db2.gz IILSJOVCTIEVHV-JTQLQIEISA-N 0 2 312.326 0.048 20 0 DCADLN COCC(=O)NCCC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001383849288 985136940 /nfs/dbraw/zinc/13/69/40/985136940.db2.gz LXHFJTMNLYHJQX-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN COCC(=O)NCCC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001383849288 985136941 /nfs/dbraw/zinc/13/69/41/985136941.db2.gz LXHFJTMNLYHJQX-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CC[C@@H](O)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599944922 985508741 /nfs/dbraw/zinc/50/87/41/985508741.db2.gz KMMYUDBCKPUVSQ-WDEREUQCSA-N 0 2 310.350 0.188 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CC[C@@H](O)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599944922 985508754 /nfs/dbraw/zinc/50/87/54/985508754.db2.gz KMMYUDBCKPUVSQ-WDEREUQCSA-N 0 2 310.350 0.188 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+]2CC[C@@H](O)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945419 985518807 /nfs/dbraw/zinc/51/88/07/985518807.db2.gz PVUUQAFQIJHXNF-SDDRHHMPSA-N 0 2 324.377 0.576 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+]2CC[C@@H](O)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599945419 985518813 /nfs/dbraw/zinc/51/88/13/985518813.db2.gz PVUUQAFQIJHXNF-SDDRHHMPSA-N 0 2 324.377 0.576 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@@H+]2CCC[C@](O)(CO)C2)c(C)c1C(=O)[O-] ZINC001599946382 985534914 /nfs/dbraw/zinc/53/49/14/985534914.db2.gz SQUDSBIBFOIHJZ-BDJLRTHQSA-N 0 2 324.377 0.720 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@H+]2CCC[C@](O)(CO)C2)c(C)c1C(=O)[O-] ZINC001599946382 985534920 /nfs/dbraw/zinc/53/49/20/985534920.db2.gz SQUDSBIBFOIHJZ-BDJLRTHQSA-N 0 2 324.377 0.720 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+]1CCC[C@@H](OCCO)C1 ZINC001599959115 985746197 /nfs/dbraw/zinc/74/61/97/985746197.db2.gz QDRUXPCZTXYLSR-LLVKDONJSA-N 0 2 310.350 0.677 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+]1CCC[C@@H](OCCO)C1 ZINC001599959115 985746205 /nfs/dbraw/zinc/74/62/05/985746205.db2.gz QDRUXPCZTXYLSR-LLVKDONJSA-N 0 2 310.350 0.677 20 0 DCADLN CC(=O)[C@@H]1C[C@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1(C)C ZINC001589230803 985778737 /nfs/dbraw/zinc/77/87/37/985778737.db2.gz GZGQFLGJXSCMRG-QJPTWQEYSA-N 0 2 307.350 0.773 20 0 DCADLN CC(=O)[C@@H]1C[C@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1(C)C ZINC001589230803 985778744 /nfs/dbraw/zinc/77/87/44/985778744.db2.gz GZGQFLGJXSCMRG-QJPTWQEYSA-N 0 2 307.350 0.773 20 0 DCADLN Cc1[nH]c(C[N@H+](C)C[C@@H]2CCS(=O)(=O)C2)cc1C(=O)[O-] ZINC001599962649 985819470 /nfs/dbraw/zinc/81/94/70/985819470.db2.gz RLBWFVKMKCAGCR-JTQLQIEISA-N 0 2 300.380 0.888 20 0 DCADLN Cc1[nH]c(C[N@@H+](C)C[C@@H]2CCS(=O)(=O)C2)cc1C(=O)[O-] ZINC001599962649 985819482 /nfs/dbraw/zinc/81/94/82/985819482.db2.gz RLBWFVKMKCAGCR-JTQLQIEISA-N 0 2 300.380 0.888 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H]2OCC[C@@H]2c2n[nH]c(C)n2)n(C)n1 ZINC001551661981 1015168475 /nfs/dbraw/zinc/16/84/75/1015168475.db2.gz DOBVHUBPDWEKBU-WCQYABFASA-N 0 2 318.381 0.800 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2cnn(C)c2)n[nH]1 ZINC001551662848 1015168825 /nfs/dbraw/zinc/16/88/25/1015168825.db2.gz GFSRYBUXSBJLQU-NWDGAFQWSA-N 0 2 304.354 0.491 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001599967858 985943528 /nfs/dbraw/zinc/94/35/28/985943528.db2.gz DBZGZXOQYDGHBL-SECBINFHSA-N 0 2 304.310 0.024 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)/C=C/c2cc(C(=O)[O-])co2)CCO1 ZINC001594527630 986082377 /nfs/dbraw/zinc/08/23/77/986082377.db2.gz NGXDAMFULFAROE-KXMPLOMGSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)/C=C/c2cc(C(=O)[O-])co2)CCO1 ZINC001594527630 986082390 /nfs/dbraw/zinc/08/23/90/986082390.db2.gz NGXDAMFULFAROE-KXMPLOMGSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)Cc2ccc(C(=O)[O-])nc2)[C@H](C)CO1 ZINC001594528209 986095754 /nfs/dbraw/zinc/09/57/54/986095754.db2.gz STDJYRBHIUNEBA-VXGBXAGGSA-N 0 2 321.377 0.548 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)Cc2ccc(C(=O)[O-])nc2)[C@H](C)CO1 ZINC001594528209 986095769 /nfs/dbraw/zinc/09/57/69/986095769.db2.gz STDJYRBHIUNEBA-VXGBXAGGSA-N 0 2 321.377 0.548 20 0 DCADLN CC(=O)c1cncc(C(=O)N2CC[N@@H+](CCC(=O)[O-])C[C@H]2C)c1 ZINC001589318763 986126783 /nfs/dbraw/zinc/12/67/83/986126783.db2.gz ROFNWDRALZINBL-LLVKDONJSA-N 0 2 319.361 0.905 20 0 DCADLN CC(=O)c1cncc(C(=O)N2CC[N@H+](CCC(=O)[O-])C[C@H]2C)c1 ZINC001589318763 986126787 /nfs/dbraw/zinc/12/67/87/986126787.db2.gz ROFNWDRALZINBL-LLVKDONJSA-N 0 2 319.361 0.905 20 0 DCADLN COCC(=O)N[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001385494508 986386999 /nfs/dbraw/zinc/38/69/99/986386999.db2.gz AMOVQWLVCGWSKQ-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385494508 986387011 /nfs/dbraw/zinc/38/70/11/986387011.db2.gz AMOVQWLVCGWSKQ-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H](C(=O)NC1CC1)N1CC[NH+](Cc2occc2C(=O)[O-])CC1 ZINC001589398106 986547683 /nfs/dbraw/zinc/54/76/83/986547683.db2.gz IXZSDPPAUCZXQX-NSHDSACASA-N 0 2 321.377 0.763 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001385829761 986614138 /nfs/dbraw/zinc/61/41/38/986614138.db2.gz MUZDBEDVEVAZFN-RYUDHWBXSA-N 0 2 308.382 0.403 20 0 DCADLN Cc1cnn(CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)c1 ZINC001386189297 986933305 /nfs/dbraw/zinc/93/33/05/986933305.db2.gz LSEKQBYSFDKDDQ-WCBMZHEXSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1cnn(CC(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001386189297 986933313 /nfs/dbraw/zinc/93/33/13/986933313.db2.gz LSEKQBYSFDKDDQ-WCBMZHEXSA-N 0 2 324.278 0.713 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001386489832 987175276 /nfs/dbraw/zinc/17/52/76/987175276.db2.gz FLWNOKDXGYFAMW-ABXGFROZSA-N 0 2 312.263 0.495 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21 ZINC001386489832 987175279 /nfs/dbraw/zinc/17/52/79/987175279.db2.gz FLWNOKDXGYFAMW-ABXGFROZSA-N 0 2 312.263 0.495 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001386701291 987321518 /nfs/dbraw/zinc/32/15/18/987321518.db2.gz FOJUKWMXCCITIP-VDTYLAMSSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001386701291 987321523 /nfs/dbraw/zinc/32/15/23/987321523.db2.gz FOJUKWMXCCITIP-VDTYLAMSSA-N 0 2 312.223 0.595 20 0 DCADLN O=C(Cc1ncc[nH]1)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001450689125 1015300156 /nfs/dbraw/zinc/30/01/56/1015300156.db2.gz KQJCKKNHCLZDGR-SNVBAGLBSA-N 0 2 322.262 0.427 20 0 DCADLN CCc1[nH]c(C(=O)N2CC[N@H+](C)C[C@@H]2CO)c(C)c1C(=O)[O-] ZINC001597361549 987520930 /nfs/dbraw/zinc/52/09/30/987520930.db2.gz HNZLHTRPDLDRRH-SNVBAGLBSA-N 0 2 309.366 0.332 20 0 DCADLN CCc1[nH]c(C(=O)N2CC[N@@H+](C)C[C@@H]2CO)c(C)c1C(=O)[O-] ZINC001597361549 987520933 /nfs/dbraw/zinc/52/09/33/987520933.db2.gz HNZLHTRPDLDRRH-SNVBAGLBSA-N 0 2 309.366 0.332 20 0 DCADLN CCc1cc(C(=O)[O-])ccc1NC(=O)C(=O)N1CC[NH+](C)CC1 ZINC001597446071 987821342 /nfs/dbraw/zinc/82/13/42/987821342.db2.gz FDEQTLFMHSTCMM-UHFFFAOYSA-N 0 2 319.361 0.660 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)c1[O-] ZINC001387579316 987909713 /nfs/dbraw/zinc/90/97/13/987909713.db2.gz LXKRVOYWQCCVAD-MRVPVSSYSA-N 0 2 320.353 0.014 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387750990 988116185 /nfs/dbraw/zinc/11/61/85/988116185.db2.gz QHIDESKOVJYGAE-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387750990 988116194 /nfs/dbraw/zinc/11/61/94/988116194.db2.gz QHIDESKOVJYGAE-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN CC[C@@H](C(=O)NC[C@H](C)CNC(=O)Cc1[nH]cc[nH+]1)[NH+](C)C ZINC001388469802 988343749 /nfs/dbraw/zinc/34/37/49/988343749.db2.gz RMKSBDNAABSEPO-NEPJUHHUSA-N 0 2 309.414 0.161 20 0 DCADLN C[C@H](CNC(=O)COCC(F)F)NC(=O)C(F)C(F)(F)F ZINC001389199553 988976098 /nfs/dbraw/zinc/97/60/98/988976098.db2.gz VNCYYLLNFGNUAN-XRGYYRRGSA-N 0 2 324.221 0.789 20 0 DCADLN C[C@H](CNC(=O)COCC(F)F)NC(=O)[C@H](F)C(F)(F)F ZINC001389199553 988976102 /nfs/dbraw/zinc/97/61/02/988976102.db2.gz VNCYYLLNFGNUAN-XRGYYRRGSA-N 0 2 324.221 0.789 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001389313384 989105558 /nfs/dbraw/zinc/10/55/58/989105558.db2.gz YNYVALIPRWZTMG-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)[nH]1 ZINC001389313384 989105562 /nfs/dbraw/zinc/10/55/62/989105562.db2.gz YNYVALIPRWZTMG-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN COCC1(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001389449915 989263727 /nfs/dbraw/zinc/26/37/27/989263727.db2.gz KAYIVUNUBJRDHC-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN Cn1cc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001389452018 989268465 /nfs/dbraw/zinc/26/84/65/989268465.db2.gz AAMSIGUATWZBJC-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cc(CN2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001389452018 989268474 /nfs/dbraw/zinc/26/84/74/989268474.db2.gz AAMSIGUATWZBJC-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ccc3c(c2)NC(=O)CO3)C[C@@H](C(=O)[O-])O1 ZINC001599866415 989269164 /nfs/dbraw/zinc/26/91/64/989269164.db2.gz NEYPOATXYTUZLX-RNCFNFMXSA-N 0 2 306.318 0.691 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2ccc3c(c2)NC(=O)CO3)C[C@@H](C(=O)[O-])O1 ZINC001599866415 989269168 /nfs/dbraw/zinc/26/91/68/989269168.db2.gz NEYPOATXYTUZLX-RNCFNFMXSA-N 0 2 306.318 0.691 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ccnnc1 ZINC001389876147 989655177 /nfs/dbraw/zinc/65/51/77/989655177.db2.gz ZYDAPJJKAQJTNU-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1ccnnc1 ZINC001389876147 989655180 /nfs/dbraw/zinc/65/51/80/989655180.db2.gz ZYDAPJJKAQJTNU-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001390068323 989792035 /nfs/dbraw/zinc/79/20/35/989792035.db2.gz AVMVEVGDFYPBPS-JLIMGVALSA-N 0 2 324.274 0.448 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001390068323 989792041 /nfs/dbraw/zinc/79/20/41/989792041.db2.gz AVMVEVGDFYPBPS-JLIMGVALSA-N 0 2 324.274 0.448 20 0 DCADLN CCNC(=O)C[NH2+]C[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001390148428 989868142 /nfs/dbraw/zinc/86/81/42/989868142.db2.gz FLEDORWUDQOSES-CYBMUJFWSA-N 0 2 307.398 0.059 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1[nH]c[nH+]c1C)CCN1CCCC1=O ZINC001391004152 990512681 /nfs/dbraw/zinc/51/26/81/990512681.db2.gz IWIDNFWTYKVNBC-UHFFFAOYSA-N 0 2 321.425 0.321 20 0 DCADLN CN(C)C(=O)N[C@H]1CCC[N@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001598139502 990597180 /nfs/dbraw/zinc/59/71/80/990597180.db2.gz QDKMHIKIDJOJQH-JTQLQIEISA-N 0 2 307.354 0.410 20 0 DCADLN CN(C)C(=O)N[C@H]1CCC[N@@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001598139502 990597183 /nfs/dbraw/zinc/59/71/83/990597183.db2.gz QDKMHIKIDJOJQH-JTQLQIEISA-N 0 2 307.354 0.410 20 0 DCADLN C[C@H]1CCC[C@H]1CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391479108 990879143 /nfs/dbraw/zinc/87/91/43/990879143.db2.gz WUURPOJHKAWWLS-JQWIXIFHSA-N 0 2 307.398 0.885 20 0 DCADLN CC(C)C(=O)NC1CC[NH+](CN2C[C@@H](C(=O)[O-])OC2=O)CC1 ZINC001590938536 990963788 /nfs/dbraw/zinc/96/37/88/990963788.db2.gz IAGPPJROURIUNG-NSHDSACASA-N 0 2 313.354 0.086 20 0 DCADLN CC(C)[C@@H](CNC(=O)c1cc(C(=O)[O-])no1)[NH+]1CCOCC1 ZINC001590988924 991190803 /nfs/dbraw/zinc/19/08/03/991190803.db2.gz PPDSBQOSCKOBOQ-LLVKDONJSA-N 0 2 311.338 0.459 20 0 DCADLN CC(C)[C@H]1C[C@@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCO1 ZINC001591073080 991538720 /nfs/dbraw/zinc/53/87/20/991538720.db2.gz RNXWBZREDHLLJN-CYZMBNFOSA-N 0 2 309.366 0.973 20 0 DCADLN CC(C)[C@H]1C[C@@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCO1 ZINC001591073080 991538730 /nfs/dbraw/zinc/53/87/30/991538730.db2.gz RNXWBZREDHLLJN-CYZMBNFOSA-N 0 2 309.366 0.973 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001392244637 991649732 /nfs/dbraw/zinc/64/97/32/991649732.db2.gz YYZJUYSICHSCBP-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001392244637 991649736 /nfs/dbraw/zinc/64/97/36/991649736.db2.gz YYZJUYSICHSCBP-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN CC(C)n1nccc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392652107 992209450 /nfs/dbraw/zinc/20/94/50/992209450.db2.gz WPZZWQBVINMUKK-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1nccc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392652107 992209459 /nfs/dbraw/zinc/20/94/59/992209459.db2.gz WPZZWQBVINMUKK-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)CCc1ocnc1C(=O)[O-] ZINC001598488420 992245722 /nfs/dbraw/zinc/24/57/22/992245722.db2.gz AXEFIXYLPZTLRV-UHFFFAOYSA-N 0 2 311.338 0.096 20 0 DCADLN CC(C)c1oncc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392708955 992298274 /nfs/dbraw/zinc/29/82/74/992298274.db2.gz SEQVBAABFXBYBL-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1oncc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392708955 992298281 /nfs/dbraw/zinc/29/82/81/992298281.db2.gz SEQVBAABFXBYBL-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)cnn1 ZINC001392767214 992367401 /nfs/dbraw/zinc/36/74/01/992367401.db2.gz JUYSJKYWZGVRBG-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)cnn1 ZINC001392767214 992367409 /nfs/dbraw/zinc/36/74/09/992367409.db2.gz JUYSJKYWZGVRBG-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN Cn1cc(C[N@H+](C)CC(C)(C)S(C)(=O)=O)cc1C(=O)[O-] ZINC001598506498 992509786 /nfs/dbraw/zinc/50/97/86/992509786.db2.gz CRGYPUGKNRIAJV-UHFFFAOYSA-N 0 2 302.396 0.978 20 0 DCADLN Cn1cc(C[N@@H+](C)CC(C)(C)S(C)(=O)=O)cc1C(=O)[O-] ZINC001598506498 992509798 /nfs/dbraw/zinc/50/97/98/992509798.db2.gz CRGYPUGKNRIAJV-UHFFFAOYSA-N 0 2 302.396 0.978 20 0 DCADLN CN(C(=O)CCCn1cccc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001393028667 992635928 /nfs/dbraw/zinc/63/59/28/992635928.db2.gz PJZZABLFRVINII-UHFFFAOYSA-N 0 2 318.381 0.435 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ncccn1)C(F)C(F)(F)F ZINC001393350846 992906966 /nfs/dbraw/zinc/90/69/66/992906966.db2.gz WTZUHODXPHPBLI-JGVFFNPUSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ncccn1)[C@@H](F)C(F)(F)F ZINC001393350846 992906975 /nfs/dbraw/zinc/90/69/75/992906975.db2.gz WTZUHODXPHPBLI-JGVFFNPUSA-N 0 2 320.246 0.708 20 0 DCADLN C[N@@H+]1C2(CCC2)COC[C@@]1(CO)CNc1ncc(C(=O)[O-])cn1 ZINC001598555752 993054932 /nfs/dbraw/zinc/05/49/32/993054932.db2.gz AMMXRFPXNYUMTN-HNNXBMFYSA-N 0 2 322.365 0.203 20 0 DCADLN C[N@H+]1C2(CCC2)COC[C@@]1(CO)CNc1ncc(C(=O)[O-])cn1 ZINC001598555752 993054936 /nfs/dbraw/zinc/05/49/36/993054936.db2.gz AMMXRFPXNYUMTN-HNNXBMFYSA-N 0 2 322.365 0.203 20 0 DCADLN C[C@H](NC(=O)C1(CCF)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394242891 993564435 /nfs/dbraw/zinc/56/44/35/993564435.db2.gz KSJMYOMQYBSGCO-VIFPVBQESA-N 0 2 311.361 0.587 20 0 DCADLN C[C@H](NC(=O)N[C@@H]1CC=C(C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC001593081546 993663688 /nfs/dbraw/zinc/66/36/88/993663688.db2.gz CQNGLOYHOXNUTC-GMXVVIOVSA-N 0 2 311.382 0.568 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnoc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001399790171 993776619 /nfs/dbraw/zinc/77/66/19/993776619.db2.gz FJSAOCWJXNYHMO-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cnoc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001399790171 993776629 /nfs/dbraw/zinc/77/66/29/993776629.db2.gz FJSAOCWJXNYHMO-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cccnc1 ZINC001399968011 994000779 /nfs/dbraw/zinc/00/07/79/994000779.db2.gz AHTZCMPGSDHQJN-UHFFFAOYSA-N 0 2 302.338 0.300 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cccnc1 ZINC001399968011 994000791 /nfs/dbraw/zinc/00/07/91/994000791.db2.gz AHTZCMPGSDHQJN-UHFFFAOYSA-N 0 2 302.338 0.300 20 0 DCADLN C[N@@H+]1CCc2nc(NC(=O)Cn3ccc(C(=O)[O-])n3)sc2C1 ZINC001598627161 994116886 /nfs/dbraw/zinc/11/68/86/994116886.db2.gz DVSAKMCHCOKDOG-UHFFFAOYSA-N 0 2 321.362 0.664 20 0 DCADLN C[N@H+]1CCc2nc(NC(=O)Cn3ccc(C(=O)[O-])n3)sc2C1 ZINC001598627161 994116891 /nfs/dbraw/zinc/11/68/91/994116891.db2.gz DVSAKMCHCOKDOG-UHFFFAOYSA-N 0 2 321.362 0.664 20 0 DCADLN CCCN(C(=O)c1ccon1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400130419 994179859 /nfs/dbraw/zinc/17/98/59/994179859.db2.gz XVPYQDTYCBEZGX-JTQLQIEISA-N 0 2 320.353 0.625 20 0 DCADLN C[C@@H](CNC(=O)CC1(O)CCC1)NC(=O)C(F)C(F)(F)F ZINC001400213339 994290205 /nfs/dbraw/zinc/29/02/05/994290205.db2.gz LEBKEKDKFOQJQC-IONNQARKSA-N 0 2 314.279 0.813 20 0 DCADLN C[C@@H](CNC(=O)CC1(O)CCC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001400213339 994290215 /nfs/dbraw/zinc/29/02/15/994290215.db2.gz LEBKEKDKFOQJQC-IONNQARKSA-N 0 2 314.279 0.813 20 0 DCADLN COC[C@H](O)C[N@H+]1CCC[C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001400303412 994401389 /nfs/dbraw/zinc/40/13/89/994401389.db2.gz WCXITJSQDLDNJS-QLFBSQMISA-N 0 2 324.425 0.422 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C(=O)[O-])cc1 ZINC001598656147 994524818 /nfs/dbraw/zinc/52/48/18/994524818.db2.gz VHOQODZPLIHTJL-LBPRGKRZSA-N 0 2 316.317 0.195 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C(=O)[O-])cc1 ZINC001598656147 994524829 /nfs/dbraw/zinc/52/48/29/994524829.db2.gz VHOQODZPLIHTJL-LBPRGKRZSA-N 0 2 316.317 0.195 20 0 DCADLN Cn1nccc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001400598948 994690679 /nfs/dbraw/zinc/69/06/79/994690679.db2.gz YWQRSXULJVJUQC-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1nccc1C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001400598948 994690685 /nfs/dbraw/zinc/69/06/85/994690685.db2.gz YWQRSXULJVJUQC-QMMMGPOBSA-N 0 2 322.262 0.699 20 0 DCADLN COCCC(=O)N[C@H](C)CN(C)C(=O)C(F)C(F)(F)F ZINC001510604607 1015886867 /nfs/dbraw/zinc/88/68/67/1015886867.db2.gz FJEBHZDFDGMMKX-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N[C@H](C)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001510604607 1015886879 /nfs/dbraw/zinc/88/68/79/1015886879.db2.gz FJEBHZDFDGMMKX-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN COc1cccc(C[C@@H]([NH2+]Cc2nnnn2C2CC2)C(=O)[O-])c1 ZINC001599670252 994798811 /nfs/dbraw/zinc/79/88/11/994798811.db2.gz SUIITDCCWBZOOX-CYBMUJFWSA-N 0 2 317.349 0.802 20 0 DCADLN CN(C(=O)CCC(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400699810 994813142 /nfs/dbraw/zinc/81/31/42/994813142.db2.gz HOIWNLIYTWLLNE-QMMMGPOBSA-N 0 2 303.313 0.588 20 0 DCADLN CN(C(=O)CCC(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400699810 994813146 /nfs/dbraw/zinc/81/31/46/994813146.db2.gz HOIWNLIYTWLLNE-QMMMGPOBSA-N 0 2 303.313 0.588 20 0 DCADLN CC(C)N(C)C(=O)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCC[NH+]2C)C1 ZINC001400706221 994823340 /nfs/dbraw/zinc/82/33/40/994823340.db2.gz WGRAANJCBVWHJF-GJZGRUSLSA-N 0 2 324.469 0.480 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001400745710 994873577 /nfs/dbraw/zinc/87/35/77/994873577.db2.gz HPSFBCBVBJCOKI-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001400745710 994873605 /nfs/dbraw/zinc/87/36/05/994873605.db2.gz HPSFBCBVBJCOKI-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN COC(=O)[C@H](C[C@@H]1CCCO1)[NH2+]C[C@H]1[C@H](C(=O)[O-])C1(F)F ZINC001598699793 995202896 /nfs/dbraw/zinc/20/28/96/995202896.db2.gz ZSSLIAOAGNRUMX-AATLWQCWSA-N 0 2 307.293 0.653 20 0 DCADLN COC(=O)C1(NC(=O)[C@H](C)n2cc[nH+]c2)CCC(C(=O)[O-])CC1 ZINC001598722316 995494465 /nfs/dbraw/zinc/49/44/65/995494465.db2.gz SKXZUUUFAGVSDK-NLTNOIMHSA-N 0 2 323.349 0.747 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001396757006 995590247 /nfs/dbraw/zinc/59/02/47/995590247.db2.gz WVOSYCIKXYCYIW-BWZBUEFSSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001396757006 995590253 /nfs/dbraw/zinc/59/02/53/995590253.db2.gz WVOSYCIKXYCYIW-BWZBUEFSSA-N 0 2 300.252 0.639 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCC(=O)OC(C)C ZINC001598749178 995629556 /nfs/dbraw/zinc/62/95/56/995629556.db2.gz FPHIXTXCQDYYJF-QWRGUYRKSA-N 0 2 301.339 0.666 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCC(=O)OC(C)C ZINC001598749178 995629559 /nfs/dbraw/zinc/62/95/59/995629559.db2.gz FPHIXTXCQDYYJF-QWRGUYRKSA-N 0 2 301.339 0.666 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)C[N@@H+]([C@@H](C(=O)[O-])c2ccccc2F)C1 ZINC001598756493 995726366 /nfs/dbraw/zinc/72/63/66/995726366.db2.gz LSNNJJYFEJWZET-GBIKHYSHSA-N 0 2 311.309 0.807 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)C[N@H+]([C@@H](C(=O)[O-])c2ccccc2F)C1 ZINC001598756493 995726373 /nfs/dbraw/zinc/72/63/73/995726373.db2.gz LSNNJJYFEJWZET-GBIKHYSHSA-N 0 2 311.309 0.807 20 0 DCADLN O=C(Cc1ncc[nH]1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397209134 995866082 /nfs/dbraw/zinc/86/60/82/995866082.db2.gz NMICKLSEQKFJSI-BRDIYROLSA-N 0 2 322.262 0.616 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001397252191 995888269 /nfs/dbraw/zinc/88/82/69/995888269.db2.gz CUXNQKXQYISZFU-AXFHLTTASA-N 0 2 311.386 0.262 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001397252191 995888271 /nfs/dbraw/zinc/88/82/71/995888271.db2.gz CUXNQKXQYISZFU-AXFHLTTASA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])[N@H+](Cc2cccc(OC)n2)C1 ZINC001598782314 995999148 /nfs/dbraw/zinc/99/91/48/995999148.db2.gz ZTGVDZDYJHTIBO-JQWIXIFHSA-N 0 2 308.334 0.928 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])[N@@H+](Cc2cccc(OC)n2)C1 ZINC001598782314 995999155 /nfs/dbraw/zinc/99/91/55/995999155.db2.gz ZTGVDZDYJHTIBO-JQWIXIFHSA-N 0 2 308.334 0.928 20 0 DCADLN C[C@H](CNC(=O)Cn1cc[nH+]c1)NC(=O)C[N@@H+]1CCC(C)(C)C1 ZINC001397493322 996029359 /nfs/dbraw/zinc/02/93/59/996029359.db2.gz WLJRODINPPGBJQ-CYBMUJFWSA-N 0 2 321.425 0.236 20 0 DCADLN COCCC(=O)N1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001397608264 996085288 /nfs/dbraw/zinc/08/52/88/996085288.db2.gz OXXUXOIQMYNCFI-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN COCCC(=O)N1CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397608264 996085296 /nfs/dbraw/zinc/08/52/96/996085296.db2.gz OXXUXOIQMYNCFI-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)C(C)(C)n2c[nH+]c(C)c2)C[C@@H]1C(=O)[O-] ZINC001598804365 996170026 /nfs/dbraw/zinc/17/00/26/996170026.db2.gz URXQPATYHOQIMB-WDEREUQCSA-N 0 2 323.349 0.259 20 0 DCADLN COC(=O)CCNC(=O)C[NH2+][C@@H](C(=O)[O-])c1cc(C)cc(C)c1 ZINC001598854640 996627327 /nfs/dbraw/zinc/62/73/27/996627327.db2.gz MAICFNIQUQCBLG-OAHLLOKOSA-N 0 2 322.361 0.698 20 0 DCADLN COC(=O)CCNC(=O)C[N@@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC001598854980 996630416 /nfs/dbraw/zinc/63/04/16/996630416.db2.gz ROPGCEQGUXRTBX-UHFFFAOYSA-N 0 2 320.345 0.422 20 0 DCADLN COC(=O)CCNC(=O)C[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC001598854980 996630420 /nfs/dbraw/zinc/63/04/20/996630420.db2.gz ROPGCEQGUXRTBX-UHFFFAOYSA-N 0 2 320.345 0.422 20 0 DCADLN COC(=O)N(C)CC[N@H+](C)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001598877260 996770932 /nfs/dbraw/zinc/77/09/32/996770932.db2.gz YRRFYIYREGEIKG-UHFFFAOYSA-N 0 2 323.349 0.953 20 0 DCADLN COC(=O)N(C)CC[N@@H+](C)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001598877260 996770934 /nfs/dbraw/zinc/77/09/34/996770934.db2.gz YRRFYIYREGEIKG-UHFFFAOYSA-N 0 2 323.349 0.953 20 0 DCADLN C/C=C(/C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510973825 1016096480 /nfs/dbraw/zinc/09/64/80/1016096480.db2.gz VIIKDNVKZCJQOO-BYHGJBBWSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(/C)C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001510973825 1016096491 /nfs/dbraw/zinc/09/64/91/1016096491.db2.gz VIIKDNVKZCJQOO-BYHGJBBWSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(\C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510973827 1016097810 /nfs/dbraw/zinc/09/78/10/1016097810.db2.gz VIIKDNVKZCJQOO-GODNPXJHSA-N 0 2 300.252 0.446 20 0 DCADLN C/C=C(\C)C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001510973827 1016097817 /nfs/dbraw/zinc/09/78/17/1016097817.db2.gz VIIKDNVKZCJQOO-GODNPXJHSA-N 0 2 300.252 0.446 20 0 DCADLN COc1ccc([C@@H](NC(=O)Cc2[nH]cc[nH+]2)C(=O)[O-])cc1O ZINC001599519544 997453741 /nfs/dbraw/zinc/45/37/41/997453741.db2.gz OOLMXTLKOXZHLD-CYBMUJFWSA-N 0 2 305.290 0.609 20 0 DCADLN C[C@@H](F)CC[NH+]1CC(NC(=O)CCCn2c(=O)[n-][nH]c2=O)C1 ZINC001404786199 997461359 /nfs/dbraw/zinc/46/13/59/997461359.db2.gz QFDRUFACLZQSIV-SECBINFHSA-N 0 2 315.349 0.018 20 0 DCADLN CO[C@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001404837000 997510976 /nfs/dbraw/zinc/51/09/76/997510976.db2.gz FRDPVJUNPZLKCD-LBPRGKRZSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001502949047 1016138983 /nfs/dbraw/zinc/13/89/83/1016138983.db2.gz JEOSDZAOHZOZEM-GHMZBOCLSA-N 0 2 322.413 0.302 20 0 DCADLN COCCN1CCC[N@H+](Cc2cc(C)c(C(=O)[O-])o2)CC1=O ZINC001599240068 998022634 /nfs/dbraw/zinc/02/26/34/998022634.db2.gz HQGFZWZSGBEXEE-UHFFFAOYSA-N 0 2 310.350 0.967 20 0 DCADLN COCCN1CCC[N@@H+](Cc2cc(C)c(C(=O)[O-])o2)CC1=O ZINC001599240068 998022645 /nfs/dbraw/zinc/02/26/45/998022645.db2.gz HQGFZWZSGBEXEE-UHFFFAOYSA-N 0 2 310.350 0.967 20 0 DCADLN CCC(CC)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405282823 998047303 /nfs/dbraw/zinc/04/73/03/998047303.db2.gz DGUUVHYVXTYJMS-IUCAKERBSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001405282823 998047313 /nfs/dbraw/zinc/04/73/13/998047313.db2.gz DGUUVHYVXTYJMS-IUCAKERBSA-N 0 2 316.295 0.916 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])o1 ZINC001598958798 998294265 /nfs/dbraw/zinc/29/42/65/998294265.db2.gz FUPNVEZDQCSHHX-QMMMGPOBSA-N 0 2 307.262 0.146 20 0 DCADLN CN(C[C@@H](O)CNC(=O)CC1CC1)C(=O)C(F)C(F)(F)F ZINC001405606766 998383553 /nfs/dbraw/zinc/38/35/53/998383553.db2.gz ACEAJOVZJOOKIL-WCBMZHEXSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)CC1CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001405606766 998383562 /nfs/dbraw/zinc/38/35/62/998383562.db2.gz ACEAJOVZJOOKIL-WCBMZHEXSA-N 0 2 314.279 0.622 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594180402 998699839 /nfs/dbraw/zinc/69/98/39/998699839.db2.gz ZIADKNILKHOSSQ-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cccnc1C(=O)[O-] ZINC001594180402 998699841 /nfs/dbraw/zinc/69/98/41/998699841.db2.gz ZIADKNILKHOSSQ-NXEZZACHSA-N 0 2 313.379 0.493 20 0 DCADLN COC(=O)c1cccc([C@H]([NH2+][C@@H]2COC[C@H]2OC)C(=O)[O-])c1 ZINC001598996427 998783817 /nfs/dbraw/zinc/78/38/17/998783817.db2.gz RADDBMCAJYQCND-UPJWGTAASA-N 0 2 309.318 0.602 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@H+]2C[C@@H](O)[C@H](OC)C2)c1 ZINC001599000118 998816847 /nfs/dbraw/zinc/81/68/47/998816847.db2.gz WWQTYDIOBDWIMB-JHJVBQTASA-N 0 2 309.318 0.290 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@@H+]2C[C@@H](O)[C@H](OC)C2)c1 ZINC001599000118 998816849 /nfs/dbraw/zinc/81/68/49/998816849.db2.gz WWQTYDIOBDWIMB-JHJVBQTASA-N 0 2 309.318 0.290 20 0 DCADLN COC(=O)c1cncc(C[N@H+]2C[C@@H](OC)C[C@@]2(C)C(=O)[O-])c1 ZINC001599011326 998901040 /nfs/dbraw/zinc/90/10/40/998901040.db2.gz UIYDNDSJGAENMY-WFASDCNBSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cncc(C[N@@H+]2C[C@@H](OC)C[C@@]2(C)C(=O)[O-])c1 ZINC001599011326 998901042 /nfs/dbraw/zinc/90/10/42/998901042.db2.gz UIYDNDSJGAENMY-WFASDCNBSA-N 0 2 308.334 0.932 20 0 DCADLN C[C@H]1C[C@H](O)C[N@@H+]1Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001594266074 999032011 /nfs/dbraw/zinc/03/20/11/999032011.db2.gz LSXCKYLFDSABHD-WPRPVWTQSA-N 0 2 303.318 0.989 20 0 DCADLN C[C@H]1C[C@H](O)C[N@H+]1Cc1nc2cc(C(=O)[O-])ccc2c(=O)[nH]1 ZINC001594266074 999032018 /nfs/dbraw/zinc/03/20/18/999032018.db2.gz LSXCKYLFDSABHD-WPRPVWTQSA-N 0 2 303.318 0.989 20 0 DCADLN CC1CCC(N(C)C(=O)C[N@@H+]2CCC[C@@H](O)[C@@H]2C(=O)[O-])CC1 ZINC001594310021 999198634 /nfs/dbraw/zinc/19/86/34/999198634.db2.gz XQIXZEDANMABDL-UJFKTDLFSA-N 0 2 312.410 0.933 20 0 DCADLN CC1CCC(N(C)C(=O)C[N@H+]2CCC[C@@H](O)[C@@H]2C(=O)[O-])CC1 ZINC001594310021 999198638 /nfs/dbraw/zinc/19/86/38/999198638.db2.gz XQIXZEDANMABDL-UJFKTDLFSA-N 0 2 312.410 0.933 20 0 DCADLN C[C@H]1CN(C(=O)C[N@H+](C)Cc2ccc(C(=O)[O-])cn2)CCO1 ZINC001594465936 999686031 /nfs/dbraw/zinc/68/60/31/999686031.db2.gz WZBWFLQYRZVTPF-NSHDSACASA-N 0 2 307.350 0.459 20 0 DCADLN C[C@H]1CN(C(=O)C[N@@H+](C)Cc2ccc(C(=O)[O-])cn2)CCO1 ZINC001594465936 999686033 /nfs/dbraw/zinc/68/60/33/999686033.db2.gz WZBWFLQYRZVTPF-NSHDSACASA-N 0 2 307.350 0.459 20 0 DCADLN O=C(NCCc1cnoc1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001470180370 1016394847 /nfs/dbraw/zinc/39/48/47/1016394847.db2.gz BDVUHVPWXDUWKF-UHFFFAOYSA-N 0 2 315.289 0.227 20 0 DCADLN COC1CCC(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001418493721 1000281655 /nfs/dbraw/zinc/28/16/55/1000281655.db2.gz GYGUQJPKBTVLPY-UHFFFAOYSA-N 0 2 311.386 0.264 20 0 DCADLN COC1CCC(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001418493721 1000281659 /nfs/dbraw/zinc/28/16/59/1000281659.db2.gz GYGUQJPKBTVLPY-UHFFFAOYSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@H+](CCNC(=O)[C@]12CCC[C@H]1OCC2)Cc1n[nH]c(=O)[n-]1 ZINC001418554193 1000340671 /nfs/dbraw/zinc/34/06/71/1000340671.db2.gz WOLFMCSRTLSCHI-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@]12CCC[C@H]1OCC2)Cc1n[nH]c(=O)[n-]1 ZINC001418554193 1000340678 /nfs/dbraw/zinc/34/06/78/1000340678.db2.gz WOLFMCSRTLSCHI-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)[C@@H]1COCCN1C1CCCC1 ZINC001418628308 1000403851 /nfs/dbraw/zinc/40/38/51/1000403851.db2.gz XIJRNOGKSYOBGJ-NSHDSACASA-N 0 2 322.365 0.147 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC[C@@H]1CCCO1 ZINC001401409895 1000411459 /nfs/dbraw/zinc/41/14/59/1000411459.db2.gz ZWAGKZBYDDBEHH-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC[C@@H]1CCCO1 ZINC001401409895 1000411463 /nfs/dbraw/zinc/41/14/63/1000411463.db2.gz ZWAGKZBYDDBEHH-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN Cc1nc(C)c(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001418750166 1000492036 /nfs/dbraw/zinc/49/20/36/1000492036.db2.gz MOHGCBOJTZGXIO-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1nc(C)c(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001418750166 1000492040 /nfs/dbraw/zinc/49/20/40/1000492040.db2.gz MOHGCBOJTZGXIO-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN CCC[C@@H](C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001418975391 1000659841 /nfs/dbraw/zinc/65/98/41/1000659841.db2.gz JDVRVBUDTGODPX-LLVKDONJSA-N 0 2 317.393 0.759 20 0 DCADLN CCO[C@@H](C)C(=O)N(CC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419139841 1000811248 /nfs/dbraw/zinc/81/12/48/1000811248.db2.gz SVILLGQHUVRCMH-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CCO[C@@H](C)C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419139841 1000811253 /nfs/dbraw/zinc/81/12/53/1000811253.db2.gz SVILLGQHUVRCMH-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CCC1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCOCC1 ZINC001401917488 1000855371 /nfs/dbraw/zinc/85/53/71/1000855371.db2.gz DQKQVTCAHOFXCU-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001402018010 1000948951 /nfs/dbraw/zinc/94/89/51/1000948951.db2.gz GKDJGVDUSXOKMH-SECBINFHSA-N 0 2 309.267 0.358 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001402018010 1000948956 /nfs/dbraw/zinc/94/89/56/1000948956.db2.gz GKDJGVDUSXOKMH-SECBINFHSA-N 0 2 309.267 0.358 20 0 DCADLN CS[C@H](C)CC(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001402118144 1001030857 /nfs/dbraw/zinc/03/08/57/1001030857.db2.gz FGVWVFRCVHNNBS-NOZJJQNGSA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@H](C)CC(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001402118144 1001030864 /nfs/dbraw/zinc/03/08/64/1001030864.db2.gz FGVWVFRCVHNNBS-NOZJJQNGSA-N 0 2 313.427 0.733 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)CCS(C)(=O)=O ZINC001419969015 1001418588 /nfs/dbraw/zinc/41/85/88/1001418588.db2.gz ZJVZPCBECCJWHP-MRVPVSSYSA-N 0 2 322.324 0.322 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)CCS(C)(=O)=O ZINC001419969015 1001418602 /nfs/dbraw/zinc/41/86/02/1001418602.db2.gz ZJVZPCBECCJWHP-MRVPVSSYSA-N 0 2 322.324 0.322 20 0 DCADLN C[C@@H](CNC(=O)CCc1cncs1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403169001 1001704980 /nfs/dbraw/zinc/70/49/80/1001704980.db2.gz HVMSPBJKSBQVOY-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN C[C@@H](CNC(=O)CCc1cncs1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403169001 1001704981 /nfs/dbraw/zinc/70/49/81/1001704981.db2.gz HVMSPBJKSBQVOY-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN COC[C@@H](OC)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001471073415 1016522782 /nfs/dbraw/zinc/52/27/82/1016522782.db2.gz ZDRHCUBMAAFAPS-VXGBXAGGSA-N 0 2 320.349 0.572 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ncc[nH]1)C(F)C(F)(F)F ZINC001505750943 1016519598 /nfs/dbraw/zinc/51/95/98/1016519598.db2.gz VJFBFGATYMNSIO-RNFRBKRXSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ncc[nH]1)[C@@H](F)C(F)(F)F ZINC001505750943 1016519603 /nfs/dbraw/zinc/51/96/03/1016519603.db2.gz VJFBFGATYMNSIO-RNFRBKRXSA-N 0 2 308.235 0.641 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001420056496 1001792115 /nfs/dbraw/zinc/79/21/15/1001792115.db2.gz GYLIIMNDIHRSFF-SWLJZFRDSA-N 0 2 319.409 0.693 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001420056496 1001792120 /nfs/dbraw/zinc/79/21/20/1001792120.db2.gz GYLIIMNDIHRSFF-SWLJZFRDSA-N 0 2 319.409 0.693 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H](CCO)C1CCCC1 ZINC001420145528 1001939763 /nfs/dbraw/zinc/93/97/63/1001939763.db2.gz KWYKIOURIHVHGQ-LBPRGKRZSA-N 0 2 319.365 0.129 20 0 DCADLN C[N@@H+](C/C=C\Cl)CCNC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001420251285 1002115246 /nfs/dbraw/zinc/11/52/46/1002115246.db2.gz FKLFPBLPCCOWHU-HYXAFXHYSA-N 0 2 317.777 0.270 20 0 DCADLN C[N@H+](C/C=C\Cl)CCNC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001420251285 1002115250 /nfs/dbraw/zinc/11/52/50/1002115250.db2.gz FKLFPBLPCCOWHU-HYXAFXHYSA-N 0 2 317.777 0.270 20 0 DCADLN CCN(CCNC(=O)c1cnn[nH]1)[C@H](C)c1nc(C2CC2)no1 ZINC001420403223 1002361268 /nfs/dbraw/zinc/36/12/68/1002361268.db2.gz RFODQUZRXAPIPG-SECBINFHSA-N 0 2 319.369 0.878 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ccc(F)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001420409106 1002371028 /nfs/dbraw/zinc/37/10/28/1002371028.db2.gz LCABHEFCTWSZRK-UHFFFAOYSA-N 0 2 322.344 0.225 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ccc(F)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001420409106 1002371037 /nfs/dbraw/zinc/37/10/37/1002371037.db2.gz LCABHEFCTWSZRK-UHFFFAOYSA-N 0 2 322.344 0.225 20 0 DCADLN CCOC(=O)[C@@H](C)C1CN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC001471732655 1016644570 /nfs/dbraw/zinc/64/45/70/1016644570.db2.gz HEGMBMKWLFTVFH-CBAPKCEASA-N 0 2 313.379 0.200 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CCn2cncn2)C1 ZINC001421057763 1003277492 /nfs/dbraw/zinc/27/74/92/1003277492.db2.gz LBSXRLRRXDGPQG-SECBINFHSA-N 0 2 309.267 0.321 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428092456 1003696737 /nfs/dbraw/zinc/69/67/37/1003696737.db2.gz RBMUTBUPWCGPIM-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001428092456 1003696739 /nfs/dbraw/zinc/69/67/39/1003696739.db2.gz RBMUTBUPWCGPIM-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001428156334 1003732079 /nfs/dbraw/zinc/73/20/79/1003732079.db2.gz KNTNPVKMOUBPCU-SECBINFHSA-N 0 2 305.342 0.333 20 0 DCADLN CC(C)CC(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001426526626 1003819428 /nfs/dbraw/zinc/81/94/28/1003819428.db2.gz QTPMWSQXYAZXJD-MRTMQBJTSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)CC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001426526626 1003819445 /nfs/dbraw/zinc/81/94/45/1003819445.db2.gz QTPMWSQXYAZXJD-MRTMQBJTSA-N 0 2 314.279 0.621 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406645607 1003962085 /nfs/dbraw/zinc/96/20/85/1003962085.db2.gz NIDBELNSRMZPRC-MRVPVSSYSA-N 0 2 321.385 0.111 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406645607 1003962095 /nfs/dbraw/zinc/96/20/95/1003962095.db2.gz NIDBELNSRMZPRC-MRVPVSSYSA-N 0 2 321.385 0.111 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC001426692576 1003969570 /nfs/dbraw/zinc/96/95/70/1003969570.db2.gz UVRMYJPOHJHAAN-WDEREUQCSA-N 0 2 319.369 0.685 20 0 DCADLN COCCC(=O)NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001426809097 1004053335 /nfs/dbraw/zinc/05/33/35/1004053335.db2.gz KRRJEJFYQUSFGV-KHQFGBGNSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001426809097 1004053344 /nfs/dbraw/zinc/05/33/44/1004053344.db2.gz KRRJEJFYQUSFGV-KHQFGBGNSA-N 0 2 314.279 0.934 20 0 DCADLN CCC[N@H+](C)CC(=O)NCC1([NH2+]Cc2nnc(C)s2)CC1 ZINC001406995982 1004294791 /nfs/dbraw/zinc/29/47/91/1004294791.db2.gz HUDJZLITEVMKIQ-UHFFFAOYSA-N 0 2 311.455 0.927 20 0 DCADLN C[C@H](CCNC(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001428983583 1004308342 /nfs/dbraw/zinc/30/83/42/1004308342.db2.gz HEIMZOHPTPNUEG-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)c1ccn[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001428983583 1004308348 /nfs/dbraw/zinc/30/83/48/1004308348.db2.gz HEIMZOHPTPNUEG-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H]1CC[C@@H](CCC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001407078942 1004359630 /nfs/dbraw/zinc/35/96/30/1004359630.db2.gz MJXDETZRSKTAFA-JQWIXIFHSA-N 0 2 323.397 0.501 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001506009836 1016871942 /nfs/dbraw/zinc/87/19/42/1016871942.db2.gz JPPTXOPCKDXVIL-VIFPVBQESA-N 0 2 306.370 0.706 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001506009836 1016871948 /nfs/dbraw/zinc/87/19/48/1016871948.db2.gz JPPTXOPCKDXVIL-VIFPVBQESA-N 0 2 306.370 0.706 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407188775 1004457220 /nfs/dbraw/zinc/45/72/20/1004457220.db2.gz YPWRWJOAPSMLDI-RNCFNFMXSA-N 0 2 313.427 0.733 20 0 DCADLN CCOCC(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001427720414 1004548577 /nfs/dbraw/zinc/54/85/77/1004548577.db2.gz QBAXTYPINALLFT-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001427720414 1004548585 /nfs/dbraw/zinc/54/85/85/1004548585.db2.gz QBAXTYPINALLFT-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001407505082 1004695672 /nfs/dbraw/zinc/69/56/72/1004695672.db2.gz YKQZFYOTFFSNKD-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001407505082 1004695678 /nfs/dbraw/zinc/69/56/78/1004695678.db2.gz YKQZFYOTFFSNKD-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cn1nncc1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001506034498 1016925340 /nfs/dbraw/zinc/92/53/40/1016925340.db2.gz SQUFKEWSBAGMRW-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1nncc1C(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001506034498 1016925347 /nfs/dbraw/zinc/92/53/47/1016925347.db2.gz SQUFKEWSBAGMRW-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN CCC1(C(=O)N[C@H]2COC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)CC1 ZINC001407992989 1004976337 /nfs/dbraw/zinc/97/63/37/1004976337.db2.gz VXCMZOHASUQMEZ-SNVBAGLBSA-N 0 2 321.381 0.160 20 0 DCADLN C[C@H](CNC(=O)c1csnn1)NC(=O)C(F)C(F)(F)F ZINC001408271284 1005135132 /nfs/dbraw/zinc/13/51/32/1005135132.db2.gz VHSKCKGBCMYHBD-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)c1csnn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001408271284 1005135133 /nfs/dbraw/zinc/13/51/33/1005135133.db2.gz VHSKCKGBCMYHBD-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN O=S(=O)(NCCc1c(F)cc(O)cc1F)c1ncc[nH]1 ZINC001414344866 1005293074 /nfs/dbraw/zinc/29/30/74/1005293074.db2.gz CDIZVXUUIFXDEF-UHFFFAOYSA-N 0 2 303.290 0.915 20 0 DCADLN CC(=O)N1CC[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001414704135 1005386655 /nfs/dbraw/zinc/38/66/55/1005386655.db2.gz QYFWLYFSDDQNNN-WDEREUQCSA-N 0 2 322.369 0.020 20 0 DCADLN C[C@@]1(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCOC1=O ZINC001414729976 1005391821 /nfs/dbraw/zinc/39/18/21/1005391821.db2.gz LHZAHCDLAHWCPB-ISVAXAHUSA-N 0 2 309.326 0.105 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001414779191 1005401017 /nfs/dbraw/zinc/40/10/17/1005401017.db2.gz CGYUWTXEKKHOLD-RKDXNWHRSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001414779191 1005401018 /nfs/dbraw/zinc/40/10/18/1005401018.db2.gz CGYUWTXEKKHOLD-RKDXNWHRSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001415012211 1005441575 /nfs/dbraw/zinc/44/15/75/1005441575.db2.gz RCJNORZPHPIWHS-JCGDXUMPSA-N 0 2 309.223 0.082 20 0 DCADLN CN1CC[C@@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1=O ZINC001415129354 1005465206 /nfs/dbraw/zinc/46/52/06/1005465206.db2.gz ZPFBDXMWOCKMBN-RKDXNWHRSA-N 0 2 311.279 0.262 20 0 DCADLN CN1CC[C@@H](N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)C1=O ZINC001415129354 1005465208 /nfs/dbraw/zinc/46/52/08/1005465208.db2.gz ZPFBDXMWOCKMBN-RKDXNWHRSA-N 0 2 311.279 0.262 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)ncn1 ZINC001415146228 1005469299 /nfs/dbraw/zinc/46/92/99/1005469299.db2.gz FUBDPCHDLFUYLC-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001415146228 1005469300 /nfs/dbraw/zinc/46/93/00/1005469300.db2.gz FUBDPCHDLFUYLC-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@H](CCNC(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001415405355 1005519727 /nfs/dbraw/zinc/51/97/27/1005519727.db2.gz HCVHKYJEKSMJFE-IYSWYEEDSA-N 0 2 311.239 0.330 20 0 DCADLN CC[C@@H](CNC(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001416218965 1005672766 /nfs/dbraw/zinc/67/27/66/1005672766.db2.gz OMQNDMJVQMNQNP-NKWVEPMBSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)c1ncc[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001416218965 1005672767 /nfs/dbraw/zinc/67/27/67/1005672767.db2.gz OMQNDMJVQMNQNP-NKWVEPMBSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)CNC(=O)C(F)C(F)(F)F ZINC001416465089 1005702652 /nfs/dbraw/zinc/70/26/52/1005702652.db2.gz SNJMMDYKUHUJLP-YUMQZZPRSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001416465089 1005702654 /nfs/dbraw/zinc/70/26/54/1005702654.db2.gz SNJMMDYKUHUJLP-YUMQZZPRSA-N 0 2 322.262 0.859 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CSC(c2ccccc2O)=N1 ZINC001417663164 1005863228 /nfs/dbraw/zinc/86/32/28/1005863228.db2.gz BAJKRWMXCVCNFT-MRVPVSSYSA-N 0 2 319.346 0.394 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3cnnc3)cc2)S1 ZINC001417712463 1005873946 /nfs/dbraw/zinc/87/39/46/1005873946.db2.gz FDDYOHMXUYBEDH-SNVBAGLBSA-N 0 2 316.346 0.762 20 0 DCADLN COC[C@@H](C)CC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001417745486 1005882018 /nfs/dbraw/zinc/88/20/18/1005882018.db2.gz PPFKQFZJLHDOSF-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN CCC[C@H](CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)OC ZINC001417878048 1005912835 /nfs/dbraw/zinc/91/28/35/1005912835.db2.gz FEMVARZVYONSIO-GFCCVEGCSA-N 0 2 320.349 0.811 20 0 DCADLN COCC(C)(C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417886864 1005916021 /nfs/dbraw/zinc/91/60/21/1005916021.db2.gz GTPHBBHLRLCQCD-UHFFFAOYSA-N 0 2 320.349 0.669 20 0 DCADLN CN1C[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1=O ZINC001434776949 1005968418 /nfs/dbraw/zinc/96/84/18/1005968418.db2.gz ZDABKAVCNULPKK-VHSXEESVSA-N 0 2 322.369 0.020 20 0 DCADLN O=C(N[C@@H]1CO[C@@H](C2CC2)C1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434826089 1006014340 /nfs/dbraw/zinc/01/43/40/1006014340.db2.gz SRULUEISADFYIJ-SDDRHHMPSA-N 0 2 321.381 0.967 20 0 DCADLN CCN(Cc1c(CO)cnc(C)c1O)C(=O)Cc1ncn[nH]1 ZINC001451415902 1006159781 /nfs/dbraw/zinc/15/97/81/1006159781.db2.gz IRGBJTGOMOYPLV-UHFFFAOYSA-N 0 2 305.338 0.297 20 0 DCADLN CC1(C)C[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC001436610802 1006238336 /nfs/dbraw/zinc/23/83/36/1006238336.db2.gz SEGZHXIDNLJMBH-JTQLQIEISA-N 0 2 319.365 0.393 20 0 DCADLN CCCC(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001451858103 1006457245 /nfs/dbraw/zinc/45/72/45/1006457245.db2.gz KYVKNWDLULHUOK-IONNQARKSA-N 0 2 302.268 0.622 20 0 DCADLN CCCC(=O)NC[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001451858103 1006457250 /nfs/dbraw/zinc/45/72/50/1006457250.db2.gz KYVKNWDLULHUOK-IONNQARKSA-N 0 2 302.268 0.622 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)CNS(=O)(=O)c1cccnc1 ZINC001452132363 1006621864 /nfs/dbraw/zinc/62/18/64/1006621864.db2.gz KEJPILCTTPARPP-UHFFFAOYSA-N 0 2 323.378 0.050 20 0 DCADLN Cc1nc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001439401476 1006818589 /nfs/dbraw/zinc/81/85/89/1006818589.db2.gz DGFBVOLWPASJEV-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001439401476 1006818603 /nfs/dbraw/zinc/81/86/03/1006818603.db2.gz DGFBVOLWPASJEV-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN CC(F)(F)C(C)(C)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001439577121 1007027307 /nfs/dbraw/zinc/02/73/07/1007027307.db2.gz SMHXALOQKCDKCS-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CCOc1cc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)on1 ZINC001437620819 1007184212 /nfs/dbraw/zinc/18/42/12/1007184212.db2.gz SKNMVLSVSODRPX-UHFFFAOYSA-N 0 2 324.341 0.149 20 0 DCADLN CCOc1cc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)on1 ZINC001437620819 1007184219 /nfs/dbraw/zinc/18/42/19/1007184219.db2.gz SKNMVLSVSODRPX-UHFFFAOYSA-N 0 2 324.341 0.149 20 0 DCADLN O=C(CC1CCOCC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437656288 1007223552 /nfs/dbraw/zinc/22/35/52/1007223552.db2.gz GGAVGYRCEMJEDQ-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC1CCOCC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437656288 1007223561 /nfs/dbraw/zinc/22/35/61/1007223561.db2.gz GGAVGYRCEMJEDQ-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CCn1cc[nH+]c1)NC[C@@H]1CCC[N@H+]1CCn1cccn1 ZINC001437667287 1007233513 /nfs/dbraw/zinc/23/35/13/1007233513.db2.gz QKQLGYNZSIMMKQ-HNNXBMFYSA-N 0 2 316.409 0.751 20 0 DCADLN CCC[NH+]1CCC[C@@H]1C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC ZINC001437665989 1007236056 /nfs/dbraw/zinc/23/60/56/1007236056.db2.gz ZZEHTDFEUCRSKS-LSDHHAIUSA-N 0 2 324.469 0.578 20 0 DCADLN COCC(=O)NCc1ccccc1C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440222124 1007590718 /nfs/dbraw/zinc/59/07/18/1007590718.db2.gz REGXPCYTNRTQIW-UHFFFAOYSA-N 0 2 319.365 0.405 20 0 DCADLN COCC(=O)NCc1ccccc1C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440222124 1007590725 /nfs/dbraw/zinc/59/07/25/1007590725.db2.gz REGXPCYTNRTQIW-UHFFFAOYSA-N 0 2 319.365 0.405 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(C)(F)F)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001440500545 1007837147 /nfs/dbraw/zinc/83/71/47/1007837147.db2.gz VLPLFCJMFPYLDA-SFYZADRCSA-N 0 2 303.313 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(C)(F)F)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001440500545 1007837157 /nfs/dbraw/zinc/83/71/57/1007837157.db2.gz VLPLFCJMFPYLDA-SFYZADRCSA-N 0 2 303.313 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(C)(F)F)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001440500545 1007837172 /nfs/dbraw/zinc/83/71/72/1007837172.db2.gz VLPLFCJMFPYLDA-SFYZADRCSA-N 0 2 303.313 0.635 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)C(F)(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440958994 1008143327 /nfs/dbraw/zinc/14/33/27/1008143327.db2.gz XRHVKBLENVYMKW-RNFRBKRXSA-N 0 2 309.292 0.645 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)C(F)(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440958994 1008143332 /nfs/dbraw/zinc/14/33/32/1008143332.db2.gz XRHVKBLENVYMKW-RNFRBKRXSA-N 0 2 309.292 0.645 20 0 DCADLN C[C@@H](CNC(=O)[C@H](C)C(F)(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440958987 1008143485 /nfs/dbraw/zinc/14/34/85/1008143485.db2.gz XRHVKBLENVYMKW-BQBZGAKWSA-N 0 2 309.292 0.645 20 0 DCADLN C[C@@H](CNC(=O)[C@H](C)C(F)(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440958987 1008143487 /nfs/dbraw/zinc/14/34/87/1008143487.db2.gz XRHVKBLENVYMKW-BQBZGAKWSA-N 0 2 309.292 0.645 20 0 DCADLN CCC1(C(=O)NC2(CCO)CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001441059618 1008200353 /nfs/dbraw/zinc/20/03/53/1008200353.db2.gz SFAGPFHWNYQDKT-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](O)CNC(=O)[C@H](C)C(C)C ZINC001433327298 1008693689 /nfs/dbraw/zinc/69/36/89/1008693689.db2.gz SYTCEOPPASJPRZ-ZYHUDNBSSA-N 0 2 310.398 0.146 20 0 DCADLN Cc1noc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001433424545 1008772586 /nfs/dbraw/zinc/77/25/86/1008772586.db2.gz VYJPGWOFJOJGST-SECBINFHSA-N 0 2 310.251 0.923 20 0 DCADLN Cc1noc(CN2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001433424545 1008772595 /nfs/dbraw/zinc/77/25/95/1008772595.db2.gz VYJPGWOFJOJGST-SECBINFHSA-N 0 2 310.251 0.923 20 0 DCADLN C[C@@H]1CCn2ncc(C(=O)NCc3nc(O)cc(=O)[nH]3)c2C1 ZINC001454193309 1008990948 /nfs/dbraw/zinc/99/09/48/1008990948.db2.gz XSMYSELSZRPUCR-MRVPVSSYSA-N 0 2 303.322 0.597 20 0 DCADLN COCC(C)(C)C(=O)N1CC[C@H](C[NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001442160313 1009035015 /nfs/dbraw/zinc/03/50/15/1009035015.db2.gz OZXMMOQCNGWINQ-SNVBAGLBSA-N 0 2 311.386 0.121 20 0 DCADLN Cn1ncc(CNC[C@H](NC(=O)c2ccnnc2)C(C)(C)C)n1 ZINC001442158971 1009036640 /nfs/dbraw/zinc/03/66/40/1009036640.db2.gz BOHNXWODDPGDPQ-ZDUSSCGKSA-N 0 2 317.397 0.539 20 0 DCADLN Cn1ncc(CNC[C@@H](NC(=O)c2ccnnc2)C(C)(C)C)n1 ZINC001442158965 1009036832 /nfs/dbraw/zinc/03/68/32/1009036832.db2.gz BOHNXWODDPGDPQ-CYBMUJFWSA-N 0 2 317.397 0.539 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001454328324 1009075906 /nfs/dbraw/zinc/07/59/06/1009075906.db2.gz RCJNORZPHPIWHS-VPLCAKHXSA-N 0 2 309.223 0.082 20 0 DCADLN C[C@](CO)(NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1CC1 ZINC001433803545 1009193296 /nfs/dbraw/zinc/19/32/96/1009193296.db2.gz FNMJBVXLJNSWMW-OAHLLOKOSA-N 0 2 318.333 0.157 20 0 DCADLN O=C(Cc1nc[nH]n1)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001454669512 1009255040 /nfs/dbraw/zinc/25/50/40/1009255040.db2.gz NBKHODUJCZTFPX-JTQLQIEISA-N 0 2 313.321 0.271 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@H]2CCCO2)CC1 ZINC001433951771 1009393402 /nfs/dbraw/zinc/39/34/02/1009393402.db2.gz HJSHDGXEACKKGO-GFCCVEGCSA-N 0 2 306.366 0.205 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@H]1CCCCO1 ZINC001422154457 1009566789 /nfs/dbraw/zinc/56/67/89/1009566789.db2.gz JTXSDHQXCYPNTI-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@H]1CCCCO1 ZINC001422154457 1009566802 /nfs/dbraw/zinc/56/68/02/1009566802.db2.gz JTXSDHQXCYPNTI-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CC[N@@H+](CCNC(=O)c1n[nH]c(C)c1[O-])CCn1cccn1 ZINC001442652890 1009582015 /nfs/dbraw/zinc/58/20/15/1009582015.db2.gz LQJCPTYRPNXUIS-UHFFFAOYSA-N 0 2 306.370 0.372 20 0 DCADLN Cc1ccc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)nn1 ZINC001455237290 1009605669 /nfs/dbraw/zinc/60/56/69/1009605669.db2.gz NUIWFJBMPJWUQZ-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ccc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001455237290 1009605677 /nfs/dbraw/zinc/60/56/77/1009605677.db2.gz NUIWFJBMPJWUQZ-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H]2c2ncccn2)S1 ZINC001434204196 1009660631 /nfs/dbraw/zinc/66/06/31/1009660631.db2.gz JJSGVKRLPUJWOY-IUCAKERBSA-N 0 2 305.363 0.697 20 0 DCADLN CC(=O)N[C@H](C)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001434281214 1009723569 /nfs/dbraw/zinc/72/35/69/1009723569.db2.gz XLRITKGKVILDAA-SKDRFNHKSA-N 0 2 317.349 0.435 20 0 DCADLN Cc1cc(C[N@H+]2CCC[C@H]2CNC(=O)Cc2nnc[nH]2)ncn1 ZINC001442817476 1009770771 /nfs/dbraw/zinc/77/07/71/1009770771.db2.gz LQAXCQCSQKQANF-ZDUSSCGKSA-N 0 2 315.381 0.226 20 0 DCADLN Cc1[nH]c([C@@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)[nH+]c1C ZINC001434361261 1009825951 /nfs/dbraw/zinc/82/59/51/1009825951.db2.gz MBFSGMQDPYNXBO-QMMMGPOBSA-N 0 2 304.310 0.600 20 0 DCADLN C[C@@H](NC(=O)c1nnn(C)c1C(F)(F)F)c1nn(C)cc1O ZINC001434405556 1009911758 /nfs/dbraw/zinc/91/17/58/1009911758.db2.gz AGTKCVTUJFJYOI-RXMQYKEDSA-N 0 2 318.259 0.764 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NCc1cnccn1 ZINC001434655155 1010162268 /nfs/dbraw/zinc/16/22/68/1010162268.db2.gz GNBPVAGIPSIHGC-SFHVURJKSA-N 0 2 306.413 0.707 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001422537349 1010260055 /nfs/dbraw/zinc/26/00/55/1010260055.db2.gz OJRHUCYEYLWQBO-JTQLQIEISA-N 0 2 306.370 0.706 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001422537349 1010260061 /nfs/dbraw/zinc/26/00/61/1010260061.db2.gz OJRHUCYEYLWQBO-JTQLQIEISA-N 0 2 306.370 0.706 20 0 DCADLN CO[C@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001422727388 1010534156 /nfs/dbraw/zinc/53/41/56/1010534156.db2.gz BGINXPRMUIHFCJ-CABZTGNLSA-N 0 2 311.386 0.118 20 0 DCADLN CCO[C@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001422736495 1010545178 /nfs/dbraw/zinc/54/51/78/1010545178.db2.gz WQEOUIIOROFDSB-ZANVPECISA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnn(C)c1 ZINC001508903000 1017095948 /nfs/dbraw/zinc/09/59/48/1017095948.db2.gz SQGNTSRWFVOQOG-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cnn(C)c1 ZINC001508903000 1017095957 /nfs/dbraw/zinc/09/59/57/1017095957.db2.gz SQGNTSRWFVOQOG-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H]1CCC[N@@H+]1C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001443767902 1010642518 /nfs/dbraw/zinc/64/25/18/1010642518.db2.gz UGKZUTCNBQKMLL-STQMWFEESA-N 0 2 321.425 0.400 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001444060114 1010831435 /nfs/dbraw/zinc/83/14/35/1010831435.db2.gz NBMINTGDFVGFBH-BDAKNGLRSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CCCC1)[C@H](F)C(F)(F)F ZINC001444060114 1010831438 /nfs/dbraw/zinc/83/14/38/1010831438.db2.gz NBMINTGDFVGFBH-BDAKNGLRSA-N 0 2 314.279 0.670 20 0 DCADLN Cc1noc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001423094092 1010883060 /nfs/dbraw/zinc/88/30/60/1010883060.db2.gz MWABARDPWKIGON-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1noc(CN2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001423094092 1010883065 /nfs/dbraw/zinc/88/30/65/1010883065.db2.gz MWABARDPWKIGON-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN O=C(CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F)NC1CC1 ZINC001423105833 1010892214 /nfs/dbraw/zinc/89/22/14/1010892214.db2.gz ZEQQGSLHBZMFNU-WPRPVWTQSA-N 0 2 311.279 0.356 20 0 DCADLN O=C(CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F)NC1CC1 ZINC001423105833 1010892219 /nfs/dbraw/zinc/89/22/19/1010892219.db2.gz ZEQQGSLHBZMFNU-WPRPVWTQSA-N 0 2 311.279 0.356 20 0 DCADLN COC[C@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001456464289 1011287597 /nfs/dbraw/zinc/28/75/97/1011287597.db2.gz INSHIKZHJCQNKG-IONNQARKSA-N 0 2 314.279 0.744 20 0 DCADLN COC[C@H](C)C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001456464289 1011287609 /nfs/dbraw/zinc/28/76/09/1011287609.db2.gz INSHIKZHJCQNKG-IONNQARKSA-N 0 2 314.279 0.744 20 0 DCADLN Cc1occc(=O)c1OCC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001456788174 1011461665 /nfs/dbraw/zinc/46/16/65/1011461665.db2.gz ODOBAPDFGRTOQV-QMMMGPOBSA-N 0 2 307.306 0.644 20 0 DCADLN CCC(=O)N[C@@H](CC)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001456981260 1011551237 /nfs/dbraw/zinc/55/12/37/1011551237.db2.gz NFQMWVKQLRZDND-NSHDSACASA-N 0 2 318.381 0.845 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)C[N@@H+]2CCC[C@H]2C)c1[O-] ZINC001431801410 1011665533 /nfs/dbraw/zinc/66/55/33/1011665533.db2.gz RIDMLZSMIUMPOW-VHSXEESVSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)C[N@H+]2CCC[C@H]2C)c1[O-] ZINC001431801410 1011665541 /nfs/dbraw/zinc/66/55/41/1011665541.db2.gz RIDMLZSMIUMPOW-VHSXEESVSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)C[NH2+]Cc2nc(C3CC3)no2)c1[O-] ZINC001445553023 1011916361 /nfs/dbraw/zinc/91/63/61/1011916361.db2.gz UQVQJEFZIFBKAS-ZETCQYMHSA-N 0 2 320.353 0.592 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccnc1 ZINC001445688794 1012117768 /nfs/dbraw/zinc/11/77/68/1012117768.db2.gz IFESAVDQSDESBC-SNVBAGLBSA-N 0 2 304.354 0.085 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccnc1 ZINC001445688794 1012117789 /nfs/dbraw/zinc/11/77/89/1012117789.db2.gz IFESAVDQSDESBC-SNVBAGLBSA-N 0 2 304.354 0.085 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]n1)N(C)C(=O)C(F)C(F)(F)F ZINC001424210624 1012172634 /nfs/dbraw/zinc/17/26/34/1012172634.db2.gz MXAGCLAJEUSEDC-VDTYLAMSSA-N 0 2 311.239 0.282 20 0 DCADLN CC(C)(C)C(=O)NCCCC(=O)NCCc1n[nH]c(=S)o1 ZINC001556283791 1012193314 /nfs/dbraw/zinc/19/33/14/1012193314.db2.gz DXIJQJZQXURGKG-UHFFFAOYSA-N 0 2 314.411 0.960 20 0 DCADLN Cc1nc2ccnn2c(C)c1C(=O)NCCc1n[nH]c(=S)o1 ZINC001556283757 1012194114 /nfs/dbraw/zinc/19/41/14/1012194114.db2.gz CNDDZKZVSBFZNP-UHFFFAOYSA-N 0 2 318.362 0.990 20 0 DCADLN Cc1cc2nc(C)cc(C(=O)NCCc3n[nH]c(=S)o3)n2n1 ZINC001556284127 1012195562 /nfs/dbraw/zinc/19/55/62/1012195562.db2.gz SGALSRDQEJAGNJ-UHFFFAOYSA-N 0 2 318.362 0.990 20 0 DCADLN Cc1nc(C)c(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001432260658 1012219801 /nfs/dbraw/zinc/21/98/01/1012219801.db2.gz ODLBPAFNXXYPBS-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN Cc1nc(C)c(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)[nH]1 ZINC001432260658 1012219814 /nfs/dbraw/zinc/21/98/14/1012219814.db2.gz ODLBPAFNXXYPBS-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@@H]1CCC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001424278473 1012292656 /nfs/dbraw/zinc/29/26/56/1012292656.db2.gz KLLGFDSAPMTWKW-CQSZACIVSA-N 0 2 322.457 0.926 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001458733440 1012328833 /nfs/dbraw/zinc/32/88/33/1012328833.db2.gz WICFTECVXDNJGE-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001458733440 1012328852 /nfs/dbraw/zinc/32/88/52/1012328852.db2.gz WICFTECVXDNJGE-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)c2ncc[nH]2)C1=O ZINC001558895739 1012395286 /nfs/dbraw/zinc/39/52/86/1012395286.db2.gz LKZUEPUMCPDJNN-HNNXBMFYSA-N 0 2 313.317 0.912 20 0 DCADLN COCCC(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001458828508 1012400030 /nfs/dbraw/zinc/40/00/30/1012400030.db2.gz CIJWQLOFCMYWCW-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN COCCC(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001458828508 1012400036 /nfs/dbraw/zinc/40/00/36/1012400036.db2.gz CIJWQLOFCMYWCW-QMMMGPOBSA-N 0 2 300.252 0.688 20 0 DCADLN CCn1cc(CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)nn1 ZINC001424350927 1012417721 /nfs/dbraw/zinc/41/77/21/1012417721.db2.gz VTWIAHCXESAFAG-IBGZPJMESA-N 0 2 323.444 0.529 20 0 DCADLN CSCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001432404344 1012440492 /nfs/dbraw/zinc/44/04/92/1012440492.db2.gz HXPYVRNQHOMZMU-MRVPVSSYSA-N 0 2 302.293 0.919 20 0 DCADLN CSCC(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432404344 1012440498 /nfs/dbraw/zinc/44/04/98/1012440498.db2.gz HXPYVRNQHOMZMU-MRVPVSSYSA-N 0 2 302.293 0.919 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cnn3ccccc23)n1 ZINC001561945919 1012483712 /nfs/dbraw/zinc/48/37/12/1012483712.db2.gz PXUKOMVMLOLKHZ-UHFFFAOYSA-N 0 2 307.339 0.703 20 0 DCADLN CC(=O)N(CCO)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001446093896 1012600820 /nfs/dbraw/zinc/60/08/20/1012600820.db2.gz FPCJDLASJXZJDR-NXEZZACHSA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N(CCO)[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001446093896 1012600825 /nfs/dbraw/zinc/60/08/25/1012600825.db2.gz FPCJDLASJXZJDR-NXEZZACHSA-N 0 2 314.279 0.719 20 0 DCADLN CO[C@@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001446080040 1012592334 /nfs/dbraw/zinc/59/23/34/1012592334.db2.gz YYFXIMIMJBZNKF-CMPLNLGQSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446118841 1012611130 /nfs/dbraw/zinc/61/11/30/1012611130.db2.gz VNXILESZASLKQT-WDEREUQCSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446118814 1012612000 /nfs/dbraw/zinc/61/20/00/1012612000.db2.gz VNXILESZASLKQT-MNOVXSKESA-N 0 2 319.365 0.673 20 0 DCADLN Cc1ccsc1[C@@H](CO)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001446693752 1012909786 /nfs/dbraw/zinc/90/97/86/1012909786.db2.gz BLVVHHHTINTVDX-SFYZADRCSA-N 0 2 313.404 0.763 20 0 DCADLN O=C(NCC1(O)CN(Cc2ncccn2)C1)C(F)C(F)(F)F ZINC001446833701 1013005441 /nfs/dbraw/zinc/00/54/41/1013005441.db2.gz HRHQJUZGAPWYST-SECBINFHSA-N 0 2 322.262 0.040 20 0 DCADLN O=C(NCC1(O)CN(Cc2ncccn2)C1)[C@@H](F)C(F)(F)F ZINC001446833701 1013005454 /nfs/dbraw/zinc/00/54/54/1013005454.db2.gz HRHQJUZGAPWYST-SECBINFHSA-N 0 2 322.262 0.040 20 0 DCADLN CCOCCC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001506292696 1017187013 /nfs/dbraw/zinc/18/70/13/1017187013.db2.gz BXCPBJVJMSRIQU-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CCOCCC(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001506292696 1017187017 /nfs/dbraw/zinc/18/70/17/1017187017.db2.gz BXCPBJVJMSRIQU-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H](NC(=O)CC[C@@H]1CCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506585290 1017376832 /nfs/dbraw/zinc/37/68/32/1017376832.db2.gz IFMJGQUMZJTBSU-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@H]1C[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001478790767 1017388412 /nfs/dbraw/zinc/38/84/12/1017388412.db2.gz BGSCXVGBYSVTIJ-GARJFASQSA-N 0 2 309.370 0.967 20 0 DCADLN C[C@H](NC(=O)C[N@@H+]1CC[C@@H](C)C1)C1C[NH+](Cc2cncn2C)C1 ZINC001506656355 1017439629 /nfs/dbraw/zinc/43/96/29/1017439629.db2.gz SBZKHNPJUSQVJO-KGLIPLIRSA-N 0 2 319.453 0.698 20 0 DCADLN Cc1nc(C[NH2+][C@H]2C[C@@H](CNC(=O)CCc3nnc[nH]3)C2)co1 ZINC001479289427 1017476452 /nfs/dbraw/zinc/47/64/52/1017476452.db2.gz NFUQBKQZUQGSFQ-TXEJJXNPSA-N 0 2 318.381 0.718 20 0 DCADLN Cc1[nH+]ccn1CC(=O)NCCC[N@@H+](C)Cc1cncn1C ZINC001479812657 1017812947 /nfs/dbraw/zinc/81/29/47/1017812947.db2.gz QGTFZLMOMMYGAI-UHFFFAOYSA-N 0 2 304.398 0.563 20 0 DCADLN CN(C(=O)CCc1cnn[nH]1)C1C[NH+](C[C@@H]2CCCOC2)C1 ZINC001499747398 1018060527 /nfs/dbraw/zinc/06/05/27/1018060527.db2.gz PYWJVTTZRNYTBT-LBPRGKRZSA-N 0 2 307.398 0.307 20 0 DCADLN C[C@]1(NC(=O)COCC2CC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500183837 1018279758 /nfs/dbraw/zinc/27/97/58/1018279758.db2.gz NFMCNKYIKGXHSI-AWEZNQCLSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(NC(=O)COCC2CC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500183837 1018279777 /nfs/dbraw/zinc/27/97/77/1018279777.db2.gz NFMCNKYIKGXHSI-AWEZNQCLSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)(C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495825488 1018725249 /nfs/dbraw/zinc/72/52/49/1018725249.db2.gz JQMDXHNDFGTUGO-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COCCCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001498482471 1019161961 /nfs/dbraw/zinc/16/19/61/1019161961.db2.gz WWCKGEYHDIRQOC-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN COCCCC(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001498482471 1019161969 /nfs/dbraw/zinc/16/19/69/1019161969.db2.gz WWCKGEYHDIRQOC-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN CCN(CCNC(=O)c1ccn[nH]1)C(=O)C(F)C(F)(F)F ZINC001496665518 1019499818 /nfs/dbraw/zinc/49/98/18/1019499818.db2.gz KNSVJCKLAUZWER-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)c1ccn[nH]1)C(=O)[C@@H](F)C(F)(F)F ZINC001496665518 1019499823 /nfs/dbraw/zinc/49/98/23/1019499823.db2.gz KNSVJCKLAUZWER-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN O=C(COC1CCCC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001498934192 1019543617 /nfs/dbraw/zinc/54/36/17/1019543617.db2.gz GKVAVBOBHCQNMN-SNVBAGLBSA-N 0 2 309.370 0.160 20 0 DCADLN Cc1cnc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001496748516 1019603628 /nfs/dbraw/zinc/60/36/28/1019603628.db2.gz OZZKCSPLGIERBB-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1cnc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001496748516 1019603640 /nfs/dbraw/zinc/60/36/40/1019603640.db2.gz OZZKCSPLGIERBB-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001502361249 1019834848 /nfs/dbraw/zinc/83/48/48/1019834848.db2.gz TUFGYDVGEGVGPE-APPZFPTMSA-N 0 2 310.251 0.404 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001502361249 1019834864 /nfs/dbraw/zinc/83/48/64/1019834864.db2.gz TUFGYDVGEGVGPE-APPZFPTMSA-N 0 2 310.251 0.404 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1C[C@H](O)CC(F)(F)F ZINC001610170806 1171184784 /nfs/dbraw/zinc/18/47/84/1171184784.db2.gz XYLBDGONMCUUPC-HLTSFMKQSA-N 0 2 313.272 0.638 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)cnc1Br ZINC001621868662 1171416266 /nfs/dbraw/zinc/41/62/66/1171416266.db2.gz CSCSDAQEBGFUOQ-UHFFFAOYSA-N 0 2 312.127 0.906 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCc1ccc(Cl)cc1 ZINC000049607479 545652916 /nfs/dbraw/zinc/65/29/16/545652916.db2.gz YLFCENLDRKWZHQ-UHFFFAOYSA-N 0 2 314.769 0.989 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCc1ccc(Cl)cc1 ZINC000049607479 545652927 /nfs/dbraw/zinc/65/29/27/545652927.db2.gz YLFCENLDRKWZHQ-UHFFFAOYSA-N 0 2 314.769 0.989 20 0 DCADLN NC(=O)[C@H]1CN(C(=O)c2cc(F)c(F)c(O)c2F)CCO1 ZINC000272642777 210045007 /nfs/dbraw/zinc/04/50/07/210045007.db2.gz YHDNUSILEWYIGQ-SSDOTTSWSA-N 0 2 304.224 0.136 20 0 DCADLN COCCC[C@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000272583365 210005225 /nfs/dbraw/zinc/00/52/25/210005225.db2.gz SBUMMPVVZJWEBN-ZETCQYMHSA-N 0 2 322.412 0.911 20 0 DCADLN CCn1cc(C(=O)C(=O)N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)cn1 ZINC000331689467 234000058 /nfs/dbraw/zinc/00/00/58/234000058.db2.gz YISDHWAPRXDJRM-JTQLQIEISA-N 0 2 318.337 0.663 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]1CN(C)CCO1 ZINC000174546373 198350566 /nfs/dbraw/zinc/35/05/66/198350566.db2.gz AKOYDQLEBMKCMO-JTQLQIEISA-N 0 2 322.390 0.274 20 0 DCADLN Cc1[nH+]ccn1-c1ccc(CNC(=O)[C@H]2C[N@H+](C)CCO2)cn1 ZINC000174716566 198374416 /nfs/dbraw/zinc/37/44/16/198374416.db2.gz OTLXBELPJHKLDS-CQSZACIVSA-N 0 2 315.377 0.523 20 0 DCADLN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccn(C(C)C)n1 ZINC000280279903 215507418 /nfs/dbraw/zinc/50/74/18/215507418.db2.gz GXFXHSLQMSONSC-SNVBAGLBSA-N 0 2 307.354 0.140 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[N@@H+]1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC000319079716 283364231 /nfs/dbraw/zinc/36/42/31/283364231.db2.gz PGEJRGJUBUNOGA-BXUZGUMPSA-N 0 2 313.398 0.156 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[N@H+]1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC000319079716 283364233 /nfs/dbraw/zinc/36/42/33/283364233.db2.gz PGEJRGJUBUNOGA-BXUZGUMPSA-N 0 2 313.398 0.156 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cccc3c2oc(=O)n3C)cnn1C ZINC000427303288 240357252 /nfs/dbraw/zinc/35/72/52/240357252.db2.gz YXCNANYVDUUOQH-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC2([S@](C)=O)CCC2)cn1 ZINC000281490168 216337085 /nfs/dbraw/zinc/33/70/85/216337085.db2.gz IQWJFJNXYYYGSV-QFIPXVFZSA-N 0 2 321.406 0.841 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@H](n2ccnn2)C1 ZINC000425197599 240307102 /nfs/dbraw/zinc/30/71/02/240307102.db2.gz CCPPJFPUEVXKOB-QMMMGPOBSA-N 0 2 313.305 0.312 20 0 DCADLN Cn1cccc1C(=O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000567738693 291374345 /nfs/dbraw/zinc/37/43/45/291374345.db2.gz VZMFQASSFOZHIS-SECBINFHSA-N 0 2 303.322 0.438 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc3c([nH]c2=O)CCCCC3)[C@@H](C[NH3+])C1 ZINC000567829991 291381884 /nfs/dbraw/zinc/38/18/84/291381884.db2.gz BLGFPECKWCLUFQ-ZDUSSCGKSA-N 0 2 318.421 0.771 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])cc2F)CC1 ZINC000092114042 193161131 /nfs/dbraw/zinc/16/11/31/193161131.db2.gz OVJZCRJMPOOGKL-UHFFFAOYSA-N 0 2 316.354 0.850 20 0 DCADLN CC[C@@H]1C[C@@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC(=O)N1 ZINC000568867328 291450125 /nfs/dbraw/zinc/45/01/25/291450125.db2.gz ILKMZCVWQSGYRA-HBNTYKKESA-N 0 2 321.381 0.521 20 0 DCADLN O=C([C@H]1CCCCC(=O)N1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000572486321 291570842 /nfs/dbraw/zinc/57/08/42/291570842.db2.gz UTLUWYFDYLBLBD-SNVBAGLBSA-N 0 2 307.354 0.275 20 0 DCADLN CC(=O)N1CC[C@@](C)(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000573567705 291640661 /nfs/dbraw/zinc/64/06/61/291640661.db2.gz LBCUXTYNYOOOTF-IAQYHMDHSA-N 0 2 321.381 0.475 20 0 DCADLN CC(C)n1nccc1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000066248512 184398984 /nfs/dbraw/zinc/39/89/84/184398984.db2.gz QCULJSCCIDTDMP-UHFFFAOYSA-N 0 2 311.367 0.702 20 0 DCADLN CCS(=O)(=O)CC(=O)N[C@@H](c1cnn(C)c1)C(F)(F)F ZINC000331114813 252648418 /nfs/dbraw/zinc/64/84/18/252648418.db2.gz MTPCHKAUCWYXDA-VIFPVBQESA-N 0 2 313.301 0.574 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccnn1Cc1ccccn1 ZINC000340040466 253189790 /nfs/dbraw/zinc/18/97/90/253189790.db2.gz APNGLFCJNDUUFG-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN CC[C@H](C[C@@H](C)CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000340290398 253231507 /nfs/dbraw/zinc/23/15/07/253231507.db2.gz MCWAUKKCCGIDMG-PSASIEDQSA-N 0 2 320.349 0.963 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc2c(c1)C(=O)NC2 ZINC000340818137 253309612 /nfs/dbraw/zinc/30/96/12/253309612.db2.gz JZTOJWGXBWERCE-UHFFFAOYSA-N 0 2 306.347 0.773 20 0 DCADLN C[C@H](CNC(=O)C(=O)N1C[C@@H](C)O[C@@H](C)C1)c1nccs1 ZINC000288648908 220321746 /nfs/dbraw/zinc/32/17/46/220321746.db2.gz ABRQTQDDNJBKEC-MXWKQRLJSA-N 0 2 311.407 0.999 20 0 DCADLN CO[C@H]1CCC[C@@H]1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342520051 253505718 /nfs/dbraw/zinc/50/57/18/253505718.db2.gz GROGFAZENKGAHT-KCJUWKMLSA-N 0 2 318.333 0.981 20 0 DCADLN COC1(C)CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000347719147 254182076 /nfs/dbraw/zinc/18/20/76/254182076.db2.gz MGQDLRJFXDEOPC-UHFFFAOYSA-N 0 2 304.306 0.605 20 0 DCADLN COC(=O)[C@@H](C)CN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348756942 254252499 /nfs/dbraw/zinc/25/24/99/254252499.db2.gz RPEQIHQMVVGXKR-VIFPVBQESA-N 0 2 319.317 0.911 20 0 DCADLN CN(C)C(=O)CCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348807823 254257455 /nfs/dbraw/zinc/25/74/55/254257455.db2.gz JXJFUKAFAQABGH-UHFFFAOYSA-N 0 2 304.306 0.238 20 0 DCADLN CNS(=O)(=O)c1ccccc1NS(=O)(=O)c1ccoc1 ZINC000351301004 254335478 /nfs/dbraw/zinc/33/54/78/254335478.db2.gz HRKPWOIBDVUJEG-UHFFFAOYSA-N 0 2 316.360 0.989 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnoc2C)cc1C(N)=O ZINC000350301333 254315514 /nfs/dbraw/zinc/31/55/14/254315514.db2.gz NGCFPCMDJCZBEI-UHFFFAOYSA-N 0 2 311.319 0.891 20 0 DCADLN CCOC(=O)CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275567501 130338166 /nfs/dbraw/zinc/33/81/66/130338166.db2.gz GFQSYTUNIQOXPZ-UHFFFAOYSA-N 0 2 305.338 0.883 20 0 DCADLN CSCCO[N-]C(=O)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000284078756 131047153 /nfs/dbraw/zinc/04/71/53/131047153.db2.gz UHJQZGSMONQXDJ-UHFFFAOYSA-N 0 2 308.363 0.361 20 0 DCADLN COC(=O)c1ccncc1NS(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000284673223 131102607 /nfs/dbraw/zinc/10/26/07/131102607.db2.gz FUWPHUFFDSGOEN-MRVPVSSYSA-N 0 2 315.351 0.087 20 0 DCADLN CNS(=O)(=O)c1ccc(C(=O)Nc2nnc(C)s2)o1 ZINC000030570537 395703074 /nfs/dbraw/zinc/70/30/74/395703074.db2.gz JDPFGGRHNSBFHF-UHFFFAOYSA-N 0 2 302.337 0.600 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(-n3cccn3)nc2)cn1 ZINC000047992386 395804834 /nfs/dbraw/zinc/80/48/34/395804834.db2.gz KMIGEIALLRABAD-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN CC(C)OC(=O)CCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000048276080 395812378 /nfs/dbraw/zinc/81/23/78/395812378.db2.gz UNUAZOSBADEIPX-UHFFFAOYSA-N 0 2 320.305 0.095 20 0 DCADLN CC(=O)N1CC[N@H+](CC(=O)[N-]OCc2ccccc2)[C@H](C)C1 ZINC000271339209 395859596 /nfs/dbraw/zinc/85/95/96/395859596.db2.gz HASFDLFHKBTRMG-CYBMUJFWSA-N 0 2 305.378 0.787 20 0 DCADLN CC(=O)N1CC[N@@H+](CC(=O)[N-]OCc2ccccc2)[C@H](C)C1 ZINC000271339209 395859597 /nfs/dbraw/zinc/85/95/97/395859597.db2.gz HASFDLFHKBTRMG-CYBMUJFWSA-N 0 2 305.378 0.787 20 0 DCADLN COC[C@@H](O)CNC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000272386818 395887953 /nfs/dbraw/zinc/88/79/53/395887953.db2.gz GITLNJVOLDQZFT-NSHDSACASA-N 0 2 321.333 0.324 20 0 DCADLN COC[C@H](O)CNC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000272386815 395888362 /nfs/dbraw/zinc/88/83/62/395888362.db2.gz GITLNJVOLDQZFT-LLVKDONJSA-N 0 2 321.333 0.324 20 0 DCADLN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)NCc1cn[nH]c1C ZINC000234619051 395890162 /nfs/dbraw/zinc/89/01/62/395890162.db2.gz UGYQZOUOMXYAAR-GHMZBOCLSA-N 0 2 301.368 0.479 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[S@](C)=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000273256427 395909852 /nfs/dbraw/zinc/90/98/52/395909852.db2.gz YRXHCVHSNRVBBN-QNLYAUKNSA-N 0 2 324.362 0.241 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[S@](C)=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000273256431 395910427 /nfs/dbraw/zinc/91/04/27/395910427.db2.gz YRXHCVHSNRVBBN-YNSPTIENSA-N 0 2 324.362 0.241 20 0 DCADLN COC(=O)[C@@]1(NC(=O)c2cc(F)c(F)c(O)c2F)CCOC1 ZINC000273376410 395916764 /nfs/dbraw/zinc/91/67/64/395916764.db2.gz CYSOWBQFPQTCQI-CYBMUJFWSA-N 0 2 319.235 0.871 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(OCCC(N)=O)cc2)cn1 ZINC000055594304 395920167 /nfs/dbraw/zinc/92/01/67/395920167.db2.gz BYZGBJPKRRJURV-UHFFFAOYSA-N 0 2 324.362 0.475 20 0 DCADLN NC(=O)[C@H]1CSCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000271525823 395870200 /nfs/dbraw/zinc/87/02/00/395870200.db2.gz HRMBIBUHZZGTEC-MRVPVSSYSA-N 0 2 310.404 0.800 20 0 DCADLN COc1ccccc1C(=O)NCC(=O)NOC[C@H]1CCOC1 ZINC000276359590 395990511 /nfs/dbraw/zinc/99/05/11/395990511.db2.gz YHCUSHOYZFGVMP-NSHDSACASA-N 0 2 308.334 0.509 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)CCC(=O)N3)c1O ZINC000277929941 396095256 /nfs/dbraw/zinc/09/52/56/396095256.db2.gz DFWBEEYEJLDOAC-GFCCVEGCSA-N 0 2 317.301 0.559 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H](C)NS(=O)(=O)c2cccs2)n1 ZINC000171549311 396118666 /nfs/dbraw/zinc/11/86/66/396118666.db2.gz YGGNAFAHYUFAFC-ZCFIWIBFSA-N 0 2 315.380 0.480 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000262345758 396127783 /nfs/dbraw/zinc/12/77/83/396127783.db2.gz DMVYJWQYFVRRQM-LBPRGKRZSA-N 0 2 313.398 0.300 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000262345758 396127788 /nfs/dbraw/zinc/12/77/88/396127788.db2.gz DMVYJWQYFVRRQM-LBPRGKRZSA-N 0 2 313.398 0.300 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000262638401 396166162 /nfs/dbraw/zinc/16/61/62/396166162.db2.gz IMAJZTYLOMISJR-SNVBAGLBSA-N 0 2 307.350 0.732 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000262638401 396166163 /nfs/dbraw/zinc/16/61/63/396166163.db2.gz IMAJZTYLOMISJR-SNVBAGLBSA-N 0 2 307.350 0.732 20 0 DCADLN NC(=O)CCCC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000280380305 396196840 /nfs/dbraw/zinc/19/68/40/396196840.db2.gz WVMPFUSCOGAJJW-UHFFFAOYSA-N 0 2 317.349 0.656 20 0 DCADLN O=C([O-])c1cccc(N2CCC[C@](O)(C(=O)N3CCCC3)C2)[nH+]1 ZINC000263398368 396215330 /nfs/dbraw/zinc/21/53/30/396215330.db2.gz IFYKUXPQYJSIKG-MRXNPFEDSA-N 0 2 319.361 0.734 20 0 DCADLN COc1ccnc(N2CCN(c3cccc(C(=O)[O-])[nH+]3)CC2)n1 ZINC000263419283 396216561 /nfs/dbraw/zinc/21/65/61/396216561.db2.gz OXHTVTVMNZVVBX-UHFFFAOYSA-N 0 2 315.333 0.905 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N[C@@H](C(=O)[O-])C(C)C)n1 ZINC000263435174 396217471 /nfs/dbraw/zinc/21/74/71/396217471.db2.gz VAGZTKBPOLYMFS-SECBINFHSA-N 0 2 312.374 0.617 20 0 DCADLN CC(C)Nc1nc(N[C@@H](C(=O)[O-])C(C)C)nc(NCCO)[nH+]1 ZINC000263435174 396217479 /nfs/dbraw/zinc/21/74/79/396217479.db2.gz VAGZTKBPOLYMFS-SECBINFHSA-N 0 2 312.374 0.617 20 0 DCADLN C[C@@H]1C[C@H](C)N(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000083995488 396318622 /nfs/dbraw/zinc/31/86/22/396318622.db2.gz YSIRWDMDTWWAFN-SFYZADRCSA-N 0 2 324.362 0.443 20 0 DCADLN COCCN(CC(=O)N(C)C)C(=O)c1cc(F)c(O)c(F)c1 ZINC000186810086 396358586 /nfs/dbraw/zinc/35/85/86/396358586.db2.gz CHHCCUCHNIFFOH-UHFFFAOYSA-N 0 2 316.304 0.847 20 0 DCADLN O=C(NC1CC1)[C@@H]1CCCN1S(=O)(=O)NCC(F)(F)F ZINC000192012814 396427456 /nfs/dbraw/zinc/42/74/56/396427456.db2.gz XFBBGHCKIDTUBM-QMMMGPOBSA-N 0 2 315.317 0.126 20 0 DCADLN CO[C@@H](C)[C@@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000291508362 396495158 /nfs/dbraw/zinc/49/51/58/396495158.db2.gz WEEFEHQTTYSEAJ-SFYZADRCSA-N 0 2 306.322 0.898 20 0 DCADLN Cc1cccc2c1OC[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C2 ZINC000291969793 396522570 /nfs/dbraw/zinc/52/25/70/396522570.db2.gz HXHLCECWIHGXEB-JTQLQIEISA-N 0 2 320.374 0.618 20 0 DCADLN CC[C@@H]1CCCCN1C(=O)C[N@@H+]1CCN2C(=O)[C@H]([NH3+])C[C@H]2C1 ZINC000581201758 396534723 /nfs/dbraw/zinc/53/47/23/396534723.db2.gz FSNWCSVBSAGMTA-HZSPNIEDSA-N 0 2 308.426 0.021 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[N@@H+](CC(C)(C)O)C[C@@H]1C ZINC000581833101 396585957 /nfs/dbraw/zinc/58/59/57/396585957.db2.gz CKBSGINQMNVXBP-OLZOCXBDSA-N 0 2 308.426 0.892 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[N@H+](CC(C)(C)O)C[C@@H]1C ZINC000581833101 396585958 /nfs/dbraw/zinc/58/59/58/396585958.db2.gz CKBSGINQMNVXBP-OLZOCXBDSA-N 0 2 308.426 0.892 20 0 DCADLN Cn1cnc(CC[NH2+]C[C@@H](O)C[N@@H+]2CCc3ccccc3C2)n1 ZINC000581543544 396563365 /nfs/dbraw/zinc/56/33/65/396563365.db2.gz LDFQPSCZDPCGQG-MRXNPFEDSA-N 0 2 315.421 0.366 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@@H](O)C[C@@H]1CO ZINC000374820754 396689656 /nfs/dbraw/zinc/68/96/56/396689656.db2.gz AUSJGCMEGBVIDY-NEPJUHHUSA-N 0 2 321.308 0.285 20 0 DCADLN CCC(=O)N[C@H](C)C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000589117999 396705132 /nfs/dbraw/zinc/70/51/32/396705132.db2.gz LMORFGFEUGJHMJ-MRVPVSSYSA-N 0 2 304.306 0.883 20 0 DCADLN CC[C@@H]1C[C@@H](C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)CC(=O)N1 ZINC000563192791 396712810 /nfs/dbraw/zinc/71/28/10/396712810.db2.gz OGNOJHTVHOGPRO-GHMZBOCLSA-N 0 2 321.381 0.521 20 0 DCADLN CC(C)c1nc(N2CCO[C@@H](CC(=O)[O-])C2)nc(N(C)C)[nH+]1 ZINC000563214200 396714704 /nfs/dbraw/zinc/71/47/04/396714704.db2.gz MUXIEFAMDGGSRO-JTQLQIEISA-N 0 2 309.370 0.741 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C(C)(C)S(C)(=O)=O)s[nH]1 ZINC000634154598 396797512 /nfs/dbraw/zinc/79/75/12/396797512.db2.gz YQVPTIWUIULSOK-UHFFFAOYSA-N 0 2 321.424 0.603 20 0 DCADLN Cc1cnn(-c2ccc(C(=O)N3CC[N@H+](C)C[C@@H]3C[NH3+])cc2)c1 ZINC000564450199 396834309 /nfs/dbraw/zinc/83/43/09/396834309.db2.gz GUGXDZVDGDXBPG-INIZCTEOSA-N 0 2 313.405 0.896 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn(C[C@H]3CCCO3)c2)c1O ZINC000375951885 396850632 /nfs/dbraw/zinc/85/06/32/396850632.db2.gz XNABKFGXWTUAHD-GHMZBOCLSA-N 0 2 322.321 0.050 20 0 DCADLN CC[C@]1(O)CCCN(C(=O)[C@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000634197996 396811630 /nfs/dbraw/zinc/81/16/30/396811630.db2.gz MNPLECWMMOUWEK-JSGCOSHPSA-N 0 2 318.439 0.422 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000320785549 396814220 /nfs/dbraw/zinc/81/42/20/396814220.db2.gz IJTUXRZDUARRRJ-ZWNOBZJWSA-N 0 2 319.361 0.905 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000320785549 396814224 /nfs/dbraw/zinc/81/42/24/396814224.db2.gz IJTUXRZDUARRRJ-ZWNOBZJWSA-N 0 2 319.361 0.905 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000320785542 396814333 /nfs/dbraw/zinc/81/43/33/396814333.db2.gz IJTUXRZDUARRRJ-GXFFZTMASA-N 0 2 319.361 0.905 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000320785542 396814337 /nfs/dbraw/zinc/81/43/37/396814337.db2.gz IJTUXRZDUARRRJ-GXFFZTMASA-N 0 2 319.361 0.905 20 0 DCADLN COCc1cc(=O)n(-c2ccccc2S(=O)(=O)N(C)C)[nH]1 ZINC000634614257 396891295 /nfs/dbraw/zinc/89/12/95/396891295.db2.gz XZTKCSYZCCOPHI-UHFFFAOYSA-N 0 2 311.363 0.676 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-])C(=O)OC ZINC000600700474 396921996 /nfs/dbraw/zinc/92/19/96/396921996.db2.gz HVBHUSCVIGGYHZ-NVBFEUDRSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-])C(=O)OC ZINC000600700474 396922003 /nfs/dbraw/zinc/92/20/03/396922003.db2.gz HVBHUSCVIGGYHZ-NVBFEUDRSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@]1(C)C(=O)[O-])C(=O)OC ZINC000600700461 396922262 /nfs/dbraw/zinc/92/22/62/396922262.db2.gz HVBHUSCVIGGYHZ-ITDIGPHOSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@]1(C)C(=O)[O-])C(=O)OC ZINC000600700461 396922270 /nfs/dbraw/zinc/92/22/70/396922270.db2.gz HVBHUSCVIGGYHZ-ITDIGPHOSA-N 0 2 314.382 0.629 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@H+](C)Cc1ccc(OC)c(O)c1 ZINC000569909828 396923170 /nfs/dbraw/zinc/92/31/70/396923170.db2.gz WDQOQWYQJLVSPM-AWEZNQCLSA-N 0 2 312.410 0.772 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)NC(=O)NC(C)(C)C ZINC000591655187 396966604 /nfs/dbraw/zinc/96/66/04/396966604.db2.gz OIFJBMCTYTUMLR-AWEZNQCLSA-N 0 2 315.370 0.176 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@H+]1CC(=O)NC(=O)NC(C)(C)C ZINC000591655187 396966606 /nfs/dbraw/zinc/96/66/06/396966606.db2.gz OIFJBMCTYTUMLR-AWEZNQCLSA-N 0 2 315.370 0.176 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000630157240 396950145 /nfs/dbraw/zinc/95/01/45/396950145.db2.gz PYEUBLSCLDVYKJ-HUUCEWRRSA-N 0 2 318.373 0.918 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000630157240 396950150 /nfs/dbraw/zinc/95/01/50/396950150.db2.gz PYEUBLSCLDVYKJ-HUUCEWRRSA-N 0 2 318.373 0.918 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)N1CCc2cccc(C(=O)[O-])c2C1 ZINC000630201313 396959288 /nfs/dbraw/zinc/95/92/88/396959288.db2.gz SHCIYFIJQGVZDJ-HNNXBMFYSA-N 0 2 318.373 0.990 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)N1CCc2cccc(C(=O)[O-])c2C1 ZINC000630201313 396959292 /nfs/dbraw/zinc/95/92/92/396959292.db2.gz SHCIYFIJQGVZDJ-HNNXBMFYSA-N 0 2 318.373 0.990 20 0 DCADLN C[C@@H](C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1)n1cncn1 ZINC000591830607 397005989 /nfs/dbraw/zinc/00/59/89/397005989.db2.gz TXVMFXSEOHBVME-QMMMGPOBSA-N 0 2 300.278 0.821 20 0 DCADLN NC(=O)C[C@@H]1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000377135657 397035664 /nfs/dbraw/zinc/03/56/64/397035664.db2.gz FTEAYDMSVKLQBR-QWHCGFSZSA-N 0 2 319.409 0.530 20 0 DCADLN COC[C@H](CCO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612506145 397074531 /nfs/dbraw/zinc/07/45/31/397074531.db2.gz WQADCPAYZWCLHN-NSHDSACASA-N 0 2 307.306 0.157 20 0 DCADLN C[C@H]1C[N@H+](Cc2ccc(C(=O)[O-])cc2)CCN1S(C)(=O)=O ZINC000572848506 397102663 /nfs/dbraw/zinc/10/26/63/397102663.db2.gz NGGIJAHKNXIIIE-NSHDSACASA-N 0 2 312.391 0.851 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccc(C(=O)[O-])cc2)CCN1S(C)(=O)=O ZINC000572848506 397102666 /nfs/dbraw/zinc/10/26/66/397102666.db2.gz NGGIJAHKNXIIIE-NSHDSACASA-N 0 2 312.391 0.851 20 0 DCADLN C[C@@H](O)[C@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000618913943 397041420 /nfs/dbraw/zinc/04/14/20/397041420.db2.gz LUSOALHFYOBLSY-GMSGAONNSA-N 0 2 304.306 0.421 20 0 DCADLN [NH3+]Cc1cn([C@@H]2CCN(c3cc(NCC4CC4)[nH+]cn3)C2)nn1 ZINC000630552476 397044290 /nfs/dbraw/zinc/04/42/90/397044290.db2.gz BGXMXCGYPCJJQX-CYBMUJFWSA-N 0 2 314.397 0.800 20 0 DCADLN C[C@H]1CCC[N@@H+](CCNC(=O)NCC[NH+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000573065874 397128479 /nfs/dbraw/zinc/12/84/79/397128479.db2.gz XMSWNEMGYAMABS-HRCADAONSA-N 0 2 324.469 0.881 20 0 DCADLN CNC(=O)CCCN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612907229 397159433 /nfs/dbraw/zinc/15/94/33/397159433.db2.gz PTPHGSDTXWIQET-UHFFFAOYSA-N 0 2 318.333 0.628 20 0 DCADLN CCCCn1cc(CNC(=O)N2CC[N@@H+](C)C[C@@H]2C[NH3+])c(C)n1 ZINC000572976319 397118857 /nfs/dbraw/zinc/11/88/57/397118857.db2.gz OQNNWZJOTZJTCL-HNNXBMFYSA-N 0 2 322.457 0.776 20 0 DCADLN COC(=O)c1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)on1 ZINC000592796883 397254480 /nfs/dbraw/zinc/25/44/80/397254480.db2.gz MVZYXCBZARXNCC-ZETCQYMHSA-N 0 2 321.293 0.305 20 0 DCADLN C[C@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)[C@@H]1CO ZINC000613834281 397332474 /nfs/dbraw/zinc/33/24/74/397332474.db2.gz JSIPCUOXSRUCNV-JOYOIKCWSA-N 0 2 303.318 0.873 20 0 DCADLN CC1CC(CNS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000621313620 397372198 /nfs/dbraw/zinc/37/21/98/397372198.db2.gz PZOMCHJLYSMUQB-UHFFFAOYSA-N 0 2 324.362 0.760 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)NCC2(C(F)F)CC2)on1 ZINC000599293278 397394049 /nfs/dbraw/zinc/39/40/49/397394049.db2.gz QNWCJMVQNQMFSZ-UHFFFAOYSA-N 0 2 310.278 0.785 20 0 DCADLN N[C@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)c1c(F)cccc1F ZINC000578646729 397465554 /nfs/dbraw/zinc/46/55/54/397465554.db2.gz IVRIUHLJGDGGDF-NSHDSACASA-N 0 2 311.292 0.537 20 0 DCADLN COC(=O)c1ccn(CC(=O)N=c2nc(C(C)(C)C)[nH]s2)n1 ZINC000602839445 397498573 /nfs/dbraw/zinc/49/85/73/397498573.db2.gz YWUGTRVPWVQFBF-UHFFFAOYSA-N 0 2 323.378 0.879 20 0 DCADLN CCOC(=O)Cn1ccc(NS(=O)(=O)C[C@@H](CC)OC)n1 ZINC000594462057 397556683 /nfs/dbraw/zinc/55/66/83/397556683.db2.gz JNBXCLGIHKSNHH-SNVBAGLBSA-N 0 2 319.383 0.613 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2cnn(C)c2C)c1 ZINC000579428420 397565631 /nfs/dbraw/zinc/56/56/31/397565631.db2.gz AKAKVZJNHDMWQF-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COC(=O)[C@H]1CCCN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000594424058 397547014 /nfs/dbraw/zinc/54/70/14/397547014.db2.gz RPCGBZRHAVNKOO-LLVKDONJSA-N 0 2 317.301 0.808 20 0 DCADLN CC[NH+]1CCN(C[C@@H](C)CNC(=O)[C@H]2CCCC[N@@H+]2C)CC1 ZINC000606371700 397679265 /nfs/dbraw/zinc/67/92/65/397679265.db2.gz QLXBATAUCAPYES-JKSUJKDBSA-N 0 2 310.486 0.861 20 0 DCADLN CCO[C@@H]1C[C@H](N(C)C(=O)CSc2n[nH]c(=O)[nH]2)C1(C)C ZINC000185716600 286317631 /nfs/dbraw/zinc/31/76/31/286317631.db2.gz NWURYFLVEMBVLI-DTWKUNHWSA-N 0 2 314.411 0.852 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC000047251612 158002966 /nfs/dbraw/zinc/00/29/66/158002966.db2.gz HVSAKWRSSZJPSR-UHFFFAOYSA-N 0 2 314.754 0.823 20 0 DCADLN O=C(CCC(=O)c1cccs1)NCCCc1n[nH]c(=O)[nH]1 ZINC000081815236 158086039 /nfs/dbraw/zinc/08/60/39/158086039.db2.gz IXSKDZJXGXGCCU-UHFFFAOYSA-N 0 2 308.363 0.871 20 0 DCADLN COC(=O)c1cccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000089505720 158097061 /nfs/dbraw/zinc/09/70/61/158097061.db2.gz QLIOCLGHQBISMH-UHFFFAOYSA-N 0 2 318.333 0.176 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCC[C@H](CC(N)=O)C1)c2=O ZINC000119309475 158185609 /nfs/dbraw/zinc/18/56/09/158185609.db2.gz WJIMWKVKSOLKRL-SECBINFHSA-N 0 2 317.349 0.402 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H](CO)Cc1ccccc1 ZINC000127266737 158272980 /nfs/dbraw/zinc/27/29/80/158272980.db2.gz GIPWLIJWOHAUAQ-SNVBAGLBSA-N 0 2 312.313 0.576 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H](CO)Cc1ccccc1 ZINC000127266498 158273306 /nfs/dbraw/zinc/27/33/06/158273306.db2.gz GIPWLIJWOHAUAQ-JTQLQIEISA-N 0 2 312.313 0.576 20 0 DCADLN CCOC(=O)CN(C(C)C)S(=O)(=O)NCC(F)(F)F ZINC000127669627 158275914 /nfs/dbraw/zinc/27/59/14/158275914.db2.gz IJVBYFFAIOPXPN-UHFFFAOYSA-N 0 2 306.306 0.657 20 0 DCADLN O=c1[nH]ncn1-c1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000130640658 158295675 /nfs/dbraw/zinc/29/56/75/158295675.db2.gz YVLMRBHLLRXOLE-UHFFFAOYSA-N 0 2 306.307 0.085 20 0 DCADLN O=C(Cn1ccccc1=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329082691 159060191 /nfs/dbraw/zinc/06/01/91/159060191.db2.gz SIWMCHPGPOBBBU-SNVBAGLBSA-N 0 2 303.322 0.078 20 0 DCADLN O=C(COC[C@H]1CCOC1)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000329203834 159073484 /nfs/dbraw/zinc/07/34/84/159073484.db2.gz XKVFYCZGQFJBRI-WDEREUQCSA-N 0 2 310.354 0.617 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)Cc2ccnn2C)[nH]1 ZINC000329615536 159107059 /nfs/dbraw/zinc/10/70/59/159107059.db2.gz PNZOGBABZHDDHI-GFCCVEGCSA-N 0 2 318.381 0.981 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)N(C(N)=O)CC2 ZINC000359746070 159264868 /nfs/dbraw/zinc/26/48/68/159264868.db2.gz HCJWGBDDMDGJCN-UHFFFAOYSA-N 0 2 322.350 0.057 20 0 DCADLN CO[C@H](C)c1nsc(NC2CCN(c3nnnn3C)CC2)n1 ZINC000367165653 159318577 /nfs/dbraw/zinc/31/85/77/159318577.db2.gz OQNXALSPEMIYGT-MRVPVSSYSA-N 0 2 324.414 0.272 20 0 DCADLN Cc1cnn([C@@H]2CCN(S(=O)(=O)NCC(F)(F)F)C2)c1 ZINC000368080664 159374692 /nfs/dbraw/zinc/37/46/92/159374692.db2.gz GRGGHKYRHGYHCC-SECBINFHSA-N 0 2 312.317 0.835 20 0 DCADLN CC[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H]1O ZINC000408015737 160011530 /nfs/dbraw/zinc/01/15/30/160011530.db2.gz DCWRSLMGAMDMTI-GMSGAONNSA-N 0 2 304.306 0.279 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)CC[C@H]2CC[C@H](C)O2)cnn1C ZINC000408484847 160118291 /nfs/dbraw/zinc/11/82/91/160118291.db2.gz ONMNDEFVTCKAPP-GXSJLCMTSA-N 0 2 315.395 0.881 20 0 DCADLN CCc1ccccc1OCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000080973811 286927482 /nfs/dbraw/zinc/92/74/82/286927482.db2.gz KTOVQEZNMGIESV-UHFFFAOYSA-N 0 2 304.350 0.788 20 0 DCADLN O=C(CCNC(=O)c1ccsc1)NCCCc1n[nH]c(=O)[nH]1 ZINC000080975161 286928015 /nfs/dbraw/zinc/92/80/15/286928015.db2.gz BWQYEMURFKNAHU-UHFFFAOYSA-N 0 2 323.378 0.028 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)[NH+]1CCSCC1)N1CC[NH+](C)CC1 ZINC000132096990 287003469 /nfs/dbraw/zinc/00/34/69/287003469.db2.gz CHUPREVUNFJTRZ-ZIAGYGMSSA-N 0 2 314.499 0.176 20 0 DCADLN Cc1nc(N2CCN(C(=O)c3nc(=O)[nH][nH]3)CC2)sc1C ZINC000153160808 287047521 /nfs/dbraw/zinc/04/75/21/287047521.db2.gz REVFDAIXGDSLJH-UHFFFAOYSA-N 0 2 308.367 0.134 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000153324939 287049227 /nfs/dbraw/zinc/04/92/27/287049227.db2.gz KYLWQMBUXXAOSA-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN NS(=O)(=O)c1ccc2c(c1)N(Cc1n[nH]c(=O)[nH]1)CCC2 ZINC000340834105 287149764 /nfs/dbraw/zinc/14/97/64/287149764.db2.gz ONNPIIHXTQXYKG-UHFFFAOYSA-N 0 2 309.351 0.111 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc2c(c1)CCCO2 ZINC000355995357 287191444 /nfs/dbraw/zinc/19/14/44/287191444.db2.gz KRLMCZMCIISJBY-UHFFFAOYSA-N 0 2 317.305 0.090 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)OC ZINC000358311106 287206578 /nfs/dbraw/zinc/20/65/78/287206578.db2.gz KIWFFZLUEKHWCO-LDYMZIIASA-N 0 2 320.349 0.991 20 0 DCADLN CCOC(=O)[C@H](C)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358389777 287207671 /nfs/dbraw/zinc/20/76/71/287207671.db2.gz BAHKXNVAAQIGAM-MRVPVSSYSA-N 0 2 306.322 0.603 20 0 DCADLN COC(=O)C[C@@H]1CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358390772 287207797 /nfs/dbraw/zinc/20/77/97/287207797.db2.gz BOFGRYAPHXCDTA-VIFPVBQESA-N 0 2 318.333 0.842 20 0 DCADLN Cn1cc(-c2ncccc2NC(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC000342356907 415149369 /nfs/dbraw/zinc/14/93/69/415149369.db2.gz LZLSVDBCFAHDOH-UHFFFAOYSA-N 0 2 314.309 0.628 20 0 DCADLN CC[NH+]1CCN(CCC(=O)NCC[NH+]2CCSCC2)CC1 ZINC000352460896 415184121 /nfs/dbraw/zinc/18/41/21/415184121.db2.gz IBFOOJRGJOVHOI-UHFFFAOYSA-N 0 2 314.499 0.179 20 0 DCADLN CCC[C@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000268494311 415225611 /nfs/dbraw/zinc/22/56/11/415225611.db2.gz PRTDGVAMORURBU-DTWKUNHWSA-N 0 2 318.399 0.418 20 0 DCADLN O=S(=O)(Nc1nncs1)c1ccccc1Cn1cncn1 ZINC000352855993 415346719 /nfs/dbraw/zinc/34/67/19/415346719.db2.gz PZOSTRXOCGHILV-UHFFFAOYSA-N 0 2 322.375 0.979 20 0 DCADLN Cc1ccc([C@H]2COCCN2C(=O)CSc2n[nH]c(=O)[nH]2)o1 ZINC000274987095 415368441 /nfs/dbraw/zinc/36/84/41/415368441.db2.gz QXXGKIGCZUEMKX-SECBINFHSA-N 0 2 324.362 0.692 20 0 DCADLN CC(C)(C)C(=O)NCCCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000080975239 415479109 /nfs/dbraw/zinc/47/91/09/415479109.db2.gz BHLLGXQECQQVMI-UHFFFAOYSA-N 0 2 311.386 0.089 20 0 DCADLN O=C(COc1ccc(F)cc1F)NCCCc1n[nH]c(=O)[nH]1 ZINC000080974463 415479391 /nfs/dbraw/zinc/47/93/91/415479391.db2.gz TUZYNRVPQZLCTG-UHFFFAOYSA-N 0 2 312.276 0.504 20 0 DCADLN Cn1ccnc(NC(=O)C(=O)c2cnn(-c3ccccc3)c2)c1=O ZINC000085734432 415506345 /nfs/dbraw/zinc/50/63/45/415506345.db2.gz KESTXUBUNYKPKM-UHFFFAOYSA-N 0 2 323.312 0.787 20 0 DCADLN C[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)Nc1ccccc1 ZINC000353482236 415561990 /nfs/dbraw/zinc/56/19/90/415561990.db2.gz UFTWTWRFWJEKST-QMMMGPOBSA-N 0 2 321.362 0.746 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCNC(=O)C2(C)C)c1 ZINC000424649103 287312255 /nfs/dbraw/zinc/31/22/55/287312255.db2.gz SKMMUOLTCFFUKN-QFIPXVFZSA-N 0 2 324.402 0.540 20 0 DCADLN COc1ccc(C[C@H](C)NC(=O)c2nc(=O)[nH][nH]2)cc1OC ZINC000106921522 415655006 /nfs/dbraw/zinc/65/50/06/415655006.db2.gz GFLJTBOFBMPSLS-QMMMGPOBSA-N 0 2 306.322 0.476 20 0 DCADLN C[C@@H]1C[C@@H]1c1cc(=NC(=O)N=c2nc3n([nH]2)CCCC3)[nH][nH]1 ZINC000333642945 415701816 /nfs/dbraw/zinc/70/18/16/415701816.db2.gz JEABQBLSAMGVTO-BDAKNGLRSA-N 0 2 301.354 0.949 20 0 DCADLN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)c2cccc3[nH]nnc32)O1 ZINC000119066806 415734742 /nfs/dbraw/zinc/73/47/42/415734742.db2.gz KCVIMRXYJUAJOD-CABZTGNLSA-N 0 2 317.349 0.324 20 0 DCADLN CNS(=O)(=O)N1CCN(C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC000334023568 415787503 /nfs/dbraw/zinc/78/75/03/415787503.db2.gz FMIOXMPQPQFORR-SDDRHHMPSA-N 0 2 301.412 0.031 20 0 DCADLN C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1NC(=O)NC(C)(C)CN1CCOCC1 ZINC000334024427 415787838 /nfs/dbraw/zinc/78/78/38/415787838.db2.gz GEXYQUDDSNDGIF-HIFRSBDPSA-N 0 2 324.469 0.879 20 0 DCADLN CC(C)NC(=O)NC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](OCCO)C1 ZINC000334034002 415787996 /nfs/dbraw/zinc/78/79/96/415787996.db2.gz AXQWEMMOMWYCBK-VXGBXAGGSA-N 0 2 301.387 0.082 20 0 DCADLN CN(C(=O)[C@H]1CCN(C(=O)[C@]2(O)CCOC2)C1)c1ccccc1 ZINC000334033326 415788609 /nfs/dbraw/zinc/78/86/09/415788609.db2.gz MIXUKMUOGIGKDX-GUYCJALGSA-N 0 2 318.373 0.649 20 0 DCADLN COc1cc(C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)on1 ZINC000334035348 415788637 /nfs/dbraw/zinc/78/86/37/415788637.db2.gz KRXKLYGEWVKZIO-RKDXNWHRSA-N 0 2 317.367 0.131 20 0 DCADLN CCOC(=O)[C@@H](NC(=O)c1cnn(C)c1C)[C@H]1CCCOC1 ZINC000334028954 415788774 /nfs/dbraw/zinc/78/87/74/415788774.db2.gz QQKHINZQPGUYKG-AAEUAGOBSA-N 0 2 309.366 0.817 20 0 DCADLN Cc1cc(C[C@@H](C)NC(=O)N[C@@H](C)CN2CC[NH+](C)CC2)n[nH]1 ZINC000121554044 415806731 /nfs/dbraw/zinc/80/67/31/415806731.db2.gz BJXRSHKGVVFZEA-OCCSQVGLSA-N 0 2 322.457 0.584 20 0 DCADLN Cn1cnc(C(=O)Nc2nc(-c3c(F)cccc3F)n[nH]2)n1 ZINC000354644093 415809742 /nfs/dbraw/zinc/80/97/42/415809742.db2.gz SKJXQWNWAMSNJN-UHFFFAOYSA-N 0 2 305.248 1.131 20 0 DCADLN CCn1cnnc1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000356217419 415883226 /nfs/dbraw/zinc/88/32/26/415883226.db2.gz UZCUFDKFJDWHIV-UHFFFAOYSA-N 0 2 301.266 0.300 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)NCc2cn[nH]c2C)cn1 ZINC000344308903 415887889 /nfs/dbraw/zinc/88/78/89/415887889.db2.gz QLCYEZJYEBFTQR-UHFFFAOYSA-N 0 2 310.335 0.378 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccncc2C(C)=O)c[nH]1 ZINC000356249671 415890836 /nfs/dbraw/zinc/89/08/36/415890836.db2.gz QEQONMPRJIKSOY-UHFFFAOYSA-N 0 2 323.330 0.622 20 0 DCADLN COC(=O)C[C@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccc(Cl)cc1 ZINC000127886929 415891138 /nfs/dbraw/zinc/89/11/38/415891138.db2.gz CLMDJAJIGNVOOY-VIFPVBQESA-N 0 2 324.724 0.786 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)Nc1cncc(N2CCCC2)n1 ZINC000296130218 415907573 /nfs/dbraw/zinc/90/75/73/415907573.db2.gz YZGDHWSPYCHZLR-JTQLQIEISA-N 0 2 300.384 0.853 20 0 DCADLN Cc1cc(C)n(-c2ccc(CNC(=O)c3nc(=O)[nH][nH]3)cn2)n1 ZINC000129716952 415912957 /nfs/dbraw/zinc/91/29/57/415912957.db2.gz HRQZUKBLFLPLDF-UHFFFAOYSA-N 0 2 313.321 0.226 20 0 DCADLN C[C@@H]1CCC[C@]1(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000293965835 415865695 /nfs/dbraw/zinc/86/56/95/415865695.db2.gz BCVJHQAILONWEL-GLEZIHRCSA-N 0 2 318.333 0.717 20 0 DCADLN COc1cncc(S(=O)(=O)Nc2cnccc2NC(C)=O)c1 ZINC000337312422 415942112 /nfs/dbraw/zinc/94/21/12/415942112.db2.gz VQRQOGIVJVWJPP-UHFFFAOYSA-N 0 2 322.346 0.666 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@H]3CC[C@H](CO)O3)c[nH]c2n1 ZINC000356562073 415951621 /nfs/dbraw/zinc/95/16/21/415951621.db2.gz ZLQQVLRJWAEHFX-GHMZBOCLSA-N 0 2 317.345 0.914 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CC1)c1cccc(Cn2ccnc2)c1 ZINC000356758238 415982157 /nfs/dbraw/zinc/98/21/57/415982157.db2.gz CCMVZKFSHRWUIE-UHFFFAOYSA-N 0 2 309.333 0.864 20 0 DCADLN Cc1ccccc1C[C@@H](CO)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000357014112 416020048 /nfs/dbraw/zinc/02/00/48/416020048.db2.gz VBCIIPQQQWYHPB-NSHDSACASA-N 0 2 322.390 0.631 20 0 DCADLN O=S(=O)(Nc1cccnc1-n1cncn1)c1cncc(F)c1 ZINC000357553946 416095982 /nfs/dbraw/zinc/09/59/82/416095982.db2.gz WATYENAAWAGDMD-UHFFFAOYSA-N 0 2 320.309 0.997 20 0 DCADLN CCC(CC)NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000175328281 416102629 /nfs/dbraw/zinc/10/26/29/416102629.db2.gz REHPUAXZDZUNMF-UHFFFAOYSA-N 0 2 312.351 0.078 20 0 DCADLN CC[C@H](C)NC(=O)[C@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179459978 416189512 /nfs/dbraw/zinc/18/95/12/416189512.db2.gz LGBWFXTVSZOFPQ-IUCAKERBSA-N 0 2 319.365 0.707 20 0 DCADLN NC(=O)CC1CN(C(=O)C2=NN(c3ccc(F)cc3)CC2=O)C1 ZINC000358136337 416199325 /nfs/dbraw/zinc/19/93/25/416199325.db2.gz MDMACAPEQSHFOX-UHFFFAOYSA-N 0 2 318.308 0.664 20 0 DCADLN CCOC(=O)[C@@H](C)[C@@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000345850652 416159147 /nfs/dbraw/zinc/15/91/47/416159147.db2.gz RQJLGIONUJANLY-DTWKUNHWSA-N 0 2 320.349 0.991 20 0 DCADLN Nc1noc(CCNC(=O)c2cc(F)c(F)c(O)c2F)n1 ZINC000348970331 416250558 /nfs/dbraw/zinc/25/05/58/416250558.db2.gz ZRBNDJKDXMJRNO-UHFFFAOYSA-N 0 2 302.212 0.747 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NCCc1nnc(N)o1)c2=O ZINC000352073850 416287412 /nfs/dbraw/zinc/28/74/12/416287412.db2.gz FGNBGLMPPIQIEX-UHFFFAOYSA-N 0 2 314.305 0.582 20 0 DCADLN C[C@H](NC(=O)CC1CCCCC1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358955552 416298241 /nfs/dbraw/zinc/29/82/41/416298241.db2.gz QFFZJTRNZGYRBI-VIFPVBQESA-N 0 2 309.370 0.602 20 0 DCADLN C[C@@]1(CNS(=O)(=O)NCC(F)(F)F)CCCC[C@@H]1O ZINC000195334266 416326123 /nfs/dbraw/zinc/32/61/23/416326123.db2.gz MRVANTUNQQYMSJ-IUCAKERBSA-N 0 2 304.334 0.914 20 0 DCADLN COC(=O)C[C@H]1CCCN1S(=O)(=O)NCC(F)(F)F ZINC000195428136 416326366 /nfs/dbraw/zinc/32/63/66/416326366.db2.gz LUERWKBCGUKHPC-SSDOTTSWSA-N 0 2 304.290 0.411 20 0 DCADLN COCCO[C@H]1CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000195465384 416326477 /nfs/dbraw/zinc/32/64/77/416326477.db2.gz FWTIGKPOUBVOCG-QMMMGPOBSA-N 0 2 306.306 0.120 20 0 DCADLN C[C@H]1CCC[C@@]1(O)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000359808177 416359718 /nfs/dbraw/zinc/35/97/18/416359718.db2.gz QHFSPQKTBDBBFL-BJOHPYRUSA-N 0 2 304.350 0.955 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)Nc2ccccc2C(N)=O)[C@@H](C)CO1 ZINC000360219295 416380185 /nfs/dbraw/zinc/38/01/85/416380185.db2.gz TWXYTBZARQTBFE-VHSXEESVSA-N 0 2 313.379 0.551 20 0 DCADLN Cc1cc(C)c2ncc(C(=O)NCc3n[nH]c(=O)[nH]3)c(O)c2c1 ZINC000361605059 416461883 /nfs/dbraw/zinc/46/18/83/416461883.db2.gz XFGSKAIHDKWUJL-UHFFFAOYSA-N 0 2 313.317 0.899 20 0 DCADLN COC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1OC ZINC000434388606 416475409 /nfs/dbraw/zinc/47/54/09/416475409.db2.gz DOIPKAOWKNCJDA-UHFFFAOYSA-N 0 2 321.293 0.627 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)[O-])C2)c(C)[nH+]1 ZINC000422679811 416448667 /nfs/dbraw/zinc/44/86/67/416448667.db2.gz WRHRNHSCRRAKNF-LLVKDONJSA-N 0 2 305.334 0.960 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)c2ccc(F)cc2)cn1 ZINC000361850152 416508106 /nfs/dbraw/zinc/50/81/06/416508106.db2.gz PQUPKYQVSITYAB-UHFFFAOYSA-N 0 2 311.294 1.203 20 0 DCADLN CN(C)C(=O)Cn1ccc(NC(=O)c2cc(F)c(O)c(F)c2)n1 ZINC000436533309 416563466 /nfs/dbraw/zinc/56/34/66/416563466.db2.gz IOFDBKFIGQSGKY-UHFFFAOYSA-N 0 2 324.287 1.207 20 0 DCADLN Cc1cc(NC(=O)c2cc(S(=O)(=O)N(C)C)ccc2O)n[nH]1 ZINC000436813757 416572110 /nfs/dbraw/zinc/57/21/10/416572110.db2.gz FWKINCITPPNAOP-UHFFFAOYSA-N 0 2 324.362 0.926 20 0 DCADLN CCOC(=O)[C@@H](CO)NC(=O)c1cc(F)cc(Cl)c1O ZINC000436991421 416579087 /nfs/dbraw/zinc/57/90/87/416579087.db2.gz TXIVGXBPHSEDAT-SECBINFHSA-N 0 2 305.689 0.839 20 0 DCADLN COC[C@@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C[C@H](C)O1 ZINC000439935415 416624723 /nfs/dbraw/zinc/62/47/23/416624723.db2.gz MYRJROSSDDSHCJ-UWVGGRQHSA-N 0 2 320.349 0.550 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@@H](C(N)=O)C2)c1 ZINC000424655231 416665847 /nfs/dbraw/zinc/66/58/47/416665847.db2.gz ZQUNNUQPOUCKRU-LADRHHBVSA-N 0 2 310.375 0.137 20 0 DCADLN Cc1n[nH]c(CCNC(=O)Nc2ccc3c(c2)C(=O)NC3=O)n1 ZINC000441303996 416668128 /nfs/dbraw/zinc/66/81/28/416668128.db2.gz PQLOGRDSEOSUGC-UHFFFAOYSA-N 0 2 314.305 0.361 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCC2(CC(N)=O)CC2)c1 ZINC000424886737 416671804 /nfs/dbraw/zinc/67/18/04/416671804.db2.gz GDTFFEUWNMGDNA-QFIPXVFZSA-N 0 2 324.402 0.575 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2ncc(C)o2)cn1 ZINC000443363155 416755049 /nfs/dbraw/zinc/75/50/49/416755049.db2.gz AGYLWVYIXPQLRB-UHFFFAOYSA-N 0 2 300.296 0.153 20 0 DCADLN NC(=O)[C@H](NS(=O)(=O)NCC(F)(F)F)c1ccccc1 ZINC000442920666 416720791 /nfs/dbraw/zinc/72/07/91/416720791.db2.gz HGFUWWAKBHTZNK-MRVPVSSYSA-N 0 2 311.285 0.199 20 0 DCADLN O=C1CCCCN1CCCNS(=O)(=O)NCC(F)(F)F ZINC000442955603 416723451 /nfs/dbraw/zinc/72/34/51/416723451.db2.gz YAJALPYCRZORDD-UHFFFAOYSA-N 0 2 317.333 0.375 20 0 DCADLN O=S(=O)([N-]CC(F)(F)F)NCc1cn2c([nH+]1)CCCC2 ZINC000442986072 416724894 /nfs/dbraw/zinc/72/48/94/416724894.db2.gz GLMBLCAFGXTAEQ-UHFFFAOYSA-N 0 2 312.317 0.706 20 0 DCADLN O=S(=O)(NCc1nnc2n1CCCC2)NCC(F)(F)F ZINC000443191130 416733615 /nfs/dbraw/zinc/73/36/15/416733615.db2.gz FGQMUJRPPIRKBT-UHFFFAOYSA-N 0 2 313.305 0.101 20 0 DCADLN CC[C@@H](C)N(CC(=O)OC)S(=O)(=O)NCC(F)(F)F ZINC000443219588 416737957 /nfs/dbraw/zinc/73/79/57/416737957.db2.gz TUGHYRVPCRCKMJ-SSDOTTSWSA-N 0 2 306.306 0.657 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)o1 ZINC000443381105 416757620 /nfs/dbraw/zinc/75/76/20/416757620.db2.gz GSSULQFKTGJBPB-UHFFFAOYSA-N 0 2 306.307 0.760 20 0 DCADLN COC(=O)C[C@@H](C)CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000518561135 416866915 /nfs/dbraw/zinc/86/69/15/416866915.db2.gz HCYHYQZUCBOFSY-VHSXEESVSA-N 0 2 310.354 0.806 20 0 DCADLN CCOc1ncccc1CNC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000518343460 416848783 /nfs/dbraw/zinc/84/87/83/416848783.db2.gz YPDUAHKIYPZNTN-ZDUSSCGKSA-N 0 2 307.398 0.265 20 0 DCADLN CN(C)c1ncc(C[NH2+]CCC[N@@H+]2CCC[C@H]2C(N)=O)s1 ZINC000623618125 416853453 /nfs/dbraw/zinc/85/34/53/416853453.db2.gz FCUVKCZAIGIOGE-LBPRGKRZSA-N 0 2 311.455 0.639 20 0 DCADLN COc1cccc(C)c1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000447051058 416961886 /nfs/dbraw/zinc/96/18/86/416961886.db2.gz MILDKABUTWJHEX-UHFFFAOYSA-N 0 2 305.294 0.082 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(OCCOC)c2)c1O ZINC000445914770 416906267 /nfs/dbraw/zinc/90/62/67/416906267.db2.gz XBFHJPRRXBURIR-LBPRGKRZSA-N 0 2 322.317 0.700 20 0 DCADLN C[C@@H](CNc1nc(N)[nH+]c(N)n1)[N@H+]1CCc2sccc2C1 ZINC000623847122 416907013 /nfs/dbraw/zinc/90/70/13/416907013.db2.gz FWYMMIVAPWTTKN-QMMMGPOBSA-N 0 2 305.411 0.039 20 0 DCADLN COc1cc(C(=O)NC2(c3nn[nH]n3)CC2)cc(Cl)c1O ZINC000430319040 417026884 /nfs/dbraw/zinc/02/68/84/417026884.db2.gz UXKRNXKTBRFVFV-UHFFFAOYSA-N 0 2 309.713 0.986 20 0 DCADLN CCC[C@@H](O)[C@H](CO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616310317 417009813 /nfs/dbraw/zinc/00/98/13/417009813.db2.gz JRQHMSILOMDWGZ-NWDGAFQWSA-N 0 2 321.333 0.282 20 0 DCADLN O=C([O-])c1coc(NCc2ccc(N3CCOCC3)[nH+]c2)n1 ZINC000566294126 417045051 /nfs/dbraw/zinc/04/50/51/417045051.db2.gz SQDDLIASIZVUOM-UHFFFAOYSA-N 0 2 304.306 1.217 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)o1 ZINC000568812069 417179555 /nfs/dbraw/zinc/17/95/55/417179555.db2.gz FUSXZMNIPHUBDH-UHFFFAOYSA-N 0 2 323.334 0.369 20 0 DCADLN O=C(C[C@H]1COc2ccccc2O1)NCCCc1n[nH]c(=O)[nH]1 ZINC000450006097 417189070 /nfs/dbraw/zinc/18/90/70/417189070.db2.gz RAENCUNJLTXGEU-JTQLQIEISA-N 0 2 318.333 0.789 20 0 DCADLN COCCn1ccc(=NC(=O)N=c2ccc(C3CC3)n[nH]2)[nH]1 ZINC000527084883 417208353 /nfs/dbraw/zinc/20/83/53/417208353.db2.gz HWFSDXATAKIENM-UHFFFAOYSA-N 0 2 302.338 0.685 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000569103591 417212989 /nfs/dbraw/zinc/21/29/89/417212989.db2.gz NKNVKTQAMXPSBA-NXEZZACHSA-N 0 2 321.333 0.598 20 0 DCADLN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)c1cccc2[nH]nnc21 ZINC000527114451 417214479 /nfs/dbraw/zinc/21/44/79/417214479.db2.gz DDKCOBQFVZULNG-OAHLLOKOSA-N 0 2 320.349 0.780 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@@H+](C)Cc1ccc2c(c1)OCCO2 ZINC000569115472 417216457 /nfs/dbraw/zinc/21/64/57/417216457.db2.gz HPAHMAPZKNWPPN-HNNXBMFYSA-N 0 2 324.421 0.829 20 0 DCADLN O=C(NC[C@H](O)Cn1ccnn1)c1cc(F)cc(Cl)c1O ZINC000412413568 417218899 /nfs/dbraw/zinc/21/88/99/417218899.db2.gz DZHKAKJASNOLDP-QMMMGPOBSA-N 0 2 314.704 0.567 20 0 DCADLN CCOC[C@H](O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000433367567 417261436 /nfs/dbraw/zinc/26/14/36/417261436.db2.gz VUEMJRSOXVIEKN-LLVKDONJSA-N 0 2 307.306 0.157 20 0 DCADLN NC(=O)[C@H]1CC[C@@H]1C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000621933020 417348890 /nfs/dbraw/zinc/34/88/90/417348890.db2.gz SNXBXFFGXOURFG-IUCAKERBSA-N 0 2 303.347 0.472 20 0 DCADLN COc1ccc(OC)c(C[N@@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@H]3C2)c1 ZINC000570827922 417396386 /nfs/dbraw/zinc/39/63/86/417396386.db2.gz QPFOPHQSBPIQGA-JSGCOSHPSA-N 0 2 305.378 0.448 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)NCc1ccccc1 ZINC000643880622 417411206 /nfs/dbraw/zinc/41/12/06/417411206.db2.gz TWGMHPRUBHVLHQ-MRXNPFEDSA-N 0 2 306.362 0.868 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@H+]1CC(=O)NCc1ccccc1 ZINC000643880622 417411211 /nfs/dbraw/zinc/41/12/11/417411211.db2.gz TWGMHPRUBHVLHQ-MRXNPFEDSA-N 0 2 306.362 0.868 20 0 DCADLN C[C@@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H]1CO ZINC000622228923 417419480 /nfs/dbraw/zinc/41/94/80/417419480.db2.gz CFUVBDOHMWJBLT-SCZZXKLOSA-N 0 2 318.333 0.527 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCc2n[nH]nc2C1 ZINC000622397941 417439535 /nfs/dbraw/zinc/43/95/35/417439535.db2.gz WMADWOKXIWYZFZ-UHFFFAOYSA-N 0 2 312.289 0.347 20 0 DCADLN Cc1nn(C)c2ncc(S(=O)(=O)NCc3cn[nH]c3C)cc12 ZINC000439194295 287383123 /nfs/dbraw/zinc/38/31/23/287383123.db2.gz VZIQPZVCHNTKER-UHFFFAOYSA-N 0 2 320.378 0.787 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)cn1 ZINC000574988054 417497676 /nfs/dbraw/zinc/49/76/76/417497676.db2.gz GDLOKWXPNHRYJP-SECBINFHSA-N 0 2 301.306 0.918 20 0 DCADLN Cc1[nH]nc2ncc(C[N@H+]3CCN4C(=O)[C@H]([NH3+])C[C@H]4C3)cc12 ZINC000575430669 417536960 /nfs/dbraw/zinc/53/69/60/417536960.db2.gz GCEXQYRCQYVKKB-WCQYABFASA-N 0 2 300.366 0.010 20 0 DCADLN Cc1[nH]nc2ncc(C[N@H+]3CCN4C(=O)[C@H]([NH3+])C[C@@H]4C3)cc12 ZINC000575430668 417537490 /nfs/dbraw/zinc/53/74/90/417537490.db2.gz GCEXQYRCQYVKKB-DGCLKSJQSA-N 0 2 300.366 0.010 20 0 DCADLN CO[C@@H](C)c1nc(=NC(=O)NCC[NH+]2CCOCC2)s[n-]1 ZINC000576506400 417614245 /nfs/dbraw/zinc/61/42/45/417614245.db2.gz OSFVWRROALUEBR-VIFPVBQESA-N 0 2 315.399 0.121 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1N(C(=O)NCCOCC(=O)[O-])CC1(C)C ZINC000635523738 417688999 /nfs/dbraw/zinc/68/89/99/417688999.db2.gz RATBPQCVDTXVPQ-NSHDSACASA-N 0 2 310.354 0.614 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)(C)C)[nH]2)[C@@H](C)CO1 ZINC000652006347 417833086 /nfs/dbraw/zinc/83/30/86/417833086.db2.gz LNTUUDTXHXIJMB-QWRGUYRKSA-N 0 2 323.397 0.419 20 0 DCADLN O=C(CCn1ccccc1=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000646131165 417787029 /nfs/dbraw/zinc/78/70/29/417787029.db2.gz XXUOPPWHZWYHEG-LLVKDONJSA-N 0 2 317.349 0.468 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H]2CCCC2(F)F)[nH]1 ZINC000651805060 417787877 /nfs/dbraw/zinc/78/78/77/417787877.db2.gz DTNXLQOEZNQJBG-MRVPVSSYSA-N 0 2 301.297 0.523 20 0 DCADLN CCC(CC)(CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)OC ZINC000651820806 417789401 /nfs/dbraw/zinc/78/94/01/417789401.db2.gz RLDCFQJPHWXRHO-UHFFFAOYSA-N 0 2 311.386 0.541 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2C[C@@H](C3CC3)[C@@H]2C2CC2)[nH]1 ZINC000651837365 417792707 /nfs/dbraw/zinc/79/27/07/417792707.db2.gz AGDHCOHCLZERNN-STQMWFEESA-N 0 2 317.393 0.866 20 0 DCADLN CC[C@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)[C@@H](O)C(C)C ZINC000651851063 417798690 /nfs/dbraw/zinc/79/86/90/417798690.db2.gz CTNFFSFZLIYXAO-QWRGUYRKSA-N 0 2 311.386 0.131 20 0 DCADLN COC1([C@@H](C)NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCC1 ZINC000651851084 417798853 /nfs/dbraw/zinc/79/88/53/417798853.db2.gz DWAZDALCSTZRCF-SNVBAGLBSA-N 0 2 309.370 0.293 20 0 DCADLN CCC1CCN(S(=O)(=O)NCc2nnc(COC)[nH]2)CC1 ZINC000657104502 417813543 /nfs/dbraw/zinc/81/35/43/417813543.db2.gz NHTOIMXXNJSWMJ-UHFFFAOYSA-N 0 2 317.415 0.408 20 0 DCADLN CC(C)(C)[C@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000646010913 417847698 /nfs/dbraw/zinc/84/76/98/417847698.db2.gz YQRKSPZHMRAXGU-LLVKDONJSA-N 0 2 322.427 0.127 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)NC1CC2(CCC2)C1 ZINC000652277152 417876711 /nfs/dbraw/zinc/87/67/11/417876711.db2.gz WZDZZYYHHULCAB-UHFFFAOYSA-N 0 2 303.366 0.424 20 0 DCADLN CC(C)(C)n1cnc(=NC(=O)C(=O)NC2CC3(CCC3)C2)[nH]1 ZINC000652276019 417877673 /nfs/dbraw/zinc/87/76/73/417877673.db2.gz DCRXBYMELLKLLP-UHFFFAOYSA-N 0 2 305.382 0.842 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NC[C@@H]1CCCNC1=O)c2=O ZINC000646004165 417844600 /nfs/dbraw/zinc/84/46/00/417844600.db2.gz YLCQDZABFQUROA-JTQLQIEISA-N 0 2 314.345 0.900 20 0 DCADLN CN1C[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)Cc2ccccc21 ZINC000636261930 417919356 /nfs/dbraw/zinc/91/93/56/417919356.db2.gz FULWBVUEECIBIO-SNVBAGLBSA-N 0 2 319.390 0.780 20 0 DCADLN CCCCNc1cc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@H]2O)nc(N)[nH+]1 ZINC000662897107 417940417 /nfs/dbraw/zinc/94/04/17/417940417.db2.gz NCZAXZJMYBKWNU-OPRDCNLKSA-N 0 2 309.370 0.907 20 0 DCADLN CCCCNc1cc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@H]2O)[nH+]c(N)n1 ZINC000662897107 417940420 /nfs/dbraw/zinc/94/04/20/417940420.db2.gz NCZAXZJMYBKWNU-OPRDCNLKSA-N 0 2 309.370 0.907 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](Nc2cc(N3CCC[C@H]3CO)nc[nH+]2)[C@@H](O)C1 ZINC000662895818 417941045 /nfs/dbraw/zinc/94/10/45/417941045.db2.gz HHKRTEORSPZANJ-YFKTTZPYSA-N 0 2 322.365 0.074 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](Nc2cc(N3CCC[C@H]3CO)[nH+]cn2)[C@@H](O)C1 ZINC000662895818 417941048 /nfs/dbraw/zinc/94/10/48/417941048.db2.gz HHKRTEORSPZANJ-YFKTTZPYSA-N 0 2 322.365 0.074 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC[C@]2(O)CCCOC2)cn1 ZINC000652952564 418004249 /nfs/dbraw/zinc/00/42/49/418004249.db2.gz UDYQLDHVPSANJC-OAHLLOKOSA-N 0 2 319.365 0.472 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[C@H]1CNc1ccc(C(=O)[O-])c[nH+]1 ZINC000647451621 418010607 /nfs/dbraw/zinc/01/06/07/418010607.db2.gz BGRYQQLAMZOMEP-ONGXEEELSA-N 0 2 313.379 0.332 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cc(C(C)C)n(C)n2)C1=O ZINC000193167922 287430252 /nfs/dbraw/zinc/43/02/52/287430252.db2.gz LKOGKIKVDVUINL-CQSZACIVSA-N 0 2 307.354 0.909 20 0 DCADLN Cn1cc([C@@H]2CN(c3[nH+]cccc3C(=O)[O-])C[C@H]2CO)cn1 ZINC000647454187 418011871 /nfs/dbraw/zinc/01/18/71/418011871.db2.gz GQJMFIBGMDKKRJ-AAEUAGOBSA-N 0 2 302.334 0.726 20 0 DCADLN CCCCNc1cc(NCC2(C(=O)[O-])CCOCC2)nc(N)[nH+]1 ZINC000647457916 418012533 /nfs/dbraw/zinc/01/25/33/418012533.db2.gz HNEXXKJDLYPWMW-UHFFFAOYSA-N 0 2 323.397 0.816 20 0 DCADLN CCCCNc1cc(NCC2(C(=O)[O-])CCOCC2)[nH+]c(N)n1 ZINC000647457916 418012535 /nfs/dbraw/zinc/01/25/35/418012535.db2.gz HNEXXKJDLYPWMW-UHFFFAOYSA-N 0 2 323.397 0.816 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000663601314 418036274 /nfs/dbraw/zinc/03/62/74/418036274.db2.gz DBRMLOITBUDFGQ-SNVBAGLBSA-N 0 2 321.333 0.646 20 0 DCADLN O=C([O-])[C@@H](C(=O)N1CC[NH+](Cc2ccccn2)CC1)C1CC1 ZINC000663115321 417991795 /nfs/dbraw/zinc/99/17/95/417991795.db2.gz RYYXVNHRGWOCFI-CQSZACIVSA-N 0 2 303.362 0.837 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)Cc1cn3c([nH+]1)CCCC3)C2 ZINC000663129115 417994004 /nfs/dbraw/zinc/99/40/04/417994004.db2.gz IMFPUDGNDINLFK-BDJLRTHQSA-N 0 2 319.361 0.322 20 0 DCADLN CC(C)[C@H](C(=O)[O-])C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000663135650 417995380 /nfs/dbraw/zinc/99/53/80/417995380.db2.gz AXGQFBRLADVCJY-AWEZNQCLSA-N 0 2 312.410 0.914 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000647779940 418054633 /nfs/dbraw/zinc/05/46/33/418054633.db2.gz IERQKUMGEBWBPM-RBSFLKMASA-N 0 2 318.373 0.897 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)NCC1(C(=O)[O-])CCC1 ZINC000659396800 418091375 /nfs/dbraw/zinc/09/13/75/418091375.db2.gz QNGRGQFKNMWDGT-UHFFFAOYSA-N 0 2 313.398 0.651 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)NCC1(C(=O)[O-])CCC1 ZINC000659396800 418091377 /nfs/dbraw/zinc/09/13/77/418091377.db2.gz QNGRGQFKNMWDGT-UHFFFAOYSA-N 0 2 313.398 0.651 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)N[C@@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000654266490 418132018 /nfs/dbraw/zinc/13/20/18/418132018.db2.gz QMWQXHIYSYTBET-BQBZGAKWSA-N 0 2 323.275 0.810 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CC[C@@H](Nc2ncccn2)C1 ZINC000648950625 418178976 /nfs/dbraw/zinc/17/89/76/418178976.db2.gz MYMZKHRVOGDPKP-MRVPVSSYSA-N 0 2 321.366 0.105 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCO[C@@]2(CCSC2)C1 ZINC000648956161 418180290 /nfs/dbraw/zinc/18/02/90/418180290.db2.gz GCEMDJGFMUJEKM-NSHDSACASA-N 0 2 316.408 0.337 20 0 DCADLN NC(=O)c1cc(=NC(=O)Cc2ccc3c(c2)CCCO3)[nH][nH]1 ZINC000648831951 418163257 /nfs/dbraw/zinc/16/32/57/418163257.db2.gz RWDVPKRNQHBOMJ-UHFFFAOYSA-N 0 2 300.318 0.437 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000665305386 418193051 /nfs/dbraw/zinc/19/30/51/418193051.db2.gz VVBMQHMKQAHRAQ-DGCLKSJQSA-N 0 2 313.398 0.507 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000665305386 418193054 /nfs/dbraw/zinc/19/30/54/418193054.db2.gz VVBMQHMKQAHRAQ-DGCLKSJQSA-N 0 2 313.398 0.507 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)N[C@H]1CCn2cc[nH+]c2C1)C1CCC1 ZINC000665310173 418194881 /nfs/dbraw/zinc/19/48/81/418194881.db2.gz KIMIWLWQRKRWHJ-RYUDHWBXSA-N 0 2 306.366 0.998 20 0 DCADLN Cc1nc(C(=O)NCc2n[nH]c(=O)[nH]2)c(Br)s1 ZINC000654853883 418195624 /nfs/dbraw/zinc/19/56/24/418195624.db2.gz SDHJJLYTFKLVGM-UHFFFAOYSA-N 0 2 318.156 0.968 20 0 DCADLN C[C@@H]1C(=O)N(C)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000649157684 418204082 /nfs/dbraw/zinc/20/40/82/418204082.db2.gz DRCQZPIARIUXBK-SNVBAGLBSA-N 0 2 300.318 0.838 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C[C@@H](C)NC(N)=O ZINC000655050099 418220061 /nfs/dbraw/zinc/22/00/61/418220061.db2.gz CEHDUGHNVIMWPI-MRVPVSSYSA-N 0 2 318.337 0.871 20 0 DCADLN C[C@H](CNC(=O)NCc1ccc[nH+]c1N1CCOCC1)C(=O)[O-] ZINC000655862004 418293600 /nfs/dbraw/zinc/29/36/00/418293600.db2.gz VMMGXJUASCITHW-LLVKDONJSA-N 0 2 322.365 0.438 20 0 DCADLN C[C@H](CNC(=O)NCc1[nH+]ccn1CC(F)(F)F)C(=O)[O-] ZINC000655864984 418294257 /nfs/dbraw/zinc/29/42/57/418294257.db2.gz CCAFLAOSXSVLCI-SSDOTTSWSA-N 0 2 308.260 0.965 20 0 DCADLN Cc1nn(C)c2ncc(NS(=O)(=O)c3c(N)noc3C)cc12 ZINC000650158848 418295802 /nfs/dbraw/zinc/29/58/02/418295802.db2.gz CGADXGLKMQKAET-UHFFFAOYSA-N 0 2 322.350 0.787 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[C@H]1CNc1cc[nH+]c(C(=O)[O-])c1 ZINC000650767018 418323635 /nfs/dbraw/zinc/32/36/35/418323635.db2.gz FKALYSWCAAOUOI-ONGXEEELSA-N 0 2 313.379 0.332 20 0 DCADLN O=C([O-])c1cc(N[C@H]2CCC(=O)N[C@@H]2[C@H]2CCCO2)cc[nH+]1 ZINC000650771009 418323665 /nfs/dbraw/zinc/32/36/65/418323665.db2.gz KOMKLJSNWAOPSL-SUHUHFCYSA-N 0 2 305.334 0.440 20 0 DCADLN CCn1cnnc1[C@H]1CN(c2cc[nH+]c(C(=O)[O-])c2)CCO1 ZINC000650767898 418323702 /nfs/dbraw/zinc/32/37/02/418323702.db2.gz HLZMHGNPHJCHDT-GFCCVEGCSA-N 0 2 303.322 0.969 20 0 DCADLN CO[C@H](CS(=O)(=O)NCC(F)(F)C(F)F)[C@@H]1CCOC1 ZINC000656733662 418374724 /nfs/dbraw/zinc/37/47/24/418374724.db2.gz MGUNGECKQAVWPE-HTQZYQBOSA-N 0 2 323.308 0.858 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCc2ccc(F)cc2)[nH]1 ZINC000651493179 418384903 /nfs/dbraw/zinc/38/49/03/418384903.db2.gz RYLZJPXGFUNYSL-UHFFFAOYSA-N 0 2 319.340 0.717 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCc2ccsc2)[nH]1 ZINC000651503715 418385825 /nfs/dbraw/zinc/38/58/25/418385825.db2.gz LNDWWDWVRFEUGE-UHFFFAOYSA-N 0 2 307.379 0.640 20 0 DCADLN NC(=O)c1cc(=NC(=O)[C@@H](C[C@@H]2CCCO2)C(F)(F)F)[nH][nH]1 ZINC000651534542 418388109 /nfs/dbraw/zinc/38/81/09/418388109.db2.gz OHWMADLXHMPRFH-NKWVEPMBSA-N 0 2 320.271 0.617 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)C[C@H]2CCCCO2)[nH]1 ZINC000651531618 418388323 /nfs/dbraw/zinc/38/83/23/418388323.db2.gz QSEBKOIPQAERHJ-LLVKDONJSA-N 0 2 309.370 0.247 20 0 DCADLN CC[N@H+](CC(=O)N[C@@H](C)C(=O)N1CCCCC1)[C@@H](C)C(=O)[O-] ZINC000662218326 418389722 /nfs/dbraw/zinc/38/97/22/418389722.db2.gz XTONTFKLNICBGM-RYUDHWBXSA-N 0 2 313.398 0.299 20 0 DCADLN CC[N@@H+](CC(=O)N[C@@H](C)C(=O)N1CCCCC1)[C@@H](C)C(=O)[O-] ZINC000662218326 418389724 /nfs/dbraw/zinc/38/97/24/418389724.db2.gz XTONTFKLNICBGM-RYUDHWBXSA-N 0 2 313.398 0.299 20 0 DCADLN COc1c(N)[nH+]cnc1N1CCN([C@H]2CCC[N@H+](C)C2)CC1 ZINC000656126607 418329338 /nfs/dbraw/zinc/32/93/38/418329338.db2.gz NPJBIVDFSUGKAA-LBPRGKRZSA-N 0 2 306.414 0.284 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCC[C@H]2C[C@@H](C)O)[nH]1 ZINC000651762000 418408768 /nfs/dbraw/zinc/40/87/68/418408768.db2.gz URWZGAABNJNVFS-NEPJUHHUSA-N 0 2 323.397 0.371 20 0 DCADLN CC(C)[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCO1 ZINC000651655589 418400901 /nfs/dbraw/zinc/40/09/01/418400901.db2.gz AJTHQCWHGGIYFV-LLVKDONJSA-N 0 2 309.370 0.103 20 0 DCADLN O=S(=O)(NCc1n[nH]c([C@@H]2CCCO2)n1)c1ccccc1O ZINC000657024518 418405670 /nfs/dbraw/zinc/40/56/70/418405670.db2.gz YGVIPHPSJDLFBR-JTQLQIEISA-N 0 2 324.362 0.840 20 0 DCADLN CN(C(N)=O)c1cccc(NS(=O)(=O)c2cnn(C)c2)c1 ZINC000487344548 287535065 /nfs/dbraw/zinc/53/50/65/287535065.db2.gz JPPBCKLXZLZDJB-UHFFFAOYSA-N 0 2 309.351 0.736 20 0 DCADLN CC[N@@H+](Cc1cc(=O)n2[n-]ccc2n1)C[C@H](O)C(F)(F)F ZINC000189513608 261132043 /nfs/dbraw/zinc/13/20/43/261132043.db2.gz HSRKQEVMVCVNIW-VIFPVBQESA-N 0 2 304.272 0.768 20 0 DCADLN CC[N@H+](Cc1cc(=O)n2[n-]ccc2n1)C[C@H](O)C(F)(F)F ZINC000189513608 261132044 /nfs/dbraw/zinc/13/20/44/261132044.db2.gz HSRKQEVMVCVNIW-VIFPVBQESA-N 0 2 304.272 0.768 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nnc(C)s2)cn1 ZINC000354218576 261191682 /nfs/dbraw/zinc/19/16/82/261191682.db2.gz OEDPSPPZRLSBNA-UHFFFAOYSA-N 0 2 314.348 0.829 20 0 DCADLN NC(=O)CCOc1ccccc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000354347146 261207357 /nfs/dbraw/zinc/20/73/57/261207357.db2.gz JYTIYYHWFAIGIN-UHFFFAOYSA-N 0 2 320.309 0.086 20 0 DCADLN CCc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1Cl ZINC000354423516 261217607 /nfs/dbraw/zinc/21/76/07/261217607.db2.gz ROQGRBGRWLZLMK-UHFFFAOYSA-N 0 2 323.740 0.981 20 0 DCADLN C[C@H](Cn1cccn1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355700896 261357476 /nfs/dbraw/zinc/35/74/76/261357476.db2.gz GMXVUZAYBJWNEZ-SECBINFHSA-N 0 2 300.322 0.689 20 0 DCADLN CCOC[C@H](O)CNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000355921475 261376392 /nfs/dbraw/zinc/37/63/92/261376392.db2.gz RDCYSUNSADUTHI-MRVPVSSYSA-N 0 2 302.400 0.776 20 0 DCADLN CCOc1cc(C)ccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000355979200 261381069 /nfs/dbraw/zinc/38/10/69/261381069.db2.gz NQVJQTAUNSLEOS-UHFFFAOYSA-N 0 2 319.321 0.472 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000357895545 261598268 /nfs/dbraw/zinc/59/82/68/261598268.db2.gz HGJBAMIOYKPIDC-UHFFFAOYSA-N 0 2 323.334 0.162 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2nccc(-c3cnn(C)c3)n2)n1 ZINC000362869776 262083244 /nfs/dbraw/zinc/08/32/44/262083244.db2.gz WHXSMIJBWIMDEK-UHFFFAOYSA-N 0 2 319.350 0.411 20 0 DCADLN CCC[C@@H](O)[C@@H](CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000412525692 262190697 /nfs/dbraw/zinc/19/06/97/262190697.db2.gz RLARHMLDLNKTLT-CHWSQXEVSA-N 0 2 319.361 0.830 20 0 DCADLN CC(C)NC(=O)[C@@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000412861682 262195508 /nfs/dbraw/zinc/19/55/08/262195508.db2.gz YNYZCEQJTJYSIK-MRVPVSSYSA-N 0 2 305.338 0.317 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@]2(O)CCOC2)c1 ZINC000424758422 262506966 /nfs/dbraw/zinc/50/69/66/262506966.db2.gz BJCZJIZUCUKLSH-SPLOXXLWSA-N 0 2 313.375 0.070 20 0 DCADLN O=C(Nc1nc(-c2ccncc2)n[nH]1)c1cnc2n[nH]nc2c1 ZINC000433085046 262651194 /nfs/dbraw/zinc/65/11/94/262651194.db2.gz CNJMLXCABOSSOR-UHFFFAOYSA-N 0 2 307.277 0.785 20 0 DCADLN COC(=O)[C@@H]1CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358322499 271049427 /nfs/dbraw/zinc/04/94/27/271049427.db2.gz MYBVTFAFCUVBNV-JTQLQIEISA-N 0 2 304.306 0.452 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@H](O)C1CCOCC1)c2=O ZINC000358388431 271051576 /nfs/dbraw/zinc/05/15/76/271051576.db2.gz VFYCDKYOCMUIEJ-LBPRGKRZSA-N 0 2 320.349 0.191 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)c1cnnn1C)c1cccs1 ZINC000359957734 271151597 /nfs/dbraw/zinc/15/15/97/271151597.db2.gz JIZVNIYHWUELGM-VIFPVBQESA-N 0 2 316.364 0.069 20 0 DCADLN O=C(/C=C\c1cccnc1)NCC(=O)NOC[C@H]1CCOC1 ZINC000492035815 272113715 /nfs/dbraw/zinc/11/37/15/272113715.db2.gz XPRHSZMETBGTFX-SCOBNMCVSA-N 0 2 305.334 0.295 20 0 DCADLN COC(=O)CCCONC(=O)CNC(=O)/C=C\c1cccnc1 ZINC000492942528 272159540 /nfs/dbraw/zinc/15/95/40/272159540.db2.gz AJKUWQQUCAUEEE-SREVYHEPSA-N 0 2 321.333 0.212 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C\c2ccn(C)c2)cnn1C ZINC000493084293 272167501 /nfs/dbraw/zinc/16/75/01/272167501.db2.gz NJYUHSYVVDGYGA-PLNGDYQASA-N 0 2 308.363 0.585 20 0 DCADLN CNC(=O)Oc1cccc(NS(=O)(=O)c2ccnn2C)c1 ZINC000496277068 272304295 /nfs/dbraw/zinc/30/42/95/272304295.db2.gz SXABEEUPUZDZSS-UHFFFAOYSA-N 0 2 310.335 0.939 20 0 DCADLN COC(=O)NCCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000496954947 272356959 /nfs/dbraw/zinc/35/69/59/272356959.db2.gz KGVPHEMQROPJIY-UHFFFAOYSA-N 0 2 305.294 0.862 20 0 DCADLN CC[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@@H]1O ZINC000535571093 287834944 /nfs/dbraw/zinc/83/49/44/287834944.db2.gz WWXXPBPSMRJODY-SKDRFNHKSA-N 0 2 303.318 0.873 20 0 DCADLN C[C@]1(CO)CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000546823088 288031551 /nfs/dbraw/zinc/03/15/51/288031551.db2.gz DTTKUJWANPRNPH-CQSZACIVSA-N 0 2 304.306 0.423 20 0 DCADLN CC(C)(C)c1nc(=NCCS(=O)(=O)NC2CC2)s[nH]1 ZINC000547632654 288063490 /nfs/dbraw/zinc/06/34/90/288063490.db2.gz CUUKAVJGAGCFPO-UHFFFAOYSA-N 0 2 304.441 0.751 20 0 DCADLN CC(C)(C)c1nsc(NCCS(=O)(=O)NC2CC2)n1 ZINC000547632654 288063491 /nfs/dbraw/zinc/06/34/91/288063491.db2.gz CUUKAVJGAGCFPO-UHFFFAOYSA-N 0 2 304.441 0.751 20 0 DCADLN C[C@@H](C(F)(F)F)S(=O)(=O)NCCN1CCO[C@@H](C)C1 ZINC000548040907 288104736 /nfs/dbraw/zinc/10/47/36/288104736.db2.gz IYQCSGUXJIGPDE-IUCAKERBSA-N 0 2 304.334 0.577 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2c(C)cnn2C)[nH]n1 ZINC000551947420 288248689 /nfs/dbraw/zinc/24/86/89/288248689.db2.gz UOGBARQGHRKNKT-UHFFFAOYSA-N 0 2 313.339 0.429 20 0 DCADLN CS(=O)(=O)[C@H]1CCC[C@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC000555344973 288349571 /nfs/dbraw/zinc/34/95/71/288349571.db2.gz WGXFGPCDVTXCIR-YUMQZZPRSA-N 0 2 323.358 0.824 20 0 DCADLN CCC1(CNC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)COC1 ZINC000556686895 288383886 /nfs/dbraw/zinc/38/38/86/288383886.db2.gz RBXZFDNPDVJDLE-UHFFFAOYSA-N 0 2 318.333 0.901 20 0 DCADLN C[C@H]1COCC[C@@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000278661629 300352516 /nfs/dbraw/zinc/35/25/16/300352516.db2.gz SZMJMYWKITVVRT-XVKPBYJWSA-N 0 2 304.306 0.591 20 0 DCADLN C[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC000186827053 300373404 /nfs/dbraw/zinc/37/34/04/300373404.db2.gz FFTIYIXUZWIBBZ-ZETCQYMHSA-N 0 2 305.302 0.930 20 0 DCADLN C[C@H]1CSC[C@@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342352431 300391175 /nfs/dbraw/zinc/39/11/75/300391175.db2.gz QICHRGYFRVFZAL-RCOVLWMOSA-N 0 2 306.347 0.917 20 0 DCADLN C[C@H]1OCC[C@]12CN(C(=O)CSc1n[nH]c(=O)[nH]1)CCO2 ZINC000408164073 300637631 /nfs/dbraw/zinc/63/76/31/300637631.db2.gz GJUHRSYPZUMLRW-PELKAZGASA-N 0 2 314.367 0.009 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCOC[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000278396606 301139838 /nfs/dbraw/zinc/13/98/38/301139838.db2.gz DCXDXFHIQBVWIZ-RKDXNWHRSA-N 0 2 318.333 0.899 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1COCCN1C ZINC000570941230 332908868 /nfs/dbraw/zinc/90/88/68/332908868.db2.gz JNQJEWABRVALHP-LBPRGKRZSA-N 0 2 317.349 0.755 20 0 DCADLN COC(=O)c1ccc(C[NH+]2CCN(C(=O)C(=O)[O-])CC2)cc1 ZINC000396718454 337241939 /nfs/dbraw/zinc/24/19/39/337241939.db2.gz SPNXGVUARVHMQS-UHFFFAOYSA-N 0 2 306.318 0.202 20 0 DCADLN O=C([O-])[C@H]1CC[C@@H](C(=O)NCCc2cn3c([nH+]2)CCCC3)O1 ZINC000583143106 337245108 /nfs/dbraw/zinc/24/51/08/337245108.db2.gz VXKFREAPPUGQIX-NWDGAFQWSA-N 0 2 307.350 0.510 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc3[nH]c(=O)cnc3c2)cn1 ZINC000583241568 337285584 /nfs/dbraw/zinc/28/55/84/337285584.db2.gz BNEOSECLUXHVCR-UHFFFAOYSA-N 0 2 305.319 0.457 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCN2CCCC2=O)c1 ZINC000584649630 337380505 /nfs/dbraw/zinc/38/05/05/337380505.db2.gz QTEUIZAJOALGIV-JOCHJYFZSA-N 0 2 324.402 0.541 20 0 DCADLN CCOC(=O)[C@@H](C)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267836163 521004695 /nfs/dbraw/zinc/00/46/95/521004695.db2.gz LYMZFDYBWQLVAO-ZETCQYMHSA-N 0 2 320.305 0.365 20 0 DCADLN CC[C@H](NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)C(=O)OC ZINC000267751334 522521298 /nfs/dbraw/zinc/52/12/98/522521298.db2.gz CAHDMWNJRGKREI-ZETCQYMHSA-N 0 2 320.305 0.426 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2CCCS2)s1 ZINC000266543485 525227682 /nfs/dbraw/zinc/22/76/82/525227682.db2.gz LNGBOVWJYZTQGJ-RXMQYKEDSA-N 0 2 308.410 0.744 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCOC1 ZINC000266254036 525372500 /nfs/dbraw/zinc/37/25/00/525372500.db2.gz VIRABEKAMRPYSL-HTQZYQBOSA-N 0 2 304.306 0.591 20 0 DCADLN CC[C@@H](O)[C@@H](CC)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000456633394 535815136 /nfs/dbraw/zinc/81/51/36/535815136.db2.gz UKVMIOBCWOSTCD-NXEZZACHSA-N 0 2 306.322 0.715 20 0 DCADLN Cc1cccc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)c1O ZINC000153181057 545831568 /nfs/dbraw/zinc/83/15/68/545831568.db2.gz MOYMUAWWZPCXBI-UHFFFAOYSA-N 0 2 309.347 0.939 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2O3)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266819255 545987738 /nfs/dbraw/zinc/98/77/38/545987738.db2.gz HXRLDJTVLVRDDD-MRTMQBJTSA-N 0 2 316.317 0.794 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CC(=O)N2CCC[C@@H]3CCCC[C@H]32)CCO1 ZINC000315412806 546026344 /nfs/dbraw/zinc/02/63/44/546026344.db2.gz UMHWVRLLCIOEEF-MJBXVCDLSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CC(=O)N2CCC[C@@H]3CCCC[C@H]32)CCO1 ZINC000315412806 546026350 /nfs/dbraw/zinc/02/63/50/546026350.db2.gz UMHWVRLLCIOEEF-MJBXVCDLSA-N 0 2 310.394 0.953 20 0 DCADLN COCCCn1ccc(=NC(=O)N=c2cc(C)c(C)n[nH]2)[nH]1 ZINC000526064112 546284392 /nfs/dbraw/zinc/28/43/92/546284392.db2.gz MFFDDMPPKFZXMM-UHFFFAOYSA-N 0 2 304.354 0.814 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)NC[C@@H]1CC[N@@H+]1C1CCCC1 ZINC000637474162 546333559 /nfs/dbraw/zinc/33/35/59/546333559.db2.gz WXTCBCLXUQHIIN-INIZCTEOSA-N 0 2 324.469 0.977 20 0 DCADLN CNC(=O)[C@H]1CCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000667805675 546656677 /nfs/dbraw/zinc/65/66/77/546656677.db2.gz WSGNJXSBFNPAMV-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN O=C(C[C@@H]1CCC(=O)NC1)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000667992871 546678930 /nfs/dbraw/zinc/67/89/30/546678930.db2.gz HBVYDXUZEQBVPS-VIFPVBQESA-N 0 2 316.317 0.885 20 0 DCADLN Cn1cncc1CCC(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000670325613 547069781 /nfs/dbraw/zinc/06/97/81/547069781.db2.gz WGMKWFIQCUDFQK-CQSZACIVSA-N 0 2 311.349 0.772 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCCN1C(=O)c1cccs1 ZINC000673918964 547537449 /nfs/dbraw/zinc/53/74/49/547537449.db2.gz JKLBTEDRAPORKR-QMMMGPOBSA-N 0 2 321.362 0.493 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1(c2ccccc2)CCOCC1 ZINC000673928818 547542342 /nfs/dbraw/zinc/54/23/42/547542342.db2.gz WHLFZRFNVMONHZ-UHFFFAOYSA-N 0 2 302.334 0.875 20 0 DCADLN O=C(NCC1CC1)C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000674190183 547567385 /nfs/dbraw/zinc/56/73/85/547567385.db2.gz ZRHJVTJNCWGOFF-UHFFFAOYSA-N 0 2 302.290 0.495 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NCc2nn[nH]n2)=N1 ZINC000674359596 547585243 /nfs/dbraw/zinc/58/52/43/547585243.db2.gz AEUZNFVVOIDNFE-UHFFFAOYSA-N 0 2 317.284 0.469 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)C(=O)NCC2 ZINC000675569257 547693530 /nfs/dbraw/zinc/69/35/30/547693530.db2.gz NMLOLRFLZVKEJS-UHFFFAOYSA-N 0 2 302.294 0.118 20 0 DCADLN C[C@H](C(=O)N(C)Cc1cccc(F)c1)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231670 547747160 /nfs/dbraw/zinc/74/71/60/547747160.db2.gz OKYGGHXJTDLCNV-RISCZKNCSA-N 0 2 324.352 0.958 20 0 DCADLN C[C@H](C(=O)N(C)Cc1cccc(F)c1)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231670 547747165 /nfs/dbraw/zinc/74/71/65/547747165.db2.gz OKYGGHXJTDLCNV-RISCZKNCSA-N 0 2 324.352 0.958 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1ccccc1)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676230992 547747439 /nfs/dbraw/zinc/74/74/39/547747439.db2.gz AWDWBBRPDQXAHZ-JSGCOSHPSA-N 0 2 306.362 0.819 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1ccccc1)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676230992 547747446 /nfs/dbraw/zinc/74/74/46/547747446.db2.gz AWDWBBRPDQXAHZ-JSGCOSHPSA-N 0 2 306.362 0.819 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc([C@H]3CNC(=O)C3)c2)cn1 ZINC000676410697 547775430 /nfs/dbraw/zinc/77/54/30/547775430.db2.gz IQLZQSLSHNBMRL-LLVKDONJSA-N 0 2 320.374 0.824 20 0 DCADLN Cc1nsc(N2CCN(C(=O)c3n[nH]c(C)c3O)CC2)n1 ZINC000676631307 547797605 /nfs/dbraw/zinc/79/76/05/547797605.db2.gz REPOUQNNFQVBPA-UHFFFAOYSA-N 0 2 308.367 0.546 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@@H]1CCCC(=O)N1 ZINC000677532656 547885437 /nfs/dbraw/zinc/88/54/37/547885437.db2.gz IXJZWCAAHRMNHQ-JTQLQIEISA-N 0 2 302.290 0.637 20 0 DCADLN CCN1C(=O)N=NC1C1CCN(C(=O)c2n[nH]c(C)c2O)CC1 ZINC000677912162 547919897 /nfs/dbraw/zinc/91/98/97/547919897.db2.gz MWPMCTPPXPNBAY-UHFFFAOYSA-N 0 2 320.353 0.761 20 0 DCADLN CCc1[nH+]c2ccccc2n1CC(=O)N[C@@]1(C(=O)[O-])CCOC1 ZINC000678988604 548020417 /nfs/dbraw/zinc/02/04/17/548020417.db2.gz LJNMUMCEPLYCDZ-INIZCTEOSA-N 0 2 317.345 0.959 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC(C)(C)C(N)=O)=N2)cc1 ZINC000679407899 548054063 /nfs/dbraw/zinc/05/40/63/548054063.db2.gz WNVAZVKMVFJCPC-UHFFFAOYSA-N 0 2 318.333 0.580 20 0 DCADLN COc1cccc(S(=O)(=O)Nc2ccnc3ncnn32)c1 ZINC000682899735 548436820 /nfs/dbraw/zinc/43/68/20/548436820.db2.gz RDPBQVQCCKOMSG-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN Cn1nc([C@H]2CCCO2)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000683958154 548543561 /nfs/dbraw/zinc/54/35/61/548543561.db2.gz XYRTZNHLQWQIBK-MRVPVSSYSA-N 0 2 307.314 0.417 20 0 DCADLN Cn1nc([C@H]2CCCOC2)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000684122871 548570937 /nfs/dbraw/zinc/57/09/37/548570937.db2.gz JJWPAYONAHYCFS-QMMMGPOBSA-N 0 2 321.341 0.460 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000818420476 597008157 /nfs/dbraw/zinc/00/81/57/597008157.db2.gz SRKSIZPECWAYBA-UHFFFAOYSA-N 0 2 308.382 0.565 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+]([C@H]2CCCN(Cc3ccccc3)C2=O)CCO1 ZINC000821512453 597459874 /nfs/dbraw/zinc/45/98/74/597459874.db2.gz ISIKRZJADCDWGW-GJZGRUSLSA-N 0 2 318.373 0.963 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+]([C@H]2CCCN(Cc3ccccc3)C2=O)CCO1 ZINC000821512453 597459876 /nfs/dbraw/zinc/45/98/76/597459876.db2.gz ISIKRZJADCDWGW-GJZGRUSLSA-N 0 2 318.373 0.963 20 0 DCADLN CCc1nn(CCn2cccn2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736707858 598379437 /nfs/dbraw/zinc/37/94/37/598379437.db2.gz VGKZVDARWKUJRP-UHFFFAOYSA-N 0 2 314.353 0.445 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)n(C)n1 ZINC000737281748 598438048 /nfs/dbraw/zinc/43/80/48/598438048.db2.gz XVVRPAQGEXFFHW-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)N[C@@H](C)CO ZINC000822005018 607362704 /nfs/dbraw/zinc/36/27/04/607362704.db2.gz NGJAATGWPUVEFO-ZETCQYMHSA-N 0 2 320.353 0.014 20 0 DCADLN O=C([O-])[C@H]([NH2+]CC(=O)NCC(=O)N1CCCC1)c1ccccc1 ZINC000739307229 599760496 /nfs/dbraw/zinc/76/04/96/599760496.db2.gz JZWVHFNHXZGZQM-OAHLLOKOSA-N 0 2 319.361 0.141 20 0 DCADLN CC(C)(C)NC(=O)CNC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccccc1 ZINC000736260196 599781526 /nfs/dbraw/zinc/78/15/26/599781526.db2.gz BPYVJNHXKJDQPU-CQSZACIVSA-N 0 2 321.377 0.433 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CCO[C@@H](Cn3cncn3)C2)cc1 ZINC000740125930 599919761 /nfs/dbraw/zinc/91/97/61/599919761.db2.gz WNMQDVQERKFNNO-CQSZACIVSA-N 0 2 302.334 0.877 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CCO[C@@H](Cn3cncn3)C2)cc1 ZINC000740125930 599919762 /nfs/dbraw/zinc/91/97/62/599919762.db2.gz WNMQDVQERKFNNO-CQSZACIVSA-N 0 2 302.334 0.877 20 0 DCADLN O=C([O-])c1ccc(OC[C@H](O)C[NH+]2CC(n3cccn3)C2)cc1 ZINC000740159758 599921884 /nfs/dbraw/zinc/92/18/84/599921884.db2.gz CNSHIVXPKHUHKA-CQSZACIVSA-N 0 2 317.345 0.878 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[NH+]1CCC(C(=O)OC)CC1)C(=O)[O-] ZINC000736875744 599967806 /nfs/dbraw/zinc/96/78/06/599967806.db2.gz GRJYKHSXELHKMY-ZWNOBZJWSA-N 0 2 314.382 0.487 20 0 DCADLN COc1ccc(C[N@H+](C)CC(=O)NCCC(=O)[O-])c(OC)c1 ZINC000737994508 600045034 /nfs/dbraw/zinc/04/50/34/600045034.db2.gz HSTYSEDUDLOJHG-UHFFFAOYSA-N 0 2 310.350 0.727 20 0 DCADLN COc1ccc(C[N@@H+](C)CC(=O)NCCC(=O)[O-])c(OC)c1 ZINC000737994508 600045035 /nfs/dbraw/zinc/04/50/35/600045035.db2.gz HSTYSEDUDLOJHG-UHFFFAOYSA-N 0 2 310.350 0.727 20 0 DCADLN O=C([O-])[C@@H]1CC12CC[NH+](Cc1cc(=O)n3[nH]ccc3n1)CC2 ZINC000739532765 600300258 /nfs/dbraw/zinc/30/02/58/600300258.db2.gz PZEPQOGLVWEHHK-NSHDSACASA-N 0 2 302.334 0.709 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC000738912089 600618868 /nfs/dbraw/zinc/61/88/68/600618868.db2.gz SUXRBRPOSKQUBZ-OLZOCXBDSA-N 0 2 320.393 0.402 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@H+]2CC[C@H](CCO)C2)n1 ZINC000738632102 600970322 /nfs/dbraw/zinc/97/03/22/600970322.db2.gz OZWYQHNOWQWSNU-SECBINFHSA-N 0 2 313.379 0.413 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@@H+]2CC[C@H](CCO)C2)n1 ZINC000738632102 600970325 /nfs/dbraw/zinc/97/03/25/600970325.db2.gz OZWYQHNOWQWSNU-SECBINFHSA-N 0 2 313.379 0.413 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2cccc(C(=O)[O-])c2)CC1 ZINC000820899936 601027117 /nfs/dbraw/zinc/02/71/17/601027117.db2.gz LXWVBKSKBKCZMX-OAQYLSRUSA-N 0 2 310.419 0.881 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000315828861 601084348 /nfs/dbraw/zinc/08/43/48/601084348.db2.gz DDIJOFOLQBSEIZ-CQSZACIVSA-N 0 2 304.346 0.932 20 0 DCADLN CC(C)(CNC(=O)c1ccnc(C(=O)[O-])c1)[NH+]1CCOCC1 ZINC000826606961 601242208 /nfs/dbraw/zinc/24/22/08/601242208.db2.gz CEOLZWLLTYGCJQ-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000833192970 601610289 /nfs/dbraw/zinc/61/02/89/601610289.db2.gz OOAXGYPFYGGNRH-AWEZNQCLSA-N 0 2 315.329 0.857 20 0 DCADLN O=C([O-])[C@]1(NC(=O)c2cccc(Cn3cc[nH+]c3)c2)CCOC1 ZINC000833127780 601725339 /nfs/dbraw/zinc/72/53/39/601725339.db2.gz VQYUZKUVFCDFBO-INIZCTEOSA-N 0 2 315.329 0.905 20 0 DCADLN O=C([O-])C[C@@H]1CN(C(=O)NCCCNc2cccc[nH+]2)CCO1 ZINC000833219072 601767175 /nfs/dbraw/zinc/76/71/75/601767175.db2.gz WRMONSRUJIYIFW-GFCCVEGCSA-N 0 2 322.365 0.769 20 0 DCADLN Cc1cc(CN2CC[NH+](CC[S@](C)=O)CC2)oc1C(=O)[O-] ZINC000832883398 601830323 /nfs/dbraw/zinc/83/03/23/601830323.db2.gz SRALWVSXKCDGQK-NRFANRHFSA-N 0 2 314.407 0.782 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)C1 ZINC000828074844 602066805 /nfs/dbraw/zinc/06/68/05/602066805.db2.gz UQMHMUPPDSHAEP-OAHLLOKOSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C1 ZINC000828074844 602066808 /nfs/dbraw/zinc/06/68/08/602066808.db2.gz UQMHMUPPDSHAEP-OAHLLOKOSA-N 0 2 313.398 0.603 20 0 DCADLN CN1CCO[C@H]2C[N@H+](Cn3nc(C(=O)[O-])c4ccccc43)C[C@H]21 ZINC000830964913 602124867 /nfs/dbraw/zinc/12/48/67/602124867.db2.gz NIVCQALBWUOLKN-KGLIPLIRSA-N 0 2 316.361 0.707 20 0 DCADLN CN1CCO[C@H]2C[N@@H+](Cn3nc(C(=O)[O-])c4ccccc43)C[C@H]21 ZINC000830964913 602124869 /nfs/dbraw/zinc/12/48/69/602124869.db2.gz NIVCQALBWUOLKN-KGLIPLIRSA-N 0 2 316.361 0.707 20 0 DCADLN C[N@@H+]1CCO[C@H]2CN(Cn3nc(C(=O)[O-])c4ccccc43)C[C@H]21 ZINC000830964913 602124872 /nfs/dbraw/zinc/12/48/72/602124872.db2.gz NIVCQALBWUOLKN-KGLIPLIRSA-N 0 2 316.361 0.707 20 0 DCADLN C[N@H+]1CCO[C@H]2CN(Cn3nc(C(=O)[O-])c4ccccc43)C[C@H]21 ZINC000830964913 602124873 /nfs/dbraw/zinc/12/48/73/602124873.db2.gz NIVCQALBWUOLKN-KGLIPLIRSA-N 0 2 316.361 0.707 20 0 DCADLN COc1cc(OC)cc([C@H]([NH2+][C@H](C(N)=O)C(C)C)C(=O)[O-])c1 ZINC000832051248 602171019 /nfs/dbraw/zinc/17/10/19/602171019.db2.gz VJBUWCUGGMLKMN-STQMWFEESA-N 0 2 310.350 0.929 20 0 DCADLN Cn1cc(NC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)cc1C(=O)[O-] ZINC000833047068 602376465 /nfs/dbraw/zinc/37/64/65/602376465.db2.gz HJBQMDGTEOLAIH-LBPRGKRZSA-N 0 2 322.365 0.662 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000736415179 602476377 /nfs/dbraw/zinc/47/63/77/602476377.db2.gz IKHHTWYFKMKSBC-NWDGAFQWSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000736415179 602476378 /nfs/dbraw/zinc/47/63/78/602476378.db2.gz IKHHTWYFKMKSBC-NWDGAFQWSA-N 0 2 301.387 0.506 20 0 DCADLN O=C([O-])N1[C@@H]2CC[C@H]1CC(NC(=O)NCCn1cc[nH+]c1)C2 ZINC000831709359 603005967 /nfs/dbraw/zinc/00/59/67/603005967.db2.gz RHBOAPNTNDLYLK-YOGCLGLASA-N 0 2 307.354 0.856 20 0 DCADLN Cc1nc(N2CCC[C@@H](C(=O)NCCNC(=O)[O-])C2)cc[nH+]1 ZINC000830818171 603182871 /nfs/dbraw/zinc/18/28/71/603182871.db2.gz HZQGEJVBFFOWMD-LLVKDONJSA-N 0 2 307.354 0.385 20 0 DCADLN CCn1nc(C)cc1C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000827718032 603515718 /nfs/dbraw/zinc/51/57/18/603515718.db2.gz VNNZWQRJXXIJAL-LLVKDONJSA-N 0 2 309.370 0.235 20 0 DCADLN CCn1nc(C)cc1C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000827718032 603515720 /nfs/dbraw/zinc/51/57/20/603515720.db2.gz VNNZWQRJXXIJAL-LLVKDONJSA-N 0 2 309.370 0.235 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC[C@@H](NC(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000825084808 603573828 /nfs/dbraw/zinc/57/38/28/603573828.db2.gz VUNJLTRSYTZNHG-WUHRBBMRSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@H]1C[N@H+](CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)CCS1 ZINC000826056327 603602242 /nfs/dbraw/zinc/60/22/42/603602242.db2.gz BUADPMBEOQEOJI-WDEREUQCSA-N 0 2 314.411 0.481 20 0 DCADLN C[C@H]1C[N@@H+](CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)CCS1 ZINC000826056327 603602243 /nfs/dbraw/zinc/60/22/43/603602243.db2.gz BUADPMBEOQEOJI-WDEREUQCSA-N 0 2 314.411 0.481 20 0 DCADLN CN(C[C@@H]1CCC[N@@H+]1CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)[O-] ZINC000828223009 603610792 /nfs/dbraw/zinc/61/07/92/603610792.db2.gz GSVVNFJPLITVLL-NHYWBVRUSA-N 0 2 324.381 0.739 20 0 DCADLN CN(C[C@@H]1CCC[N@H+]1CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)[O-] ZINC000828223009 603610796 /nfs/dbraw/zinc/61/07/96/603610796.db2.gz GSVVNFJPLITVLL-NHYWBVRUSA-N 0 2 324.381 0.739 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000824908497 603622352 /nfs/dbraw/zinc/62/23/52/603622352.db2.gz VPMBEZPPJYKIPV-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN O=C([O-])N1CC(CC(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000831361200 603651630 /nfs/dbraw/zinc/65/16/30/603651630.db2.gz XXUZSHTXKSZKTJ-UHFFFAOYSA-N 0 2 306.366 0.878 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000831779040 603666154 /nfs/dbraw/zinc/66/61/54/603666154.db2.gz XXIBCSRGCZUIQU-STQMWFEESA-N 0 2 316.317 0.924 20 0 DCADLN O=C([O-])N1CC[C@@](F)(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000831901364 603834189 /nfs/dbraw/zinc/83/41/89/603834189.db2.gz GZMRNZYGAHHKKU-HNNXBMFYSA-N 0 2 324.356 0.970 20 0 DCADLN Cc1cc(NC(=O)C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])n(C)n1 ZINC000830104654 603971468 /nfs/dbraw/zinc/97/14/68/603971468.db2.gz ZXLCLRXWKSNVQC-NEPJUHHUSA-N 0 2 321.381 0.884 20 0 DCADLN Cc1cc(NC(=O)C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])n(C)n1 ZINC000830104654 603971469 /nfs/dbraw/zinc/97/14/69/603971469.db2.gz ZXLCLRXWKSNVQC-NEPJUHHUSA-N 0 2 321.381 0.884 20 0 DCADLN C[C@@H](NC(=O)NCC(C)(C)NC(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000825160740 604116767 /nfs/dbraw/zinc/11/67/67/604116767.db2.gz DOCZHJBESJOLOR-GHMZBOCLSA-N 0 2 316.402 0.441 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000824848972 604153452 /nfs/dbraw/zinc/15/34/52/604153452.db2.gz QWYAOGHHIBTGDL-IJLUTSLNSA-N 0 2 301.387 0.458 20 0 DCADLN O=C([O-])N1CCO[C@H](CC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC000832060725 604280457 /nfs/dbraw/zinc/28/04/57/604280457.db2.gz QBXGXQZRRSONHP-GFCCVEGCSA-N 0 2 322.365 0.885 20 0 DCADLN O=C([O-])N1CCO[C@H](CC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC000832060725 604280460 /nfs/dbraw/zinc/28/04/60/604280460.db2.gz QBXGXQZRRSONHP-GFCCVEGCSA-N 0 2 322.365 0.885 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000825939792 604326427 /nfs/dbraw/zinc/32/64/27/604326427.db2.gz XQCZUTIIDRKSPK-MROQNXINSA-N 0 2 322.365 0.406 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)Nc2nc(CCC(=O)[O-])cs2)C1 ZINC000829786125 604345394 /nfs/dbraw/zinc/34/53/94/604345394.db2.gz IFCXXGBCLFWJIJ-JTQLQIEISA-N 0 2 313.379 0.820 20 0 DCADLN CC[N@H+]1CCO[C@H](C(=O)Nc2nc(CCC(=O)[O-])cs2)C1 ZINC000829786125 604345396 /nfs/dbraw/zinc/34/53/96/604345396.db2.gz IFCXXGBCLFWJIJ-JTQLQIEISA-N 0 2 313.379 0.820 20 0 DCADLN C[N@@H+]1CCN(C(=O)C2CCSCC2)[C@@H](CNC(=O)[O-])C1 ZINC000828495733 604397642 /nfs/dbraw/zinc/39/76/42/604397642.db2.gz RYTYKGMDEGVHMI-NSHDSACASA-N 0 2 301.412 0.540 20 0 DCADLN C[N@H+]1CCN(C(=O)C2CCSCC2)[C@@H](CNC(=O)[O-])C1 ZINC000828495733 604397643 /nfs/dbraw/zinc/39/76/43/604397643.db2.gz RYTYKGMDEGVHMI-NSHDSACASA-N 0 2 301.412 0.540 20 0 DCADLN Cc1ccc(CCC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])o1 ZINC000830296195 604400791 /nfs/dbraw/zinc/40/07/91/604400791.db2.gz HRIRMLIQLFPAFM-LBPRGKRZSA-N 0 2 309.366 0.931 20 0 DCADLN Cc1ccc(CCC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])o1 ZINC000830296195 604400792 /nfs/dbraw/zinc/40/07/92/604400792.db2.gz HRIRMLIQLFPAFM-LBPRGKRZSA-N 0 2 309.366 0.931 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2ccccc2O)[C@@H](CNC(=O)[O-])C1 ZINC000828500071 604406493 /nfs/dbraw/zinc/40/64/93/604406493.db2.gz FBTUBTZCOWUDLP-ZDUSSCGKSA-N 0 2 321.377 0.735 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2ccccc2O)[C@@H](CNC(=O)[O-])C1 ZINC000828500071 604406495 /nfs/dbraw/zinc/40/64/95/604406495.db2.gz FBTUBTZCOWUDLP-ZDUSSCGKSA-N 0 2 321.377 0.735 20 0 DCADLN CCc1nc(CN2CC[NH+](Cc3c[nH]c(C(=O)[O-])c3)CC2)no1 ZINC000833673128 604640442 /nfs/dbraw/zinc/64/04/42/604640442.db2.gz LGIVIPAQPHAELH-UHFFFAOYSA-N 0 2 319.365 0.976 20 0 DCADLN CC(C)(C)n1ncc2c1nc[nH+]c2N1CCC(O)(C(=O)[O-])CC1 ZINC000833451817 604717299 /nfs/dbraw/zinc/71/72/99/604717299.db2.gz IBOSXQVCKYKARF-UHFFFAOYSA-N 0 2 319.365 0.997 20 0 DCADLN CC(C)(C)[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CN(C(=O)[O-])CCO1 ZINC000823760131 604744622 /nfs/dbraw/zinc/74/46/22/604744622.db2.gz OPFFWGSHKIKCTG-RYUDHWBXSA-N 0 2 324.381 0.793 20 0 DCADLN Cc1cn2cc(NC(=O)[C@H]3CN(C(=O)[O-])CCO3)ccc2[nH+]1 ZINC000830619505 604808457 /nfs/dbraw/zinc/80/84/57/604808457.db2.gz FKEQEZOBSSEINN-LLVKDONJSA-N 0 2 304.306 0.960 20 0 DCADLN NC(Cc1cccnc1)=[NH+]OCC(=O)N1CCC(C(=O)[O-])CC1 ZINC000833088193 604838545 /nfs/dbraw/zinc/83/85/45/604838545.db2.gz GTBOKXKKPAUGSC-UHFFFAOYSA-N 0 2 320.349 0.446 20 0 DCADLN COCc1nn(C[N@@H+]2CCC[C@@H](C(C)(C)C(=O)[O-])C2)c(=O)o1 ZINC000833725575 604868798 /nfs/dbraw/zinc/86/87/98/604868798.db2.gz CULOMPUPMCPGBS-SNVBAGLBSA-N 0 2 313.354 0.763 20 0 DCADLN COCc1nn(C[N@H+]2CCC[C@@H](C(C)(C)C(=O)[O-])C2)c(=O)o1 ZINC000833725575 604868802 /nfs/dbraw/zinc/86/88/02/604868802.db2.gz CULOMPUPMCPGBS-SNVBAGLBSA-N 0 2 313.354 0.763 20 0 DCADLN CC(C)(C)C(=O)NCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000378694420 604899012 /nfs/dbraw/zinc/89/90/12/604899012.db2.gz DOOFOCOFYNJXPN-JTQLQIEISA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)(C)C(=O)NCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000378694420 604899017 /nfs/dbraw/zinc/89/90/17/604899017.db2.gz DOOFOCOFYNJXPN-JTQLQIEISA-N 0 2 310.354 0.074 20 0 DCADLN C[C@@H](NC(=O)N1CC[C@H](NC(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000825149052 605102199 /nfs/dbraw/zinc/10/21/99/605102199.db2.gz CULYEBURTIYKHH-WOPDTQHZSA-N 0 2 314.386 0.147 20 0 DCADLN C[C@H]1CN(C(=O)c2cncc(C(=O)[O-])c2)C[C@H]1[NH+]1CCOCC1 ZINC000833622949 605114358 /nfs/dbraw/zinc/11/43/58/605114358.db2.gz NACPMZILWCFXPA-SMDDNHRTSA-N 0 2 319.361 0.573 20 0 DCADLN C[C@H](NC(=O)c1ccc(CNC(=O)[O-])o1)[C@H]1C[N@H+](C)CCO1 ZINC000825457746 605201038 /nfs/dbraw/zinc/20/10/38/605201038.db2.gz AUJDXLKVATZCOU-JOYOIKCWSA-N 0 2 311.338 0.496 20 0 DCADLN C[C@H](NC(=O)c1ccc(CNC(=O)[O-])o1)[C@H]1C[N@@H+](C)CCO1 ZINC000825457746 605201041 /nfs/dbraw/zinc/20/10/41/605201041.db2.gz AUJDXLKVATZCOU-JOYOIKCWSA-N 0 2 311.338 0.496 20 0 DCADLN O=C(CO[C@@H]1CCCN(C(=O)[O-])C1)NCCCn1cc[nH+]c1 ZINC000831513889 605241424 /nfs/dbraw/zinc/24/14/24/605241424.db2.gz TVLJYDALUQEYFV-GFCCVEGCSA-N 0 2 310.354 0.548 20 0 DCADLN Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CCCN(C(=O)[O-])CC2)C1 ZINC000833958108 605318274 /nfs/dbraw/zinc/31/82/74/605318274.db2.gz ANECPYCOQTYNGR-LBPRGKRZSA-N 0 2 321.381 0.379 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CCN(C(=O)NC[C@@H]2C[N@H+](C)CCO2)C1 ZINC000833820085 605514117 /nfs/dbraw/zinc/51/41/17/605514117.db2.gz XNQODQYHFBHYIM-SDDRHHMPSA-N 0 2 314.386 0.005 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CCN(C(=O)NC[C@@H]2C[N@@H+](C)CCO2)C1 ZINC000833820085 605514121 /nfs/dbraw/zinc/51/41/21/605514121.db2.gz XNQODQYHFBHYIM-SDDRHHMPSA-N 0 2 314.386 0.005 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)CCNC(=O)[O-])C2)o1 ZINC000830623809 605530513 /nfs/dbraw/zinc/53/05/13/605530513.db2.gz BJELEYGUPFBWOW-LLVKDONJSA-N 0 2 310.354 0.673 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)CCNC(=O)[O-])C2)o1 ZINC000830623809 605530515 /nfs/dbraw/zinc/53/05/15/605530515.db2.gz BJELEYGUPFBWOW-LLVKDONJSA-N 0 2 310.354 0.673 20 0 DCADLN C[C@H]1COCCCN1C(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000826087511 605544546 /nfs/dbraw/zinc/54/45/46/605544546.db2.gz OJOBZMZDYJYVTH-LBPRGKRZSA-N 0 2 313.398 0.698 20 0 DCADLN O=C([O-])N1CCOC[C@H]1c1noc(CCCn2cc[nH+]c2)n1 ZINC000834120813 605715654 /nfs/dbraw/zinc/71/56/54/605715654.db2.gz YAYNWNVCMDGQNZ-JTQLQIEISA-N 0 2 307.310 0.950 20 0 DCADLN O=C([O-])N1CC[NH+](CCCNS(=O)(=O)CC2CCC2)CC1 ZINC000834113681 605775830 /nfs/dbraw/zinc/77/58/30/605775830.db2.gz ZLSGUWHMALFHOW-UHFFFAOYSA-N 0 2 319.427 0.392 20 0 DCADLN O=C([O-])NC1CC[NH+](CC(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000834189418 605959952 /nfs/dbraw/zinc/95/99/52/605959952.db2.gz LHMZJNFQTJYKNH-NSHDSACASA-N 0 2 321.381 0.115 20 0 DCADLN O=C([O-])N1CC[C@H](NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834014577 605965177 /nfs/dbraw/zinc/96/51/77/605965177.db2.gz DGQTWLNUAYQBDP-NEPJUHHUSA-N 0 2 319.365 0.712 20 0 DCADLN O=C([O-])N1CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC000834113856 605972235 /nfs/dbraw/zinc/97/22/35/605972235.db2.gz XFTZFMXVNOMBFY-LBPRGKRZSA-N 0 2 319.365 0.666 20 0 DCADLN Cc1noc([C@H]2CCC[N@H+](CN3C[C@H](NC(=O)[O-])CC3=O)C2)n1 ZINC000833931425 605993931 /nfs/dbraw/zinc/99/39/31/605993931.db2.gz ATQVNSNGWPZKFW-WDEREUQCSA-N 0 2 323.353 0.383 20 0 DCADLN Cc1noc([C@H]2CCC[N@@H+](CN3C[C@H](NC(=O)[O-])CC3=O)C2)n1 ZINC000833931425 605993932 /nfs/dbraw/zinc/99/39/32/605993932.db2.gz ATQVNSNGWPZKFW-WDEREUQCSA-N 0 2 323.353 0.383 20 0 DCADLN Cn1cc([C@@H]2CCC[N@@H+]2CN2C[C@@H](NC(=O)[O-])CC2=O)cn1 ZINC000833947628 605994584 /nfs/dbraw/zinc/99/45/84/605994584.db2.gz OIIYFFAZJUOAOI-RYUDHWBXSA-N 0 2 307.354 0.383 20 0 DCADLN Cn1cc([C@@H]2CCC[N@H+]2CN2C[C@@H](NC(=O)[O-])CC2=O)cn1 ZINC000833947628 605994585 /nfs/dbraw/zinc/99/45/85/605994585.db2.gz OIIYFFAZJUOAOI-RYUDHWBXSA-N 0 2 307.354 0.383 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)[C@@]2(F)CCN(C(=O)[O-])C2)C1 ZINC000833956223 606083968 /nfs/dbraw/zinc/08/39/68/606083968.db2.gz YEPFKOLEHPRHSV-QMTHXVAHSA-N 0 2 310.329 0.828 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(-c2nn[nH]n2)s1 ZINC000823197766 606598907 /nfs/dbraw/zinc/59/89/07/606598907.db2.gz UKSOFPAIPKUGOB-UHFFFAOYSA-N 0 2 320.338 0.115 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1=COCCC1 ZINC001027788311 660731209 /nfs/dbraw/zinc/73/12/09/660731209.db2.gz IKHVTEDTQULTLK-LLVKDONJSA-N 0 2 307.354 0.285 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1=COCCC1 ZINC001027788311 660731212 /nfs/dbraw/zinc/73/12/12/660731212.db2.gz IKHVTEDTQULTLK-LLVKDONJSA-N 0 2 307.354 0.285 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOC1 ZINC001027786224 660730438 /nfs/dbraw/zinc/73/04/38/660730438.db2.gz ZAYMXRNIEBLWCX-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOC1 ZINC001027786224 660730440 /nfs/dbraw/zinc/73/04/40/660730440.db2.gz ZAYMXRNIEBLWCX-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001027813333 660773376 /nfs/dbraw/zinc/77/33/76/660773376.db2.gz RJYLGEYXZFRZOC-MEWQQHAOSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001027813333 660773378 /nfs/dbraw/zinc/77/33/78/660773378.db2.gz RJYLGEYXZFRZOC-MEWQQHAOSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOCC1 ZINC001027852744 660842974 /nfs/dbraw/zinc/84/29/74/660842974.db2.gz NDXHPTFGWONLLM-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCOCC1 ZINC001027852744 660842977 /nfs/dbraw/zinc/84/29/77/660842977.db2.gz NDXHPTFGWONLLM-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1nnc(C2CC2)[nH]1)c1cnn[nH]1 ZINC001027991696 661023799 /nfs/dbraw/zinc/02/37/99/661023799.db2.gz IYXZJNYRFDKHTL-JTQLQIEISA-N 0 2 316.369 0.195 20 0 DCADLN O=C([C@H]1[C@@H]2CCC[C@@H]21)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980921748 661046482 /nfs/dbraw/zinc/04/64/82/661046482.db2.gz FFHPSOKTNVRDHF-PJXYFTJBSA-N 0 2 305.382 0.591 20 0 DCADLN Cc1nc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001038849557 661090895 /nfs/dbraw/zinc/09/08/95/661090895.db2.gz MYDCVFRHCNCFFY-VIFPVBQESA-N 0 2 306.326 0.119 20 0 DCADLN O=C(C(F)F)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981571217 661173397 /nfs/dbraw/zinc/17/33/97/661173397.db2.gz VDBJDIQLHKDTPE-UHFFFAOYSA-N 0 2 301.297 0.200 20 0 DCADLN O=C(C(F)F)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981571217 661173400 /nfs/dbraw/zinc/17/34/00/661173400.db2.gz VDBJDIQLHKDTPE-UHFFFAOYSA-N 0 2 301.297 0.200 20 0 DCADLN C[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C(F)(F)F ZINC000981767410 661213710 /nfs/dbraw/zinc/21/37/10/661213710.db2.gz SCZFIOWMILEKLB-QMMMGPOBSA-N 0 2 321.303 0.743 20 0 DCADLN Cc1nc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)cs1 ZINC000981815034 661221619 /nfs/dbraw/zinc/22/16/19/661221619.db2.gz RYYWGCNXCKOVBI-UHFFFAOYSA-N 0 2 322.394 0.623 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)N2CCC[NH+](CC(=O)NC3CC3)CC2)C1 ZINC000981955763 661254594 /nfs/dbraw/zinc/25/45/94/661254594.db2.gz UIISIPLIGMRYTR-AWEZNQCLSA-N 0 2 322.453 0.141 20 0 DCADLN O=C(CCc1cn[nH]n1)N1C[C@@H]2C[C@H]1C[N@@H+]2CCOC1CCC1 ZINC001032637735 665493561 /nfs/dbraw/zinc/49/35/61/665493561.db2.gz SKDIVVNIGFINBO-KBPBESRZSA-N 0 2 319.409 0.592 20 0 DCADLN O=C(CCc1cn[nH]n1)N1C[C@@H]2C[C@H]1C[N@H+]2CCOC1CCC1 ZINC001032637735 665493562 /nfs/dbraw/zinc/49/35/62/665493562.db2.gz SKDIVVNIGFINBO-KBPBESRZSA-N 0 2 319.409 0.592 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)[nH]1 ZINC000970511206 657588843 /nfs/dbraw/zinc/58/88/43/657588843.db2.gz YRTMPLIIJLPNHY-SECBINFHSA-N 0 2 318.381 0.706 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(F)C(F)(F)F ZINC000811497532 657611641 /nfs/dbraw/zinc/61/16/41/657611641.db2.gz AHPFWDBVFFOUJL-XLPZGREQSA-N 0 2 320.308 0.721 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC000811497532 657611661 /nfs/dbraw/zinc/61/16/61/657611661.db2.gz AHPFWDBVFFOUJL-XLPZGREQSA-N 0 2 320.308 0.721 20 0 DCADLN CCc1cc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC000969327849 657714872 /nfs/dbraw/zinc/71/48/72/657714872.db2.gz VSTICJAADWMBQO-QMMMGPOBSA-N 0 2 319.369 0.046 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CCC[N@H+](Cc3cnon3)C2)c1[O-] ZINC001023307391 657726633 /nfs/dbraw/zinc/72/66/33/657726633.db2.gz YDCQGNASQBBYEE-JTQLQIEISA-N 0 2 320.353 0.449 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CCC[N@@H+](Cc3cnon3)C2)c1[O-] ZINC001023307391 657726638 /nfs/dbraw/zinc/72/66/38/657726638.db2.gz YDCQGNASQBBYEE-JTQLQIEISA-N 0 2 320.353 0.449 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N=c1nn[n-]n1Cc1ccccc1 ZINC000891838920 657813410 /nfs/dbraw/zinc/81/34/10/657813410.db2.gz XTMODZSAUBXWJE-ZDUSSCGKSA-N 0 2 302.382 0.276 20 0 DCADLN COc1ccccc1-c1nnc(SCc2n[nH]c(=O)[nH]2)n1N ZINC000092869609 657926933 /nfs/dbraw/zinc/92/69/33/657926933.db2.gz HQHMUPMFSZQRFS-UHFFFAOYSA-N 0 2 319.350 0.371 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3cn[nH]n3)C[C@@H]2C)on1 ZINC000947484337 658026069 /nfs/dbraw/zinc/02/60/69/658026069.db2.gz KMLICPRATWHMOG-WDEREUQCSA-N 0 2 304.354 0.884 20 0 DCADLN C[C@H](NC(=O)c1ccsn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969933504 658268464 /nfs/dbraw/zinc/26/84/64/658268464.db2.gz VICNRIVYEOTSCO-ZETCQYMHSA-N 0 2 308.367 0.217 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@H](O)[C@H](F)C1 ZINC000890688136 658282447 /nfs/dbraw/zinc/28/24/47/658282447.db2.gz PZSCOYCXIYTMKY-RQJHMYQMSA-N 0 2 300.252 0.375 20 0 DCADLN CCN(C(=O)c1ccsc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949639398 658424509 /nfs/dbraw/zinc/42/45/09/658424509.db2.gz AAUXWPBVTXNERQ-UHFFFAOYSA-N 0 2 307.379 0.918 20 0 DCADLN O=C([C@H]1CC12CCC2)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032653708 665591880 /nfs/dbraw/zinc/59/18/80/665591880.db2.gz CEGXIFWDYRGWJH-GARJFASQSA-N 0 2 303.366 0.486 20 0 DCADLN CC[C@@H](OC)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010612790 658624483 /nfs/dbraw/zinc/62/44/83/658624483.db2.gz NWVHXGZFTQCGGB-BDAKNGLRSA-N 0 2 314.279 0.983 20 0 DCADLN CC[C@@H](OC)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010612790 658624489 /nfs/dbraw/zinc/62/44/89/658624489.db2.gz NWVHXGZFTQCGGB-BDAKNGLRSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1=COCCC1 ZINC001006894064 658629730 /nfs/dbraw/zinc/62/97/30/658629730.db2.gz YFAMLFQXTSKOAN-LLVKDONJSA-N 0 2 307.354 0.285 20 0 DCADLN CC(C)c1nnc(C[NH2+][C@H]2C[C@@H](NC(=O)c3nc[nH]n3)C2)n1C ZINC001022869148 658709911 /nfs/dbraw/zinc/70/99/11/658709911.db2.gz NSZUMCJZNPLWHW-AOOOYVTPSA-N 0 2 318.385 0.107 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cncs1 ZINC001006856235 658833526 /nfs/dbraw/zinc/83/35/26/658833526.db2.gz YFKJUKRLXZLKIY-MRVPVSSYSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)ccn1 ZINC001032692677 665616462 /nfs/dbraw/zinc/61/64/62/665616462.db2.gz QEFOYWZMQIEIIW-RYUDHWBXSA-N 0 2 314.349 0.313 20 0 DCADLN Cc1[nH+]cc2n1C[C@H](C(=O)N1CCN(C)CC[N@H+](C)CC1)CC2 ZINC000888983622 658859907 /nfs/dbraw/zinc/85/99/07/658859907.db2.gz JTTRLCBAHOZCAS-OAHLLOKOSA-N 0 2 319.453 0.460 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240594 658900766 /nfs/dbraw/zinc/90/07/66/658900766.db2.gz YWNQXUCARRHLLV-VIFPVBQESA-N 0 2 322.262 0.903 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011240594 658900772 /nfs/dbraw/zinc/90/07/72/658900772.db2.gz YWNQXUCARRHLLV-VIFPVBQESA-N 0 2 322.262 0.903 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H]2CCC[N@@H+](CC(=O)N(C)C)C2)c1C ZINC001006944421 659033034 /nfs/dbraw/zinc/03/30/34/659033034.db2.gz IGTBDYSRZAVLIT-LBPRGKRZSA-N 0 2 307.398 0.309 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)C(F)F ZINC000976014833 659146811 /nfs/dbraw/zinc/14/68/11/659146811.db2.gz AJHCBGHJOZPVCV-KCDKBNATSA-N 0 2 304.190 0.725 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)C(F)F ZINC000976014833 659146812 /nfs/dbraw/zinc/14/68/12/659146812.db2.gz AJHCBGHJOZPVCV-KCDKBNATSA-N 0 2 304.190 0.725 20 0 DCADLN O=C([C@H]1CC12CCCC2)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032716746 665652247 /nfs/dbraw/zinc/65/22/47/665652247.db2.gz ZAXFWLUOMLVMTR-SDDRHHMPSA-N 0 2 317.393 0.876 20 0 DCADLN Cn1ccnc1C[N@H+](C)[C@H]1CCCN(C(=O)[C@H]2CCC[NH+]2C)C1 ZINC001027333155 659269203 /nfs/dbraw/zinc/26/92/03/659269203.db2.gz VMJLKFJFLQADCG-LSDHHAIUSA-N 0 2 319.453 0.937 20 0 DCADLN CC1(C)C[N@H+](CCCO)C[C@H]1NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000974692869 659625015 /nfs/dbraw/zinc/62/50/15/659625015.db2.gz ORLZUJOLBSIDAM-YRGRVCCFSA-N 0 2 306.410 0.722 20 0 DCADLN CC1(C)C[N@H+](CC(N)=O)C[C@@H]1NC(=O)[C@@H](C1CC1)[NH+]1CCCC1 ZINC000974864175 659699338 /nfs/dbraw/zinc/69/93/38/659699338.db2.gz QBEUQSOEYBDFNF-DZGCQCFKSA-N 0 2 322.453 0.173 20 0 DCADLN CC1(C)C[N@H+](CCO)C[C@H]1NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000975038983 659769597 /nfs/dbraw/zinc/76/95/97/659769597.db2.gz IWFZPRNHMAONJY-DGCLKSJQSA-N 0 2 306.410 0.649 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccc[nH]1 ZINC000977617705 659784342 /nfs/dbraw/zinc/78/43/42/659784342.db2.gz PSZOVPNLKIKEGI-LLVKDONJSA-N 0 2 318.381 0.408 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccc[nH]1 ZINC000977617705 659784345 /nfs/dbraw/zinc/78/43/45/659784345.db2.gz PSZOVPNLKIKEGI-LLVKDONJSA-N 0 2 318.381 0.408 20 0 DCADLN Cn1cc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000940265041 665727842 /nfs/dbraw/zinc/72/78/42/665727842.db2.gz BVDDZVRSJDHYDU-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC000940265041 665727846 /nfs/dbraw/zinc/72/78/46/665727846.db2.gz BVDDZVRSJDHYDU-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H]2CC[N@@H+](Cc3nncn3C)C2)c1C ZINC001028452465 661528188 /nfs/dbraw/zinc/52/81/88/661528188.db2.gz KMBHXAKHWWBSII-GFCCVEGCSA-N 0 2 317.397 0.407 20 0 DCADLN Cc1nnc(NNC(=O)[C@@H](C)Oc2cccc(F)c2)[nH]c1=O ZINC000047432083 661625949 /nfs/dbraw/zinc/62/59/49/661625949.db2.gz AXGAREYMVOHHKI-MRVPVSSYSA-N 0 2 307.285 0.935 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CCCN(C(=O)CCc3cnn[nH]3)C2)on1 ZINC001000234027 665792435 /nfs/dbraw/zinc/79/24/35/665792435.db2.gz YYXISLWQNZXWGE-ZDUSSCGKSA-N 0 2 318.381 0.815 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)O1 ZINC001000322952 665819577 /nfs/dbraw/zinc/81/95/77/665819577.db2.gz RPSUPEAASSUGFK-VDAHYXPESA-N 0 2 312.263 0.781 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)O1 ZINC001000322952 665819578 /nfs/dbraw/zinc/81/95/78/665819578.db2.gz RPSUPEAASSUGFK-VDAHYXPESA-N 0 2 312.263 0.781 20 0 DCADLN C[C@H]1CN(c2c3ccccc3[nH+]nc2C(=O)[O-])C[C@H](CO)O1 ZINC000320007507 662047927 /nfs/dbraw/zinc/04/79/27/662047927.db2.gz QBWNZLSFFDBVQT-VHSXEESVSA-N 0 2 303.318 0.914 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000940691451 665829695 /nfs/dbraw/zinc/82/96/95/665829695.db2.gz FQDRKPJLYRWIIY-SFYZADRCSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000940691451 665829696 /nfs/dbraw/zinc/82/96/96/665829696.db2.gz FQDRKPJLYRWIIY-SFYZADRCSA-N 0 2 322.262 0.949 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cn[nH]c2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000984611326 662247805 /nfs/dbraw/zinc/24/78/05/662247805.db2.gz TTYJIGDFDPFTSH-QWHCGFSZSA-N 0 2 316.365 0.485 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)O1 ZINC001029484236 662304146 /nfs/dbraw/zinc/30/41/46/662304146.db2.gz WCFGAAQDPAXCBE-WISYIIOYSA-N 0 2 321.381 0.253 20 0 DCADLN O=C([C@H]1CC12CCC2)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029493610 662329746 /nfs/dbraw/zinc/32/97/46/662329746.db2.gz JFFADUKIIDLHEA-GRYCIOLGSA-N 0 2 317.393 0.876 20 0 DCADLN CC[C@H](C(=O)N1CC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1)[NH+](C)C ZINC001029766594 662467579 /nfs/dbraw/zinc/46/75/79/662467579.db2.gz DRFKLBVUINLPNJ-UONOGXRCSA-N 0 2 322.457 0.436 20 0 DCADLN COC[C@H](NC(=O)c1cccc(Cn2cc[nH+]c2)c1)C(=O)[O-] ZINC000909661663 662544346 /nfs/dbraw/zinc/54/43/46/662544346.db2.gz KKKBDPFPQMDPEL-ZDUSSCGKSA-N 0 2 303.318 0.761 20 0 DCADLN C[N@@H+](CC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1)[C@H]1CCNC1=O ZINC001029999856 662629511 /nfs/dbraw/zinc/62/95/11/662629511.db2.gz MGUFVPDGZVYONX-AWEZNQCLSA-N 0 2 319.409 0.011 20 0 DCADLN C[C@@H]1[C@@H]([NH2+]Cc2ncccn2)CCN1C(=O)CCc1cn[nH]n1 ZINC000987019105 662635426 /nfs/dbraw/zinc/63/54/26/662635426.db2.gz VDSXSXAXJFIIMZ-YPMHNXCESA-N 0 2 315.381 0.307 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000263440322 662688553 /nfs/dbraw/zinc/68/85/53/662688553.db2.gz WSJBOBYKXNGCNP-IAQYHMDHSA-N 0 2 306.366 0.739 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000263440322 662688554 /nfs/dbraw/zinc/68/85/54/662688554.db2.gz WSJBOBYKXNGCNP-IAQYHMDHSA-N 0 2 306.366 0.739 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CCCN(C(=O)Cc3ncn[nH]3)C2)ncn1 ZINC001000661796 665905610 /nfs/dbraw/zinc/90/56/10/665905610.db2.gz WJXNFCVJYIRACM-LBPRGKRZSA-N 0 2 315.381 0.226 20 0 DCADLN Cc1cocc1C(=O)N1C[C@@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@H]1C ZINC000989309235 662899137 /nfs/dbraw/zinc/89/91/37/662899137.db2.gz SWGNLDHUVJJWFN-MNOVXSKESA-N 0 2 319.365 0.403 20 0 DCADLN O=C(C[C@H]1CCCOC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000989682283 662971550 /nfs/dbraw/zinc/97/15/50/662971550.db2.gz JSNFIGVWFDQSIW-GFCCVEGCSA-N 0 2 323.397 0.361 20 0 DCADLN CO[C@@H](CCNC(=O)C[C@H]1SC(=N)NC1=O)C(F)(F)F ZINC000787460262 662994167 /nfs/dbraw/zinc/99/41/67/662994167.db2.gz APKSKBYPHUDLSY-RITPCOANSA-N 0 2 313.301 0.626 20 0 DCADLN Cc1cc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC000990778850 663141926 /nfs/dbraw/zinc/14/19/26/663141926.db2.gz DOEWZCXAMHJWRY-SNVBAGLBSA-N 0 2 322.262 0.488 20 0 DCADLN Cc1cc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000990778850 663141928 /nfs/dbraw/zinc/14/19/28/663141928.db2.gz DOEWZCXAMHJWRY-SNVBAGLBSA-N 0 2 322.262 0.488 20 0 DCADLN O=C(NCc1cn(CCO[C@H]2CCOC2)nn1)C(F)(F)F ZINC000899735747 663184738 /nfs/dbraw/zinc/18/47/38/663184738.db2.gz PTXVXVIOOFXXDJ-VIFPVBQESA-N 0 2 308.260 0.262 20 0 DCADLN C[C@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@@H]1CN(C)CC[N@@H+]1C ZINC000900811687 663283101 /nfs/dbraw/zinc/28/31/01/663283101.db2.gz NBTNCEAYNBZSPR-ONGXEEELSA-N 0 2 319.365 0.293 20 0 DCADLN C[C@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@@H]1CN(C)CC[N@H+]1C ZINC000900811687 663283102 /nfs/dbraw/zinc/28/31/02/663283102.db2.gz NBTNCEAYNBZSPR-ONGXEEELSA-N 0 2 319.365 0.293 20 0 DCADLN CONC(=O)CN[C@@H](C(=O)OC)c1c(F)cccc1Cl ZINC000901605568 663354940 /nfs/dbraw/zinc/35/49/40/663354940.db2.gz CSMQQWXPNOUNOA-LLVKDONJSA-N 0 2 304.705 0.960 20 0 DCADLN CO[C@H]1CCC[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031935724 665955025 /nfs/dbraw/zinc/95/50/25/665955025.db2.gz BVAQIJNCQGNMIR-RYUDHWBXSA-N 0 2 323.397 0.264 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]Cc2cccc3c2OCCO3)nn1 ZINC000901801949 663368539 /nfs/dbraw/zinc/36/85/39/663368539.db2.gz IIAGPNSRPLYGAB-UHFFFAOYSA-N 0 2 304.306 0.424 20 0 DCADLN COc1cc(NC(=O)CON=C(N)CN(C)C)cc(OC)c1 ZINC000902613664 663422211 /nfs/dbraw/zinc/42/22/11/663422211.db2.gz REJLEIQTEGWHRS-UHFFFAOYSA-N 0 2 310.354 0.702 20 0 DCADLN C[C@@H](ON=C(N)CN(C)C)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000902612848 663422226 /nfs/dbraw/zinc/42/22/26/663422226.db2.gz NHWPMDATXQMKBL-SNVBAGLBSA-N 0 2 322.365 0.845 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc2c(cc1O)OCO2 ZINC000436990078 663604985 /nfs/dbraw/zinc/60/49/85/663604985.db2.gz TWNVWKFJTMPLLW-UHFFFAOYSA-N 0 2 306.278 0.307 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C1(C(=O)[O-])CCOCC1 ZINC000907913801 663723702 /nfs/dbraw/zinc/72/37/02/663723702.db2.gz GZJKCHJMAHPZJF-NEPJUHHUSA-N 0 2 321.377 0.832 20 0 DCADLN CC[C@@H](C(=O)N(CCOC)CC(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000908848876 663772139 /nfs/dbraw/zinc/77/21/39/663772139.db2.gz IMOUDFLBTJSVJV-OLZOCXBDSA-N 0 2 316.398 0.435 20 0 DCADLN CC[C@@H](C(=O)N(CCOC)CC(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000908848876 663772140 /nfs/dbraw/zinc/77/21/40/663772140.db2.gz IMOUDFLBTJSVJV-OLZOCXBDSA-N 0 2 316.398 0.435 20 0 DCADLN C[C@@H](C(=O)[O-])N(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)C1CC1 ZINC000908914708 663773991 /nfs/dbraw/zinc/77/39/91/663773991.db2.gz OIYPNPIQBRGIIE-NSHDSACASA-N 0 2 313.398 0.744 20 0 DCADLN C[C@@H](C(=O)[O-])N(C(=O)NCC[N@H+]1CCOC(C)(C)C1)C1CC1 ZINC000908914708 663773992 /nfs/dbraw/zinc/77/39/92/663773992.db2.gz OIYPNPIQBRGIIE-NSHDSACASA-N 0 2 313.398 0.744 20 0 DCADLN CC[C@H](C)N(CC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000908912756 663774987 /nfs/dbraw/zinc/77/49/87/663774987.db2.gz AWDCLCCWXUAOPT-LBPRGKRZSA-N 0 2 315.414 0.992 20 0 DCADLN CC[C@H](C)N(CC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000908912756 663774988 /nfs/dbraw/zinc/77/49/88/663774988.db2.gz AWDCLCCWXUAOPT-LBPRGKRZSA-N 0 2 315.414 0.992 20 0 DCADLN CC[C@@](C)(NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936405 663775301 /nfs/dbraw/zinc/77/53/01/663775301.db2.gz JYHVMGPHYXEJMN-CQSZACIVSA-N 0 2 301.387 0.650 20 0 DCADLN CC[C@@](C)(NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936405 663775302 /nfs/dbraw/zinc/77/53/02/663775302.db2.gz JYHVMGPHYXEJMN-CQSZACIVSA-N 0 2 301.387 0.650 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CC1(F)F ZINC000991211816 663819601 /nfs/dbraw/zinc/81/96/01/663819601.db2.gz CIAUMBVESVRVHQ-WDSKDSINSA-N 0 2 304.190 0.869 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CC1(F)F ZINC000991211816 663819604 /nfs/dbraw/zinc/81/96/04/663819604.db2.gz CIAUMBVESVRVHQ-WDSKDSINSA-N 0 2 304.190 0.869 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000910239835 663858796 /nfs/dbraw/zinc/85/87/96/663858796.db2.gz FIYBIQQFKLKHCS-MBNYWOFBSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@]1(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)CCCOC1 ZINC000910306757 663866876 /nfs/dbraw/zinc/86/68/76/663866876.db2.gz PZRBRAKRULDQBU-ABAIWWIYSA-N 0 2 307.350 0.443 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(NCC3(C(=O)[O-])CC3)[nH+]cn2)C1 ZINC000910429592 663882482 /nfs/dbraw/zinc/88/24/82/663882482.db2.gz KJHCVLOZYGBFBU-WDEREUQCSA-N 0 2 306.366 0.960 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(NCC3(C(=O)[O-])CC3)nc[nH+]2)C1 ZINC000910429592 663882486 /nfs/dbraw/zinc/88/24/86/663882486.db2.gz KJHCVLOZYGBFBU-WDEREUQCSA-N 0 2 306.366 0.960 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@@H](CC1CCOCC1)C(=O)[O-] ZINC000910450654 663884960 /nfs/dbraw/zinc/88/49/60/663884960.db2.gz COWHOGZLFQREIF-ZDUSSCGKSA-N 0 2 309.366 0.739 20 0 DCADLN O=C([O-])[C@]1(O)CCN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000910526845 663899232 /nfs/dbraw/zinc/89/92/32/663899232.db2.gz LPRIGCXLQIHSJM-INIZCTEOSA-N 0 2 315.329 0.463 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000910590193 663910307 /nfs/dbraw/zinc/91/03/07/663910307.db2.gz LCEIISOSMFQVSI-GRYCIOLGSA-N 0 2 307.350 0.584 20 0 DCADLN C[C@H]1CCN(C(=O)Cn2ccc(C(=O)[O-])n2)C[C@@H]1n1cc[nH+]c1 ZINC000910687992 663923488 /nfs/dbraw/zinc/92/34/88/663923488.db2.gz QIYMDEWEYMTNLI-AAEUAGOBSA-N 0 2 317.349 0.888 20 0 DCADLN C[C@@H]1CCN(C(=O)Cn2ccc(C(=O)[O-])n2)C[C@@H]1n1cc[nH+]c1 ZINC000910687995 663924041 /nfs/dbraw/zinc/92/40/41/663924041.db2.gz QIYMDEWEYMTNLI-YPMHNXCESA-N 0 2 317.349 0.888 20 0 DCADLN Cc1cncc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038125259 663927255 /nfs/dbraw/zinc/92/72/55/663927255.db2.gz ILTWYDNKMNPFMD-LLVKDONJSA-N 0 2 302.338 0.218 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@@H](C)C[N@H+]1CCO ZINC000910930246 663954047 /nfs/dbraw/zinc/95/40/47/663954047.db2.gz HDTWEPYXMCFPEV-GUIRCDHDSA-N 0 2 320.437 0.989 20 0 DCADLN O=C([O-])c1cnc(CCNC(=O)[C@H]2CCc3[nH+]ccn3C2)s1 ZINC000911001788 663969282 /nfs/dbraw/zinc/96/92/82/663969282.db2.gz QLXDOOUXGXLGEU-VIFPVBQESA-N 0 2 320.374 0.959 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)NCCc1ncc(C(=O)[O-])s1 ZINC000911002374 663969817 /nfs/dbraw/zinc/96/98/17/663969817.db2.gz SYFFCTKKCFTFLO-UHFFFAOYSA-N 0 2 308.363 0.866 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])cc2F)CC1 ZINC000911136119 663988716 /nfs/dbraw/zinc/98/87/16/663988716.db2.gz QUQUVIZSGOSHBU-UHFFFAOYSA-N 0 2 310.325 0.928 20 0 DCADLN CC(C)[C@H](CNC(=O)c1cccc(C(=O)[O-])n1)[NH+]1CCOCC1 ZINC000911152391 663993677 /nfs/dbraw/zinc/99/36/77/663993677.db2.gz SSKUBALRTDZMSC-AWEZNQCLSA-N 0 2 321.377 0.866 20 0 DCADLN O=C([O-])C[C@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C(F)(F)F ZINC000911158255 663994336 /nfs/dbraw/zinc/99/43/36/663994336.db2.gz NKWIGDTXBXBFBK-SFYZADRCSA-N 0 2 305.256 0.967 20 0 DCADLN C[C@@](O)(C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1)C1CC1 ZINC000911168828 664000548 /nfs/dbraw/zinc/00/05/48/664000548.db2.gz BCTTVVJHISYIDH-INIZCTEOSA-N 0 2 319.361 0.589 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC000911256607 664016505 /nfs/dbraw/zinc/01/65/05/664016505.db2.gz BBSGPJOWAIBFML-KBPBESRZSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])[C@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC000911256607 664016509 /nfs/dbraw/zinc/01/65/09/664016509.db2.gz BBSGPJOWAIBFML-KBPBESRZSA-N 0 2 324.352 0.742 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)nc1 ZINC000911731213 664106126 /nfs/dbraw/zinc/10/61/26/664106126.db2.gz HXWFYANYKPJDIX-SNVBAGLBSA-N 0 2 300.318 0.855 20 0 DCADLN CCCc1nnc(NS(=O)(=O)c2n[nH]cc2C(=O)OC)o1 ZINC000912560814 664185854 /nfs/dbraw/zinc/18/58/54/664185854.db2.gz XVCLZTZCILMBEP-UHFFFAOYSA-N 0 2 315.311 0.333 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)c(F)c([O-])c2F)[C@@H](CO)C1 ZINC000912740237 664216234 /nfs/dbraw/zinc/21/62/34/664216234.db2.gz SESHWXHIXPQTHM-SSDOTTSWSA-N 0 2 304.268 0.558 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)c(F)c([O-])c2F)[C@@H](CO)C1 ZINC000912740237 664216235 /nfs/dbraw/zinc/21/62/35/664216235.db2.gz SESHWXHIXPQTHM-SSDOTTSWSA-N 0 2 304.268 0.558 20 0 DCADLN CN(C(=O)C1=NN(c2ccccc2)CC1=O)C1CS(=O)(=O)C1 ZINC000913135881 664270844 /nfs/dbraw/zinc/27/08/44/664270844.db2.gz TYURLAFFXDQZHZ-UHFFFAOYSA-N 0 2 321.358 0.447 20 0 DCADLN CC(C)[N@@H+]1CCC[C@@H]1C(=O)NC1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000991480192 664361741 /nfs/dbraw/zinc/36/17/41/664361741.db2.gz RWRWILVJJOCJHM-CQSZACIVSA-N 0 2 319.409 0.152 20 0 DCADLN CC1(C)C[C@@H]1C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001030228093 664481667 /nfs/dbraw/zinc/48/16/67/664481667.db2.gz PZCIBXZCZCSRTB-GFCCVEGCSA-N 0 2 315.377 0.369 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCC12CC2 ZINC001014928222 664586333 /nfs/dbraw/zinc/58/63/33/664586333.db2.gz SEKCWMIASUWPPC-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cncc2[nH]ccc21 ZINC001030613250 664604195 /nfs/dbraw/zinc/60/41/95/664604195.db2.gz ZDROAJFBJFHTLP-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccn[nH]1 ZINC000948901260 664633234 /nfs/dbraw/zinc/63/32/34/664633234.db2.gz RSDXKDZCQJNDFO-XPUUQOCRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ccn[nH]1 ZINC000948901260 664633235 /nfs/dbraw/zinc/63/32/35/664633235.db2.gz RSDXKDZCQJNDFO-XPUUQOCRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@]12CCC[C@@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cnco1 ZINC000992054053 664654104 /nfs/dbraw/zinc/65/41/04/664654104.db2.gz XLEXLZBYEYPLLF-HZMBPMFUSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@]12CCC[C@@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cnco1 ZINC000992054053 664654105 /nfs/dbraw/zinc/65/41/05/664654105.db2.gz XLEXLZBYEYPLLF-HZMBPMFUSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1coc(C(F)F)c1 ZINC001030852629 664687054 /nfs/dbraw/zinc/68/70/54/664687054.db2.gz ISFRAZZSWBCYNB-UHFFFAOYSA-N 0 2 313.264 0.655 20 0 DCADLN CO[C@@H]1CCC[C@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030869687 664691286 /nfs/dbraw/zinc/69/12/86/664691286.db2.gz UOKZNNWAPMTZMA-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN CC(=O)c1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1 ZINC000730519245 664784706 /nfs/dbraw/zinc/78/47/06/664784706.db2.gz VLALBYRJDOEGEW-UHFFFAOYSA-N 0 2 311.301 0.913 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1Cc2ccccc2C1 ZINC000730533182 664788331 /nfs/dbraw/zinc/78/83/31/664788331.db2.gz DRKJWYMOPCNDHT-UHFFFAOYSA-N 0 2 323.356 0.697 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccn[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993082444 664803435 /nfs/dbraw/zinc/80/34/35/664803435.db2.gz BTYZUTSNPWOVTD-RKDXNWHRSA-N 0 2 305.342 0.016 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCc1ccccc1F ZINC000731141419 664840105 /nfs/dbraw/zinc/84/01/05/664840105.db2.gz HWOADXJDAFEROE-UHFFFAOYSA-N 0 2 316.292 0.996 20 0 DCADLN O=C(Nc1cccc(C[NH+]2CCOCC2)c1)c1cc(=O)[nH][n-]1 ZINC000731870831 664887469 /nfs/dbraw/zinc/88/74/69/664887469.db2.gz YYDHYCCYTAWFMS-UHFFFAOYSA-N 0 2 302.334 0.333 20 0 DCADLN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N=c1cc[nH]cc1C ZINC000732911227 664974462 /nfs/dbraw/zinc/97/44/62/664974462.db2.gz SABILYBANLEFGS-UHFFFAOYSA-N 0 2 324.362 0.821 20 0 DCADLN CO[C@@H]1CCCC[C@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031467214 665162381 /nfs/dbraw/zinc/16/23/81/665162381.db2.gz ZSKHJTGBGJNSKF-GHMZBOCLSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2C[NH+](Cc3cncc(F)c3)C2)c1[O-] ZINC001031617766 665233808 /nfs/dbraw/zinc/23/38/08/665233808.db2.gz PMLKMULHBLMWSX-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN CCc1[nH]ccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031649739 665262585 /nfs/dbraw/zinc/26/25/85/665262585.db2.gz FODBYVGYTSQSJB-UHFFFAOYSA-N 0 2 304.354 0.263 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)[nH]1 ZINC001031958810 666020279 /nfs/dbraw/zinc/02/02/79/666020279.db2.gz ZQFLORPKGOFUKX-UHFFFAOYSA-N 0 2 310.745 0.354 20 0 DCADLN C[C@@H]1C[N@H+](Cc2nncs2)CC[C@H]1NC(=O)c1cnn[n-]1 ZINC000942215883 666132631 /nfs/dbraw/zinc/13/26/31/666132631.db2.gz HVXCOBCMXXQJPX-RKDXNWHRSA-N 0 2 307.383 0.297 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2nncs2)CC[C@H]1NC(=O)c1cnn[n-]1 ZINC000942215883 666132633 /nfs/dbraw/zinc/13/26/33/666132633.db2.gz HVXCOBCMXXQJPX-RKDXNWHRSA-N 0 2 307.383 0.297 20 0 DCADLN Cc1ccc(F)c(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001032007574 666147960 /nfs/dbraw/zinc/14/79/60/666147960.db2.gz HTQHYQWPMQLLAZ-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1cccc(=O)[nH]1 ZINC001002530478 666186904 /nfs/dbraw/zinc/18/69/04/666186904.db2.gz BMVZFBYMMRNBED-UHFFFAOYSA-N 0 2 318.337 0.005 20 0 DCADLN CO[C@@H]1CCC[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003207116 666282809 /nfs/dbraw/zinc/28/28/09/666282809.db2.gz HMUOATBHNRSGTB-VXGBXAGGSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1n[nH]cc1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001003517777 666321438 /nfs/dbraw/zinc/32/14/38/666321438.db2.gz KMCKKMKJDUUVNQ-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1n[nH]cc1C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001003517777 666321439 /nfs/dbraw/zinc/32/14/39/666321439.db2.gz KMCKKMKJDUUVNQ-SECBINFHSA-N 0 2 322.262 0.807 20 0 DCADLN Cc1n[nH]cc1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001003517781 666321620 /nfs/dbraw/zinc/32/16/20/666321620.db2.gz KMCKKMKJDUUVNQ-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN Cc1n[nH]cc1C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001003517781 666321621 /nfs/dbraw/zinc/32/16/21/666321621.db2.gz KMCKKMKJDUUVNQ-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN COc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001032147058 666372926 /nfs/dbraw/zinc/37/29/26/666372926.db2.gz PEFKVSLUDMELBZ-UHFFFAOYSA-N 0 2 317.349 0.381 20 0 DCADLN COc1cccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001032149253 666373315 /nfs/dbraw/zinc/37/33/15/666373315.db2.gz YREIRRNSWIXTRP-UHFFFAOYSA-N 0 2 317.349 0.381 20 0 DCADLN Cc1nc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c(C)o1 ZINC001003836425 666379552 /nfs/dbraw/zinc/37/95/52/666379552.db2.gz ZVPNBUZWNAJNOA-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN CN(C(=O)[C@@H]1CC=CCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032902505 666381099 /nfs/dbraw/zinc/38/10/99/666381099.db2.gz TUIDJDKSJSOPTM-VXGBXAGGSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@@H]1CC=CCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032902505 666381101 /nfs/dbraw/zinc/38/11/01/666381101.db2.gz TUIDJDKSJSOPTM-VXGBXAGGSA-N 0 2 305.382 0.899 20 0 DCADLN C[C@H]1OCC[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003845249 666381797 /nfs/dbraw/zinc/38/17/97/666381797.db2.gz IQVJUZASNMAEGK-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1ccncc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032976100 666416204 /nfs/dbraw/zinc/41/62/04/666416204.db2.gz KLNJNDAXCZZYRX-NSHDSACASA-N 0 2 316.365 0.560 20 0 DCADLN CN(C(=O)C1CCC(O)CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033041927 666452722 /nfs/dbraw/zinc/45/27/22/666452722.db2.gz QBVHDKKGPGQHCZ-MOENNCHZSA-N 0 2 323.397 0.094 20 0 DCADLN CN(C(=O)C1CCC(O)CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033041927 666452724 /nfs/dbraw/zinc/45/27/24/666452724.db2.gz QBVHDKKGPGQHCZ-MOENNCHZSA-N 0 2 323.397 0.094 20 0 DCADLN Cc1cccc(F)c1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032227410 666550917 /nfs/dbraw/zinc/55/09/17/666550917.db2.gz RYXYYVKWADCCDL-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN Cc1nn[nH]c1C(=O)N(C)[C@H]1CC[N@H+](Cc2cccnc2)C1 ZINC001033318530 666675261 /nfs/dbraw/zinc/67/52/61/666675261.db2.gz NKUKXCVSHHSLLA-ZDUSSCGKSA-N 0 2 300.366 0.855 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(C)C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033348196 666686146 /nfs/dbraw/zinc/68/61/46/666686146.db2.gz DLRGCKIZJDJHQH-MNOVXSKESA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(C)C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033348196 666686147 /nfs/dbraw/zinc/68/61/47/666686147.db2.gz DLRGCKIZJDJHQH-MNOVXSKESA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)CC1=CCOCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033401335 666707795 /nfs/dbraw/zinc/70/77/95/666707795.db2.gz RMJHCOQZLXSGDT-GFCCVEGCSA-N 0 2 321.381 0.280 20 0 DCADLN CN(C(=O)CC1=CCOCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033401335 666707798 /nfs/dbraw/zinc/70/77/98/666707798.db2.gz RMJHCOQZLXSGDT-GFCCVEGCSA-N 0 2 321.381 0.280 20 0 DCADLN CN(C(=O)[C@@H]1CC12CCC2)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033458421 666719143 /nfs/dbraw/zinc/71/91/43/666719143.db2.gz CHAZNIFBUOCVPP-QWRGUYRKSA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)[C@@H]1CC12CCC2)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033458421 666719146 /nfs/dbraw/zinc/71/91/46/666719146.db2.gz CHAZNIFBUOCVPP-QWRGUYRKSA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033489750 666730816 /nfs/dbraw/zinc/73/08/16/666730816.db2.gz JNEYLQONLWWYNR-SDDRHHMPSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033489750 666730818 /nfs/dbraw/zinc/73/08/18/666730818.db2.gz JNEYLQONLWWYNR-SDDRHHMPSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C(=O)C[C@H]1CCCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033666716 666787212 /nfs/dbraw/zinc/78/72/12/666787212.db2.gz FCLTYYIFGAATLC-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)C[C@H]1CCCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033666716 666787214 /nfs/dbraw/zinc/78/72/14/666787214.db2.gz FCLTYYIFGAATLC-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001034087234 666914372 /nfs/dbraw/zinc/91/43/72/666914372.db2.gz HXLJLIKVXJGDHD-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cnsn1 ZINC001034115498 666926221 /nfs/dbraw/zinc/92/62/21/666926221.db2.gz WBMJLTXFHJTBLU-QMMMGPOBSA-N 0 2 323.382 0.146 20 0 DCADLN Cn1nccc1C(=O)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034128499 666932264 /nfs/dbraw/zinc/93/22/64/666932264.db2.gz XIDUTPYIAQEOKI-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN Cn1nccc1C(=O)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034128499 666932265 /nfs/dbraw/zinc/93/22/65/666932265.db2.gz XIDUTPYIAQEOKI-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034386768 667009172 /nfs/dbraw/zinc/00/91/72/667009172.db2.gz TXUCEVWCYDMFJP-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CC12CC2 ZINC001034416909 667019938 /nfs/dbraw/zinc/01/99/38/667019938.db2.gz LVBPCDVMOPDDJX-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CC12CC2 ZINC001034416909 667019940 /nfs/dbraw/zinc/01/99/40/667019940.db2.gz LVBPCDVMOPDDJX-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CC[C@@H]2CCCO2)c1[O-] ZINC001032357880 667031019 /nfs/dbraw/zinc/03/10/19/667031019.db2.gz KAXHWHXFCJRFJX-AVGNSLFASA-N 0 2 320.393 0.892 20 0 DCADLN Cc1n[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CC[C@@H]2CCCO2)c1[O-] ZINC001032357880 667031025 /nfs/dbraw/zinc/03/10/25/667031025.db2.gz KAXHWHXFCJRFJX-AVGNSLFASA-N 0 2 320.393 0.892 20 0 DCADLN O=C([C@H]1CCC1(F)F)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032467842 667282051 /nfs/dbraw/zinc/28/20/51/667282051.db2.gz YVWVUNKAOXBMES-XHNCKOQMSA-N 0 2 313.308 0.341 20 0 DCADLN Cc1occc1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035285099 667288140 /nfs/dbraw/zinc/28/81/40/667288140.db2.gz XOQIUIMZBZSPGM-SNVBAGLBSA-N 0 2 321.337 0.043 20 0 DCADLN CC[C@H](C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCCN(C)C1=O)[NH+](C)C ZINC001032473727 667296823 /nfs/dbraw/zinc/29/68/23/667296823.db2.gz ADPHRVJWJNCILN-BYNSBNAKSA-N 0 2 322.453 0.233 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H]([C@H]2CCCO2)C1 ZINC000923159104 667465695 /nfs/dbraw/zinc/46/56/95/667465695.db2.gz NQAAYYPKSWUNTN-FRRDWIJNSA-N 0 2 307.350 0.754 20 0 DCADLN CN(CCN1CC2(C1)CCOCC2)S(=O)(=O)c1ncc[nH]1 ZINC000867859337 667511006 /nfs/dbraw/zinc/51/10/06/667511006.db2.gz KLXZVYFYRDBJIO-UHFFFAOYSA-N 0 2 314.411 0.143 20 0 DCADLN CN(CCC[N@@H+](C)Cc1ccncc1)C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC000868391068 667564551 /nfs/dbraw/zinc/56/45/51/667564551.db2.gz FXCHXZPGLDCACC-HNNXBMFYSA-N 0 2 317.393 0.819 20 0 DCADLN CC1(C)CC[C@@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)OC1 ZINC000869388366 667652600 /nfs/dbraw/zinc/65/26/00/667652600.db2.gz KIOCMKCIZITJLW-JTQLQIEISA-N 0 2 320.349 0.820 20 0 DCADLN COc1cccc([C@@H]2CN(C(=O)c3cc(=O)n(C)[n-]3)CC[NH2+]2)c1 ZINC000870153030 667715656 /nfs/dbraw/zinc/71/56/56/667715656.db2.gz GGHUWAZKYXOMNM-AWEZNQCLSA-N 0 2 316.361 0.921 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCO[C@H](C(F)F)CC2)S1 ZINC000870645469 667749787 /nfs/dbraw/zinc/74/97/87/667749787.db2.gz GXNBRAMFXCUDKO-NKWVEPMBSA-N 0 2 307.322 0.425 20 0 DCADLN CN(Cc1cccc(C(N)=O)c1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742568657 667793734 /nfs/dbraw/zinc/79/37/34/667793734.db2.gz CPBOTGCAUAZIOC-JTQLQIEISA-N 0 2 320.374 0.300 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCC(=O)Nc2ccccn2)S1 ZINC000742615836 667794920 /nfs/dbraw/zinc/79/49/20/667794920.db2.gz HVJKOMDDRSDOEH-QMMMGPOBSA-N 0 2 321.362 0.083 20 0 DCADLN CN(CC(=O)OC(C)(C)C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742608972 667794936 /nfs/dbraw/zinc/79/49/36/667794936.db2.gz LAKFCAQDUKKVNA-SSDOTTSWSA-N 0 2 301.368 0.343 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOC[C@@H]2c2ccco2)S1 ZINC000742684988 667797287 /nfs/dbraw/zinc/79/72/87/667797287.db2.gz LEIZTYAADVWTIU-PSASIEDQSA-N 0 2 309.347 0.736 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnn(CC(F)F)c2)S1 ZINC000742685415 667797342 /nfs/dbraw/zinc/79/73/42/667797342.db2.gz ORGAPTBHSIMTSA-ZCFIWIBFSA-N 0 2 303.294 0.643 20 0 DCADLN Cc1nc([C@@H]2CCCCN2C(=O)C[C@@H]2SC(=N)NC2=O)no1 ZINC000742692490 667797577 /nfs/dbraw/zinc/79/75/77/667797577.db2.gz LZBFWKFRUDBZNI-IUCAKERBSA-N 0 2 323.378 0.988 20 0 DCADLN COCCCn1ccc(NC(=O)CC2SC(=N)NC2=O)n1 ZINC000742684420 667797606 /nfs/dbraw/zinc/79/76/06/667797606.db2.gz ONJCIDUEIFPGBQ-QMMMGPOBSA-N 0 2 311.367 0.415 20 0 DCADLN Cn1ncc2c1CCC[C@@H]2NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000745427166 667847540 /nfs/dbraw/zinc/84/75/40/667847540.db2.gz DYFUPXPHLMVQCB-WPRPVWTQSA-N 0 2 307.379 0.470 20 0 DCADLN CC(C)OCCS(=O)(=O)Nc1cc2n(n1)CCN(C)C2 ZINC000872411608 667893735 /nfs/dbraw/zinc/89/37/35/667893735.db2.gz LRHRZGYUIVFNCV-UHFFFAOYSA-N 0 2 302.400 0.495 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@H](O)Cc1ccccc1 ZINC000747551932 667906507 /nfs/dbraw/zinc/90/65/07/667906507.db2.gz CMYYHRNYGNXPSH-WCQGTBRESA-N 0 2 321.402 0.651 20 0 DCADLN COc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)cc2c1O[C@H](C)C2 ZINC000748418345 667927462 /nfs/dbraw/zinc/92/74/62/667927462.db2.gz JARAQYNJYYYEFF-SSDOTTSWSA-N 0 2 304.306 0.772 20 0 DCADLN CONC(=O)c1sccc1S(=O)(=O)Nc1cnn(C)c1 ZINC000750715808 667985611 /nfs/dbraw/zinc/98/56/11/667985611.db2.gz CYPNIPGOVQBATJ-UHFFFAOYSA-N 0 2 316.364 0.574 20 0 DCADLN Cc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(=O)[nH]1 ZINC000754515408 668046740 /nfs/dbraw/zinc/04/67/40/668046740.db2.gz MUBRTCAAKXZNPI-UHFFFAOYSA-N 0 2 300.278 0.119 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc2cnncc21 ZINC000754590738 668047847 /nfs/dbraw/zinc/04/78/47/668047847.db2.gz RMQPRCWWDLRSOV-UHFFFAOYSA-N 0 2 321.300 0.653 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CC(=O)[N-]OCc1ccc(F)cc1 ZINC000754711564 668049927 /nfs/dbraw/zinc/04/99/27/668049927.db2.gz GKQDMNJNZGYVNK-UHFFFAOYSA-N 0 2 311.357 0.830 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)CC(=O)[N-]OCc1ccc(F)cc1 ZINC000754711564 668049928 /nfs/dbraw/zinc/04/99/28/668049928.db2.gz GKQDMNJNZGYVNK-UHFFFAOYSA-N 0 2 311.357 0.830 20 0 DCADLN CCc1nn(C)c(CC)c1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000755211072 668057992 /nfs/dbraw/zinc/05/79/92/668057992.db2.gz SOTDPMZQMKQKMQ-LLVKDONJSA-N 0 2 323.422 0.718 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)nc1 ZINC000758423787 668174346 /nfs/dbraw/zinc/17/43/46/668174346.db2.gz ALGMCMANSVFGIO-JTQLQIEISA-N 0 2 309.351 0.744 20 0 DCADLN Cn1nc(CNC(=O)C[C@H]2SC(=N)NC2=O)c2ccccc21 ZINC000760140494 668259035 /nfs/dbraw/zinc/25/90/35/668259035.db2.gz AYNUGOSXQCVDPQ-LLVKDONJSA-N 0 2 317.374 0.746 20 0 DCADLN C[NH+](C)C[C@@H]1NC(=O)N(C[C@@H]2c3ccccc3C[N@H+]2C)C1=O ZINC000925051431 668269166 /nfs/dbraw/zinc/26/91/66/668269166.db2.gz KYBVBNPFGTZLMA-UONOGXRCSA-N 0 2 302.378 0.655 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](O)c2ccccc2F)S1 ZINC000762240132 668352864 /nfs/dbraw/zinc/35/28/64/668352864.db2.gz AQBPLPMNQYOCLW-NXEZZACHSA-N 0 2 311.338 0.532 20 0 DCADLN C[C@H]1C[C@H](c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)CCO1 ZINC000762285012 668354614 /nfs/dbraw/zinc/35/46/14/668354614.db2.gz RXRBHYMCWRJQFM-DTWKUNHWSA-N 0 2 316.321 0.785 20 0 DCADLN COc1cccc([C@H](O)CNC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000762396952 668359806 /nfs/dbraw/zinc/35/98/06/668359806.db2.gz GSRDWGVAQTUYPZ-MNOVXSKESA-N 0 2 323.374 0.401 20 0 DCADLN CCN(CC(=O)NC)C(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000763805325 668423140 /nfs/dbraw/zinc/42/31/40/668423140.db2.gz KLKGZENFHMOCFS-UHFFFAOYSA-N 0 2 302.334 0.852 20 0 DCADLN CCN(CCCNC(=O)C(F)C(F)(F)F)S(C)(=O)=O ZINC000764418881 668446323 /nfs/dbraw/zinc/44/63/23/668446323.db2.gz VDZHNXPEBFZRHV-SSDOTTSWSA-N 0 2 308.297 0.675 20 0 DCADLN CCN(CCCNC(=O)[C@@H](F)C(F)(F)F)S(C)(=O)=O ZINC000764418881 668446325 /nfs/dbraw/zinc/44/63/25/668446325.db2.gz VDZHNXPEBFZRHV-SSDOTTSWSA-N 0 2 308.297 0.675 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2CCCCC23OCCO3)S1 ZINC000765192294 668480501 /nfs/dbraw/zinc/48/05/01/668480501.db2.gz AEJZKHFCGKAOOU-IUCAKERBSA-N 0 2 313.379 0.345 20 0 DCADLN CC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@@H](O)C(F)(F)F ZINC000765941091 668514410 /nfs/dbraw/zinc/51/44/10/668514410.db2.gz INWASASPUCUIIJ-HBPOCXIASA-N 0 2 313.301 0.361 20 0 DCADLN CO[C@@]1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCSC1 ZINC000928496689 668590862 /nfs/dbraw/zinc/59/08/62/668590862.db2.gz BRVFXIXGSCDYMK-RDDDGLTNSA-N 0 2 303.409 0.181 20 0 DCADLN CCOC(=O)CN(C(=O)C[C@H]1SC(=N)NC1=O)C1CCC1 ZINC000768608117 668616152 /nfs/dbraw/zinc/61/61/52/668616152.db2.gz XZDVYDDUNHKKJZ-SECBINFHSA-N 0 2 313.379 0.487 20 0 DCADLN Cc1sc(C(N)=O)cc1NC(=O)CC1SC(=N)NC1=O ZINC000769273848 668641522 /nfs/dbraw/zinc/64/15/22/668641522.db2.gz MJYDVHZOJHFHOU-ZETCQYMHSA-N 0 2 312.376 0.650 20 0 DCADLN Cc1cnc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000771955785 668760554 /nfs/dbraw/zinc/76/05/54/668760554.db2.gz CGLLMUHVZYEGSP-UHFFFAOYSA-N 0 2 305.319 0.580 20 0 DCADLN Cc1ccc2c(c1)C(=O)N(CC(=O)OCc1n[nH]c(=O)[nH]1)C2=O ZINC000774902382 668850309 /nfs/dbraw/zinc/85/03/09/668850309.db2.gz NTONLRBMOUQCLZ-UHFFFAOYSA-N 0 2 316.273 0.158 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1nc(N2CCCC2)ncc1Cl ZINC000774924745 668851594 /nfs/dbraw/zinc/85/15/94/668851594.db2.gz VBDNHORDZCDPNZ-UHFFFAOYSA-N 0 2 324.728 0.911 20 0 DCADLN Cc1c(C(=O)OCc2n[nH]c(=O)[nH]2)cnn1C1CCOCC1 ZINC000774991972 668853700 /nfs/dbraw/zinc/85/37/00/668853700.db2.gz LBLZNJOJUWXVLJ-UHFFFAOYSA-N 0 2 307.310 0.724 20 0 DCADLN CN1C(=O)CCc2cc(C(=O)OCc3n[nH]c(=O)[nH]3)ccc21 ZINC000774991727 668853796 /nfs/dbraw/zinc/85/37/96/668853796.db2.gz LSYMYZGTJOOGHS-UHFFFAOYSA-N 0 2 302.290 0.776 20 0 DCADLN C[C@H](CCO)C1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCC1 ZINC000775889181 668868897 /nfs/dbraw/zinc/86/88/97/668868897.db2.gz GQMZRIHIVYPSLH-NXEZZACHSA-N 0 2 313.423 0.848 20 0 DCADLN O=C(NN1CN=NC1=O)c1ccc(-c2cncnc2)cc1F ZINC000776184594 668872459 /nfs/dbraw/zinc/87/24/59/668872459.db2.gz JJAJTVAXEYSATI-UHFFFAOYSA-N 0 2 300.253 0.964 20 0 DCADLN O=C(Cc1csc2nccn12)NCCc1n[nH]c(=S)o1 ZINC000776537574 668877428 /nfs/dbraw/zinc/87/74/28/668877428.db2.gz PWAFWNJXAONLDF-UHFFFAOYSA-N 0 2 309.376 0.969 20 0 DCADLN O=C(NC[C@@H]1C[N@@H+]2CCC[C@@H]2CO1)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000777509013 668888076 /nfs/dbraw/zinc/88/80/76/668888076.db2.gz QWLRQMCJHFRMDX-MGPQQGTHSA-N 0 2 319.409 0.360 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2[C@@H]2CCCC2=O)S1 ZINC000778405406 668899122 /nfs/dbraw/zinc/89/91/22/668899122.db2.gz MNKIKWIYPDIRPQ-NGZCFLSTSA-N 0 2 309.391 0.903 20 0 DCADLN CN(C[C@@H]1CCCC[C@H]1O)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000778504230 668900419 /nfs/dbraw/zinc/90/04/19/668900419.db2.gz LJLNIHXJAZWPRC-CMPLNLGQSA-N 0 2 319.365 0.081 20 0 DCADLN CN(Cc1ncc(Cl)n1C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000779054491 668907324 /nfs/dbraw/zinc/90/73/24/668907324.db2.gz DWAUHYSFZCAXLM-ZCFIWIBFSA-N 0 2 315.786 0.588 20 0 DCADLN O=C([O-])[C@H]1CCCN1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000780696303 669005851 /nfs/dbraw/zinc/00/58/51/669005851.db2.gz MVCQNBZAJGMJAY-NWDGAFQWSA-N 0 2 304.350 0.919 20 0 DCADLN COc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)ccc1F ZINC000781578663 669051174 /nfs/dbraw/zinc/05/11/74/669051174.db2.gz JBMNLACUYQUFBA-UHFFFAOYSA-N 0 2 317.280 0.858 20 0 DCADLN C[C@@H]1C(=O)N[C@@H](C)[C@H](C)[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000930835230 669089914 /nfs/dbraw/zinc/08/99/14/669089914.db2.gz AHPWXLJTRDMDIO-RWMBFGLXSA-N 0 2 305.378 0.832 20 0 DCADLN C[C@@H]1C(=O)N[C@@H](C)[C@H](C)[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000930835230 669089919 /nfs/dbraw/zinc/08/99/19/669089919.db2.gz AHPWXLJTRDMDIO-RWMBFGLXSA-N 0 2 305.378 0.832 20 0 DCADLN CC1(C)C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000888807093 669188770 /nfs/dbraw/zinc/18/87/70/669188770.db2.gz ONJJZFLQPNOOPU-SECBINFHSA-N 0 2 321.406 0.862 20 0 DCADLN O=C(NCCS(=O)(=O)N1CCCC1)C(F)C(F)(F)F ZINC000888863723 669292775 /nfs/dbraw/zinc/29/27/75/669292775.db2.gz ZUCOPINPQJMEHC-ZETCQYMHSA-N 0 2 306.281 0.429 20 0 DCADLN O=C(NCCS(=O)(=O)N1CCCC1)[C@H](F)C(F)(F)F ZINC000888863723 669292780 /nfs/dbraw/zinc/29/27/80/669292780.db2.gz ZUCOPINPQJMEHC-ZETCQYMHSA-N 0 2 306.281 0.429 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)OCCn1cncn1 ZINC000786769530 669328743 /nfs/dbraw/zinc/32/87/43/669328743.db2.gz IZMYJPFFOWTXSH-QMMMGPOBSA-N 0 2 308.260 0.524 20 0 DCADLN O=C(CN1C(=O)COC1=O)C1(NC(=O)C(F)(F)F)CCCC1 ZINC000882276800 669492541 /nfs/dbraw/zinc/49/25/41/669492541.db2.gz OXNSZCCYXCYEEU-UHFFFAOYSA-N 0 2 322.239 0.526 20 0 DCADLN COCCCOCCOC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000789456489 669496463 /nfs/dbraw/zinc/49/64/63/669496463.db2.gz NWRPKPUVRBOREM-UHFFFAOYSA-N 0 2 323.349 0.470 20 0 DCADLN Cc1c(NS(=O)(=O)[C@H](C)C(=O)N(C)C)n[nH]c1C1CC1 ZINC000789734339 669506333 /nfs/dbraw/zinc/50/63/33/669506333.db2.gz IZXBUADVSOIMLF-MRVPVSSYSA-N 0 2 300.384 0.814 20 0 DCADLN CC(C)C[C@@]1(CO)CCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000789875403 669515561 /nfs/dbraw/zinc/51/55/61/669515561.db2.gz SNNQEGAEDQQGBM-QMTHXVAHSA-N 0 2 313.423 0.942 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2C[C@@H](O)Cc3ccccc32)S1 ZINC000790158024 669540776 /nfs/dbraw/zinc/54/07/76/669540776.db2.gz UEVBXALZIQHZLI-GXSJLCMTSA-N 0 2 305.359 0.493 20 0 DCADLN COC1(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCC1 ZINC000791375897 669606928 /nfs/dbraw/zinc/60/69/28/669606928.db2.gz RLDPJXSNTTURJM-UHFFFAOYSA-N 0 2 306.322 0.574 20 0 DCADLN CN(C)[S@](C)(=O)=NCC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000934751815 669635344 /nfs/dbraw/zinc/63/53/44/669635344.db2.gz LTTVZZSZXRPPNW-OAQYLSRUSA-N 0 2 316.431 0.297 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)C(F)(F)C1CCOCC1 ZINC000792360113 669662756 /nfs/dbraw/zinc/66/27/56/669662756.db2.gz QQFPMMSSKFMWDD-UHFFFAOYSA-N 0 2 304.297 0.621 20 0 DCADLN CS(=O)(=O)c1ccccc1CC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000792583758 669675646 /nfs/dbraw/zinc/67/56/46/669675646.db2.gz CYHZHWAAWSEVCR-UHFFFAOYSA-N 0 2 311.319 0.200 20 0 DCADLN O=C(NC1CCC(C(=O)OCc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC000795955726 669917207 /nfs/dbraw/zinc/91/72/07/669917207.db2.gz MCVBGFJNQWMEQE-UHFFFAOYSA-N 0 2 308.338 0.639 20 0 DCADLN CCc1cc(C(=O)N2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]n1 ZINC000950108423 669937526 /nfs/dbraw/zinc/93/75/26/669937526.db2.gz YSUWXRCBUDLIPC-SNVBAGLBSA-N 0 2 317.353 0.125 20 0 DCADLN O=c1[nH]nc(CN2C(=O)c3cccc(Cl)c3S2(=O)=O)[nH]1 ZINC000799317984 670054684 /nfs/dbraw/zinc/05/46/84/670054684.db2.gz GUPLPBYCCWUENC-UHFFFAOYSA-N 0 2 314.710 0.508 20 0 DCADLN CC[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1NC(=O)c1cn[nH]n1 ZINC000948304393 670094896 /nfs/dbraw/zinc/09/48/96/670094896.db2.gz UHJFUFTVCDSBKA-VHSXEESVSA-N 0 2 317.353 0.199 20 0 DCADLN CC[C@H]1CN(C(=O)c2cc[nH]n2)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000948304393 670094902 /nfs/dbraw/zinc/09/49/02/670094902.db2.gz UHJFUFTVCDSBKA-VHSXEESVSA-N 0 2 317.353 0.199 20 0 DCADLN O=C(O[C@H]1CCNC1=O)c1nn(-c2ccccc2F)cc1O ZINC000801382182 670126772 /nfs/dbraw/zinc/12/67/72/670126772.db2.gz SRUSQENOPVZVLK-NSHDSACASA-N 0 2 305.265 0.762 20 0 DCADLN Cn1nccc1[C@@H]1CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000801518403 670133837 /nfs/dbraw/zinc/13/38/37/670133837.db2.gz HBMOJSOIVUQELJ-KOLCDFICSA-N 0 2 321.406 0.683 20 0 DCADLN Cn1cc2c(n1)CCC[C@H]2NC(=O)C[C@H]1SC(=N)NC1=O ZINC000801584141 670135403 /nfs/dbraw/zinc/13/54/03/670135403.db2.gz BRGIZYJZNNDJIF-PSASIEDQSA-N 0 2 307.379 0.470 20 0 DCADLN CCN(C(=O)[C@@H]1CCC1(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951205180 670217043 /nfs/dbraw/zinc/21/70/43/670217043.db2.gz BYMFZFOXXJINBY-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN NC(=O)c1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)nc1Cl ZINC000806974559 670339845 /nfs/dbraw/zinc/33/98/45/670339845.db2.gz DFEBWAMQOZAUJE-UHFFFAOYSA-N 0 2 324.728 0.020 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cncnc1 ZINC000949528515 670362311 /nfs/dbraw/zinc/36/23/11/670362311.db2.gz VURWIQRRMLLLPX-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cncnc1 ZINC000949528515 670362313 /nfs/dbraw/zinc/36/23/13/670362313.db2.gz VURWIQRRMLLLPX-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN CCN(C(=O)[C@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949896369 670457652 /nfs/dbraw/zinc/45/76/52/670457652.db2.gz CEXKIYKDMYVWHD-LLVKDONJSA-N 0 2 309.370 0.112 20 0 DCADLN O=C(NCCS(=O)(=O)NCC1CCC1)C(F)C(F)(F)F ZINC000810375659 670482485 /nfs/dbraw/zinc/48/24/85/670482485.db2.gz DDGDDAHSPRIAOR-QMMMGPOBSA-N 0 2 320.308 0.723 20 0 DCADLN O=C(NCCS(=O)(=O)NCC1CCC1)[C@H](F)C(F)(F)F ZINC000810375659 670482487 /nfs/dbraw/zinc/48/24/87/670482487.db2.gz DDGDDAHSPRIAOR-QMMMGPOBSA-N 0 2 320.308 0.723 20 0 DCADLN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC000811522819 670533049 /nfs/dbraw/zinc/53/30/49/670533049.db2.gz GOMPSNGDCCVSDA-SFYZADRCSA-N 0 2 320.308 0.817 20 0 DCADLN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC000811522819 670533055 /nfs/dbraw/zinc/53/30/55/670533055.db2.gz GOMPSNGDCCVSDA-SFYZADRCSA-N 0 2 320.308 0.817 20 0 DCADLN COC(=O)c1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)nc1 ZINC000848031935 670623338 /nfs/dbraw/zinc/62/33/38/670623338.db2.gz XHEVIHFSZSMORJ-SECBINFHSA-N 0 2 322.346 0.041 20 0 DCADLN CCCCOC(=O)C(C)(C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000848328621 670670026 /nfs/dbraw/zinc/67/00/26/670670026.db2.gz OHAGWFXDUKPGIN-MRVPVSSYSA-N 0 2 315.395 0.781 20 0 DCADLN Cn1cc(Br)c(C(=O)OCc2n[nH]c(=O)[nH]2)n1 ZINC000814598840 670698369 /nfs/dbraw/zinc/69/83/69/670698369.db2.gz INBDGODKTXMJFB-UHFFFAOYSA-N 0 2 302.088 0.363 20 0 DCADLN COCc1nnc(CNC(=O)c2cc3c(cc2O)OCO3)[nH]1 ZINC000855518991 670728218 /nfs/dbraw/zinc/72/82/18/670728218.db2.gz WJBIADSCYCVFGC-UHFFFAOYSA-N 0 2 306.278 0.315 20 0 DCADLN COC(=O)[C@@H](O)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000815849263 670767333 /nfs/dbraw/zinc/76/73/33/670767333.db2.gz KKJUSSGRPJZBSV-JGVFFNPUSA-N 0 2 301.236 0.659 20 0 DCADLN COC(=O)[C@@H](O)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000815849263 670767339 /nfs/dbraw/zinc/76/73/39/670767339.db2.gz KKJUSSGRPJZBSV-JGVFFNPUSA-N 0 2 301.236 0.659 20 0 DCADLN CCn1ncn(NC(=O)c2cnc(-c3cnn(C)c3)s2)c1=O ZINC000816737832 670854386 /nfs/dbraw/zinc/85/43/86/670854386.db2.gz DQODKBVHFAWRRN-UHFFFAOYSA-N 0 2 319.350 0.306 20 0 DCADLN CO[C@@](C)(C(=O)OCc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000850126806 670883415 /nfs/dbraw/zinc/88/34/15/670883415.db2.gz QEFSLBJDCFQMFX-LBPRGKRZSA-N 0 2 301.299 0.300 20 0 DCADLN C[C@@]1(C(=O)NCc2n[nH]c(=O)[nH]2)CC(c2ccccc2)=NO1 ZINC000857144245 670888789 /nfs/dbraw/zinc/88/87/89/670888789.db2.gz IUTHKNDROKMAKD-AWEZNQCLSA-N 0 2 301.306 0.710 20 0 DCADLN CCC[C@H](C)C(=O)NCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000817225775 670906363 /nfs/dbraw/zinc/90/63/63/670906363.db2.gz UFBXOHNZFPNIJL-DTWKUNHWSA-N 0 2 314.411 0.211 20 0 DCADLN CCC[C@H](C)C(=O)NCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000817225776 670906467 /nfs/dbraw/zinc/90/64/67/670906467.db2.gz UFBXOHNZFPNIJL-IUCAKERBSA-N 0 2 314.411 0.211 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[N-]OCC1CC1 ZINC000817344726 670912412 /nfs/dbraw/zinc/91/24/12/670912412.db2.gz ROFRNNBQVQVYGH-ZDUSSCGKSA-N 0 2 308.382 0.941 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[N-]OCC1CC1 ZINC000817344726 670912416 /nfs/dbraw/zinc/91/24/16/670912416.db2.gz ROFRNNBQVQVYGH-ZDUSSCGKSA-N 0 2 308.382 0.941 20 0 DCADLN CC(C)(C)OC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1F ZINC000852739276 671153941 /nfs/dbraw/zinc/15/39/41/671153941.db2.gz RAINMFHFGISSGC-RKDXNWHRSA-N 0 2 315.349 0.947 20 0 DCADLN COc1cccc([S@](=O)CC(=O)OCCc2cnn[nH]2)c1 ZINC000820111340 671165897 /nfs/dbraw/zinc/16/58/97/671165897.db2.gz QJNHFNMNMKJEFR-OAQYLSRUSA-N 0 2 309.347 0.707 20 0 DCADLN COC(OC)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000822026447 671303674 /nfs/dbraw/zinc/30/36/74/671303674.db2.gz WUYPRRVRINLEPC-UHFFFAOYSA-N 0 2 306.322 0.619 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@H+](CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)C1 ZINC000827890957 671538990 /nfs/dbraw/zinc/53/89/90/671538990.db2.gz XUGBSMVCIXZLQC-SDDRHHMPSA-N 0 2 307.350 0.579 20 0 DCADLN CN(C(=O)[O-])[C@H]1CC[N@@H+](CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)C1 ZINC000827890957 671538991 /nfs/dbraw/zinc/53/89/91/671538991.db2.gz XUGBSMVCIXZLQC-SDDRHHMPSA-N 0 2 307.350 0.579 20 0 DCADLN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2cnsn2)C1 ZINC000830827000 671642526 /nfs/dbraw/zinc/64/25/26/671642526.db2.gz IXHNFNIAGHBDFH-VIFPVBQESA-N 0 2 308.285 0.821 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@@H+]2CC[C@H](Oc3ccccn3)C2)C1 ZINC000834157984 671752131 /nfs/dbraw/zinc/75/21/31/671752131.db2.gz NTIXQBDGSORXFJ-NEPJUHHUSA-N 0 2 320.349 0.361 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@H+]2CC[C@H](Oc3ccccn3)C2)C1 ZINC000834157984 671752132 /nfs/dbraw/zinc/75/21/32/671752132.db2.gz NTIXQBDGSORXFJ-NEPJUHHUSA-N 0 2 320.349 0.361 20 0 DCADLN CCN(C)C(=O)[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(C)C ZINC000836793856 671832052 /nfs/dbraw/zinc/83/20/52/671832052.db2.gz GHVHYQOWGZIWAF-WPRPVWTQSA-N 0 2 314.411 0.162 20 0 DCADLN O=C([C@@H](O)c1cccnc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843878107 672126668 /nfs/dbraw/zinc/12/66/68/672126668.db2.gz LMGOSXNTULUHAD-QWRGUYRKSA-N 0 2 303.322 0.345 20 0 DCADLN Cn1cc(C=CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000843874775 672126877 /nfs/dbraw/zinc/12/68/77/672126877.db2.gz HJUHIJIJYFMYDD-NSCUHMNNSA-N 0 2 302.338 0.663 20 0 DCADLN COC(=O)CCCS(=O)(=O)[N-]C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845470292 672250837 /nfs/dbraw/zinc/25/08/37/672250837.db2.gz AUJFIFRTKCJDBT-SNVBAGLBSA-N 0 2 317.367 0.233 20 0 DCADLN COCC1(S(=O)(=O)[N-]C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000845578860 672264606 /nfs/dbraw/zinc/26/46/06/672264606.db2.gz MDHPBQXGHFIMRS-JTQLQIEISA-N 0 2 301.368 0.459 20 0 DCADLN Cc1c(S(=O)(=O)[N-]C(=O)C[C@H](C)n2cc[nH+]c2)cnn1C ZINC000845576728 672264645 /nfs/dbraw/zinc/26/46/45/672264645.db2.gz QXIICQIKRALJHX-VIFPVBQESA-N 0 2 311.367 0.381 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)c1ccc(F)cn1)n1cc[nH+]c1 ZINC000845595966 672265602 /nfs/dbraw/zinc/26/56/02/672265602.db2.gz XTXKFINDBSQKQU-SECBINFHSA-N 0 2 312.326 0.873 20 0 DCADLN CC(C)[C@H](CNC(=O)CSCC(=O)[O-])[NH+]1CCOCC1 ZINC000738779421 685326201 /nfs/dbraw/zinc/32/62/01/685326201.db2.gz WTWZTYXCRLRBPF-NSHDSACASA-N 0 2 304.412 0.277 20 0 DCADLN CC(C)[C@@H](CNC(=O)CSCC(=O)[O-])[NH+]1CCOCC1 ZINC000738779419 685326254 /nfs/dbraw/zinc/32/62/54/685326254.db2.gz WTWZTYXCRLRBPF-LLVKDONJSA-N 0 2 304.412 0.277 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC[C@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)O1 ZINC001024663790 693816745 /nfs/dbraw/zinc/81/67/45/693816745.db2.gz LFCIWCYIWXFLBU-IJLUTSLNSA-N 0 2 323.397 0.549 20 0 DCADLN O=C(c1ncccc1Cl)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957396138 687050815 /nfs/dbraw/zinc/05/08/15/687050815.db2.gz USNVRNDNOBKXRZ-UHFFFAOYSA-N 0 2 322.756 0.517 20 0 DCADLN CO[C@H]1CCCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957865941 687229535 /nfs/dbraw/zinc/22/95/35/687229535.db2.gz OBGZNEDQGDEEMU-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cscn4)C[C@H]32)c1[O-] ZINC000958587538 687776265 /nfs/dbraw/zinc/77/62/65/687776265.db2.gz RUEHDYCJTWZLRD-URLYPYJESA-N 0 2 319.390 0.740 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cscn4)C[C@H]32)c1[O-] ZINC000958587538 687776271 /nfs/dbraw/zinc/77/62/71/687776271.db2.gz RUEHDYCJTWZLRD-URLYPYJESA-N 0 2 319.390 0.740 20 0 DCADLN CCc1ccoc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038674885 694157641 /nfs/dbraw/zinc/15/76/41/694157641.db2.gz UWRQWYAJMOZXOI-SNVBAGLBSA-N 0 2 305.338 0.670 20 0 DCADLN O=C(CC1CC1)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000961152055 688554613 /nfs/dbraw/zinc/55/46/13/688554613.db2.gz HCXLYVSXTAZIQW-KHQFGBGNSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(CC1CC1)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC000961152055 688554614 /nfs/dbraw/zinc/55/46/14/688554614.db2.gz HCXLYVSXTAZIQW-KHQFGBGNSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1c[nH]nc1C1CC1 ZINC001038865807 694222816 /nfs/dbraw/zinc/22/28/16/694222816.db2.gz IYYDRCLFYNCIOO-VIFPVBQESA-N 0 2 317.353 0.115 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)cc1 ZINC000962368793 688895808 /nfs/dbraw/zinc/89/58/08/688895808.db2.gz HOMUSTPJLFLTET-IMRBUKKESA-N 0 2 313.361 0.679 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)cc1 ZINC000962368793 688895810 /nfs/dbraw/zinc/89/58/10/688895810.db2.gz HOMUSTPJLFLTET-IMRBUKKESA-N 0 2 313.361 0.679 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCCC1 ZINC000965895374 689571855 /nfs/dbraw/zinc/57/18/55/689571855.db2.gz VHWJUTIKQMFULD-JQWIXIFHSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCCC1 ZINC000965895374 689571857 /nfs/dbraw/zinc/57/18/57/689571857.db2.gz VHWJUTIKQMFULD-JQWIXIFHSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccoc1 ZINC000965932079 689585557 /nfs/dbraw/zinc/58/55/57/689585557.db2.gz SHNMJEHCAYHTCZ-JQWIXIFHSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccoc1 ZINC000965932079 689585564 /nfs/dbraw/zinc/58/55/64/689585564.db2.gz SHNMJEHCAYHTCZ-JQWIXIFHSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccsn1 ZINC000966899185 689898193 /nfs/dbraw/zinc/89/81/93/689898193.db2.gz CLZDQDDBTNHRNS-WPRPVWTQSA-N 0 2 322.394 0.607 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1csnn1 ZINC000967500573 690018184 /nfs/dbraw/zinc/01/81/84/690018184.db2.gz SIVPMAYFASMUQK-YUMQZZPRSA-N 0 2 323.382 0.002 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000968176972 690191014 /nfs/dbraw/zinc/19/10/14/690191014.db2.gz PIXGSUDFWJXXCF-GZMMTYOYSA-N 0 2 320.353 0.447 20 0 DCADLN CCn1ncnc1C[NH2+][C@@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000968432349 690265882 /nfs/dbraw/zinc/26/58/82/690265882.db2.gz HAOPYZBKNACWET-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccc[nH]1 ZINC000968672634 690362598 /nfs/dbraw/zinc/36/25/98/690362598.db2.gz FGBIVUMKMFCGRG-CMPLNLGQSA-N 0 2 318.381 0.408 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccc[nH]1 ZINC000968672634 690362602 /nfs/dbraw/zinc/36/26/02/690362602.db2.gz FGBIVUMKMFCGRG-CMPLNLGQSA-N 0 2 318.381 0.408 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000968711177 690375102 /nfs/dbraw/zinc/37/51/02/690375102.db2.gz WNEGGJYHNAHZOW-WCBMZHEXSA-N 0 2 319.369 0.182 20 0 DCADLN C[C@]1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCOC1 ZINC001008999438 690970791 /nfs/dbraw/zinc/97/07/91/690970791.db2.gz BLNPDCUUZAUVBQ-HZMBPMFUSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(=O)[nH]c1 ZINC001009411619 691037629 /nfs/dbraw/zinc/03/76/29/691037629.db2.gz UZBKXISLIYXTEM-SNVBAGLBSA-N 0 2 318.337 0.005 20 0 DCADLN Cc1ccsc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009941901 691135011 /nfs/dbraw/zinc/13/50/11/691135011.db2.gz KDKVILSIQRJURK-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncc(F)cc1F ZINC001014951171 692140310 /nfs/dbraw/zinc/14/03/10/692140310.db2.gz MYEFTMOBHMMQEH-MRVPVSSYSA-N 0 2 324.291 0.188 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncc(F)cc1F ZINC001014951172 692140464 /nfs/dbraw/zinc/14/04/64/692140464.db2.gz MYEFTMOBHMMQEH-QMMMGPOBSA-N 0 2 324.291 0.188 20 0 DCADLN CCc1nnc([C@@H](C)N2CC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001015066506 692179223 /nfs/dbraw/zinc/17/92/23/692179223.db2.gz JLNODNRFRACEQE-BDAKNGLRSA-N 0 2 304.358 0.051 20 0 DCADLN COCc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015191123 692222156 /nfs/dbraw/zinc/22/21/56/692222156.db2.gz ZMBSHFFTMJCCED-SECBINFHSA-N 0 2 321.337 0.254 20 0 DCADLN CC1(C)CO[C@H](CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001015240949 692237054 /nfs/dbraw/zinc/23/70/54/692237054.db2.gz IYWRBWMBOMEWNP-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1ccc([C@H](C)C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015805473 692450433 /nfs/dbraw/zinc/45/04/33/692450433.db2.gz HUALJJAYZWBDRL-QWRGUYRKSA-N 0 2 319.365 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ncoc1C1CC1 ZINC001015945930 692517293 /nfs/dbraw/zinc/51/72/93/692517293.db2.gz PAVWAWGIAJHBNL-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN CO[C@H](C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnn[nH]1 ZINC001017965415 693020003 /nfs/dbraw/zinc/02/00/03/693020003.db2.gz JWTRULDINFMPHK-BNOWGMLFSA-N 0 2 307.398 0.447 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC001018724160 693207907 /nfs/dbraw/zinc/20/79/07/693207907.db2.gz RWFLQHYCRJNAJH-SCZZXKLOSA-N 0 2 319.369 0.416 20 0 DCADLN CS[C@@H](C)C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075573294 694960063 /nfs/dbraw/zinc/96/00/63/694960063.db2.gz IHNNDUIUTCYTBB-LPEHRKFASA-N 0 2 311.411 0.295 20 0 DCADLN O=C(C[C@@H]1CCCO1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075576767 694960318 /nfs/dbraw/zinc/96/03/18/694960318.db2.gz FOGSQDNBKUHUGK-SDDRHHMPSA-N 0 2 321.381 0.112 20 0 DCADLN O=C(C[C@@H]1CCCO1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075576767 694960319 /nfs/dbraw/zinc/96/03/19/694960319.db2.gz FOGSQDNBKUHUGK-SDDRHHMPSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@H](C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CCC1 ZINC001075934370 694987229 /nfs/dbraw/zinc/98/72/29/694987229.db2.gz IJMCMIWKCGRVGR-WCFLWFBJSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@H](C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1CCC1 ZINC001075934370 694987230 /nfs/dbraw/zinc/98/72/30/694987230.db2.gz IJMCMIWKCGRVGR-WCFLWFBJSA-N 0 2 319.409 0.979 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076265721 695010198 /nfs/dbraw/zinc/01/01/98/695010198.db2.gz NKVVDHSBWVCNMW-WRWGMCAJSA-N 0 2 305.382 0.589 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076265721 695010199 /nfs/dbraw/zinc/01/01/99/695010199.db2.gz NKVVDHSBWVCNMW-WRWGMCAJSA-N 0 2 305.382 0.589 20 0 DCADLN O=C(Cc1ccco1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076382808 695018486 /nfs/dbraw/zinc/01/84/86/695018486.db2.gz IOYKKIWCYUBCOW-CMPLNLGQSA-N 0 2 317.349 0.379 20 0 DCADLN O=C(Cc1ccco1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076382808 695018487 /nfs/dbraw/zinc/01/84/87/695018487.db2.gz IOYKKIWCYUBCOW-CMPLNLGQSA-N 0 2 317.349 0.379 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076856734 695077715 /nfs/dbraw/zinc/07/77/15/695077715.db2.gz CNORITIUXOECSX-DJLDLDEBSA-N 0 2 316.295 0.915 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001076856734 695077719 /nfs/dbraw/zinc/07/77/19/695077719.db2.gz CNORITIUXOECSX-DJLDLDEBSA-N 0 2 316.295 0.915 20 0 DCADLN COCCC(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001447388682 1159630194 /nfs/dbraw/zinc/63/01/94/1159630194.db2.gz VFPGYSDAGCHIJX-ZKWXMUAHSA-N 0 2 300.252 0.687 20 0 DCADLN COCCC(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447388682 1159630188 /nfs/dbraw/zinc/63/01/88/1159630188.db2.gz VFPGYSDAGCHIJX-ZKWXMUAHSA-N 0 2 300.252 0.687 20 0 DCADLN O=C(Cc1nc[nH]n1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447555242 1159659409 /nfs/dbraw/zinc/65/94/09/1159659409.db2.gz WDJFTDRGABIMIF-QIOHGKGESA-N 0 2 323.250 0.011 20 0 DCADLN CO[C@H]1CCCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001466099595 1159769264 /nfs/dbraw/zinc/76/92/64/1159769264.db2.gz SHGZJFXSNMCODM-LBPRGKRZSA-N 0 2 318.333 0.517 20 0 DCADLN CC(C)C[N@@H+]1CCC[C@@H]1C(=O)N[C@@H](C)c1nc(C(=O)[O-])n[nH]1 ZINC001573516623 1163457332 /nfs/dbraw/zinc/45/73/32/1163457332.db2.gz ZOUYOZPBTJDOQA-VHSXEESVSA-N 0 2 309.370 0.801 20 0 DCADLN CC(C)C[N@H+]1CCC[C@@H]1C(=O)N[C@@H](C)c1nc(C(=O)[O-])n[nH]1 ZINC001573516623 1163457337 /nfs/dbraw/zinc/45/73/37/1163457337.db2.gz ZOUYOZPBTJDOQA-VHSXEESVSA-N 0 2 309.370 0.801 20 0 DCADLN C[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)c1nc(C(=O)[O-])n[nH]1 ZINC001573569504 1163505135 /nfs/dbraw/zinc/50/51/35/1163505135.db2.gz ZVZZXTFVPGIYSK-XLPZGREQSA-N 0 2 304.310 0.217 20 0 DCADLN CCC(CC)[C@@H](C(=O)N[C@@H](CO)CC(=O)[O-])[NH+]1CCOCC1 ZINC001573700088 1163538955 /nfs/dbraw/zinc/53/89/55/1163538955.db2.gz RKDCLIUGUDYCIQ-OCCSQVGLSA-N 0 2 316.398 0.075 20 0 DCADLN CC[C@H]1C[N@H+](Cc2cc(=O)n3[nH]ccc3n2)C[C@]1(F)C(=O)[O-] ZINC001573824037 1163561577 /nfs/dbraw/zinc/56/15/77/1163561577.db2.gz GYNWWTBBVBGOJB-LKFCYVNXSA-N 0 2 308.313 0.657 20 0 DCADLN CC[C@H]1C[N@@H+](Cc2cc(=O)n3[nH]ccc3n2)C[C@]1(F)C(=O)[O-] ZINC001573824037 1163561585 /nfs/dbraw/zinc/56/15/85/1163561585.db2.gz GYNWWTBBVBGOJB-LKFCYVNXSA-N 0 2 308.313 0.657 20 0 DCADLN CCn1cc(C(=O)[O-])c(NC(=O)[C@H]2CCc3c[nH+]cn3C2)n1 ZINC001573844826 1163565234 /nfs/dbraw/zinc/56/52/34/1163565234.db2.gz OYRMEMRDVWKGPE-VIFPVBQESA-N 0 2 303.322 0.999 20 0 DCADLN Cc1[nH]c(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)c(C)c1C(=O)[O-] ZINC001574111003 1163638236 /nfs/dbraw/zinc/63/82/36/1163638236.db2.gz BKBGMTDKSYCFSR-LLVKDONJSA-N 0 2 317.349 0.840 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@H](C(=O)[O-])[C@@H](O)c1cccnc1 ZINC001574220750 1163668314 /nfs/dbraw/zinc/66/83/14/1163668314.db2.gz HFKWPFLOZBOUCU-KBPBESRZSA-N 0 2 318.333 0.051 20 0 DCADLN O=C([O-])N1C[C@@H](CO)[C@@H](NC(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC001574341663 1163698892 /nfs/dbraw/zinc/69/88/92/1163698892.db2.gz GCZJTFRAQTYGOO-ONGXEEELSA-N 0 2 319.321 0.427 20 0 DCADLN Cn1cc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001574945854 1163812136 /nfs/dbraw/zinc/81/21/36/1163812136.db2.gz BGPAVLWAYDUFSH-VIFPVBQESA-N 0 2 310.251 0.095 20 0 DCADLN Cn1cc(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001574945854 1163812140 /nfs/dbraw/zinc/81/21/40/1163812140.db2.gz BGPAVLWAYDUFSH-VIFPVBQESA-N 0 2 310.251 0.095 20 0 DCADLN COCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCOCc1c[nH]nn1 ZINC001577007191 1164581228 /nfs/dbraw/zinc/58/12/28/1164581228.db2.gz DQILWAFNRGZNNW-OKILXGFUSA-N 0 2 323.397 0.033 20 0 DCADLN CCC[C@H](NC(=O)c1cc(-c2nn[nH]n2)ccn1)c1nn[nH]n1 ZINC001577327056 1164670547 /nfs/dbraw/zinc/67/05/47/1164670547.db2.gz YSZGSZRSVVEJBW-QMMMGPOBSA-N 0 2 314.313 0.046 20 0 DCADLN CCOC(=O)N1CC[C@H](NC(=O)c2cc3n(n2)C[C@@H](C)NC3)C1 ZINC001577967044 1164867263 /nfs/dbraw/zinc/86/72/63/1164867263.db2.gz GGCPJYVZJPFUAT-MNOVXSKESA-N 0 2 321.381 0.335 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCC1)c1ccc(-c2c[nH]nn2)cn1 ZINC001577977602 1164874626 /nfs/dbraw/zinc/87/46/26/1164874626.db2.gz YGRYSKURSMWKES-UHFFFAOYSA-N 0 2 311.309 0.189 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCc2cnoc2)c1 ZINC001579477622 1165326491 /nfs/dbraw/zinc/32/64/91/1165326491.db2.gz VTOAFPSRIUOAFH-UHFFFAOYSA-N 0 2 316.277 0.046 20 0 DCADLN COc1ccc(NC(=O)CCc2cnn[nH]2)cc1-c1nn[nH]n1 ZINC001580037235 1165481021 /nfs/dbraw/zinc/48/10/21/1165481021.db2.gz PODNKQRLMAYRTF-UHFFFAOYSA-N 0 2 314.309 0.565 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](C)C1(CO)CC1 ZINC001580600930 1165651665 /nfs/dbraw/zinc/65/16/65/1165651665.db2.gz RLCAUMFRXSMYKW-QMMMGPOBSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](O)C1(C)C ZINC001580600452 1165651698 /nfs/dbraw/zinc/65/16/98/1165651698.db2.gz DJMJFTUVCNMMEI-DTWKUNHWSA-N 0 2 318.337 0.165 20 0 DCADLN Cc1coc(C(=O)N[C@@](C)(CNC(=O)CC(N)=O)C2CC2)c1 ZINC001582656366 1166063577 /nfs/dbraw/zinc/06/35/77/1166063577.db2.gz PHHIHUOSGDEHEX-HNNXBMFYSA-N 0 2 307.350 0.478 20 0 DCADLN CC(C)(O)CONC(=O)CSc1ccc(-c2nn[nH]n2)cc1 ZINC001589338730 1166649176 /nfs/dbraw/zinc/64/91/76/1166649176.db2.gz LFBSBEVYKUIZQF-UHFFFAOYSA-N 0 2 323.378 0.778 20 0 DCADLN CC(C)C[C@H]([NH3+])c1noc([C@@H](C)n2cnc(-c3nn[n-]n3)n2)n1 ZINC001589563041 1166667111 /nfs/dbraw/zinc/66/71/11/1166667111.db2.gz RTSZNEYLAKKPDI-SFYZADRCSA-N 0 2 318.345 0.496 20 0 DCADLN CC(C)O[C@@]1(Cn2cnc(-c3nn[nH]n3)cc2=O)CCOC1 ZINC001589736929 1166680615 /nfs/dbraw/zinc/68/06/15/1166680615.db2.gz FADIXNPACRIMLO-CYBMUJFWSA-N 0 2 306.326 0.008 20 0 DCADLN C[C@@H](Cn1cnc2ncc(-c3nn[nH]n3)c(=O)n21)C(C)(C)C ZINC001589903813 1166714449 /nfs/dbraw/zinc/71/44/49/1166714449.db2.gz JMKSBZIBMAISSB-QMMMGPOBSA-N 0 2 302.342 0.753 20 0 DCADLN C[C@@](O)(CNCc1nc(-c2nn[nH]n2)cs1)c1ccco1 ZINC001589992285 1166738075 /nfs/dbraw/zinc/73/80/75/1166738075.db2.gz XKTRLGYKDUVMOO-GFCCVEGCSA-N 0 2 306.351 0.914 20 0 DCADLN CC[C@@H](C)[C@@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)OC ZINC001590398433 1166898536 /nfs/dbraw/zinc/89/85/36/1166898536.db2.gz BLEXUQZFCYZZSU-HTQZYQBOSA-N 0 2 323.357 0.139 20 0 DCADLN CC[C@H](NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)[C@H](C)O ZINC001590457520 1166915947 /nfs/dbraw/zinc/91/59/47/1166915947.db2.gz REASBEMLPNPFQQ-CBAPKCEASA-N 0 2 306.326 0.165 20 0 DCADLN CC[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)[C@H]1CCCO1 ZINC001590472596 1166916784 /nfs/dbraw/zinc/91/67/84/1166916784.db2.gz UTPYZTWGRKTWSL-HTQZYQBOSA-N 0 2 321.341 0.036 20 0 DCADLN CCc1ncc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)o1 ZINC001590924383 1167051179 /nfs/dbraw/zinc/05/11/79/1167051179.db2.gz BQBRAXJKRCUGDH-UHFFFAOYSA-N 0 2 301.310 0.639 20 0 DCADLN CO[C@@H](C)c1noc(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)n1 ZINC001591324995 1167214399 /nfs/dbraw/zinc/21/43/99/1167214399.db2.gz IFWPFJQVJOFFJT-QMMMGPOBSA-N 0 2 317.309 0.476 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CC3(CCC3)C(=O)O2)c1 ZINC001591836613 1167390992 /nfs/dbraw/zinc/39/09/92/1167390992.db2.gz DHHCMFNQACYDMR-JTQLQIEISA-N 0 2 315.333 0.823 20 0 DCADLN Cc1nc(NCc2n[nH]c(C(C)C)n2)cc(-c2nn[nH]n2)n1 ZINC001592393709 1167512466 /nfs/dbraw/zinc/51/24/66/1167512466.db2.gz HWZVKQQTZYZVRO-UHFFFAOYSA-N 0 2 300.330 0.819 20 0 DCADLN Cc1nccnc1Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001592420140 1167516484 /nfs/dbraw/zinc/51/64/84/1167516484.db2.gz RSLRINAWCKLKCE-UHFFFAOYSA-N 0 2 309.333 0.664 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(CCO)CC(F)F ZINC001592495392 1167539501 /nfs/dbraw/zinc/53/95/01/1167539501.db2.gz NEEONOHLDBPPCO-UHFFFAOYSA-N 0 2 301.253 0.468 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCNC(=O)[C@H]1C(C)C ZINC001592499934 1167539976 /nfs/dbraw/zinc/53/99/76/1167539976.db2.gz RZTHMXKMNSVRSL-LLVKDONJSA-N 0 2 318.337 0.365 20 0 DCADLN Cn1c(=O)[nH]c(NCCCCC2CC2)c(-c2nn[nH]n2)c1=O ZINC001592546286 1167552557 /nfs/dbraw/zinc/55/25/57/1167552557.db2.gz LXSUVKZEGNTJHH-UHFFFAOYSA-N 0 2 305.342 0.658 20 0 DCADLN Cn1c(Cn2cncc(-c3nn[nH]n3)c2=O)nc2ccccc21 ZINC001592554238 1167558710 /nfs/dbraw/zinc/55/87/10/1167558710.db2.gz XBFXSZBSJRKNSL-UHFFFAOYSA-N 0 2 308.305 0.358 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1cnc(Cl)c(F)c1 ZINC001593494657 1167876935 /nfs/dbraw/zinc/87/69/35/1167876935.db2.gz NPUHLHZELQUHIK-UHFFFAOYSA-N 0 2 307.676 0.659 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cccc(C(=O)[O-])n1 ZINC001598865392 1168053631 /nfs/dbraw/zinc/05/36/31/1168053631.db2.gz QXTQPCFZAACRML-LBPRGKRZSA-N 0 2 321.333 0.033 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1cccc(C(=O)[O-])n1 ZINC001598865392 1168053640 /nfs/dbraw/zinc/05/36/40/1168053640.db2.gz QXTQPCFZAACRML-LBPRGKRZSA-N 0 2 321.333 0.033 20 0 DCADLN Cc1cc(C[N@H+](CCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])C2CC2)nn1C ZINC001600189888 1168134448 /nfs/dbraw/zinc/13/44/48/1168134448.db2.gz AYKOIGPFHYMTNU-ZIAGYGMSSA-N 0 2 320.393 0.530 20 0 DCADLN Cc1cc(C[N@@H+](CCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])C2CC2)nn1C ZINC001600189888 1168134452 /nfs/dbraw/zinc/13/44/52/1168134452.db2.gz AYKOIGPFHYMTNU-ZIAGYGMSSA-N 0 2 320.393 0.530 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@@H+]3CCC[C@H](O)[C@@H]3C(=O)[O-])nc12 ZINC001600588942 1168203172 /nfs/dbraw/zinc/20/31/72/1168203172.db2.gz QBVAWDSBUCHTJO-GXTWGEPZSA-N 0 2 317.345 0.413 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@H+]3CCC[C@H](O)[C@@H]3C(=O)[O-])nc12 ZINC001600588942 1168203177 /nfs/dbraw/zinc/20/31/77/1168203177.db2.gz QBVAWDSBUCHTJO-GXTWGEPZSA-N 0 2 317.345 0.413 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@H+]3C[C@H](C)O[C@H](C(=O)[O-])C3)nc12 ZINC001600588923 1168204100 /nfs/dbraw/zinc/20/41/00/1168204100.db2.gz PKIAVXSNCYANTE-AAEUAGOBSA-N 0 2 317.345 0.677 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@@H+]3C[C@H](C)O[C@H](C(=O)[O-])C3)nc12 ZINC001600588923 1168204110 /nfs/dbraw/zinc/20/41/10/1168204110.db2.gz PKIAVXSNCYANTE-AAEUAGOBSA-N 0 2 317.345 0.677 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CCOC[C@@H]3CC(=O)[O-])ccn12 ZINC001600626326 1168217462 /nfs/dbraw/zinc/21/74/62/1168217462.db2.gz HXHZMHSQOLVVJC-LBPRGKRZSA-N 0 2 303.318 0.958 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N[C@@H](C(=O)[O-])c3ccn(C)n3)ccn12 ZINC001600626834 1168219813 /nfs/dbraw/zinc/21/98/13/1168219813.db2.gz PVVIYVQOVNPUGO-CYBMUJFWSA-N 0 2 313.317 0.932 20 0 DCADLN Cc1csc(CCC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001600657616 1168230529 /nfs/dbraw/zinc/23/05/29/1168230529.db2.gz GKVRDCUBXWSEKE-SNVBAGLBSA-N 0 2 308.363 0.850 20 0 DCADLN Cc1[nH+]cc2n1CC[C@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)[O-])C2 ZINC001600749066 1168275685 /nfs/dbraw/zinc/27/56/85/1168275685.db2.gz IFEFKWOBCPQQOL-GWCFXTLKSA-N 0 2 317.349 0.289 20 0 DCADLN Cc1noc([C@@H](C)[NH2+][C@@H](C)C(=O)N2CCC(C(=O)[O-])CC2)n1 ZINC001600803848 1168300800 /nfs/dbraw/zinc/30/08/00/1168300800.db2.gz DDHFKDCLCDFSDX-BDAKNGLRSA-N 0 2 310.354 0.740 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001600905561 1168500763 /nfs/dbraw/zinc/50/07/63/1168500763.db2.gz NXNWABAWCQZNOX-JTQLQIEISA-N 0 2 303.322 0.233 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)C34CC(C(=O)[O-])(C3)C4)CC[NH2+]2)cn1 ZINC001600943410 1168524131 /nfs/dbraw/zinc/52/41/31/1168524131.db2.gz HOIWKDGMDAYIIL-VCANKDNSSA-N 0 2 304.350 0.148 20 0 DCADLN Cn1nc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1C(F)F ZINC001601000176 1168556055 /nfs/dbraw/zinc/55/60/55/1168556055.db2.gz JSLFOMHTXCPBAE-MRVPVSSYSA-N 0 2 313.264 0.507 20 0 DCADLN Cn1nc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1C(F)F ZINC001601000176 1168556065 /nfs/dbraw/zinc/55/60/65/1168556065.db2.gz JSLFOMHTXCPBAE-MRVPVSSYSA-N 0 2 313.264 0.507 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)CCCOc1cccnc1 ZINC001601269882 1168685240 /nfs/dbraw/zinc/68/52/40/1168685240.db2.gz OFHHAUZXFWCQHW-CYBMUJFWSA-N 0 2 318.333 0.707 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@H+](CC(=O)N2CCOC[C@@H]2C2CC2)C1 ZINC001601306262 1168697699 /nfs/dbraw/zinc/69/76/99/1168697699.db2.gz WTKCBEMRGZXRCE-RISCZKNCSA-N 0 2 300.330 0.122 20 0 DCADLN O=C([O-])[C@]1(F)CC[N@@H+](CC(=O)N2CCOC[C@@H]2C2CC2)C1 ZINC001601306262 1168697706 /nfs/dbraw/zinc/69/77/06/1168697706.db2.gz WTKCBEMRGZXRCE-RISCZKNCSA-N 0 2 300.330 0.122 20 0 DCADLN O=C([O-])[C@H]1CCCN(CC(=O)NCCCCn2cc[nH+]c2)C1=O ZINC001601310135 1168701084 /nfs/dbraw/zinc/70/10/84/1168701084.db2.gz IQARYLNNBFWBQT-LBPRGKRZSA-N 0 2 322.365 0.103 20 0 DCADLN O=C([O-])c1ccccc1CC(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001601368248 1168721349 /nfs/dbraw/zinc/72/13/49/1168721349.db2.gz LIUXZDQXQFYSGE-LBPRGKRZSA-N 0 2 303.318 0.370 20 0 DCADLN O=C([O-])c1ccccc1CC(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001601368248 1168721353 /nfs/dbraw/zinc/72/13/53/1168721353.db2.gz LIUXZDQXQFYSGE-LBPRGKRZSA-N 0 2 303.318 0.370 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cncc(F)c1Cl ZINC001601426105 1168742451 /nfs/dbraw/zinc/74/24/51/1168742451.db2.gz RGEVKFSIZTYGSP-VIFPVBQESA-N 0 2 312.688 0.954 20 0 DCADLN O=C([O-])C1CC2(C1)C[C@H](NC(=O)NCCn1cc[nH+]c1)CCO2 ZINC001601517594 1168771873 /nfs/dbraw/zinc/77/18/73/1168771873.db2.gz DKPMUAZAWVKZNC-MQYJIDSJSA-N 0 2 322.365 0.595 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2nc[nH]c2n1 ZINC001601564946 1168782704 /nfs/dbraw/zinc/78/27/04/1168782704.db2.gz KGFUTEBMWHPEFB-JTQLQIEISA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2nc[nH]c2n1 ZINC001601564946 1168782709 /nfs/dbraw/zinc/78/27/09/1168782709.db2.gz KGFUTEBMWHPEFB-JTQLQIEISA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1csc2cncn21 ZINC001601568066 1168784480 /nfs/dbraw/zinc/78/44/80/1168784480.db2.gz RUEJEHNACZEVHA-MRVPVSSYSA-N 0 2 305.319 0.545 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc2c[nH]nc2c1 ZINC001601568285 1168784843 /nfs/dbraw/zinc/78/48/43/1168784843.db2.gz YBRXDFLQDKIBPT-SNVBAGLBSA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc2c[nH]nc2c1 ZINC001601568285 1168784850 /nfs/dbraw/zinc/78/48/50/1168784850.db2.gz YBRXDFLQDKIBPT-SNVBAGLBSA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C2CC2)[nH]c1=O ZINC001601568455 1168784885 /nfs/dbraw/zinc/78/48/85/1168784885.db2.gz SDBNCJUDRCRVGJ-GFCCVEGCSA-N 0 2 316.317 0.813 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C2CC2)[nH]c1=O ZINC001601568455 1168784891 /nfs/dbraw/zinc/78/48/91/1168784891.db2.gz SDBNCJUDRCRVGJ-GFCCVEGCSA-N 0 2 316.317 0.813 20 0 DCADLN O=C([O-])[C@H](CC1CCOCC1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001601642965 1168800152 /nfs/dbraw/zinc/80/01/52/1168800152.db2.gz MPVOXOJKBLHHGN-UTUOFQBUSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@H](CC1CCOCC1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001601642965 1168800161 /nfs/dbraw/zinc/80/01/61/1168800161.db2.gz MPVOXOJKBLHHGN-UTUOFQBUSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccn1 ZINC001601645666 1168800393 /nfs/dbraw/zinc/80/03/93/1168800393.db2.gz UGZRUPYTIBQAMI-STQMWFEESA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)[C@@H]1CCC[N@H+]1Cc1ccccn1 ZINC001601645666 1168800404 /nfs/dbraw/zinc/80/04/04/1168800404.db2.gz UGZRUPYTIBQAMI-STQMWFEESA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001601650762 1168803928 /nfs/dbraw/zinc/80/39/28/1168803928.db2.gz QUEHFTBYQFYCHK-STQMWFEESA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](CF)NC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001601650762 1168803941 /nfs/dbraw/zinc/80/39/41/1168803941.db2.gz QUEHFTBYQFYCHK-STQMWFEESA-N 0 2 309.341 0.975 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC001601663705 1168810108 /nfs/dbraw/zinc/81/01/08/1168810108.db2.gz WWAGPWXDLGVIGB-SKDRFNHKSA-N 0 2 303.322 0.296 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)[C@@H]3CCn4c[nH+]cc4C3)C2)C1 ZINC001601738678 1168843108 /nfs/dbraw/zinc/84/31/08/1168843108.db2.gz HGPYJGUUGCTEJX-MEBBXXQBSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@@H+]2CC3(CCC3)[C@@H]2[C@H]2CCCO2)C1 ZINC001601759056 1168857224 /nfs/dbraw/zinc/85/72/24/1168857224.db2.gz JFMBWLBJQBUIIK-BZPMIXESSA-N 0 2 308.378 0.911 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@H+]2CC3(CCC3)[C@@H]2[C@H]2CCCO2)C1 ZINC001601759056 1168857235 /nfs/dbraw/zinc/85/72/35/1168857235.db2.gz JFMBWLBJQBUIIK-BZPMIXESSA-N 0 2 308.378 0.911 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001601779594 1168884630 /nfs/dbraw/zinc/88/46/30/1168884630.db2.gz PCDWIFGMSPHNSF-ZIAGYGMSSA-N 0 2 310.394 0.668 20 0 DCADLN O=C([O-])CC1CCN(C(=O)C2([NH+]3CCOCC3)CCC2)CC1 ZINC001601954806 1168946878 /nfs/dbraw/zinc/94/68/78/1168946878.db2.gz ZEMNXWDSLVOHRJ-UHFFFAOYSA-N 0 2 310.394 0.955 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001601987978 1168954096 /nfs/dbraw/zinc/95/40/96/1168954096.db2.gz RQHRNFLSNFIPSV-UHFFFAOYSA-N 0 2 322.365 0.418 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)c1ncnc2[nH]ccc21 ZINC001602056115 1168977679 /nfs/dbraw/zinc/97/76/79/1168977679.db2.gz HGSAPDSRZAZHCC-UHFFFAOYSA-N 0 2 305.338 0.181 20 0 DCADLN O=C([O-])CNC(=O)C[NH2+]Cc1cccnc1Br ZINC001602070974 1168987542 /nfs/dbraw/zinc/98/75/42/1168987542.db2.gz RLUHPISYPYZNGQ-UHFFFAOYSA-N 0 2 302.128 0.135 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1Cc1cnc2ccccc2n1 ZINC001602068962 1168986104 /nfs/dbraw/zinc/98/61/04/1168986104.db2.gz XTYJPLQUWGQMSD-CQSZACIVSA-N 0 2 314.345 0.795 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1Cc1cnc2ccccc2n1 ZINC001602068962 1168986108 /nfs/dbraw/zinc/98/61/08/1168986108.db2.gz XTYJPLQUWGQMSD-CQSZACIVSA-N 0 2 314.345 0.795 20 0 DCADLN O=C([O-])Cn1cc(CC[NH+]2CCN(Cc3ccco3)CC2)nn1 ZINC001602159685 1169006697 /nfs/dbraw/zinc/00/66/97/1169006697.db2.gz ULLFMRZILZAABG-UHFFFAOYSA-N 0 2 319.365 0.316 20 0 DCADLN O=C([O-])Cn1cc(CCN2CC[NH+](Cc3ccco3)CC2)nn1 ZINC001602159685 1169006705 /nfs/dbraw/zinc/00/67/05/1169006705.db2.gz ULLFMRZILZAABG-UHFFFAOYSA-N 0 2 319.365 0.316 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CC[C@@H](Oc3ccncc3)C2)nn1 ZINC001602162986 1169009660 /nfs/dbraw/zinc/00/96/60/1169009660.db2.gz RXHKRDSYYKLPJA-CYBMUJFWSA-N 0 2 303.322 0.411 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CC[C@@H](Oc3ccncc3)C2)nn1 ZINC001602162986 1169009669 /nfs/dbraw/zinc/00/96/69/1169009669.db2.gz RXHKRDSYYKLPJA-CYBMUJFWSA-N 0 2 303.322 0.411 20 0 DCADLN C/C(=C\CNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2)C(=O)[O-] ZINC001602976378 1169223375 /nfs/dbraw/zinc/22/33/75/1169223375.db2.gz WMGPZCGYKRDABA-IZZDOVSWSA-N 0 2 324.402 0.984 20 0 DCADLN C/C(=C\CNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2)C(=O)[O-] ZINC001602976378 1169223382 /nfs/dbraw/zinc/22/33/82/1169223382.db2.gz WMGPZCGYKRDABA-IZZDOVSWSA-N 0 2 324.402 0.984 20 0 DCADLN C/C(=C/CNC(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001602972724 1169224314 /nfs/dbraw/zinc/22/43/14/1169224314.db2.gz QTXQBNVQYNCWDC-PQMHYQBVSA-N 0 2 321.377 0.581 20 0 DCADLN C[C@@H](CNc1nccnc1-c1nn[nH]n1)N1C[C@@H](C)O[C@@H](C)C1 ZINC001603565151 1169323019 /nfs/dbraw/zinc/32/30/19/1169323019.db2.gz KQAMXUNHCPNQHQ-GARJFASQSA-N 0 2 318.385 0.566 20 0 DCADLN CC(C)C[C@H](C)N(C)C(=O)C[N@@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001603767963 1169385205 /nfs/dbraw/zinc/38/52/05/1169385205.db2.gz GJOAEKPLHFYBFZ-OUCADQQQSA-N 0 2 300.399 0.789 20 0 DCADLN CC(C)C[C@H](C)N(C)C(=O)C[N@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001603767963 1169385214 /nfs/dbraw/zinc/38/52/14/1169385214.db2.gz GJOAEKPLHFYBFZ-OUCADQQQSA-N 0 2 300.399 0.789 20 0 DCADLN CC(C)[C@H](NC(=O)CSCC[NH+]1CCOCC1)C(=O)[O-] ZINC001603974452 1169461888 /nfs/dbraw/zinc/46/18/88/1169461888.db2.gz YFDJPDMFCUNPPJ-LBPRGKRZSA-N 0 2 304.412 0.277 20 0 DCADLN CCCc1nc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cs1 ZINC001604247676 1169532179 /nfs/dbraw/zinc/53/21/79/1169532179.db2.gz HEJHKUNFOXGCEJ-UHFFFAOYSA-N 0 2 319.350 0.586 20 0 DCADLN C[C@H](O)c1ncc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001604389221 1169580464 /nfs/dbraw/zinc/58/04/64/1169580464.db2.gz OZNJGMKQPKZAII-POYBYMJQSA-N 0 2 310.335 0.345 20 0 DCADLN C[C@H](O)c1ncc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001604389221 1169580468 /nfs/dbraw/zinc/58/04/68/1169580468.db2.gz OZNJGMKQPKZAII-POYBYMJQSA-N 0 2 310.335 0.345 20 0 DCADLN CCOC[C@H]1CCC[N@H+](Cn2cccc(-c3nnn[n-]3)c2=O)C1 ZINC001604421115 1169591042 /nfs/dbraw/zinc/59/10/42/1169591042.db2.gz NKEYCUABMXGSCD-LBPRGKRZSA-N 0 2 318.381 0.734 20 0 DCADLN CCOC[C@H]1CCC[N@@H+](Cn2cccc(-c3nnn[n-]3)c2=O)C1 ZINC001604421115 1169591047 /nfs/dbraw/zinc/59/10/47/1169591047.db2.gz NKEYCUABMXGSCD-LBPRGKRZSA-N 0 2 318.381 0.734 20 0 DCADLN CN(C)C(=O)[C@@H]1CCN(Cc2nc(-c3nn[nH]n3)cs2)C1 ZINC001604666596 1169646941 /nfs/dbraw/zinc/64/69/41/1169646941.db2.gz MJFRZGFUNBMUPX-MRVPVSSYSA-N 0 2 307.383 0.233 20 0 DCADLN CN(Cc1cnc[nH]1)C(=O)NCc1nc(-c2nn[nH]n2)cs1 ZINC001604794349 1169687142 /nfs/dbraw/zinc/68/71/42/1169687142.db2.gz AXFSECJCFPSGLG-UHFFFAOYSA-N 0 2 319.354 0.388 20 0 DCADLN CC[C@@H]1C[N@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)C[C@@H]1O ZINC001605171779 1169855527 /nfs/dbraw/zinc/85/55/27/1169855527.db2.gz ATMVEMYJMPBWMZ-SCZZXKLOSA-N 0 2 313.379 0.411 20 0 DCADLN CC[C@@H]1C[N@@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)C[C@@H]1O ZINC001605171779 1169855537 /nfs/dbraw/zinc/85/55/37/1169855537.db2.gz ATMVEMYJMPBWMZ-SCZZXKLOSA-N 0 2 313.379 0.411 20 0 DCADLN COc1cccc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)n1 ZINC001605319597 1169893283 /nfs/dbraw/zinc/89/32/83/1169893283.db2.gz ITNVXCFYEPTMLZ-UHFFFAOYSA-N 0 2 324.344 0.969 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CCOC(C)C)c(=O)n1C1CC1 ZINC001605462374 1169930572 /nfs/dbraw/zinc/93/05/72/1169930572.db2.gz CGFZBMNPDLNYMI-UHFFFAOYSA-N 0 2 320.353 0.258 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC(=O)[C@H](C)C1 ZINC001605507209 1169941318 /nfs/dbraw/zinc/94/13/18/1169941318.db2.gz VMUXHDHCNROMFN-MRVPVSSYSA-N 0 2 316.321 0.327 20 0 DCADLN CCOC(=O)[C@@H]1C[N@@H+]([C@@H](C)C(=O)NCC(=O)[O-])CCC1(F)F ZINC001605527015 1169947665 /nfs/dbraw/zinc/94/76/65/1169947665.db2.gz QNRBPXWWHUXWHG-IUCAKERBSA-N 0 2 322.308 0.096 20 0 DCADLN CCOC(=O)[C@@H]1C[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])CCC1(F)F ZINC001605527015 1169947671 /nfs/dbraw/zinc/94/76/71/1169947671.db2.gz QNRBPXWWHUXWHG-IUCAKERBSA-N 0 2 322.308 0.096 20 0 DCADLN CN(C(=O)C[N@H+](C)[C@@]1(CO)CCOC1)c1ccccc1C(=O)[O-] ZINC001605960825 1170036799 /nfs/dbraw/zinc/03/67/99/1170036799.db2.gz KGDKGDRHUMVESO-MRXNPFEDSA-N 0 2 322.361 0.431 20 0 DCADLN CN(C(=O)C[N@@H+](C)[C@@]1(CO)CCOC1)c1ccccc1C(=O)[O-] ZINC001605960825 1170036801 /nfs/dbraw/zinc/03/68/01/1170036801.db2.gz KGDKGDRHUMVESO-MRXNPFEDSA-N 0 2 322.361 0.431 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC001606332569 1170160570 /nfs/dbraw/zinc/16/05/70/1170160570.db2.gz QIIZHUMBVLJIIC-NWDGAFQWSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC001606332569 1170160574 /nfs/dbraw/zinc/16/05/74/1170160574.db2.gz QIIZHUMBVLJIIC-NWDGAFQWSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)C1([NH2+]CCC(=O)NCC(=O)[O-])CCCCCC1 ZINC001606354922 1170168507 /nfs/dbraw/zinc/16/85/07/1170168507.db2.gz XTTIZFQOXSYBOQ-UHFFFAOYSA-N 0 2 300.355 0.433 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C(=O)[O-])nc1 ZINC001606506415 1170223052 /nfs/dbraw/zinc/22/30/52/1170223052.db2.gz XUNDWKSYSSTNDO-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C(=O)[O-])nc1 ZINC001606506415 1170223066 /nfs/dbraw/zinc/22/30/66/1170223066.db2.gz XUNDWKSYSSTNDO-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc(C(=O)[O-])c1 ZINC001606505930 1170223548 /nfs/dbraw/zinc/22/35/48/1170223548.db2.gz OCFOJTQVWZCVHD-GFCCVEGCSA-N 0 2 317.301 0.622 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc(C(=O)[O-])c1 ZINC001606505930 1170223553 /nfs/dbraw/zinc/22/35/53/1170223553.db2.gz OCFOJTQVWZCVHD-GFCCVEGCSA-N 0 2 317.301 0.622 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC001606515194 1170225938 /nfs/dbraw/zinc/22/59/38/1170225938.db2.gz HWBOIZVQUAGVLI-VIFPVBQESA-N 0 2 321.289 0.523 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC001606515194 1170225941 /nfs/dbraw/zinc/22/59/41/1170225941.db2.gz HWBOIZVQUAGVLI-VIFPVBQESA-N 0 2 321.289 0.523 20 0 DCADLN COC(=O)c1cccc([C@@H]([NH2+][C@@H]2CCCN(C)C2=O)C(=O)[O-])c1 ZINC001606611450 1170241805 /nfs/dbraw/zinc/24/18/05/1170241805.db2.gz OYGUCKGZCGRPOC-CHWSQXEVSA-N 0 2 320.345 0.809 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CCn1cccn1)CCCC2 ZINC001607089067 1170387523 /nfs/dbraw/zinc/38/75/23/1170387523.db2.gz DSGGXIFIXFPFIO-UHFFFAOYSA-N 0 2 311.349 0.804 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccccc1CF ZINC001607088090 1170387558 /nfs/dbraw/zinc/38/75/58/1170387558.db2.gz CERZBGNWGZZUFN-UHFFFAOYSA-N 0 2 302.269 0.647 20 0 DCADLN c1nc(CSc2n[nH]c(C3CC3)n2)ncc1-c1nn[nH]n1 ZINC001607137437 1170407856 /nfs/dbraw/zinc/40/78/56/1170407856.db2.gz UGJYZHLKYNMGAH-UHFFFAOYSA-N 0 2 301.339 0.945 20 0 DCADLN COc1ccc(S(=O)(=O)NC[C@@H]2CC[N@@H+]2C)cc1C(=O)[O-] ZINC001607286479 1170423982 /nfs/dbraw/zinc/42/39/82/1170423982.db2.gz HKTULHMIJPRVCS-VIFPVBQESA-N 0 2 314.363 0.376 20 0 DCADLN COc1ccc(S(=O)(=O)NC[C@@H]2CC[N@H+]2C)cc1C(=O)[O-] ZINC001607286479 1170423986 /nfs/dbraw/zinc/42/39/86/1170423986.db2.gz HKTULHMIJPRVCS-VIFPVBQESA-N 0 2 314.363 0.376 20 0 DCADLN COc1cccc(C(=O)[O-])c1C[N@@H+]1CCO[C@@H](CC(N)=O)C1 ZINC001607306385 1170426253 /nfs/dbraw/zinc/42/62/53/1170426253.db2.gz AOAYZQWITAGKJN-JTQLQIEISA-N 0 2 308.334 0.470 20 0 DCADLN COc1cccc(C(=O)[O-])c1C[N@H+]1CCO[C@@H](CC(N)=O)C1 ZINC001607306385 1170426256 /nfs/dbraw/zinc/42/62/56/1170426256.db2.gz AOAYZQWITAGKJN-JTQLQIEISA-N 0 2 308.334 0.470 20 0 DCADLN COc1ccnc(N2CC[NH+](Cc3oncc3C(=O)[O-])CC2)n1 ZINC001607350884 1170433681 /nfs/dbraw/zinc/43/36/81/1170433681.db2.gz KFFFOKWLVSRLTB-UHFFFAOYSA-N 0 2 319.321 0.494 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@H](CS(C)(=O)=O)C2)[nH]c1C(=O)[O-] ZINC001607637819 1170487401 /nfs/dbraw/zinc/48/74/01/1170487401.db2.gz HNZDCIJDKTTZFE-SNVBAGLBSA-N 0 2 300.380 0.888 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@@H](CS(C)(=O)=O)C2)[nH]c1C(=O)[O-] ZINC001607637819 1170487404 /nfs/dbraw/zinc/48/74/04/1170487404.db2.gz HNZDCIJDKTTZFE-SNVBAGLBSA-N 0 2 300.380 0.888 20 0 DCADLN Cc1oc(CNS(=O)(=O)CCn2cc[nH+]c2)cc1C(=O)[O-] ZINC001608051615 1170559809 /nfs/dbraw/zinc/55/98/09/1170559809.db2.gz XAIIKDVHUHFVPG-UHFFFAOYSA-N 0 2 313.335 0.602 20 0 DCADLN O=C([O-])c1cccc(NC(=O)CN2CCn3c[nH+]cc3C2)n1 ZINC001608335782 1170653380 /nfs/dbraw/zinc/65/33/80/1170653380.db2.gz YYVDFUKVYPHYGC-UHFFFAOYSA-N 0 2 301.306 0.431 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cn1ncc2ccccc21 ZINC001608381988 1170665230 /nfs/dbraw/zinc/66/52/30/1170665230.db2.gz XOWQRBBNXLXCAB-GFCCVEGCSA-N 0 2 313.317 0.502 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)NCCCCn2cc[nH+]c2)CC1 ZINC001608556105 1170702065 /nfs/dbraw/zinc/70/20/65/1170702065.db2.gz XSZBCHXDZPHHLX-ZDUSSCGKSA-N 0 2 324.381 0.530 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)[C@@H]3CCc4[nH+]ccn4C3)C2)C1 ZINC001608591697 1170709747 /nfs/dbraw/zinc/70/97/47/1170709747.db2.gz SELUQSGCFLKULV-BMIGLBTASA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2cc(C3CC3)no2)C1 ZINC001608610007 1170718054 /nfs/dbraw/zinc/71/80/54/1170718054.db2.gz HAMKCOLYPZBMKT-QWHCGFSZSA-N 0 2 319.361 0.821 20 0 DCADLN O=C([O-])C[C@@H]1CSCCN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001608704089 1170741079 /nfs/dbraw/zinc/74/10/79/1170741079.db2.gz KTWGZPMELCUKBU-SNVBAGLBSA-N 0 2 319.408 0.105 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N[C@H]2CCC[N@H+](CCF)C2)O1 ZINC001608736068 1170747379 /nfs/dbraw/zinc/74/73/79/1170747379.db2.gz OJACFRJZEQEPBU-RWMBFGLXSA-N 0 2 316.373 0.949 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N[C@H]2CCC[N@@H+](CCF)C2)O1 ZINC001608736068 1170747381 /nfs/dbraw/zinc/74/73/81/1170747381.db2.gz OJACFRJZEQEPBU-RWMBFGLXSA-N 0 2 316.373 0.949 20 0 DCADLN O=C([O-])COc1ccccc1C[N@@H+]1CCN2C(=O)NC[C@H]2C1 ZINC001608765717 1170752665 /nfs/dbraw/zinc/75/26/65/1170752665.db2.gz QJHWYOIPUBSHQR-LBPRGKRZSA-N 0 2 305.334 0.359 20 0 DCADLN O=C([O-])COc1ccccc1C[N@H+]1CCN2C(=O)NC[C@H]2C1 ZINC001608765717 1170752669 /nfs/dbraw/zinc/75/26/69/1170752669.db2.gz QJHWYOIPUBSHQR-LBPRGKRZSA-N 0 2 305.334 0.359 20 0 DCADLN O=C([O-])Cc1cccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1 ZINC001608787478 1170754178 /nfs/dbraw/zinc/75/41/78/1170754178.db2.gz QSFCITMFHCOWHU-UHFFFAOYSA-N 0 2 321.358 0.756 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@H]2CCN(c3ccccc3)C2=O)nn1 ZINC001608797462 1170756064 /nfs/dbraw/zinc/75/60/64/1170756064.db2.gz IFTWVNPZFZFFMD-ZDUSSCGKSA-N 0 2 315.333 0.258 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CCC[C@@H]3OCCNC(=O)[C@@H]32)s1 ZINC001608882049 1170767978 /nfs/dbraw/zinc/76/79/78/1170767978.db2.gz DVDDMSMIFRSCHC-CMPLNLGQSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CCC[C@@H]3OCCNC(=O)[C@@H]32)s1 ZINC001608882049 1170767982 /nfs/dbraw/zinc/76/79/82/1170767982.db2.gz DVDDMSMIFRSCHC-CMPLNLGQSA-N 0 2 310.375 0.926 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)cn1 ZINC001608971013 1170778290 /nfs/dbraw/zinc/77/82/90/1170778290.db2.gz QVAIIJOKMJNBCY-VIFPVBQESA-N 0 2 323.271 0.282 20 0 DCADLN O=C([O-])c1cnc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)cn1 ZINC001608971013 1170778294 /nfs/dbraw/zinc/77/82/94/1170778294.db2.gz QVAIIJOKMJNBCY-VIFPVBQESA-N 0 2 323.271 0.282 20 0 DCADLN O=Cc1c[nH]c2ccc(C(=O)N3CC[NH+](CC(=O)[O-])CC3)cc12 ZINC001609047795 1170792777 /nfs/dbraw/zinc/79/27/77/1170792777.db2.gz QOCFZMRYHMLWLX-UHFFFAOYSA-N 0 2 315.329 0.823 20 0 DCADLN Cc1cc(C[C@@H](C)NC(=O)c2ccnc(-c3nn[nH]n3)c2)n[nH]1 ZINC001609399955 1170967128 /nfs/dbraw/zinc/96/71/28/1170967128.db2.gz WJUQNCKYWPPXMB-MRVPVSSYSA-N 0 2 312.337 0.654 20 0 DCADLN O=S(=O)(NCCCn1ccnc1)c1ccc(-c2nn[nH]n2)o1 ZINC001609595137 1171037197 /nfs/dbraw/zinc/03/71/97/1171037197.db2.gz YRBORMMMJLJXLY-UHFFFAOYSA-N 0 2 323.338 0.025 20 0 DCADLN C[C@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])N(C)CC(F)(F)F ZINC001609636609 1171056757 /nfs/dbraw/zinc/05/67/57/1171056757.db2.gz YJMWZZOQTSWONJ-APPZFPTMSA-N 0 2 322.287 0.404 20 0 DCADLN C[C@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])N(C)CC(F)(F)F ZINC001609636609 1171056763 /nfs/dbraw/zinc/05/67/63/1171056763.db2.gz YJMWZZOQTSWONJ-APPZFPTMSA-N 0 2 322.287 0.404 20 0 DCADLN C[C@@]1(CO)CCC[N@@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001609823071 1171096164 /nfs/dbraw/zinc/09/61/64/1171096164.db2.gz UVUHJBGFCIQSPP-ZDUSSCGKSA-N 0 2 313.379 0.556 20 0 DCADLN C[C@@]1(CO)CCC[N@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001609823071 1171096169 /nfs/dbraw/zinc/09/61/69/1171096169.db2.gz UVUHJBGFCIQSPP-ZDUSSCGKSA-N 0 2 313.379 0.556 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC001610003093 1171134541 /nfs/dbraw/zinc/13/45/41/1171134541.db2.gz SWOVYSDVENIKTL-UONOGXRCSA-N 0 2 324.352 0.694 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC001610003093 1171134543 /nfs/dbraw/zinc/13/45/43/1171134543.db2.gz SWOVYSDVENIKTL-UONOGXRCSA-N 0 2 324.352 0.694 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1C[C@H](O)CC(F)(F)F ZINC001610170806 1171184780 /nfs/dbraw/zinc/18/47/80/1171184780.db2.gz XYLBDGONMCUUPC-HLTSFMKQSA-N 0 2 313.272 0.638 20 0 DCADLN COC(=O)c1coc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001610219720 1171198424 /nfs/dbraw/zinc/19/84/24/1171198424.db2.gz VXTPVYSVSJKOPX-VIFPVBQESA-N 0 2 307.262 0.146 20 0 DCADLN COC[C@](C)([NH2+]CC(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC001610245528 1171205646 /nfs/dbraw/zinc/20/56/46/1171205646.db2.gz LSOOJEAHRUNMTO-HNNXBMFYSA-N 0 2 321.333 0.051 20 0 DCADLN COc1ccccc1C(=O)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610364573 1171223959 /nfs/dbraw/zinc/22/39/59/1171223959.db2.gz OFLAAGMMFCYWBN-NSHDSACASA-N 0 2 317.301 0.413 20 0 DCADLN COc1ccccc1C(=O)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610364573 1171223963 /nfs/dbraw/zinc/22/39/63/1171223963.db2.gz OFLAAGMMFCYWBN-NSHDSACASA-N 0 2 317.301 0.413 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1C[C@@H](C)O[C@H](CO)C1 ZINC001610570493 1171256862 /nfs/dbraw/zinc/25/68/62/1171256862.db2.gz CNFGDZQNMYVPAF-MFKMUULPSA-N 0 2 311.382 0.556 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1C[C@@H](C)O[C@H](CO)C1 ZINC001610570493 1171256856 /nfs/dbraw/zinc/25/68/56/1171256856.db2.gz CNFGDZQNMYVPAF-MFKMUULPSA-N 0 2 311.382 0.556 20 0 DCADLN Cn1nnc(C[N@H+]2C[C@@H](c3ccc(O)cc3)[C@H](C(=O)[O-])C2)n1 ZINC001610617204 1171270063 /nfs/dbraw/zinc/27/00/63/1171270063.db2.gz QNCJVJIZOAIHQM-NWDGAFQWSA-N 0 2 303.322 0.216 20 0 DCADLN Cn1nnc(C[N@@H+]2C[C@@H](c3ccc(O)cc3)[C@H](C(=O)[O-])C2)n1 ZINC001610617204 1171270068 /nfs/dbraw/zinc/27/00/68/1171270068.db2.gz QNCJVJIZOAIHQM-NWDGAFQWSA-N 0 2 303.322 0.216 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001610732747 1171302090 /nfs/dbraw/zinc/30/20/90/1171302090.db2.gz HGEBUSBXBQTGTO-ZIAGYGMSSA-N 0 2 315.329 0.922 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001610734252 1171302220 /nfs/dbraw/zinc/30/22/20/1171302220.db2.gz ZBIDVKYBVRDAJG-CHWSQXEVSA-N 0 2 316.317 0.317 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+]1Cc1ccc(Cl)cc1-n1cncn1 ZINC001610733948 1171302315 /nfs/dbraw/zinc/30/23/15/1171302315.db2.gz CCBRWSPZANROHP-AAEUAGOBSA-N 0 2 322.752 0.941 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+]1Cc1ccc(Cl)cc1-n1cncn1 ZINC001610733948 1171302322 /nfs/dbraw/zinc/30/23/22/1171302322.db2.gz CCBRWSPZANROHP-AAEUAGOBSA-N 0 2 322.752 0.941 20 0 DCADLN O=C([O-])c1cncc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC001610861873 1171343793 /nfs/dbraw/zinc/34/37/93/1171343793.db2.gz IJNNAKZNCGHFOU-CQSZACIVSA-N 0 2 319.361 0.717 20 0 DCADLN COCCOc1ncccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001630647708 1171577359 /nfs/dbraw/zinc/57/73/59/1171577359.db2.gz ZKUQQTMYQDLMJG-UHFFFAOYSA-N 0 2 320.305 0.238 20 0 DCADLN COCCOc1ncccc1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001630647708 1171577365 /nfs/dbraw/zinc/57/73/65/1171577365.db2.gz ZKUQQTMYQDLMJG-UHFFFAOYSA-N 0 2 320.305 0.238 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CCN(CC(F)(F)F)C1 ZINC001634591054 1171678035 /nfs/dbraw/zinc/67/80/35/1171678035.db2.gz OYXZICPZCUYNFJ-SSDOTTSWSA-N 0 2 324.328 0.901 20 0 DCADLN C[C@@H](NC(=O)c1cncc2ncn(C)c21)c1nn(C)cc1O ZINC001640030545 1171863046 /nfs/dbraw/zinc/86/30/46/1171863046.db2.gz JAHCODPPAKJINU-MRVPVSSYSA-N 0 2 300.322 0.898 20 0 DCADLN CN1CC[C@](C)(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)C1=O ZINC001640379144 1171877252 /nfs/dbraw/zinc/87/72/52/1171877252.db2.gz DJFAEURMFSJTBX-OAHLLOKOSA-N 0 2 315.333 0.984 20 0 DCADLN O=C(C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1)c1ccc(O)cc1 ZINC001645346120 1172039509 /nfs/dbraw/zinc/03/95/09/1172039509.db2.gz GHOHRMWQBTUIRQ-JTQLQIEISA-N 0 2 315.333 0.569 20 0 DCADLN CC(=O)N(C)C1CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC001645577435 1172061175 /nfs/dbraw/zinc/06/11/75/1172061175.db2.gz MBERFEMDQSEFPD-SNVBAGLBSA-N 0 2 312.395 0.012 20 0 DCADLN CCn1cc(C(=O)NCc2n[nH]c(=O)[nH]2)c(C(F)(F)F)n1 ZINC001647173415 1172718387 /nfs/dbraw/zinc/71/83/87/1172718387.db2.gz AMEXROIUYKYWFF-UHFFFAOYSA-N 0 2 304.232 0.676 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2C[C@@H]2CCOC2)S1 ZINC001649706003 1173176643 /nfs/dbraw/zinc/17/66/43/1173176643.db2.gz ALYYUJMVOPGTCY-DTWKUNHWSA-N 0 2 323.378 0.415 20 0 DCADLN CCn1cc([C@H]2C[S@@](=O)CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001649769853 1173211866 /nfs/dbraw/zinc/21/18/66/1173211866.db2.gz DBSOVNCVMGJSPX-UZJPJQLHSA-N 0 2 310.383 0.032 20 0 DCADLN NC(=O)c1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001649794712 1173229303 /nfs/dbraw/zinc/22/93/03/1173229303.db2.gz YHBWKZNFACEGCC-ZETCQYMHSA-N 0 2 305.294 0.222 20 0 DCADLN COc1coc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1=O ZINC001649816853 1173242579 /nfs/dbraw/zinc/24/25/79/1173242579.db2.gz BGCDTKZDJGCOIM-MRVPVSSYSA-N 0 2 320.305 0.492 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CC[N@@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001649864187 1173269822 /nfs/dbraw/zinc/26/98/22/1173269822.db2.gz JJNJETVWLWMNOF-VHSXEESVSA-N 0 2 323.374 0.804 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CC[N@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001649864187 1173269825 /nfs/dbraw/zinc/26/98/25/1173269825.db2.gz JJNJETVWLWMNOF-VHSXEESVSA-N 0 2 323.374 0.804 20 0 DCADLN Cn1cc(C[NH+]2CCN(c3ncc(C(=O)[O-])s3)CC2)cn1 ZINC001649932922 1173319142 /nfs/dbraw/zinc/31/91/42/1173319142.db2.gz UEWCMHUOVOVENH-UHFFFAOYSA-N 0 2 307.379 0.897 20 0 DCADLN C[C@H](C(=O)N1CCC(N2CC[NH+](C)CC2)CC1)n1cccn1 ZINC001649954314 1173335628 /nfs/dbraw/zinc/33/56/28/1173335628.db2.gz UALDEFVWAOFOKV-CQSZACIVSA-N 0 2 305.426 0.683 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc(=O)[nH]c2c1CCCC2 ZINC001654366088 1173803725 /nfs/dbraw/zinc/80/37/25/1173803725.db2.gz NUSZNHZFZXKLJG-UHFFFAOYSA-N 0 2 317.349 0.852 20 0 DCADLN COc1nccc(C[NH2+]CCN(C)C(=O)Cn2cc[nH+]c2C)n1 ZINC001664369789 1174427066 /nfs/dbraw/zinc/42/70/66/1174427066.db2.gz LEYWKEMYFBMNDB-UHFFFAOYSA-N 0 2 318.381 0.238 20 0 DCADLN CCCOCCOC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001671822662 1175038890 /nfs/dbraw/zinc/03/88/90/1175038890.db2.gz VOCZGLIUMAULDR-UHFFFAOYSA-N 0 2 320.371 0.002 20 0 DCADLN Cn1cc(-c2cccc(NC(=O)NCc3n[nH]c(=O)[nH]3)c2)nn1 ZINC001673214410 1175179049 /nfs/dbraw/zinc/17/90/49/1175179049.db2.gz VYYAHTVONHPVEC-UHFFFAOYSA-N 0 2 314.309 0.628 20 0 DCADLN CC(C)(C)[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001681068925 1175873759 /nfs/dbraw/zinc/87/37/59/1175873759.db2.gz MNPJKRZLSQTFLV-RITPCOANSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)(C)[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001681068925 1175873762 /nfs/dbraw/zinc/87/37/62/1175873762.db2.gz MNPJKRZLSQTFLV-RITPCOANSA-N 0 2 315.267 0.019 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1csnn1 ZINC001687051746 1176269178 /nfs/dbraw/zinc/26/91/78/1176269178.db2.gz ROWCFHRZOKGVPY-LURJTMIESA-N 0 2 314.264 0.675 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1csnn1 ZINC001687051746 1176269180 /nfs/dbraw/zinc/26/91/80/1176269180.db2.gz ROWCFHRZOKGVPY-LURJTMIESA-N 0 2 314.264 0.675 20 0 DCADLN C/C(=C/C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001690033996 1177148272 /nfs/dbraw/zinc/14/82/72/1177148272.db2.gz SHIKBIXZFJSCMI-UMAGTOLTSA-N 0 2 305.382 0.947 20 0 DCADLN CCC(=CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)CC ZINC001698781032 1177649021 /nfs/dbraw/zinc/64/90/21/1177649021.db2.gz AXAAJGFRUNLMSD-GFCCVEGCSA-N 0 2 323.397 0.574 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCNC(=O)C[C@@](C)(O)C1CC1 ZINC001703151949 1179344875 /nfs/dbraw/zinc/34/48/75/1179344875.db2.gz LLCAMSUFLXPLNS-OAHLLOKOSA-N 0 2 308.382 0.044 20 0 DCADLN COCCCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001714607190 1181435993 /nfs/dbraw/zinc/43/59/93/1181435993.db2.gz SSJVXBGAEBVLRJ-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN COCCCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001714607190 1181435995 /nfs/dbraw/zinc/43/59/95/1181435995.db2.gz SSJVXBGAEBVLRJ-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CC(C)=CC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001718622168 1183292003 /nfs/dbraw/zinc/29/20/03/1183292003.db2.gz PKXRMKWBWDICFH-CBAPKCEASA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001718622168 1183292008 /nfs/dbraw/zinc/29/20/08/1183292008.db2.gz PKXRMKWBWDICFH-CBAPKCEASA-N 0 2 300.252 0.446 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001724765213 1184547711 /nfs/dbraw/zinc/54/77/11/1184547711.db2.gz FUHYCEPYCHVMCK-NEOSZVFXSA-N 0 2 309.370 0.184 20 0 DCADLN CCCN1C[C@H](C(=O)NCCCN(C)Cc2ccon2)CC1=O ZINC001731268981 1185232823 /nfs/dbraw/zinc/23/28/23/1185232823.db2.gz WOUBBRQZMRETPV-CYBMUJFWSA-N 0 2 322.409 0.871 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001732843501 1186005438 /nfs/dbraw/zinc/00/54/38/1186005438.db2.gz ZUCHOIGUXJHCCR-MOVJSRMASA-N 0 2 319.369 0.487 20 0 DCADLN O=C(CC1=CCOCC1)NCCNC(=O)C(F)C(F)(F)F ZINC001736785470 1187105095 /nfs/dbraw/zinc/10/50/95/1187105095.db2.gz PBJJRGWZXIZWJR-JTQLQIEISA-N 0 2 312.263 0.856 20 0 DCADLN O=C(CC1=CCOCC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001736785470 1187105100 /nfs/dbraw/zinc/10/51/00/1187105100.db2.gz PBJJRGWZXIZWJR-JTQLQIEISA-N 0 2 312.263 0.856 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001736929151 1187184698 /nfs/dbraw/zinc/18/46/98/1187184698.db2.gz NWAFYSLNKIFAQM-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001736929151 1187184702 /nfs/dbraw/zinc/18/47/02/1187184702.db2.gz NWAFYSLNKIFAQM-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN O=C(Cc1nc[nH]n1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001738526639 1187583525 /nfs/dbraw/zinc/58/35/25/1187583525.db2.gz UOVBRGHMYQVBEK-IYABHPQVSA-N 0 2 323.250 0.036 20 0 DCADLN COCC1(C(=O)NCCCNC(=O)C(F)C(F)(F)F)CC1 ZINC001742909825 1188075017 /nfs/dbraw/zinc/07/50/17/1188075017.db2.gz HTCAHGAWIJDQNA-QMMMGPOBSA-N 0 2 314.279 0.936 20 0 DCADLN COCC1(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001742909825 1188075021 /nfs/dbraw/zinc/07/50/21/1188075021.db2.gz HTCAHGAWIJDQNA-QMMMGPOBSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(Cc1cnoc1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001747245332 1188256153 /nfs/dbraw/zinc/25/61/53/1188256153.db2.gz WVAFNOKTFZQXFW-SYBPUXJVSA-N 0 2 323.246 0.906 20 0 DCADLN O=C(Cc1cnoc1)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001747245332 1188256155 /nfs/dbraw/zinc/25/61/55/1188256155.db2.gz WVAFNOKTFZQXFW-SYBPUXJVSA-N 0 2 323.246 0.906 20 0 DCADLN CC(C)(C)[C@@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001756715383 1189438774 /nfs/dbraw/zinc/43/87/74/1189438774.db2.gz MCFOKBDGHINYKZ-RITPCOANSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)(C)[C@@H](CNC(=O)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001756715383 1189438778 /nfs/dbraw/zinc/43/87/78/1189438778.db2.gz MCFOKBDGHINYKZ-RITPCOANSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)(C)[C@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001756715381 1189438897 /nfs/dbraw/zinc/43/88/97/1189438897.db2.gz MCFOKBDGHINYKZ-NTSWFWBYSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)(C)[C@H](CNC(=O)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001756715381 1189438900 /nfs/dbraw/zinc/43/89/00/1189438900.db2.gz MCFOKBDGHINYKZ-NTSWFWBYSA-N 0 2 315.267 0.019 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC001771596923 1190433665 /nfs/dbraw/zinc/43/36/65/1190433665.db2.gz CICJSZNDZLTDGH-UHFFFAOYSA-N 0 2 312.355 0.132 20 0 DCADLN CCOC(=O)C1(NC(=O)C[C@H]2SC(=N)NC2=O)CCCC1 ZINC001771612550 1190451120 /nfs/dbraw/zinc/45/11/20/1190451120.db2.gz WITXIKSFTJDMQG-MRVPVSSYSA-N 0 2 313.379 0.535 20 0 DCADLN C[C@@H](NC(=O)NCc1ccccc1C[NH+]1CCOCC1)C(=O)[O-] ZINC001771917116 1190554407 /nfs/dbraw/zinc/55/44/07/1190554407.db2.gz YONLXECFJRLCSL-GFCCVEGCSA-N 0 2 321.377 0.791 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCC[NH+]2CC=CC2)c(F)c1 ZINC000392256827 1190701200 /nfs/dbraw/zinc/70/12/00/1190701200.db2.gz NGRKNZVICSCBRH-UHFFFAOYSA-N 0 2 314.338 0.674 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nn(C)cc2Cl)c1[O-] ZINC001125734232 747543421 /nfs/dbraw/zinc/54/34/21/747543421.db2.gz KYZCUOGTEIJRCM-UHFFFAOYSA-N 0 2 312.761 0.330 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nc(C3CCC3)no2)c1[O-] ZINC001125734225 747543576 /nfs/dbraw/zinc/54/35/76/747543576.db2.gz KTFNHUGPRSDNEF-UHFFFAOYSA-N 0 2 320.353 0.594 20 0 DCADLN CC[C@H](F)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043378528 752203682 /nfs/dbraw/zinc/20/36/82/752203682.db2.gz YUKHGEVAWUYDHD-NKWVEPMBSA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@H](F)C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043378528 752203687 /nfs/dbraw/zinc/20/36/87/752203687.db2.gz YUKHGEVAWUYDHD-NKWVEPMBSA-N 0 2 318.242 0.324 20 0 DCADLN CN(C(=O)C1CC2(CCC2)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043572118 752293689 /nfs/dbraw/zinc/29/36/89/752293689.db2.gz VYFDNAWQVKBWEW-UHFFFAOYSA-N 0 2 305.382 0.733 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ncc[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071494167 761903524 /nfs/dbraw/zinc/90/35/24/761903524.db2.gz OVZPUMXOCRPHRX-BDAKNGLRSA-N 0 2 305.342 0.016 20 0 DCADLN CCn1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)cn1 ZINC001088499366 749514517 /nfs/dbraw/zinc/51/45/17/749514517.db2.gz XTAVQXPPKIIDPK-KOLCDFICSA-N 0 2 319.369 0.120 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)co1 ZINC001088540382 749563606 /nfs/dbraw/zinc/56/36/06/749563606.db2.gz WIIRNRQEKDLOEJ-KOLCDFICSA-N 0 2 305.338 0.805 20 0 DCADLN CCC[C@@H](C)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001212055359 749758432 /nfs/dbraw/zinc/75/84/32/749758432.db2.gz IDMFWZLCPQBPHI-GMTAPVOTSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccsn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071603616 761986317 /nfs/dbraw/zinc/98/63/17/761986317.db2.gz RYDAVJAXDPKJGK-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN CC[C@H](C(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1)[NH+](C)C ZINC001077152438 750231599 /nfs/dbraw/zinc/23/15/99/750231599.db2.gz RXXJHAGCCQNCQE-GXTWGEPZSA-N 0 2 323.441 0.694 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)ccn1 ZINC001088931928 750734420 /nfs/dbraw/zinc/73/44/20/750734420.db2.gz BLSQFMZRWNURBJ-PWSUYJOCSA-N 0 2 316.365 0.607 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)ccn1 ZINC001088931928 750734425 /nfs/dbraw/zinc/73/44/25/750734425.db2.gz BLSQFMZRWNURBJ-PWSUYJOCSA-N 0 2 316.365 0.607 20 0 DCADLN O=C(NC[C@H](O)CNc1nccnc1F)C(F)C(F)(F)F ZINC001106144584 750983765 /nfs/dbraw/zinc/98/37/65/750983765.db2.gz WBWBVWHAJZUGQO-RITPCOANSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](O)CNc1nccnc1F)[C@H](F)C(F)(F)F ZINC001106144584 750983768 /nfs/dbraw/zinc/98/37/68/750983768.db2.gz WBWBVWHAJZUGQO-RITPCOANSA-N 0 2 314.214 0.405 20 0 DCADLN C[C@]1(CNC(=O)CC2CCC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107843449 752406176 /nfs/dbraw/zinc/40/61/76/752406176.db2.gz IAEJAPCFPZSARU-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1ccc([C@H](C)C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001044021032 752521191 /nfs/dbraw/zinc/52/11/91/752521191.db2.gz MIPVUOGXGALCNT-JTQLQIEISA-N 0 2 319.365 0.858 20 0 DCADLN CC(C)[C@]1(C)C[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044122501 752579378 /nfs/dbraw/zinc/57/93/78/752579378.db2.gz YWQSKAQOZSQVCH-ABAIWWIYSA-N 0 2 307.398 0.835 20 0 DCADLN C[C@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1 ZINC001044196651 752623246 /nfs/dbraw/zinc/62/32/46/752623246.db2.gz CNDLUJWJLHBLES-NSHDSACASA-N 0 2 315.377 0.957 20 0 DCADLN CC(C)(F)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045693916 753296542 /nfs/dbraw/zinc/29/65/42/753296542.db2.gz QFUAXYQTFNEBDO-ZJUUUORDSA-N 0 2 311.361 0.824 20 0 DCADLN CC(C)(F)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045693916 753296546 /nfs/dbraw/zinc/29/65/46/753296546.db2.gz QFUAXYQTFNEBDO-ZJUUUORDSA-N 0 2 311.361 0.824 20 0 DCADLN C[C@]1(NC(=O)c2ccccc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046110066 753485543 /nfs/dbraw/zinc/48/55/43/753485543.db2.gz XTSKDPKJSLBFGO-HNNXBMFYSA-N 0 2 301.350 0.905 20 0 DCADLN C[C@]1(NC(=O)c2ccccc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046110066 753485544 /nfs/dbraw/zinc/48/55/44/753485544.db2.gz XTSKDPKJSLBFGO-HNNXBMFYSA-N 0 2 301.350 0.905 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CCCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046231189 753573601 /nfs/dbraw/zinc/57/36/01/753573601.db2.gz AMYSYOULWAOCKP-YGRLFVJLSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CCCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046231189 753573603 /nfs/dbraw/zinc/57/36/03/753573603.db2.gz AMYSYOULWAOCKP-YGRLFVJLSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@@]1(NC(=O)c2cncc(F)c2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046232528 753574794 /nfs/dbraw/zinc/57/47/94/753574794.db2.gz IUPWWXQLCTXTGU-CQSZACIVSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1nocc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046590082 753814172 /nfs/dbraw/zinc/81/41/72/753814172.db2.gz FWWRBWIXTWPUGR-CYBMUJFWSA-N 0 2 306.326 0.201 20 0 DCADLN COC(=O)c1nc2ccc(NC[C@@H]3CC(=O)N(C)C3)nc2[nH]1 ZINC001168727623 753884644 /nfs/dbraw/zinc/88/46/44/753884644.db2.gz TWVWZNDUHNBUAP-QMMMGPOBSA-N 0 2 303.322 0.635 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001046715548 753901783 /nfs/dbraw/zinc/90/17/83/753901783.db2.gz QUQDBEVVKFAHPS-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001046715548 753901787 /nfs/dbraw/zinc/90/17/87/753901787.db2.gz QUQDBEVVKFAHPS-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(c1cn[nH]c1)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049355135 755231197 /nfs/dbraw/zinc/23/11/97/755231197.db2.gz WMMKBCPZCDMJOV-GHMZBOCLSA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1cn[nH]c1)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049355135 755231199 /nfs/dbraw/zinc/23/11/99/755231199.db2.gz WMMKBCPZCDMJOV-GHMZBOCLSA-N 0 2 317.353 0.113 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)o1 ZINC001096768205 755523939 /nfs/dbraw/zinc/52/39/39/755523939.db2.gz AMZNLCDJZWOZEA-MXWKQRLJSA-N 0 2 317.349 0.947 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccns1 ZINC001097159305 755607258 /nfs/dbraw/zinc/60/72/58/755607258.db2.gz LIRXMPGPYLPUTQ-HLTSFMKQSA-N 0 2 320.378 0.502 20 0 DCADLN CCC(CC)C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053164186 755937793 /nfs/dbraw/zinc/93/77/93/755937793.db2.gz ZIYMJHQFTLTROP-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CCc1nnc([C@@H](C)N2C[C@@H](C)[C@H](NC(=O)c3cnn[nH]3)C2)o1 ZINC001080029751 756081143 /nfs/dbraw/zinc/08/11/43/756081143.db2.gz MEYSKLGJWFPRIB-FXPVBKGRSA-N 0 2 319.369 0.561 20 0 DCADLN CCc1nnc([C@@H](C)[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC001080029751 756081145 /nfs/dbraw/zinc/08/11/45/756081145.db2.gz MEYSKLGJWFPRIB-FXPVBKGRSA-N 0 2 319.369 0.561 20 0 DCADLN CCc1nnc([C@@H](C)[N@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)o1 ZINC001080029751 756081148 /nfs/dbraw/zinc/08/11/48/756081148.db2.gz MEYSKLGJWFPRIB-FXPVBKGRSA-N 0 2 319.369 0.561 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001053640560 756130105 /nfs/dbraw/zinc/13/01/05/756130105.db2.gz AOTSLOXNPDBKTL-GMTAPVOTSA-N 0 2 321.381 0.016 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@]1(C)CCCOC1 ZINC001080683265 756300553 /nfs/dbraw/zinc/30/05/53/756300553.db2.gz OALRWYHZODLQKD-HFAKWTLXSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@]1(C)CCCOC1 ZINC001080683265 756300557 /nfs/dbraw/zinc/30/05/57/756300557.db2.gz OALRWYHZODLQKD-HFAKWTLXSA-N 0 2 323.397 0.264 20 0 DCADLN CC[C@H](F)C(=O)N1CCC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001050113386 757382502 /nfs/dbraw/zinc/38/25/02/757382502.db2.gz SUTAEDXGZGMRRT-GARJFASQSA-N 0 2 311.361 0.681 20 0 DCADLN CC[C@H](F)C(=O)N1CCC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001050113386 757382517 /nfs/dbraw/zinc/38/25/17/757382517.db2.gz SUTAEDXGZGMRRT-GARJFASQSA-N 0 2 311.361 0.681 20 0 DCADLN Cc1cc[nH]c1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085575423 759080366 /nfs/dbraw/zinc/08/03/66/759080366.db2.gz WHLCDFXBUGACHR-SNVBAGLBSA-N 0 2 304.354 0.493 20 0 DCADLN CCOC1CC(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001085574037 759089761 /nfs/dbraw/zinc/08/97/61/759089761.db2.gz MICUAKULCDFTRX-MOENNCHZSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]1 ZINC001085674825 759181742 /nfs/dbraw/zinc/18/17/42/759181742.db2.gz FDZNFZGKIGDIHI-NSHDSACASA-N 0 2 318.381 0.802 20 0 DCADLN O=C(NC[C@H](CO)Nc1ncc(F)cn1)C(F)C(F)(F)F ZINC001122762048 767871870 /nfs/dbraw/zinc/87/18/70/767871870.db2.gz WTDKOCWOORVMOU-RNFRBKRXSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](CO)Nc1ncc(F)cn1)[C@@H](F)C(F)(F)F ZINC001122762048 767871877 /nfs/dbraw/zinc/87/18/77/767871877.db2.gz WTDKOCWOORVMOU-RNFRBKRXSA-N 0 2 314.214 0.405 20 0 DCADLN C[C@@]1(CNC(=O)[C@@H]2CC23CC3)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001108240387 759662441 /nfs/dbraw/zinc/66/24/41/759662441.db2.gz GUWXRQTZFGQPNS-IINYFYTJSA-N 0 2 321.381 0.018 20 0 DCADLN Cc1nc([C@H](C)N[C@H]2C[C@H](CNC(=O)c3nnc[nH]3)C2)no1 ZINC001086508279 760274546 /nfs/dbraw/zinc/27/45/46/760274546.db2.gz LLBHIKSDFHQZET-HGNGGELXSA-N 0 2 305.342 0.355 20 0 DCADLN Cc1nc([C@H](C)N[C@H]2C[C@H](CNC(=O)c3ncn[nH]3)C2)no1 ZINC001086508279 760274555 /nfs/dbraw/zinc/27/45/55/760274555.db2.gz LLBHIKSDFHQZET-HGNGGELXSA-N 0 2 305.342 0.355 20 0 DCADLN Cc1nc([C@H](C)N[C@H]2C[C@H](CNC(=O)c3nc[nH]n3)C2)no1 ZINC001086508279 760274561 /nfs/dbraw/zinc/27/45/61/760274561.db2.gz LLBHIKSDFHQZET-HGNGGELXSA-N 0 2 305.342 0.355 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](n2[nH]c3ccnc(=O)c-3c2N)CO1 ZINC001169370019 760657970 /nfs/dbraw/zinc/65/79/70/760657970.db2.gz JAJSXRDVDRTIQD-WPRPVWTQSA-N 0 2 306.322 0.542 20 0 DCADLN COCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001108990142 761187295 /nfs/dbraw/zinc/18/72/95/761187295.db2.gz PZJMLEJXBSUCFI-VWYCJHECSA-N 0 2 309.370 0.158 20 0 DCADLN COCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001108990142 761187298 /nfs/dbraw/zinc/18/72/98/761187298.db2.gz PZJMLEJXBSUCFI-VWYCJHECSA-N 0 2 309.370 0.158 20 0 DCADLN CC[NH+](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnn(C)c1 ZINC001109864789 761584509 /nfs/dbraw/zinc/58/45/09/761584509.db2.gz USTNKTGEDPNRGX-PMPSAXMXSA-N 0 2 319.453 0.983 20 0 DCADLN CC1(CCC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CC1 ZINC001100060212 763267496 /nfs/dbraw/zinc/26/74/96/763267496.db2.gz ONKXNUDMRXGKQT-WDEREUQCSA-N 0 2 323.397 0.142 20 0 DCADLN CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131899443 764114434 /nfs/dbraw/zinc/11/44/34/764114434.db2.gz MFXSGGNFRNQRKK-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131899443 764114439 /nfs/dbraw/zinc/11/44/39/764114439.db2.gz MFXSGGNFRNQRKK-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)CC(C)(C)O)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132010808 764194682 /nfs/dbraw/zinc/19/46/82/764194682.db2.gz XCLURINLQIVIKC-UWVGGRQHSA-N 0 2 311.386 0.140 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)CC(C)(C)O)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132010808 764194685 /nfs/dbraw/zinc/19/46/85/764194685.db2.gz XCLURINLQIVIKC-UWVGGRQHSA-N 0 2 311.386 0.140 20 0 DCADLN CCC[N@H+](C)CC(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124442724 768238564 /nfs/dbraw/zinc/23/85/64/768238564.db2.gz UCQQRUMQJQVTAZ-CYBMUJFWSA-N 0 2 309.414 0.358 20 0 DCADLN Cn1[nH]c(COC(=O)c2ccn(-c3ccncc3)n2)nc1=O ZINC001116791261 765911688 /nfs/dbraw/zinc/91/16/88/765911688.db2.gz HEDLXSYGSIXKQH-UHFFFAOYSA-N 0 2 300.278 0.046 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]C[C@H]1Nc1ccc([P@@](=O)([O-])O)cc1 ZINC001170874865 766186871 /nfs/dbraw/zinc/18/68/71/766186871.db2.gz PIYKGZUXYULWHN-VXGBXAGGSA-N 0 2 314.278 0.053 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]C[C@H]1Nc1ccc([P@](=O)([O-])O)cc1 ZINC001170874865 766186875 /nfs/dbraw/zinc/18/68/75/766186875.db2.gz PIYKGZUXYULWHN-VXGBXAGGSA-N 0 2 314.278 0.053 20 0 DCADLN O=C(Cn1cc[nH+]c1)N[C@H]1CC[N@H+](Cc2ccccc2)C[C@H]1O ZINC001090847298 766663843 /nfs/dbraw/zinc/66/38/43/766663843.db2.gz QRGMIWKVJCJKFV-JKSUJKDBSA-N 0 2 314.389 0.635 20 0 DCADLN CC(C)[N@H+](C)Cc1n[nH]c2c1CCN(C(=O)[C@H]1CNC(=O)C1)C2 ZINC001143290331 768706745 /nfs/dbraw/zinc/70/67/45/768706745.db2.gz MLCCEHGJCUUOFO-LLVKDONJSA-N 0 2 319.409 0.271 20 0 DCADLN CC1(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCCO2)CC1 ZINC001149992193 768922020 /nfs/dbraw/zinc/92/20/20/768922020.db2.gz LFMDALKSBNWNEQ-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN COCCCCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231148554 769180637 /nfs/dbraw/zinc/18/06/37/769180637.db2.gz GCLFYJHXQYXJBR-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2ccccc2OCC(=O)[O-])CCN1C ZINC001231797902 769284832 /nfs/dbraw/zinc/28/48/32/769284832.db2.gz YZQADNLHWLMXIY-ZDUSSCGKSA-N 0 2 322.361 0.439 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2ccccc2OCC(=O)[O-])CCN1C ZINC001231797902 769284836 /nfs/dbraw/zinc/28/48/36/769284836.db2.gz YZQADNLHWLMXIY-ZDUSSCGKSA-N 0 2 322.361 0.439 20 0 DCADLN Cn1ccc(C(=O)N[C@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)n1 ZINC001151360082 769321082 /nfs/dbraw/zinc/32/10/82/769321082.db2.gz VGFSUPQABBKEJD-GFCCVEGCSA-N 0 2 312.333 0.958 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cccnc1 ZINC001095107610 769598561 /nfs/dbraw/zinc/59/85/61/769598561.db2.gz WXQOTIYQAUFWJW-WOPDTQHZSA-N 0 2 314.349 0.441 20 0 DCADLN Cc1ccoc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095180632 769640729 /nfs/dbraw/zinc/64/07/29/769640729.db2.gz YKUIGNKQOUJUOJ-VWYCJHECSA-N 0 2 317.349 0.947 20 0 DCADLN Cc1ncc(CC(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001235317502 769808284 /nfs/dbraw/zinc/80/82/84/769808284.db2.gz BSPJFECCJQLTNU-JTQLQIEISA-N 0 2 320.353 0.082 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=S)c1C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC001174941449 769830534 /nfs/dbraw/zinc/83/05/34/769830534.db2.gz RPSPLADYWIJXKJ-UHFFFAOYSA-N 0 2 321.366 0.312 20 0 DCADLN C[NH+](C)CC(=O)N[C@@H]1CCC[N@@H+](Cc2ccn3nccc3c2)C1 ZINC001237544327 770050443 /nfs/dbraw/zinc/05/04/43/770050443.db2.gz GCAMDCYIIHDQSG-OAHLLOKOSA-N 0 2 315.421 0.977 20 0 DCADLN CC[N@H+](CC(N)=O)[C@H](C)CNC(=O)Cn1c[nH+]c2c1CCCC2 ZINC001154345278 770150237 /nfs/dbraw/zinc/15/02/37/770150237.db2.gz XPUGYIOQHATNJQ-GFCCVEGCSA-N 0 2 321.425 0.074 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1(Cc2cccnc2)CCOCC1 ZINC001177202809 770369658 /nfs/dbraw/zinc/36/96/58/770369658.db2.gz DWUBVOVFHQWERB-UHFFFAOYSA-N 0 2 317.349 0.561 20 0 DCADLN CC[N@@H+](Cc1cnc(C)cn1)[C@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001156305579 770779929 /nfs/dbraw/zinc/77/99/29/770779929.db2.gz DKTDBUVGRAXLHI-GFCCVEGCSA-N 0 2 317.397 0.472 20 0 DCADLN Cc1cnc(C[NH2+]CCCNC(=O)CCc2nc[nH]n2)nc1 ZINC001156335715 770787530 /nfs/dbraw/zinc/78/75/30/770787530.db2.gz AVQUCQAEMMJKKU-UHFFFAOYSA-N 0 2 303.370 0.132 20 0 DCADLN CC(C)(C)[NH+]1CC(NC(=O)C(F)(F)C(F)(F)C(=O)[O-])C1 ZINC001180179938 771069413 /nfs/dbraw/zinc/06/94/13/771069413.db2.gz JMXVCHGUNDIRBS-UHFFFAOYSA-N 0 2 300.252 0.941 20 0 DCADLN CC(C)CC(=O)N1CCC(C(=O)NCc2n[nH]c(=O)[nH]2)CC1 ZINC001180623334 771143268 /nfs/dbraw/zinc/14/32/68/771143268.db2.gz HBEXIFFNPIPVOW-UHFFFAOYSA-N 0 2 309.370 0.411 20 0 DCADLN CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110318229 771512005 /nfs/dbraw/zinc/51/20/05/771512005.db2.gz JJXAXUYHLAVYOW-DBIOUOCHSA-N 0 2 309.370 0.157 20 0 DCADLN CC(=O)OC[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC001183116635 771606197 /nfs/dbraw/zinc/60/61/97/771606197.db2.gz ZFEUYLUPHOPCSL-LLVKDONJSA-N 0 2 304.306 0.473 20 0 DCADLN Nc1ccc(OCCO)c(NC(=O)C(CO)C(F)(F)F)c1 ZINC001183285508 771636857 /nfs/dbraw/zinc/63/68/57/771636857.db2.gz SSEPDLOTOLWKKJ-MRVPVSSYSA-N 0 2 308.256 0.749 20 0 DCADLN Nc1ccc(OCCO)c(NC(=O)[C@@H](CO)C(F)(F)F)c1 ZINC001183285508 771636861 /nfs/dbraw/zinc/63/68/61/771636861.db2.gz SSEPDLOTOLWKKJ-MRVPVSSYSA-N 0 2 308.256 0.749 20 0 DCADLN COc1cc(N2CCN(c3cc(C[NH3+])ncn3)CC2)cc[nH+]1 ZINC001158097709 771725545 /nfs/dbraw/zinc/72/55/45/771725545.db2.gz FXYDLGSAMAMPCB-UHFFFAOYSA-N 0 2 300.366 0.666 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2[nH]c(=O)nc3nc[nH]c32)n1 ZINC001185187905 771876183 /nfs/dbraw/zinc/87/61/83/771876183.db2.gz NVCGHOBTEFGVPZ-UHFFFAOYSA-N 0 2 319.306 0.528 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1ccc(O)c(F)c1 ZINC001186233696 772018933 /nfs/dbraw/zinc/01/89/33/772018933.db2.gz OKJGLPJIUJIMMN-UHFFFAOYSA-N 0 2 305.225 0.813 20 0 DCADLN NC(=O)c1n[nH]c2ccc(NC(=O)Cc3cncc(O)c3)cc21 ZINC001160998012 772147153 /nfs/dbraw/zinc/14/71/53/772147153.db2.gz KQJUEMVPMAEROR-UHFFFAOYSA-N 0 2 311.301 0.944 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cc(Cl)nc(OC)n1 ZINC001188349960 772297950 /nfs/dbraw/zinc/29/79/50/772297950.db2.gz IRBMVDIJYKJLFK-UHFFFAOYSA-N 0 2 309.731 0.443 20 0 DCADLN COC(=O)c1nc2ccc(NC[C@@H]3CCNC(=O)C3)nc2[nH]1 ZINC001162338456 772363243 /nfs/dbraw/zinc/36/32/43/772363243.db2.gz WRSDHKMQALGLQR-MRVPVSSYSA-N 0 2 303.322 0.683 20 0 DCADLN CC[C@@H](C[N@H+](C)[C@@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1)OC ZINC001189664411 772516174 /nfs/dbraw/zinc/51/61/74/772516174.db2.gz NVDNTFTXJRFDFX-NEPJUHHUSA-N 0 2 310.398 0.995 20 0 DCADLN CC[C@@H](C[N@@H+](C)[C@@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1)OC ZINC001189664411 772516177 /nfs/dbraw/zinc/51/61/77/772516177.db2.gz NVDNTFTXJRFDFX-NEPJUHHUSA-N 0 2 310.398 0.995 20 0 DCADLN Cc1c[nH]c(CCNC(=O)c2ccccc2S(=O)(=O)[O-])[nH+]1 ZINC001189698901 772518563 /nfs/dbraw/zinc/51/85/63/772518563.db2.gz MUCNDTHOAVWDEM-UHFFFAOYSA-N 0 2 309.347 0.937 20 0 DCADLN O=S(=O)(c1ncc[nH]1)N1CC[C@H]([NH+]2CCCC2)[C@@H](F)C1 ZINC001190718974 772667920 /nfs/dbraw/zinc/66/79/20/772667920.db2.gz WDVYQWYQYCYXGB-QWRGUYRKSA-N 0 2 302.375 0.607 20 0 DCADLN O=S(=O)(c1ncc[n-]1)N1CC[C@H]([NH+]2CCCC2)[C@@H](F)C1 ZINC001190718974 772667924 /nfs/dbraw/zinc/66/79/24/772667924.db2.gz WDVYQWYQYCYXGB-QWRGUYRKSA-N 0 2 302.375 0.607 20 0 DCADLN Cc1nc(C(=O)NCc2n[nH]c(=O)[nH]2)c(Br)o1 ZINC001191636643 772795517 /nfs/dbraw/zinc/79/55/17/772795517.db2.gz RQCKXQRANIVXHT-UHFFFAOYSA-N 0 2 302.088 0.499 20 0 DCADLN Nc1ccc(N)c(S(=O)(=O)Nc2cnc3ccnn3c2)c1 ZINC001204835249 772964695 /nfs/dbraw/zinc/96/46/95/772964695.db2.gz WWEXNPTXRSNBGN-UHFFFAOYSA-N 0 2 304.335 0.695 20 0 DCADLN CS(=O)(=O)NC1CN(C(=O)c2cc(F)c(O)cc2F)C1 ZINC001192867741 772966558 /nfs/dbraw/zinc/96/65/58/772966558.db2.gz RBIJHALMGONQAI-UHFFFAOYSA-N 0 2 306.290 0.044 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)C[C@@H]2CCCO2)n1 ZINC001193205621 773024224 /nfs/dbraw/zinc/02/42/24/773024224.db2.gz KBFYSIHIKWOPFC-VIFPVBQESA-N 0 2 300.336 0.789 20 0 DCADLN COC1(CC(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CCC1 ZINC001206914894 773131953 /nfs/dbraw/zinc/13/19/53/773131953.db2.gz WAXFKURPSRZFAP-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN COC1(CC(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CCC1 ZINC001206914894 773131955 /nfs/dbraw/zinc/13/19/55/773131955.db2.gz WAXFKURPSRZFAP-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CN(Cc2ncnn2C)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207023126 773163465 /nfs/dbraw/zinc/16/34/65/773163465.db2.gz QOEUUKMQQQRGCW-MRTMQBJTSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H]1CN(Cc2ncnn2C)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001207023126 773163467 /nfs/dbraw/zinc/16/34/67/773163467.db2.gz QOEUUKMQQQRGCW-MRTMQBJTSA-N 0 2 323.294 0.652 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2n[nH]cc2Br)[nH]n1 ZINC001194278425 773166078 /nfs/dbraw/zinc/16/60/78/773166078.db2.gz AJKCCDPYXNMXPL-UHFFFAOYSA-N 0 2 314.099 0.934 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2n[nH]cc2Br)n[nH]1 ZINC001194278425 773166081 /nfs/dbraw/zinc/16/60/81/773166081.db2.gz AJKCCDPYXNMXPL-UHFFFAOYSA-N 0 2 314.099 0.934 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)nn1CC(F)(F)F ZINC001194317913 773174412 /nfs/dbraw/zinc/17/44/12/773174412.db2.gz WVDMKUJBQGFPBL-UHFFFAOYSA-N 0 2 304.232 0.508 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2c(C)noc2C(=O)OC)[nH]n1 ZINC001194283779 773175984 /nfs/dbraw/zinc/17/59/84/773175984.db2.gz RCWCNKQSGPGMAB-UHFFFAOYSA-N 0 2 308.250 0.532 20 0 DCADLN CCOC(=O)c1nc(NC(=O)c2cc(C(=O)OC)n[nH]2)c[nH]1 ZINC001194283683 773176456 /nfs/dbraw/zinc/17/64/56/773176456.db2.gz QBLALGVGTKDARR-UHFFFAOYSA-N 0 2 307.266 0.348 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccn(CC2CC2)c1=O ZINC001194594784 773213046 /nfs/dbraw/zinc/21/30/46/773213046.db2.gz DMXNPVHGOUUWPT-NSHDSACASA-N 0 2 307.331 0.297 20 0 DCADLN CS[C@@H](C)CC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195541425 773431423 /nfs/dbraw/zinc/43/14/23/773431423.db2.gz UHZHNJQEPYQTPT-JTQLQIEISA-N 0 2 313.427 0.686 20 0 DCADLN CC(=O)Nc1cc(NS(=O)(=O)c2ccc(O)cc2)ncn1 ZINC001195765725 773471334 /nfs/dbraw/zinc/47/13/34/773471334.db2.gz BAUYKONEMDZNJN-UHFFFAOYSA-N 0 2 308.319 0.941 20 0 DCADLN CCOC(=O)CS(=O)(=O)[N-]c1cc(C)nn1-c1cc[nH+]cc1 ZINC001195941448 773499420 /nfs/dbraw/zinc/49/94/20/773499420.db2.gz DBPIXQKCBZHSOA-UHFFFAOYSA-N 0 2 324.362 0.881 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C)C(C)(F)F ZINC001208721449 773520934 /nfs/dbraw/zinc/52/09/34/773520934.db2.gz BLTJTHJHQWQCCH-HRDYMLBCSA-N 0 2 317.340 0.738 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(C)(F)F ZINC001208721449 773520937 /nfs/dbraw/zinc/52/09/37/773520937.db2.gz BLTJTHJHQWQCCH-HRDYMLBCSA-N 0 2 317.340 0.738 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(C)(F)F ZINC001208721449 773520942 /nfs/dbraw/zinc/52/09/42/773520942.db2.gz BLTJTHJHQWQCCH-HRDYMLBCSA-N 0 2 317.340 0.738 20 0 DCADLN CN1CCN(S(=O)(=O)c2cccc(Nc3nnco3)c2)CC1 ZINC001210062574 773672096 /nfs/dbraw/zinc/67/20/96/773672096.db2.gz AQABGQFZTCCIDU-UHFFFAOYSA-N 0 2 323.378 0.749 20 0 DCADLN Cn1cnc2c1ncnc2NS(=O)(=O)Cc1cccnc1 ZINC001197422891 773745675 /nfs/dbraw/zinc/74/56/75/773745675.db2.gz QWRUBZXUTAVYFN-UHFFFAOYSA-N 0 2 304.335 0.700 20 0 DCADLN O=C(c1cc(-n2ncc(=O)[nH]c2=O)ccc1Cl)N1CC=CC1 ZINC001197983396 773836533 /nfs/dbraw/zinc/83/65/33/773836533.db2.gz VFBHQQSXWRIONG-UHFFFAOYSA-N 0 2 318.720 0.999 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1nccnc1OCC1CC1 ZINC001198026510 773845339 /nfs/dbraw/zinc/84/53/39/773845339.db2.gz XGQYGHBSFWEPTD-NSHDSACASA-N 0 2 308.319 0.304 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cnn(C2CCOCC2)c1 ZINC001218589110 774257517 /nfs/dbraw/zinc/25/75/17/774257517.db2.gz SFEPKKDNABKIGM-CYBMUJFWSA-N 0 2 304.354 0.466 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cccnc1OC1COC1 ZINC001218619066 774264708 /nfs/dbraw/zinc/26/47/08/774264708.db2.gz WLSHJGAVULUTHX-LLVKDONJSA-N 0 2 303.322 0.091 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OC[C@H]1CCCCO1 ZINC001205916762 774501178 /nfs/dbraw/zinc/50/11/78/774501178.db2.gz KDHQFMVPVKBQHU-OLZOCXBDSA-N 0 2 323.411 0.161 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccon2)C[C@@H]1O)C(F)C(F)(F)F ZINC001219668407 774575725 /nfs/dbraw/zinc/57/57/25/774575725.db2.gz YBMLVEPUYPGMPQ-HRDYMLBCSA-N 0 2 311.235 0.236 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccon2)C[C@@H]1O)[C@@H](F)C(F)(F)F ZINC001219668407 774575733 /nfs/dbraw/zinc/57/57/33/774575733.db2.gz YBMLVEPUYPGMPQ-HRDYMLBCSA-N 0 2 311.235 0.236 20 0 DCADLN COCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1[nH+]ccn1C)C2 ZINC001110842545 775054225 /nfs/dbraw/zinc/05/42/25/775054225.db2.gz DUHYOWVJHOVROI-MCIONIFRSA-N 0 2 306.410 0.721 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCC1CCCC1 ZINC001222707774 775421880 /nfs/dbraw/zinc/42/18/80/775421880.db2.gz IGBAIYNKUBLQJU-ZDUSSCGKSA-N 0 2 307.412 0.782 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001111209215 775598401 /nfs/dbraw/zinc/59/84/01/775598401.db2.gz YEJHMUJIZBWINA-QCNOEVLYSA-N 0 2 323.397 0.404 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001111209215 775598411 /nfs/dbraw/zinc/59/84/11/775598411.db2.gz YEJHMUJIZBWINA-QCNOEVLYSA-N 0 2 323.397 0.404 20 0 DCADLN O=C(C(F)C(F)(F)F)N(CCO)CCNc1ncccn1 ZINC001111579761 775670334 /nfs/dbraw/zinc/67/03/34/775670334.db2.gz PGMDCHDTCDMBMS-QMMMGPOBSA-N 0 2 310.251 0.610 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N(CCO)CCNc1ncccn1 ZINC001111579761 775670343 /nfs/dbraw/zinc/67/03/43/775670343.db2.gz PGMDCHDTCDMBMS-QMMMGPOBSA-N 0 2 310.251 0.610 20 0 DCADLN CC[C@@H](SC)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226917759 775896386 /nfs/dbraw/zinc/89/63/86/775896386.db2.gz ZJLGNUPXLVDAAS-SNVBAGLBSA-N 0 2 313.427 0.733 20 0 DCADLN C[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C(F)(F)F ZINC001228678918 776112952 /nfs/dbraw/zinc/11/29/52/776112952.db2.gz KXUQZQKIFFLJGZ-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1(CF)CCC1 ZINC001229295597 776178220 /nfs/dbraw/zinc/17/82/20/776178220.db2.gz JKOKWZPKDAYSJH-UHFFFAOYSA-N 0 2 311.361 0.731 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@@H+](C)[C@H](C)[C@@H]2C)oc1C(=O)[O-] ZINC001600294156 1168158143 /nfs/dbraw/zinc/15/81/43/1168158143.db2.gz YWSUWPRONTUNQG-ZJUUUORDSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@H+](C)[C@H](C)[C@@H]2C)oc1C(=O)[O-] ZINC001600294156 1168158145 /nfs/dbraw/zinc/15/81/45/1168158145.db2.gz YWSUWPRONTUNQG-ZJUUUORDSA-N 0 2 316.379 0.999 20 0 DCADLN C[C@@]1(NC(=O)C[C@H]2C=CCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001278355306 945240439 /nfs/dbraw/zinc/24/04/39/945240439.db2.gz ATECBQFCRFSBOR-XHDPSFHLSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@]1(NC(=O)C[C@H]2C=CCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001278355306 945240449 /nfs/dbraw/zinc/24/04/49/945240449.db2.gz ATECBQFCRFSBOR-XHDPSFHLSA-N 0 2 305.382 0.947 20 0 DCADLN CCCc1cc(C(=O)N(C)CC[NH2+]Cc2n[nH]c(=O)[n-]2)n[nH]1 ZINC001481264683 939680160 /nfs/dbraw/zinc/68/01/60/939680160.db2.gz HPXSSHTUDACKJX-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN CCCC[C@@H](C(N)=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001481561157 939938532 /nfs/dbraw/zinc/93/85/32/939938532.db2.gz INFLXGMSLKDZOI-DTWKUNHWSA-N 0 2 313.295 0.731 20 0 DCADLN CCCC[C@@H](C(N)=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001481561157 939938533 /nfs/dbraw/zinc/93/85/33/939938533.db2.gz INFLXGMSLKDZOI-DTWKUNHWSA-N 0 2 313.295 0.731 20 0 DCADLN NC(=O)C12CC(NC(=O)c3c[nH]c4ccc(F)cc4c3=O)(C1)C2 ZINC001270627202 940947013 /nfs/dbraw/zinc/94/70/13/940947013.db2.gz AZNAPROFMHTVEQ-UHFFFAOYSA-N 0 2 315.304 0.805 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1CCC(=O)Nc1ccccc1F ZINC000322038961 970840971 /nfs/dbraw/zinc/84/09/71/970840971.db2.gz VBOOFDWKAOQMID-LBPRGKRZSA-N 0 2 323.324 0.429 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1CCC(=O)Nc1ccccc1F ZINC000322038961 970840979 /nfs/dbraw/zinc/84/09/79/970840979.db2.gz VBOOFDWKAOQMID-LBPRGKRZSA-N 0 2 323.324 0.429 20 0 DCADLN CCCc1noc(C[NH2+]C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001483120928 941862087 /nfs/dbraw/zinc/86/20/87/941862087.db2.gz RTOMGMRKFWVJTO-MRVPVSSYSA-N 0 2 322.369 0.667 20 0 DCADLN CN1CC2(CN(C(=O)c3c(F)ccc(F)c3O)C2)OCC1=O ZINC001272249833 941895400 /nfs/dbraw/zinc/89/54/00/941895400.db2.gz ZJIXXLQRZKYRPG-UHFFFAOYSA-N 0 2 312.272 0.354 20 0 DCADLN CN1C(=O)COCC12CN(C(=O)c1cc(F)c(O)cc1F)C2 ZINC001272262535 941965882 /nfs/dbraw/zinc/96/58/82/941965882.db2.gz AHYBRZFYCLIYSV-UHFFFAOYSA-N 0 2 312.272 0.354 20 0 DCADLN CCOC(C)(C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412840099 942079252 /nfs/dbraw/zinc/07/92/52/942079252.db2.gz GEGRQLZYPVHOBP-UHFFFAOYSA-N 0 2 320.349 0.811 20 0 DCADLN CC(F)(F)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001412870618 942116135 /nfs/dbraw/zinc/11/61/35/942116135.db2.gz FYNPITDQUQIDIS-RFZPGFLSSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001412870618 942116139 /nfs/dbraw/zinc/11/61/39/942116139.db2.gz FYNPITDQUQIDIS-RFZPGFLSSA-N 0 2 310.194 0.135 20 0 DCADLN O=C(CCCF)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001412957519 942156056 /nfs/dbraw/zinc/15/60/56/942156056.db2.gz IPLZMXQAYQUEPW-SVRRBLITSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001412957519 942156058 /nfs/dbraw/zinc/15/60/58/942156058.db2.gz IPLZMXQAYQUEPW-SVRRBLITSA-N 0 2 306.231 0.230 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc2occc2[nH]1 ZINC001483344038 942286010 /nfs/dbraw/zinc/28/60/10/942286010.db2.gz OESJZHRSBUBIDW-QMMMGPOBSA-N 0 2 318.337 0.835 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc2occc2[nH]1 ZINC001483344038 942286013 /nfs/dbraw/zinc/28/60/13/942286013.db2.gz OESJZHRSBUBIDW-QMMMGPOBSA-N 0 2 318.337 0.835 20 0 DCADLN Cn1cc[nH+]c1C[C@H](NC(=O)COCC(=O)[O-])c1ccccc1 ZINC001600986164 970893719 /nfs/dbraw/zinc/89/37/19/970893719.db2.gz YXNDTGIGHRSUNR-ZDUSSCGKSA-N 0 2 317.345 0.921 20 0 DCADLN CC(=O)c1ccc(O)c(C(=O)N2CC3(C2)COCC(=O)N3C)c1 ZINC001272317637 942685165 /nfs/dbraw/zinc/68/51/65/942685165.db2.gz NGDWBPDPXJVHTE-UHFFFAOYSA-N 0 2 318.329 0.278 20 0 DCADLN C[NH+](C)CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cc[nH]n1)C2 ZINC001272542391 942814964 /nfs/dbraw/zinc/81/49/64/942814964.db2.gz WGZIRNVLHYOSLK-MRXNPFEDSA-N 0 2 321.425 0.022 20 0 DCADLN O=C(Nc1nccc(Br)c1O)[C@H]1COC(=O)N1 ZINC001413381172 942939057 /nfs/dbraw/zinc/93/90/57/942939057.db2.gz UVOQNAVJDAIUAX-RXMQYKEDSA-N 0 2 302.084 0.597 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2ccnn2C)C1 ZINC001483864939 942990878 /nfs/dbraw/zinc/99/08/78/942990878.db2.gz PDXFURDSVSYTCT-SNVBAGLBSA-N 0 2 308.279 0.963 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2ccnn2C)C1 ZINC001483864939 942990882 /nfs/dbraw/zinc/99/08/82/942990882.db2.gz PDXFURDSVSYTCT-SNVBAGLBSA-N 0 2 308.279 0.963 20 0 DCADLN COC[C@@H](NC(=O)[C@@H](CC(C)C)n1ccnc1)c1nn[nH]n1 ZINC001413548805 943064709 /nfs/dbraw/zinc/06/47/09/943064709.db2.gz AFVHMEZDHDHPAA-GHMZBOCLSA-N 0 2 307.358 0.487 20 0 DCADLN O=C(c1ccc(O)cc1O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001413565174 943079719 /nfs/dbraw/zinc/07/97/19/943079719.db2.gz VFQMXIVWTBCXOA-VIFPVBQESA-N 0 2 303.322 0.706 20 0 DCADLN CCO[C@@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001484043470 943113232 /nfs/dbraw/zinc/11/32/32/943113232.db2.gz AWDIIGSGCMTKPA-IUODEOHRSA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001484043470 943113234 /nfs/dbraw/zinc/11/32/34/943113234.db2.gz AWDIIGSGCMTKPA-IUODEOHRSA-N 0 2 323.397 0.406 20 0 DCADLN CCCC(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056805684 943425957 /nfs/dbraw/zinc/42/59/57/943425957.db2.gz FTTWBOAAZMURSO-SECBINFHSA-N 0 2 302.268 0.624 20 0 DCADLN CCCC(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001056805684 943425961 /nfs/dbraw/zinc/42/59/61/943425961.db2.gz FTTWBOAAZMURSO-SECBINFHSA-N 0 2 302.268 0.624 20 0 DCADLN CCCCCC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001484587055 943462587 /nfs/dbraw/zinc/46/25/87/943462587.db2.gz LIRPGJYGRFDNGA-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@@H](c3cnccn3)C2)S1 ZINC001413666920 943559110 /nfs/dbraw/zinc/55/91/10/943559110.db2.gz NOYXAAZVZIAVSA-PSASIEDQSA-N 0 2 305.363 0.349 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CC(=O)N(C(C)(C)C)C1)c1nn(C)cc1O ZINC001413758857 943668719 /nfs/dbraw/zinc/66/87/19/943668719.db2.gz IJIGLOVYLNARLY-ZJUUUORDSA-N 0 2 308.382 0.950 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)[C@@H](C)[NH2+]Cc2csnn2)c1[O-] ZINC001485140496 943725996 /nfs/dbraw/zinc/72/59/96/943725996.db2.gz RKFXIWBIKVDNSC-RNFRBKRXSA-N 0 2 310.383 0.572 20 0 DCADLN CCc1nc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001485292673 943801274 /nfs/dbraw/zinc/80/12/74/943801274.db2.gz ILOSGFPFPCMSRZ-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1nc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001485292673 943801281 /nfs/dbraw/zinc/80/12/81/943801281.db2.gz ILOSGFPFPCMSRZ-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001601659229 971038807 /nfs/dbraw/zinc/03/88/07/971038807.db2.gz HHZRGDGDRQLXTE-UTUOFQBUSA-N 0 2 300.318 0.720 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001601659229 971038819 /nfs/dbraw/zinc/03/88/19/971038819.db2.gz HHZRGDGDRQLXTE-UTUOFQBUSA-N 0 2 300.318 0.720 20 0 DCADLN C[C@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)[NH2+]CC(=O)N(C)C ZINC001491505861 944055501 /nfs/dbraw/zinc/05/55/01/944055501.db2.gz PQHPOEHCHAOMJD-SNVBAGLBSA-N 0 2 303.366 0.359 20 0 DCADLN CCOCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001317165953 944831817 /nfs/dbraw/zinc/83/18/17/944831817.db2.gz KYXZPVOQBCPKAX-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CCOCCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001317165953 944831823 /nfs/dbraw/zinc/83/18/23/944831823.db2.gz KYXZPVOQBCPKAX-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN O=C(NC1CC(CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001486606114 944994809 /nfs/dbraw/zinc/99/48/09/944994809.db2.gz RUPBGNNJLRPKTD-NPWHJSNTSA-N 0 2 323.250 0.330 20 0 DCADLN O=C(CS[C@H]1CCS(=O)(=O)C1)NOCc1cccnc1 ZINC001319450177 945590255 /nfs/dbraw/zinc/59/02/55/945590255.db2.gz UQFMOISTDJEAML-NSHDSACASA-N 0 2 316.404 0.550 20 0 DCADLN O=C([O-])[C@H](Cc1ccccc1)NS(=O)(=O)CCn1cc[nH+]c1 ZINC001602208706 971173551 /nfs/dbraw/zinc/17/35/51/971173551.db2.gz QDWCISZBYPVAEY-ZDUSSCGKSA-N 0 2 323.374 0.498 20 0 DCADLN COc1c(C(=O)NCc2n[nH]c(=O)[nH]2)cnn1-c1ccccc1 ZINC001320354442 945835291 /nfs/dbraw/zinc/83/52/91/945835291.db2.gz UIDFGHOBGHJYRN-UHFFFAOYSA-N 0 2 314.305 0.635 20 0 DCADLN COC(=O)CN1CCC(NS(=O)(=O)CC(F)(F)F)CC1 ZINC001253210634 946095069 /nfs/dbraw/zinc/09/50/69/946095069.db2.gz QZRNQQFVMLLQSF-UHFFFAOYSA-N 0 2 318.317 0.106 20 0 DCADLN Cn1c(=O)ccc2c1CC[C@@H](NS(=O)(=O)CC(F)(F)F)C2 ZINC001253210684 946096922 /nfs/dbraw/zinc/09/69/22/946096922.db2.gz UTQLLKHANXFLRM-SECBINFHSA-N 0 2 324.324 0.724 20 0 DCADLN O=S(=O)(CC(F)(F)F)NC1CCN(C2COC2)CC1 ZINC001253224042 946099247 /nfs/dbraw/zinc/09/92/47/946099247.db2.gz JWUPCCYNXABDEO-UHFFFAOYSA-N 0 2 302.318 0.331 20 0 DCADLN CCOC(=O)c1snc(C(N)=O)c1NS(=O)(=O)CC ZINC001253277311 946105170 /nfs/dbraw/zinc/10/51/70/946105170.db2.gz GUYHNAZCAKLIPW-UHFFFAOYSA-N 0 2 307.353 0.180 20 0 DCADLN CCS(=O)(=O)Nc1cc(S(=O)(=O)C(N)=O)ccc1O ZINC001253373610 946111924 /nfs/dbraw/zinc/11/19/24/946111924.db2.gz BSFSFASTYPXKQA-UHFFFAOYSA-N 0 2 308.337 0.420 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)c1c(C)noc1C)C(F)(F)F ZINC001258762092 946861504 /nfs/dbraw/zinc/86/15/04/946861504.db2.gz OLJOJOBIZAYSBK-SSDOTTSWSA-N 0 2 316.257 0.674 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ccncn1 ZINC001410739258 946868668 /nfs/dbraw/zinc/86/86/68/946868668.db2.gz VBRMWNYUMACSHF-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1ccncn1 ZINC001410739258 946868676 /nfs/dbraw/zinc/86/86/76/946868676.db2.gz VBRMWNYUMACSHF-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc(S(C)(=O)=O)cc2)o1 ZINC001259139456 946919167 /nfs/dbraw/zinc/91/91/67/946919167.db2.gz ORTYWKVNHXOZGI-UHFFFAOYSA-N 0 2 317.348 0.582 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)c1 ZINC001260187210 947081524 /nfs/dbraw/zinc/08/15/24/947081524.db2.gz GGYZPIXEEPDLIX-UHFFFAOYSA-N 0 2 307.331 0.786 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1ccc(F)c(C)c1)C(=O)OC ZINC001260761755 947144941 /nfs/dbraw/zinc/14/49/41/947144941.db2.gz UYCKSUXZHAFBQP-UHFFFAOYSA-N 0 2 319.310 0.127 20 0 DCADLN COC(=O)C1(NS(=O)(=O)Cc2cccc(Cl)c2)COC1 ZINC001260835587 947151416 /nfs/dbraw/zinc/15/14/16/947151416.db2.gz AXQAKXIITNZQSE-UHFFFAOYSA-N 0 2 319.766 0.701 20 0 DCADLN CN1C(=O)NC2(CCN(C(=O)C(F)C(F)(F)F)CC2)C1=O ZINC001323907991 947249847 /nfs/dbraw/zinc/24/98/47/947249847.db2.gz WRYQTSUWEDYBTC-LURJTMIESA-N 0 2 311.235 0.430 20 0 DCADLN CN1C(=O)NC2(CCN(C(=O)[C@H](F)C(F)(F)F)CC2)C1=O ZINC001323907991 947249855 /nfs/dbraw/zinc/24/98/55/947249855.db2.gz WRYQTSUWEDYBTC-LURJTMIESA-N 0 2 311.235 0.430 20 0 DCADLN CC(C)OCCS(=O)(=O)NNc1nncc(N)c1Cl ZINC001323975273 947272499 /nfs/dbraw/zinc/27/24/99/947272499.db2.gz UXCDZJXUNMJWML-UHFFFAOYSA-N 0 2 309.779 0.384 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CC12CCOCC2)Cc1n[nH]c(=O)[n-]1 ZINC001493067480 947338777 /nfs/dbraw/zinc/33/87/77/947338777.db2.gz KRCTYENTBHPUMA-LLVKDONJSA-N 0 2 323.397 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CC12CCOCC2)Cc1n[nH]c(=O)[n-]1 ZINC001493067480 947338790 /nfs/dbraw/zinc/33/87/90/947338790.db2.gz KRCTYENTBHPUMA-LLVKDONJSA-N 0 2 323.397 0.265 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cnc(-c3ncccn3)s2)C1=O ZINC001324928801 947577482 /nfs/dbraw/zinc/57/74/82/947577482.db2.gz RKIJBXSVZVLOGT-UHFFFAOYSA-N 0 2 318.318 0.139 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1cnc(Cl)nc1 ZINC001185003491 947927518 /nfs/dbraw/zinc/92/75/18/947927518.db2.gz BBXRHFKOPFEPML-UHFFFAOYSA-N 0 2 303.687 0.132 20 0 DCADLN C/C=C(/C)C(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001273656131 948008108 /nfs/dbraw/zinc/00/81/08/948008108.db2.gz VHVHHPOSJPNBKJ-CGXZDELUSA-N 0 2 321.381 0.326 20 0 DCADLN Cc1c(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)cnn1C ZINC001570920482 948599607 /nfs/dbraw/zinc/59/96/07/948599607.db2.gz PMENWNYUIDTCIL-UHFFFAOYSA-N 0 2 314.309 0.570 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2C[C@@H]3CCCC[C@H]23)c(-c2nn[nH]n2)c1=O ZINC001570921134 948615067 /nfs/dbraw/zinc/61/50/67/948615067.db2.gz OVUJKXQKXQDELP-XHNCKOQMSA-N 0 2 317.353 0.657 20 0 DCADLN CCC[C@H](C)NC(=O)[C@@H](C)[N@@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001589631633 949550055 /nfs/dbraw/zinc/55/00/55/949550055.db2.gz RLAMEOPZTYDKMX-YWPYICTPSA-N 0 2 316.398 0.482 20 0 DCADLN CCC[C@H](C)NC(=O)[C@@H](C)[N@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001589631633 949550070 /nfs/dbraw/zinc/55/00/70/949550070.db2.gz RLAMEOPZTYDKMX-YWPYICTPSA-N 0 2 316.398 0.482 20 0 DCADLN CCO[C@@H](C(=O)NCCc1n[nH]c(=S)o1)[C@H]1CCOC1 ZINC001329421946 949575952 /nfs/dbraw/zinc/57/59/52/949575952.db2.gz DSNWWBNRJMDXLO-WCBMZHEXSA-N 0 2 301.368 0.459 20 0 DCADLN Cc1cc(S(=O)(=O)NCC[NH+]2CC=CC2)sc1C(=O)[O-] ZINC000392229103 949649492 /nfs/dbraw/zinc/64/94/92/949649492.db2.gz NRCSQVORCHIXLH-UHFFFAOYSA-N 0 2 316.404 0.905 20 0 DCADLN O=C1C[C@@H](NS(=O)(=O)c2onc(C3CC3)c2Cl)CN1 ZINC001364815223 949993438 /nfs/dbraw/zinc/99/34/38/949993438.db2.gz PFPSIOVOFCWOLM-ZCFIWIBFSA-N 0 2 305.743 0.372 20 0 DCADLN Cn1cc(CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)nn1 ZINC001364904524 950165619 /nfs/dbraw/zinc/16/56/19/950165619.db2.gz LKKKHEGIFDUKKM-GOSISDBHSA-N 0 2 309.417 0.046 20 0 DCADLN O=C(N[C@]12C[C@H]1CCC2)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001364950072 950244841 /nfs/dbraw/zinc/24/48/41/950244841.db2.gz DSRZABYXELLNKQ-MEBBXXQBSA-N 0 2 300.318 0.939 20 0 DCADLN C[C@]1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1(F)F ZINC001364997660 950323610 /nfs/dbraw/zinc/32/36/10/950323610.db2.gz LKBZXOOQEPYGMO-SECBINFHSA-N 0 2 308.310 0.011 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC001365110516 950536991 /nfs/dbraw/zinc/53/69/91/950536991.db2.gz ZXXROMYDLVOTFC-VHSXEESVSA-N 0 2 319.369 0.894 20 0 DCADLN Nc1nc2nc(C[N@H+](Cc3ccncc3)C3CC3)cc(=O)n2[n-]1 ZINC001331035066 950840091 /nfs/dbraw/zinc/84/00/91/950840091.db2.gz QVXNMFCBGOKIGJ-UHFFFAOYSA-N 0 2 311.349 0.559 20 0 DCADLN Nc1nc2nc(C[N@@H+](Cc3ccncc3)C3CC3)cc(=O)n2[n-]1 ZINC001331035066 950840113 /nfs/dbraw/zinc/84/01/13/950840113.db2.gz QVXNMFCBGOKIGJ-UHFFFAOYSA-N 0 2 311.349 0.559 20 0 DCADLN Cn1ccc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001365372722 951036307 /nfs/dbraw/zinc/03/63/07/951036307.db2.gz KVPDOTXKCBNNSV-SECBINFHSA-N 0 2 305.342 0.333 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@H](C(=O)N[C@H]2CCn3c[nH+]cc3C2)CO1 ZINC001595000468 951114479 /nfs/dbraw/zinc/11/44/79/951114479.db2.gz BOXQIFCYKQKRCH-GMXVVIOVSA-N 0 2 307.350 0.584 20 0 DCADLN Cc1ncoc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365444361 951134778 /nfs/dbraw/zinc/13/47/78/951134778.db2.gz JIURWYNZGFWACZ-SECBINFHSA-N 0 2 306.326 0.896 20 0 DCADLN CN(CCCF)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC001365464902 951156146 /nfs/dbraw/zinc/15/61/46/951156146.db2.gz XXUJWALWPNQNLB-UHFFFAOYSA-N 0 2 316.314 0.416 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](CC(=O)Nc1ccccc1C(N)=O)C1CC1 ZINC000383124276 951237849 /nfs/dbraw/zinc/23/78/49/951237849.db2.gz HCDDWDZUFDLDRJ-SECBINFHSA-N 0 2 305.334 0.662 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](CC(=O)Nc1ccccc1C(N)=O)C1CC1 ZINC000383124276 951237862 /nfs/dbraw/zinc/23/78/62/951237862.db2.gz HCDDWDZUFDLDRJ-SECBINFHSA-N 0 2 305.334 0.662 20 0 DCADLN Cc1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)oc1C ZINC001365623438 951335577 /nfs/dbraw/zinc/33/55/77/951335577.db2.gz KRUCRQRGUZNXOS-UHFFFAOYSA-N 0 2 305.338 0.678 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCC([C@@H](O)C(F)(F)F)CC2)C1=O ZINC001595072097 951603555 /nfs/dbraw/zinc/60/35/55/951603555.db2.gz MJJNLKPAXBBAKZ-GXSJLCMTSA-N 0 2 324.299 0.307 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)NCc1ccccc1C(=O)[O-] ZINC001332438078 951647030 /nfs/dbraw/zinc/64/70/30/951647030.db2.gz NDEQLKVLXNFVGJ-ZZXKWVIFSA-N 0 2 304.346 0.889 20 0 DCADLN O=C([O-])COCCNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001332598705 951714847 /nfs/dbraw/zinc/71/48/47/951714847.db2.gz XKTGINACWYSFCR-CQSZACIVSA-N 0 2 321.377 0.654 20 0 DCADLN O=C([O-])COCCNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001332598705 951714851 /nfs/dbraw/zinc/71/48/51/951714851.db2.gz XKTGINACWYSFCR-CQSZACIVSA-N 0 2 321.377 0.654 20 0 DCADLN O=C([O-])CNC(=O)C[NH2+][C@@H](CO)c1cccc(Cl)c1Cl ZINC001595098899 951845291 /nfs/dbraw/zinc/84/52/91/951845291.db2.gz YMFPXFFMHKSTES-VIFPVBQESA-N 0 2 321.160 0.817 20 0 DCADLN O=C([O-])CNC(=O)C[NH2+][C@H](CO)c1cccc(Cl)c1Cl ZINC001595098898 951845979 /nfs/dbraw/zinc/84/59/79/951845979.db2.gz YMFPXFFMHKSTES-SECBINFHSA-N 0 2 321.160 0.817 20 0 DCADLN CO[N-]C(=O)CNC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001332912807 951846156 /nfs/dbraw/zinc/84/61/56/951846156.db2.gz KHNKQZQAPIDPEF-NSHDSACASA-N 0 2 309.370 0.062 20 0 DCADLN CCN1CCN(C(=O)c2nc[nH]c(=O)c2Br)CC1 ZINC001411618273 952326958 /nfs/dbraw/zinc/32/69/58/952326958.db2.gz MGZVMQSPAWLWIN-UHFFFAOYSA-N 0 2 315.171 0.722 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)[nH]n1 ZINC001595206863 952333536 /nfs/dbraw/zinc/33/35/36/952333536.db2.gz JKUGIKWFOKPLSA-JTQLQIEISA-N 0 2 308.338 0.045 20 0 DCADLN C/C(=C/C(=O)N1CC[C@@H](C(=O)[O-])C[C@H]1C)C[NH+]1CCOCC1 ZINC001335190150 952480127 /nfs/dbraw/zinc/48/01/27/952480127.db2.gz HYTFXIZOZGSNJA-ISESHHHUSA-N 0 2 310.394 0.977 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](c3ccc(O)cc3)C2=O)[nH]1 ZINC001335246307 952499720 /nfs/dbraw/zinc/49/97/20/952499720.db2.gz RKNVJKDQGJLDCK-GFCCVEGCSA-N 0 2 317.305 0.450 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cc[nH]n2)C1 ZINC001411961566 952571512 /nfs/dbraw/zinc/57/15/12/952571512.db2.gz SIIXDRAEJSEYDU-MUWHJKNJSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001411961566 952571514 /nfs/dbraw/zinc/57/15/14/952571514.db2.gz SIIXDRAEJSEYDU-MUWHJKNJSA-N 0 2 322.262 0.887 20 0 DCADLN CCCN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@@H](C)C(=O)OCC ZINC001412083584 952637822 /nfs/dbraw/zinc/63/78/22/952637822.db2.gz ILKMBTAUUUDECV-IUCAKERBSA-N 0 2 315.395 0.733 20 0 DCADLN Cc1nn[nH]c1C(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC001412291475 952735857 /nfs/dbraw/zinc/73/58/57/952735857.db2.gz JTXMVLJVPMJABG-YUMQZZPRSA-N 0 2 319.287 0.785 20 0 DCADLN Cc1ccc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)nn1 ZINC001412706634 953008280 /nfs/dbraw/zinc/00/82/80/953008280.db2.gz VSBQVUJCLMHCFV-UHFFFAOYSA-N 0 2 303.322 0.609 20 0 DCADLN COC(=O)Cc1occc1C(=O)N[C@H](C)c1nn(C)cc1O ZINC001412761383 953077573 /nfs/dbraw/zinc/07/75/73/953077573.db2.gz GKSBNFLGGGCOCG-MRVPVSSYSA-N 0 2 307.306 0.925 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)Nc2ncccc2O)c(Cl)o1 ZINC001412817367 953113242 /nfs/dbraw/zinc/11/32/42/953113242.db2.gz PYLZBLSGUVRXEO-UHFFFAOYSA-N 0 2 317.710 0.933 20 0 DCADLN CCO[C@@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001365939516 953437119 /nfs/dbraw/zinc/43/71/19/953437119.db2.gz RXRDOJRVZBUDJT-WCQYABFASA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001365989263 953531137 /nfs/dbraw/zinc/53/11/37/953531137.db2.gz YYPXMLWGNNFOAM-CMPLNLGQSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001366011151 953576109 /nfs/dbraw/zinc/57/61/09/953576109.db2.gz WLAYFDOQBISCRD-QWRGUYRKSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)[C@H](C)CO1 ZINC001589399005 954396209 /nfs/dbraw/zinc/39/62/09/954396209.db2.gz BLOJRIHNKGBYLC-FVCCEPFGSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)[C@H](C)CO1 ZINC001589399005 954396221 /nfs/dbraw/zinc/39/62/21/954396221.db2.gz BLOJRIHNKGBYLC-FVCCEPFGSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)[C@@H](C)CO1 ZINC001589399011 954397215 /nfs/dbraw/zinc/39/72/15/954397215.db2.gz BLOJRIHNKGBYLC-ZDEQEGDKSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)[C@@H](C)CO1 ZINC001589399011 954397225 /nfs/dbraw/zinc/39/72/25/954397225.db2.gz BLOJRIHNKGBYLC-ZDEQEGDKSA-N 0 2 313.398 0.648 20 0 DCADLN CN(CCNC(=O)C1(C(F)F)CCC1)Cc1n[nH]c(=O)[nH]1 ZINC001366562578 954429815 /nfs/dbraw/zinc/42/98/15/954429815.db2.gz DCUBHNMPTHWYAG-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN C[N@H+](CCNC(=O)C1(C(F)F)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001366562578 954429817 /nfs/dbraw/zinc/42/98/17/954429817.db2.gz DCUBHNMPTHWYAG-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN C[N@@H+](CCNC(=O)C1(C(F)F)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001366562578 954429824 /nfs/dbraw/zinc/42/98/24/954429824.db2.gz DCUBHNMPTHWYAG-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN C[N@H+](CCS(=O)(=O)CC(=O)[O-])[C@H](CO)Cc1ccccc1 ZINC001593754630 954441701 /nfs/dbraw/zinc/44/17/01/954441701.db2.gz RTXJDNNCTQEZJD-ZDUSSCGKSA-N 0 2 315.391 0.021 20 0 DCADLN C[N@@H+](CCS(=O)(=O)CC(=O)[O-])[C@H](CO)Cc1ccccc1 ZINC001593754630 954441705 /nfs/dbraw/zinc/44/17/05/954441705.db2.gz RTXJDNNCTQEZJD-ZDUSSCGKSA-N 0 2 315.391 0.021 20 0 DCADLN CCC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1cnns1 ZINC001366713437 954701339 /nfs/dbraw/zinc/70/13/39/954701339.db2.gz DWBFTNBZLCKCQG-UHFFFAOYSA-N 0 2 324.410 0.917 20 0 DCADLN CCC[N@@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1cnns1 ZINC001366713437 954701346 /nfs/dbraw/zinc/70/13/46/954701346.db2.gz DWBFTNBZLCKCQG-UHFFFAOYSA-N 0 2 324.410 0.917 20 0 DCADLN CCC(=O)NCCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001349579710 954801569 /nfs/dbraw/zinc/80/15/69/954801569.db2.gz SEYXIXZKXXMXPQ-UHFFFAOYSA-N 0 2 304.354 0.456 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001593810509 954932203 /nfs/dbraw/zinc/93/22/03/954932203.db2.gz IUZRTZDNVCJFQR-HBNTYKKESA-N 0 2 309.322 0.042 20 0 DCADLN COC(=O)C1CC2(C1)C[N@H+](Cc1cn[nH]c1C)C[C@@H]2C(=O)[O-] ZINC001593824653 955085902 /nfs/dbraw/zinc/08/59/02/955085902.db2.gz QZFLFERQPXHXKL-WBFHCKIUSA-N 0 2 307.350 0.804 20 0 DCADLN COC(=O)C1CC2(C1)C[N@@H+](Cc1cn[nH]c1C)C[C@@H]2C(=O)[O-] ZINC001593824653 955085914 /nfs/dbraw/zinc/08/59/14/955085914.db2.gz QZFLFERQPXHXKL-WBFHCKIUSA-N 0 2 307.350 0.804 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366941183 955091065 /nfs/dbraw/zinc/09/10/65/955091065.db2.gz OOGFPGKXCAJYDO-SECBINFHSA-N 0 2 305.338 0.283 20 0 DCADLN O=C(CCc1ccccc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366986413 955156735 /nfs/dbraw/zinc/15/67/35/955156735.db2.gz RIAUVJLQILEQRS-UHFFFAOYSA-N 0 2 315.377 0.691 20 0 DCADLN CC[C@@H](CNC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC001589539251 955189812 /nfs/dbraw/zinc/18/98/12/955189812.db2.gz YKIOBRZXHKMOLT-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@@H](CNC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC001589539251 955189816 /nfs/dbraw/zinc/18/98/16/955189816.db2.gz YKIOBRZXHKMOLT-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001367034278 955240652 /nfs/dbraw/zinc/24/06/52/955240652.db2.gz MBXBUXYXAPJTPG-NKWVEPMBSA-N 0 2 307.276 0.399 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)NC1CCC(C(=O)[O-])CC1 ZINC001589081627 955861105 /nfs/dbraw/zinc/86/11/05/955861105.db2.gz WWMIYMMQFMUMHE-VOMCLLRMSA-N 0 2 322.365 0.147 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC001593905112 955903008 /nfs/dbraw/zinc/90/30/08/955903008.db2.gz CYGQWNMGVCRPGA-ZDUSSCGKSA-N 0 2 308.334 0.136 20 0 DCADLN Cn1ncc2c1nc(C[NH+]1CCC(C)(C(=O)[O-])CC1)[nH]c2=O ZINC001594585811 955995940 /nfs/dbraw/zinc/99/59/40/955995940.db2.gz DSIIRUXWMHFURE-UHFFFAOYSA-N 0 2 305.338 0.756 20 0 DCADLN NS(=O)(=O)c1ccc(C[NH2+][C@H](Cc2ccccc2)C(=O)[O-])o1 ZINC001594661380 956538530 /nfs/dbraw/zinc/53/85/30/956538530.db2.gz PXCZVMNUTBQNEZ-GFCCVEGCSA-N 0 2 324.358 0.713 20 0 DCADLN O=C([O-])c1ccc(C=CC(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)o1 ZINC001594673315 956635170 /nfs/dbraw/zinc/63/51/70/956635170.db2.gz XDBZMHRGNOFARJ-YWNRKNDBSA-N 0 2 305.290 0.434 20 0 DCADLN O=C([O-])c1ccc(C=CC(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)o1 ZINC001594673315 956635177 /nfs/dbraw/zinc/63/51/77/956635177.db2.gz XDBZMHRGNOFARJ-YWNRKNDBSA-N 0 2 305.290 0.434 20 0 DCADLN O=C([O-])c1c(NC(=O)CCCn2cc[nH+]c2)nc2n1CCOC2 ZINC001594686911 956717803 /nfs/dbraw/zinc/71/78/03/956717803.db2.gz UDILRBHLWYUIJB-UHFFFAOYSA-N 0 2 319.321 0.727 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2ccc(C)c(OC)n2)CCO1 ZINC001593983407 956730868 /nfs/dbraw/zinc/73/08/68/956730868.db2.gz HRKVKKIWNMBZOE-HNNXBMFYSA-N 0 2 310.350 0.701 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2ccc(C)c(OC)n2)CCO1 ZINC001593983407 956730875 /nfs/dbraw/zinc/73/08/75/956730875.db2.gz HRKVKKIWNMBZOE-HNNXBMFYSA-N 0 2 310.350 0.701 20 0 DCADLN O=C([O-])[C@H](Cc1ccc(O)cc1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001594690085 956742263 /nfs/dbraw/zinc/74/22/63/956742263.db2.gz OUXDZDMMUAOMIH-LBPRGKRZSA-N 0 2 303.318 0.860 20 0 DCADLN C[C@@H](CN(C)C(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)C(=O)[O-] ZINC001603145186 972241800 /nfs/dbraw/zinc/24/18/00/972241800.db2.gz NHRWVSXDGLLMPE-QWRGUYRKSA-N 0 2 322.365 0.295 20 0 DCADLN O=C([O-])Cn1cc(C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)nn1 ZINC001574738289 957675997 /nfs/dbraw/zinc/67/59/97/957675997.db2.gz PNJKGIITOJIMHH-VIFPVBQESA-N 0 2 304.310 0.106 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn3c2NCCC3)C1=O ZINC001297273135 958208643 /nfs/dbraw/zinc/20/86/43/958208643.db2.gz HTJCQIVWPWECSK-ZDUSSCGKSA-N 0 2 306.326 0.064 20 0 DCADLN C[C@H](NC(=O)C1(C(=O)[O-])CCOCC1)[C@H](C)[NH+]1CCOCC1 ZINC001603216021 972329636 /nfs/dbraw/zinc/32/96/36/972329636.db2.gz MSOSIMGTYFOEDZ-RYUDHWBXSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@@H+]1C ZINC001603227108 972344197 /nfs/dbraw/zinc/34/41/97/972344197.db2.gz HFXMHZFKGPIGIS-RWMBFGLXSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@H+]1C ZINC001603227108 972344201 /nfs/dbraw/zinc/34/42/01/972344201.db2.gz HFXMHZFKGPIGIS-RWMBFGLXSA-N 0 2 312.414 0.127 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001367730960 958459174 /nfs/dbraw/zinc/45/91/74/958459174.db2.gz NGINEFNZSDCLPQ-POYBYMJQSA-N 0 2 308.342 0.584 20 0 DCADLN O=C(NC/C=C\C1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001298323900 958545896 /nfs/dbraw/zinc/54/58/96/958545896.db2.gz WJHQAJYDSQEMKT-UPHRSURJSA-N 0 2 300.318 0.962 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC[N@H+](C[C@@H]3CCCO3)CC2)c1[O-] ZINC001361983038 958573109 /nfs/dbraw/zinc/57/31/09/958573109.db2.gz HFTGPWBCRYYETO-LBPRGKRZSA-N 0 2 308.382 0.751 20 0 DCADLN Cc1n[nH]c(C(=O)N2CCC[N@@H+](C[C@@H]3CCCO3)CC2)c1[O-] ZINC001361983038 958573114 /nfs/dbraw/zinc/57/31/14/958573114.db2.gz HFTGPWBCRYYETO-LBPRGKRZSA-N 0 2 308.382 0.751 20 0 DCADLN C/C(=C/C(=O)N1C[C@H](C(=O)[O-])CC[C@@H]1C)C[NH+]1CCOCC1 ZINC001588481252 958594014 /nfs/dbraw/zinc/59/40/14/958594014.db2.gz VRVCRMYAWFQUGV-JKOFNFNQSA-N 0 2 310.394 0.977 20 0 DCADLN C[C@@H](CN(C)Cc1cnnn1C)NC(=O)C(F)C(F)(F)F ZINC001367803446 958614612 /nfs/dbraw/zinc/61/46/12/958614612.db2.gz HHLQJHWTMBUIIP-CBAPKCEASA-N 0 2 311.283 0.652 20 0 DCADLN CO[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362069381 958687476 /nfs/dbraw/zinc/68/74/76/958687476.db2.gz KVKCUFWSZOPUNF-NSHDSACASA-N 0 2 304.306 0.127 20 0 DCADLN Cc1conc1C[NH2+]C1(CNC(=O)CCc2cn[nH]n2)CCC1 ZINC001376782386 958821683 /nfs/dbraw/zinc/82/16/83/958821683.db2.gz IIYCHFDDNGPVJV-UHFFFAOYSA-N 0 2 318.381 0.862 20 0 DCADLN Cc1ccccc1CC1C[NH+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001594417496 959020942 /nfs/dbraw/zinc/02/09/42/959020942.db2.gz LZLVDLOYYLJVBK-UHFFFAOYSA-N 0 2 311.403 0.969 20 0 DCADLN Cc1cccn2cc(C[NH2+]CCS(=O)(=O)CC(=O)[O-])nc12 ZINC001594420777 959062543 /nfs/dbraw/zinc/06/25/43/959062543.db2.gz RGAVEQOZHGMVDD-UHFFFAOYSA-N 0 2 311.363 0.232 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H](CO)CC1CCCC1 ZINC001362375875 959243218 /nfs/dbraw/zinc/24/32/18/959243218.db2.gz DPDBYWIGUCLPOI-NSHDSACASA-N 0 2 319.365 0.129 20 0 DCADLN COC1(C)CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362453155 959383920 /nfs/dbraw/zinc/38/39/20/959383920.db2.gz SQOVNBPNLONKTD-UHFFFAOYSA-N 0 2 304.306 0.127 20 0 DCADLN CC(C)[C@H](CO)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362521540 959517062 /nfs/dbraw/zinc/51/70/62/959517062.db2.gz KZUXCVFIAZYOEB-NSHDSACASA-N 0 2 320.349 0.261 20 0 DCADLN Cn1cnnc1C[N@@H+]1CCC[C@@H]1CCCNC(=O)c1ncn[nH]1 ZINC001492171277 959651043 /nfs/dbraw/zinc/65/10/43/959651043.db2.gz MJZCEOXLXKRHCX-NSHDSACASA-N 0 2 318.385 0.108 20 0 DCADLN Cn1cnnc1C[N@H+]1CCC[C@@H]1CCCNC(=O)c1ncn[nH]1 ZINC001492171277 959651049 /nfs/dbraw/zinc/65/10/49/959651049.db2.gz MJZCEOXLXKRHCX-NSHDSACASA-N 0 2 318.385 0.108 20 0 DCADLN CCOCC(=O)NCCCN(C)C(=O)C(F)C(F)(F)F ZINC001492269882 959781551 /nfs/dbraw/zinc/78/15/51/959781551.db2.gz VKFZJMKULUILDO-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCOCC(=O)NCCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001492269882 959781559 /nfs/dbraw/zinc/78/15/59/959781559.db2.gz VKFZJMKULUILDO-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN COc1ccccc1CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377260058 959813467 /nfs/dbraw/zinc/81/34/67/959813467.db2.gz CYAPRAHVHRUBCE-UHFFFAOYSA-N 0 2 319.365 0.310 20 0 DCADLN COc1ccccc1CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377260058 959813477 /nfs/dbraw/zinc/81/34/77/959813477.db2.gz CYAPRAHVHRUBCE-UHFFFAOYSA-N 0 2 319.365 0.310 20 0 DCADLN CC(C)c1ncncc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377265253 959823087 /nfs/dbraw/zinc/82/30/87/959823087.db2.gz HYNXREUFIAWCAL-UHFFFAOYSA-N 0 2 319.369 0.286 20 0 DCADLN CC(C)c1ncncc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377265253 959823096 /nfs/dbraw/zinc/82/30/96/959823096.db2.gz HYNXREUFIAWCAL-UHFFFAOYSA-N 0 2 319.369 0.286 20 0 DCADLN C[C@@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001492402310 959923851 /nfs/dbraw/zinc/92/38/51/959923851.db2.gz MMDWMTQLRXOHHI-ZETCQYMHSA-N 0 2 309.292 0.647 20 0 DCADLN C[C@@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001492402310 959923859 /nfs/dbraw/zinc/92/38/59/959923859.db2.gz MMDWMTQLRXOHHI-ZETCQYMHSA-N 0 2 309.292 0.647 20 0 DCADLN CS[C@@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362783463 959980302 /nfs/dbraw/zinc/98/03/02/959980302.db2.gz YNSYVJLZLWNQMH-LLVKDONJSA-N 0 2 320.374 0.844 20 0 DCADLN Cc1c(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)cnn1C ZINC001377457941 960132448 /nfs/dbraw/zinc/13/24/48/960132448.db2.gz MKEVFFFLZKJISL-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1c(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)cnn1C ZINC001377457941 960132452 /nfs/dbraw/zinc/13/24/52/960132452.db2.gz MKEVFFFLZKJISL-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC001362933175 960194406 /nfs/dbraw/zinc/19/44/06/960194406.db2.gz CLKKXJBDWBWEMN-LLVKDONJSA-N 0 2 307.354 0.933 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)[nH]1 ZINC001362982994 960256322 /nfs/dbraw/zinc/25/63/22/960256322.db2.gz AAOMESYNRXJJKG-GDGBQDQQSA-N 0 2 312.395 0.402 20 0 DCADLN C[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)n1cccc1 ZINC001362987101 960260721 /nfs/dbraw/zinc/26/07/21/960260721.db2.gz ONWIGUOWHMMQIA-VIFPVBQESA-N 0 2 311.367 0.028 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@]23C[C@H]2CCCC3)[nH]1 ZINC001362988803 960262689 /nfs/dbraw/zinc/26/26/89/960262689.db2.gz USAZYRNZUJJFHW-NOZJJQNGSA-N 0 2 312.395 0.546 20 0 DCADLN Cn1ccnc1[C@H]1N(C(=O)C[C@@H]2SC(=N)NC2=O)CC1(C)C ZINC001363008930 960293196 /nfs/dbraw/zinc/29/31/96/960293196.db2.gz VHKCUHKFRXWGPK-WCBMZHEXSA-N 0 2 321.406 0.886 20 0 DCADLN C[C@H](NC(=O)Cc1nc(C2CC2)nn1C)c1nn(C)cc1O ZINC001363039297 960332370 /nfs/dbraw/zinc/33/23/70/960332370.db2.gz JETVZBVFKPZBNA-QMMMGPOBSA-N 0 2 304.354 0.552 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnn2[C@@H]2CCCOC2)S1 ZINC001363042579 960334042 /nfs/dbraw/zinc/33/40/42/960334042.db2.gz UPQDWKGRQIZBFZ-RKDXNWHRSA-N 0 2 323.378 0.730 20 0 DCADLN Cc1nnc(C[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001316820242 960388045 /nfs/dbraw/zinc/38/80/45/960388045.db2.gz USCATOXVPNDWDG-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN Cc1nnc(C[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001316820242 960388052 /nfs/dbraw/zinc/38/80/52/960388052.db2.gz USCATOXVPNDWDG-UHFFFAOYSA-N 0 2 308.342 0.367 20 0 DCADLN O=C(N[C@@H]1COC[C@@H]1O)c1cc(Br)c(F)cc1O ZINC001363111714 960412501 /nfs/dbraw/zinc/41/25/01/960412501.db2.gz PPTOVDSUFHLLSG-SCZZXKLOSA-N 0 2 320.114 0.783 20 0 DCADLN C[C@@](O)(CC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001377810180 960705405 /nfs/dbraw/zinc/70/54/05/960705405.db2.gz ZWBOOXUXZNOYHM-IAQYHMDHSA-N 0 2 323.397 0.142 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCc1ccnc(C(=O)[O-])c1 ZINC001571072285 960917567 /nfs/dbraw/zinc/91/75/67/960917567.db2.gz REGKFBDZELYVIO-GHMZBOCLSA-N 0 2 300.318 0.933 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@H]1CCCN1C(=O)c1[nH]nnc1C ZINC001363351863 960959677 /nfs/dbraw/zinc/95/96/77/960959677.db2.gz VFMVHRWNSJDJJF-MRVPVSSYSA-N 0 2 319.325 0.385 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571092871 961128965 /nfs/dbraw/zinc/12/89/65/961128965.db2.gz XHVFEEMXYCCOTF-WRWORJQWSA-N 0 2 306.322 0.930 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571092871 961128974 /nfs/dbraw/zinc/12/89/74/961128974.db2.gz XHVFEEMXYCCOTF-WRWORJQWSA-N 0 2 306.322 0.930 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1n[nH]cc1F ZINC001377992355 961135581 /nfs/dbraw/zinc/13/55/81/961135581.db2.gz SHMQTGUFBLAEAY-FFWSUHOLSA-N 0 2 314.214 0.684 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1n[nH]cc1F ZINC001377992355 961135567 /nfs/dbraw/zinc/13/55/67/961135567.db2.gz SHMQTGUFBLAEAY-FFWSUHOLSA-N 0 2 314.214 0.684 20 0 DCADLN CCC[C@@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001378649319 961194514 /nfs/dbraw/zinc/19/45/14/961194514.db2.gz DSDUVVUSTMBXSN-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CCOC(=O)c1n[nH]c(C[NH2+][C@@H]2CC[C@H](CC(=O)[O-])[C@@H]2C)n1 ZINC001571106364 961277209 /nfs/dbraw/zinc/27/72/09/961277209.db2.gz NNGUDWPJJVXADK-IVZWLZJFSA-N 0 2 310.354 0.960 20 0 DCADLN CCOC(=O)c1n[nH]c(C[NH2+][C@@H]2CC[C@H](CC(=O)[O-])[C@H]2C)n1 ZINC001571106367 961277375 /nfs/dbraw/zinc/27/73/75/961277375.db2.gz NNGUDWPJJVXADK-OPRDCNLKSA-N 0 2 310.354 0.960 20 0 DCADLN COCc1ncsc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571106404 961280575 /nfs/dbraw/zinc/28/05/75/961280575.db2.gz OPHIBVYTCMFQGT-MRVPVSSYSA-N 0 2 310.335 0.438 20 0 DCADLN COCc1ncsc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571106404 961280587 /nfs/dbraw/zinc/28/05/87/961280587.db2.gz OPHIBVYTCMFQGT-MRVPVSSYSA-N 0 2 310.335 0.438 20 0 DCADLN C[C@@H](CC(=O)[O-])CC(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001571111644 961336223 /nfs/dbraw/zinc/33/62/23/961336223.db2.gz CVQRUZBGSVJTJO-ZYHUDNBSSA-N 0 2 312.366 0.383 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1[nH]ncc1C(F)(F)F ZINC001571130653 961537530 /nfs/dbraw/zinc/53/75/30/961537530.db2.gz HREKLWBJQVDFKE-ZETCQYMHSA-N 0 2 317.227 0.508 20 0 DCADLN CC[C@H](C(=O)[O-])C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC001332653793 961625206 /nfs/dbraw/zinc/62/52/06/961625206.db2.gz QHXMJQYQCCRMPT-SMDDNHRTSA-N 0 2 316.423 0.421 20 0 DCADLN CCN(C(=O)[C@H](OC)C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378202177 961652218 /nfs/dbraw/zinc/65/22/18/961652218.db2.gz RQWKIAWXIIEESB-WCQYABFASA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)[C@H](OC)C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378202177 961652228 /nfs/dbraw/zinc/65/22/28/961652228.db2.gz RQWKIAWXIIEESB-WCQYABFASA-N 0 2 323.397 0.358 20 0 DCADLN Cc1noc(C)c1CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378208963 961666723 /nfs/dbraw/zinc/66/67/23/961666723.db2.gz AXRCIECNMPQEBI-MRVPVSSYSA-N 0 2 322.369 0.294 20 0 DCADLN Cc1noc(C)c1CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378208963 961666733 /nfs/dbraw/zinc/66/67/33/961666733.db2.gz AXRCIECNMPQEBI-MRVPVSSYSA-N 0 2 322.369 0.294 20 0 DCADLN C[C@H](CN(C)[C@H](C)c1nc(C2CC2)no1)NC(=O)c1cnn[nH]1 ZINC001379714016 961837356 /nfs/dbraw/zinc/83/73/56/961837356.db2.gz WJWOWDJPMCQVDE-RKDXNWHRSA-N 0 2 319.369 0.876 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@H](C)C(=O)[O-] ZINC001588843240 961841436 /nfs/dbraw/zinc/84/14/36/961841436.db2.gz GRQOEGVKFBJZAT-CMPLNLGQSA-N 0 2 324.381 0.320 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@H](C)C(=O)[O-] ZINC001588843240 961841449 /nfs/dbraw/zinc/84/14/49/961841449.db2.gz GRQOEGVKFBJZAT-CMPLNLGQSA-N 0 2 324.381 0.320 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)CC(=O)[O-] ZINC001588843548 961846884 /nfs/dbraw/zinc/84/68/84/961846884.db2.gz OQXVOYVBBCRGCF-NSHDSACASA-N 0 2 310.354 0.026 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)CC(=O)[O-] ZINC001588843548 961846897 /nfs/dbraw/zinc/84/68/97/961846897.db2.gz OQXVOYVBBCRGCF-NSHDSACASA-N 0 2 310.354 0.026 20 0 DCADLN O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC(Cc2c[nH]c[nH+]2)CC1 ZINC001363981826 962234963 /nfs/dbraw/zinc/23/49/63/962234963.db2.gz DBCZZBMERPFDIY-UHFFFAOYSA-N 0 2 304.310 0.101 20 0 DCADLN CC[C@@H](NS(=O)(=O)c1n[nH]cc1C(=O)OC)C(F)(F)F ZINC001364072980 962418720 /nfs/dbraw/zinc/41/87/20/962418720.db2.gz MKMWCNMZKSJOTI-ZCFIWIBFSA-N 0 2 315.273 0.816 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nnn(C(C)C)n2)c[nH]1 ZINC001364104983 962479849 /nfs/dbraw/zinc/47/98/49/962479849.db2.gz AIYILXAHEDHFQC-UHFFFAOYSA-N 0 2 314.327 0.170 20 0 DCADLN COC[C@@]1(C(=O)[O-])CN(c2nc(C)[nH+]c3c2CCCC3)CCO1 ZINC001574142973 962598268 /nfs/dbraw/zinc/59/82/68/962598268.db2.gz KZLHSVYPHKDOFQ-MRXNPFEDSA-N 0 2 321.377 0.970 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)N[C@H]([C@@H]1CCOC1)C(F)(F)F ZINC001364181455 962623131 /nfs/dbraw/zinc/62/31/31/962623131.db2.gz FHJLPURNKJMGPC-IYSWYEEDSA-N 0 2 309.248 0.277 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)CC(C)(C)O)C1 ZINC001379369447 962749917 /nfs/dbraw/zinc/74/99/17/962749917.db2.gz PRLHROCQYQERLJ-SECBINFHSA-N 0 2 314.279 0.717 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)CC(C)(C)O)C1 ZINC001379369447 962749932 /nfs/dbraw/zinc/74/99/32/962749932.db2.gz PRLHROCQYQERLJ-SECBINFHSA-N 0 2 314.279 0.717 20 0 DCADLN C[C@H]1[C@@H](NC(=O)COCC2CC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001379641333 963313150 /nfs/dbraw/zinc/31/31/50/963313150.db2.gz VCZFXRTZFJEPOO-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1[C@@H](NC(=O)COCC2CC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001379641333 963313160 /nfs/dbraw/zinc/31/31/60/963313160.db2.gz VCZFXRTZFJEPOO-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)N(C)C(=O)C(F)C(F)(F)F ZINC001380645725 963453602 /nfs/dbraw/zinc/45/36/02/963453602.db2.gz UCZSBJOPEMBBPO-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001380645725 963453607 /nfs/dbraw/zinc/45/36/07/963453607.db2.gz UCZSBJOPEMBBPO-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN COCCN1CCOC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001380806589 963594542 /nfs/dbraw/zinc/59/45/42/963594542.db2.gz CAJVXUVXYXBKBU-IUCAKERBSA-N 0 2 302.268 0.350 20 0 DCADLN COCCN1CCOC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001380806589 963594544 /nfs/dbraw/zinc/59/45/44/963594544.db2.gz CAJVXUVXYXBKBU-IUCAKERBSA-N 0 2 302.268 0.350 20 0 DCADLN CCC[C@H](C)C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001380816105 963604634 /nfs/dbraw/zinc/60/46/34/963604634.db2.gz QMDSTCBSABIAPO-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN O=C([O-])c1ccc2c(c1)S(=O)(=O)N(CCn1cc[nH+]c1)C2=O ZINC000315325345 963881409 /nfs/dbraw/zinc/88/14/09/963881409.db2.gz MCNVCIHVGFADOB-UHFFFAOYSA-N 0 2 321.314 0.426 20 0 DCADLN CC(=O)N[C@@H](CCC(C)C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001573427284 964043700 /nfs/dbraw/zinc/04/37/00/964043700.db2.gz VQLHTMRZVKCJLC-QWHCGFSZSA-N 0 2 324.381 0.463 20 0 DCADLN CC(=O)N[C@@H](CCC(C)C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001573427284 964043713 /nfs/dbraw/zinc/04/37/13/964043713.db2.gz VQLHTMRZVKCJLC-QWHCGFSZSA-N 0 2 324.381 0.463 20 0 DCADLN Cc1ncccc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368972391 964216698 /nfs/dbraw/zinc/21/66/98/964216698.db2.gz NHXDNSWDJYMIOM-SECBINFHSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ncccc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368972391 964216708 /nfs/dbraw/zinc/21/67/08/964216708.db2.gz NHXDNSWDJYMIOM-SECBINFHSA-N 0 2 304.354 0.464 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1CCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369077859 964373996 /nfs/dbraw/zinc/37/39/96/964373996.db2.gz KRGKTPMMJLAPBT-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001373867148 964730664 /nfs/dbraw/zinc/73/06/64/964730664.db2.gz IINFSLGDRDJICY-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001373867148 964730674 /nfs/dbraw/zinc/73/06/74/964730674.db2.gz IINFSLGDRDJICY-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376035086 965116839 /nfs/dbraw/zinc/11/68/39/965116839.db2.gz BQOCLPCPSSOXAL-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@H](OC)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001374607627 965630006 /nfs/dbraw/zinc/63/00/06/965630006.db2.gz FNSRTZKCGDTEHY-YUMQZZPRSA-N 0 2 300.252 0.639 20 0 DCADLN CC[C@H](OC)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001374607627 965630013 /nfs/dbraw/zinc/63/00/13/965630013.db2.gz FNSRTZKCGDTEHY-YUMQZZPRSA-N 0 2 300.252 0.639 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC1(O)CCC1 ZINC001430589597 1013609314 /nfs/dbraw/zinc/60/93/14/1013609314.db2.gz RZMZZMLBAPVOQE-CBAPKCEASA-N 0 2 314.279 0.813 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CC1(O)CCC1 ZINC001430589597 1013609317 /nfs/dbraw/zinc/60/93/17/1013609317.db2.gz RZMZZMLBAPVOQE-CBAPKCEASA-N 0 2 314.279 0.813 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001430610294 1013623063 /nfs/dbraw/zinc/62/30/63/1013623063.db2.gz JIMRAUNHYFSTFZ-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001370470584 966161826 /nfs/dbraw/zinc/16/18/26/966161826.db2.gz PONQXTLXBIROBX-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001370470584 966161833 /nfs/dbraw/zinc/16/18/33/966161833.db2.gz PONQXTLXBIROBX-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN CC1(CC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001370764325 966453266 /nfs/dbraw/zinc/45/32/66/966453266.db2.gz YCKHXIRMHYWGHT-CBAPKCEASA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001370764325 966453271 /nfs/dbraw/zinc/45/32/71/966453271.db2.gz YCKHXIRMHYWGHT-CBAPKCEASA-N 0 2 314.279 0.670 20 0 DCADLN COCC(=O)NC[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001381489285 966455797 /nfs/dbraw/zinc/45/57/97/966455797.db2.gz ARZWRJJXDQKNCV-ZXFLCMHBSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001381489285 966455794 /nfs/dbraw/zinc/45/57/94/966455794.db2.gz ARZWRJJXDQKNCV-ZXFLCMHBSA-N 0 2 300.252 0.544 20 0 DCADLN C[C@@H](CNC(=O)Cc1cccnc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508495 966476266 /nfs/dbraw/zinc/47/62/66/966476266.db2.gz MXIWGEUOMFLIDI-JTQLQIEISA-N 0 2 304.354 0.085 20 0 DCADLN C[C@@H](CNC(=O)Cc1cccnc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381508495 966476274 /nfs/dbraw/zinc/47/62/74/966476274.db2.gz MXIWGEUOMFLIDI-JTQLQIEISA-N 0 2 304.354 0.085 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001447977018 1013678782 /nfs/dbraw/zinc/67/87/82/1013678782.db2.gz DJUPFKRVKGOSQT-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001447977018 1013678788 /nfs/dbraw/zinc/67/87/88/1013678788.db2.gz DJUPFKRVKGOSQT-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN COCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001371480817 967029742 /nfs/dbraw/zinc/02/97/42/967029742.db2.gz MJYRCNLFWVLJTL-ZXFLCMHBSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C ZINC001371480817 967029745 /nfs/dbraw/zinc/02/97/45/967029745.db2.gz MJYRCNLFWVLJTL-ZXFLCMHBSA-N 0 2 300.252 0.496 20 0 DCADLN O=C(NCCN1CCCC1=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001371517241 967066964 /nfs/dbraw/zinc/06/69/64/967066964.db2.gz LNRONFKWOISFMK-JTQLQIEISA-N 0 2 322.369 0.022 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001448006927 1013699260 /nfs/dbraw/zinc/69/92/60/1013699260.db2.gz GXXZVTMAGVUZOS-VIFPVBQESA-N 0 2 307.354 0.591 20 0 DCADLN Cc1ccc(CC(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001448006927 1013699266 /nfs/dbraw/zinc/69/92/66/1013699266.db2.gz GXXZVTMAGVUZOS-VIFPVBQESA-N 0 2 307.354 0.591 20 0 DCADLN CCC(C)(C)C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001382593972 967526467 /nfs/dbraw/zinc/52/64/67/967526467.db2.gz MPAXSONMLXZAHE-YUMQZZPRSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001382593972 967526478 /nfs/dbraw/zinc/52/64/78/967526478.db2.gz MPAXSONMLXZAHE-YUMQZZPRSA-N 0 2 316.295 0.916 20 0 DCADLN CCOCC(=O)NC[C@@H](C)N(C)C(=O)C(F)C(F)(F)F ZINC001382897996 967731359 /nfs/dbraw/zinc/73/13/59/967731359.db2.gz WTFFJISVWMQUFO-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)NC[C@@H](C)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001382897996 967731365 /nfs/dbraw/zinc/73/13/65/967731365.db2.gz WTFFJISVWMQUFO-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2n(c1)CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001372257879 967802532 /nfs/dbraw/zinc/80/25/32/967802532.db2.gz NCHMUDYPDXRSTA-UHFFFAOYSA-N 0 2 318.381 0.510 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2n(c1)CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001372257879 967802541 /nfs/dbraw/zinc/80/25/41/967802541.db2.gz NCHMUDYPDXRSTA-UHFFFAOYSA-N 0 2 318.381 0.510 20 0 DCADLN Cn1nnnc1CN1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001372510520 968065447 /nfs/dbraw/zinc/06/54/47/968065447.db2.gz NNJGIIXEPVYPRJ-CBAPKCEASA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CCC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001372510520 968065461 /nfs/dbraw/zinc/06/54/61/968065461.db2.gz NNJGIIXEPVYPRJ-CBAPKCEASA-N 0 2 324.282 0.191 20 0 DCADLN Cc1nccc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001372881564 968494160 /nfs/dbraw/zinc/49/41/60/968494160.db2.gz WDDBVZAAJWJXEA-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nccc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001372881564 968494164 /nfs/dbraw/zinc/49/41/64/968494164.db2.gz WDDBVZAAJWJXEA-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)CNC(=O)C[N@@H+]2CC[C@H](C)C2)c1[O-] ZINC001373121004 968731345 /nfs/dbraw/zinc/73/13/45/968731345.db2.gz VRRVEKSODYFBBA-VHSXEESVSA-N 0 2 323.397 0.000 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)CNC(=O)C[N@H+]2CC[C@H](C)C2)c1[O-] ZINC001373121004 968731352 /nfs/dbraw/zinc/73/13/52/968731352.db2.gz VRRVEKSODYFBBA-VHSXEESVSA-N 0 2 323.397 0.000 20 0 DCADLN CC(F)(F)CC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373384024 969018585 /nfs/dbraw/zinc/01/85/85/969018585.db2.gz WLQNHCPXXSOUII-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN CN(CCNC(=O)c1cc[nH]c(=O)c1)C(=O)C(F)C(F)(F)F ZINC001373396175 969038490 /nfs/dbraw/zinc/03/84/90/969038490.db2.gz TZIGGQIULVXTIG-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)c1cc[nH]c(=O)c1)C(=O)[C@@H](F)C(F)(F)F ZINC001373396175 969038498 /nfs/dbraw/zinc/03/84/98/969038498.db2.gz TZIGGQIULVXTIG-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CCO[C@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001373444802 969104145 /nfs/dbraw/zinc/10/41/45/969104145.db2.gz IEFHJHCSDUMXDV-ZDUSSCGKSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373547039 969216539 /nfs/dbraw/zinc/21/65/39/969216539.db2.gz UKARMIXQVRNFTE-JTQLQIEISA-N 0 2 319.365 0.530 20 0 DCADLN CCOCC(=O)NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001448309744 1013869354 /nfs/dbraw/zinc/86/93/54/1013869354.db2.gz IIAIWFTYRAZOQD-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN CCOCC(=O)NC[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001448309744 1013869362 /nfs/dbraw/zinc/86/93/62/1013869362.db2.gz IIAIWFTYRAZOQD-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(Cc1n[nH]c(C2CCOCC2)n1)NCCCc1nnc[nH]1 ZINC001448355101 1013882708 /nfs/dbraw/zinc/88/27/08/1013882708.db2.gz PWLVJBWPDIEXGV-UHFFFAOYSA-N 0 2 319.369 0.108 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H]1CCN(C(C)(C)C)C1=O ZINC001604310566 972965283 /nfs/dbraw/zinc/96/52/83/972965283.db2.gz BGSVXDIFNFHDOM-SNVBAGLBSA-N 0 2 300.355 0.336 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H]1CCN(C(C)(C)C)C1=O ZINC001604310566 972965288 /nfs/dbraw/zinc/96/52/88/972965288.db2.gz BGSVXDIFNFHDOM-SNVBAGLBSA-N 0 2 300.355 0.336 20 0 DCADLN Cc1ccn(CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001448916994 1014095195 /nfs/dbraw/zinc/09/51/95/1014095195.db2.gz GPSKKCIVKTULKJ-JTQLQIEISA-N 0 2 324.278 0.667 20 0 DCADLN Cc1ccn(CC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001448916994 1014095197 /nfs/dbraw/zinc/09/51/97/1014095197.db2.gz GPSKKCIVKTULKJ-JTQLQIEISA-N 0 2 324.278 0.667 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000401807090 973402490 /nfs/dbraw/zinc/40/24/90/973402490.db2.gz ARJWNFFYRJANGE-NXEZZACHSA-N 0 2 323.305 0.301 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000401807090 973402493 /nfs/dbraw/zinc/40/24/93/973402493.db2.gz ARJWNFFYRJANGE-NXEZZACHSA-N 0 2 323.305 0.301 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])C2 ZINC001605416816 973429346 /nfs/dbraw/zinc/42/93/46/973429346.db2.gz NXDFWLKDQRQEOU-TUAOUCFPSA-N 0 2 321.377 0.268 20 0 DCADLN CS(=O)(=O)c1ccc(C[N@@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)cc1 ZINC000401903687 973510298 /nfs/dbraw/zinc/51/02/98/973510298.db2.gz PVUYQTODULNWKJ-VXGBXAGGSA-N 0 2 313.375 0.358 20 0 DCADLN CS(=O)(=O)c1ccc(C[N@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)cc1 ZINC000401903687 973510304 /nfs/dbraw/zinc/51/03/04/973510304.db2.gz PVUYQTODULNWKJ-VXGBXAGGSA-N 0 2 313.375 0.358 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(C(=O)C[C@@H](C)n2cc[nH+]c2)CCO1 ZINC001604550643 973559341 /nfs/dbraw/zinc/55/93/41/973559341.db2.gz KAISAVDWGCMXNR-RISCZKNCSA-N 0 2 311.338 0.163 20 0 DCADLN CC[C@@H](CNC(=O)N(C)[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001603552969 973630614 /nfs/dbraw/zinc/63/06/14/973630614.db2.gz ULLLFRJQBTZTIE-NEPJUHHUSA-N 0 2 301.387 0.459 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cc[n+]([O-])cc1 ZINC001522501672 1014144461 /nfs/dbraw/zinc/14/44/61/1014144461.db2.gz YRHOSLUHRNDNIC-MRVPVSSYSA-N 0 2 309.219 0.066 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cc[n+]([O-])cc1 ZINC001522501672 1014144469 /nfs/dbraw/zinc/14/44/69/1014144469.db2.gz YRHOSLUHRNDNIC-MRVPVSSYSA-N 0 2 309.219 0.066 20 0 DCADLN CC(C)OCC(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001449037030 1014151020 /nfs/dbraw/zinc/15/10/20/1014151020.db2.gz WLJALRYAOXSMCD-MNOVXSKESA-N 0 2 311.386 0.404 20 0 DCADLN CC(C)OCC(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001449037030 1014151025 /nfs/dbraw/zinc/15/10/25/1014151025.db2.gz WLJALRYAOXSMCD-MNOVXSKESA-N 0 2 311.386 0.404 20 0 DCADLN CCn1nc(C)c([C@H](C)[NH2+][C@H]2CCCCN(CC(=O)[O-])C2=O)n1 ZINC001604021957 974533337 /nfs/dbraw/zinc/53/33/37/974533337.db2.gz DGEGYNUUNPSQAM-JQWIXIFHSA-N 0 2 323.397 0.723 20 0 DCADLN Cc1ncc(C(=O)N(C)C[C@@H](O)C[N@H+](C)C/C=C/Cl)[nH]1 ZINC001449189224 1014283570 /nfs/dbraw/zinc/28/35/70/1014283570.db2.gz BYWXONFZLUYKNE-ZWNMCFTASA-N 0 2 300.790 0.835 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nn1 ZINC001522689504 1014300074 /nfs/dbraw/zinc/30/00/74/1014300074.db2.gz WLWCXGUOBXKSFB-SSDOTTSWSA-N 0 2 311.239 0.044 20 0 DCADLN CCn1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001522689504 1014300082 /nfs/dbraw/zinc/30/00/82/1014300082.db2.gz WLWCXGUOBXKSFB-SSDOTTSWSA-N 0 2 311.239 0.044 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC000712833448 977607956 /nfs/dbraw/zinc/60/79/56/977607956.db2.gz UIHCCXYYFXJQPR-WYUUTHIRSA-N 0 2 302.396 0.348 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC000712833448 977607958 /nfs/dbraw/zinc/60/79/58/977607958.db2.gz UIHCCXYYFXJQPR-WYUUTHIRSA-N 0 2 302.396 0.348 20 0 DCADLN O=C(CC1(O)CCC1)NCCCNC(=O)C(F)C(F)(F)F ZINC001522905997 1014467399 /nfs/dbraw/zinc/46/73/99/1014467399.db2.gz RDASXECMLRWODU-VIFPVBQESA-N 0 2 314.279 0.814 20 0 DCADLN O=C(CC1(O)CCC1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001522905997 1014467404 /nfs/dbraw/zinc/46/74/04/1014467404.db2.gz RDASXECMLRWODU-VIFPVBQESA-N 0 2 314.279 0.814 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)N[C@H]1CC=C(C(=O)[O-])C1 ZINC001592625015 978603179 /nfs/dbraw/zinc/60/31/79/978603179.db2.gz UQVCMIXNYMJSDN-YPMHNXCESA-N 0 2 311.382 0.522 20 0 DCADLN CC[C@@](COC)(NC(=O)/C=C(\C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001595189250 979871303 /nfs/dbraw/zinc/87/13/03/979871303.db2.gz ZYANUQQMUXQFMA-RZXPCSSPSA-N 0 2 314.382 0.261 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001530485103 1014767227 /nfs/dbraw/zinc/76/72/27/1014767227.db2.gz OMBITTOPQYQYCH-SVRRBLITSA-N 0 2 300.252 0.639 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001530485103 1014767233 /nfs/dbraw/zinc/76/72/33/1014767233.db2.gz OMBITTOPQYQYCH-SVRRBLITSA-N 0 2 300.252 0.639 20 0 DCADLN CCCC[N@@H+]1CCOC[C@@H]1C(=O)N1CC[C@H]2[C@@H](C(=O)[O-])[C@H]2C1 ZINC001550924627 1014915036 /nfs/dbraw/zinc/91/50/36/1014915036.db2.gz NRIWRKNGJRIBSI-XJFOESAGSA-N 0 2 310.394 0.666 20 0 DCADLN CCCC[N@H+]1CCOC[C@@H]1C(=O)N1CC[C@H]2[C@@H](C(=O)[O-])[C@H]2C1 ZINC001550924627 1014915044 /nfs/dbraw/zinc/91/50/44/1014915044.db2.gz NRIWRKNGJRIBSI-XJFOESAGSA-N 0 2 310.394 0.666 20 0 DCADLN CC[N@H+](CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O)[C@H]1CCOC1 ZINC001596350534 983802912 /nfs/dbraw/zinc/80/29/12/983802912.db2.gz GVQIYELJGWSADO-HZMBPMFUSA-N 0 2 313.354 0.230 20 0 DCADLN CC[N@@H+](CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O)[C@H]1CCOC1 ZINC001596350534 983802915 /nfs/dbraw/zinc/80/29/15/983802915.db2.gz GVQIYELJGWSADO-HZMBPMFUSA-N 0 2 313.354 0.230 20 0 DCADLN CC[N@@H+]1CCO[C@@H](C(=O)O[C@H](Cc2ccccc2)C(=O)[O-])C1 ZINC001596442961 984141986 /nfs/dbraw/zinc/14/19/86/984141986.db2.gz XQCOOIDOMHMQQA-ZIAGYGMSSA-N 0 2 307.346 0.946 20 0 DCADLN CC[N@H+]1CCO[C@@H](C(=O)O[C@H](Cc2ccccc2)C(=O)[O-])C1 ZINC001596442961 984141988 /nfs/dbraw/zinc/14/19/88/984141988.db2.gz XQCOOIDOMHMQQA-ZIAGYGMSSA-N 0 2 307.346 0.946 20 0 DCADLN CCOC(=O)c1cc2n(n1)C[C@H](C)[N@H+](C[C@](C)(O)C(=O)[O-])C2 ZINC001596713482 984935015 /nfs/dbraw/zinc/93/50/15/984935015.db2.gz BQCPDYMSXXGUAQ-XPTSAGLGSA-N 0 2 311.338 0.100 20 0 DCADLN CCOC(=O)c1cc2n(n1)C[C@H](C)[N@@H+](C[C@](C)(O)C(=O)[O-])C2 ZINC001596713482 984935021 /nfs/dbraw/zinc/93/50/21/984935021.db2.gz BQCPDYMSXXGUAQ-XPTSAGLGSA-N 0 2 311.338 0.100 20 0 DCADLN CCOC(=O)c1n[nH]c(C[NH2+][C@@H]2C[C@@H]3C[C@H](C(=O)[O-])C[C@H]23)n1 ZINC001596749709 985091715 /nfs/dbraw/zinc/09/17/15/985091715.db2.gz ZRGDTHQXWXDXHJ-AATLWQCWSA-N 0 2 308.338 0.570 20 0 DCADLN COCCN(CCNC(=O)COC)C(=O)C(F)C(F)(F)F ZINC001384392691 985545766 /nfs/dbraw/zinc/54/57/66/985545766.db2.gz UMGRTJJBYYTDGP-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN COCCN(CCNC(=O)COC)C(=O)[C@H](F)C(F)(F)F ZINC001384392691 985545774 /nfs/dbraw/zinc/54/57/74/985545774.db2.gz UMGRTJJBYYTDGP-VIFPVBQESA-N 0 2 318.267 0.124 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2[C@@H]3CCCO[C@H]23)n[nH]1 ZINC001551655943 1015165010 /nfs/dbraw/zinc/16/50/10/1015165010.db2.gz IMKPJNZVNWDCMR-ITGHMWBKSA-N 0 2 320.393 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2[C@@H]3CCC(=O)[C@@H]32)n[nH]1 ZINC001551660413 1015166959 /nfs/dbraw/zinc/16/69/59/1015166959.db2.gz YGVFLDOIZWTPGP-OMRXRXQOSA-N 0 2 318.377 0.669 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1CCNC(=O)c1cn[nH]n1 ZINC001384868901 985909703 /nfs/dbraw/zinc/90/97/03/985909703.db2.gz FBONLBKYGMNUQS-NXEZZACHSA-N 0 2 317.353 0.056 20 0 DCADLN C[C@@H]1CN(C(=O)c2cc[nH]n2)C[C@H]1CCNC(=O)c1cnn[nH]1 ZINC001384868901 985909715 /nfs/dbraw/zinc/90/97/15/985909715.db2.gz FBONLBKYGMNUQS-NXEZZACHSA-N 0 2 317.353 0.056 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2cnn(C)c2C)n[nH]1 ZINC001551670876 1015173414 /nfs/dbraw/zinc/17/34/14/1015173414.db2.gz WMHFZJXTLFRZQZ-AAEUAGOBSA-N 0 2 318.381 0.800 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)Cc2ccccc2C(=O)[O-])CCO1 ZINC001594526705 986059775 /nfs/dbraw/zinc/05/97/75/986059775.db2.gz CEGRDUHCYJHTBO-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)Cc2ccccc2C(=O)[O-])CCO1 ZINC001594526705 986059787 /nfs/dbraw/zinc/05/97/87/986059787.db2.gz CEGRDUHCYJHTBO-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CCC[C@](C)(C(=O)[O-])C2)CCO1 ZINC001594528171 986093778 /nfs/dbraw/zinc/09/37/78/986093778.db2.gz RDMVTGRLHMNAQX-WFASDCNBSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CCC[C@](C)(C(=O)[O-])C2)CCO1 ZINC001594528171 986093788 /nfs/dbraw/zinc/09/37/88/986093788.db2.gz RDMVTGRLHMNAQX-WFASDCNBSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H](C(=O)N1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC001589356945 986342826 /nfs/dbraw/zinc/34/28/26/986342826.db2.gz SZFHQKIXBCMXRN-GHMZBOCLSA-N 0 2 318.337 0.598 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC001594607229 986577193 /nfs/dbraw/zinc/57/71/93/986577193.db2.gz FPKXPQZHHJREAI-QUCGXOGASA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC001594607229 986577202 /nfs/dbraw/zinc/57/72/02/986577202.db2.gz FPKXPQZHHJREAI-QUCGXOGASA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(C(=O)[O-])cn1 ZINC001594608235 986590911 /nfs/dbraw/zinc/59/09/11/986590911.db2.gz UQPDZZPEGJDQBF-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(C(=O)[O-])cn1 ZINC001594608235 986590916 /nfs/dbraw/zinc/59/09/16/986590916.db2.gz UQPDZZPEGJDQBF-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)N[C@@H](CO)C[NH+]2CCCC2)c1 ZINC001450605504 1015244349 /nfs/dbraw/zinc/24/43/49/1015244349.db2.gz QQSOKKLVQJOUIF-CYBMUJFWSA-N 0 2 306.362 0.700 20 0 DCADLN Cc1ccnn1CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001386273081 987021116 /nfs/dbraw/zinc/02/11/16/987021116.db2.gz NUWNNRMLMCLHQZ-GMSGAONNSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccnn1CC(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001386273081 987021127 /nfs/dbraw/zinc/02/11/27/987021127.db2.gz NUWNNRMLMCLHQZ-GMSGAONNSA-N 0 2 324.278 0.713 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386282189 987033155 /nfs/dbraw/zinc/03/31/55/987033155.db2.gz MWSWUESKAVUHTJ-RULNZFCNSA-N 0 2 300.252 0.685 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001386282189 987033171 /nfs/dbraw/zinc/03/31/71/987033171.db2.gz MWSWUESKAVUHTJ-RULNZFCNSA-N 0 2 300.252 0.685 20 0 DCADLN C[C@H](CNC(=O)Cc1cnoc1)NC(=O)C(F)C(F)(F)F ZINC001386435022 987146690 /nfs/dbraw/zinc/14/66/90/987146690.db2.gz VOLSHWNJXHXPEK-MUWHJKNJSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@H](CNC(=O)Cc1cnoc1)NC(=O)[C@H](F)C(F)(F)F ZINC001386435022 987146697 /nfs/dbraw/zinc/14/66/97/987146697.db2.gz VOLSHWNJXHXPEK-MUWHJKNJSA-N 0 2 311.235 0.738 20 0 DCADLN CN(CCNC(=O)COCC1CC1)C(=O)C(F)C(F)(F)F ZINC001386811665 987419748 /nfs/dbraw/zinc/41/97/48/987419748.db2.gz SSANVKMAJLGWKS-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)COCC1CC1)C(=O)[C@H](F)C(F)(F)F ZINC001386811665 987419751 /nfs/dbraw/zinc/41/97/51/987419751.db2.gz SSANVKMAJLGWKS-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)CNC(=O)C(F)C(F)(F)F ZINC001532261159 1015321548 /nfs/dbraw/zinc/32/15/48/1015321548.db2.gz NPWFMQIZKSRUEY-VXNVDRBHSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001532261159 1015321560 /nfs/dbraw/zinc/32/15/60/1015321560.db2.gz NPWFMQIZKSRUEY-VXNVDRBHSA-N 0 2 324.278 0.803 20 0 DCADLN COCC(=O)N1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001387199606 987701825 /nfs/dbraw/zinc/70/18/25/987701825.db2.gz YHRZOEHLFZIAIE-CBAPKCEASA-N 0 2 300.252 0.498 20 0 DCADLN COCC(=O)N1CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387199606 987701831 /nfs/dbraw/zinc/70/18/31/987701831.db2.gz YHRZOEHLFZIAIE-CBAPKCEASA-N 0 2 300.252 0.498 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ncccn1 ZINC001387215243 987711186 /nfs/dbraw/zinc/71/11/86/987711186.db2.gz PWZAMOPECFZGDQ-QMMMGPOBSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ncccn1 ZINC001387215243 987711188 /nfs/dbraw/zinc/71/11/88/987711188.db2.gz PWZAMOPECFZGDQ-QMMMGPOBSA-N 0 2 322.262 0.955 20 0 DCADLN CC[C@@H](CNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001388068046 988452885 /nfs/dbraw/zinc/45/28/85/988452885.db2.gz AMTICXLWEBFHGX-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)c1ccnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001388068046 988452889 /nfs/dbraw/zinc/45/28/89/988452889.db2.gz AMTICXLWEBFHGX-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c1C ZINC001389232007 989008528 /nfs/dbraw/zinc/00/85/28/989008528.db2.gz GHVAMDAFGZBZNY-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)c1C ZINC001389232007 989008536 /nfs/dbraw/zinc/00/85/36/989008536.db2.gz GHVAMDAFGZBZNY-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001389821398 989610965 /nfs/dbraw/zinc/61/09/65/989610965.db2.gz SGVGAUPJPFZOCK-LBPRGKRZSA-N 0 2 324.429 0.168 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CCC[N@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001590328561 989762010 /nfs/dbraw/zinc/76/20/10/989762010.db2.gz DLKRBXYWJRJFCY-LLVKDONJSA-N 0 2 324.381 0.916 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CCC[N@@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001590328561 989762014 /nfs/dbraw/zinc/76/20/14/989762014.db2.gz DLKRBXYWJRJFCY-LLVKDONJSA-N 0 2 324.381 0.916 20 0 DCADLN CC(C)(C)n1ncc2c1nc[nH+]c2N1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001590601942 990259982 /nfs/dbraw/zinc/25/99/82/990259982.db2.gz SGUMTRRBMSICBI-NXEZZACHSA-N 0 2 319.365 0.853 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@@H]1CCCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001390902755 990467142 /nfs/dbraw/zinc/46/71/42/990467142.db2.gz HMRZVZLJICGBRI-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@@H]1CCCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001390902755 990467148 /nfs/dbraw/zinc/46/71/48/990467148.db2.gz HMRZVZLJICGBRI-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001451061352 1015566558 /nfs/dbraw/zinc/56/65/58/1015566558.db2.gz FJRNFAQEPHKYHR-BQBZGAKWSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001451061352 1015566561 /nfs/dbraw/zinc/56/65/61/1015566561.db2.gz FJRNFAQEPHKYHR-BQBZGAKWSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001391057818 990546913 /nfs/dbraw/zinc/54/69/13/990546913.db2.gz CKFYHEWSPAVWLV-WDEREUQCSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001391057818 990546918 /nfs/dbraw/zinc/54/69/18/990546918.db2.gz CKFYHEWSPAVWLV-WDEREUQCSA-N 0 2 319.365 0.988 20 0 DCADLN Cc1noc(C)c1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001391148335 990621295 /nfs/dbraw/zinc/62/12/95/990621295.db2.gz FSYMUHCUMBEFDF-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1noc(C)c1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001391148335 990621296 /nfs/dbraw/zinc/62/12/96/990621296.db2.gz FSYMUHCUMBEFDF-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN CCOCC(=O)NC[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001391163373 990632925 /nfs/dbraw/zinc/63/29/25/990632925.db2.gz OQYBSQGCSPHIKC-IONNQARKSA-N 0 2 302.268 0.792 20 0 DCADLN CCOCC(=O)NC[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001391163373 990632927 /nfs/dbraw/zinc/63/29/27/990632927.db2.gz OQYBSQGCSPHIKC-IONNQARKSA-N 0 2 302.268 0.792 20 0 DCADLN Cc1ccnc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001391417461 990819605 /nfs/dbraw/zinc/81/96/05/990819605.db2.gz BIOMSEYKUWZARV-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN Cc1ccnc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001391417461 990819615 /nfs/dbraw/zinc/81/96/15/990819615.db2.gz BIOMSEYKUWZARV-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN C[C@H]1CCC[C@@H]1CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391479105 990880351 /nfs/dbraw/zinc/88/03/51/990880351.db2.gz WUURPOJHKAWWLS-CMPLNLGQSA-N 0 2 307.398 0.885 20 0 DCADLN CC(C)[C@H](CNC(=O)c1cc(C(=O)[O-])no1)[NH+]1CCOCC1 ZINC001590988925 991190729 /nfs/dbraw/zinc/19/07/29/991190729.db2.gz PPDSBQOSCKOBOQ-NSHDSACASA-N 0 2 311.338 0.459 20 0 DCADLN CCC(C)(C)C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001392114565 991509114 /nfs/dbraw/zinc/50/91/14/991509114.db2.gz LZVLDRKEAUATRR-YUMQZZPRSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001392114565 991509122 /nfs/dbraw/zinc/50/91/22/991509122.db2.gz LZVLDRKEAUATRR-YUMQZZPRSA-N 0 2 316.295 0.916 20 0 DCADLN CP(C)(=O)c1ccc(C(=O)N2CC[NH+](CC(=O)[O-])CC2)cc1 ZINC001599741831 991869589 /nfs/dbraw/zinc/86/95/89/991869589.db2.gz CRKDCSVPLHKYCA-UHFFFAOYSA-N 0 2 324.317 0.777 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CCO[C@H](C(F)F)CC1)C(=O)[O-] ZINC001591168438 991946955 /nfs/dbraw/zinc/94/69/55/991946955.db2.gz YJTQAUJHNWDQPI-QWRGUYRKSA-N 0 2 322.352 0.958 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CCO[C@H](C(F)F)CC1)C(=O)[O-] ZINC001591168438 991946963 /nfs/dbraw/zinc/94/69/63/991946963.db2.gz YJTQAUJHNWDQPI-QWRGUYRKSA-N 0 2 322.352 0.958 20 0 DCADLN CNC(=O)C[NH+](C)C[C@@H](C)NC(=O)[C@@H]1CCCC[N@H+]1C(C)C ZINC001392701416 992286256 /nfs/dbraw/zinc/28/62/56/992286256.db2.gz GOVXVIOAWOHSEG-KGLIPLIRSA-N 0 2 312.458 0.432 20 0 DCADLN CC(C)c1oncc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392708951 992297208 /nfs/dbraw/zinc/29/72/08/992297208.db2.gz SEQVBAABFXBYBL-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1oncc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392708951 992297222 /nfs/dbraw/zinc/29/72/22/992297222.db2.gz SEQVBAABFXBYBL-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)CNC(=O)C1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001591368883 992394537 /nfs/dbraw/zinc/39/45/37/992394537.db2.gz DSBXTSHDYMWGQS-UHFFFAOYSA-N 0 2 323.397 0.347 20 0 DCADLN C[C@@H](CNC(=O)COCC1CC1)NC(=O)C(F)C(F)(F)F ZINC001392865030 992474764 /nfs/dbraw/zinc/47/47/64/992474764.db2.gz OINPZBIHAQMSBU-OIBJUYFYSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)COCC1CC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001392865030 992474768 /nfs/dbraw/zinc/47/47/68/992474768.db2.gz OINPZBIHAQMSBU-OIBJUYFYSA-N 0 2 314.279 0.934 20 0 DCADLN C[N@H+](Cc1cccc(C(N)=O)c1)Cc1cnc(C(=O)[O-])cn1 ZINC001598526798 992729892 /nfs/dbraw/zinc/72/98/92/992729892.db2.gz DORJRHNDXSUMPW-UHFFFAOYSA-N 0 2 300.318 0.906 20 0 DCADLN C[N@@H+](Cc1cccc(C(N)=O)c1)Cc1cnc(C(=O)[O-])cn1 ZINC001598526798 992729900 /nfs/dbraw/zinc/72/99/00/992729900.db2.gz DORJRHNDXSUMPW-UHFFFAOYSA-N 0 2 300.318 0.906 20 0 DCADLN O=C(Cc1ccon1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001393677076 993172095 /nfs/dbraw/zinc/17/20/95/993172095.db2.gz IBVLOAQQTJQZSD-SECBINFHSA-N 0 2 323.246 0.883 20 0 DCADLN O=C(Cc1ccon1)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001393677076 993172098 /nfs/dbraw/zinc/17/20/98/993172098.db2.gz IBVLOAQQTJQZSD-SECBINFHSA-N 0 2 323.246 0.883 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+](C)C[C@@H]1CCCN1C(=O)Cn1cncc1C ZINC001393880835 993318357 /nfs/dbraw/zinc/31/83/57/993318357.db2.gz SJZROBOOEWFCSW-KBPBESRZSA-N 0 2 321.425 0.249 20 0 DCADLN COCC[N@H+](C)[C@@H](C)CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001394062933 993446926 /nfs/dbraw/zinc/44/69/26/993446926.db2.gz RWSSPIQNILPLJC-ZDUSSCGKSA-N 0 2 308.426 0.845 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1C[C@@H]1C(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394067903 993452938 /nfs/dbraw/zinc/45/29/38/993452938.db2.gz QMTVWKMREJFJBP-FXQIFTODSA-N 0 2 303.313 0.348 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1C[C@@H]1C(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394067903 993452942 /nfs/dbraw/zinc/45/29/42/993452942.db2.gz QMTVWKMREJFJBP-FXQIFTODSA-N 0 2 303.313 0.348 20 0 DCADLN C[C@H](CNC(=O)CCc1nccs1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394140596 993510248 /nfs/dbraw/zinc/51/02/48/993510248.db2.gz MPWWZCIXPJVMAQ-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN C[C@H](CNC(=O)CCc1nccs1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394140596 993510251 /nfs/dbraw/zinc/51/02/51/993510251.db2.gz MPWWZCIXPJVMAQ-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN C[N@H+](CCNC(=O)c1ccoc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001399571991 993573820 /nfs/dbraw/zinc/57/38/20/993573820.db2.gz VUEADGVGFDTWDN-UHFFFAOYSA-N 0 2 305.338 0.843 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccoc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001399571991 993573827 /nfs/dbraw/zinc/57/38/27/993573827.db2.gz VUEADGVGFDTWDN-UHFFFAOYSA-N 0 2 305.338 0.843 20 0 DCADLN CCNC(=O)C[NH+]1CCC[C@@H]1CNC(=O)[C@H]1CCCC[N@@H+]1CC ZINC001399903102 993901767 /nfs/dbraw/zinc/90/17/67/993901767.db2.gz UWAYDBHNHUUGGY-HUUCEWRRSA-N 0 2 324.469 0.578 20 0 DCADLN Cc1nc(C)c(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)[nH]1 ZINC001400030496 994073418 /nfs/dbraw/zinc/07/34/18/994073418.db2.gz ZRBMNNHCLKPHOL-UHFFFAOYSA-N 0 2 319.369 0.245 20 0 DCADLN Cc1nc(C)c(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)[nH]1 ZINC001400030496 994073428 /nfs/dbraw/zinc/07/34/28/994073428.db2.gz ZRBMNNHCLKPHOL-UHFFFAOYSA-N 0 2 319.369 0.245 20 0 DCADLN CCCN(C(=O)c1ccon1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400130423 994179275 /nfs/dbraw/zinc/17/92/75/994179275.db2.gz XVPYQDTYCBEZGX-SNVBAGLBSA-N 0 2 320.353 0.625 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN(C(=O)c2ccccc2C(=O)[O-])CC1 ZINC001598642011 994328928 /nfs/dbraw/zinc/32/89/28/994328928.db2.gz FUTIEZKHUJCSJY-UHFFFAOYSA-N 0 2 319.361 0.279 20 0 DCADLN CNC(=O)C[N@H+]1CCCN(C(=O)c2ccccc2C(=O)[O-])CC1 ZINC001598642011 994328935 /nfs/dbraw/zinc/32/89/35/994328935.db2.gz FUTIEZKHUJCSJY-UHFFFAOYSA-N 0 2 319.361 0.279 20 0 DCADLN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400510740 994600868 /nfs/dbraw/zinc/60/08/68/994600868.db2.gz KVLJKWYPAAGHTN-WOPDTQHZSA-N 0 2 305.382 0.495 20 0 DCADLN C[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccs1 ZINC001400532388 994620904 /nfs/dbraw/zinc/62/09/04/994620904.db2.gz OQRJONBUGIQUQM-VIFPVBQESA-N 0 2 321.406 0.924 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001395589666 994783741 /nfs/dbraw/zinc/78/37/41/994783741.db2.gz IBQGGTXBXXFBTA-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001395589666 994783750 /nfs/dbraw/zinc/78/37/50/994783750.db2.gz IBQGGTXBXXFBTA-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN CNc1cc(CNC(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])cc[nH+]1 ZINC001598676528 994854683 /nfs/dbraw/zinc/85/46/83/994854683.db2.gz WLAXZAWEHTXKIT-ZYHUDNBSSA-N 0 2 308.338 0.412 20 0 DCADLN O=C(CC1CCC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395739590 994953085 /nfs/dbraw/zinc/95/30/85/994953085.db2.gz JFSPFRRDSKMCSU-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001395739590 994953090 /nfs/dbraw/zinc/95/30/90/994953090.db2.gz JFSPFRRDSKMCSU-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C)[N@@H+](Cc2cn(CC(=O)[O-])nn2)[C@@H](C)C1 ZINC001598760264 995768956 /nfs/dbraw/zinc/76/89/56/995768956.db2.gz YBSOYZTYCGFZOQ-JGPRNRPPSA-N 0 2 310.354 0.525 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C)[N@H+](Cc2cn(CC(=O)[O-])nn2)[C@@H](C)C1 ZINC001598760264 995768964 /nfs/dbraw/zinc/76/89/64/995768964.db2.gz YBSOYZTYCGFZOQ-JGPRNRPPSA-N 0 2 310.354 0.525 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])N(C(=O)CNc2cccc[nH+]2)C1 ZINC001598777058 995940590 /nfs/dbraw/zinc/94/05/90/995940590.db2.gz QAYGEWIXBQEJGU-GHMZBOCLSA-N 0 2 321.333 0.358 20 0 DCADLN COC(=O)C1CCC(NC(=O)Cc2[nH]cc[nH+]2)(C(=O)[O-])CC1 ZINC001598786696 996035734 /nfs/dbraw/zinc/03/57/34/996035734.db2.gz NMTFRKWUWNDEOG-UHFFFAOYSA-N 0 2 309.322 0.255 20 0 DCADLN CO[C@@H](C)CC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001397885941 996231753 /nfs/dbraw/zinc/23/17/53/996231753.db2.gz OMALNZPGYWWGOU-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@@H](C)CC(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001397885941 996231762 /nfs/dbraw/zinc/23/17/62/996231762.db2.gz OMALNZPGYWWGOU-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[NH+]1CCC(N2CCNC2=O)CC1 ZINC001593724595 996266974 /nfs/dbraw/zinc/26/69/74/996266974.db2.gz HSSHZITUBVDRSM-SECBINFHSA-N 0 2 308.338 0.923 20 0 DCADLN CN(CCNC(=O)c1ccc(=O)[nH]c1)C(=O)C(F)C(F)(F)F ZINC001398036894 996327189 /nfs/dbraw/zinc/32/71/89/996327189.db2.gz DYDYPNWGPDDFHW-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)c1ccc(=O)[nH]c1)C(=O)[C@@H](F)C(F)(F)F ZINC001398036894 996327192 /nfs/dbraw/zinc/32/71/92/996327192.db2.gz DYDYPNWGPDDFHW-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccncn1 ZINC001398101304 996365897 /nfs/dbraw/zinc/36/58/97/996365897.db2.gz UAOGBOWLRMKTGC-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccncn1 ZINC001398101304 996365901 /nfs/dbraw/zinc/36/59/01/996365901.db2.gz UAOGBOWLRMKTGC-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN COC(=O)C[C@H](C)[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC001598841066 996525948 /nfs/dbraw/zinc/52/59/48/996525948.db2.gz WXAHCHXCZXWHOQ-JTQLQIEISA-N 0 2 324.333 0.687 20 0 DCADLN CCc1ccsc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492873569 996557843 /nfs/dbraw/zinc/55/78/43/996557843.db2.gz KXCCEXLKFCFYQV-UHFFFAOYSA-N 0 2 309.395 0.996 20 0 DCADLN CCc1ccsc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492873569 996557849 /nfs/dbraw/zinc/55/78/49/996557849.db2.gz KXCCEXLKFCFYQV-UHFFFAOYSA-N 0 2 309.395 0.996 20 0 DCADLN CO[C@@H](C)CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398586107 996589578 /nfs/dbraw/zinc/58/95/78/996589578.db2.gz VFUWOFMXPQWSBZ-RCOVLWMOSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)CC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001398586107 996589581 /nfs/dbraw/zinc/58/95/81/996589581.db2.gz VFUWOFMXPQWSBZ-RCOVLWMOSA-N 0 2 300.252 0.639 20 0 DCADLN COc1cc(C[N@H+](CCNC(=O)[C@H]2C[C@H]2C(=O)[O-])C2CC2)on1 ZINC001599406477 997312886 /nfs/dbraw/zinc/31/28/86/997312886.db2.gz GFHYSZZPERGKMC-NWDGAFQWSA-N 0 2 323.349 0.485 20 0 DCADLN COc1cc(C[N@@H+](CCNC(=O)[C@H]2C[C@H]2C(=O)[O-])C2CC2)on1 ZINC001599406477 997312895 /nfs/dbraw/zinc/31/28/95/997312895.db2.gz GFHYSZZPERGKMC-NWDGAFQWSA-N 0 2 323.349 0.485 20 0 DCADLN COC[C@@H]1CC[N@@H+]1CN1C[C@H](c2ccccc2)[C@@H](C(=O)[O-])C1=O ZINC001599207558 997437607 /nfs/dbraw/zinc/43/76/07/997437607.db2.gz XVUMUDZFXWPAER-RRFJBIMHSA-N 0 2 318.373 0.991 20 0 DCADLN COC[C@@H]1CC[N@H+]1CN1C[C@H](c2ccccc2)[C@@H](C(=O)[O-])C1=O ZINC001599207558 997437615 /nfs/dbraw/zinc/43/76/15/997437615.db2.gz XVUMUDZFXWPAER-RRFJBIMHSA-N 0 2 318.373 0.991 20 0 DCADLN CCn1nncc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001404942322 997612338 /nfs/dbraw/zinc/61/23/38/997612338.db2.gz OORTVKDJPIJXCP-JTQLQIEISA-N 0 2 323.294 0.746 20 0 DCADLN CCn1nncc1CN1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404942322 997612345 /nfs/dbraw/zinc/61/23/45/997612345.db2.gz OORTVKDJPIJXCP-JTQLQIEISA-N 0 2 323.294 0.746 20 0 DCADLN COc1ccc(C=O)cc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001599542348 997865906 /nfs/dbraw/zinc/86/59/06/997865906.db2.gz IGMFEWOZOUBOOB-GFCCVEGCSA-N 0 2 317.301 0.657 20 0 DCADLN COc1ccc(C=O)cc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001599542348 997865917 /nfs/dbraw/zinc/86/59/17/997865917.db2.gz IGMFEWOZOUBOOB-GFCCVEGCSA-N 0 2 317.301 0.657 20 0 DCADLN O=C(C=C1CCC1)NC1(CO)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001503899439 1016183470 /nfs/dbraw/zinc/18/34/70/1016183470.db2.gz LZZPWUJJYVAXSN-UHFFFAOYSA-N 0 2 321.381 0.064 20 0 DCADLN COc1ccc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])cc1O ZINC001599561096 998196522 /nfs/dbraw/zinc/19/65/22/998196522.db2.gz GFLXBHOOWNDELJ-NSHDSACASA-N 0 2 308.334 0.566 20 0 DCADLN COc1ccc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])cc1O ZINC001599561096 998196525 /nfs/dbraw/zinc/19/65/25/998196525.db2.gz GFLXBHOOWNDELJ-NSHDSACASA-N 0 2 308.334 0.566 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)C(=O)[O-] ZINC001594251348 998967501 /nfs/dbraw/zinc/96/75/01/998967501.db2.gz UXKOMGFUWVLMDJ-NWDGAFQWSA-N 0 2 313.398 0.602 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1CCC1 ZINC001511465705 1016291275 /nfs/dbraw/zinc/29/12/75/1016291275.db2.gz HIYFSYQMNHSRCQ-IUCAKERBSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C1CCC1 ZINC001511465705 1016291281 /nfs/dbraw/zinc/29/12/81/1016291281.db2.gz HIYFSYQMNHSRCQ-IUCAKERBSA-N 0 2 314.279 0.622 20 0 DCADLN CNC(=O)[C@H]1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC001469201627 1016318870 /nfs/dbraw/zinc/31/88/70/1016318870.db2.gz XQMAZKFUHBLGPA-NSHDSACASA-N 0 2 314.345 0.786 20 0 DCADLN C[C@@H]1CCc2n[nH]cc2[C@H]1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001594448668 999644661 /nfs/dbraw/zinc/64/46/61/999644661.db2.gz VGWCIUCUNZDSLD-ICCXJUOJSA-N 0 2 317.349 0.542 20 0 DCADLN CSCC(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001511548867 1016346568 /nfs/dbraw/zinc/34/65/68/1016346568.db2.gz LLIWZFUSRRMYFC-XPUUQOCRSA-N 0 2 320.308 0.185 20 0 DCADLN CSCC(=O)NC[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001511548867 1016346578 /nfs/dbraw/zinc/34/65/78/1016346578.db2.gz LLIWZFUSRRMYFC-XPUUQOCRSA-N 0 2 320.308 0.185 20 0 DCADLN C[C@H]1Oc2ccccc2O[C@@H]1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001418615269 1000393954 /nfs/dbraw/zinc/39/39/54/1000393954.db2.gz BWWJJSCBQATAMI-CLAHSXSESA-N 0 2 317.301 0.733 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)[C@H]1COCCN1C1CCCC1 ZINC001418628306 1000404310 /nfs/dbraw/zinc/40/43/10/1000404310.db2.gz XIJRNOGKSYOBGJ-LLVKDONJSA-N 0 2 322.365 0.147 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C)CCOCC1 ZINC001401405419 1000409922 /nfs/dbraw/zinc/40/99/22/1000409922.db2.gz FYXUQJRQCICXEZ-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C)CCOCC1 ZINC001401405419 1000409924 /nfs/dbraw/zinc/40/99/24/1000409924.db2.gz FYXUQJRQCICXEZ-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN Cn1cc(CN(CCNC(=O)C(F)C(F)(F)F)C2CC2)nn1 ZINC001418763411 1000500519 /nfs/dbraw/zinc/50/05/19/1000500519.db2.gz KNMBQGFGKSORJD-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN(CCNC(=O)[C@H](F)C(F)(F)F)C2CC2)nn1 ZINC001418763411 1000500526 /nfs/dbraw/zinc/50/05/26/1000500526.db2.gz KNMBQGFGKSORJD-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN O=C(CCC(F)(F)F)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001419048965 1000721678 /nfs/dbraw/zinc/72/16/78/1000721678.db2.gz FLJPTGMAZFKBAD-YUMQZZPRSA-N 0 2 319.287 0.638 20 0 DCADLN CC(C)NC(=O)CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001419058676 1000731749 /nfs/dbraw/zinc/73/17/49/1000731749.db2.gz DRWQWGBBMLOJCB-WPRPVWTQSA-N 0 2 313.295 0.602 20 0 DCADLN CN(C(=O)CCc1ccco1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419110983 1000782087 /nfs/dbraw/zinc/78/20/87/1000782087.db2.gz WFMSIRPBSNJHHL-NSHDSACASA-N 0 2 319.365 0.769 20 0 DCADLN CN(C(=O)CCc1ccco1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419110983 1000782090 /nfs/dbraw/zinc/78/20/90/1000782090.db2.gz WFMSIRPBSNJHHL-NSHDSACASA-N 0 2 319.365 0.769 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401893094 1000826241 /nfs/dbraw/zinc/82/62/41/1000826241.db2.gz KNIVPIRLHVKJOC-IUCAKERBSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(C[C@@H]1CCCCO1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401892661 1000826839 /nfs/dbraw/zinc/82/68/39/1000826839.db2.gz NZORVNNWDXBQKP-QWRGUYRKSA-N 0 2 309.370 0.160 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)[nH]1 ZINC001401935232 1000875536 /nfs/dbraw/zinc/87/55/36/1000875536.db2.gz KIEQRBLBLHROPN-MRVPVSSYSA-N 0 2 319.369 0.463 20 0 DCADLN COc1ccc(F)c(OC)c1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001470586346 1016461337 /nfs/dbraw/zinc/46/13/37/1016461337.db2.gz KWFUUHDLRYGWAJ-UHFFFAOYSA-N 0 2 323.280 0.974 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001402187158 1001096285 /nfs/dbraw/zinc/09/62/85/1001096285.db2.gz KMMRMFQWYPJNJO-JQWIXIFHSA-N 0 2 309.370 0.016 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001419971690 1001736216 /nfs/dbraw/zinc/73/62/16/1001736216.db2.gz FQTFUGRPMMJLOU-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)O1 ZINC001419971690 1001736220 /nfs/dbraw/zinc/73/62/20/1001736220.db2.gz FQTFUGRPMMJLOU-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN C[C@@H](NC(=O)C1(C)CCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001403326751 1001816753 /nfs/dbraw/zinc/81/67/53/1001816753.db2.gz FXEZZWXQIJRIBG-SNVBAGLBSA-N 0 2 323.397 0.264 20 0 DCADLN CCOc1nc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001424976376 1002203939 /nfs/dbraw/zinc/20/39/39/1002203939.db2.gz VGWWUQUHXGHIPO-MRVPVSSYSA-N 0 2 324.341 0.147 20 0 DCADLN CCOc1nc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001424976376 1002203944 /nfs/dbraw/zinc/20/39/44/1002203944.db2.gz VGWWUQUHXGHIPO-MRVPVSSYSA-N 0 2 324.341 0.147 20 0 DCADLN Cc1cnc(CN[C@H](CO)CNC(=O)C(F)C(F)(F)F)nc1 ZINC001403669171 1002316133 /nfs/dbraw/zinc/31/61/33/1002316133.db2.gz JYQOUGXFCXSMFK-WPRPVWTQSA-N 0 2 324.278 0.252 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1cnnn1C ZINC001420386158 1002325396 /nfs/dbraw/zinc/32/53/96/1002325396.db2.gz HEGGLVRDKLLEIL-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN CCCc1cc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)no1 ZINC001420436283 1002415923 /nfs/dbraw/zinc/41/59/23/1002415923.db2.gz HHWMXHJQKXYKHM-UHFFFAOYSA-N 0 2 322.369 0.703 20 0 DCADLN CCCc1cc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)no1 ZINC001420436283 1002415928 /nfs/dbraw/zinc/41/59/28/1002415928.db2.gz HHWMXHJQKXYKHM-UHFFFAOYSA-N 0 2 322.369 0.703 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC(F)(F)C1 ZINC001420584781 1002651721 /nfs/dbraw/zinc/65/17/21/1002651721.db2.gz VXYFSFSCSMBNGS-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC(F)(F)C1 ZINC001420584781 1002651726 /nfs/dbraw/zinc/65/17/26/1002651726.db2.gz VXYFSFSCSMBNGS-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ncccc1F ZINC001420641896 1002733368 /nfs/dbraw/zinc/73/33/68/1002733368.db2.gz RTXIWIXZDQABIG-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ncccc1F ZINC001420641896 1002733380 /nfs/dbraw/zinc/73/33/80/1002733380.db2.gz RTXIWIXZDQABIG-QMMMGPOBSA-N 0 2 308.317 0.295 20 0 DCADLN CCc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001420666649 1002773380 /nfs/dbraw/zinc/77/33/80/1002773380.db2.gz WCQMWTFRLVAKPV-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001420666649 1002773390 /nfs/dbraw/zinc/77/33/90/1002773390.db2.gz WCQMWTFRLVAKPV-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN O=C([C@H]1CCc2[nH]cnc2C1)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001471853216 1016675875 /nfs/dbraw/zinc/67/58/75/1016675875.db2.gz HTZPMJQVHIBZNW-MNOVXSKESA-N 0 2 315.381 0.509 20 0 DCADLN O=C([C@H]1CCc2nc[nH]c2C1)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001471853216 1016675884 /nfs/dbraw/zinc/67/58/84/1016675884.db2.gz HTZPMJQVHIBZNW-MNOVXSKESA-N 0 2 315.381 0.509 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C1(CF)CCC1 ZINC001404062452 1002960069 /nfs/dbraw/zinc/96/00/69/1002960069.db2.gz DIUSKKAWXPTZGB-SNVBAGLBSA-N 0 2 311.361 0.731 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1(CF)CCC1 ZINC001404062452 1002960080 /nfs/dbraw/zinc/96/00/80/1002960080.db2.gz DIUSKKAWXPTZGB-SNVBAGLBSA-N 0 2 311.361 0.731 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1(CF)CCC1 ZINC001404062452 1002960089 /nfs/dbraw/zinc/96/00/89/1002960089.db2.gz DIUSKKAWXPTZGB-SNVBAGLBSA-N 0 2 311.361 0.731 20 0 DCADLN CS[C@H](C)CC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001420997114 1003218719 /nfs/dbraw/zinc/21/87/19/1003218719.db2.gz UFPVVWYUCHIBKM-ZJUUUORDSA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001420997111 1003219338 /nfs/dbraw/zinc/21/93/38/1003219338.db2.gz UFPVVWYUCHIBKM-UWVGGRQHSA-N 0 2 313.427 0.733 20 0 DCADLN O=C(NC1CN(C[C@H]2CCc3ncnn32)C1)C(F)C(F)(F)F ZINC001421031173 1003248431 /nfs/dbraw/zinc/24/84/31/1003248431.db2.gz PMAUIHOYOKSSNX-PSASIEDQSA-N 0 2 321.278 0.466 20 0 DCADLN C[C@@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001421080525 1003300011 /nfs/dbraw/zinc/30/00/11/1003300011.db2.gz LZCQJFQAFJVWMJ-ZETCQYMHSA-N 0 2 303.313 0.444 20 0 DCADLN CC[C@H](CC(F)(F)F)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421090914 1003310054 /nfs/dbraw/zinc/31/00/54/1003310054.db2.gz IMHARIOWORDTMQ-SSDOTTSWSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(NC1CC(CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001508343976 1016765326 /nfs/dbraw/zinc/76/53/26/1016765326.db2.gz SMOCGOQCRHQYGN-DMBGQZSHSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC1CC(CNC(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001508343976 1016765336 /nfs/dbraw/zinc/76/53/36/1016765336.db2.gz SMOCGOQCRHQYGN-DMBGQZSHSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@@]1(NC(=O)C[C@@H]2CCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421187661 1003418346 /nfs/dbraw/zinc/41/83/46/1003418346.db2.gz DWRAEZOFUJVOBW-IINYFYTJSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@@]1(NC(=O)C[C@@H]2CCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421187661 1003418354 /nfs/dbraw/zinc/41/83/54/1003418354.db2.gz DWRAEZOFUJVOBW-IINYFYTJSA-N 0 2 309.370 0.160 20 0 DCADLN Cn1nnnc1CN1CC[C@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001421214922 1003450030 /nfs/dbraw/zinc/45/00/30/1003450030.db2.gz YSJWCEPGSVFSNN-WPRPVWTQSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CC[C@](C)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001421214922 1003450040 /nfs/dbraw/zinc/45/00/40/1003450040.db2.gz YSJWCEPGSVFSNN-WPRPVWTQSA-N 0 2 324.282 0.191 20 0 DCADLN Cc1conc1C[NH2+]C[C@H](O)CNC(=O)[C@H]1CCCC[N@H+]1C ZINC001406242472 1003516489 /nfs/dbraw/zinc/51/64/89/1003516489.db2.gz MIFVGARNKZGYGI-GXTWGEPZSA-N 0 2 310.398 0.034 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001426719573 1003982815 /nfs/dbraw/zinc/98/28/15/1003982815.db2.gz SUSRJTLTYLPOLO-IONNQARKSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001426719573 1003982823 /nfs/dbraw/zinc/98/28/23/1003982823.db2.gz SUSRJTLTYLPOLO-IONNQARKSA-N 0 2 300.252 0.593 20 0 DCADLN O=C(CCC(F)(F)F)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001406843144 1004143078 /nfs/dbraw/zinc/14/30/78/1004143078.db2.gz OCFDBVCSWMQVFE-SSDOTTSWSA-N 0 2 307.276 0.543 20 0 DCADLN CC(C)OCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001407016854 1004307672 /nfs/dbraw/zinc/30/76/72/1004307672.db2.gz HCRVZIDQIYNVFI-TXEJJXNPSA-N 0 2 323.397 0.501 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001427582150 1004474153 /nfs/dbraw/zinc/47/41/53/1004474153.db2.gz VFBSKXMIWIFBIX-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001427582150 1004474159 /nfs/dbraw/zinc/47/41/59/1004474159.db2.gz VFBSKXMIWIFBIX-GMSGAONNSA-N 0 2 324.278 0.816 20 0 DCADLN CCCC1(C(=O)NC[C@@]2(O)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001407356766 1004583358 /nfs/dbraw/zinc/58/33/58/1004583358.db2.gz GKVYVBMOFVBHLV-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN CCCC1(C(=O)NC[C@@]2(O)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001407356766 1004583365 /nfs/dbraw/zinc/58/33/65/1004583365.db2.gz GKVYVBMOFVBHLV-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN CC[N@H+]1CCC[C@@](CO)(NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001407597778 1004751191 /nfs/dbraw/zinc/75/11/91/1004751191.db2.gz FEQSGFXAXDWEFD-BLLLJJGKSA-N 0 2 306.410 0.793 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001414546492 1005357309 /nfs/dbraw/zinc/35/73/09/1005357309.db2.gz CHYNOERYLBWRHX-GFCCVEGCSA-N 0 2 307.398 0.010 20 0 DCADLN CCCC(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001415104110 1005457659 /nfs/dbraw/zinc/45/76/59/1005457659.db2.gz FWKJRHVYTBEXSS-UHFFFAOYSA-N 0 2 303.366 0.467 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001415166706 1005474253 /nfs/dbraw/zinc/47/42/53/1005474253.db2.gz SWDSBJBUBQWGBC-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001415166706 1005474255 /nfs/dbraw/zinc/47/42/55/1005474255.db2.gz SWDSBJBUBQWGBC-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN CO[C@H](C)CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001415514388 1005551116 /nfs/dbraw/zinc/55/11/16/1005551116.db2.gz KJALFJZZSUTZQY-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@H](C)CC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001415514388 1005551118 /nfs/dbraw/zinc/55/11/18/1005551118.db2.gz KJALFJZZSUTZQY-VXNVDRBHSA-N 0 2 302.268 0.886 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1(CF)CC1)C(F)C(F)(F)F ZINC001416766895 1005733927 /nfs/dbraw/zinc/73/39/27/1005733927.db2.gz SIXRPYOXVMFHEA-RQJHMYQMSA-N 0 2 318.242 0.230 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1(CF)CC1)[C@H](F)C(F)(F)F ZINC001416766895 1005733929 /nfs/dbraw/zinc/73/39/29/1005733929.db2.gz SIXRPYOXVMFHEA-RQJHMYQMSA-N 0 2 318.242 0.230 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cccnn2)C1 ZINC001417257339 1005811981 /nfs/dbraw/zinc/81/19/81/1005811981.db2.gz VBVPKPTXKFWJEQ-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cccnn2)C1 ZINC001417257339 1005811984 /nfs/dbraw/zinc/81/19/84/1005811984.db2.gz VBVPKPTXKFWJEQ-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3NC(=O)C[C@H]3C)=N2)cc1 ZINC001417604949 1005850308 /nfs/dbraw/zinc/85/03/08/1005850308.db2.gz KKEPYAFNIRZFLX-SECBINFHSA-N 0 2 316.317 0.852 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nc(C(F)(F)F)no2)S1 ZINC001417710020 1005873260 /nfs/dbraw/zinc/87/32/60/1005873260.db2.gz WSUDIXWZJXMHAU-VKHMYHEASA-N 0 2 323.256 0.261 20 0 DCADLN O=C(c1ccc(O)cc1O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC001417719487 1005875579 /nfs/dbraw/zinc/87/55/79/1005875579.db2.gz ILUSQNCOLCIIEX-SECBINFHSA-N 0 2 307.335 0.541 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC[C@@H](C)CCO ZINC001417878431 1005912542 /nfs/dbraw/zinc/91/25/42/1005912542.db2.gz WLVGGHMNWAENKF-SNVBAGLBSA-N 0 2 306.366 0.398 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2COCc3ccccc32)S1 ZINC001418071654 1006004382 /nfs/dbraw/zinc/00/43/82/1006004382.db2.gz ICSCKLDJCNGSKM-QWRGUYRKSA-N 0 2 305.359 0.931 20 0 DCADLN Cc1nnc([C@H](C)NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001434838247 1006028220 /nfs/dbraw/zinc/02/82/20/1006028220.db2.gz OFTJIUACKUOHNG-CBAPKCEASA-N 0 2 321.341 0.852 20 0 DCADLN Cc1ccn(C)c1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001434858349 1006052731 /nfs/dbraw/zinc/05/27/31/1006052731.db2.gz ZXUNNHWKBNLRMC-UHFFFAOYSA-N 0 2 304.354 0.115 20 0 DCADLN CCCc1ncc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)o1 ZINC001436237072 1006103199 /nfs/dbraw/zinc/10/31/99/1006103199.db2.gz ZSGPYPGYEZZVCW-UHFFFAOYSA-N 0 2 316.321 0.328 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)Cc2cnc(C)cn2)c1[O-] ZINC001438863856 1006170369 /nfs/dbraw/zinc/17/03/69/1006170369.db2.gz DCICQYZANVAHGA-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)Cc2cnc(C)cn2)c1[O-] ZINC001438863856 1006170376 /nfs/dbraw/zinc/17/03/76/1006170376.db2.gz DCICQYZANVAHGA-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN CC(C)N(C)C(=O)C[N@@H+](C)CCN(C)C(=O)C[NH+](C)C(C)C ZINC001438937013 1006246390 /nfs/dbraw/zinc/24/63/90/1006246390.db2.gz JQRAKQOOZIJCMK-UHFFFAOYSA-N 0 2 314.474 0.584 20 0 DCADLN Cc1nn(C)cc1C[NH2+][C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001418257145 1006257632 /nfs/dbraw/zinc/25/76/32/1006257632.db2.gz OLVCJSZUOLLHKE-GFCCVEGCSA-N 0 2 304.398 0.610 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc3c(N)noc3c2)S1 ZINC001451856359 1006454364 /nfs/dbraw/zinc/45/43/64/1006454364.db2.gz NMXACNLJRWHEAR-VIFPVBQESA-N 0 2 319.346 0.583 20 0 DCADLN COc1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001439347976 1006735043 /nfs/dbraw/zinc/73/50/43/1006735043.db2.gz VBLZMODDYJZXQK-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001439347976 1006735048 /nfs/dbraw/zinc/73/50/48/1006735048.db2.gz VBLZMODDYJZXQK-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN CCOCC(=O)N1C[C@@H](C)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001452698500 1006995766 /nfs/dbraw/zinc/99/57/66/1006995766.db2.gz XALXLVOISODHMB-NQMVMOMDSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N1C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001452698500 1006995758 /nfs/dbraw/zinc/99/57/58/1006995758.db2.gz XALXLVOISODHMB-NQMVMOMDSA-N 0 2 314.279 0.886 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cn[nH]n1)C(=O)C(F)C(F)(F)F ZINC001452858696 1007120242 /nfs/dbraw/zinc/12/02/42/1007120242.db2.gz HSSRQCWYVOQQTQ-XPUUQOCRSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cn[nH]n1)C(=O)[C@H](F)C(F)(F)F ZINC001452858696 1007120249 /nfs/dbraw/zinc/12/02/49/1007120249.db2.gz HSSRQCWYVOQQTQ-XPUUQOCRSA-N 0 2 323.250 0.378 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@H]1c1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001437557707 1007125135 /nfs/dbraw/zinc/12/51/35/1007125135.db2.gz UWTRXTLBRHQFGH-QWHCGFSZSA-N 0 2 315.377 0.862 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1c1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001437557707 1007125139 /nfs/dbraw/zinc/12/51/39/1007125139.db2.gz UWTRXTLBRHQFGH-QWHCGFSZSA-N 0 2 315.377 0.862 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001452924240 1007153206 /nfs/dbraw/zinc/15/32/06/1007153206.db2.gz WASNZUAGGCUYRA-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001452924240 1007153208 /nfs/dbraw/zinc/15/32/08/1007153208.db2.gz WASNZUAGGCUYRA-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN CCc1nocc1C[N@@H+]1CCC[C@H]1CNC(=O)Cc1nnc[nH]1 ZINC001437691005 1007263120 /nfs/dbraw/zinc/26/31/20/1007263120.db2.gz ZLIFWAJYFIEMRD-LBPRGKRZSA-N 0 2 318.381 0.678 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001453161797 1007282350 /nfs/dbraw/zinc/28/23/50/1007282350.db2.gz DOFYZDHGJJFPOK-HTRCEHHLSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001453161797 1007282353 /nfs/dbraw/zinc/28/23/53/1007282353.db2.gz DOFYZDHGJJFPOK-HTRCEHHLSA-N 0 2 323.250 0.344 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC[C@@H](CO)C1 ZINC001441091829 1008215996 /nfs/dbraw/zinc/21/59/96/1008215996.db2.gz DMTYVDUWBNMTSE-SNVBAGLBSA-N 0 2 318.333 0.111 20 0 DCADLN O=C(CCc1cccnc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441105816 1008221829 /nfs/dbraw/zinc/22/18/29/1008221829.db2.gz LIYFSMGOSFKNQZ-LBPRGKRZSA-N 0 2 316.365 0.229 20 0 DCADLN Cc1cnc([C@H](C)[NH2+][C@H](CO)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001441684817 1008458745 /nfs/dbraw/zinc/45/87/45/1008458745.db2.gz NNRLLQNQLSDJQN-UWVGGRQHSA-N 0 2 323.353 0.162 20 0 DCADLN COC[C@@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001433498809 1008858377 /nfs/dbraw/zinc/85/83/77/1008858377.db2.gz BWXKMAMCYLXDSS-JTQLQIEISA-N 0 2 305.338 0.099 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc(OC(F)F)cn1 ZINC001454189391 1008988440 /nfs/dbraw/zinc/98/84/40/1008988440.db2.gz LVIDJPOTBRPOJI-UHFFFAOYSA-N 0 2 312.232 0.814 20 0 DCADLN CCO[C@H](C[N@@H+]1CCO[C@@H](CNC(=O)c2ncn[nH]2)C1)C1CC1 ZINC001421811168 1009123677 /nfs/dbraw/zinc/12/36/77/1009123677.db2.gz VQZHSQXAQZSOIQ-QWHCGFSZSA-N 0 2 323.397 0.050 20 0 DCADLN CCO[C@H](C[N@H+]1CCO[C@@H](CNC(=O)c2ncn[nH]2)C1)C1CC1 ZINC001421811168 1009123684 /nfs/dbraw/zinc/12/36/84/1009123684.db2.gz VQZHSQXAQZSOIQ-QWHCGFSZSA-N 0 2 323.397 0.050 20 0 DCADLN Cn1cc([C@@H]2C[N@@H+](Cc3cn[nH]n3)CC23CCOCC3)cn1 ZINC001421815890 1009126044 /nfs/dbraw/zinc/12/60/44/1009126044.db2.gz NBIIKTNOERQKPE-AWEZNQCLSA-N 0 2 302.382 0.934 20 0 DCADLN CC(C)[C@@H](CCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001433810962 1009202991 /nfs/dbraw/zinc/20/29/91/1009202991.db2.gz XQKJMKOTJRVJSA-GFCCVEGCSA-N 0 2 320.349 0.403 20 0 DCADLN CCC[C@H](OC)C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001433954604 1009397488 /nfs/dbraw/zinc/39/74/88/1009397488.db2.gz UJRFZHWVAYIONV-ZDUSSCGKSA-N 0 2 322.409 0.841 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1CCc2cccnc21)Cc1n[nH]c(=O)[n-]1 ZINC001442502709 1009419697 /nfs/dbraw/zinc/41/96/97/1009419697.db2.gz IXYQBJQYYMERPH-NSHDSACASA-N 0 2 316.365 0.183 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1CCc2cccnc21)Cc1n[nH]c(=O)[n-]1 ZINC001442502709 1009419711 /nfs/dbraw/zinc/41/97/11/1009419711.db2.gz IXYQBJQYYMERPH-NSHDSACASA-N 0 2 316.365 0.183 20 0 DCADLN CSc1ncc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)c(=O)[nH]1 ZINC001434114237 1009578363 /nfs/dbraw/zinc/57/83/63/1009578363.db2.gz ZXEZAJJHPOMKIP-ZETCQYMHSA-N 0 2 306.351 0.652 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)N(C)C(=O)C(F)C(F)(F)F ZINC001442702689 1009644899 /nfs/dbraw/zinc/64/48/99/1009644899.db2.gz IHCCGBMVYVNDJH-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001442702689 1009644910 /nfs/dbraw/zinc/64/49/10/1009644910.db2.gz IHCCGBMVYVNDJH-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)C(F)(F)c1nccs1 ZINC001434233318 1009683526 /nfs/dbraw/zinc/68/35/26/1009683526.db2.gz ZFWULJMACVGCGB-UHFFFAOYSA-N 0 2 302.262 0.753 20 0 DCADLN CC[N@H+](CCNC(=O)c1nc(C)c(C)s1)Cc1n[nH]c(=O)[n-]1 ZINC001442762248 1009708733 /nfs/dbraw/zinc/70/87/33/1009708733.db2.gz WPNUMNKRTDJWMV-UHFFFAOYSA-N 0 2 324.410 0.836 20 0 DCADLN CC[N@@H+](CCNC(=O)c1nc(C)c(C)s1)Cc1n[nH]c(=O)[n-]1 ZINC001442762248 1009708745 /nfs/dbraw/zinc/70/87/45/1009708745.db2.gz WPNUMNKRTDJWMV-UHFFFAOYSA-N 0 2 324.410 0.836 20 0 DCADLN CC1(C)CCC[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001434383340 1009870374 /nfs/dbraw/zinc/87/03/74/1009870374.db2.gz CFBAIZWJFUJOFO-SECBINFHSA-N 0 2 314.411 0.792 20 0 DCADLN C[C@H](NC(=O)C[C@H]1CCS(=O)(=O)C1)c1nn(C)cc1O ZINC001434407982 1009916684 /nfs/dbraw/zinc/91/66/84/1009916684.db2.gz RZANDUDNTQTEMX-DTWKUNHWSA-N 0 2 301.368 0.128 20 0 DCADLN CCOc1cc(NCc2nnc(CS(C)(=O)=O)[nH]2)ncn1 ZINC001434449547 1009965851 /nfs/dbraw/zinc/96/58/51/1009965851.db2.gz AJCMZCWLIXCSMV-UHFFFAOYSA-N 0 2 312.355 0.150 20 0 DCADLN Cn1cc(C[NH2+]Cc2cccnc2N2CC[NH+](C)CC2)cn1 ZINC001434500919 1010041829 /nfs/dbraw/zinc/04/18/29/1010041829.db2.gz HPTHHRBRCBYRFB-UHFFFAOYSA-N 0 2 300.410 0.857 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccccc2OC(F)F)n1 ZINC001434613235 1010135770 /nfs/dbraw/zinc/13/57/70/1010135770.db2.gz PTLZJHLXXVNWEO-UHFFFAOYSA-N 0 2 305.266 0.612 20 0 DCADLN CC[C@H](C)CCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456311047 1010188144 /nfs/dbraw/zinc/18/81/44/1010188144.db2.gz NNDQXNRQLFCORT-VIFPVBQESA-N 0 2 302.400 0.792 20 0 DCADLN CCO[C@@H](C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001422523151 1010239421 /nfs/dbraw/zinc/23/94/21/1010239421.db2.gz SIYIJYKZZFJIBS-ZYHUDNBSSA-N 0 2 313.402 0.508 20 0 DCADLN CCO[C@@H](C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001422523151 1010239431 /nfs/dbraw/zinc/23/94/31/1010239431.db2.gz SIYIJYKZZFJIBS-ZYHUDNBSSA-N 0 2 313.402 0.508 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1O ZINC001422779037 1010599607 /nfs/dbraw/zinc/59/96/07/1010599607.db2.gz JTQZGPLCSUMVPP-VIFPVBQESA-N 0 2 305.338 0.466 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1O ZINC001422779037 1010599613 /nfs/dbraw/zinc/59/96/13/1010599613.db2.gz JTQZGPLCSUMVPP-VIFPVBQESA-N 0 2 305.338 0.466 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn[nH]c1 ZINC001508904310 1017099231 /nfs/dbraw/zinc/09/92/31/1017099231.db2.gz XWIONVTWWBILDT-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cn[nH]c1 ZINC001508904310 1017099248 /nfs/dbraw/zinc/09/92/48/1017099248.db2.gz XWIONVTWWBILDT-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)c1nc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001443958114 1010777025 /nfs/dbraw/zinc/77/70/25/1010777025.db2.gz WOHQZRBRGTVFAS-NTSWFWBYSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@@H](CN(C)C(=O)c1nc[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001443958114 1010777030 /nfs/dbraw/zinc/77/70/30/1010777030.db2.gz WOHQZRBRGTVFAS-NTSWFWBYSA-N 0 2 311.239 0.282 20 0 DCADLN Cc1nc([C@@H](C)N[C@@H](CO)CNC(=O)Cc2ccco2)no1 ZINC001423282251 1011045129 /nfs/dbraw/zinc/04/51/29/1011045129.db2.gz CSGHQYKTQXHYSG-MWLCHTKSSA-N 0 2 308.338 0.341 20 0 DCADLN Cn1ccnc1CN[C@H](CO)CNC(=O)C(C1CC1)C1CC1 ZINC001423282556 1011045249 /nfs/dbraw/zinc/04/52/49/1011045249.db2.gz PWNIVKWELXAPAA-ZDUSSCGKSA-N 0 2 306.410 0.423 20 0 DCADLN CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2n[nH]c(C)c2C)[C@H]1C ZINC001423369475 1011105055 /nfs/dbraw/zinc/10/50/55/1011105055.db2.gz GKZGRBJSNJXUAS-OLZOCXBDSA-N 0 2 321.425 0.745 20 0 DCADLN C[C@@H](NCc1nnc(CS(C)(=O)=O)[nH]1)C(=O)OC(C)(C)C ZINC001423488755 1011181519 /nfs/dbraw/zinc/18/15/19/1011181519.db2.gz APYSNAVGLUVIOI-MRVPVSSYSA-N 0 2 318.399 0.169 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001431641527 1011485610 /nfs/dbraw/zinc/48/56/10/1011485610.db2.gz ADWZJJQAVXONLS-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001431641527 1011485626 /nfs/dbraw/zinc/48/56/26/1011485626.db2.gz ADWZJJQAVXONLS-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CNC(=O)c1cc(C)ncn1 ZINC001431875544 1011739382 /nfs/dbraw/zinc/73/93/82/1011739382.db2.gz JSDKXZPQNIPLKU-JTQLQIEISA-N 0 2 316.365 0.294 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)N(C)C(=O)C(F)C(F)(F)F ZINC001424074195 1011954344 /nfs/dbraw/zinc/95/43/44/1011954344.db2.gz SZGPOPSPQWBYPJ-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001424074195 1011954358 /nfs/dbraw/zinc/95/43/58/1011954358.db2.gz SZGPOPSPQWBYPJ-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)C(=O)C(F)C(F)(F)F ZINC001424102447 1011998006 /nfs/dbraw/zinc/99/80/06/1011998006.db2.gz GBZVXEOSRVIFRV-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001424102447 1011998017 /nfs/dbraw/zinc/99/80/17/1011998017.db2.gz GBZVXEOSRVIFRV-XCBNKYQSSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)n1cccc1 ZINC001445693805 1012120737 /nfs/dbraw/zinc/12/07/37/1012120737.db2.gz SKKHWUXLDZYPPL-QWRGUYRKSA-N 0 2 306.370 0.510 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)n1cccc1 ZINC001445693805 1012120745 /nfs/dbraw/zinc/12/07/45/1012120745.db2.gz SKKHWUXLDZYPPL-QWRGUYRKSA-N 0 2 306.370 0.510 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]n1)N(C)C(=O)C(F)C(F)(F)F ZINC001424210618 1012174258 /nfs/dbraw/zinc/17/42/58/1012174258.db2.gz MXAGCLAJEUSEDC-CAHLUQPWSA-N 0 2 311.239 0.282 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)C1CCN(CC(F)F)CC1 ZINC001556284119 1012195361 /nfs/dbraw/zinc/19/53/61/1012195361.db2.gz SBYRJTCGIGOBFJ-UHFFFAOYSA-N 0 2 320.365 0.994 20 0 DCADLN CCC(CC)(NC(=O)c1cccc2n[nH]nc21)c1nn[nH]n1 ZINC001556494982 1012210955 /nfs/dbraw/zinc/21/09/55/1012210955.db2.gz NBTWXVKGPOMIHM-UHFFFAOYSA-N 0 2 300.326 0.916 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001432258825 1012212080 /nfs/dbraw/zinc/21/20/80/1012212080.db2.gz DESPVMAGSSIPAM-RYPBNFRJSA-N 0 2 310.247 0.106 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)[C@@H](F)C(F)(F)F ZINC001432258825 1012212090 /nfs/dbraw/zinc/21/20/90/1012212090.db2.gz DESPVMAGSSIPAM-RYPBNFRJSA-N 0 2 310.247 0.106 20 0 DCADLN O=C(Cc1ccon1)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001458671126 1012279781 /nfs/dbraw/zinc/27/97/81/1012279781.db2.gz FYNOCGMUWJFZPB-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001458671126 1012279791 /nfs/dbraw/zinc/27/97/91/1012279791.db2.gz FYNOCGMUWJFZPB-WPRPVWTQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cn[nH]c1)C(F)C(F)(F)F ZINC001458728247 1012329210 /nfs/dbraw/zinc/32/92/10/1012329210.db2.gz PPIVBNJMJDZAHK-HTQZYQBOSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cn[nH]c1)[C@@H](F)C(F)(F)F ZINC001458728247 1012329217 /nfs/dbraw/zinc/32/92/17/1012329217.db2.gz PPIVBNJMJDZAHK-HTQZYQBOSA-N 0 2 308.235 0.641 20 0 DCADLN CC[C@H](C)C(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001424350289 1012416792 /nfs/dbraw/zinc/41/67/92/1012416792.db2.gz RVTWLIOJEHHMLN-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001445916853 1012420576 /nfs/dbraw/zinc/42/05/76/1012420576.db2.gz AJZBNUOQBWWUSR-GKROBHDKSA-N 0 2 323.250 0.330 20 0 DCADLN O=C(CC1CCOCC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001446037141 1012543740 /nfs/dbraw/zinc/54/37/40/1012543740.db2.gz JCQIPSIKRLZJPW-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN CO[C@H]1C[C@@H](c2n[nH]c(C)n2)N(C(=O)c2cncc(O)c2)C1 ZINC001548498755 1012665337 /nfs/dbraw/zinc/66/53/37/1012665337.db2.gz TYPLFODWZZTEES-RYUDHWBXSA-N 0 2 303.322 0.816 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001432712850 1012796578 /nfs/dbraw/zinc/79/65/78/1012796578.db2.gz KMVXULQWYIOVGS-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001432712850 1012796591 /nfs/dbraw/zinc/79/65/91/1012796591.db2.gz KMVXULQWYIOVGS-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CO[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)CC(C)C ZINC001460987136 1013001066 /nfs/dbraw/zinc/00/10/66/1013001066.db2.gz GTHVHCMTAFCPGO-JTQLQIEISA-N 0 2 307.354 0.249 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@]1(C)C=CCC1 ZINC001479235748 1017440640 /nfs/dbraw/zinc/44/06/40/1017440640.db2.gz KHZVZSVCCFXIJF-XHDPSFHLSA-N 0 2 305.382 0.899 20 0 DCADLN O=C(CCc1ccoc1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001479901388 1017947707 /nfs/dbraw/zinc/94/77/07/1017947707.db2.gz INKURRJQNVPWNB-GFCCVEGCSA-N 0 2 319.365 0.817 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@@H]1CCCC[NH+]1Cc1cnnn1C ZINC001480330658 1018435293 /nfs/dbraw/zinc/43/52/93/1018435293.db2.gz FFTAUDWHEFOEFZ-AWEZNQCLSA-N 0 2 322.457 0.628 20 0 DCADLN CCn1ccnc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001496123567 1019089291 /nfs/dbraw/zinc/08/92/91/1019089291.db2.gz SAOVGPLYQKIVMQ-QMMMGPOBSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1ccnc1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001496123567 1019089303 /nfs/dbraw/zinc/08/93/03/1019089303.db2.gz SAOVGPLYQKIVMQ-QMMMGPOBSA-N 0 2 324.278 0.992 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccnc(F)c1 ZINC001493353706 1019348960 /nfs/dbraw/zinc/34/89/60/1019348960.db2.gz CBLJGWQSBPNONO-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccnc(F)c1 ZINC001493353706 1019348974 /nfs/dbraw/zinc/34/89/74/1019348974.db2.gz CBLJGWQSBPNONO-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1cc(CC(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)no1 ZINC001493356734 1019353660 /nfs/dbraw/zinc/35/36/60/1019353660.db2.gz JYMZCQVDCSDICZ-UHFFFAOYSA-N 0 2 320.353 0.130 20 0 DCADLN Cc1cc(CC(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)no1 ZINC001493356734 1019353675 /nfs/dbraw/zinc/35/36/75/1019353675.db2.gz JYMZCQVDCSDICZ-UHFFFAOYSA-N 0 2 320.353 0.130 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccccc1F ZINC001496832431 1019681252 /nfs/dbraw/zinc/68/12/52/1019681252.db2.gz HTVOWGNJTNRPQU-UHFFFAOYSA-N 0 2 321.356 0.782 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccccc1F ZINC001496832431 1019681263 /nfs/dbraw/zinc/68/12/63/1019681263.db2.gz HTVOWGNJTNRPQU-UHFFFAOYSA-N 0 2 321.356 0.782 20 0 DCADLN CCCN(C(=O)[C@H](C)OC)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001493940183 1019831819 /nfs/dbraw/zinc/83/18/19/1019831819.db2.gz QTDBYZGWTKRCPP-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN O=C([O-])CCCCOC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001608713374 1170742215 /nfs/dbraw/zinc/74/22/15/1170742215.db2.gz URLSXAATQGAKNG-OLZOCXBDSA-N 0 2 315.366 0.664 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2coc(Br)c2)C(=O)N1C ZINC001629880970 1171560018 /nfs/dbraw/zinc/56/00/18/1171560018.db2.gz XKNRYAXTYYQLJQ-YFKPBYRVSA-N 0 2 316.111 0.969 20 0 DCADLN CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2n[nH]cc2C(=O)[O-])CC1 ZINC000055069015 1171865193 /nfs/dbraw/zinc/86/51/93/1171865193.db2.gz IVOLJWAEQBFVDM-SECBINFHSA-N 0 2 316.383 0.213 20 0 DCADLN CN(C)S(=O)(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185210608 282161492 /nfs/dbraw/zinc/16/14/92/282161492.db2.gz ZXIWZYORWZTQSO-UHFFFAOYSA-N 0 2 311.367 0.280 20 0 DCADLN CC(C)(O)CONC(=O)CNC(=O)c1cc(F)cc(F)c1 ZINC000278730058 214361050 /nfs/dbraw/zinc/36/10/50/214361050.db2.gz VDTCTMCYSUJWPN-UHFFFAOYSA-N 0 2 302.277 0.513 20 0 DCADLN CC[C@]1(O)CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000331962122 529439865 /nfs/dbraw/zinc/43/98/65/529439865.db2.gz JSUHVMWDRYCONR-AWEZNQCLSA-N 0 2 304.306 0.423 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000092618720 185329085 /nfs/dbraw/zinc/32/90/85/185329085.db2.gz CTQHFOVCVWUVPF-GFCCVEGCSA-N 0 2 301.350 0.725 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@@H](C)c1ncon1 ZINC000279642087 215026565 /nfs/dbraw/zinc/02/65/65/215026565.db2.gz CQYIRDFGLPHJJP-BQBZGAKWSA-N 0 2 308.260 0.950 20 0 DCADLN C[C@H](NC(=O)C(=O)Nc1c2c(nn1C)CCC2)[C@@H]1CCCCO1 ZINC000271939108 186410207 /nfs/dbraw/zinc/41/02/07/186410207.db2.gz AHZGYKKAECYXMM-GWCFXTLKSA-N 0 2 320.393 0.921 20 0 DCADLN NC(=O)CONC(=O)[C@H]1COc2ccc(Br)cc21 ZINC000089463376 303252372 /nfs/dbraw/zinc/25/23/72/303252372.db2.gz SAGYPILTWWRRBI-QMMMGPOBSA-N 0 2 315.123 0.458 20 0 DCADLN C[C@@H](CCc1cccn1C)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274970688 295873028 /nfs/dbraw/zinc/87/30/28/295873028.db2.gz NLJGSQDTCLGVMW-VIFPVBQESA-N 0 2 309.395 0.666 20 0 DCADLN CCS(=O)(=O)CCNS(=O)(=O)c1ccc2c(c1)OCO2 ZINC000272263049 209643997 /nfs/dbraw/zinc/64/39/97/209643997.db2.gz VGMIOHJLPRMYQC-UHFFFAOYSA-N 0 2 321.376 0.128 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)nn1C ZINC000161525757 237095163 /nfs/dbraw/zinc/09/51/63/237095163.db2.gz PZTDTNGHLCMTNG-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCC[C@@H]1CCO)c2=O ZINC000187790825 237357845 /nfs/dbraw/zinc/35/78/45/237357845.db2.gz KFMRPBXXAGRVET-SKDRFNHKSA-N 0 2 304.350 0.955 20 0 DCADLN Cc1nc(C)c(S(=O)(=O)NC[C@H](CO)[C@H]2CCOC2)s1 ZINC000281141027 216105846 /nfs/dbraw/zinc/10/58/46/216105846.db2.gz ZOHTWSRHPWMAGH-WDEREUQCSA-N 0 2 320.436 0.683 20 0 DCADLN C[C@@H]1CC(=O)N[C@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)C1 ZINC000566837216 291325321 /nfs/dbraw/zinc/32/53/21/291325321.db2.gz JRJJICVNCXVBQK-WPRPVWTQSA-N 0 2 317.374 0.875 20 0 DCADLN CC(=O)Nc1cccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000425196250 240307667 /nfs/dbraw/zinc/30/76/67/240307667.db2.gz UCYQWYDEGHYQGG-UHFFFAOYSA-N 0 2 317.349 0.760 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCCN(C)C2=O)c1 ZINC000424713633 240264461 /nfs/dbraw/zinc/26/44/61/240264461.db2.gz YECOMEQGWJCWCK-XMHCIUCPSA-N 0 2 324.402 0.540 20 0 DCADLN CCN1CC[NH+](CCCCNC(=O)CC(F)(F)C[NH3+])CC1 ZINC000567244840 291344903 /nfs/dbraw/zinc/34/49/03/291344903.db2.gz RLOASVHWULRQMQ-UHFFFAOYSA-N 0 2 306.401 0.504 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)c2cccc(CC(=O)[O-])c2)CC1 ZINC000567617926 291364947 /nfs/dbraw/zinc/36/49/47/291364947.db2.gz ZPMUWTLHQQOFBP-UHFFFAOYSA-N 0 2 312.391 0.640 20 0 DCADLN CC(C)(C)c1cc(N2CC[C@H](O)[C@H](CO)C2)nc(C(=O)[O-])[nH+]1 ZINC000567978557 291394793 /nfs/dbraw/zinc/39/47/93/291394793.db2.gz KHVRKWTUXHPEMN-UWVGGRQHSA-N 0 2 309.366 0.652 20 0 DCADLN COCCN(C)S(=O)(=O)Nc1ccc(Cl)cc1C(N)=O ZINC000567998155 291396183 /nfs/dbraw/zinc/39/61/83/291396183.db2.gz DAGIFYVBCRFKRI-UHFFFAOYSA-N 0 2 321.786 0.674 20 0 DCADLN COCc1cccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000274919945 212033215 /nfs/dbraw/zinc/03/32/15/212033215.db2.gz XDJVVKCVNQCGNR-UHFFFAOYSA-N 0 2 308.363 0.653 20 0 DCADLN C[C@@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(=O)NCCF ZINC000282677111 217169646 /nfs/dbraw/zinc/16/96/46/217169646.db2.gz ZOICVXIUQJEPDS-SNVBAGLBSA-N 0 2 320.324 0.782 20 0 DCADLN CCOC(=O)[C@H](C)CNC(=O)C(=O)Nc1cccnc1Cl ZINC000271680400 209120444 /nfs/dbraw/zinc/12/04/44/209120444.db2.gz RQOZHHAAQHFIPI-MRVPVSSYSA-N 0 2 313.741 0.989 20 0 DCADLN CCC[C@H](O)[C@H](CO)Nc1cc(C(C)(C)C)[nH+]c(C(=O)[O-])n1 ZINC000572964371 291601506 /nfs/dbraw/zinc/60/15/06/291601506.db2.gz IUEABWWDFBULBH-UWVGGRQHSA-N 0 2 311.382 0.828 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NCCc1cn(C)c[nH+]1 ZINC000313187283 252641665 /nfs/dbraw/zinc/64/16/65/252641665.db2.gz BDUNLFAKSMNIRH-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN CC(C)(C)[C@@H](O)C[C@@H](CO)NS(=O)(=O)NCC(F)(F)F ZINC000341106974 253345197 /nfs/dbraw/zinc/34/51/97/253345197.db2.gz MWPLUBFZVJRHNT-YUMQZZPRSA-N 0 2 322.349 0.131 20 0 DCADLN O=S(=O)(NCCCO[C@H]1CCCCO1)NCC(F)(F)F ZINC000341533066 253391449 /nfs/dbraw/zinc/39/14/49/253391449.db2.gz PYYDDDYCSIPYST-VIFPVBQESA-N 0 2 320.333 0.906 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccnc(C(=O)N(C)C)c2)cn1 ZINC000348034617 254215437 /nfs/dbraw/zinc/21/54/37/254215437.db2.gz YWVMFIHGLVQCQB-UHFFFAOYSA-N 0 2 323.378 0.223 20 0 DCADLN COC(=O)[C@H]1COCCN1CC(=O)NOCc1ccccc1 ZINC000271409097 208889470 /nfs/dbraw/zinc/88/94/70/208889470.db2.gz VVLFVZWLWYUKPW-CYBMUJFWSA-N 0 2 308.334 0.108 20 0 DCADLN CN(C(=O)N=c1nc(C(C)(C)C)[nH]s1)[C@@H]1CCN(C)C1=O ZINC000349283665 254279969 /nfs/dbraw/zinc/27/99/69/254279969.db2.gz LBBBJJLVWDYJRF-MRVPVSSYSA-N 0 2 311.411 0.952 20 0 DCADLN COc1c(NS(=O)(=O)c2c(C)cnn2C)cccc1C(N)=O ZINC000350897122 254329362 /nfs/dbraw/zinc/32/93/62/254329362.db2.gz NXFORNIKNCWWNE-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1ccccc1S(N)(=O)=O ZINC000350301215 254315330 /nfs/dbraw/zinc/31/53/30/254315330.db2.gz MDXNDFCPSIXTEM-UHFFFAOYSA-N 0 2 317.348 0.431 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCn2cnnc2C1 ZINC000350667430 254323247 /nfs/dbraw/zinc/32/32/47/254323247.db2.gz BRNBOMMTFHISJO-UHFFFAOYSA-N 0 2 310.317 0.825 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2ccc(OC3COC3)cc2)c1 ZINC000275739957 130375783 /nfs/dbraw/zinc/37/57/83/130375783.db2.gz WYNRVNSLZSADKW-UHFFFAOYSA-N 0 2 309.347 0.999 20 0 DCADLN CCc1nn(C)cc1NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000091021840 395720551 /nfs/dbraw/zinc/72/05/51/395720551.db2.gz CIQFFWKGRHTMDL-UHFFFAOYSA-N 0 2 308.363 0.882 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cnn(C[C@@H]2CCCO2)c1 ZINC000040829941 395735227 /nfs/dbraw/zinc/73/52/27/395735227.db2.gz ALPKZNVDLMITGE-NSHDSACASA-N 0 2 311.367 0.894 20 0 DCADLN O=S(=O)(C[C@H](O)CO)c1n[nH]c(-c2ccc(Cl)cc2)n1 ZINC000195115056 395817248 /nfs/dbraw/zinc/81/72/48/395817248.db2.gz DUCYHOACNHEGFX-SECBINFHSA-N 0 2 317.754 0.252 20 0 DCADLN CS(=O)(=O)CCC(=O)Nc1nc(-c2ccccc2F)n[nH]1 ZINC000109626141 395797884 /nfs/dbraw/zinc/79/78/84/395797884.db2.gz BPKPLGRELLCSPI-UHFFFAOYSA-N 0 2 312.326 0.984 20 0 DCADLN CCOC(=O)c1cc(S(=O)(=O)Nc2cnn(C)c2)cn1C ZINC000273568550 395930281 /nfs/dbraw/zinc/93/02/81/395930281.db2.gz CYOIBCVKBNNDLM-UHFFFAOYSA-N 0 2 312.351 0.736 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCc1cccc2c1OCO2 ZINC000274859334 395982810 /nfs/dbraw/zinc/98/28/10/395982810.db2.gz ADHPAHBBEHXASU-UHFFFAOYSA-N 0 2 308.319 0.235 20 0 DCADLN Cc1cc(C(=O)NCc2ccco2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000151414723 395985361 /nfs/dbraw/zinc/98/53/61/395985361.db2.gz QGHDXOQBIVWLEG-UHFFFAOYSA-N 0 2 300.274 0.855 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2ccc(C(=O)OC)o2)C1=O ZINC000278561910 396131510 /nfs/dbraw/zinc/13/15/10/396131510.db2.gz BMTXKXROPPINDS-ZDUSSCGKSA-N 0 2 309.278 0.432 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000262413487 396137623 /nfs/dbraw/zinc/13/76/23/396137623.db2.gz YGXUVHFKYNCBRW-HNNXBMFYSA-N 0 2 321.377 0.387 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000262413487 396137625 /nfs/dbraw/zinc/13/76/25/396137625.db2.gz YGXUVHFKYNCBRW-HNNXBMFYSA-N 0 2 321.377 0.387 20 0 DCADLN O=C1NC2(CC2)C(=O)N1Cc1nnc(COc2ccccc2)[nH]1 ZINC000277692212 396082592 /nfs/dbraw/zinc/08/25/92/396082592.db2.gz HMOCCXUCUTXYJD-UHFFFAOYSA-N 0 2 313.317 0.968 20 0 DCADLN C[C@H]1NC(=O)N(Cc2nnc(COc3ccccc3)[nH]2)C1=O ZINC000277747192 396085036 /nfs/dbraw/zinc/08/50/36/396085036.db2.gz KMMNHVXEPSDUOE-SECBINFHSA-N 0 2 301.306 0.824 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)c2cc(C)oc2C)n1 ZINC000183122948 396262198 /nfs/dbraw/zinc/26/21/98/396262198.db2.gz FVMLWSUPRALVED-UHFFFAOYSA-N 0 2 313.339 0.035 20 0 DCADLN O=C([O-])c1cccc(N2CCC[C@@](O)(Cn3ccnn3)C2)[nH+]1 ZINC000263404870 396216361 /nfs/dbraw/zinc/21/63/61/396216361.db2.gz KEXFXHFHZVBQTL-AWEZNQCLSA-N 0 2 303.322 0.403 20 0 DCADLN CC(C)Nc1nc(N2CC[C@](C)(C(=O)[O-])C2)nc(NCCO)[nH+]1 ZINC000263439814 396217351 /nfs/dbraw/zinc/21/73/51/396217351.db2.gz WOXXAAWVMUTEDI-AWEZNQCLSA-N 0 2 324.385 0.397 20 0 DCADLN CC(C)Nc1nc(NCCO)nc(N2CC[C@](C)(C(=O)[O-])C2)[nH+]1 ZINC000263439814 396217354 /nfs/dbraw/zinc/21/73/54/396217354.db2.gz WOXXAAWVMUTEDI-AWEZNQCLSA-N 0 2 324.385 0.397 20 0 DCADLN CCCC[C@H](Nc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000263553856 396220587 /nfs/dbraw/zinc/22/05/87/396220587.db2.gz MWFMNOHJZAZXOE-NSHDSACASA-N 0 2 321.381 0.810 20 0 DCADLN CCCC[C@H](Nc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000263553856 396220591 /nfs/dbraw/zinc/22/05/91/396220591.db2.gz MWFMNOHJZAZXOE-NSHDSACASA-N 0 2 321.381 0.810 20 0 DCADLN CCNc1nc(NC(C)(C)C)nc(NC[C@@](C)(O)C(=O)[O-])[nH+]1 ZINC000263560237 396220865 /nfs/dbraw/zinc/22/08/65/396220865.db2.gz QYUDBXFRQGGTJB-CYBMUJFWSA-N 0 2 312.374 0.761 20 0 DCADLN CCNc1nc(NC[C@@](C)(O)C(=O)[O-])nc(NC(C)(C)C)[nH+]1 ZINC000263560237 396220867 /nfs/dbraw/zinc/22/08/67/396220867.db2.gz QYUDBXFRQGGTJB-CYBMUJFWSA-N 0 2 312.374 0.761 20 0 DCADLN CCNc1nc(NC[C@@](C)(O)C(=O)[O-])[nH+]c(NC(C)(C)C)n1 ZINC000263560237 396220868 /nfs/dbraw/zinc/22/08/68/396220868.db2.gz QYUDBXFRQGGTJB-CYBMUJFWSA-N 0 2 312.374 0.761 20 0 DCADLN CCC[C@H](NC(=O)C[NH+]1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC000185018644 396314371 /nfs/dbraw/zinc/31/43/71/396314371.db2.gz SNVJBMAMHVZICR-ZDUSSCGKSA-N 0 2 320.393 0.573 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N(C)CC[N@H+](C)C1CC1 ZINC000185362994 396325964 /nfs/dbraw/zinc/32/59/64/396325964.db2.gz SLHAHAGEVMYIEC-CQSZACIVSA-N 0 2 311.474 0.358 20 0 DCADLN C[C@H](CO)NC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185870659 396335961 /nfs/dbraw/zinc/33/59/61/396335961.db2.gz MDOJEZSLEKTONA-SNVBAGLBSA-N 0 2 305.338 0.564 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc3c2OCCO3)c1O ZINC000285852784 396358113 /nfs/dbraw/zinc/35/81/13/396358113.db2.gz DQZINIIQOPYTTM-SNVBAGLBSA-N 0 2 306.274 0.446 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(N3CCC3=O)c2)c1O ZINC000286298743 396386518 /nfs/dbraw/zinc/38/65/18/396386518.db2.gz GHCINDKJQMPKTD-LBPRGKRZSA-N 0 2 317.301 0.411 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCOc2cc(O)ccc2C1 ZINC000286354746 396390087 /nfs/dbraw/zinc/39/00/87/396390087.db2.gz WSUMDPFEZRPVBT-UHFFFAOYSA-N 0 2 322.346 0.317 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ncc(COC)s2)CC1 ZINC000287429524 396400420 /nfs/dbraw/zinc/40/04/20/396400420.db2.gz LUMDVSMWYZXIFH-UHFFFAOYSA-N 0 2 312.395 0.392 20 0 DCADLN O=C(NCC1(CO)COC1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000269603601 396428217 /nfs/dbraw/zinc/42/82/17/396428217.db2.gz LSGMVGZEBMBPLC-UHFFFAOYSA-N 0 2 321.308 0.456 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@H]1CCCC(=O)N1 ZINC000289011150 396453143 /nfs/dbraw/zinc/45/31/43/396453143.db2.gz JCYCVWAXWMDRGR-SNVBAGLBSA-N 0 2 301.306 0.372 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cc(N(C)C)ncn2)cn1 ZINC000290965561 396476442 /nfs/dbraw/zinc/47/64/42/396476442.db2.gz PBNZEILMLNHSIM-UHFFFAOYSA-N 0 2 309.351 0.747 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cnn(C)c2)cnc1C ZINC000291879007 396517643 /nfs/dbraw/zinc/51/76/43/396517643.db2.gz IISNRVPEYSLCNB-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COc1cc(OC)nc(NS(=O)(=O)c2cnn(C)c2C)n1 ZINC000291901307 396518276 /nfs/dbraw/zinc/51/82/76/396518276.db2.gz QNCDECZLGRISCK-UHFFFAOYSA-N 0 2 313.339 0.337 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3c(c2)OCCO3)nn1C ZINC000292503832 396553365 /nfs/dbraw/zinc/55/33/65/396553365.db2.gz PIJQHJZCQOVQEF-UHFFFAOYSA-N 0 2 310.335 0.696 20 0 DCADLN Cc1nc([C@H](C)NC(=O)NC[C@@H]2C[N@@H+](CC(C)C)CCO2)n[nH]1 ZINC000562201501 396652315 /nfs/dbraw/zinc/65/23/15/396652315.db2.gz VVKZWTRKJKMGQD-WCQYABFASA-N 0 2 324.429 0.830 20 0 DCADLN CN(Cc1c[nH+]c[nH]1)C(=O)C(=O)N1CCC[C@H]1C[NH+]1CCCC1 ZINC000582431722 396610884 /nfs/dbraw/zinc/61/08/84/396610884.db2.gz PEJWURASQSFODF-AWEZNQCLSA-N 0 2 319.409 0.455 20 0 DCADLN CN(C[C@@H](O)Cc1ccccc1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000294241770 396662838 /nfs/dbraw/zinc/66/28/38/396662838.db2.gz ZPSYAIMFLSCORB-NSHDSACASA-N 0 2 322.390 0.252 20 0 DCADLN O=C(c1ccc2nc[nH]c2n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000563195090 396712770 /nfs/dbraw/zinc/71/27/70/396712770.db2.gz RLZRAWJUPGNBOM-MRVPVSSYSA-N 0 2 313.321 0.801 20 0 DCADLN Cc1nn(C)c2ncc(NS(=O)(=O)c3ccn(C)n3)cc12 ZINC000589428831 396714089 /nfs/dbraw/zinc/71/40/89/396714089.db2.gz ULVMWYHDKQBMET-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN Cc1nn(C)c2ncc(C[N@@H+]3CCN4C(=O)[C@@H]([NH3+])C[C@@H]4C3)cc12 ZINC000563431141 396733528 /nfs/dbraw/zinc/73/35/28/396733528.db2.gz GERGXQGFXFUTRR-OCCSQVGLSA-N 0 2 314.393 0.021 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)Cn2ccc(C)cc2=O)s[nH]1 ZINC000634148560 396795976 /nfs/dbraw/zinc/79/59/76/396795976.db2.gz CTSDQJMQHSMNOS-UHFFFAOYSA-N 0 2 322.390 0.950 20 0 DCADLN COC(=O)c1ccc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC000596228154 396738304 /nfs/dbraw/zinc/73/83/04/396738304.db2.gz XCXWBDABXZHNPV-MRVPVSSYSA-N 0 2 304.310 0.471 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2C)n1 ZINC000375417773 396739210 /nfs/dbraw/zinc/73/92/10/396739210.db2.gz VBXOFXOYLZIYLM-SKDRFNHKSA-N 0 2 317.353 0.313 20 0 DCADLN C[C@@]1(C(=O)NCCCc2n[nH]c(=O)[nH]2)COc2ccccc2O1 ZINC000629967060 396898018 /nfs/dbraw/zinc/89/80/18/396898018.db2.gz FWSQZQUQVVGZSU-HNNXBMFYSA-N 0 2 318.333 0.789 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)[C@@]1(C)COc2ccccc2O1 ZINC000630008974 396913253 /nfs/dbraw/zinc/91/32/53/396913253.db2.gz HISQQYMEJOREQM-CQSZACIVSA-N 0 2 305.290 0.964 20 0 DCADLN CC(C)(C)OC(=O)[C@@]1(C(=O)NCc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000597334991 396944276 /nfs/dbraw/zinc/94/42/76/396944276.db2.gz CSWRINMRBCIPQK-AWEZNQCLSA-N 0 2 310.354 0.885 20 0 DCADLN C[N@@H+]1CCO[C@@H]2CN(C(=O)C3(C(=O)[O-])CC4(CCC4)C3)C[C@@H]21 ZINC000630204648 396960518 /nfs/dbraw/zinc/96/05/18/396960518.db2.gz PQORJVHWUGEPIB-NWDGAFQWSA-N 0 2 308.378 0.563 20 0 DCADLN C[N@H+]1CCO[C@@H]2CN(C(=O)C3(C(=O)[O-])CC4(CCC4)C3)C[C@@H]21 ZINC000630204648 396960519 /nfs/dbraw/zinc/96/05/19/396960519.db2.gz PQORJVHWUGEPIB-NWDGAFQWSA-N 0 2 308.378 0.563 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@H]2CCCNC2=O)c1 ZINC000591762955 396998758 /nfs/dbraw/zinc/99/87/58/396998758.db2.gz NWFZLADUSLYXDR-SAHAZLINSA-N 0 2 324.402 0.445 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)n1 ZINC000352905141 397142437 /nfs/dbraw/zinc/14/24/37/397142437.db2.gz SLVJYJARYRPKIO-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN CCNC(=O)C1(CNC(=O)c2cccc3[nH]nnc32)CCC1 ZINC000574621518 397283160 /nfs/dbraw/zinc/28/31/60/397283160.db2.gz JTAQCPWCDFASQV-UHFFFAOYSA-N 0 2 301.350 0.994 20 0 DCADLN C[C@@H]1C(=O)N(C)CCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613685598 397300578 /nfs/dbraw/zinc/30/05/78/397300578.db2.gz PPARXCYPXXJKSP-SECBINFHSA-N 0 2 316.317 0.333 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCCC[C@H]1C(=O)[O-])C(=O)OC ZINC000592987914 397309420 /nfs/dbraw/zinc/30/94/20/397309420.db2.gz FIDCTGZREPXBQF-GMXVVIOVSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCCC[C@H]1C(=O)[O-])C(=O)OC ZINC000592987914 397309424 /nfs/dbraw/zinc/30/94/24/397309424.db2.gz FIDCTGZREPXBQF-GMXVVIOVSA-N 0 2 314.382 0.629 20 0 DCADLN COC(=O)C12CC(C(=O)N3CCC[C@H](c4n[nH]c(=O)[nH]4)C3)(C1)C2 ZINC000592797169 397253967 /nfs/dbraw/zinc/25/39/67/397253967.db2.gz VYQGMRJJPGFBCB-RAXBXGLRSA-N 0 2 320.349 0.560 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC(n2cncn2)C1 ZINC000365287735 397261968 /nfs/dbraw/zinc/26/19/68/397261968.db2.gz SMDCCGUWMGNKCE-UHFFFAOYSA-N 0 2 305.260 0.119 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000578356884 397426649 /nfs/dbraw/zinc/42/66/49/397426649.db2.gz IRKWUPQDJUOQMM-GFCCVEGCSA-N 0 2 316.361 0.699 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C[C@H]1CC(=O)N1 ZINC000614386905 397429965 /nfs/dbraw/zinc/42/99/65/397429965.db2.gz JMKYNUHYEUEBPS-SECBINFHSA-N 0 2 301.306 0.703 20 0 DCADLN O=C(c1cc(O)cc(=O)[nH]1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614517732 397462139 /nfs/dbraw/zinc/46/21/39/397462139.db2.gz CJAUTJGURUFBLM-ZETCQYMHSA-N 0 2 305.294 0.336 20 0 DCADLN COCCCOCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614520004 397462907 /nfs/dbraw/zinc/46/29/07/397462907.db2.gz XYRGPLKXMQQMQM-LLVKDONJSA-N 0 2 312.370 0.660 20 0 DCADLN CCN1CCOC[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000370576493 397503859 /nfs/dbraw/zinc/50/38/59/397503859.db2.gz SQBAZFJSJORKQA-GFCCVEGCSA-N 0 2 317.349 0.837 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@H](O)[C@@H]3CCOC3)c[nH]c2n1 ZINC000605895437 397674563 /nfs/dbraw/zinc/67/45/63/397674563.db2.gz WCHFMLUSKPYFOP-MFKMUULPSA-N 0 2 317.345 0.771 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC[S@]1=O ZINC000610091580 397802977 /nfs/dbraw/zinc/80/29/77/397802977.db2.gz COVNFHBHRZRUMH-PVBMHHQDSA-N 0 2 321.358 0.623 20 0 DCADLN CCO[C@H]1C[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C12CCC2 ZINC000187129636 286527116 /nfs/dbraw/zinc/52/71/16/286527116.db2.gz YQGKTQXFUCHTHV-IUCAKERBSA-N 0 2 312.395 0.654 20 0 DCADLN O=S(=O)(NCCc1nc[nH]n1)c1ccc(F)c(F)c1F ZINC000080623817 158081187 /nfs/dbraw/zinc/08/11/87/158081187.db2.gz WUUWFWNUHJCCKH-UHFFFAOYSA-N 0 2 306.269 0.743 20 0 DCADLN CC[C@@H]1CCCC[NH+]1CCNC(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000113404464 158147728 /nfs/dbraw/zinc/14/77/28/158147728.db2.gz LXEFBXMSTVCNOD-HUUCEWRRSA-N 0 2 312.458 0.881 20 0 DCADLN CN(C)c1ccc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)nc1 ZINC000116602313 158166270 /nfs/dbraw/zinc/16/62/70/158166270.db2.gz NXCAWNDBMXLRST-UHFFFAOYSA-N 0 2 323.378 0.386 20 0 DCADLN COc1cccc(-c2cc(C(=O)NCc3n[nH]c(=O)[nH]3)[nH]n2)c1 ZINC000122106490 158219068 /nfs/dbraw/zinc/21/90/68/158219068.db2.gz AWQYRCLRRCEZRC-UHFFFAOYSA-N 0 2 314.305 0.427 20 0 DCADLN C[NH+](C)[C@H]1CCCN(C(=O)NCC[N@H+]2CCOCC2(C)C)C1 ZINC000171686866 158385850 /nfs/dbraw/zinc/38/58/50/158385850.db2.gz HBRTYWVFCWSRLL-AWEZNQCLSA-N 0 2 312.458 0.833 20 0 DCADLN CN1C[C@H](C(=O)NOC[C@@H]2CCOC2)c2ccccc2C1=O ZINC000368475562 159397007 /nfs/dbraw/zinc/39/70/07/159397007.db2.gz UJGJIYPLSOXLPV-RISCZKNCSA-N 0 2 304.346 0.940 20 0 DCADLN Cc1cc(S(=O)(=O)NC(=O)C[C@H]2CCCC(=O)N2)c(C)o1 ZINC000408446287 160109026 /nfs/dbraw/zinc/10/90/26/160109026.db2.gz JKIBBMKGUXTNPD-SNVBAGLBSA-N 0 2 314.363 0.760 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)CCC1CCOCC1 ZINC000408451497 160110942 /nfs/dbraw/zinc/11/09/42/160110942.db2.gz LVKBZQYZSHWYCJ-UHFFFAOYSA-N 0 2 315.395 0.740 20 0 DCADLN C[C@@H](CS(C)(=O)=O)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000355995272 287191369 /nfs/dbraw/zinc/19/13/69/287191369.db2.gz GEPUICPNCDTTAO-QMMMGPOBSA-N 0 2 324.362 0.797 20 0 DCADLN CCCc1ccccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000355996283 287191509 /nfs/dbraw/zinc/19/15/09/287191509.db2.gz MSMJSSMACYSMQY-UHFFFAOYSA-N 0 2 303.322 0.718 20 0 DCADLN CN=c1[nH]nc(CC(=O)N=c2ccn(CC(F)F)[nH]2)s1 ZINC000352385317 415157940 /nfs/dbraw/zinc/15/79/40/415157940.db2.gz FOCVYCDJCSYSHR-UHFFFAOYSA-N 0 2 302.310 0.066 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)Cc2ccccc2)n1 ZINC000342737930 415277026 /nfs/dbraw/zinc/27/70/26/415277026.db2.gz BEMLOILWMFKUMR-UHFFFAOYSA-N 0 2 310.335 0.393 20 0 DCADLN CN1c2cc(NC(=O)C(N)C(F)(F)F)ccc2OCC1=O ZINC000352917983 415370986 /nfs/dbraw/zinc/37/09/86/415370986.db2.gz UOJNJGPQGZKJJC-SNVBAGLBSA-N 0 2 303.240 0.870 20 0 DCADLN CN1c2cc(NC(=O)[C@@H](N)C(F)(F)F)ccc2OCC1=O ZINC000352917983 415370992 /nfs/dbraw/zinc/37/09/92/415370992.db2.gz UOJNJGPQGZKJJC-SNVBAGLBSA-N 0 2 303.240 0.870 20 0 DCADLN COc1ccc(S(=O)(=O)NN2CCCNC2=O)c(C)c1C ZINC000275864215 415379235 /nfs/dbraw/zinc/37/92/35/415379235.db2.gz JJBMDFPZCWMJBE-UHFFFAOYSA-N 0 2 313.379 0.921 20 0 DCADLN CNC(=O)NCCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000353364986 415519423 /nfs/dbraw/zinc/51/94/23/415519423.db2.gz PUSGHCNSBDDIAC-UHFFFAOYSA-N 0 2 304.310 0.435 20 0 DCADLN Cc1n[nH]c(NC(=O)CCN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)n1 ZINC000103253310 415613990 /nfs/dbraw/zinc/61/39/90/415613990.db2.gz XPUWZSILFQTICF-AOOOYVTPSA-N 0 2 305.338 0.617 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CC(=O)N(C)C2)c1 ZINC000424645170 287311989 /nfs/dbraw/zinc/31/19/89/287311989.db2.gz SKEDMVCRRWMLMI-LADRHHBVSA-N 0 2 310.375 0.150 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccnc1N1CCCC1 ZINC000353755627 415648103 /nfs/dbraw/zinc/64/81/03/415648103.db2.gz COAAKHYUDFCBBN-UHFFFAOYSA-N 0 2 303.326 0.827 20 0 DCADLN COCCN(Cc1nc(=O)c2sccc2[nH]1)[C@@H](C)C(N)=O ZINC000107306238 415663730 /nfs/dbraw/zinc/66/37/30/415663730.db2.gz KHVYOAFTSKFJEU-QMMMGPOBSA-N 0 2 310.379 0.307 20 0 DCADLN Cn1cc(S(=O)(=O)NC(=O)/C=C/C(C)(C)C)cc1C(N)=O ZINC000117081823 415733563 /nfs/dbraw/zinc/73/35/63/415733563.db2.gz WUMGHXKBQHYWHC-AATRIKPKSA-N 0 2 313.379 0.531 20 0 DCADLN C[C@H]1C[C@H]1c1cc(=NC(=O)N=c2nc3n([nH]2)CCCC3)[nH][nH]1 ZINC000333642946 415701157 /nfs/dbraw/zinc/70/11/57/415701157.db2.gz JEABQBLSAMGVTO-DTWKUNHWSA-N 0 2 301.354 0.949 20 0 DCADLN O=C(N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1nc(=O)[nH][nH]1 ZINC000119856970 415751110 /nfs/dbraw/zinc/75/11/10/415751110.db2.gz NTPIAYNBUSOLJV-CMPLNLGQSA-N 0 2 302.334 0.996 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cc(Cl)ccn2)cc1C(N)=O ZINC000122664654 415836803 /nfs/dbraw/zinc/83/68/03/415836803.db2.gz FQICGNOREWKMPF-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN CCn1cc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)cn1 ZINC000344016097 415820064 /nfs/dbraw/zinc/82/00/64/415820064.db2.gz VDMOSZIZDQJZRF-UHFFFAOYSA-N 0 2 319.350 0.680 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cc(O)ccc1C(=O)OC ZINC000295171767 415886701 /nfs/dbraw/zinc/88/67/01/415886701.db2.gz GQGFZAXHCVEMJP-UHFFFAOYSA-N 0 2 317.319 0.484 20 0 DCADLN COCCN(C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)C1CC1 ZINC000344478290 415925372 /nfs/dbraw/zinc/92/53/72/415925372.db2.gz CEYQLAHHRKLPRS-UHFFFAOYSA-N 0 2 318.333 0.995 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(C)C ZINC000344659389 415968001 /nfs/dbraw/zinc/96/80/01/415968001.db2.gz IDEKPRQDJQRIKG-NSHDSACASA-N 0 2 319.317 0.957 20 0 DCADLN NC(=O)c1cccc(CCNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000357228668 416048564 /nfs/dbraw/zinc/04/85/64/416048564.db2.gz XNELWQBTLWFIAN-UHFFFAOYSA-N 0 2 321.362 0.060 20 0 DCADLN C[C@@H](O)C[C@@H]1COCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344900092 416005227 /nfs/dbraw/zinc/00/52/27/416005227.db2.gz DFXGBFZBXVDBEH-NXEZZACHSA-N 0 2 320.349 0.286 20 0 DCADLN C[C@H](C(=O)NCC(F)(F)F)[N@@H+]1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000298675494 416021817 /nfs/dbraw/zinc/02/18/17/416021817.db2.gz YTHLKZAMNSLAHC-HTQZYQBOSA-N 0 2 322.287 0.609 20 0 DCADLN C[C@H]1NC(C(=O)NC2=NCCS2)=NN(c2ccccc2)C1=O ZINC000298884898 416035114 /nfs/dbraw/zinc/03/51/14/416035114.db2.gz QKCVELAFCWKXMU-SECBINFHSA-N 0 2 317.374 0.544 20 0 DCADLN C[C@H]1NC(C(=O)[N-]C2=[NH+]CCS2)=NN(c2ccccc2)C1=O ZINC000298884898 416035117 /nfs/dbraw/zinc/03/51/17/416035117.db2.gz QKCVELAFCWKXMU-SECBINFHSA-N 0 2 317.374 0.544 20 0 DCADLN CC(C)OC(=O)CC[C@@H](C)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000357456089 416084154 /nfs/dbraw/zinc/08/41/54/416084154.db2.gz KJHKBBZRTDIMTP-MRVPVSSYSA-N 0 2 316.383 0.839 20 0 DCADLN O=c1[nH]nc(CNc2ccc(S(=O)(=O)C(F)F)cc2)[nH]1 ZINC000301757380 416091143 /nfs/dbraw/zinc/09/11/43/416091143.db2.gz DYMFYPFIGBJKNR-UHFFFAOYSA-N 0 2 304.278 0.706 20 0 DCADLN CC(=O)N1CC(NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)C1 ZINC000176305223 416123663 /nfs/dbraw/zinc/12/36/63/416123663.db2.gz RKZOIOGRECZTAM-UHFFFAOYSA-N 0 2 318.308 0.678 20 0 DCADLN CC(=O)N(C)C1CCN(C(=O)Nc2nc3ccccn3n2)CC1 ZINC000329604917 416179522 /nfs/dbraw/zinc/17/95/22/416179522.db2.gz ZCCQMZFMNNNCCP-UHFFFAOYSA-N 0 2 316.365 1.204 20 0 DCADLN COCc1ccc(S(=O)(=O)Nc2nc3ncccn3n2)cc1 ZINC000340968890 416199051 /nfs/dbraw/zinc/19/90/51/416199051.db2.gz DDXOQURBNKBBKS-UHFFFAOYSA-N 0 2 319.346 1.072 20 0 DCADLN CC(=O)N1CCC(NC(=O)c2c[nH]c3c(cnn3C)c2=O)CC1 ZINC000358263902 416218595 /nfs/dbraw/zinc/21/85/95/416218595.db2.gz MZGCLKCPUUJAHL-UHFFFAOYSA-N 0 2 317.349 0.415 20 0 DCADLN CC[C@]1(C)CCCN(c2nc(NC(C)C)nc(NCCO)[nH+]2)C1 ZINC000342262452 416302859 /nfs/dbraw/zinc/30/28/59/416302859.db2.gz DILNHWKXJRAUBH-MRXNPFEDSA-N 0 2 322.457 0.956 20 0 DCADLN C[C@@H](O)CNC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000192555464 416308847 /nfs/dbraw/zinc/30/88/47/416308847.db2.gz GOBUMXRKGVXLJV-SNVBAGLBSA-N 0 2 305.338 0.564 20 0 DCADLN CC(C)COC[C@@H](O)CNS(=O)(=O)NCC(F)(F)F ZINC000195281886 416326022 /nfs/dbraw/zinc/32/60/22/416326022.db2.gz WUGFBQGVJBKFKH-QMMMGPOBSA-N 0 2 308.322 0.006 20 0 DCADLN C[C@H](CO[C@@H]1CCOC1)NS(=O)(=O)NCC(F)(F)F ZINC000195345571 416326323 /nfs/dbraw/zinc/32/63/23/416326323.db2.gz VBFSJOQZAQWEQR-HTQZYQBOSA-N 0 2 306.306 0.167 20 0 DCADLN CC(=O)c1ccc(S(C)(=O)=O)c(NCc2n[nH]c(=O)[nH]2)c1 ZINC000360114236 416371247 /nfs/dbraw/zinc/37/12/47/416371247.db2.gz VIBILBGLOXUJLT-UHFFFAOYSA-N 0 2 310.335 0.729 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCN(c2ccc(F)cc2)C1=O ZINC000359834673 416361542 /nfs/dbraw/zinc/36/15/42/416361542.db2.gz HXOGLJNCOGZJFM-JTQLQIEISA-N 0 2 319.296 0.319 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2ncccn2)cn1 ZINC000360772929 416409603 /nfs/dbraw/zinc/40/96/03/416409603.db2.gz OYUOUPZCXFBHGT-UHFFFAOYSA-N 0 2 314.348 0.076 20 0 DCADLN COc1cc2c(cc1OC)C[N@H+](CC(=O)N[C@H](C)C(=O)[O-])CC2 ZINC000583994084 416489712 /nfs/dbraw/zinc/48/97/12/416489712.db2.gz KOTLYVCMEIFMFM-SNVBAGLBSA-N 0 2 322.361 0.651 20 0 DCADLN COc1cc2c(cc1OC)C[N@@H+](CC(=O)N[C@H](C)C(=O)[O-])CC2 ZINC000583994084 416489717 /nfs/dbraw/zinc/48/97/17/416489717.db2.gz KOTLYVCMEIFMFM-SNVBAGLBSA-N 0 2 322.361 0.651 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)c1ccn(C)n1 ZINC000458281147 416448892 /nfs/dbraw/zinc/44/88/92/416448892.db2.gz YHDSKRXPICHPPV-MRVPVSSYSA-N 0 2 300.322 0.898 20 0 DCADLN Cc1cc(C(=O)NCc2cccnn2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000436221438 416551984 /nfs/dbraw/zinc/55/19/84/416551984.db2.gz RVCQEKCGIKIDOL-UHFFFAOYSA-N 0 2 312.289 0.464 20 0 DCADLN Cc1cc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)ccn1 ZINC000436424177 416558259 /nfs/dbraw/zinc/55/82/59/416558259.db2.gz YDIKBYNBMWBTBK-UHFFFAOYSA-N 0 2 313.364 0.865 20 0 DCADLN CCOC(=O)[C@@H]1[NH2+]CC[C@H]1NC[C@@H]1[NH2+]CCc2ccccc21 ZINC000423433647 416512272 /nfs/dbraw/zinc/51/22/72/416512272.db2.gz RRSQZKMBQJBDQW-OWCLPIDISA-N 0 2 303.406 0.757 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CCC(CO)CC1 ZINC000535015794 416512261 /nfs/dbraw/zinc/51/22/61/416512261.db2.gz UYXBWWKQVWOTSB-UHFFFAOYSA-N 0 2 303.318 0.874 20 0 DCADLN COc1cc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c(C)cc1C ZINC000361900599 416518454 /nfs/dbraw/zinc/51/84/54/416518454.db2.gz HOIZVVFLUOUQBA-UHFFFAOYSA-N 0 2 319.321 0.391 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N(C)CC(=O)NC)=N1 ZINC000438906338 416604565 /nfs/dbraw/zinc/60/45/65/416604565.db2.gz YBSDRTRSALOXEQ-UHFFFAOYSA-N 0 2 316.361 0.958 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc(C)c(C(N)=O)c2)nn1C ZINC000439060151 416605278 /nfs/dbraw/zinc/60/52/78/416605278.db2.gz SZAPDGACPZPQPN-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2ncc(C)s2)cn1 ZINC000437423915 416584139 /nfs/dbraw/zinc/58/41/39/416584139.db2.gz VDZAHPXKKQJQPY-UHFFFAOYSA-N 0 2 316.364 0.622 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCCC[C@H]2C(N)=O)c1 ZINC000424581472 416665206 /nfs/dbraw/zinc/66/52/06/416665206.db2.gz HAXWUYXZWGRELD-XMHCIUCPSA-N 0 2 324.402 0.669 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@@](C)(C(N)=O)C2)c1 ZINC000424807399 416670922 /nfs/dbraw/zinc/67/09/22/416670922.db2.gz FDILLUHKKPCSLH-IVZQSRNASA-N 0 2 324.402 0.527 20 0 DCADLN O=C(c1cc2n(n1)CCCO2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000516869538 416750411 /nfs/dbraw/zinc/75/04/11/416750411.db2.gz KYMWGBMKCZHIHE-SECBINFHSA-N 0 2 318.337 0.509 20 0 DCADLN O=S(=O)(NCCOc1ncccc1F)NCC(F)(F)F ZINC000443022144 416726856 /nfs/dbraw/zinc/72/68/56/416726856.db2.gz HNLJCBGUBFNJPB-UHFFFAOYSA-N 0 2 317.264 0.586 20 0 DCADLN COC[C@H](NS(=O)(=O)NCC(F)(F)F)[C@@H]1CCCO1 ZINC000443217203 416736875 /nfs/dbraw/zinc/73/68/75/416736875.db2.gz RMCMJRWYWJDAIF-YUMQZZPRSA-N 0 2 306.306 0.167 20 0 DCADLN CCc1cccnc1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000443419178 416756683 /nfs/dbraw/zinc/75/66/83/416756683.db2.gz PJVMYPSLWWEIPE-UHFFFAOYSA-N 0 2 308.363 0.882 20 0 DCADLN CN1CCN(c2cc(NCC3([NH+](C)C)CC3)nc[nH+]2)CC1=O ZINC000517341061 416798692 /nfs/dbraw/zinc/79/86/92/416798692.db2.gz AJRAMGWQJLVZRE-UHFFFAOYSA-N 0 2 304.398 0.261 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc3oc(=O)n(C)c3c2)cnn1C ZINC000427203126 416809478 /nfs/dbraw/zinc/80/94/78/416809478.db2.gz FRHPKLHEGSUDAU-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN COC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c(OC)c1 ZINC000444947056 416875546 /nfs/dbraw/zinc/87/55/46/416875546.db2.gz CKIZTFUDUOUYRY-UHFFFAOYSA-N 0 2 321.293 0.627 20 0 DCADLN CCO[C@@H]1C[C@H]([NH2+]C[C@H](O)C[N@H+]2C[C@@H](C)O[C@@H](C)C2)[C@H]1OC ZINC000565298999 416963766 /nfs/dbraw/zinc/96/37/66/416963766.db2.gz FKJJXBSRMNADRR-VNAATALASA-N 0 2 316.442 0.239 20 0 DCADLN O=C([O-])c1cnc(NCc2ccc[nH+]c2N2CCOCC2)nc1 ZINC000565038126 416914681 /nfs/dbraw/zinc/91/46/81/416914681.db2.gz KNAKPZZXCNTMTC-UHFFFAOYSA-N 0 2 315.333 1.019 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@H+]1C[C@H](O)COCc1ccccc1F ZINC000565837762 417024440 /nfs/dbraw/zinc/02/44/40/417024440.db2.gz GTAAFGUFIGDVJY-JSGCOSHPSA-N 0 2 313.325 0.489 20 0 DCADLN O=C([O-])[C@@H]1COCC[N@@H+]1C[C@H](O)COCc1ccccc1F ZINC000565837762 417024446 /nfs/dbraw/zinc/02/44/46/417024446.db2.gz GTAAFGUFIGDVJY-JSGCOSHPSA-N 0 2 313.325 0.489 20 0 DCADLN COCC1(S(=O)(=O)Nc2cccc3c2OCC(=O)N3)CC1 ZINC000641687352 416975309 /nfs/dbraw/zinc/97/53/09/416975309.db2.gz PBFCMQDGSYPOQM-UHFFFAOYSA-N 0 2 312.347 0.938 20 0 DCADLN CC(C)[C@@H](CNC(=O)c1ccc(C(=O)[O-])cn1)[NH+]1CCOCC1 ZINC000642209428 417033863 /nfs/dbraw/zinc/03/38/63/417033863.db2.gz FQLVEHWMGGPREJ-CQSZACIVSA-N 0 2 321.377 0.866 20 0 DCADLN CO[C@H](CNC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+])c1ccccc1 ZINC000521236459 416998544 /nfs/dbraw/zinc/99/85/44/416998544.db2.gz ZBFZHNVDVSYTSY-HUUCEWRRSA-N 0 2 306.410 0.658 20 0 DCADLN C[NH+](C)CCn1ccc(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)n1 ZINC000568209012 417103343 /nfs/dbraw/zinc/10/33/43/417103343.db2.gz LJXGGSIOZRWFIP-GFCCVEGCSA-N 0 2 302.382 0.386 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-])C(=O)OC ZINC000568633163 417158157 /nfs/dbraw/zinc/15/81/57/417158157.db2.gz DSUVSJZBASFQCC-JBLDHEPKSA-N 0 2 300.355 0.239 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-])C(=O)OC ZINC000568633163 417158163 /nfs/dbraw/zinc/15/81/63/417158163.db2.gz DSUVSJZBASFQCC-JBLDHEPKSA-N 0 2 300.355 0.239 20 0 DCADLN Nc1ccnc(CNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)n1 ZINC000433042240 417222115 /nfs/dbraw/zinc/22/21/15/417222115.db2.gz FMSRPKUMOMEMAT-UHFFFAOYSA-N 0 2 312.289 0.332 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2cnc(C)nc2)c1 ZINC000569182327 417223329 /nfs/dbraw/zinc/22/33/29/417223329.db2.gz MHRJVPYATNQKEW-UHFFFAOYSA-N 0 2 308.319 0.767 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2cnn(CC[NH+](C)C)c2)[C@H](C)CO1 ZINC000633615990 417464163 /nfs/dbraw/zinc/46/41/63/417464163.db2.gz ANCKDAPYZIIKIC-ZIAGYGMSSA-N 0 2 323.441 0.284 20 0 DCADLN CCOc1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)on1 ZINC000575319272 417527200 /nfs/dbraw/zinc/52/72/00/417527200.db2.gz ORDCCAICBIWHOQ-QMMMGPOBSA-N 0 2 307.310 0.917 20 0 DCADLN O=C(N[C@H]1CC[S@@](=O)C1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000576563876 417617766 /nfs/dbraw/zinc/61/77/66/417617766.db2.gz JXSYXILJOGROFP-CHNSCGDPSA-N 0 2 307.331 0.281 20 0 DCADLN CN1CCC[C@@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1=O ZINC000531363010 417619066 /nfs/dbraw/zinc/61/90/66/417619066.db2.gz DKISJEQJTIAWMK-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN Cn1cc[nH+]c1[C@H]1N(C(=O)NCCOCC(=O)[O-])CC1(C)C ZINC000635523737 417689604 /nfs/dbraw/zinc/68/96/04/417689604.db2.gz RATBPQCVDTXVPQ-LLVKDONJSA-N 0 2 310.354 0.614 20 0 DCADLN Cc1nc(=NC(=O)C(=O)N2CC3(CCCC3)[C@H]2C(C)C)[nH]n1C ZINC000651948002 417824773 /nfs/dbraw/zinc/82/47/73/417824773.db2.gz CLSRMCRYUBZIHZ-GFCCVEGCSA-N 0 2 319.409 0.911 20 0 DCADLN CCC(=O)Nc1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000629287532 417771590 /nfs/dbraw/zinc/77/15/90/417771590.db2.gz XIPHRGZWBIQBRK-UHFFFAOYSA-N 0 2 309.351 0.964 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2cnn(CCF)c2)cn1 ZINC000629317236 417778266 /nfs/dbraw/zinc/77/82/66/417778266.db2.gz GPMHVKTVWUPWER-UHFFFAOYSA-N 0 2 317.346 0.496 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1nnc(CC2CCCC2)o1 ZINC000629350052 417785659 /nfs/dbraw/zinc/78/56/59/417785659.db2.gz DTOYFECYIQZFNN-UHFFFAOYSA-N 0 2 312.355 0.732 20 0 DCADLN Cn1nc(C(F)(F)F)cc1NS(=O)(=O)c1cnnn1C ZINC000629351721 417785872 /nfs/dbraw/zinc/78/58/72/417785872.db2.gz FMZADCSTQLGCKN-UHFFFAOYSA-N 0 2 310.261 0.368 20 0 DCADLN Cn1c(=O)cc(C[N@@H+]2Cc3ccc(C(=O)[O-])cc3C2)n(C)c1=O ZINC000629362237 417787415 /nfs/dbraw/zinc/78/74/15/417787415.db2.gz QOOSNAGGJAJLMZ-UHFFFAOYSA-N 0 2 315.329 0.298 20 0 DCADLN Cn1c(=O)cc(C[N@H+]2Cc3ccc(C(=O)[O-])cc3C2)n(C)c1=O ZINC000629362237 417787419 /nfs/dbraw/zinc/78/74/19/417787419.db2.gz QOOSNAGGJAJLMZ-UHFFFAOYSA-N 0 2 315.329 0.298 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2c(C)nn(C)c2N2CCC2)cn1 ZINC000629352761 417787434 /nfs/dbraw/zinc/78/74/34/417787434.db2.gz COSYUBKAJHRHCK-UHFFFAOYSA-N 0 2 324.410 0.956 20 0 DCADLN O=C(CCN1CCCC1=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000646131052 417788701 /nfs/dbraw/zinc/78/87/01/417788701.db2.gz CPQYSFWXDFZTSA-JTQLQIEISA-N 0 2 307.354 0.229 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N[C@]34C[C@H]3CCC4)cc-2c(=O)[nH]1 ZINC000657053675 417792865 /nfs/dbraw/zinc/79/28/65/417792865.db2.gz RQLOYCNKOWWXRF-FUXBKTLASA-N 0 2 322.346 0.657 20 0 DCADLN CCCC[C@](C)(CO)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651868147 417804186 /nfs/dbraw/zinc/80/41/86/417804186.db2.gz GGCZIKVDUJVWQR-CQSZACIVSA-N 0 2 311.386 0.277 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cccc(F)c2C)[nH]1 ZINC000657103947 417812279 /nfs/dbraw/zinc/81/22/79/417812279.db2.gz KKUCVYSBZIBTML-UHFFFAOYSA-N 0 2 314.342 0.877 20 0 DCADLN CNS(=O)(=O)CCCNc1nc(C(F)(F)F)ns1 ZINC000631480192 417886853 /nfs/dbraw/zinc/88/68/53/417886853.db2.gz IZDBQGZSVHSQSB-UHFFFAOYSA-N 0 2 304.319 0.330 20 0 DCADLN CCC[C@@H](C(=O)Nc1cccc(-c2nnc[nH]2)c1)S(N)(=O)=O ZINC000646814614 417920660 /nfs/dbraw/zinc/92/06/60/417920660.db2.gz HMNYBMIVBRXSOT-NSHDSACASA-N 0 2 323.378 0.867 20 0 DCADLN Cc1cc(C)n2c(C[NH2+][C@H](C(=O)[O-])c3ccnn3C)cnc2n1 ZINC000647467703 418011648 /nfs/dbraw/zinc/01/16/48/418011648.db2.gz QLBDHNKDOUPZFV-ZDUSSCGKSA-N 0 2 314.349 0.995 20 0 DCADLN Cn1cc([C@@H]2CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@H]2CO)cn1 ZINC000647454120 418012247 /nfs/dbraw/zinc/01/22/47/418012247.db2.gz DXFARBHMAJMTIR-STQMWFEESA-N 0 2 302.334 0.726 20 0 DCADLN O=C(NCC[C@]1(O)CCOC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000653039444 418015735 /nfs/dbraw/zinc/01/57/35/418015735.db2.gz FBUFMXFWXWKWSN-HNNXBMFYSA-N 0 2 319.317 0.301 20 0 DCADLN Cc1ccc2[nH+]c(CNC(=O)C(=O)NCCCC(=O)[O-])cn2c1 ZINC000647581255 418026566 /nfs/dbraw/zinc/02/65/66/418026566.db2.gz VJSJPZOXDOGDEE-UHFFFAOYSA-N 0 2 318.333 0.240 20 0 DCADLN Cc1nc(=NC(=O)N(C)CC(=O)N(C)C2CCCCC2)[nH]n1C ZINC000653246085 418047067 /nfs/dbraw/zinc/04/70/67/418047067.db2.gz GDLZBCGNVOACHD-UHFFFAOYSA-N 0 2 322.413 0.800 20 0 DCADLN O=C([O-])[C@@H](C(=O)NCc1ccc(N2CCOCC2)[nH+]c1)C1CC1 ZINC000663108087 417990772 /nfs/dbraw/zinc/99/07/72/417990772.db2.gz CKWXALBQCGNOQX-CQSZACIVSA-N 0 2 319.361 0.645 20 0 DCADLN Cn1nccc1[C@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)[O-] ZINC000653291433 418053998 /nfs/dbraw/zinc/05/39/98/418053998.db2.gz FFSKUHCGXOLQQT-AWEZNQCLSA-N 0 2 317.349 0.438 20 0 DCADLN O=C(c1cnc2[nH]cnc2c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893760 418170969 /nfs/dbraw/zinc/17/09/69/418170969.db2.gz ZAFJIWXXMNCKSN-MRVPVSSYSA-N 0 2 313.321 0.801 20 0 DCADLN O=C(c1cccc2n[nH]nc21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893598 418171364 /nfs/dbraw/zinc/17/13/64/418171364.db2.gz KWGLWIHJDUDYHO-MRVPVSSYSA-N 0 2 313.321 0.801 20 0 DCADLN CN1CC[N@H+](Cc2ccccc2)[C@H](CNC(=O)CCC(=O)[O-])C1 ZINC000659786274 418182581 /nfs/dbraw/zinc/18/25/81/418182581.db2.gz UKCSETKTHGWJMV-OAHLLOKOSA-N 0 2 319.405 0.784 20 0 DCADLN CN1CC[N@@H+](Cc2ccccc2)[C@H](CNC(=O)CCC(=O)[O-])C1 ZINC000659786274 418182586 /nfs/dbraw/zinc/18/25/86/418182586.db2.gz UKCSETKTHGWJMV-OAHLLOKOSA-N 0 2 319.405 0.784 20 0 DCADLN O=C([O-])[C@H](CNC(=O)N[C@H]1CCn2cc[nH+]c2C1)C1CCC1 ZINC000665310172 418194581 /nfs/dbraw/zinc/19/45/81/418194581.db2.gz KIMIWLWQRKRWHJ-NWDGAFQWSA-N 0 2 306.366 0.998 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)C[C@@]1(OC(C)C)CCOC1 ZINC000659894385 418197031 /nfs/dbraw/zinc/19/70/31/418197031.db2.gz GGSVPPOFVLCTKB-CYBMUJFWSA-N 0 2 317.411 0.722 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N1CN(C)C(=O)[C@@H]1C)c2=O ZINC000649238174 418214341 /nfs/dbraw/zinc/21/43/41/418214341.db2.gz YDWVKBTVCQLPOL-VIFPVBQESA-N 0 2 300.318 0.904 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000655860666 418293242 /nfs/dbraw/zinc/29/32/42/418293242.db2.gz WCNUVNYYEBNPJA-GFCCVEGCSA-N 0 2 315.414 0.753 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCN(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000650764984 418323826 /nfs/dbraw/zinc/32/38/26/418323826.db2.gz VKMCUQGJTSGWQG-SNVBAGLBSA-N 0 2 313.379 0.545 20 0 DCADLN CC(C)(C(=O)[O-])C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000649462556 418255215 /nfs/dbraw/zinc/25/52/15/418255215.db2.gz FVQNCWMIHBZQJE-UHFFFAOYSA-N 0 2 307.350 0.645 20 0 DCADLN CO[C@@](C)(CO)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000655409565 418259676 /nfs/dbraw/zinc/25/96/76/418259676.db2.gz ZPZBVQPECTTXBN-CQSZACIVSA-N 0 2 307.306 0.157 20 0 DCADLN Cc1ccc(C(N)=O)c(NS(=O)(=O)N2CCCOCC2)c1 ZINC000655601540 418279478 /nfs/dbraw/zinc/27/94/78/418279478.db2.gz PPRTWAHBZPXJEE-UHFFFAOYSA-N 0 2 313.379 0.473 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)C[C@@H]2CCCCO2)[nH]1 ZINC000651531619 418387698 /nfs/dbraw/zinc/38/76/98/418387698.db2.gz QSEBKOIPQAERHJ-NSHDSACASA-N 0 2 309.370 0.247 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCN(c3cccs3)CC2)C1=O ZINC000662206565 418388586 /nfs/dbraw/zinc/38/85/86/418388586.db2.gz UFVRSSCTYYFSLU-LLVKDONJSA-N 0 2 309.391 0.556 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC[C@@H]2CCCCO2)[nH]1 ZINC000651658215 418401752 /nfs/dbraw/zinc/40/17/52/418401752.db2.gz KIEIGVZFDVMWNQ-NSHDSACASA-N 0 2 309.370 0.295 20 0 DCADLN O=C(NCc1cccc(O)c1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000194477021 261147032 /nfs/dbraw/zinc/14/70/32/261147032.db2.gz XRXCZBTYSBLPND-UHFFFAOYSA-N 0 2 312.285 0.247 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cc(C(F)(F)F)c[nH]c1=O ZINC000354391527 261212752 /nfs/dbraw/zinc/21/27/52/261212752.db2.gz AIGDRBVAVJFQLF-UHFFFAOYSA-N 0 2 318.215 0.951 20 0 DCADLN NC(=O)c1ccc(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)cn1 ZINC000355791366 261367226 /nfs/dbraw/zinc/36/72/26/261367226.db2.gz KWKRALRXJSKGJR-UHFFFAOYSA-N 0 2 324.300 0.924 20 0 DCADLN CNS(=O)(=O)c1ccc(NC(=O)NCc2cn[nH]c2C)nc1 ZINC000362127408 262002035 /nfs/dbraw/zinc/00/20/35/262002035.db2.gz XJRMWAIEXXEKNE-UHFFFAOYSA-N 0 2 324.366 0.343 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nc2cnn(C)c2)c1 ZINC000362193784 262007706 /nfs/dbraw/zinc/00/77/06/262007706.db2.gz XGSQOPFIDIVQNE-UHFFFAOYSA-N 0 2 324.362 0.628 20 0 DCADLN Cn1nnnc1-c1cccc(S(=O)(=O)Nc2cncnc2)c1 ZINC000362213602 262010234 /nfs/dbraw/zinc/01/02/34/262010234.db2.gz IRDPJCPNHFEDQS-UHFFFAOYSA-N 0 2 317.334 0.468 20 0 DCADLN O=S(=O)(Nc1cncnc1)c1cccc(-n2cnnn2)c1 ZINC000362211943 262010255 /nfs/dbraw/zinc/01/02/55/262010255.db2.gz DQVOVVFRCGVWHA-UHFFFAOYSA-N 0 2 303.307 0.253 20 0 DCADLN CC1(C)Cc2cccc(OCC(=O)NCc3n[nH]c(=O)[nH]3)c2O1 ZINC000362412051 262031656 /nfs/dbraw/zinc/03/16/56/262031656.db2.gz RGKONBMGLNWRMH-UHFFFAOYSA-N 0 2 318.333 0.919 20 0 DCADLN COCC[N@H+](C)CCNC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000363505471 262117324 /nfs/dbraw/zinc/11/73/24/262117324.db2.gz YXBIVTHRJUMIAY-AWEZNQCLSA-N 0 2 323.441 0.946 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NC[C@@H]([NH3+])c1ccccc1 ZINC000417534913 262254285 /nfs/dbraw/zinc/25/42/85/262254285.db2.gz ZYRUIMBUJFBSRX-DZGCQCFKSA-N 0 2 306.410 0.706 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCC[S@](C)=O)cn1 ZINC000426990170 262560059 /nfs/dbraw/zinc/56/00/59/262560059.db2.gz GLTBYJZUSHTIPC-NRFANRHFSA-N 0 2 309.395 0.792 20 0 DCADLN O=C(COc1ccc2c(c1)CCC(=O)N2)NCc1n[nH]c(=O)[nH]1 ZINC000358933757 271082190 /nfs/dbraw/zinc/08/21/90/271082190.db2.gz MERKELPDADVOPE-UHFFFAOYSA-N 0 2 317.305 0.090 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cc(C)n(C)n2)cc1C(N)=O ZINC000439067227 271633483 /nfs/dbraw/zinc/63/34/83/271633483.db2.gz NTFLYSIKWPEHQV-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN C=CC(=O)NCCC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000490705780 272080663 /nfs/dbraw/zinc/08/06/63/272080663.db2.gz XPYQDSRVZOIQEQ-UHFFFAOYSA-N 0 2 301.306 0.808 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C\c2ccnn2CC)cn1 ZINC000492240297 272118110 /nfs/dbraw/zinc/11/81/10/272118110.db2.gz UWTAJKYZEUUIRP-WAYWQWQTSA-N 0 2 323.378 0.638 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)c1ncccc1F ZINC000493002535 272163311 /nfs/dbraw/zinc/16/33/11/272163311.db2.gz FJZMQLNTHANXCN-AATRIKPKSA-N 0 2 324.337 0.955 20 0 DCADLN COC(=O)N(C)CC(=O)NOC[C@H](C)NC(=O)OC(C)(C)C ZINC000495239803 272242112 /nfs/dbraw/zinc/24/21/12/272242112.db2.gz VHUNDFXVBUYLTL-VIFPVBQESA-N 0 2 319.358 0.646 20 0 DCADLN O=C([O-])[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000516450444 272436284 /nfs/dbraw/zinc/43/62/84/272436284.db2.gz QHGCNJIDSGVQIT-SNVBAGLBSA-N 0 2 316.317 0.596 20 0 DCADLN CN1CCOC[C@H]1C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000547323536 288038880 /nfs/dbraw/zinc/03/88/80/288038880.db2.gz HMSJDRCKVTUGFO-JTQLQIEISA-N 0 2 305.363 0.291 20 0 DCADLN CC1(C)[C@H](O)C[C@H]1NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000547996207 288100022 /nfs/dbraw/zinc/10/00/22/288100022.db2.gz WXJNJCQHXHRMHA-GHMZBOCLSA-N 0 2 303.318 0.919 20 0 DCADLN CC[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H](C)O1 ZINC000111018876 288276705 /nfs/dbraw/zinc/27/67/05/288276705.db2.gz MBQDWHOGDFIPEC-WPRPVWTQSA-N 0 2 318.333 0.251 20 0 DCADLN CC1(C)NC(=O)CC[C@@H]1NS(=O)(=O)NCC(F)(F)F ZINC000338426373 281385597 /nfs/dbraw/zinc/38/55/97/281385597.db2.gz FGRJROTXACXFNG-LURJTMIESA-N 0 2 303.306 0.030 20 0 DCADLN C[C@@H]1CN(c2ccc(CNC(=O)CCC(=O)[O-])c[nH+]2)CCO1 ZINC000563114784 288632704 /nfs/dbraw/zinc/63/27/04/288632704.db2.gz NNNYAQDKFQCTME-LLVKDONJSA-N 0 2 307.350 0.788 20 0 DCADLN CN(C1(C(N)=O)CCCC1)S(=O)(=O)NCC(F)(F)F ZINC000192026802 289446628 /nfs/dbraw/zinc/44/66/28/289446628.db2.gz AUVBCJTYMVQCHC-UHFFFAOYSA-N 0 2 303.306 0.113 20 0 DCADLN C[C@@H]1[C@H](C)SCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000118374892 297780750 /nfs/dbraw/zinc/78/07/50/297780750.db2.gz ZBQOOFUJAQILAI-SFYZADRCSA-N 0 2 320.374 0.577 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCCO1 ZINC000328851687 300206772 /nfs/dbraw/zinc/20/67/72/300206772.db2.gz ZTWYDTNYXYGTTL-QMMMGPOBSA-N 0 2 304.306 0.687 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCC[C@H]2O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000270253162 301138313 /nfs/dbraw/zinc/13/83/13/301138313.db2.gz JANNIGLYROTDQA-PSASIEDQSA-N 0 2 318.333 0.778 20 0 DCADLN O=C(c1cn2cccnc2n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000570517406 332765997 /nfs/dbraw/zinc/76/59/97/332765997.db2.gz GXPHIVXYHNILEJ-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCNC(=O)c2ccc(F)cc2)[C@@H](C[NH3+])C1 ZINC000580309878 333094061 /nfs/dbraw/zinc/09/40/61/333094061.db2.gz PQBDFZAWIMIMHI-AWEZNQCLSA-N 0 2 322.384 0.047 20 0 DCADLN CCNc1nc(NC(C)(C)C)nc(N2CC[C@H](CO)[C@H](O)C2)[nH+]1 ZINC000582878365 337214140 /nfs/dbraw/zinc/21/41/40/337214140.db2.gz RUBHYMXCYDHYER-GHMZBOCLSA-N 0 2 324.429 0.115 20 0 DCADLN CC(=O)N1CCCC[C@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000583324047 337315957 /nfs/dbraw/zinc/31/59/57/337315957.db2.gz LHMURPWTUMANOL-NEPJUHHUSA-N 0 2 321.381 0.617 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1CC[C@H]1C(N)=O ZINC000584479110 337348185 /nfs/dbraw/zinc/34/81/85/337348185.db2.gz LZAUKIHPQYOFMV-ZJUUUORDSA-N 0 2 315.333 0.936 20 0 DCADLN C[C@](O)(CNc1cc(N2CCCC[C@H]2CO)nc[nH+]1)C(=O)[O-] ZINC000584616968 337372998 /nfs/dbraw/zinc/37/29/98/337372998.db2.gz RTBCKDUXGAOHJG-HZMBPMFUSA-N 0 2 310.354 0.075 20 0 DCADLN C[C@](O)(CNc1cc(N2CCCC[C@H]2CO)[nH+]cn1)C(=O)[O-] ZINC000584616968 337372999 /nfs/dbraw/zinc/37/29/99/337372999.db2.gz RTBCKDUXGAOHJG-HZMBPMFUSA-N 0 2 310.354 0.075 20 0 DCADLN CC(=O)NCCN(C)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000576993809 341874273 /nfs/dbraw/zinc/87/42/73/341874273.db2.gz FVBMDEJPIJILCT-UHFFFAOYSA-N 0 2 320.324 0.925 20 0 DCADLN O=C(C[C@@H]1CCCS(=O)(=O)C1)NCCc1n[nH]c(=S)o1 ZINC001448074704 1159715694 /nfs/dbraw/zinc/71/56/94/1159715694.db2.gz NKARDTLVLKVPBU-QMMMGPOBSA-N 0 2 319.408 0.232 20 0 DCADLN COc1nsc(C[NH2+][C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])c1Cl ZINC001607363509 1159798109 /nfs/dbraw/zinc/79/81/09/1159798109.db2.gz HLDHQDLSKYACAY-WDSKDSINSA-N 0 2 321.786 0.873 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CC[C@@H]2CCOC2)s1 ZINC000267563855 525229491 /nfs/dbraw/zinc/22/94/91/525229491.db2.gz HAMBNMDGMYBFAF-SSDOTTSWSA-N 0 2 320.396 0.665 20 0 DCADLN CCN(CCC(=O)OC)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000299269434 528404576 /nfs/dbraw/zinc/40/45/76/528404576.db2.gz XQPGLCJXGAMPGX-UHFFFAOYSA-N 0 2 320.305 0.461 20 0 DCADLN CC[S@](=O)CC(=O)NOC[C@H](C)NC(=O)OC(C)(C)C ZINC000496327866 535974812 /nfs/dbraw/zinc/97/48/12/535974812.db2.gz MXIKFXVITBZVGM-LXGOIASLSA-N 0 2 308.400 0.716 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2CCN(C)C2=O)c1 ZINC000424687881 536919604 /nfs/dbraw/zinc/91/96/04/536919604.db2.gz RFADVKDJCZRISJ-GTJPDFRWSA-N 0 2 310.375 0.150 20 0 DCADLN Cn1c(=O)cccc1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000162626635 545870444 /nfs/dbraw/zinc/87/04/44/545870444.db2.gz DFQZKEDRNAMLCK-UHFFFAOYSA-N 0 2 312.285 0.981 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCc1ccc(C)c(F)c1 ZINC000314833489 546025863 /nfs/dbraw/zinc/02/58/63/546025863.db2.gz RBKLGHASTOYVOP-UHFFFAOYSA-N 0 2 312.341 0.783 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCc1ccc(C)c(F)c1 ZINC000314833489 546025865 /nfs/dbraw/zinc/02/58/65/546025865.db2.gz RBKLGHASTOYVOP-UHFFFAOYSA-N 0 2 312.341 0.783 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1C[C@@H]1c1ccc2c(c1)OCCO2 ZINC000332962635 546067683 /nfs/dbraw/zinc/06/76/83/546067683.db2.gz WSZFJUFMYFGMJU-ZJUUUORDSA-N 0 2 316.317 0.701 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)N=c1nc2cc(Cl)ccn2[n-]1 ZINC000353518767 546173800 /nfs/dbraw/zinc/17/38/00/546173800.db2.gz DDENHIOMUUFZOV-UHFFFAOYSA-N 0 2 305.729 0.860 20 0 DCADLN COCc1nnc(CNC(=O)Nc2nnc3ccccn32)[nH]1 ZINC000666009386 546419387 /nfs/dbraw/zinc/41/93/87/546419387.db2.gz OKDALZYYPMCCQW-UHFFFAOYSA-N 0 2 302.298 0.316 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)CCO1 ZINC000667827047 546658349 /nfs/dbraw/zinc/65/83/49/546658349.db2.gz MNDIOIKJDOCYHQ-UHFFFAOYSA-N 0 2 320.349 0.769 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)CCO1 ZINC000667827047 546658356 /nfs/dbraw/zinc/65/83/56/546658356.db2.gz MNDIOIKJDOCYHQ-UHFFFAOYSA-N 0 2 320.349 0.769 20 0 DCADLN CCNc1nc(N2CCO[C@@H](C(=O)[O-])C2)nc(NC(C)(C)C)[nH+]1 ZINC000668130480 546697787 /nfs/dbraw/zinc/69/77/87/546697787.db2.gz RFHMIZJTHBBWOG-SECBINFHSA-N 0 2 324.385 0.226 20 0 DCADLN CCNc1nc(NC(C)(C)C)[nH+]c(N2CCO[C@@H](C(=O)[O-])C2)n1 ZINC000668130480 546697795 /nfs/dbraw/zinc/69/77/95/546697795.db2.gz RFHMIZJTHBBWOG-SECBINFHSA-N 0 2 324.385 0.226 20 0 DCADLN Cc1[nH+]cc(CN2CC[NH+]([C@@H]3CCNC(=O)CC3)CC2)n1C ZINC000668225230 546711387 /nfs/dbraw/zinc/71/13/87/546711387.db2.gz IHCVLRSOWUVURE-AWEZNQCLSA-N 0 2 305.426 0.515 20 0 DCADLN Cc1nc(CC(=O)NCc2c(C)nc3cc(=O)[nH]n3c2C)n[nH]1 ZINC000668745004 546838588 /nfs/dbraw/zinc/83/85/88/546838588.db2.gz ACQCFSPCYKWLIU-UHFFFAOYSA-N 0 2 315.337 0.337 20 0 DCADLN CS(=O)(=O)[C@H]1CCN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC000669742230 547013169 /nfs/dbraw/zinc/01/31/69/547013169.db2.gz FKIDYWBYUCNHLG-QMMMGPOBSA-N 0 2 305.302 0.930 20 0 DCADLN Cc1ccccc1CNC(=O)[C@H](C)[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231848 547748381 /nfs/dbraw/zinc/74/83/81/547748381.db2.gz QQSAOUYCESNSMD-JSGCOSHPSA-N 0 2 306.362 0.785 20 0 DCADLN Cc1ccccc1CNC(=O)[C@H](C)[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000676231848 547748385 /nfs/dbraw/zinc/74/83/85/547748385.db2.gz QQSAOUYCESNSMD-JSGCOSHPSA-N 0 2 306.362 0.785 20 0 DCADLN O=c1cc(CN2CCOC[C@@H]2C(F)(F)F)nc2cc[nH]n21 ZINC000677723601 547898973 /nfs/dbraw/zinc/89/89/73/547898973.db2.gz DMOSACJJTTYTHX-SECBINFHSA-N 0 2 302.256 0.786 20 0 DCADLN CC(=O)Nc1nc(C)c(S(=O)(=O)Nc2cn[nH]c2)s1 ZINC000677751697 547902621 /nfs/dbraw/zinc/90/26/21/547902621.db2.gz ZMCHRAJVAKMZSB-UHFFFAOYSA-N 0 2 301.353 0.934 20 0 DCADLN Cc1[nH+]c2cc(NC(=O)c3n[nH]c(=O)[n-]c3=O)ccc2n1C ZINC000677950376 547927992 /nfs/dbraw/zinc/92/79/92/547927992.db2.gz PAFHVJCARNSABR-UHFFFAOYSA-N 0 2 300.278 0.730 20 0 DCADLN COC[C@@](C)(CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000681077969 548200650 /nfs/dbraw/zinc/20/06/50/548200650.db2.gz KFJBZEPJJHANOR-OAHLLOKOSA-N 0 2 305.334 0.705 20 0 DCADLN O=C(CCCCc1c[nH]nn1)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000681224118 548218288 /nfs/dbraw/zinc/21/82/88/548218288.db2.gz ZCNZDZTYEGGJHH-SNVBAGLBSA-N 0 2 304.358 0.437 20 0 DCADLN O=C(CCCCc1cn[nH]n1)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000681224118 548218291 /nfs/dbraw/zinc/21/82/91/548218291.db2.gz ZCNZDZTYEGGJHH-SNVBAGLBSA-N 0 2 304.358 0.437 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc(N2CCCC2=O)c1 ZINC000682832809 548429992 /nfs/dbraw/zinc/42/99/92/548429992.db2.gz KBSXMSCZDRPWOI-UHFFFAOYSA-N 0 2 321.362 0.743 20 0 DCADLN O=C(CC[C@@H]1NC(=O)NC1=O)Nc1nc2cc(F)ccc2[nH]1 ZINC000683883633 548530578 /nfs/dbraw/zinc/53/05/78/548530578.db2.gz FOQDWKWSGJQIRV-QMMMGPOBSA-N 0 2 305.269 0.629 20 0 DCADLN O=C(CC[C@@H]1NC(=O)NC1=O)Nc1nc2ccc(F)cc2[nH]1 ZINC000683883633 548530582 /nfs/dbraw/zinc/53/05/82/548530582.db2.gz FOQDWKWSGJQIRV-QMMMGPOBSA-N 0 2 305.269 0.629 20 0 DCADLN O=C([O-])[C@@H]1CCCC[N@@H+]1CC(=O)NC(=O)NCc1ccco1 ZINC000386123963 597431619 /nfs/dbraw/zinc/43/16/19/597431619.db2.gz BGFCCCOIWGNSJI-NSHDSACASA-N 0 2 309.322 0.545 20 0 DCADLN O=C([O-])[C@@H]1CCCC[N@H+]1CC(=O)NC(=O)NCc1ccco1 ZINC000386123963 597431620 /nfs/dbraw/zinc/43/16/20/597431620.db2.gz BGFCCCOIWGNSJI-NSHDSACASA-N 0 2 309.322 0.545 20 0 DCADLN CN(C)C(=O)COc1ccccc1C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000820530542 598125124 /nfs/dbraw/zinc/12/51/24/598125124.db2.gz SQAWCHZWJIFYAL-CQSZACIVSA-N 0 2 322.361 0.439 20 0 DCADLN CN(C)C(=O)COc1ccccc1C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000820530542 598125126 /nfs/dbraw/zinc/12/51/26/598125126.db2.gz SQAWCHZWJIFYAL-CQSZACIVSA-N 0 2 322.361 0.439 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C/C(Cl)=C\Cl)c1=O ZINC000821565362 599697177 /nfs/dbraw/zinc/69/71/77/599697177.db2.gz PGFOCSYLSJVKNE-ZZXKWVIFSA-N 0 2 317.136 0.529 20 0 DCADLN CC(C)[C@H](C)NC(=O)C1CC[NH+](Cn2cnc(C(=O)[O-])n2)CC1 ZINC000736352402 599705118 /nfs/dbraw/zinc/70/51/18/599705118.db2.gz CGYUDIZLCJNOAX-NSHDSACASA-N 0 2 323.397 0.807 20 0 DCADLN Cc1ccccc1OCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314057792 599776922 /nfs/dbraw/zinc/77/69/22/599776922.db2.gz IPNJPDDSEWQCHM-LBPRGKRZSA-N 0 2 303.318 0.909 20 0 DCADLN Cc1ccccc1OCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314057792 599776924 /nfs/dbraw/zinc/77/69/24/599776924.db2.gz IPNJPDDSEWQCHM-LBPRGKRZSA-N 0 2 303.318 0.909 20 0 DCADLN O=C([O-])CC1CC[NH+]([C@H]2CC(=O)N(c3cnccn3)C2=O)CC1 ZINC000739636856 599781847 /nfs/dbraw/zinc/78/18/47/599781847.db2.gz KFKSGGQOXUUJHA-NSHDSACASA-N 0 2 318.333 0.295 20 0 DCADLN C[C@H](NC(=S)NCCCC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000736660874 599839124 /nfs/dbraw/zinc/83/91/24/599839124.db2.gz PHMLJNTWZMKIGC-QWRGUYRKSA-N 0 2 303.428 0.425 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)NCC[NH+]2Cc3ccccc3C2)CCO1 ZINC000738546559 600039563 /nfs/dbraw/zinc/03/95/63/600039563.db2.gz FWQZORXIWJOYJW-CQSZACIVSA-N 0 2 319.361 0.497 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000319784585 600105291 /nfs/dbraw/zinc/10/52/91/600105291.db2.gz YWKFMNHQUNSMLZ-GJZGRUSLSA-N 0 2 318.373 0.856 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(C(=O)[O-])cc2F)CC1 ZINC000737116800 600305929 /nfs/dbraw/zinc/30/59/29/600305929.db2.gz ZCBRUDJVCOQEFW-UHFFFAOYSA-N 0 2 323.324 0.627 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@H](C(F)(F)F)C1 ZINC000740057163 600310768 /nfs/dbraw/zinc/31/07/68/600310768.db2.gz RRKNQOSTAYKIPG-AEJSXWLSSA-N 0 2 324.299 0.307 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@H](C(F)(F)F)C1 ZINC000740057163 600310772 /nfs/dbraw/zinc/31/07/72/600310772.db2.gz RRKNQOSTAYKIPG-AEJSXWLSSA-N 0 2 324.299 0.307 20 0 DCADLN COCCCn1cnn(C[N@@H+]2CC[C@@H](C(=O)[O-])C2)c1=S ZINC000737766242 600417795 /nfs/dbraw/zinc/41/77/95/600417795.db2.gz XIZUXPDZMDACPI-SNVBAGLBSA-N 0 2 300.384 0.815 20 0 DCADLN COCCCn1cnn(C[N@H+]2CC[C@@H](C(=O)[O-])C2)c1=S ZINC000737766242 600417797 /nfs/dbraw/zinc/41/77/97/600417797.db2.gz XIZUXPDZMDACPI-SNVBAGLBSA-N 0 2 300.384 0.815 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CCCN(CC(F)(F)F)CC1 ZINC000736209401 600435192 /nfs/dbraw/zinc/43/51/92/600435192.db2.gz UBAPOZUJFSZNLY-SECBINFHSA-N 0 2 311.304 0.146 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CCCN(CC(F)(F)F)CC1 ZINC000736209401 600435194 /nfs/dbraw/zinc/43/51/94/600435194.db2.gz UBAPOZUJFSZNLY-SECBINFHSA-N 0 2 311.304 0.146 20 0 DCADLN CC[C@@H]1C(=O)NCC[N@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000736942324 600436210 /nfs/dbraw/zinc/43/62/10/600436210.db2.gz VOKKYELMPMMKMG-TZMCWYRMSA-N 0 2 322.361 0.335 20 0 DCADLN CC[C@@H]1C(=O)NCC[N@@H+]1C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000736942324 600436212 /nfs/dbraw/zinc/43/62/12/600436212.db2.gz VOKKYELMPMMKMG-TZMCWYRMSA-N 0 2 322.361 0.335 20 0 DCADLN O=C(C[N@H+]1CCC[C@H]1C(=O)[O-])Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000739282527 600439936 /nfs/dbraw/zinc/43/99/36/600439936.db2.gz CMAVBBRDNRUYAC-NSHDSACASA-N 0 2 304.306 0.756 20 0 DCADLN O=C(C[N@@H+]1CCC[C@H]1C(=O)[O-])Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000739282527 600439939 /nfs/dbraw/zinc/43/99/39/600439939.db2.gz CMAVBBRDNRUYAC-NSHDSACASA-N 0 2 304.306 0.756 20 0 DCADLN C[N@H+](CCCN1C(=O)CS/C1=C\C(=O)[O-])Cc1ccon1 ZINC000737438547 600575149 /nfs/dbraw/zinc/57/51/49/600575149.db2.gz VGKJEWJDGVBIQH-GHXNOFRVSA-N 0 2 311.363 0.998 20 0 DCADLN C[N@@H+](CCCN1C(=O)CS/C1=C\C(=O)[O-])Cc1ccon1 ZINC000737438547 600575150 /nfs/dbraw/zinc/57/51/50/600575150.db2.gz VGKJEWJDGVBIQH-GHXNOFRVSA-N 0 2 311.363 0.998 20 0 DCADLN C[C@@H](c1ccc([S@](C)=O)cc1)[N@H+](C)CC(=O)NCC(=O)[O-] ZINC000820199558 601307636 /nfs/dbraw/zinc/30/76/36/601307636.db2.gz BHZPQKFOSLSKFI-CWKPULSASA-N 0 2 312.391 0.618 20 0 DCADLN C[C@@H](c1ccc([S@](C)=O)cc1)[N@@H+](C)CC(=O)NCC(=O)[O-] ZINC000820199558 601307639 /nfs/dbraw/zinc/30/76/39/601307639.db2.gz BHZPQKFOSLSKFI-CWKPULSASA-N 0 2 312.391 0.618 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000832996383 601402469 /nfs/dbraw/zinc/40/24/69/601402469.db2.gz SNQOXMLNQDASQH-UHFFFAOYSA-N 0 2 313.335 0.781 20 0 DCADLN C[C@H]1C[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C[C@@H]1C(=O)[O-] ZINC000828441324 601509050 /nfs/dbraw/zinc/50/90/50/601509050.db2.gz PBTGQDGXYFVQAB-UWVGGRQHSA-N 0 2 323.374 0.661 20 0 DCADLN C[C@H]1C[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C[C@@H]1C(=O)[O-] ZINC000828441324 601509051 /nfs/dbraw/zinc/50/90/51/601509051.db2.gz PBTGQDGXYFVQAB-UWVGGRQHSA-N 0 2 323.374 0.661 20 0 DCADLN COCc1ccccc1CNC(=O)C[N@@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000831822081 601553237 /nfs/dbraw/zinc/55/32/37/601553237.db2.gz PKRNKXURODJIGH-MRXNPFEDSA-N 0 2 324.352 0.948 20 0 DCADLN COCc1ccccc1CNC(=O)C[N@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC000831822081 601553240 /nfs/dbraw/zinc/55/32/40/601553240.db2.gz PKRNKXURODJIGH-MRXNPFEDSA-N 0 2 324.352 0.948 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN(Cc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000826127047 601647515 /nfs/dbraw/zinc/64/75/15/601647515.db2.gz LTUAOUQNDKDLGZ-UHFFFAOYSA-N 0 2 323.368 0.905 20 0 DCADLN CC[C@H]1CN(S(=O)(=O)C[C@H](C)C(=O)[O-])CC[N@@H+]1CCOC ZINC000829152641 601792631 /nfs/dbraw/zinc/79/26/31/601792631.db2.gz YCCIQMLVAXHQGS-RYUDHWBXSA-N 0 2 322.427 0.080 20 0 DCADLN CC[C@H]1CN(S(=O)(=O)C[C@H](C)C(=O)[O-])CC[N@H+]1CCOC ZINC000829152641 601792633 /nfs/dbraw/zinc/79/26/33/601792633.db2.gz YCCIQMLVAXHQGS-RYUDHWBXSA-N 0 2 322.427 0.080 20 0 DCADLN CN(C)c1nc(N)nc(C[NH+]2CCC(CCC(=O)[O-])CC2)n1 ZINC000830647674 601845794 /nfs/dbraw/zinc/84/57/94/601845794.db2.gz SKDFMMZPIUEYLC-UHFFFAOYSA-N 0 2 308.386 0.597 20 0 DCADLN C[C@]1(C(=O)[O-])CC[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000828024295 601940188 /nfs/dbraw/zinc/94/01/88/601940188.db2.gz MPVZVBBZQRVTEQ-AWEZNQCLSA-N 0 2 323.374 0.805 20 0 DCADLN C[C@]1(C(=O)[O-])CC[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000828024295 601940191 /nfs/dbraw/zinc/94/01/91/601940191.db2.gz MPVZVBBZQRVTEQ-AWEZNQCLSA-N 0 2 323.374 0.805 20 0 DCADLN C[N@@H+]1CCO[C@H]2CN(C(=O)CCc3ccc(C(=O)[O-])cc3)C[C@@H]21 ZINC000830963530 602223775 /nfs/dbraw/zinc/22/37/75/602223775.db2.gz CJMBVWKMNHEXAL-GJZGRUSLSA-N 0 2 318.373 0.859 20 0 DCADLN C[N@H+]1CCO[C@H]2CN(C(=O)CCc3ccc(C(=O)[O-])cc3)C[C@@H]21 ZINC000830963530 602223776 /nfs/dbraw/zinc/22/37/76/602223776.db2.gz CJMBVWKMNHEXAL-GJZGRUSLSA-N 0 2 318.373 0.859 20 0 DCADLN C[C@H]1CCN(C(=O)Cn2cc(C(=O)[O-])nn2)C[C@@H]1n1cc[nH+]c1 ZINC000828278934 602349245 /nfs/dbraw/zinc/34/92/45/602349245.db2.gz UASKQJZYCAFQCE-JQWIXIFHSA-N 0 2 318.337 0.283 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000827461412 602377067 /nfs/dbraw/zinc/37/70/67/602377067.db2.gz WTQAWTLJVSBCRS-JTQLQIEISA-N 0 2 310.354 0.566 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000736367256 602521152 /nfs/dbraw/zinc/52/11/52/602521152.db2.gz ZMMJPFKEDUXQAR-TUAOUCFPSA-N 0 2 301.387 0.504 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@H](C)NC(=O)[O-])nc[nH+]1 ZINC000828593826 603092484 /nfs/dbraw/zinc/09/24/84/603092484.db2.gz KDMDNVIJKUPYAO-VHSXEESVSA-N 0 2 322.369 0.259 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@H](C)NC(=O)[O-])[nH+]cn1 ZINC000828593826 603092488 /nfs/dbraw/zinc/09/24/88/603092488.db2.gz KDMDNVIJKUPYAO-VHSXEESVSA-N 0 2 322.369 0.259 20 0 DCADLN Cc1nc(N2CCC[C@H](C(=O)NCCNC(=O)[O-])C2)cc[nH+]1 ZINC000830818172 603183057 /nfs/dbraw/zinc/18/30/57/603183057.db2.gz HZQGEJVBFFOWMD-NSHDSACASA-N 0 2 307.354 0.385 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2CCCC[C@@H]2[C@@H]2CCCN2C(=O)[O-])n1 ZINC000831265493 603552880 /nfs/dbraw/zinc/55/28/80/603552880.db2.gz NDIYQQJITZXXQF-ZJUUUORDSA-N 0 2 321.385 0.533 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2CCCC[C@@H]2[C@@H]2CCCN2C(=O)[O-])n1 ZINC000831265493 603552883 /nfs/dbraw/zinc/55/28/83/603552883.db2.gz NDIYQQJITZXXQF-ZJUUUORDSA-N 0 2 321.385 0.533 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2CCCC[C@H]2[C@H]2CCCN2C(=O)[O-])n1 ZINC000831265492 603552979 /nfs/dbraw/zinc/55/29/79/603552979.db2.gz NDIYQQJITZXXQF-VHSXEESVSA-N 0 2 321.385 0.533 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2CCCC[C@H]2[C@H]2CCCN2C(=O)[O-])n1 ZINC000831265492 603552984 /nfs/dbraw/zinc/55/29/84/603552984.db2.gz NDIYQQJITZXXQF-VHSXEESVSA-N 0 2 321.385 0.533 20 0 DCADLN C[C@@H](CNC(=O)N1CCN(C(=O)[O-])[C@H](C)C1)[NH+]1CCOCC1 ZINC000824929607 603692066 /nfs/dbraw/zinc/69/20/66/603692066.db2.gz FTMJJGITWBIUTC-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN CC(C)[C@@]1(C)NC(=O)N(C[N@@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000824150700 603918811 /nfs/dbraw/zinc/91/88/11/603918811.db2.gz QLHBBBLSNJFRFO-IINYFYTJSA-N 0 2 312.370 0.595 20 0 DCADLN CC(C)[C@@]1(C)NC(=O)N(C[N@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000824150700 603918814 /nfs/dbraw/zinc/91/88/14/603918814.db2.gz QLHBBBLSNJFRFO-IINYFYTJSA-N 0 2 312.370 0.595 20 0 DCADLN O=C([O-])N1CC(OCC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC000831859274 603998033 /nfs/dbraw/zinc/99/80/33/603998033.db2.gz VVCDCTWTDPMNGV-SNVBAGLBSA-N 0 2 308.338 0.495 20 0 DCADLN C[C@H](NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)c1cnn(C)c1 ZINC000825165797 604075223 /nfs/dbraw/zinc/07/52/23/604075223.db2.gz JEVYGGCCEMIFIZ-NSHDSACASA-N 0 2 324.385 0.076 20 0 DCADLN O=C([O-])C1CCN(C(=O)C[N@@H+]2CCOC3(CCCC3)C2)CC1 ZINC000833191210 604364797 /nfs/dbraw/zinc/36/47/97/604364797.db2.gz AKHPXOMLXRQDAW-UHFFFAOYSA-N 0 2 310.394 0.955 20 0 DCADLN O=C([O-])C1CCN(C(=O)C[N@H+]2CCOC3(CCCC3)C2)CC1 ZINC000833191210 604364800 /nfs/dbraw/zinc/36/48/00/604364800.db2.gz AKHPXOMLXRQDAW-UHFFFAOYSA-N 0 2 310.394 0.955 20 0 DCADLN C[N@@H+]1CCN(C(=O)COCc2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828501003 604398007 /nfs/dbraw/zinc/39/80/07/604398007.db2.gz SNMUKXYJUFPASY-AWEZNQCLSA-N 0 2 321.377 0.613 20 0 DCADLN C[N@H+]1CCN(C(=O)COCc2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828501003 604398009 /nfs/dbraw/zinc/39/80/09/604398009.db2.gz SNMUKXYJUFPASY-AWEZNQCLSA-N 0 2 321.377 0.613 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCCn2cccn2)[C@@H](CNC(=O)[O-])C1 ZINC000828493830 604400010 /nfs/dbraw/zinc/40/00/10/604400010.db2.gz DFSWMOUOPLKHHL-LBPRGKRZSA-N 0 2 309.370 0.074 20 0 DCADLN C[N@H+]1CCN(C(=O)CCCn2cccn2)[C@@H](CNC(=O)[O-])C1 ZINC000828493830 604400011 /nfs/dbraw/zinc/40/00/11/604400011.db2.gz DFSWMOUOPLKHHL-LBPRGKRZSA-N 0 2 309.370 0.074 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2nccs2)[C@@H](CNC(=O)[O-])C1 ZINC000828501036 604410503 /nfs/dbraw/zinc/41/05/03/604410503.db2.gz UEMWBFSRZSRFRM-JTQLQIEISA-N 0 2 312.395 0.486 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2nccs2)[C@@H](CNC(=O)[O-])C1 ZINC000828501036 604410505 /nfs/dbraw/zinc/41/05/05/604410505.db2.gz UEMWBFSRZSRFRM-JTQLQIEISA-N 0 2 312.395 0.486 20 0 DCADLN C[N@@H+]1CCN(C(=O)CC[C@H]2CCCCO2)[C@@H](CNC(=O)[O-])C1 ZINC000828493918 604411620 /nfs/dbraw/zinc/41/16/20/604411620.db2.gz FNBXDLVGHBQTAM-QWHCGFSZSA-N 0 2 313.398 0.746 20 0 DCADLN C[N@H+]1CCN(C(=O)CC[C@H]2CCCCO2)[C@@H](CNC(=O)[O-])C1 ZINC000828493918 604411621 /nfs/dbraw/zinc/41/16/21/604411621.db2.gz FNBXDLVGHBQTAM-QWHCGFSZSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC[C@@H]1CC(=O)[O-] ZINC000827460921 604529654 /nfs/dbraw/zinc/52/96/54/604529654.db2.gz GROSRFYBRSPWJA-QWHCGFSZSA-N 0 2 312.414 0.271 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[NH+]2CCN(C(=O)[C@H]3CCCO3)CC2)O1 ZINC000833579784 604823294 /nfs/dbraw/zinc/82/32/94/604823294.db2.gz MUTDPHYDEFGLOO-GXTWGEPZSA-N 0 2 324.377 0.457 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)NCCCCNc2cccc[nH+]2)C1 ZINC000831665596 604839868 /nfs/dbraw/zinc/83/98/68/604839868.db2.gz KYVZOGHCAYHMLN-GFCCVEGCSA-N 0 2 322.365 0.769 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1COc2ccccc21 ZINC000315797166 604899244 /nfs/dbraw/zinc/89/92/44/604899244.db2.gz PCNQJDUPDSWWDX-NEPJUHHUSA-N 0 2 301.302 0.698 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1COc2ccccc21 ZINC000315797166 604899247 /nfs/dbraw/zinc/89/92/47/604899247.db2.gz PCNQJDUPDSWWDX-NEPJUHHUSA-N 0 2 301.302 0.698 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)[C@H](C)NC(=O)[O-] ZINC000830764823 605295589 /nfs/dbraw/zinc/29/55/89/605295589.db2.gz NKGTVMFPROKYSI-JTQLQIEISA-N 0 2 308.338 0.821 20 0 DCADLN O=C([O-])N[C@H](CC(F)F)C(=O)NCCNc1cccc[nH+]1 ZINC000834132265 605308836 /nfs/dbraw/zinc/30/88/36/605308836.db2.gz YESYITCHKQTPQD-MRVPVSSYSA-N 0 2 302.281 0.901 20 0 DCADLN Cc1nc(NC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])oc1C ZINC000830770051 605453657 /nfs/dbraw/zinc/45/36/57/605453657.db2.gz OJYYHKBBBWUZBO-JTQLQIEISA-N 0 2 311.342 0.707 20 0 DCADLN Cc1nc(NC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])oc1C ZINC000830770051 605453659 /nfs/dbraw/zinc/45/36/59/605453659.db2.gz OJYYHKBBBWUZBO-JTQLQIEISA-N 0 2 311.342 0.707 20 0 DCADLN Cc1nc([C@H](C)[NH2+]CC(=O)N2CCN(C(=O)[O-])CC2)cs1 ZINC000830708535 605479158 /nfs/dbraw/zinc/47/91/58/605479158.db2.gz CAAOPUFSBNOUNZ-VIFPVBQESA-N 0 2 312.395 0.924 20 0 DCADLN O=C([O-])N1CC(C(=O)NC2CCN(c3cccc[nH+]3)CC2)C1 ZINC000834021062 605513871 /nfs/dbraw/zinc/51/38/71/605513871.db2.gz YEWWRVPABLWFQF-UHFFFAOYSA-N 0 2 304.350 0.776 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N(C)CCN(C)C(=O)[O-])[NH+]1CCOCC1 ZINC000833813098 605521085 /nfs/dbraw/zinc/52/10/85/605521085.db2.gz PEYQHJPHWGCQCL-NWDGAFQWSA-N 0 2 316.402 0.347 20 0 DCADLN C[C@H](NC(=O)NC(C)(C)CNC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000825155154 605610643 /nfs/dbraw/zinc/61/06/43/605610643.db2.gz AFBAKZACFGFEDC-QWRGUYRKSA-N 0 2 316.402 0.441 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCn1cc[nH+]c1 ZINC000833710401 605665028 /nfs/dbraw/zinc/66/50/28/605665028.db2.gz XQVWXMHCRDFPFS-GHMZBOCLSA-N 0 2 309.322 0.138 20 0 DCADLN CN(CCCNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C(=O)[O-] ZINC000833871896 605933676 /nfs/dbraw/zinc/93/36/76/605933676.db2.gz CTGTUQXDBVOBER-UHFFFAOYSA-N 0 2 319.321 0.389 20 0 DCADLN O=C([O-])N1CCN2C(=O)N(C[N@@H+]3CCOCC4(CC4)C3)C[C@@H]2C1 ZINC000834115693 605946863 /nfs/dbraw/zinc/94/68/63/605946863.db2.gz FLFSORRXXHZKIN-LBPRGKRZSA-N 0 2 324.381 0.156 20 0 DCADLN O=C([O-])N1CCN2C(=O)N(C[N@H+]3CCOCC4(CC4)C3)C[C@@H]2C1 ZINC000834115693 605946865 /nfs/dbraw/zinc/94/68/65/605946865.db2.gz FLFSORRXXHZKIN-LBPRGKRZSA-N 0 2 324.381 0.156 20 0 DCADLN O=C([O-])N1CC(NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834020733 605986822 /nfs/dbraw/zinc/98/68/22/605986822.db2.gz XKRIAEHMEGOZSF-JTQLQIEISA-N 0 2 305.338 0.322 20 0 DCADLN O=C([O-])N[C@@H]1CC[N@H+](CN2C[C@H](c3cccnc3)CC2=O)C1 ZINC000834190573 606023414 /nfs/dbraw/zinc/02/34/14/606023414.db2.gz UQMWOIMDUAGQAR-CHWSQXEVSA-N 0 2 304.350 0.697 20 0 DCADLN O=C([O-])N[C@@H]1CC[N@@H+](CN2C[C@H](c3cccnc3)CC2=O)C1 ZINC000834190573 606023418 /nfs/dbraw/zinc/02/34/18/606023418.db2.gz UQMWOIMDUAGQAR-CHWSQXEVSA-N 0 2 304.350 0.697 20 0 DCADLN O=C([O-])N[C@@H](CC(F)F)C(=O)N[C@H]1CCc2[nH+]ccn2C1 ZINC000834129679 606025404 /nfs/dbraw/zinc/02/54/04/606025404.db2.gz BYOFUUJHAKBMTL-YUMQZZPRSA-N 0 2 302.281 0.606 20 0 DCADLN O=C(NC[C@@H]1CC[N@H+]1C[C@H](O)C1CCCCC1)c1nc[nH]n1 ZINC001038825687 660970133 /nfs/dbraw/zinc/97/01/33/660970133.db2.gz JLTVGVOAASHRAJ-STQMWFEESA-N 0 2 307.398 0.550 20 0 DCADLN O=C(Cc1cccs1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980630657 660971680 /nfs/dbraw/zinc/97/16/80/660971680.db2.gz ZZFYRAOESSAFKD-UHFFFAOYSA-N 0 2 321.406 0.849 20 0 DCADLN Cc1ccc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)n1C ZINC000980703692 660987406 /nfs/dbraw/zinc/98/74/06/660987406.db2.gz LSYBYTAJJMENMC-UHFFFAOYSA-N 0 2 318.381 0.505 20 0 DCADLN C[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)n1cccn1 ZINC000980748228 661000295 /nfs/dbraw/zinc/00/02/95/661000295.db2.gz GBRLWZUSNRNOKJ-LLVKDONJSA-N 0 2 319.369 0.002 20 0 DCADLN CCc1noc(C[NH2+][C@H]2CCC[C@@H](NC(=O)c3nnc[nH]3)C2)n1 ZINC000981397919 661146692 /nfs/dbraw/zinc/14/66/92/661146692.db2.gz CJDZBBKZGLMATF-VHSXEESVSA-N 0 2 319.369 0.581 20 0 DCADLN CCc1noc(C[NH2+][C@H]2CCC[C@@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC000981397919 661146693 /nfs/dbraw/zinc/14/66/93/661146693.db2.gz CJDZBBKZGLMATF-VHSXEESVSA-N 0 2 319.369 0.581 20 0 DCADLN C[C@@H](O)C[N@H+]1CCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC000981472087 661158211 /nfs/dbraw/zinc/15/82/11/661158211.db2.gz DCLWXKLUYBLULX-ZIAGYGMSSA-N 0 2 306.410 0.361 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981600551 661180616 /nfs/dbraw/zinc/18/06/16/661180616.db2.gz SWZIYNUDLRWJHB-QWRGUYRKSA-N 0 2 305.382 0.591 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981600551 661180618 /nfs/dbraw/zinc/18/06/18/661180618.db2.gz SWZIYNUDLRWJHB-QWRGUYRKSA-N 0 2 305.382 0.591 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981658319 661190606 /nfs/dbraw/zinc/19/06/06/661190606.db2.gz HRRWUQZGXZFGSU-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981658319 661190608 /nfs/dbraw/zinc/19/06/08/661190608.db2.gz HRRWUQZGXZFGSU-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@@H]1CCC[N@H+]1CC(=O)N1CCC[NH+](Cc2ccnn2C)CC1 ZINC000981953295 661254480 /nfs/dbraw/zinc/25/44/80/661254480.db2.gz LVYMZCZFUJJVQY-OAHLLOKOSA-N 0 2 319.453 0.939 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1CCn1cc(Cl)cn1)c1ncn[nH]1 ZINC001028210791 661278862 /nfs/dbraw/zinc/27/88/62/661278862.db2.gz GYFLOLSEDLOAKL-LLVKDONJSA-N 0 2 323.788 0.549 20 0 DCADLN Cc1cc(C[N@H+]2CCC[C@@H]2CNC(=O)c2nnc[nH]2)sn1 ZINC001028211474 661279835 /nfs/dbraw/zinc/27/98/35/661279835.db2.gz RIGSSTBPHXJBON-SNVBAGLBSA-N 0 2 306.395 0.964 20 0 DCADLN O=C(Cc1ncc[nH]1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000998391198 665447061 /nfs/dbraw/zinc/44/70/61/665447061.db2.gz BRLFCUOIDMLAEG-VIFPVBQESA-N 0 2 308.235 0.180 20 0 DCADLN C[C@@H](NC(=O)c1ccc(Cl)[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970389452 657466446 /nfs/dbraw/zinc/46/64/46/657466446.db2.gz GJVAVOIDOKWXHL-SSDOTTSWSA-N 0 2 324.772 0.742 20 0 DCADLN Cc1c(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC000970390269 657466978 /nfs/dbraw/zinc/46/69/78/657466978.db2.gz MBNLRNQMNPPDLQ-VIFPVBQESA-N 0 2 318.381 0.408 20 0 DCADLN O=C(c1[nH]nc2ccccc21)N1CC[C@@H]([NH2+][C@@H]2CCNC2=O)C1 ZINC000970617968 657701354 /nfs/dbraw/zinc/70/13/54/657701354.db2.gz ICSIVRJKDUDNBE-ZWNOBZJWSA-N 0 2 313.361 0.256 20 0 DCADLN CSCc1n[nH]c(CNC(=O)N2CC[NH+](C(C)C)CC2)n1 ZINC000891092745 657750983 /nfs/dbraw/zinc/75/09/83/657750983.db2.gz AKYRBIGMLGISPX-UHFFFAOYSA-N 0 2 312.443 0.903 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC000969379928 657787172 /nfs/dbraw/zinc/78/71/72/657787172.db2.gz UWYIBFJOLCMFIQ-ZETCQYMHSA-N 0 2 322.394 0.526 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N=c1nn[n-]n1Cc1ccccc1 ZINC000891838919 657812715 /nfs/dbraw/zinc/81/27/15/657812715.db2.gz XTMODZSAUBXWJE-CYBMUJFWSA-N 0 2 302.382 0.276 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC000969420168 657848795 /nfs/dbraw/zinc/84/87/95/657848795.db2.gz NMVDAWDLLGHLDR-SECBINFHSA-N 0 2 319.369 0.101 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969554266 657981853 /nfs/dbraw/zinc/98/18/53/657981853.db2.gz MASFKNWLWZESFM-SNVBAGLBSA-N 0 2 318.381 0.408 20 0 DCADLN CCC(=O)N1CCC[C@@H](C(=O)NNC(=O)c2ccccn2)C1 ZINC000053058421 658129271 /nfs/dbraw/zinc/12/92/71/658129271.db2.gz DDAYMZHBOWJNFK-LLVKDONJSA-N 0 2 304.350 0.491 20 0 DCADLN C[C@@H](NC(=O)c1cnn[n-]1)C1C[NH+](Cc2ncc(C3CC3)o2)C1 ZINC000970024590 658363814 /nfs/dbraw/zinc/36/38/14/658363814.db2.gz NPIBYJMHGDJBEU-SECBINFHSA-N 0 2 316.365 0.920 20 0 DCADLN CC(C)CC(=O)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972229593 658464672 /nfs/dbraw/zinc/46/46/72/658464672.db2.gz WPXIDOQIIQXDEL-HNNXBMFYSA-N 0 2 323.397 0.360 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=COCCC1 ZINC000972852088 658481809 /nfs/dbraw/zinc/48/18/09/658481809.db2.gz MLYGYAGXPCPUQN-LLVKDONJSA-N 0 2 321.381 0.531 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=COCCC1 ZINC000972852088 658481815 /nfs/dbraw/zinc/48/18/15/658481815.db2.gz MLYGYAGXPCPUQN-LLVKDONJSA-N 0 2 321.381 0.531 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC=CC1 ZINC001024370307 658592246 /nfs/dbraw/zinc/59/22/46/658592246.db2.gz ANVRYSQFACIOHB-LBPRGKRZSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC=CC1 ZINC001024370307 658592255 /nfs/dbraw/zinc/59/22/55/658592255.db2.gz ANVRYSQFACIOHB-LBPRGKRZSA-N 0 2 305.382 0.947 20 0 DCADLN Cn1cnc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)c1 ZINC001011001033 658702103 /nfs/dbraw/zinc/70/21/03/658702103.db2.gz KBRKDYNHJCHDGU-SECBINFHSA-N 0 2 322.262 0.605 20 0 DCADLN Cn1cnc(C(=O)N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)c1 ZINC001011001033 658702108 /nfs/dbraw/zinc/70/21/08/658702108.db2.gz KBRKDYNHJCHDGU-SECBINFHSA-N 0 2 322.262 0.605 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@]1(C)CCCO1 ZINC000177249291 658723922 /nfs/dbraw/zinc/72/39/22/658723922.db2.gz BFLHOFNHQSVJLU-HNNXBMFYSA-N 0 2 305.334 0.986 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000262190348 658725253 /nfs/dbraw/zinc/72/52/53/658725253.db2.gz YIBVTZKBCOEJRV-LBPRGKRZSA-N 0 2 308.382 0.986 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000262190348 658725254 /nfs/dbraw/zinc/72/52/54/658725254.db2.gz YIBVTZKBCOEJRV-LBPRGKRZSA-N 0 2 308.382 0.986 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001011240129 658886059 /nfs/dbraw/zinc/88/60/59/658886059.db2.gz ONDHXLDLDDSMHP-XFWSIPNHSA-N 0 2 324.274 0.450 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001011240129 658886061 /nfs/dbraw/zinc/88/60/61/658886061.db2.gz ONDHXLDLDDSMHP-XFWSIPNHSA-N 0 2 324.274 0.450 20 0 DCADLN O=C(c1cccnn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240388 658894043 /nfs/dbraw/zinc/89/40/43/658894043.db2.gz SICUOYVANPXHAV-VIFPVBQESA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1cccnn1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011240388 658894047 /nfs/dbraw/zinc/89/40/47/658894047.db2.gz SICUOYVANPXHAV-VIFPVBQESA-N 0 2 320.246 0.661 20 0 DCADLN Cc1nn[nH]c1C(=O)N1CC[C@@]2(C1)C[N@H+](CCCF)CCO2 ZINC000972492459 658904725 /nfs/dbraw/zinc/90/47/25/658904725.db2.gz LKEUJUMNFXELRQ-AWEZNQCLSA-N 0 2 311.361 0.390 20 0 DCADLN O=C(N[C@H]1CC[C@@H](C[NH2+]Cc2csnn2)C1)c1cn[nH]n1 ZINC000995002166 665631509 /nfs/dbraw/zinc/63/15/09/665631509.db2.gz BRWLGFAJNIQSPB-BDAKNGLRSA-N 0 2 307.383 0.345 20 0 DCADLN O=C([O-])C1(NC(=O)[C@H]2CCc3c[nH+]cn3C2)CCSCC1 ZINC000909347884 659070738 /nfs/dbraw/zinc/07/07/38/659070738.db2.gz SOEQWMMBHKFSEK-JTQLQIEISA-N 0 2 309.391 0.912 20 0 DCADLN O=C(N[C@H]1CCC[NH+](CCF)C1)[C@@H]1C[N@@H+]2CC3CC2(C3)CO1 ZINC001007987545 659161199 /nfs/dbraw/zinc/16/11/99/659161199.db2.gz CNYPOEVNOSJEPA-WJEHIRDRSA-N 0 2 311.401 0.400 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCC(F)(F)C1 ZINC001038325365 659229056 /nfs/dbraw/zinc/22/90/56/659229056.db2.gz YHFVJIWFKYWXRD-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006718341 659419245 /nfs/dbraw/zinc/41/92/45/659419245.db2.gz ZZAJCXOJPZSWBL-JTQLQIEISA-N 0 2 320.353 0.510 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)c1ccc(C(=O)[O-])nc1 ZINC000308610279 659566939 /nfs/dbraw/zinc/56/69/39/659566939.db2.gz ZTVXTYWSZKEOFE-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)C[N@@H+](CCCO)C[C@@H]1NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000974743295 659652067 /nfs/dbraw/zinc/65/20/67/659652067.db2.gz QNWJJWUACLYDBF-KBPBESRZSA-N 0 2 320.437 0.655 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@]1(C)CCOC1 ZINC000977398259 659677671 /nfs/dbraw/zinc/67/76/71/659677671.db2.gz FGTWYEFLJDGZMA-MEBBXXQBSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000977574719 659765756 /nfs/dbraw/zinc/76/57/56/659765756.db2.gz IGLBUWBFTXYHPE-LLVKDONJSA-N 0 2 318.381 0.787 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000977574719 659765758 /nfs/dbraw/zinc/76/57/58/659765758.db2.gz IGLBUWBFTXYHPE-LLVKDONJSA-N 0 2 318.381 0.787 20 0 DCADLN CCc1cc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001028272231 661378590 /nfs/dbraw/zinc/37/85/90/661378590.db2.gz FVOCIBICVQUVSY-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1nocc1C[N@H+]1CC[C@H](CNC(=O)[C@H]2C[NH+](C)CCO2)C1 ZINC001028460850 661533628 /nfs/dbraw/zinc/53/36/28/661533628.db2.gz DFRABVWIGODNPV-UKRRQHHQSA-N 0 2 322.409 0.252 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ncc(F)cc1F ZINC001038410144 661848421 /nfs/dbraw/zinc/84/84/21/661848421.db2.gz KFOAFPLICYULOE-QMMMGPOBSA-N 0 2 324.291 0.188 20 0 DCADLN CS[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029222303 662054524 /nfs/dbraw/zinc/05/45/24/662054524.db2.gz TYQPKZWPXVWDBS-BBBLOLIVSA-N 0 2 311.411 0.437 20 0 DCADLN CC1CC(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)C1 ZINC001029267264 662072794 /nfs/dbraw/zinc/07/27/94/662072794.db2.gz VOTYVCJSZBDTLP-CAODYFQJSA-N 0 2 305.382 0.732 20 0 DCADLN C[C@@H]1CCC[NH+]1CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001029473694 662266177 /nfs/dbraw/zinc/26/61/77/662266177.db2.gz RTUGMGDEDNULKZ-KFWWJZLASA-N 0 2 322.453 0.234 20 0 DCADLN CC(C)[C@@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029534488 662364033 /nfs/dbraw/zinc/36/40/33/662364033.db2.gz LQGGGRZLBNHJFV-RNJOBUHISA-N 0 2 319.409 0.978 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)[C@H]3CCc4c[nH+]cn4C3)C[C@H]1COCC2 ZINC000909561896 662365804 /nfs/dbraw/zinc/36/58/04/662365804.db2.gz DEDFYZQYKUVGIY-MQIPJXDCSA-N 0 2 319.361 0.395 20 0 DCADLN C[NH+](Cc1nncs1)C[C@@H]1CCN(C(=O)[C@@H]2CCC[N@H+]2C)C1 ZINC001029675628 662448899 /nfs/dbraw/zinc/44/88/99/662448899.db2.gz RUCHETPWVGGLDF-STQMWFEESA-N 0 2 323.466 0.913 20 0 DCADLN COC[C@H](NC(=O)[C@@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000909661348 662543310 /nfs/dbraw/zinc/54/33/10/662543310.db2.gz HHZCISXQZBEGTI-QNWHQSFQSA-N 0 2 316.398 0.336 20 0 DCADLN COC[C@H](NC(=O)[C@@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000909661348 662543311 /nfs/dbraw/zinc/54/33/11/662543311.db2.gz HHZCISXQZBEGTI-QNWHQSFQSA-N 0 2 316.398 0.336 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2nc3ccccn3n2)nc1Cl ZINC000163335094 662546799 /nfs/dbraw/zinc/54/67/99/662546799.db2.gz RBVDILMXJGZVSJ-UHFFFAOYSA-N 0 2 312.742 0.917 20 0 DCADLN C[C@@H]1[C@@H]([NH2+]Cc2ccn(C)n2)CCN1C(=O)CCc1nc[nH]n1 ZINC000986118681 662557728 /nfs/dbraw/zinc/55/77/28/662557728.db2.gz VJMPYNXZDBMZLY-YPMHNXCESA-N 0 2 317.397 0.250 20 0 DCADLN C[C@@H]1[C@@H]([NH2+]CC(=O)N2CCC2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC000986209071 662569642 /nfs/dbraw/zinc/56/96/42/662569642.db2.gz CDLRDGGDGHZQMK-OLZOCXBDSA-N 0 2 319.409 0.154 20 0 DCADLN C[C@@H]1C[N@@H+](C2CC2)C[C@H]1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866953055 662662144 /nfs/dbraw/zinc/66/21/44/662662144.db2.gz FYMGIXRVEMDJRT-ZYHUDNBSSA-N 0 2 321.468 0.565 20 0 DCADLN C[C@@H]1C[N@H+](C2CC2)C[C@H]1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866953055 662662145 /nfs/dbraw/zinc/66/21/45/662662145.db2.gz FYMGIXRVEMDJRT-ZYHUDNBSSA-N 0 2 321.468 0.565 20 0 DCADLN CC(C)Nc1nc(N2CCC[C@@H](C(=O)[O-])C2)nc(NCCO)[nH+]1 ZINC000263401702 662683618 /nfs/dbraw/zinc/68/36/18/662683618.db2.gz JGUVEMORVWOYSP-SNVBAGLBSA-N 0 2 324.385 0.397 20 0 DCADLN O=C(c1ncc[nH]1)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000940971257 665898255 /nfs/dbraw/zinc/89/82/55/665898255.db2.gz ARWVWKMUYRQLOV-QMMMGPOBSA-N 0 2 322.262 0.985 20 0 DCADLN O=C(c1ncc[nH]1)N1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000940971257 665898257 /nfs/dbraw/zinc/89/82/57/665898257.db2.gz ARWVWKMUYRQLOV-QMMMGPOBSA-N 0 2 322.262 0.985 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnsn1 ZINC000990337874 663034768 /nfs/dbraw/zinc/03/47/68/663034768.db2.gz SWZZCYQKKNRLLV-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnsn1 ZINC000990337874 663034769 /nfs/dbraw/zinc/03/47/69/663034769.db2.gz SWZZCYQKKNRLLV-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2csc(NC3CC3)n2)C(=O)N1C ZINC000899033311 663119669 /nfs/dbraw/zinc/11/96/69/663119669.db2.gz XLXKEUZWUKQZJZ-ZCFIWIBFSA-N 0 2 309.351 0.645 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cnn3c2C[C@H](C)CC3)C(=O)N1C ZINC000899039365 663120275 /nfs/dbraw/zinc/12/02/75/663120275.db2.gz DIDVXUAHYSQNAQ-BDAKNGLRSA-N 0 2 305.338 0.393 20 0 DCADLN O=C(NCCN1CCC2(C1)OCCO2)C(F)C(F)(F)F ZINC000899062017 663122122 /nfs/dbraw/zinc/12/21/22/663122122.db2.gz LUBPVKOCWKICHV-MRVPVSSYSA-N 0 2 300.252 0.452 20 0 DCADLN O=C(Cc1ccn(CC(F)(F)F)n1)N1CC2CC1CS2=O ZINC000899214085 663130526 /nfs/dbraw/zinc/13/05/26/663130526.db2.gz QYIMKBHXCVAMIO-SWHJWPDWSA-N 0 2 321.324 0.720 20 0 DCADLN Cc1noc(C[NH2+]C2CCN(C(=O)CCc3nc[nH]n3)CC2)n1 ZINC000990827941 663211881 /nfs/dbraw/zinc/21/18/81/663211881.db2.gz UVRFPNCVQHBWSK-UHFFFAOYSA-N 0 2 319.369 0.210 20 0 DCADLN CCOC(=O)[C@@H]1C[C@H]1Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000900633275 663264642 /nfs/dbraw/zinc/26/46/42/663264642.db2.gz RIZZSFCXSIORSB-IONNQARKSA-N 0 2 320.271 0.656 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N(CC(=O)[O-])CC3CC3)[nH+]cn2)C1 ZINC000900969641 663296530 /nfs/dbraw/zinc/29/65/30/663296530.db2.gz ARBNPFJVNAFKBL-YPMHNXCESA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N(CC(=O)[O-])CC3CC3)nc[nH+]2)C1 ZINC000900969641 663296531 /nfs/dbraw/zinc/29/65/31/663296531.db2.gz ARBNPFJVNAFKBL-YPMHNXCESA-N 0 2 320.393 0.985 20 0 DCADLN O=C([O-])CN(CC1CC1)c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000900970958 663296745 /nfs/dbraw/zinc/29/67/45/663296745.db2.gz SVTBDISGRBIYON-CYBMUJFWSA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])CN(CC1CC1)c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000900970958 663296746 /nfs/dbraw/zinc/29/67/46/663296746.db2.gz SVTBDISGRBIYON-CYBMUJFWSA-N 0 2 320.393 0.986 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(F)c1F ZINC001031934518 665950264 /nfs/dbraw/zinc/95/02/64/665950264.db2.gz OFFLAAJEWYKTJL-UHFFFAOYSA-N 0 2 323.303 0.650 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000901572453 663352920 /nfs/dbraw/zinc/35/29/20/663352920.db2.gz OXEISTUJYANHFR-BDAKNGLRSA-N 0 2 321.255 0.689 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)Nc1cccc2c1OCCO2 ZINC000901607848 663355393 /nfs/dbraw/zinc/35/53/93/663355393.db2.gz WPWLLHRMPUJBFW-UHFFFAOYSA-N 0 2 306.365 0.842 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)CCOC(C)C)nn1C ZINC000901679439 663362069 /nfs/dbraw/zinc/36/20/69/663362069.db2.gz OBFDMNFXQMKTFF-UHFFFAOYSA-N 0 2 305.356 0.373 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC000901968567 663376160 /nfs/dbraw/zinc/37/61/60/663376160.db2.gz WGUWKZDNCRMLCO-USEMIUTHSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC000901968565 663376206 /nfs/dbraw/zinc/37/62/06/663376206.db2.gz WGUWKZDNCRMLCO-MZBZXASESA-N 0 2 308.334 0.828 20 0 DCADLN Cc1nc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)co1 ZINC000991002842 663412721 /nfs/dbraw/zinc/41/27/21/663412721.db2.gz YZZFSNVNMJCNLY-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)co1 ZINC000991002842 663412723 /nfs/dbraw/zinc/41/27/23/663412723.db2.gz YZZFSNVNMJCNLY-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN CCN1C(=O)CN(CN2CCOC[C@@H]2C[C@@H]2CCCO2)C1=O ZINC000902612320 663422427 /nfs/dbraw/zinc/42/24/27/663422427.db2.gz YNYGZJSBNDIZOE-STQMWFEESA-N 0 2 311.382 0.498 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cnc(C)cn1)[C@H]1CCOC1 ZINC000903656736 663473341 /nfs/dbraw/zinc/47/33/41/663473341.db2.gz RRMCHSJANLDOFU-WDEREUQCSA-N 0 2 301.368 0.578 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2ccnn2C2CC2)cn1 ZINC000903680290 663473612 /nfs/dbraw/zinc/47/36/12/663473612.db2.gz YKSXTGASZNQKNI-UHFFFAOYSA-N 0 2 311.367 0.862 20 0 DCADLN COCc1ccnc(NS(=O)(=O)c2cnc3n2CCC3)n1 ZINC000903675139 663473681 /nfs/dbraw/zinc/47/36/81/663473681.db2.gz TYJHMMRNUMCCGZ-UHFFFAOYSA-N 0 2 309.351 0.567 20 0 DCADLN CC(=O)NC[C@H]1C[N@@H+]([C@H](CCc2ccccc2)C(=O)[O-])CCO1 ZINC000903891358 663490379 /nfs/dbraw/zinc/49/03/79/663490379.db2.gz NEXBALLAPCVUGH-JKSUJKDBSA-N 0 2 320.389 0.909 20 0 DCADLN CC(=O)NC[C@H]1C[N@H+]([C@H](CCc2ccccc2)C(=O)[O-])CCO1 ZINC000903891358 663490380 /nfs/dbraw/zinc/49/03/80/663490380.db2.gz NEXBALLAPCVUGH-JKSUJKDBSA-N 0 2 320.389 0.909 20 0 DCADLN COC[C@@](C)([NH2+]Cc1ccc(S(C)(=O)=O)s1)C(=O)[O-] ZINC000904009582 663500720 /nfs/dbraw/zinc/50/07/20/663500720.db2.gz FTICLBWPQXOTPK-LLVKDONJSA-N 0 2 307.393 0.731 20 0 DCADLN Cc1cc(C)c(S(=O)(=O)NCC[NH+]2CCC2)cc1C(=O)[O-] ZINC000906903736 663650119 /nfs/dbraw/zinc/65/01/19/663650119.db2.gz PPKJBABVGOMYQO-UHFFFAOYSA-N 0 2 312.391 0.986 20 0 DCADLN Cc1cc(F)c(C(=O)[O-])cc1S(=O)(=O)NCC[NH+]1CCC1 ZINC000906904754 663650125 /nfs/dbraw/zinc/65/01/25/663650125.db2.gz XPXKYEFOKIQNRX-UHFFFAOYSA-N 0 2 316.354 0.816 20 0 DCADLN O=C([O-])C1(NC(=O)NCCCn2cc[nH+]c2)CCSCC1 ZINC000908739114 663767519 /nfs/dbraw/zinc/76/75/19/663767519.db2.gz QFHQOWVJBJIWNN-UHFFFAOYSA-N 0 2 312.395 0.923 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000908849335 663772129 /nfs/dbraw/zinc/77/21/29/663772129.db2.gz OCCWIYOXNCHGEU-UHFFFAOYSA-N 0 2 317.345 0.974 20 0 DCADLN CCOC[C@H](C(=O)[O-])N(C)C(=O)NCCCCn1cc[nH+]c1 ZINC000908862150 663772622 /nfs/dbraw/zinc/77/26/22/663772622.db2.gz MFYYMYDAKHMOIV-GFCCVEGCSA-N 0 2 312.370 0.794 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)[C@@H]2CCn3c[nH+]cc3C2)CCCOC1 ZINC000909693148 663796919 /nfs/dbraw/zinc/79/69/19/663796919.db2.gz PESPYANMAMNJKK-IAQYHMDHSA-N 0 2 307.350 0.586 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000910237477 663858278 /nfs/dbraw/zinc/85/82/78/663858278.db2.gz UEYGAKXINBKVAI-YNEHKIRRSA-N 0 2 321.377 0.832 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCSCC2)nc[nH+]1 ZINC000910401293 663877320 /nfs/dbraw/zinc/87/73/20/663877320.db2.gz CCUOVEBQEILBEN-UHFFFAOYSA-N 0 2 312.395 0.567 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCSCC2)[nH+]cn1 ZINC000910401293 663877323 /nfs/dbraw/zinc/87/73/23/663877323.db2.gz CCUOVEBQEILBEN-UHFFFAOYSA-N 0 2 312.395 0.567 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000910403100 663877820 /nfs/dbraw/zinc/87/78/20/663877820.db2.gz ZWHQLBZECGVJEF-LBPRGKRZSA-N 0 2 324.381 0.365 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000910403100 663877822 /nfs/dbraw/zinc/87/78/22/663877822.db2.gz ZWHQLBZECGVJEF-LBPRGKRZSA-N 0 2 324.381 0.365 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000910594580 663910716 /nfs/dbraw/zinc/91/07/16/663910716.db2.gz IFXHQOFEQNGNIH-QWHCGFSZSA-N 0 2 321.377 0.900 20 0 DCADLN C[C@@H]1CCN(C(=O)Cn2cc(C(=O)[O-])cn2)C[C@@H]1n1cc[nH+]c1 ZINC000910688013 663924137 /nfs/dbraw/zinc/92/41/37/663924137.db2.gz RAFCOBYJXPJZRM-YPMHNXCESA-N 0 2 317.349 0.888 20 0 DCADLN Cc1ccc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000991286586 663947560 /nfs/dbraw/zinc/94/75/60/663947560.db2.gz LTQVMFUJJIJXEL-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ccc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC000991286586 663947561 /nfs/dbraw/zinc/94/75/61/663947561.db2.gz LTQVMFUJJIJXEL-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cccc(C(=O)[O-])n1 ZINC000910986432 663965439 /nfs/dbraw/zinc/96/54/39/663965439.db2.gz GTXFNMGHJCVPCX-NSHDSACASA-N 0 2 307.350 0.620 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)c1cccnc1 ZINC000910991423 663966525 /nfs/dbraw/zinc/96/65/25/663966525.db2.gz LDTWPVCTJVINDC-AAEUAGOBSA-N 0 2 300.318 0.783 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CCC(n3cc[nH+]c3)CC2)O[C@H]1C(=O)[O-] ZINC000911313254 664031149 /nfs/dbraw/zinc/03/11/49/664031149.db2.gz FINXWAFDXOXWJJ-RAIGVLPGSA-N 0 2 307.350 0.925 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1[C@H](CO)CC[C@H]1CO ZINC000912228451 664153646 /nfs/dbraw/zinc/15/36/46/664153646.db2.gz NQNGSBBDIOWTRM-STQMWFEESA-N 0 2 317.345 0.536 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1nnc(CC)o1 ZINC000912560755 664185875 /nfs/dbraw/zinc/18/58/75/664185875.db2.gz USVBGLTZZVOAAM-UHFFFAOYSA-N 0 2 315.311 0.333 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3oc(=S)[n-]c3c2)[C@@H](CO)C1 ZINC000912739359 664215489 /nfs/dbraw/zinc/21/54/89/664215489.db2.gz XNJHNBIMWLCIPZ-SNVBAGLBSA-N 0 2 307.375 0.865 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3oc(=S)[n-]c3c2)[C@@H](CO)C1 ZINC000912739359 664215491 /nfs/dbraw/zinc/21/54/91/664215491.db2.gz XNJHNBIMWLCIPZ-SNVBAGLBSA-N 0 2 307.375 0.865 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cnn(C)c2-n2cccc2)C(=O)N1C ZINC000912821907 664233215 /nfs/dbraw/zinc/23/32/15/664233215.db2.gz NPFZDJSLMWUSOU-VIFPVBQESA-N 0 2 316.321 0.138 20 0 DCADLN CC[C@@H]1CCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950099107 664493181 /nfs/dbraw/zinc/49/31/81/664493181.db2.gz GOSAZQLQAONWMW-VXGBXAGGSA-N 0 2 307.398 0.981 20 0 DCADLN COCc1cccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030625764 664610327 /nfs/dbraw/zinc/61/03/27/664610327.db2.gz AUAFQURTKCMQNY-UHFFFAOYSA-N 0 2 317.349 0.271 20 0 DCADLN Cc1cccc([C@@H](C)C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031025745 664758712 /nfs/dbraw/zinc/75/87/12/664758712.db2.gz IHIQGQVEFLBTLH-LLVKDONJSA-N 0 2 315.377 0.923 20 0 DCADLN O=C(NCc1ccccc1C[NH+]1CCOCC1)c1cc(=O)[nH][n-]1 ZINC000731864031 664887105 /nfs/dbraw/zinc/88/71/05/664887105.db2.gz JGMYNOXRSHYBRH-UHFFFAOYSA-N 0 2 316.361 0.011 20 0 DCADLN CC[C@H]1CO[C@H](C)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000731962333 664895228 /nfs/dbraw/zinc/89/52/28/664895228.db2.gz JMBOJBOXHKVWDZ-ZJUUUORDSA-N 0 2 305.338 0.097 20 0 DCADLN CN1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)c2ccccc21 ZINC000732027301 664898432 /nfs/dbraw/zinc/89/84/32/664898432.db2.gz DDAKCBWSHLJXSN-UHFFFAOYSA-N 0 2 324.344 0.554 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C2=CCOCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993218635 664904994 /nfs/dbraw/zinc/90/49/94/664904994.db2.gz BVMKKEVDBMHBQI-ZYHUDNBSSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C2=CCOCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993218635 664904997 /nfs/dbraw/zinc/90/49/97/664904997.db2.gz BVMKKEVDBMHBQI-ZYHUDNBSSA-N 0 2 321.381 0.326 20 0 DCADLN COc1ccccc1C[NH+]1CCN(C(=O)c2cc(=O)[nH][n-]2)CC1 ZINC000732285503 664912040 /nfs/dbraw/zinc/91/20/40/664912040.db2.gz IJYVZTKKEAJQIV-UHFFFAOYSA-N 0 2 316.361 0.215 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cncnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993257608 664917354 /nfs/dbraw/zinc/91/73/54/664917354.db2.gz FLZDYWQIYZRUDW-GXSJLCMTSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2n[nH]cc2F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993743039 664998392 /nfs/dbraw/zinc/99/83/92/664998392.db2.gz OXCVGYFJSFOKHH-IONNQARKSA-N 0 2 323.332 0.155 20 0 DCADLN Cc1conc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000994184908 665046822 /nfs/dbraw/zinc/04/68/22/665046822.db2.gz XRHFUSUWBFHMFR-UWVGGRQHSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1cccnc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031606269 665226112 /nfs/dbraw/zinc/22/61/12/665226112.db2.gz DQVCCFNXYXVHSQ-UHFFFAOYSA-N 0 2 302.338 0.076 20 0 DCADLN CC[C@H]1OCCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031721656 665329162 /nfs/dbraw/zinc/32/91/62/665329162.db2.gz HDHUCYZAVUXMTK-NWDGAFQWSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000997758475 665333780 /nfs/dbraw/zinc/33/37/80/665333780.db2.gz WNJXSUOCVCTNSI-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000997758475 665333783 /nfs/dbraw/zinc/33/37/83/665333783.db2.gz WNJXSUOCVCTNSI-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN O=C(Cn1cncn1)NNC(=O)c1cc(-c2ccccc2)on1 ZINC000068119139 665399188 /nfs/dbraw/zinc/39/91/88/665399188.db2.gz CMXYJVUENXVJAV-UHFFFAOYSA-N 0 2 312.289 0.394 20 0 DCADLN Cc1ccnc(C[NH+]2CCC(CNC(=O)c3nnc[nH]3)CC2)n1 ZINC001001953161 666114295 /nfs/dbraw/zinc/11/42/95/666114295.db2.gz UINSTBGVEUZJIZ-UHFFFAOYSA-N 0 2 315.381 0.545 20 0 DCADLN Cc1[nH]ccc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002568350 666190713 /nfs/dbraw/zinc/19/07/13/666190713.db2.gz NDCJRTWLXPDDOE-UHFFFAOYSA-N 0 2 304.354 0.541 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCCO1 ZINC001002614483 666198599 /nfs/dbraw/zinc/19/85/99/666198599.db2.gz FNGVJUAIQOWOAH-NSHDSACASA-N 0 2 309.370 0.160 20 0 DCADLN Cc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1 ZINC001032064634 666248047 /nfs/dbraw/zinc/24/80/47/666248047.db2.gz XXOMTEIVXOQJKG-UHFFFAOYSA-N 0 2 302.338 0.076 20 0 DCADLN CC(C)c1oncc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032099108 666309163 /nfs/dbraw/zinc/30/91/63/666309163.db2.gz XETDZHWOJCEAJZ-UHFFFAOYSA-N 0 2 320.353 0.484 20 0 DCADLN Cc1coc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001003469538 666315766 /nfs/dbraw/zinc/31/57/66/666315766.db2.gz VCKSVYVZGUJRDF-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN C[C@H]1CC[C@H](CC(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001003556372 666327306 /nfs/dbraw/zinc/32/73/06/666327306.db2.gz NFBBEMHCLIBGHQ-CMPLNLGQSA-N 0 2 323.397 0.549 20 0 DCADLN O=C(Cc1ccco1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003823185 666369565 /nfs/dbraw/zinc/36/95/65/666369565.db2.gz FPTUUPCOUAWVQT-UHFFFAOYSA-N 0 2 305.338 0.427 20 0 DCADLN CN(C(=O)c1ccn(C)c1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033006883 666425122 /nfs/dbraw/zinc/42/51/22/666425122.db2.gz XATNCEQGYXVRBL-NSHDSACASA-N 0 2 304.354 0.195 20 0 DCADLN CN(C(=O)c1ccn(C)c1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033006883 666425124 /nfs/dbraw/zinc/42/51/24/666425124.db2.gz XATNCEQGYXVRBL-NSHDSACASA-N 0 2 304.354 0.195 20 0 DCADLN CN(C(=O)[C@@H]1CCCOCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033040789 666450834 /nfs/dbraw/zinc/45/08/34/666450834.db2.gz AEAKKUKAQRBPFV-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@@H]1CCCOCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033040789 666450836 /nfs/dbraw/zinc/45/08/36/666450836.db2.gz AEAKKUKAQRBPFV-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(c1ccccn1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032248992 666591553 /nfs/dbraw/zinc/59/15/53/666591553.db2.gz SAACVTPTBWIFRQ-UWVGGRQHSA-N 0 2 300.322 0.004 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001033184015 666610151 /nfs/dbraw/zinc/61/01/51/666610151.db2.gz CDLDJXCCVBOITE-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001033184015 666610152 /nfs/dbraw/zinc/61/01/52/666610152.db2.gz CDLDJXCCVBOITE-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001032274866 666652083 /nfs/dbraw/zinc/65/20/83/666652083.db2.gz PGDIGPRUBDPIGE-QWRGUYRKSA-N 0 2 317.349 0.819 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001033410111 666703344 /nfs/dbraw/zinc/70/33/44/666703344.db2.gz NXXVKWLDESJORJ-GHMZBOCLSA-N 0 2 319.365 0.940 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001033410111 666703346 /nfs/dbraw/zinc/70/33/46/666703346.db2.gz NXXVKWLDESJORJ-GHMZBOCLSA-N 0 2 319.365 0.940 20 0 DCADLN Cc1cnoc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033552092 666754750 /nfs/dbraw/zinc/75/47/50/666754750.db2.gz XYCJSYFAQONYHN-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@H]1C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033984861 666883628 /nfs/dbraw/zinc/88/36/28/666883628.db2.gz AVTPANLTXLUPEI-RWMBFGLXSA-N 0 2 319.409 0.979 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@H]1C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033984861 666883630 /nfs/dbraw/zinc/88/36/30/666883630.db2.gz AVTPANLTXLUPEI-RWMBFGLXSA-N 0 2 319.409 0.979 20 0 DCADLN CCN(C(=O)c1oncc1C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034008185 666897362 /nfs/dbraw/zinc/89/73/62/666897362.db2.gz SPXIDKGVNJRXGW-SNVBAGLBSA-N 0 2 320.353 0.543 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccc[nH]1 ZINC001034108203 666923903 /nfs/dbraw/zinc/92/39/03/666923903.db2.gz LYVILMYOJXIBDM-SNVBAGLBSA-N 0 2 304.354 0.623 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccc[nH]1 ZINC001034108203 666923904 /nfs/dbraw/zinc/92/39/04/666923904.db2.gz LYVILMYOJXIBDM-SNVBAGLBSA-N 0 2 304.354 0.623 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccn[nH]1 ZINC001034118899 666926664 /nfs/dbraw/zinc/92/66/64/666926664.db2.gz ZCECQYUAWSJRCD-VIFPVBQESA-N 0 2 305.342 0.018 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccn[nH]1 ZINC001034118899 666926667 /nfs/dbraw/zinc/92/66/67/666926667.db2.gz ZCECQYUAWSJRCD-VIFPVBQESA-N 0 2 305.342 0.018 20 0 DCADLN O=C(C[C@@H]1CCOC1)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034146120 666937655 /nfs/dbraw/zinc/93/76/55/666937655.db2.gz UGFHYJZXWNBVPS-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@@H]1CCOC1)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034146120 666937657 /nfs/dbraw/zinc/93/76/57/666937657.db2.gz UGFHYJZXWNBVPS-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN Cn1cncc1C(=O)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034292496 666980288 /nfs/dbraw/zinc/98/02/88/666980288.db2.gz FWWWSVNTPMRSBD-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN Cn1cncc1C(=O)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034292496 666980290 /nfs/dbraw/zinc/98/02/90/666980290.db2.gz FWWWSVNTPMRSBD-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1n[nH]cc1F ZINC001034379411 667007545 /nfs/dbraw/zinc/00/75/45/667007545.db2.gz CLHSXCNEAFWIHH-MRVPVSSYSA-N 0 2 323.332 0.157 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1nccs1 ZINC001034461821 667029217 /nfs/dbraw/zinc/02/92/17/667029217.db2.gz QCHUZGUPVRMIFC-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H]2c2ccc(=O)[nH]n2)S1 ZINC000863054602 667111023 /nfs/dbraw/zinc/11/10/23/667111023.db2.gz CKBBDENEKACMGL-IUCAKERBSA-N 0 2 321.362 0.402 20 0 DCADLN CC1(C(=O)CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCC1 ZINC000863063953 667111688 /nfs/dbraw/zinc/11/16/88/667111688.db2.gz OPOQFTWDXUYKOW-UHFFFAOYSA-N 0 2 317.349 0.337 20 0 DCADLN C[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccns1 ZINC000863608956 667161689 /nfs/dbraw/zinc/16/16/89/667161689.db2.gz RVGVEBIKYDTVMN-SSDOTTSWSA-N 0 2 304.335 0.405 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]c1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001005747320 667206777 /nfs/dbraw/zinc/20/67/77/667206777.db2.gz UDTLCHBSFPRYII-HZGVNTEJSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]c1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001005747320 667206781 /nfs/dbraw/zinc/20/67/81/667206781.db2.gz UDTLCHBSFPRYII-HZGVNTEJSA-N 0 2 322.262 0.887 20 0 DCADLN Cc1nnc(CN2C[C@@H]3C[C@H]2CN3C(=O)C(F)C(F)(F)F)[nH]1 ZINC001032416418 667216902 /nfs/dbraw/zinc/21/69/02/667216902.db2.gz FFTMSBPAJOHRMX-OYNCUSHFSA-N 0 2 321.278 0.799 20 0 DCADLN Cc1cccnc1CN1CCO[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001035429744 667332790 /nfs/dbraw/zinc/33/27/90/667332790.db2.gz IROCLNIBBFHSKJ-LBPRGKRZSA-N 0 2 316.365 0.139 20 0 DCADLN Cc1coc(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)c1 ZINC001035480569 667354078 /nfs/dbraw/zinc/35/40/78/667354078.db2.gz FYPSWJRDJGFUFO-JTQLQIEISA-N 0 2 321.337 0.043 20 0 DCADLN O=C(c1cc[nH]c1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035712686 667402919 /nfs/dbraw/zinc/40/29/19/667402919.db2.gz YWPUPNWQABMAES-UHFFFAOYSA-N 0 2 316.365 0.577 20 0 DCADLN CN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005863204 667456980 /nfs/dbraw/zinc/45/69/80/667456980.db2.gz AFFCFODSGWVFSD-GDNZZTSVSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@H]1OCC[C@H]1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006202344 667580638 /nfs/dbraw/zinc/58/06/38/667580638.db2.gz LZRQFNAYPNFRNK-ZYHUDNBSSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1nc(C(=O)NCC[N@@H+]2CCOCC2(C)C)ccc1C(=O)[O-] ZINC000738345821 667667708 /nfs/dbraw/zinc/66/77/08/667667708.db2.gz ZWLVOJYZLHYLRW-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN Cc1nc(C(=O)NCC[N@H+]2CCOCC2(C)C)ccc1C(=O)[O-] ZINC000738345821 667667710 /nfs/dbraw/zinc/66/77/10/667667710.db2.gz ZWLVOJYZLHYLRW-UHFFFAOYSA-N 0 2 321.377 0.929 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC(c2ccccc2)C1 ZINC000869808123 667683909 /nfs/dbraw/zinc/68/39/09/667683909.db2.gz UXVKQUUPOGLSQC-UHFFFAOYSA-N 0 2 309.329 0.697 20 0 DCADLN COc1cc(NS(=O)(=O)c2cnn(CC(F)F)c2)n(C)n1 ZINC000886447258 667768740 /nfs/dbraw/zinc/76/87/40/667768740.db2.gz CMFJSDCGQNANEA-UHFFFAOYSA-N 0 2 321.309 0.691 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1C[C@H]1Cc1ccccc1 ZINC000741625820 667773623 /nfs/dbraw/zinc/77/36/23/667773623.db2.gz AEXDOLKZVINBKR-OCCSQVGLSA-N 0 2 323.356 0.819 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(CC(N)=O)cc2)S1 ZINC000742532087 667793134 /nfs/dbraw/zinc/79/31/34/667793134.db2.gz ISFRWVOFTJFLTB-SECBINFHSA-N 0 2 306.347 0.209 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCC(=O)N2CCCCC2)S1 ZINC000742552458 667793649 /nfs/dbraw/zinc/79/36/49/667793649.db2.gz SJFDYIGBBCNROE-SECBINFHSA-N 0 2 312.395 0.062 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2COc3ccccc3C2)S1 ZINC000742540648 667793882 /nfs/dbraw/zinc/79/38/82/667793882.db2.gz OKDGMMOSXVQTEG-KOLCDFICSA-N 0 2 305.359 0.663 20 0 DCADLN COC(=O)CCN(CC(C)C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742561006 667793896 /nfs/dbraw/zinc/79/38/96/667793896.db2.gz NPOJEYSLURUAEG-SECBINFHSA-N 0 2 315.395 0.591 20 0 DCADLN C[C@H](COCC(F)(F)F)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742590310 667794341 /nfs/dbraw/zinc/79/43/41/667794341.db2.gz QGTDWDKALWAIRE-RITPCOANSA-N 0 2 313.301 0.626 20 0 DCADLN CC1(C)[C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)[C@@H]2CCCO[C@@H]21 ZINC000742707792 667798346 /nfs/dbraw/zinc/79/83/46/667798346.db2.gz POVUIUUFFFRUTP-HLFFCZDVSA-N 0 2 311.407 0.863 20 0 DCADLN CCc1cnc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000742805135 667799315 /nfs/dbraw/zinc/79/93/15/667799315.db2.gz QCYQGTLXNAOLAF-UHFFFAOYSA-N 0 2 318.362 0.407 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCNC(=O)CC12CCOCC2 ZINC000871367487 667810029 /nfs/dbraw/zinc/81/00/29/667810029.db2.gz ZJBFZCBXWZGLRJ-SECBINFHSA-N 0 2 312.263 0.785 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCNC(=O)CC12CCOCC2 ZINC000871367487 667810032 /nfs/dbraw/zinc/81/00/32/667810032.db2.gz ZJBFZCBXWZGLRJ-SECBINFHSA-N 0 2 312.263 0.785 20 0 DCADLN O=C(NC[C@@]12COC(=O)N1CCOC2)C(F)C(F)(F)F ZINC000871407199 667817310 /nfs/dbraw/zinc/81/73/10/667817310.db2.gz HXGODAXPFHJUJC-HZGVNTEJSA-N 0 2 300.208 0.224 20 0 DCADLN O=C(NC[C@@]12COC(=O)N1CCOC2)[C@@H](F)C(F)(F)F ZINC000871407199 667817312 /nfs/dbraw/zinc/81/73/12/667817312.db2.gz HXGODAXPFHJUJC-HZGVNTEJSA-N 0 2 300.208 0.224 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2CCC23CCOCC3)S1 ZINC000871577014 667838370 /nfs/dbraw/zinc/83/83/70/667838370.db2.gz GQPJIJNQCATVGS-ZJUUUORDSA-N 0 2 311.407 0.866 20 0 DCADLN CC[C@](C)(NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(F)(F)F ZINC000747306451 667899902 /nfs/dbraw/zinc/89/99/02/667899902.db2.gz BIIKETLIHKFBCA-NSHDSACASA-N 0 2 317.271 0.918 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000748417224 667927958 /nfs/dbraw/zinc/92/79/58/667927958.db2.gz DQXCPJPOLGOHRQ-MRVPVSSYSA-N 0 2 319.296 0.319 20 0 DCADLN CCCCC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000752761636 668021752 /nfs/dbraw/zinc/02/17/52/668021752.db2.gz KBLMYQBSCHYEIH-IUCAKERBSA-N 0 2 315.395 0.781 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2CSCCS2)S1 ZINC000755499761 668061469 /nfs/dbraw/zinc/06/14/69/668061469.db2.gz URVLHHLLKOQNMD-BQBZGAKWSA-N 0 2 305.450 0.508 20 0 DCADLN Cc1sc(Br)nc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000839696757 668131666 /nfs/dbraw/zinc/13/16/66/668131666.db2.gz ONVQTYGGOWPEBX-UHFFFAOYSA-N 0 2 318.156 0.968 20 0 DCADLN CC1=C(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C(=O)OC1(C)C ZINC000839758205 668140885 /nfs/dbraw/zinc/14/08/85/668140885.db2.gz JWJARODJFICVPR-SECBINFHSA-N 0 2 320.349 0.868 20 0 DCADLN COc1cc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)nn1C ZINC000759201094 668210251 /nfs/dbraw/zinc/21/02/51/668210251.db2.gz LXLRZHFBLZLKME-UHFFFAOYSA-N 0 2 308.260 0.824 20 0 DCADLN CC(C)OC(=O)[C@H](C)N(C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000760107782 668257128 /nfs/dbraw/zinc/25/71/28/668257128.db2.gz RYPPASIVNZXHOP-YUMQZZPRSA-N 0 2 301.368 0.341 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@@H](O)c1ccncc1 ZINC000760468150 668273479 /nfs/dbraw/zinc/27/34/79/668273479.db2.gz CUUQUYHLPYCXCQ-SECBINFHSA-N 0 2 319.283 0.688 20 0 DCADLN COc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1NC(C)=O ZINC000762211489 668352265 /nfs/dbraw/zinc/35/22/65/668352265.db2.gz VRVRVUHJLYMXKM-UHFFFAOYSA-N 0 2 320.309 0.799 20 0 DCADLN O=C([O-])C[NH+]1CCN(S(=O)(=O)c2ccc(Cl)nc2)CC1 ZINC000926580139 668413180 /nfs/dbraw/zinc/41/31/80/668413180.db2.gz MGIQQPHETWZQEC-UHFFFAOYSA-N 0 2 319.770 0.126 20 0 DCADLN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(F)C(F)(F)F ZINC000764610810 668454970 /nfs/dbraw/zinc/45/49/70/668454970.db2.gz HNOMFNABQQCTTN-RKDXNWHRSA-N 0 2 302.268 0.038 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCOCC1CCC1 ZINC000765229918 668481780 /nfs/dbraw/zinc/48/17/80/668481780.db2.gz LVVGFNMGKKSZHO-UHFFFAOYSA-N 0 2 305.338 0.004 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](CO)Cc2ccccc2)S1 ZINC000767101789 668559226 /nfs/dbraw/zinc/55/92/26/668559226.db2.gz MOGZUSSBSJQHKL-WDEREUQCSA-N 0 2 307.375 0.263 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](CO)Cc2ccccc2)S1 ZINC000767101782 668559319 /nfs/dbraw/zinc/55/93/19/668559319.db2.gz MOGZUSSBSJQHKL-MNOVXSKESA-N 0 2 307.375 0.263 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N3CCOCN3)cc2[nH]c1=S ZINC000928953664 668638680 /nfs/dbraw/zinc/63/86/80/668638680.db2.gz ODTHJFUOQQIWJS-UHFFFAOYSA-N 0 2 320.374 0.640 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cncc(COC)c2)C1=O ZINC000769832059 668664978 /nfs/dbraw/zinc/66/49/78/668664978.db2.gz IRNYHMNWTVHOCZ-AWEZNQCLSA-N 0 2 306.322 0.593 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cc(C(N)=O)cs2)C1=O ZINC000769856240 668667162 /nfs/dbraw/zinc/66/71/62/668667162.db2.gz UPDVTYDIGYSLBT-LBPRGKRZSA-N 0 2 310.335 0.212 20 0 DCADLN C[C@@H](CC(=O)c1ccco1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000771561956 668742737 /nfs/dbraw/zinc/74/27/37/668742737.db2.gz GJTSFSQWAUJTPH-OIBJUYFYSA-N 0 2 309.347 0.914 20 0 DCADLN CCC1(O)CCC(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000772881502 668787069 /nfs/dbraw/zinc/78/70/69/668787069.db2.gz PQHCXWQAVBGLGR-UHFFFAOYSA-N 0 2 319.365 0.271 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H]2COC3(CCCC3)O2)S1 ZINC000773681151 668811176 /nfs/dbraw/zinc/81/11/76/668811176.db2.gz LHINQUVKXHURBN-BDAKNGLRSA-N 0 2 313.379 0.345 20 0 DCADLN CC(=O)N[C@@H](CC(=O)OCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000774872524 668849540 /nfs/dbraw/zinc/84/95/40/668849540.db2.gz BQTCAIZQWNVKPQ-NSHDSACASA-N 0 2 304.306 0.821 20 0 DCADLN COc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)cc2c1OCCO2 ZINC000774908930 668850961 /nfs/dbraw/zinc/85/09/61/668850961.db2.gz SDYSZHUENHPDOR-UHFFFAOYSA-N 0 2 307.262 0.647 20 0 DCADLN Cc1nn2c(nc(C)cc2C)c1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774936875 668851970 /nfs/dbraw/zinc/85/19/70/668851970.db2.gz ZRYBYUMENNZLBJ-UHFFFAOYSA-N 0 2 302.294 0.835 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cccc(OCC(F)F)n1 ZINC000774992646 668853849 /nfs/dbraw/zinc/85/38/49/668853849.db2.gz SWEVOUAMFXXPQD-UHFFFAOYSA-N 0 2 300.221 0.906 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2COc3ccccc3C2=O)S1 ZINC000775794007 668867693 /nfs/dbraw/zinc/86/76/93/668867693.db2.gz TZISRTSIMXAYBD-PSASIEDQSA-N 0 2 319.342 0.303 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)Nc1ncccc1F ZINC000778096377 668894229 /nfs/dbraw/zinc/89/42/29/668894229.db2.gz SCCSLBLTNSFPKP-UHFFFAOYSA-N 0 2 314.298 0.840 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](OCC3CC3)C2)S1 ZINC000779130859 668908122 /nfs/dbraw/zinc/90/81/22/668908122.db2.gz ZHAVXFZBZDPZAH-GHMZBOCLSA-N 0 2 311.407 0.960 20 0 DCADLN O=C([O-])CN(C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)C1CC1 ZINC000780696242 669006435 /nfs/dbraw/zinc/00/64/35/669006435.db2.gz LQPZXDVKPNWVQD-LLVKDONJSA-N 0 2 304.350 0.919 20 0 DCADLN Cc1nc(CNC(=O)C[C@@H]2SC(=N)NC2=O)ccc1[C@H](C)O ZINC000781005957 669026469 /nfs/dbraw/zinc/02/64/69/669026469.db2.gz CVGBDPSFZQOWRT-KWQFWETISA-N 0 2 322.390 0.616 20 0 DCADLN CCCCOC(=O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881011076 669286093 /nfs/dbraw/zinc/28/60/93/669286093.db2.gz PHAIGLPTKJBSKL-UHFFFAOYSA-N 0 2 308.260 0.800 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2ncc(C)cn2)c1 ZINC000788552586 669447736 /nfs/dbraw/zinc/44/77/36/669447736.db2.gz LOVVSPDWXQVDLC-UHFFFAOYSA-N 0 2 308.319 0.767 20 0 DCADLN CCC[C@H](CCCS(C)(=O)=O)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000789126543 669473755 /nfs/dbraw/zinc/47/37/55/669473755.db2.gz WZZKYOOSIUVLJL-SECBINFHSA-N 0 2 319.383 0.795 20 0 DCADLN Cc1nonc1CNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000789951238 669522799 /nfs/dbraw/zinc/52/27/99/669522799.db2.gz QYTGOCJPOZNKNH-QMMMGPOBSA-N 0 2 308.260 0.697 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCCn1ccnn1 ZINC000790022274 669530700 /nfs/dbraw/zinc/53/07/00/669530700.db2.gz XZGXFYHTIUGLCQ-QMMMGPOBSA-N 0 2 307.276 0.097 20 0 DCADLN Nc1nc(N2CCC[C@@H](OC(=O)CCc3nc[nH]n3)C2)cc[nH+]1 ZINC000791105914 669593377 /nfs/dbraw/zinc/59/33/77/669593377.db2.gz FQSWKJILPKVCNQ-SNVBAGLBSA-N 0 2 317.353 0.322 20 0 DCADLN Cc1ccc(CN(CCO)C(=O)C[C@H]2SC(=N)NC2=O)o1 ZINC000794318223 669821357 /nfs/dbraw/zinc/82/13/57/669821357.db2.gz UORNGXAQRSNFIX-SNVBAGLBSA-N 0 2 311.363 0.465 20 0 DCADLN COc1cnc(NS(=O)(=O)c2cn(C)c(Cl)n2)nc1 ZINC000799185075 670048290 /nfs/dbraw/zinc/04/82/90/670048290.db2.gz BIYDRKXQAAUZCM-UHFFFAOYSA-N 0 2 303.731 0.673 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](CCO)Cc2ccccc2)S1 ZINC000799800554 670072858 /nfs/dbraw/zinc/07/28/58/670072858.db2.gz QFLLXEWPUWYOPF-NWDGAFQWSA-N 0 2 321.402 0.653 20 0 DCADLN CC(C)[C@@H]1OCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948698583 670160290 /nfs/dbraw/zinc/16/02/90/670160290.db2.gz LLIVRRBAYSRTDT-AAEUAGOBSA-N 0 2 323.397 0.216 20 0 DCADLN O=C(c1cc(F)ccc1F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948768274 670176470 /nfs/dbraw/zinc/17/64/70/670176470.db2.gz OEOHYADCTRZWRC-UHFFFAOYSA-N 0 2 323.303 0.747 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]1[C@@H]1CCCO1 ZINC000803602602 670255323 /nfs/dbraw/zinc/25/53/23/670255323.db2.gz VKINABLHRFXSNC-RYUDHWBXSA-N 0 2 317.349 0.241 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCCCC[C@@H]1CCO ZINC000803622618 670255850 /nfs/dbraw/zinc/25/58/50/670255850.db2.gz XRRQVYKWEKJBDR-LLVKDONJSA-N 0 2 319.365 0.225 20 0 DCADLN COCc1cnc(NC(=O)CC2SC(=N)NC2=O)s1 ZINC000804305101 670289926 /nfs/dbraw/zinc/28/99/26/670289926.db2.gz DWNLIPZGMZZYQJ-LURJTMIESA-N 0 2 300.365 0.784 20 0 DCADLN CC[C@@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@H](C)[S@@]1=O ZINC000804438619 670294604 /nfs/dbraw/zinc/29/46/04/670294604.db2.gz SWKYJCRJVQYZEO-MSHCZNMCSA-N 0 2 317.436 0.301 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)N[C@H](c2nnn[n-]2)c2ccccc2)C1 ZINC000806545731 670321346 /nfs/dbraw/zinc/32/13/46/670321346.db2.gz DIEODMRBWRGQNH-STQMWFEESA-N 0 2 316.365 0.126 20 0 DCADLN CC[N@H+]1CCO[C@H](C(=O)N[C@H](c2nnn[n-]2)c2ccccc2)C1 ZINC000806545731 670321350 /nfs/dbraw/zinc/32/13/50/670321350.db2.gz DIEODMRBWRGQNH-STQMWFEESA-N 0 2 316.365 0.126 20 0 DCADLN CCN(C(=O)c1cc(Cl)c[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949668141 670399653 /nfs/dbraw/zinc/39/96/53/670399653.db2.gz WZZJUTHIOTXOFV-UHFFFAOYSA-N 0 2 324.772 0.838 20 0 DCADLN CCN(C(=O)c1cnccc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949833478 670444189 /nfs/dbraw/zinc/44/41/89/670444189.db2.gz NVVHIYBKZSAFIS-UHFFFAOYSA-N 0 2 316.365 0.560 20 0 DCADLN O=C(c1ccoc1C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949879754 670454473 /nfs/dbraw/zinc/45/44/73/670454473.db2.gz XRNCBMCGFDAEQJ-UHFFFAOYSA-N 0 2 317.349 0.939 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(CCn2cncn2)CC1 ZINC000810429713 670485648 /nfs/dbraw/zinc/48/56/48/670485648.db2.gz PTZVCRMKUMQIKK-VIFPVBQESA-N 0 2 309.267 0.323 20 0 DCADLN Cn1ccnc(N2CCN(C(=O)C(F)C(F)(F)F)CC2)c1=O ZINC000810463035 670486204 /nfs/dbraw/zinc/48/62/04/670486204.db2.gz LHTBKEVUBPSYPJ-MRVPVSSYSA-N 0 2 322.262 0.329 20 0 DCADLN Cn1ccnc(N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)c1=O ZINC000810463035 670486207 /nfs/dbraw/zinc/48/62/07/670486207.db2.gz LHTBKEVUBPSYPJ-MRVPVSSYSA-N 0 2 322.262 0.329 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC000810484497 670487552 /nfs/dbraw/zinc/48/75/52/670487552.db2.gz ZZLDEPBRHDOLJZ-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@H](F)C(F)(F)F ZINC000810484497 670487554 /nfs/dbraw/zinc/48/75/54/670487554.db2.gz ZZLDEPBRHDOLJZ-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(F)C(F)(F)F)C1 ZINC000811464435 670531567 /nfs/dbraw/zinc/53/15/67/670531567.db2.gz YBZCNYOOYLEGLP-BBBLOLIVSA-N 0 2 317.279 0.759 20 0 DCADLN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000811464435 670531572 /nfs/dbraw/zinc/53/15/72/670531572.db2.gz YBZCNYOOYLEGLP-BBBLOLIVSA-N 0 2 317.279 0.759 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(F)C(F)(F)F ZINC000811497531 670531876 /nfs/dbraw/zinc/53/18/76/670531876.db2.gz AHPFWDBVFFOUJL-RNJXMRFFSA-N 0 2 320.308 0.721 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC000811497531 670531883 /nfs/dbraw/zinc/53/18/83/670531883.db2.gz AHPFWDBVFFOUJL-RNJXMRFFSA-N 0 2 320.308 0.721 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1(F)F ZINC000811599262 670539242 /nfs/dbraw/zinc/53/92/42/670539242.db2.gz LINAWBWAEGBHSM-MRVPVSSYSA-N 0 2 311.292 0.575 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCO[C@H](c2ccccc2)O1 ZINC000847545198 670572447 /nfs/dbraw/zinc/57/24/47/670572447.db2.gz YSZFCTAZUBFYMK-GWCFXTLKSA-N 0 2 304.306 0.631 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc2c(cn1)CCCC2 ZINC000812734845 670594568 /nfs/dbraw/zinc/59/45/68/670594568.db2.gz SLTUVHAGQIVSCZ-UHFFFAOYSA-N 0 2 324.344 0.984 20 0 DCADLN CCn1ncn(NC(=O)c2csc(-c3cnn(C)c3)n2)c1=O ZINC000854630984 670602351 /nfs/dbraw/zinc/60/23/51/670602351.db2.gz NNBZPESUUHJTTF-UHFFFAOYSA-N 0 2 319.350 0.306 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2COc3ccccc32)S1 ZINC000813891768 670658049 /nfs/dbraw/zinc/65/80/49/670658049.db2.gz NQDWPMYCWFHVIP-LDYMZIIASA-N 0 2 305.359 0.835 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)nc[nH+]2)C1 ZINC000849348666 670779726 /nfs/dbraw/zinc/77/97/26/670779726.db2.gz KYZYJTQZYNBKQO-VINAVUOLSA-N 0 2 318.377 0.451 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)[nH+]cn2)C1 ZINC000849348666 670779731 /nfs/dbraw/zinc/77/97/31/670779731.db2.gz KYZYJTQZYNBKQO-VINAVUOLSA-N 0 2 318.377 0.451 20 0 DCADLN COCC1(C(=O)NOCCN2CCCC2=O)CCOCC1 ZINC000856044366 670781686 /nfs/dbraw/zinc/78/16/86/670781686.db2.gz XNJBILOTMPGWHG-UHFFFAOYSA-N 0 2 300.355 0.100 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)[O-])c(N(C)C)[nH+]1 ZINC000817883271 670950051 /nfs/dbraw/zinc/95/00/51/670950051.db2.gz PDOYMBSORIMXQI-LLVKDONJSA-N 0 2 320.349 0.470 20 0 DCADLN CC(C)(C)c1ccc([C@H](O)CNC(=O)c2nc(=O)[nH][nH]2)cc1 ZINC000116457043 671056519 /nfs/dbraw/zinc/05/65/19/671056519.db2.gz ZNSJTHSUZRFGCQ-LLVKDONJSA-N 0 2 304.350 0.859 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOC[C@@H]2CC2CCC2)S1 ZINC000819307275 671110552 /nfs/dbraw/zinc/11/05/52/671110552.db2.gz SVZSYWDUWRJCFZ-QWRGUYRKSA-N 0 2 311.407 0.960 20 0 DCADLN COC[C@]1(C)C[N@H+](CC(=O)[N-]OCc2ccccc2)CCO1 ZINC000860203084 671189951 /nfs/dbraw/zinc/18/99/51/671189951.db2.gz WIAZNAZESMDUPN-INIZCTEOSA-N 0 2 308.378 0.972 20 0 DCADLN COC[C@]1(C)C[N@@H+](CC(=O)[N-]OCc2ccccc2)CCO1 ZINC000860203084 671189954 /nfs/dbraw/zinc/18/99/54/671189954.db2.gz WIAZNAZESMDUPN-INIZCTEOSA-N 0 2 308.378 0.972 20 0 DCADLN C[C@@H](CO)N(C)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860389246 671203644 /nfs/dbraw/zinc/20/36/44/671203644.db2.gz KLBUHDUWDNJOID-ZETCQYMHSA-N 0 2 308.256 0.911 20 0 DCADLN O=C(N[C@@H](CO)C1CC1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860546654 671217904 /nfs/dbraw/zinc/21/79/04/671217904.db2.gz JBBPDYXERBVEQF-VIFPVBQESA-N 0 2 320.267 0.959 20 0 DCADLN NC(=O)CCCOC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860582732 671220860 /nfs/dbraw/zinc/22/08/60/671220860.db2.gz TWOCHRNCCJWVMI-UHFFFAOYSA-N 0 2 322.239 0.880 20 0 DCADLN CCc1noc(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)n1 ZINC000820801150 671229298 /nfs/dbraw/zinc/22/92/98/671229298.db2.gz YPGAQGGYTFVBRX-QMMMGPOBSA-N 0 2 313.339 0.591 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@]1(C2CCCC2)CCNC1=O ZINC000860922805 671250490 /nfs/dbraw/zinc/25/04/90/671250490.db2.gz YFDZNSHISXXHSN-AWEZNQCLSA-N 0 2 324.406 0.714 20 0 DCADLN C[NH+](C)C1CC[NH+](C[C@H](O)c2ccc(-c3nn[nH]n3)cc2)CC1 ZINC000821583418 671284423 /nfs/dbraw/zinc/28/44/23/671284423.db2.gz UVVOFGFAIQRQFL-HNNXBMFYSA-N 0 2 316.409 0.926 20 0 DCADLN C[NH+](C)C1CC[NH+](C[C@@H](O)c2ccc(-c3nn[nH]n3)cc2)CC1 ZINC000821583419 671284506 /nfs/dbraw/zinc/28/45/06/671284506.db2.gz UVVOFGFAIQRQFL-OAHLLOKOSA-N 0 2 316.409 0.926 20 0 DCADLN CCO[C@@H]1COCC[C@H]1NS(=O)(=O)N=[S@@](C)(=O)CC ZINC000867104763 671751506 /nfs/dbraw/zinc/75/15/06/671751506.db2.gz GAZZXMMUIVNXCG-MHNGPXOMSA-N 0 2 314.429 0.133 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@]1(O)CCC[C@H]1C ZINC000867312574 672048585 /nfs/dbraw/zinc/04/85/85/672048585.db2.gz GRLRZWHZPGXTHQ-GHMZBOCLSA-N 0 2 312.457 0.880 20 0 DCADLN CC[C@H](NS(=O)(=O)N=S1(=O)CCCC1)[C@@H](O)C(F)F ZINC000867331479 672116760 /nfs/dbraw/zinc/11/67/60/672116760.db2.gz TWEZSPOUUBTYRB-JGVFFNPUSA-N 0 2 320.383 0.487 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CCCN1C(=O)C1CC1 ZINC000843856568 672125479 /nfs/dbraw/zinc/12/54/79/672125479.db2.gz MTGCTYOOPXCQGU-VIFPVBQESA-N 0 2 310.379 0.418 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccnc(C(=O)[O-])c2)C[C@H](C)O1 ZINC000846703336 672339010 /nfs/dbraw/zinc/33/90/10/672339010.db2.gz VIRADUMKCIHQFI-TXEJJXNPSA-N 0 2 321.377 0.961 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccnc(C(=O)[O-])c2)C[C@H](C)O1 ZINC000846703336 672339012 /nfs/dbraw/zinc/33/90/12/672339012.db2.gz VIRADUMKCIHQFI-TXEJJXNPSA-N 0 2 321.377 0.961 20 0 DCADLN CCS(=O)(=O)NCCNc1c2ccccc2[nH+]nc1C(=O)[O-] ZINC000390106412 685259576 /nfs/dbraw/zinc/25/95/76/685259576.db2.gz RQQIZGCRVLJVSI-UHFFFAOYSA-N 0 2 324.362 0.679 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001024700312 693819135 /nfs/dbraw/zinc/81/91/35/693819135.db2.gz XKGCAUNYPGXVCP-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN Cc1cnoc1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024739814 693825425 /nfs/dbraw/zinc/82/54/25/693825425.db2.gz FHCPAKGFANULII-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN O=C(NC[C@@H](O)c1ccc(Cl)cc1Cl)c1nc(=O)[nH][nH]1 ZINC000304059269 685209411 /nfs/dbraw/zinc/20/94/11/685209411.db2.gz NWKJKVDRDBHCIB-MRVPVSSYSA-N 0 2 317.132 0.868 20 0 DCADLN CC(=O)NCC(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076856634 695077677 /nfs/dbraw/zinc/07/76/77/695077677.db2.gz ATORMNLDDDBULH-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NCC(=O)N[C@@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001076856634 695077681 /nfs/dbraw/zinc/07/76/81/695077681.db2.gz ATORMNLDDDBULH-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN Cc1nn(C)cc1C[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038164501 694002029 /nfs/dbraw/zinc/00/20/29/694002029.db2.gz KHCALSHQPSBJSP-GFCCVEGCSA-N 0 2 318.381 0.470 20 0 DCADLN Cc1nn(C)cc1C[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038164501 694002034 /nfs/dbraw/zinc/00/20/34/694002034.db2.gz KHCALSHQPSBJSP-GFCCVEGCSA-N 0 2 318.381 0.470 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCCOCC1 ZINC001038203902 694013220 /nfs/dbraw/zinc/01/32/20/694013220.db2.gz LGPHLOFMWXGSDU-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccoc1Cl ZINC001038205503 694013262 /nfs/dbraw/zinc/01/32/62/694013262.db2.gz TZLCTZWIJKJTTL-ZETCQYMHSA-N 0 2 311.729 0.761 20 0 DCADLN C[C@@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@H]1CCCO1 ZINC000957463163 687065365 /nfs/dbraw/zinc/06/53/65/687065365.db2.gz YCHHUOUTNMQGNQ-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@H](C(=O)NC1CCCC1)[N@@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001038447707 694084789 /nfs/dbraw/zinc/08/47/89/694084789.db2.gz ZYZQAIJABKRSOI-ZYHUDNBSSA-N 0 2 320.397 0.056 20 0 DCADLN C[C@H](C(=O)NC1CCCC1)[N@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001038447707 694084791 /nfs/dbraw/zinc/08/47/91/694084791.db2.gz ZYZQAIJABKRSOI-ZYHUDNBSSA-N 0 2 320.397 0.056 20 0 DCADLN CCc1nocc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038676084 694157535 /nfs/dbraw/zinc/15/75/35/694157535.db2.gz FXVKJSGRRFKFBX-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN CCCn1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001038767449 694186281 /nfs/dbraw/zinc/18/62/81/694186281.db2.gz MFWUMJATDTXOLA-NSHDSACASA-N 0 2 319.369 0.121 20 0 DCADLN CC[C@H](C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cnnn1C)[NH+](C)C ZINC000960716490 688441494 /nfs/dbraw/zinc/44/14/94/688441494.db2.gz IUTXOYONLRSUIY-UKRRQHHQSA-N 0 2 322.457 0.578 20 0 DCADLN CCn1ncc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001038845482 694214991 /nfs/dbraw/zinc/21/49/91/694214991.db2.gz KZLZBVJAHJPQPF-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN CC(C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000961620129 688677451 /nfs/dbraw/zinc/67/74/51/688677451.db2.gz CNMPVIBXRWKGKJ-CSMHCCOUSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)C(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC000961620129 688677456 /nfs/dbraw/zinc/67/74/56/688677456.db2.gz CNMPVIBXRWKGKJ-CSMHCCOUSA-N 0 2 300.252 0.231 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=COCCC1 ZINC000965472490 689451459 /nfs/dbraw/zinc/45/14/59/689451459.db2.gz DJSVYXUJUZOTKR-JQWIXIFHSA-N 0 2 321.381 0.531 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=COCCC1 ZINC000965472490 689451463 /nfs/dbraw/zinc/45/14/63/689451463.db2.gz DJSVYXUJUZOTKR-JQWIXIFHSA-N 0 2 321.381 0.531 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=C1CCC1 ZINC000965891410 689571594 /nfs/dbraw/zinc/57/15/94/689571594.db2.gz VKZXSSYVRMEQRI-CMPLNLGQSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=C1CCC1 ZINC000965891410 689571597 /nfs/dbraw/zinc/57/15/97/689571597.db2.gz VKZXSSYVRMEQRI-CMPLNLGQSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@@H]2C)n1 ZINC000968399089 690252038 /nfs/dbraw/zinc/25/20/38/690252038.db2.gz AEXKAKKWDXSKPZ-WCBMZHEXSA-N 0 2 319.369 0.182 20 0 DCADLN Cc1noc(CN[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000968751724 690385200 /nfs/dbraw/zinc/38/52/00/690385200.db2.gz CQZMGIQUAHVYIL-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039504709 694374947 /nfs/dbraw/zinc/37/49/47/694374947.db2.gz JBADHUVHEPFCEY-YFKTTZPYSA-N 0 2 305.382 0.732 20 0 DCADLN Cc1c[nH]cc1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006408949 690548309 /nfs/dbraw/zinc/54/83/09/690548309.db2.gz DDEDNOUGZJHAAR-UHFFFAOYSA-N 0 2 318.381 0.883 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCOC1 ZINC001006905401 690603006 /nfs/dbraw/zinc/60/30/06/690603006.db2.gz LCSNVVGQQFTEDS-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001007061257 690623811 /nfs/dbraw/zinc/62/38/11/690623811.db2.gz ZXNOOOJMRBEHNR-MEWQQHAOSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007234257 690646556 /nfs/dbraw/zinc/64/65/56/690646556.db2.gz HXBMFQGJCOLMTP-SNVBAGLBSA-N 0 2 304.354 0.541 20 0 DCADLN C[C@@]1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)C=CCC1 ZINC001008196504 690818840 /nfs/dbraw/zinc/81/88/40/690818840.db2.gz IAOZMIGWAAKRRY-IAQYHMDHSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1O ZINC001010265972 691203114 /nfs/dbraw/zinc/20/31/14/691203114.db2.gz FBSFYJVSACVTBV-SECBINFHSA-N 0 2 303.322 0.220 20 0 DCADLN Cc1cncc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001010426944 691275380 /nfs/dbraw/zinc/27/53/80/691275380.db2.gz HHQDMUJDRHPBKR-LLVKDONJSA-N 0 2 302.338 0.218 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)c(F)c1 ZINC001014725775 692080811 /nfs/dbraw/zinc/08/08/11/692080811.db2.gz SBOQTNYFVNCYAE-SECBINFHSA-N 0 2 323.303 0.793 20 0 DCADLN O=C(C[C@H]1C=CCCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014909906 692128199 /nfs/dbraw/zinc/12/81/99/692128199.db2.gz OOASMENHSHBMSU-RYUDHWBXSA-N 0 2 305.382 0.947 20 0 DCADLN CCCc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001015471581 692308631 /nfs/dbraw/zinc/30/86/31/692308631.db2.gz RQASWRMKSLKKHA-JTQLQIEISA-N 0 2 319.369 0.190 20 0 DCADLN Cc1cccc(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015644069 692378433 /nfs/dbraw/zinc/37/84/33/692378433.db2.gz JCTZZNINLWUOAU-ZDUSSCGKSA-N 0 2 315.377 0.752 20 0 DCADLN CCc1ncoc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015677703 692392296 /nfs/dbraw/zinc/39/22/96/692392296.db2.gz UQXPYFGGEFHLAG-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN CCc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001016019008 692549915 /nfs/dbraw/zinc/54/99/15/692549915.db2.gz NDBVWZRRQYIASK-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1c(F)cccc1F ZINC001016084897 692562831 /nfs/dbraw/zinc/56/28/31/692562831.db2.gz CNQVHXFGFHDYJK-MRVPVSSYSA-N 0 2 323.303 0.793 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2CC[C@H]([NH2+]Cc3ccccn3)C2)C1 ZINC001018663538 693186318 /nfs/dbraw/zinc/18/63/18/693186318.db2.gz FXRRYCTWFAGFGQ-LSDHHAIUSA-N 0 2 304.394 0.103 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)[C@H]1CC12CC2 ZINC001073791369 694782102 /nfs/dbraw/zinc/78/21/02/694782102.db2.gz HIZWKPIICIWBEY-GHMZBOCLSA-N 0 2 321.381 0.018 20 0 DCADLN Cc1nc(CN2CCCO[C@H](CNC(=O)c3cnn[nH]3)C2)co1 ZINC001073671508 694763584 /nfs/dbraw/zinc/76/35/84/694763584.db2.gz BDPAVYGXBQPHHH-GFCCVEGCSA-N 0 2 320.353 0.122 20 0 DCADLN Cc1nc(C[N@@H+]2CCCO[C@H](CNC(=O)c3cnn[n-]3)C2)co1 ZINC001073671508 694763585 /nfs/dbraw/zinc/76/35/85/694763585.db2.gz BDPAVYGXBQPHHH-GFCCVEGCSA-N 0 2 320.353 0.122 20 0 DCADLN Cc1nc(C[N@H+]2CCCO[C@H](CNC(=O)c3cnn[n-]3)C2)co1 ZINC001073671508 694763586 /nfs/dbraw/zinc/76/35/86/694763586.db2.gz BDPAVYGXBQPHHH-GFCCVEGCSA-N 0 2 320.353 0.122 20 0 DCADLN Cc1cc(CN2CCCO[C@@H](CNC(=O)c3cnn[nH]3)C2)no1 ZINC001073674718 694764961 /nfs/dbraw/zinc/76/49/61/694764961.db2.gz LOHNYSNOWRQVKA-LBPRGKRZSA-N 0 2 320.353 0.122 20 0 DCADLN CO[C@H](C)C(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001075802330 694977760 /nfs/dbraw/zinc/97/77/60/694977760.db2.gz YQTURWIFNXGJTQ-XLPZGREQSA-N 0 2 302.268 0.933 20 0 DCADLN CO[C@H](C)C(=O)NCC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001075802330 694977761 /nfs/dbraw/zinc/97/77/61/694977761.db2.gz YQTURWIFNXGJTQ-XLPZGREQSA-N 0 2 302.268 0.933 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001076362442 695016670 /nfs/dbraw/zinc/01/66/70/695016670.db2.gz PRGYJKOJPDBMCQ-DJLDLDEBSA-N 0 2 316.295 0.915 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001076362442 695016671 /nfs/dbraw/zinc/01/66/71/695016671.db2.gz PRGYJKOJPDBMCQ-DJLDLDEBSA-N 0 2 316.295 0.915 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001076858740 695078314 /nfs/dbraw/zinc/07/83/14/695078314.db2.gz KYNOQLUEPBFYOD-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001076858740 695078315 /nfs/dbraw/zinc/07/83/15/695078315.db2.gz KYNOQLUEPBFYOD-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CON(C)C(=O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001570443476 1162519244 /nfs/dbraw/zinc/51/92/44/1162519244.db2.gz GDBLRYPCEKSGBY-UHFFFAOYSA-N 0 2 322.296 0.467 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1=CCCC1 ZINC001095305524 1158844116 /nfs/dbraw/zinc/84/41/16/1158844116.db2.gz RHNGIDFSAJFNKB-WOPDTQHZSA-N 0 2 303.366 0.842 20 0 DCADLN O=C(Cc1c[nH]cn1)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC001446204369 1159365056 /nfs/dbraw/zinc/36/50/56/1159365056.db2.gz NHSAXLAJAHETEC-GFCCVEGCSA-N 0 2 313.317 0.341 20 0 DCADLN O=C(Cc1cnc[nH]1)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC001446204369 1159365063 /nfs/dbraw/zinc/36/50/63/1159365063.db2.gz NHSAXLAJAHETEC-GFCCVEGCSA-N 0 2 313.317 0.341 20 0 DCADLN CC(=O)N[C@@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)C1CC1 ZINC001447241622 1159603629 /nfs/dbraw/zinc/60/36/29/1159603629.db2.gz GEKWOVUYIBHIOF-ZDUSSCGKSA-N 0 2 316.365 0.455 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001448042439 1159703896 /nfs/dbraw/zinc/70/38/96/1159703896.db2.gz LQDKECRWWDELCM-SECBINFHSA-N 0 2 319.369 0.167 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001448042439 1159703898 /nfs/dbraw/zinc/70/38/98/1159703898.db2.gz LQDKECRWWDELCM-SECBINFHSA-N 0 2 319.369 0.167 20 0 DCADLN NC(=O)COc1cccc(C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC001448073550 1159715117 /nfs/dbraw/zinc/71/51/17/1159715117.db2.gz VOFCSDQTFFOTJW-UHFFFAOYSA-N 0 2 322.346 0.195 20 0 DCADLN NC(=O)c1ccc(CCC(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC001448074524 1159715144 /nfs/dbraw/zinc/71/51/44/1159715144.db2.gz MITIPAAIHHUGQC-UHFFFAOYSA-N 0 2 320.374 0.749 20 0 DCADLN Cc1ccnc2nc(C(=O)N[C@H](C)c3nn(C)cc3O)nn21 ZINC001456797842 1159762577 /nfs/dbraw/zinc/76/25/77/1159762577.db2.gz SPWFBQOJDJWGCE-MRVPVSSYSA-N 0 2 301.310 0.363 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ccnc(Cl)c1 ZINC001570321794 1161559481 /nfs/dbraw/zinc/55/94/81/1161559481.db2.gz CTKIELOHKJZUTM-UHFFFAOYSA-N 0 2 318.724 0.436 20 0 DCADLN C[C@H](CC(=O)N[C@H](C(=O)[O-])[C@@H](O)c1ccncc1)n1cc[nH+]c1 ZINC001573534576 1163461198 /nfs/dbraw/zinc/46/11/98/1163461198.db2.gz AIYINIFBBCURQU-SWHYSGLUSA-N 0 2 318.333 0.532 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cn(C)cc1C(=O)[O-] ZINC001573544586 1163488931 /nfs/dbraw/zinc/48/89/31/1163488931.db2.gz QQNMZWVLCZEYGJ-JTQLQIEISA-N 0 2 310.354 0.566 20 0 DCADLN C[C@H](NC(=O)c1ccc(C[NH+](C)C)o1)c1nc(C(=O)[O-])n[nH]1 ZINC001573568895 1163503667 /nfs/dbraw/zinc/50/36/67/1163503667.db2.gz HRQIBEOKKHAGMR-ZETCQYMHSA-N 0 2 307.310 0.649 20 0 DCADLN CCC(CC)[C@H](C(=O)N[C@H](CO)CC(=O)[O-])[NH+]1CCOCC1 ZINC001573700082 1163538971 /nfs/dbraw/zinc/53/89/71/1163538971.db2.gz RKDCLIUGUDYCIQ-GXTWGEPZSA-N 0 2 316.398 0.075 20 0 DCADLN Cn1cc(C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)c(C2CCOCC2)n1 ZINC001574213390 1163666982 /nfs/dbraw/zinc/66/69/82/1163666982.db2.gz ZFPGCFAVLDKRGZ-CYBMUJFWSA-N 0 2 309.366 0.600 20 0 DCADLN Cn1cc(C[N@H+]2CCO[C@@H](C(=O)[O-])C2)c(C2CCOCC2)n1 ZINC001574213390 1163666987 /nfs/dbraw/zinc/66/69/87/1163666987.db2.gz ZFPGCFAVLDKRGZ-CYBMUJFWSA-N 0 2 309.366 0.600 20 0 DCADLN O=C([O-])c1noc(C[NH+]2CCC(CN3CCNC3=O)CC2)n1 ZINC001574428524 1163721421 /nfs/dbraw/zinc/72/14/21/1163721421.db2.gz AYIHQIVHPZIQPG-UHFFFAOYSA-N 0 2 309.326 0.005 20 0 DCADLN O=C([O-])C1=C(C[N@H+]2CC[C@H]2CO)NC(=O)N[C@@H]1c1ccco1 ZINC001574435122 1163723292 /nfs/dbraw/zinc/72/32/92/1163723292.db2.gz KVEJQEUEZRVSBG-QPUJVOFHSA-N 0 2 307.306 0.039 20 0 DCADLN O=C([O-])C1=C(C[N@@H+]2CC[C@H]2CO)NC(=O)N[C@@H]1c1ccco1 ZINC001574435122 1163723299 /nfs/dbraw/zinc/72/32/99/1163723299.db2.gz KVEJQEUEZRVSBG-QPUJVOFHSA-N 0 2 307.306 0.039 20 0 DCADLN C[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1ccns1 ZINC001575419002 1164009358 /nfs/dbraw/zinc/00/93/58/1164009358.db2.gz WWWFJIZQJQZESX-YFKPBYRVSA-N 0 2 320.338 0.296 20 0 DCADLN CO[C@H](C)CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001575750554 1164133974 /nfs/dbraw/zinc/13/39/74/1164133974.db2.gz PTXYGYKJJRRRKQ-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CO[C@H](C)CC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001575750554 1164133977 /nfs/dbraw/zinc/13/39/77/1164133977.db2.gz PTXYGYKJJRRRKQ-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN O=C(NCC[C@@H]1CC[N@H+](Cc2c[nH]nn2)C1)C1=COCCO1 ZINC001578005496 1164888485 /nfs/dbraw/zinc/88/84/85/1164888485.db2.gz LHDVGMISECQQBJ-LLVKDONJSA-N 0 2 307.354 0.021 20 0 DCADLN CCc1n[nH]c(NC(=O)c2ccc(-c3nn[nH]n3)c(OC)c2)n1 ZINC001578563401 1165063241 /nfs/dbraw/zinc/06/32/41/1165063241.db2.gz IPJNTXVHWGKOAY-UHFFFAOYSA-N 0 2 314.309 0.808 20 0 DCADLN CCc1nc(CNC(=O)c2cccc(F)c2-c2nn[nH]n2)n[nH]1 ZINC001578620830 1165070739 /nfs/dbraw/zinc/07/07/39/1165070739.db2.gz WMXHLMLSSUMEBU-UHFFFAOYSA-N 0 2 316.300 0.616 20 0 DCADLN COc1cc(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)[nH]n1 ZINC001579933868 1165442953 /nfs/dbraw/zinc/44/29/53/1165442953.db2.gz XXNOUISIIXSPFP-UHFFFAOYSA-N 0 2 316.281 0.260 20 0 DCADLN CS[C@H]1CCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001580205139 1165536758 /nfs/dbraw/zinc/53/67/58/1165536758.db2.gz OIWJBLQQLRUZPA-QMMMGPOBSA-N 0 2 320.378 0.853 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@H](C)OC[C@@H]1C ZINC001580597957 1165649502 /nfs/dbraw/zinc/64/95/02/1165649502.db2.gz PIXROHPDMHRYBX-IUCAKERBSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)[C@H](C)C(C)(C)O ZINC001580596773 1165649581 /nfs/dbraw/zinc/64/95/81/1165649581.db2.gz YZQPBEGNLPDCAT-MRVPVSSYSA-N 0 2 320.353 0.507 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H]1CCC=CO1 ZINC001580603402 1165652802 /nfs/dbraw/zinc/65/28/02/1165652802.db2.gz LSJHRSYUIZINBS-VIFPVBQESA-N 0 2 316.321 0.698 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC[C@@H](C)CCO ZINC001580605740 1165654618 /nfs/dbraw/zinc/65/46/18/1165654618.db2.gz HCLBXKFIFDPUNC-MRVPVSSYSA-N 0 2 320.353 0.414 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc(-c2nn[nH]n2)s1 ZINC001582560625 1166045989 /nfs/dbraw/zinc/04/59/89/1166045989.db2.gz GNEXNSKGHFJGBU-UHFFFAOYSA-N 0 2 319.306 0.059 20 0 DCADLN C[C@](CNC(=O)C=C1CCC1)(NC(=O)[C@H]1CNC(=O)N1)C1CC1 ZINC001582655888 1166063510 /nfs/dbraw/zinc/06/35/10/1166063510.db2.gz JYCZUSMNEJSFGG-MLGOLLRUSA-N 0 2 320.393 0.179 20 0 DCADLN O=C(Nc1n[nH]c(C(F)(F)F)n1)c1coc(-c2nn[nH]n2)c1 ZINC001582655018 1166063670 /nfs/dbraw/zinc/06/36/70/1166063670.db2.gz VMPXBVOXIYVANZ-UHFFFAOYSA-N 0 2 314.187 0.849 20 0 DCADLN C[C@](CNC(=O)C(N)=O)(NC(=O)c1ccccc1Cl)C1CC1 ZINC001582659846 1166063676 /nfs/dbraw/zinc/06/36/76/1166063676.db2.gz RWTNZIRFBNGKET-OAHLLOKOSA-N 0 2 323.780 0.840 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@H]1CCC[C@]2(CCOC2)O1 ZINC001582806810 1166085389 /nfs/dbraw/zinc/08/53/89/1166085389.db2.gz UKGLDRHSAJDWSI-QMTHXVAHSA-N 0 2 318.337 0.152 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc(Cl)c(F)c1 ZINC001582806832 1166085927 /nfs/dbraw/zinc/08/59/27/1166085927.db2.gz RXRCRWFLRIDYOO-UHFFFAOYSA-N 0 2 322.687 0.970 20 0 DCADLN C[C@H](CNC(=O)c1cnn[nH]1)NC(=O)c1ccc2nccn2c1 ZINC001583836915 1166289309 /nfs/dbraw/zinc/28/93/09/1166289309.db2.gz DVRYNPWSBBNKGW-SECBINFHSA-N 0 2 313.321 0.001 20 0 DCADLN CCC[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCO1 ZINC001590569763 1166946907 /nfs/dbraw/zinc/94/69/07/1166946907.db2.gz UZTYOXSVKBEKNF-LLVKDONJSA-N 0 2 304.354 0.487 20 0 DCADLN CCc1cc(CNS(=O)(=O)c2ccc(-c3nn[nH]n3)o2)n[nH]1 ZINC001590881947 1167043673 /nfs/dbraw/zinc/04/36/73/1167043673.db2.gz OVWQYLBWYAGXGX-UHFFFAOYSA-N 0 2 323.338 0.224 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2CCO[C@H](C)C2)c1=O ZINC001590949501 1167057277 /nfs/dbraw/zinc/05/72/77/1167057277.db2.gz HBGVBQDMICVYQE-ZJUUUORDSA-N 0 2 320.353 0.025 20 0 DCADLN CN(C)c1nccc2c1CN(c1ccnc(-c3nn[nH]n3)n1)CC2 ZINC001591036318 1167090122 /nfs/dbraw/zinc/09/01/22/1167090122.db2.gz MKTJQCJBYDHLCY-UHFFFAOYSA-N 0 2 323.364 0.680 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001591198909 1167147646 /nfs/dbraw/zinc/14/76/46/1167147646.db2.gz RTOQHSXAXQCGPX-LBPRGKRZSA-N 0 2 308.349 0.677 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001591198909 1167147650 /nfs/dbraw/zinc/14/76/50/1167147650.db2.gz RTOQHSXAXQCGPX-LBPRGKRZSA-N 0 2 308.349 0.677 20 0 DCADLN COC(C)(C)C(=O)Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001591315463 1167209044 /nfs/dbraw/zinc/20/90/44/1167209044.db2.gz VPFXQYQAWCUODA-UHFFFAOYSA-N 0 2 306.326 0.034 20 0 DCADLN COC[C@H]1CCN1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001591393863 1167261511 /nfs/dbraw/zinc/26/15/11/1167261511.db2.gz JOMWUSVYHBEZTN-LLVKDONJSA-N 0 2 316.365 0.195 20 0 DCADLN COCCC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001591395418 1167264215 /nfs/dbraw/zinc/26/42/15/1167264215.db2.gz VOWHQWWLEVHPKU-UHFFFAOYSA-N 0 2 303.322 0.123 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCC[C@H](C)C2)c(=O)n1C ZINC001591793891 1167381176 /nfs/dbraw/zinc/38/11/76/1167381176.db2.gz NJMNSFNCHWDTCB-GXSJLCMTSA-N 0 2 318.381 0.862 20 0 DCADLN Cc1cc(-c2nn[nH]n2)ccc1S(=O)(=O)Nc1cncnc1 ZINC001591872827 1167403418 /nfs/dbraw/zinc/40/34/18/1167403418.db2.gz FCTDKHUGWVMOIG-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN Cc1nsc(N2CC[C@@H](c3nc[nH]n3)C2)c1-c1nn[nH]n1 ZINC001592474864 1167534878 /nfs/dbraw/zinc/53/48/78/1167534878.db2.gz ODQJCRCTSXVAKA-SSDOTTSWSA-N 0 2 303.355 0.744 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCOC[C@@H]1C[C@@H](C)O ZINC001592499100 1167539093 /nfs/dbraw/zinc/53/90/93/1167539093.db2.gz HJZDFZMCKNXRFX-SCZZXKLOSA-N 0 2 321.337 0.380 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H]2C[C@H]1C[S@@]2=O ZINC001592499910 1167539351 /nfs/dbraw/zinc/53/93/51/1167539351.db2.gz SSZVLPVEZIEUQZ-KLBQYZOKSA-N 0 2 307.335 0.114 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCNC(=O)[C@@H]1C(C)C ZINC001592499935 1167539532 /nfs/dbraw/zinc/53/95/32/1167539532.db2.gz RZTHMXKMNSVRSL-NSHDSACASA-N 0 2 318.337 0.365 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@@H]2CCCCS2)c(-c2nn[nH]n2)c1=O ZINC001592545506 1167549815 /nfs/dbraw/zinc/54/98/15/1167549815.db2.gz BMNNVOZODFCGNJ-ZETCQYMHSA-N 0 2 323.382 0.364 20 0 DCADLN O=c1c(-c2nn[nH]n2)cnc2ncn(CCCC3CCC3)n21 ZINC001593494800 1167879527 /nfs/dbraw/zinc/87/95/27/1167879527.db2.gz SCKKHUTWDKNYSP-UHFFFAOYSA-N 0 2 300.326 0.651 20 0 DCADLN Cc1cc(=O)[nH]c(N2CC[NH+](Cc3oncc3C(=O)[O-])CC2)n1 ZINC001600064974 1168109791 /nfs/dbraw/zinc/10/97/91/1168109791.db2.gz LDTQEODQWCQRLF-UHFFFAOYSA-N 0 2 319.321 0.499 20 0 DCADLN Cc1cc(C[N@H+](CCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C2CC2)nn1C ZINC001600189886 1168134085 /nfs/dbraw/zinc/13/40/85/1168134085.db2.gz AYKOIGPFHYMTNU-KGLIPLIRSA-N 0 2 320.393 0.530 20 0 DCADLN Cc1cc(C[N@@H+](CCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C2CC2)nn1C ZINC001600189886 1168134093 /nfs/dbraw/zinc/13/40/93/1168134093.db2.gz AYKOIGPFHYMTNU-KGLIPLIRSA-N 0 2 320.393 0.530 20 0 DCADLN Cc1ccccc1OCC[NH+]1CC(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])C1 ZINC001600586417 1168203385 /nfs/dbraw/zinc/20/33/85/1168203385.db2.gz XPMMKEZGMMDXFB-KBPBESRZSA-N 0 2 318.373 0.895 20 0 DCADLN Cc1ccnc(C2CC[NH+](Cc3cn(CC(=O)[O-])nn3)CC2)n1 ZINC001600600090 1168208133 /nfs/dbraw/zinc/20/81/33/1168208133.db2.gz SBBCYVXDDOQKHA-UHFFFAOYSA-N 0 2 316.365 0.841 20 0 DCADLN Cc1ccn(C[C@@H](C)C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001600597001 1168208216 /nfs/dbraw/zinc/20/82/16/1168208216.db2.gz AEIFAKIGEKRPFM-SKDRFNHKSA-N 0 2 305.338 0.363 20 0 DCADLN Cc1ccn(C[C@@H](C)C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001600597001 1168208220 /nfs/dbraw/zinc/20/82/20/1168208220.db2.gz AEIFAKIGEKRPFM-SKDRFNHKSA-N 0 2 305.338 0.363 20 0 DCADLN Cc1n[nH]cc1C[N@@H+](C)[C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001600674506 1168243589 /nfs/dbraw/zinc/24/35/89/1168243589.db2.gz KKDWJQYWCVIIMO-NSHDSACASA-N 0 2 308.382 0.862 20 0 DCADLN Cc1n[nH]cc1C[N@H+](C)[C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001600674506 1168243606 /nfs/dbraw/zinc/24/36/06/1168243606.db2.gz KKDWJQYWCVIIMO-NSHDSACASA-N 0 2 308.382 0.862 20 0 DCADLN Cc1nc2sccn2c1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600733854 1168266226 /nfs/dbraw/zinc/26/62/26/1168266226.db2.gz YHBGSYYDNIIARL-VIFPVBQESA-N 0 2 319.346 0.784 20 0 DCADLN Cc1ncc(C[N@@H+]2CCCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)cn1 ZINC001600736100 1168268582 /nfs/dbraw/zinc/26/85/82/1168268582.db2.gz POGMOJSZMGTTKY-KGLIPLIRSA-N 0 2 318.377 0.540 20 0 DCADLN Cc1ncc(C[N@H+]2CCCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)cn1 ZINC001600736100 1168268589 /nfs/dbraw/zinc/26/85/89/1168268589.db2.gz POGMOJSZMGTTKY-KGLIPLIRSA-N 0 2 318.377 0.540 20 0 DCADLN Cn1c(C[NH+]2CCCCC2)nnc1N1CC[C@@](F)(C(=O)[O-])C1 ZINC001600914731 1168507728 /nfs/dbraw/zinc/50/77/28/1168507728.db2.gz MWRBIPVPUPDABL-AWEZNQCLSA-N 0 2 311.361 0.804 20 0 DCADLN Cn1ncc2cc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cnc21 ZINC001601032692 1168573448 /nfs/dbraw/zinc/57/34/48/1168573448.db2.gz LCGSQDDCLXDQGB-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN Cn1ncc2cc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cnc21 ZINC001601032692 1168573452 /nfs/dbraw/zinc/57/34/52/1168573452.db2.gz LCGSQDDCLXDQGB-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN Nc1nc(Br)ccc1C[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001601219409 1168663104 /nfs/dbraw/zinc/66/31/04/1168663104.db2.gz FVVGXJRVOKRQQO-LLVKDONJSA-N 0 2 316.155 0.448 20 0 DCADLN Nc1nc(Br)ccc1C[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001601219409 1168663113 /nfs/dbraw/zinc/66/31/13/1168663113.db2.gz FVVGXJRVOKRQQO-LLVKDONJSA-N 0 2 316.155 0.448 20 0 DCADLN O=C([O-])C12CCC(CC1)[N@@H+]2CC(=O)N1CCOC[C@@H]1C1CC1 ZINC001601303405 1168695515 /nfs/dbraw/zinc/69/55/15/1168695515.db2.gz SMDSJAQEXUNDCM-MFOWVQHXSA-N 0 2 308.378 0.705 20 0 DCADLN O=C([O-])C12CCC(CC1)[N@H+]2CC(=O)N1CCOC[C@@H]1C1CC1 ZINC001601303405 1168695517 /nfs/dbraw/zinc/69/55/17/1168695517.db2.gz SMDSJAQEXUNDCM-MFOWVQHXSA-N 0 2 308.378 0.705 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1ccc2n[nH]cc2c1 ZINC001601361589 1168719853 /nfs/dbraw/zinc/71/98/53/1168719853.db2.gz NMGIDCMOZTZRSL-CYBMUJFWSA-N 0 2 313.317 0.641 20 0 DCADLN O=C([O-])c1cccn(CC(=O)OCCCn2cc[nH+]c2)c1=O ZINC001601388708 1168730768 /nfs/dbraw/zinc/73/07/68/1168730768.db2.gz UCGUKRNRCSVMES-UHFFFAOYSA-N 0 2 305.290 0.377 20 0 DCADLN O=C([O-])[C@@H](CC1OCCO1)NC(=O)c1cccc2[nH+]ccn21 ZINC001601416000 1168738394 /nfs/dbraw/zinc/73/83/94/1168738394.db2.gz YASKFZCDVGMPLM-SECBINFHSA-N 0 2 305.290 0.280 20 0 DCADLN O=C([O-])[C@@H](CCC1OCCO1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001601415954 1168738861 /nfs/dbraw/zinc/73/88/61/1168738861.db2.gz VVPFZHJZFKAKHT-CMPLNLGQSA-N 0 2 323.349 0.168 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)c1ccc(O)cc1 ZINC001601426463 1168742281 /nfs/dbraw/zinc/74/22/81/1168742281.db2.gz ZMOHHEWPZUEHFU-LLVKDONJSA-N 0 2 303.274 0.041 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C(=O)c1ccc(F)cc1 ZINC001601566077 1168782210 /nfs/dbraw/zinc/78/22/10/1168782210.db2.gz TXOUCQACHPUSIV-NSHDSACASA-N 0 2 305.265 0.544 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C(=O)c1ccc(F)cc1 ZINC001601566077 1168782220 /nfs/dbraw/zinc/78/22/20/1168782220.db2.gz TXOUCQACHPUSIV-NSHDSACASA-N 0 2 305.265 0.544 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2c1OCC2 ZINC001601565330 1168783370 /nfs/dbraw/zinc/78/33/70/1168783370.db2.gz SLQFCFSMWSQOIR-LBPRGKRZSA-N 0 2 301.302 0.770 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2c1OCC2 ZINC001601565330 1168783374 /nfs/dbraw/zinc/78/33/74/1168783374.db2.gz SLQFCFSMWSQOIR-LBPRGKRZSA-N 0 2 301.302 0.770 20 0 DCADLN O=C([O-])c1sccc1NC(=O)C(=O)N1CCn2c[nH+]cc2C1 ZINC001601625343 1168796479 /nfs/dbraw/zinc/79/64/79/1168796479.db2.gz OBXAGEZNRBQSIS-UHFFFAOYSA-N 0 2 320.330 0.624 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](Cc2nnc3n2CCCCC3)CC1 ZINC001601685586 1168815459 /nfs/dbraw/zinc/81/54/59/1168815459.db2.gz WGCIDKQZCSLTFL-AWEZNQCLSA-N 0 2 308.382 0.662 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001601768962 1168866827 /nfs/dbraw/zinc/86/68/27/1168866827.db2.gz KWFPIJTZKXLQCH-RYUDHWBXSA-N 0 2 313.375 0.228 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](CCS(=O)(=O)c2ccccc2)C1 ZINC001601768962 1168866840 /nfs/dbraw/zinc/86/68/40/1168866840.db2.gz KWFPIJTZKXLQCH-RYUDHWBXSA-N 0 2 313.375 0.228 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001601780273 1168886087 /nfs/dbraw/zinc/88/60/87/1168886087.db2.gz KQARCWGGGJMJBG-DBIOUOCHSA-N 0 2 320.349 0.405 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC001601910377 1168931159 /nfs/dbraw/zinc/93/11/59/1168931159.db2.gz MUWHRDXYYXGLHK-SFYZADRCSA-N 0 2 309.244 0.328 20 0 DCADLN O=C([O-])[C@@H]1CO[C@H](CCC(=O)N2CC(Oc3cc[nH+]cc3)C2)C1 ZINC001602192557 1169021981 /nfs/dbraw/zinc/02/19/81/1169021981.db2.gz SJERFGNORWVCKD-WCQYABFASA-N 0 2 320.345 0.941 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@@H+]3CC[C@@H](O)[C@H](CO)C3)nc2c1 ZINC001602407270 1169072868 /nfs/dbraw/zinc/07/28/68/1169072868.db2.gz PYDCWAQWKPTMTC-GXFFZTMASA-N 0 2 305.334 0.436 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@H+]3CC[C@@H](O)[C@H](CO)C3)nc2c1 ZINC001602407270 1169072876 /nfs/dbraw/zinc/07/28/76/1169072876.db2.gz PYDCWAQWKPTMTC-GXFFZTMASA-N 0 2 305.334 0.436 20 0 DCADLN O=C([O-])c1sccc1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001602594646 1169128769 /nfs/dbraw/zinc/12/87/69/1169128769.db2.gz LOJYGXFXHOPHMG-SNVBAGLBSA-N 0 2 306.347 0.956 20 0 DCADLN C/C(=C\C(=O)N[C@H]1C[C@H]2C[C@@H](C(=O)[O-])C[C@H]21)C[NH+]1CCOCC1 ZINC001602954527 1169219706 /nfs/dbraw/zinc/21/97/06/1169219706.db2.gz DRLXDMBRKCYSTC-XXIFFHDYSA-N 0 2 322.405 0.880 20 0 DCADLN CC(C)(C)c1nc(CNc2nccnc2-c2nn[nH]n2)n[nH]1 ZINC001603123774 1169248126 /nfs/dbraw/zinc/24/81/26/1169248126.db2.gz FNWVVFQMCLVLTC-UHFFFAOYSA-N 0 2 300.330 0.684 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCC(F)(F)[C@H](CO)C1)C(=O)[O-] ZINC001603971701 1169459709 /nfs/dbraw/zinc/45/97/09/1169459709.db2.gz FUOLIZTYYAHLDC-ONGXEEELSA-N 0 2 308.325 0.161 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCC(F)(F)[C@H](CO)C1)C(=O)[O-] ZINC001603971701 1169459713 /nfs/dbraw/zinc/45/97/13/1169459713.db2.gz FUOLIZTYYAHLDC-ONGXEEELSA-N 0 2 308.325 0.161 20 0 DCADLN CC(C)n1ccc(CC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001604037350 1169475879 /nfs/dbraw/zinc/47/58/79/1169475879.db2.gz UMQPKJRJZNRGQW-GFCCVEGCSA-N 0 2 305.338 0.473 20 0 DCADLN CCCN(C)c1nnc(-c2c[nH]c(=O)c(-c3nn[nH]n3)c2)n1C ZINC001604199841 1169521437 /nfs/dbraw/zinc/52/14/37/1169521437.db2.gz MZVCXTYDJYKWDM-UHFFFAOYSA-N 0 2 315.341 0.609 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001604242256 1169531152 /nfs/dbraw/zinc/53/11/52/1169531152.db2.gz XJRICULBPSECIJ-OTYXRUKQSA-N 0 2 306.322 0.420 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001604242257 1169531446 /nfs/dbraw/zinc/53/14/46/1169531446.db2.gz XJRICULBPSECIJ-XPTSAGLGSA-N 0 2 306.322 0.420 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)NCCc1ncc(C(=O)[O-])s1 ZINC001604248091 1169532366 /nfs/dbraw/zinc/53/23/66/1169532366.db2.gz WLEVSLFAKNJWJN-SECBINFHSA-N 0 2 323.378 0.968 20 0 DCADLN C[C@H](c1nccc(N)n1)[N@@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001604482508 1169599959 /nfs/dbraw/zinc/59/99/59/1169599959.db2.gz UICKKGFVQLEAHM-NXEZZACHSA-N 0 2 317.353 0.747 20 0 DCADLN C[C@H](c1nccc(N)n1)[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001604482508 1169599962 /nfs/dbraw/zinc/59/99/62/1169599962.db2.gz UICKKGFVQLEAHM-NXEZZACHSA-N 0 2 317.353 0.747 20 0 DCADLN CCC(CC)[C@H](C(=O)N[C@H](C(=O)[O-])[C@@H](C)O)[NH+]1CCOCC1 ZINC001605011005 1169778521 /nfs/dbraw/zinc/77/85/21/1169778521.db2.gz AOPLXQGPVSRKSL-KGYLQXTDSA-N 0 2 316.398 0.074 20 0 DCADLN COC/C(C)=C/Cn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC001605043788 1169793195 /nfs/dbraw/zinc/79/31/95/1169793195.db2.gz AEBOLXJWTONTDD-RUDMXATFSA-N 0 2 319.321 0.408 20 0 DCADLN CCC[C@@H](C)NC(=O)[C@@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001605208080 1169869402 /nfs/dbraw/zinc/86/94/02/1169869402.db2.gz FMVOXGHMRKGILC-VXGBXAGGSA-N 0 2 323.397 0.951 20 0 DCADLN CCC[C@@H](C)NC(=O)[C@@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001605208080 1169869411 /nfs/dbraw/zinc/86/94/11/1169869411.db2.gz FMVOXGHMRKGILC-VXGBXAGGSA-N 0 2 323.397 0.951 20 0 DCADLN COc1nscc1Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001605352811 1169900959 /nfs/dbraw/zinc/90/09/59/1169900959.db2.gz VFHOJCTXECQELN-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN CC[N@H+](CC(=O)N(C)C)Cc1snc(OC)c1C(=O)[O-] ZINC001605411550 1169914573 /nfs/dbraw/zinc/91/45/73/1169914573.db2.gz PYFUQHXIOOVERK-UHFFFAOYSA-N 0 2 301.368 0.760 20 0 DCADLN CC[N@@H+](CC(=O)N(C)C)Cc1snc(OC)c1C(=O)[O-] ZINC001605411550 1169914577 /nfs/dbraw/zinc/91/45/77/1169914577.db2.gz PYFUQHXIOOVERK-UHFFFAOYSA-N 0 2 301.368 0.760 20 0 DCADLN CC[N@@H+]1CC[C@H]1CNS(=O)(=O)c1csnc1C(=O)[O-] ZINC001605475886 1169932863 /nfs/dbraw/zinc/93/28/63/1169932863.db2.gz UFPQOAJEGGRDPQ-ZETCQYMHSA-N 0 2 305.381 0.214 20 0 DCADLN CC[N@H+]1CC[C@H]1CNS(=O)(=O)c1csnc1C(=O)[O-] ZINC001605475886 1169932867 /nfs/dbraw/zinc/93/28/67/1169932867.db2.gz UFPQOAJEGGRDPQ-ZETCQYMHSA-N 0 2 305.381 0.214 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)N1CCCO1 ZINC001605457269 1169928433 /nfs/dbraw/zinc/92/84/33/1169928433.db2.gz DZCIXVGXRPYYPA-UHFFFAOYSA-N 0 2 318.337 0.681 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@]1(C)CCOC1 ZINC001605509748 1169942946 /nfs/dbraw/zinc/94/29/46/1169942946.db2.gz HXYRZWGTYJOOSP-CQSZACIVSA-N 0 2 318.337 0.432 20 0 DCADLN CCOC(=O)[C@H]([NH2+]C[C@@H]1[C@@H](C(=O)[O-])C1(F)F)[C@@H]1CCCOC1 ZINC001605515528 1169944400 /nfs/dbraw/zinc/94/44/00/1169944400.db2.gz GOJINORFBQQAMC-CHWFTXMASA-N 0 2 321.320 0.900 20 0 DCADLN CCOC(=O)c1cn(C[N@@H+]2CC[C@](OC)(C(=O)[O-])C2)nc1C ZINC001605577552 1169964535 /nfs/dbraw/zinc/96/45/35/1169964535.db2.gz QANMEJILEPFNPS-CQSZACIVSA-N 0 2 311.338 0.501 20 0 DCADLN CCOC(=O)c1cn(C[N@H+]2CC[C@](OC)(C(=O)[O-])C2)nc1C ZINC001605577552 1169964540 /nfs/dbraw/zinc/96/45/40/1169964540.db2.gz QANMEJILEPFNPS-CQSZACIVSA-N 0 2 311.338 0.501 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)c2cncc(C(=O)[O-])c2)CC1 ZINC001605637064 1169979615 /nfs/dbraw/zinc/97/96/15/1169979615.db2.gz KDKCKPLEJLXETJ-UHFFFAOYSA-N 0 2 307.350 0.574 20 0 DCADLN Cc1onc(CC(=O)NOCc2ccccn2)c1-c1nn[nH]n1 ZINC001606180296 1170105920 /nfs/dbraw/zinc/10/59/20/1170105920.db2.gz SDOQCBBOQZWTTD-UHFFFAOYSA-N 0 2 315.293 0.349 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@H]2CCCSC2)c(-c2nn[nH]n2)c1=O ZINC001606205441 1170112300 /nfs/dbraw/zinc/11/23/00/1170112300.db2.gz FINQGFPACGESEY-SSDOTTSWSA-N 0 2 323.382 0.221 20 0 DCADLN Cn1c(=O)[nH]c(NCC2CC(F)(F)C2)c(-c2nn[nH]n2)c1=O ZINC001606206270 1170112874 /nfs/dbraw/zinc/11/28/74/1170112874.db2.gz UPVDJOPCLKNSMT-UHFFFAOYSA-N 0 2 313.268 0.123 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001606369841 1170171835 /nfs/dbraw/zinc/17/18/35/1170171835.db2.gz HYRDJAYNTAHJFL-ONGXEEELSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001606369841 1170171839 /nfs/dbraw/zinc/17/18/39/1170171839.db2.gz HYRDJAYNTAHJFL-ONGXEEELSA-N 0 2 309.322 0.207 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])CN1C(=O)CCCn1cc[nH+]c1 ZINC001606384122 1170177003 /nfs/dbraw/zinc/17/70/03/1170177003.db2.gz NBMBIMZXDQJGEQ-NWDGAFQWSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)nc1 ZINC001606588439 1170238559 /nfs/dbraw/zinc/23/85/59/1170238559.db2.gz TUSRUUUZSSBNFB-HNNXBMFYSA-N 0 2 319.317 0.674 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)nc1 ZINC001606588439 1170238566 /nfs/dbraw/zinc/23/85/66/1170238566.db2.gz TUSRUUUZSSBNFB-HNNXBMFYSA-N 0 2 319.317 0.674 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(C(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC001606701201 1170268723 /nfs/dbraw/zinc/26/87/23/1170268723.db2.gz YZRYJMYISXFHPA-HNNXBMFYSA-N 0 2 303.318 0.958 20 0 DCADLN COc1c(NC2CC([NH+]3CCOCC3)C2)ncnc1C(=O)[O-] ZINC001607035346 1170361734 /nfs/dbraw/zinc/36/17/34/1170361734.db2.gz VBZQCHIRMLEHFP-UHFFFAOYSA-N 0 2 308.338 0.459 20 0 DCADLN O=C1[C@@H](NCc2ccc(-c3nn[nH]n3)o2)CCN1C1CCC1 ZINC001607037088 1170363227 /nfs/dbraw/zinc/36/32/27/1170363227.db2.gz QVUDUPNFNWMDKH-NSHDSACASA-N 0 2 302.338 0.703 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCOc2ccccc2C1 ZINC001607091971 1170388511 /nfs/dbraw/zinc/38/85/11/1170388511.db2.gz OIXIPIDENZPNPQ-UHFFFAOYSA-N 0 2 324.344 0.881 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1C[C@@H]1CCC[C@@]2(CCOC2)O1 ZINC001607091877 1170389720 /nfs/dbraw/zinc/38/97/20/1170389720.db2.gz LWYABNFPBSLVLC-NHYWBVRUSA-N 0 2 317.349 0.757 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N[C@@](C)(CO)C(=O)[O-])cc1 ZINC001607253562 1170419450 /nfs/dbraw/zinc/41/94/50/1170419450.db2.gz KHEVAUCNBQZHAI-BBRMVZONSA-N 0 2 322.361 0.221 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@H]2C(=O)N[C@@](C)(CO)C(=O)[O-])cc1 ZINC001607253562 1170419453 /nfs/dbraw/zinc/41/94/53/1170419453.db2.gz KHEVAUCNBQZHAI-BBRMVZONSA-N 0 2 322.361 0.221 20 0 DCADLN C[S@](=O)CC[NH+]1CCN([C@H](C(=O)[O-])c2cccnc2)CC1 ZINC001607385855 1170442871 /nfs/dbraw/zinc/44/28/71/1170442871.db2.gz XDAWRZVWPGUQPE-ZSEKCTLFSA-N 0 2 311.407 0.203 20 0 DCADLN Cc1cc(C(=O)[O-])cc(S(=O)(=O)NC[C@@H]2CC[N@H+]2C)c1C ZINC001607593591 1170481262 /nfs/dbraw/zinc/48/12/62/1170481262.db2.gz ZVLMLECOCJIIDY-LBPRGKRZSA-N 0 2 312.391 0.984 20 0 DCADLN Cc1cc(C(=O)[O-])cc(S(=O)(=O)NC[C@@H]2CC[N@@H+]2C)c1C ZINC001607593591 1170481265 /nfs/dbraw/zinc/48/12/65/1170481265.db2.gz ZVLMLECOCJIIDY-LBPRGKRZSA-N 0 2 312.391 0.984 20 0 DCADLN Cc1ccc(N2CCO[C@H](CS(C)(=O)=O)C2)[nH+]c1C(=O)[O-] ZINC001607795169 1170507620 /nfs/dbraw/zinc/50/76/20/1170507620.db2.gz XWFHRQXOJSFVMA-JTQLQIEISA-N 0 2 314.363 0.338 20 0 DCADLN Cc1conc1C[NH+]1CCC(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001607922509 1170524932 /nfs/dbraw/zinc/52/49/32/1170524932.db2.gz GPAVNGYZSFXQHC-VXGBXAGGSA-N 0 2 307.350 0.784 20 0 DCADLN Cc1conc1C[NH+]1CCC(NC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001607922507 1170525127 /nfs/dbraw/zinc/52/51/27/1170525127.db2.gz GPAVNGYZSFXQHC-NWDGAFQWSA-N 0 2 307.350 0.784 20 0 DCADLN Cc1ncccc1C=CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001607988946 1170542065 /nfs/dbraw/zinc/54/20/65/1170542065.db2.gz PBLVCEMMWAVXAU-IHVVCDCBSA-N 0 2 300.318 0.938 20 0 DCADLN Cn1c(=O)oc2ccc(C[N@@H+]3CCO[C@H](CC(=O)[O-])C3)cc21 ZINC001608077121 1170564581 /nfs/dbraw/zinc/56/45/81/1170564581.db2.gz DSNJSHBBQGIQMZ-LLVKDONJSA-N 0 2 306.318 0.807 20 0 DCADLN Cn1c(=O)oc2ccc(C[N@H+]3CCO[C@H](CC(=O)[O-])C3)cc21 ZINC001608077121 1170564588 /nfs/dbraw/zinc/56/45/88/1170564588.db2.gz DSNJSHBBQGIQMZ-LLVKDONJSA-N 0 2 306.318 0.807 20 0 DCADLN Cn1cc(Br)c(C[N@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)n1 ZINC001608094453 1170569092 /nfs/dbraw/zinc/56/90/92/1170569092.db2.gz ZBXPLWQTFOYVJU-MTBHXBHISA-N 0 2 300.156 0.945 20 0 DCADLN Cn1cc(Br)c(C[N@@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)n1 ZINC001608094453 1170569094 /nfs/dbraw/zinc/56/90/94/1170569094.db2.gz ZBXPLWQTFOYVJU-MTBHXBHISA-N 0 2 300.156 0.945 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@@H]3CCC[C@@H](C(=O)[O-])C3)CC[NH2+]2)cn1 ZINC001608105902 1170573672 /nfs/dbraw/zinc/57/36/72/1170573672.db2.gz AAHXHUILYNMVDR-BZPMIXESSA-N 0 2 320.393 0.784 20 0 DCADLN Cn1c[nH+]cc1CCNS(=O)(=O)c1csnc1C(=O)[O-] ZINC001608137077 1170589227 /nfs/dbraw/zinc/58/92/27/1170589227.db2.gz RHUMWJUFVYCEBC-UHFFFAOYSA-N 0 2 316.364 0.096 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CC[C@H](C(=O)[O-])C[C@H]1[C@H]1CCCO1 ZINC001608136334 1170590485 /nfs/dbraw/zinc/59/04/85/1170590485.db2.gz LQDKWKJRWDYSQV-FPMFFAJLSA-N 0 2 321.377 0.833 20 0 DCADLN Cn1nc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c2ccccc21 ZINC001608149208 1170595726 /nfs/dbraw/zinc/59/57/26/1170595726.db2.gz XWZIOBAOCGRRPH-CQSZACIVSA-N 0 2 316.361 0.739 20 0 DCADLN Cn1nc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])c2ccccc21 ZINC001608149208 1170595732 /nfs/dbraw/zinc/59/57/32/1170595732.db2.gz XWZIOBAOCGRRPH-CQSZACIVSA-N 0 2 316.361 0.739 20 0 DCADLN NC(=S)c1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001608247715 1170630403 /nfs/dbraw/zinc/63/04/03/1170630403.db2.gz JYFKTZHPPSMBOP-NSHDSACASA-N 0 2 318.358 0.401 20 0 DCADLN O=C(/C=C/c1ccc(C(=O)[O-])o1)NCC1([NH+]2CCOCC2)CC1 ZINC001608286539 1170642446 /nfs/dbraw/zinc/64/24/46/1170642446.db2.gz XEWKUDCVIXMRFB-DUXPYHPUSA-N 0 2 320.345 0.972 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)C[C@H](O)Cc1ccccc1 ZINC001608289933 1170642638 /nfs/dbraw/zinc/64/26/38/1170642638.db2.gz JMEPXHDVVKSAPB-KGLIPLIRSA-N 0 2 317.345 0.515 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)C[C@H](O)Cc1ccccc1 ZINC001608289933 1170642641 /nfs/dbraw/zinc/64/26/41/1170642641.db2.gz JMEPXHDVVKSAPB-KGLIPLIRSA-N 0 2 317.345 0.515 20 0 DCADLN O=C(C[C@@H]1CSCCS1)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001608299895 1170645057 /nfs/dbraw/zinc/64/50/57/1170645057.db2.gz QLFZCVNVMWJHIP-ZJUUUORDSA-N 0 2 315.420 0.760 20 0 DCADLN O=C(C[C@@H]1CSCCS1)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001608299895 1170645061 /nfs/dbraw/zinc/64/50/61/1170645061.db2.gz QLFZCVNVMWJHIP-ZJUUUORDSA-N 0 2 315.420 0.760 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)CCc1ccc(=O)[nH]c1 ZINC001608316511 1170648204 /nfs/dbraw/zinc/64/82/04/1170648204.db2.gz ZQNFZVDFTATPRX-NSHDSACASA-N 0 2 304.306 0.255 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)CCc1ccc(=O)[nH]c1 ZINC001608316511 1170648206 /nfs/dbraw/zinc/64/82/06/1170648206.db2.gz ZQNFZVDFTATPRX-NSHDSACASA-N 0 2 304.306 0.255 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCC[N@@H+]1CC(=O)NCCCc1ccccc1 ZINC001608333312 1170652876 /nfs/dbraw/zinc/65/28/76/1170652876.db2.gz SIQFELLYEBNVAZ-ZBFHGGJFSA-N 0 2 320.389 0.645 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCC[N@H+]1CC(=O)NCCCc1ccccc1 ZINC001608333312 1170652882 /nfs/dbraw/zinc/65/28/82/1170652882.db2.gz SIQFELLYEBNVAZ-ZBFHGGJFSA-N 0 2 320.389 0.645 20 0 DCADLN O=C([O-])[C@@H](O)CNC(=O)[C@@H](c1ccccc1)[NH+]1CCSCC1 ZINC001608459507 1170684152 /nfs/dbraw/zinc/68/41/52/1170684152.db2.gz DJVJMXYFNLBFSO-QWHCGFSZSA-N 0 2 324.402 0.338 20 0 DCADLN O=C(N[C@H]1C[C@H](C(=O)[O-])C1)c1cccn1CC[NH+]1CCOCC1 ZINC001608492373 1170690417 /nfs/dbraw/zinc/69/04/17/1170690417.db2.gz BKRRPCYZWHUVHS-JOCQHMNTSA-N 0 2 321.377 0.413 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](Cc2cnc(N3CCOCC3)s2)C1 ZINC001608577476 1170706773 /nfs/dbraw/zinc/70/67/73/1170706773.db2.gz MJJFMWDJYUHFNC-CYBMUJFWSA-N 0 2 315.370 0.978 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](Cc2cnc(N3CCOCC3)s2)C1 ZINC001608577476 1170706774 /nfs/dbraw/zinc/70/67/74/1170706774.db2.gz MJJFMWDJYUHFNC-CYBMUJFWSA-N 0 2 315.370 0.978 20 0 DCADLN O=C([O-])C1(O)C[NH+](Cc2ccc(Oc3cnccn3)cc2)C1 ZINC001608578669 1170707091 /nfs/dbraw/zinc/70/70/91/1170707091.db2.gz JDVSIRPZVGNRSN-UHFFFAOYSA-N 0 2 301.302 0.900 20 0 DCADLN O=C([O-])C1(S(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)CCC1 ZINC001608579500 1170707700 /nfs/dbraw/zinc/70/77/00/1170707700.db2.gz KJFRHDURCOVVIP-SNVBAGLBSA-N 0 2 313.379 0.926 20 0 DCADLN O=C([O-])[C@@H]1CC[N@H+](Cc2nnnn2C2CC2)[C@@H]([C@@H]2CCCO2)C1 ZINC001608635436 1170727398 /nfs/dbraw/zinc/72/73/98/1170727398.db2.gz XJRGSWAIMHDVIQ-RTXFEEFZSA-N 0 2 321.381 0.852 20 0 DCADLN O=C([O-])[C@@H]1CC[N@@H+](Cc2nnnn2C2CC2)[C@@H]([C@@H]2CCCO2)C1 ZINC001608635436 1170727399 /nfs/dbraw/zinc/72/73/99/1170727399.db2.gz XJRGSWAIMHDVIQ-RTXFEEFZSA-N 0 2 321.381 0.852 20 0 DCADLN O=C([O-])CCCNC(=S)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001608714856 1170742168 /nfs/dbraw/zinc/74/21/68/1170742168.db2.gz CLNYQYHWZRAURU-NSHDSACASA-N 0 2 301.412 0.132 20 0 DCADLN O=C([O-])Cn1cc(CC[N@@H+]2CCc3cc(Cl)nnc3C2)nn1 ZINC001608796646 1170755288 /nfs/dbraw/zinc/75/52/88/1170755288.db2.gz ITTBPPPKSDDZGO-UHFFFAOYSA-N 0 2 322.756 0.407 20 0 DCADLN O=C([O-])Cn1cc(CC[N@H+]2CCc3cc(Cl)nnc3C2)nn1 ZINC001608796646 1170755295 /nfs/dbraw/zinc/75/52/95/1170755295.db2.gz ITTBPPPKSDDZGO-UHFFFAOYSA-N 0 2 322.756 0.407 20 0 DCADLN O=C([O-])Cn1cc(CNc2ccc(N3CCCC3)c[nH+]2)nn1 ZINC001608797540 1170756360 /nfs/dbraw/zinc/75/63/60/1170756360.db2.gz LNVXWULAZYKEKQ-UHFFFAOYSA-N 0 2 302.338 0.970 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCCCn2cc[nH+]c2)cn1 ZINC001608912539 1170770823 /nfs/dbraw/zinc/77/08/23/1170770823.db2.gz NVFLEDZBIZCVGT-UHFFFAOYSA-N 0 2 310.335 0.345 20 0 DCADLN CC1CCC(NC(=O)Cn2cncc(-c3nn[nH]n3)c2=O)CC1 ZINC001609160805 1170835650 /nfs/dbraw/zinc/83/56/50/1170835650.db2.gz IKNCCJKZCYFUEC-UHFFFAOYSA-N 0 2 317.353 0.118 20 0 DCADLN CC[C@@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)CCCO1 ZINC001609202581 1170868273 /nfs/dbraw/zinc/86/82/73/1170868273.db2.gz LQSDHMBHJIEWBR-GFCCVEGCSA-N 0 2 318.381 0.795 20 0 DCADLN CCc1nc([C@@H](C)Nc2nc(C)cc(-c3nn[nH]n3)n2)n[nH]1 ZINC001609254140 1170899337 /nfs/dbraw/zinc/89/93/37/1170899337.db2.gz LJYNEJOJBDUKQC-SSDOTTSWSA-N 0 2 300.330 0.819 20 0 DCADLN CCc1nn(C[C@H](O)C(C)C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001609255594 1170900151 /nfs/dbraw/zinc/90/01/51/1170900151.db2.gz LIPYBCUJCCQHGX-NSHDSACASA-N 0 2 306.370 0.565 20 0 DCADLN CO[C@H]1CC[N@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C[C@@H]1C ZINC001609314889 1170929831 /nfs/dbraw/zinc/92/98/31/1170929831.db2.gz WKYGHKOXFRHJJP-RYUDHWBXSA-N 0 2 318.381 0.651 20 0 DCADLN CO[C@H]1CC[N@@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C[C@@H]1C ZINC001609314889 1170929834 /nfs/dbraw/zinc/92/98/34/1170929834.db2.gz WKYGHKOXFRHJJP-RYUDHWBXSA-N 0 2 318.381 0.651 20 0 DCADLN C[C@@H](NC(=O)C(C)(C)C)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001609762421 1171081207 /nfs/dbraw/zinc/08/12/07/1171081207.db2.gz RLYGISGWSILQDP-NXEZZACHSA-N 0 2 310.354 0.003 20 0 DCADLN C[C@@H](O)C(=O)N1CC[NH+](CCOc2cccc(C(=O)[O-])c2)CC1 ZINC001609789895 1171086479 /nfs/dbraw/zinc/08/64/79/1171086479.db2.gz VNIHXQKZKQYMFK-GFCCVEGCSA-N 0 2 322.361 0.289 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CC[N@@H+]1Cn1nc(-c2cnn(C)c2)ccc1=O ZINC001609833650 1171099762 /nfs/dbraw/zinc/09/97/62/1171099762.db2.gz LQXIDLJAVFMRCG-CMPLNLGQSA-N 0 2 317.349 0.396 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CC[N@H+]1Cn1nc(-c2cnn(C)c2)ccc1=O ZINC001609833650 1171099768 /nfs/dbraw/zinc/09/97/68/1171099768.db2.gz LQXIDLJAVFMRCG-CMPLNLGQSA-N 0 2 317.349 0.396 20 0 DCADLN CC[C@@H](C(=O)N[C@@H](CCOC)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001609946162 1171124704 /nfs/dbraw/zinc/12/47/04/1171124704.db2.gz GWPZEZZJEXRCED-AGIUHOORSA-N 0 2 316.398 0.482 20 0 DCADLN CC[C@@H](C(=O)N[C@@H](CCOC)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001609946162 1171124709 /nfs/dbraw/zinc/12/47/09/1171124709.db2.gz GWPZEZZJEXRCED-AGIUHOORSA-N 0 2 316.398 0.482 20 0 DCADLN Cc1cc([C@H](C)[N@@H+]2CCN3C(=O)NC(=O)[C@H]3C2)oc1C(=O)[O-] ZINC001610444340 1171236856 /nfs/dbraw/zinc/23/68/56/1171236856.db2.gz DVTOXIGZDLJDDN-DTWKUNHWSA-N 0 2 307.306 0.583 20 0 DCADLN Cc1cc([C@H](C)[N@H+]2CCN3C(=O)NC(=O)[C@H]3C2)oc1C(=O)[O-] ZINC001610444340 1171236859 /nfs/dbraw/zinc/23/68/59/1171236859.db2.gz DVTOXIGZDLJDDN-DTWKUNHWSA-N 0 2 307.306 0.583 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC001610532341 1171247704 /nfs/dbraw/zinc/24/77/04/1171247704.db2.gz FULPLZDTYLEFML-KBPBESRZSA-N 0 2 306.362 0.174 20 0 DCADLN Cc1ccccc1CCNC(=O)C[N@H+]1C[C@@H](O)C[C@H]1C(=O)[O-] ZINC001610532341 1171247710 /nfs/dbraw/zinc/24/77/10/1171247710.db2.gz FULPLZDTYLEFML-KBPBESRZSA-N 0 2 306.362 0.174 20 0 DCADLN Cc1nn(CC(=O)[O-])c(C)c1CNC(=O)[C@H](C)n1cc[nH+]c1 ZINC001610571548 1171258351 /nfs/dbraw/zinc/25/83/51/1171258351.db2.gz PXOZGRQIUAIFOG-NSHDSACASA-N 0 2 305.338 0.658 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(S(=O)(=O)CCCC(=O)[O-])C1 ZINC001610610183 1171267684 /nfs/dbraw/zinc/26/76/84/1171267684.db2.gz RIMBDBZWEIEWCE-NSHDSACASA-N 0 2 315.395 0.794 20 0 DCADLN Cn1nc2ccccc2c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610612724 1171268251 /nfs/dbraw/zinc/26/82/51/1171268251.db2.gz RPODHIHRPXQHDP-LBPRGKRZSA-N 0 2 313.317 0.722 20 0 DCADLN Cn1nc2ccccc2c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610612724 1171268259 /nfs/dbraw/zinc/26/82/59/1171268259.db2.gz RPODHIHRPXQHDP-LBPRGKRZSA-N 0 2 313.317 0.722 20 0 DCADLN O=C(CCc1nc2cccnc2[nH]1)NCCc1n[nH]c(=S)o1 ZINC001625689317 1171477694 /nfs/dbraw/zinc/47/76/94/1171477694.db2.gz JXJNSNSQBIIPKG-UHFFFAOYSA-N 0 2 318.362 0.921 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)c1cc(CO)on1 ZINC001627164506 1171498873 /nfs/dbraw/zinc/49/88/73/1171498873.db2.gz DMJKEYFKMMMNOI-UHFFFAOYSA-N 0 2 301.262 0.910 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(-c3ccccn3)c2C)c1=O ZINC001628805584 1171536102 /nfs/dbraw/zinc/53/61/02/1171536102.db2.gz ONUMCBWBTPTMKN-UHFFFAOYSA-N 0 2 313.321 0.338 20 0 DCADLN COC(OC)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001631930370 1171606094 /nfs/dbraw/zinc/60/60/94/1171606094.db2.gz VYVUSAICIGMGRS-JTQLQIEISA-N 0 2 306.322 0.529 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cccc3nc[nH]c(=O)c32)C(=O)N1C ZINC001641849215 1171929660 /nfs/dbraw/zinc/92/96/60/1171929660.db2.gz FWJXRBJBYVTFJU-SSDOTTSWSA-N 0 2 315.289 0.263 20 0 DCADLN Cc1nc2nc(C)cc(N3CCC[C@@H](c4n[nH]c(=O)[nH]4)C3)n2n1 ZINC001642683809 1171953862 /nfs/dbraw/zinc/95/38/62/1171953862.db2.gz JOYWRVBTSIKODZ-SNVBAGLBSA-N 0 2 314.353 0.949 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC2(C1)CC(F)(F)C2 ZINC001645639225 1172084022 /nfs/dbraw/zinc/08/40/22/1172084022.db2.gz AECSJRHRWVKHNU-UHFFFAOYSA-N 0 2 309.276 0.329 20 0 DCADLN C[C@@H]1CC(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@@H](C)O1 ZINC001645644754 1172085648 /nfs/dbraw/zinc/08/56/48/1172085648.db2.gz DNSUDXZTPAGJFL-RKDXNWHRSA-N 0 2 305.338 0.143 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001646186419 1172282964 /nfs/dbraw/zinc/28/29/64/1172282964.db2.gz XXBLJJXXPIJEND-LLVKDONJSA-N 0 2 318.385 0.335 20 0 DCADLN C[C@H](NC(=O)c1ccc(Cn2ccnn2)o1)c1nn(C)cc1O ZINC001646317253 1172333573 /nfs/dbraw/zinc/33/35/73/1172333573.db2.gz JNNHFTHXZWPGJV-VIFPVBQESA-N 0 2 316.321 0.850 20 0 DCADLN Cc1cc(Cc2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)no1 ZINC001647142184 1172698751 /nfs/dbraw/zinc/69/87/51/1172698751.db2.gz XBUXSASCRIBZDH-UHFFFAOYSA-N 0 2 313.277 0.390 20 0 DCADLN O=C([C@@H]1CCc2n[nH]cc2C1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001647245372 1172762272 /nfs/dbraw/zinc/76/22/72/1172762272.db2.gz DBLXFUCCPARKTJ-ZJUUUORDSA-N 0 2 316.365 0.745 20 0 DCADLN C[C@@H]1CN(CC(C)(C)O)CC[N@@H+]1C[C@@H](O)CCC1(O)CCC1 ZINC001647453210 1172905536 /nfs/dbraw/zinc/90/55/36/1172905536.db2.gz RGEMJUUMUDLEAW-CABCVRRESA-N 0 2 314.470 0.820 20 0 DCADLN COCCc1nc(CN2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001649809082 1173237171 /nfs/dbraw/zinc/23/71/71/1173237171.db2.gz FVSDFHUOSWOXIY-SECBINFHSA-N 0 2 308.342 0.462 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@H]3C[C@@]34CCOC4)CC2)CC1 ZINC001649954577 1173335005 /nfs/dbraw/zinc/33/50/05/1173335005.db2.gz VBLYDRWCGRFZJK-NVXWUHKLSA-N 0 2 307.438 0.651 20 0 DCADLN C[C@H](C(=O)NCC(=O)NCC[NH+]1CCCCC1)n1cc[nH+]c1 ZINC001650024589 1173387021 /nfs/dbraw/zinc/38/70/21/1173387021.db2.gz PUDVEKYLWAGXEU-CYBMUJFWSA-N 0 2 307.398 0.162 20 0 DCADLN CON1CC[C@H](N(C)Cc2nc(=O)c3sccc3[nH]2)C1=O ZINC001650054822 1173410904 /nfs/dbraw/zinc/41/09/04/1173410904.db2.gz RLYHHUGLWLERPZ-VIFPVBQESA-N 0 2 308.363 0.991 20 0 DCADLN NC(=S)c1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC001650836630 1173733663 /nfs/dbraw/zinc/73/36/63/1173733663.db2.gz JQTVWEOSWODFTN-UHFFFAOYSA-N 0 2 305.363 0.507 20 0 DCADLN Cn1cc([C@H](CO)[NH2+]Cc2nc(=O)c3sccc3[n-]2)cn1 ZINC001654074334 1173799961 /nfs/dbraw/zinc/79/99/61/1173799961.db2.gz ZFVFGKOESANZFK-JTQLQIEISA-N 0 2 305.363 0.954 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001668779397 1174836696 /nfs/dbraw/zinc/83/66/96/1174836696.db2.gz IUBNYDWPPHDNAK-XNPJLODASA-N 0 2 320.353 0.752 20 0 DCADLN O=C(NCC1(O)CN(CCCCC(F)(F)F)C1)c1cnn[nH]1 ZINC001672093458 1175077019 /nfs/dbraw/zinc/07/70/19/1175077019.db2.gz QOJUZSHTGMJXQN-UHFFFAOYSA-N 0 2 321.303 0.314 20 0 DCADLN O=C(NCC1(O)C[NH+](CCCCC(F)(F)F)C1)c1cnn[n-]1 ZINC001672093458 1175077026 /nfs/dbraw/zinc/07/70/26/1175077026.db2.gz QOJUZSHTGMJXQN-UHFFFAOYSA-N 0 2 321.303 0.314 20 0 DCADLN Cn1cc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)cn1 ZINC001689220015 1176749217 /nfs/dbraw/zinc/74/92/17/1176749217.db2.gz ABWCOYLQCGHBGH-PKRMOACSSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1cc(C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001689220015 1176749223 /nfs/dbraw/zinc/74/92/23/1176749223.db2.gz ABWCOYLQCGHBGH-PKRMOACSSA-N 0 2 322.262 0.723 20 0 DCADLN COCCOCCN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001699714029 1178260266 /nfs/dbraw/zinc/26/02/66/1178260266.db2.gz NROZFCXKRUTXKA-SNVBAGLBSA-N 0 2 316.295 0.692 20 0 DCADLN Cn1ccc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001703372406 1179507557 /nfs/dbraw/zinc/50/75/57/1179507557.db2.gz ZEOCBCDZIYVSKD-QMMMGPOBSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1ccc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001703372406 1179507564 /nfs/dbraw/zinc/50/75/64/1179507564.db2.gz ZEOCBCDZIYVSKD-QMMMGPOBSA-N 0 2 310.251 0.557 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001704513392 1180033703 /nfs/dbraw/zinc/03/37/03/1180033703.db2.gz SJNPLNUXSCHVIR-ZETCQYMHSA-N 0 2 312.263 0.829 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001704513392 1180033709 /nfs/dbraw/zinc/03/37/09/1180033709.db2.gz SJNPLNUXSCHVIR-ZETCQYMHSA-N 0 2 312.263 0.829 20 0 DCADLN CCCOCC(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001705322604 1180341949 /nfs/dbraw/zinc/34/19/49/1180341949.db2.gz FCCAVXUALYBSMD-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCCOCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001705322604 1180341943 /nfs/dbraw/zinc/34/19/43/1180341943.db2.gz FCCAVXUALYBSMD-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CC[N@H+](CCNC(=O)C=Cc1ccc[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001714580972 1181426079 /nfs/dbraw/zinc/42/60/79/1181426079.db2.gz QFPMNLHZNYTSLC-AATRIKPKSA-N 0 2 304.354 0.490 20 0 DCADLN CC[N@@H+](CCNC(=O)C=Cc1ccc[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001714580972 1181426081 /nfs/dbraw/zinc/42/60/81/1181426081.db2.gz QFPMNLHZNYTSLC-AATRIKPKSA-N 0 2 304.354 0.490 20 0 DCADLN O=C(C=C1CCC1)N[C@@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001717021789 1182628821 /nfs/dbraw/zinc/62/88/21/1182628821.db2.gz SDDLZZOBDBOHEE-HNNXBMFYSA-N 0 2 321.381 0.064 20 0 DCADLN COC[C@@H](C)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001718017783 1183112281 /nfs/dbraw/zinc/11/22/81/1183112281.db2.gz UVWIIEWVLKTDLJ-SNVBAGLBSA-N 0 2 309.370 0.041 20 0 DCADLN COC[C@@H](C)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001718017783 1183112282 /nfs/dbraw/zinc/11/22/82/1183112282.db2.gz UVWIIEWVLKTDLJ-SNVBAGLBSA-N 0 2 309.370 0.041 20 0 DCADLN O=C(CCn1cccn1)NCCCNC(=O)C(F)C(F)(F)F ZINC001720228596 1183517819 /nfs/dbraw/zinc/51/78/19/1183517819.db2.gz GJVXPXDIFIXJCR-SNVBAGLBSA-N 0 2 324.278 0.796 20 0 DCADLN O=C(CCn1cccn1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720228596 1183517824 /nfs/dbraw/zinc/51/78/24/1183517824.db2.gz GJVXPXDIFIXJCR-SNVBAGLBSA-N 0 2 324.278 0.796 20 0 DCADLN C[N@H+](CCNC(=O)c1nccc2occc21)Cc1n[nH]c(=O)[n-]1 ZINC001731780246 1185482820 /nfs/dbraw/zinc/48/28/20/1185482820.db2.gz SWULYHLNABTRIB-UHFFFAOYSA-N 0 2 316.321 0.513 20 0 DCADLN C[N@@H+](CCNC(=O)c1nccc2occc21)Cc1n[nH]c(=O)[n-]1 ZINC001731780246 1185482826 /nfs/dbraw/zinc/48/28/26/1185482826.db2.gz SWULYHLNABTRIB-UHFFFAOYSA-N 0 2 316.321 0.513 20 0 DCADLN CC(C)=CC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001735929955 1186957396 /nfs/dbraw/zinc/95/73/96/1186957396.db2.gz MEDQGPAHSLCWHT-WEDXCCLWSA-N 0 2 312.263 0.541 20 0 DCADLN CC(C)=CC(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001735929955 1186957401 /nfs/dbraw/zinc/95/74/01/1186957401.db2.gz MEDQGPAHSLCWHT-WEDXCCLWSA-N 0 2 312.263 0.541 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CC=CC2)C[C@@H]1O)C(F)C(F)(F)F ZINC001735930136 1186958401 /nfs/dbraw/zinc/95/84/01/1186958401.db2.gz PHXWGATUYLNTQH-KXUCPTDWSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CC=CC2)C[C@@H]1O)[C@@H](F)C(F)(F)F ZINC001735930136 1186958405 /nfs/dbraw/zinc/95/84/05/1186958405.db2.gz PHXWGATUYLNTQH-KXUCPTDWSA-N 0 2 324.274 0.541 20 0 DCADLN CC[C@H](NC(C)=O)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001736784992 1187103682 /nfs/dbraw/zinc/10/36/82/1187103682.db2.gz GUDRNEGVRKLUED-JGVFFNPUSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@H](NC(C)=O)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736784992 1187103685 /nfs/dbraw/zinc/10/36/85/1187103685.db2.gz GUDRNEGVRKLUED-JGVFFNPUSA-N 0 2 315.267 0.034 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCNC(=O)C2(C)CC2)cn1 ZINC001736785160 1187104789 /nfs/dbraw/zinc/10/47/89/1187104789.db2.gz IVWQJZMWESYSPG-UHFFFAOYSA-N 0 2 316.365 0.456 20 0 DCADLN Cc1ncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c(C)n1 ZINC001736785333 1187105226 /nfs/dbraw/zinc/10/52/26/1187105226.db2.gz LVZMRZPZSWIPNS-SECBINFHSA-N 0 2 322.262 0.840 20 0 DCADLN Cc1ncc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c(C)n1 ZINC001736785333 1187105228 /nfs/dbraw/zinc/10/52/28/1187105228.db2.gz LVZMRZPZSWIPNS-SECBINFHSA-N 0 2 322.262 0.840 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)Cc1nncn1C ZINC001751978488 1188371725 /nfs/dbraw/zinc/37/17/25/1188371725.db2.gz BRVGUTBMZJWAOH-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN CCCCCC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001753369731 1188574803 /nfs/dbraw/zinc/57/48/03/1188574803.db2.gz FAVXLGTWIXVPDU-UHFFFAOYSA-N 0 2 317.393 0.903 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001756510038 1189367343 /nfs/dbraw/zinc/36/73/43/1189367343.db2.gz CMBABHOSEDNVSB-IUCAKERBSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CC=CC1)[C@H](F)C(F)(F)F ZINC001756510038 1189367353 /nfs/dbraw/zinc/36/73/53/1189367353.db2.gz CMBABHOSEDNVSB-IUCAKERBSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ncccc1F ZINC001758028001 1189687500 /nfs/dbraw/zinc/68/75/00/1189687500.db2.gz RKQCPUNEYUBGSH-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1ncccc1F ZINC001758028001 1189687503 /nfs/dbraw/zinc/68/75/03/1189687503.db2.gz RKQCPUNEYUBGSH-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NC[C@H]2CNC(=O)C2)cn1 ZINC001771811305 1190509171 /nfs/dbraw/zinc/50/91/71/1190509171.db2.gz GHHLIHPOFVISDO-SECBINFHSA-N 0 2 302.338 0.159 20 0 DCADLN CC(C)[C@H](CNC(=O)c1ccoc1CC(=O)[O-])[NH+]1CCOCC1 ZINC001771921475 1190557265 /nfs/dbraw/zinc/55/72/65/1190557265.db2.gz QRJYTFJCMBKTFH-ZDUSSCGKSA-N 0 2 324.377 0.993 20 0 DCADLN C[C@@H](C(=O)N[C@H](C(=O)[O-])c1ccccn1)[NH+]1CCSCC1 ZINC001771921569 1190557838 /nfs/dbraw/zinc/55/78/38/1190557838.db2.gz OZBCYOJLHUFEKX-JQWIXIFHSA-N 0 2 309.391 0.761 20 0 DCADLN CN(C(=O)Cc1ccsc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042432948 751641982 /nfs/dbraw/zinc/64/19/82/751641982.db2.gz KKMGFSDOIIGMJY-UHFFFAOYSA-N 0 2 307.379 0.457 20 0 DCADLN Cc1ncc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001042527536 751719478 /nfs/dbraw/zinc/71/94/78/751719478.db2.gz BYSVZLMZVRPULO-UHFFFAOYSA-N 0 2 308.367 0.232 20 0 DCADLN CCc1cnccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043173770 752115793 /nfs/dbraw/zinc/11/57/93/752115793.db2.gz GXTPHFJHDCIAIS-UHFFFAOYSA-N 0 2 316.365 0.424 20 0 DCADLN CC[C@@H](C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377089 752188836 /nfs/dbraw/zinc/18/88/36/752188836.db2.gz RQRCRLNSJTYHDS-SFYZADRCSA-N 0 2 314.279 0.622 20 0 DCADLN CC[C@@H](C)C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043377089 752188838 /nfs/dbraw/zinc/18/88/38/752188838.db2.gz RQRCRLNSJTYHDS-SFYZADRCSA-N 0 2 314.279 0.622 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccco2)C1)C(F)C(F)(F)F ZINC001043373581 752200867 /nfs/dbraw/zinc/20/08/67/752200867.db2.gz BIADVXMNQOAREF-QMMMGPOBSA-N 0 2 324.230 0.483 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccco2)C1)[C@H](F)C(F)(F)F ZINC001043373581 752200871 /nfs/dbraw/zinc/20/08/71/752200871.db2.gz BIADVXMNQOAREF-QMMMGPOBSA-N 0 2 324.230 0.483 20 0 DCADLN Cc1cnc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001043426723 752224490 /nfs/dbraw/zinc/22/44/90/752224490.db2.gz NYQKGPYMXYJNCQ-UHFFFAOYSA-N 0 2 316.365 0.479 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)N2CC(n3cc(C[NH2+]CCF)nn3)C2)C1 ZINC001105327260 748137956 /nfs/dbraw/zinc/13/79/56/748137956.db2.gz YRJHWRBQGQJHME-LBPRGKRZSA-N 0 2 324.404 0.062 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2C[C@@H](Nc3cc[nH+]c(C)n3)C2)c1[O-] ZINC001059189204 748818654 /nfs/dbraw/zinc/81/86/54/748818654.db2.gz XJOVSOFXIABNEF-MGCOHNPYSA-N 0 2 302.338 0.895 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071494142 761903208 /nfs/dbraw/zinc/90/32/08/761903208.db2.gz OLINAQHXICFPRY-ZJUUUORDSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2[nH]cnc2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087851132 749089455 /nfs/dbraw/zinc/08/94/55/749089455.db2.gz KNVOSRCGIGKFNM-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2[nH]cnc2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087851132 749089458 /nfs/dbraw/zinc/08/94/58/749089458.db2.gz KNVOSRCGIGKFNM-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3ccn(C)n3)[C@@H]2C)c1[O-] ZINC001088526061 749550174 /nfs/dbraw/zinc/55/01/74/749550174.db2.gz ZHQLYWZIWMUDHB-PWSUYJOCSA-N 0 2 318.381 0.550 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3ccn(C)n3)[C@@H]2C)c1[O-] ZINC001088526061 749550178 /nfs/dbraw/zinc/55/01/78/749550178.db2.gz ZHQLYWZIWMUDHB-PWSUYJOCSA-N 0 2 318.381 0.550 20 0 DCADLN COCC[N@H+]1CC[C@H](NC(=O)c2cc(C[NH+](C)C)on2)[C@H]1C ZINC001088687958 749844578 /nfs/dbraw/zinc/84/45/78/749844578.db2.gz ZSWHBLWESCCQEF-YPMHNXCESA-N 0 2 310.398 0.575 20 0 DCADLN O=S(=O)([O-])c1cccc(N[C@@H]2CCC[N@@H+](C3COC3)C2)n1 ZINC001168369217 750411233 /nfs/dbraw/zinc/41/12/33/750411233.db2.gz ZMFLTHBVDFARBF-SNVBAGLBSA-N 0 2 313.379 0.603 20 0 DCADLN O=S(=O)([O-])c1cccc(N[C@@H]2CCC[N@H+](C3COC3)C2)n1 ZINC001168369217 750411238 /nfs/dbraw/zinc/41/12/38/750411238.db2.gz ZMFLTHBVDFARBF-SNVBAGLBSA-N 0 2 313.379 0.603 20 0 DCADLN COC[C@@H](C)[C@@H](C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112944557 762039492 /nfs/dbraw/zinc/03/94/92/762039492.db2.gz HAYAGIJQVJMVAP-GHMZBOCLSA-N 0 2 311.386 0.073 20 0 DCADLN CCC(=O)N1CCc2sc(CNCc3n[nH]c(=O)[nH]3)nc2C1 ZINC001089266330 750920510 /nfs/dbraw/zinc/92/05/10/750920510.db2.gz VSAHAVQUAMSFMY-UHFFFAOYSA-N 0 2 322.394 0.551 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CC2(F)F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046300789 750950950 /nfs/dbraw/zinc/95/09/50/750950950.db2.gz BCPHUPYVWUWSOJ-HQJQHLMTSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CC2(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300789 750950954 /nfs/dbraw/zinc/95/09/54/750950954.db2.gz BCPHUPYVWUWSOJ-HQJQHLMTSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CC2(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300789 750950957 /nfs/dbraw/zinc/95/09/57/750950957.db2.gz BCPHUPYVWUWSOJ-HQJQHLMTSA-N 0 2 301.297 0.246 20 0 DCADLN CN(C(=O)c1ccoc1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043650442 752334342 /nfs/dbraw/zinc/33/43/42/752334342.db2.gz YDYYDNJZSSKJIK-UHFFFAOYSA-N 0 2 317.349 0.937 20 0 DCADLN Cc1cccc(CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001043719576 752363867 /nfs/dbraw/zinc/36/38/67/752363867.db2.gz RTLSNXQKMTXJIV-UHFFFAOYSA-N 0 2 315.377 0.704 20 0 DCADLN Cc1nonc1C[NH2+]C[C@@H]1CCCN1C(=O)c1n[nH]c(C)c1[O-] ZINC001044996634 752984563 /nfs/dbraw/zinc/98/45/63/752984563.db2.gz BKIYEOAOAXQQMG-JTQLQIEISA-N 0 2 320.353 0.510 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)c1[O-] ZINC001078034062 752994143 /nfs/dbraw/zinc/99/41/43/752994143.db2.gz LXKRVOYWQCCVAD-QMMMGPOBSA-N 0 2 320.353 0.014 20 0 DCADLN Cc1ocnc1C(=O)NC1(C)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045381040 753170038 /nfs/dbraw/zinc/17/00/38/753170038.db2.gz BLQLTJKJYXISCX-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN CC1(NC(=O)c2ccns2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045454283 753200669 /nfs/dbraw/zinc/20/06/69/753200669.db2.gz ICGBXJBYQWNGAN-UHFFFAOYSA-N 0 2 322.394 0.751 20 0 DCADLN CCCC(=O)NCc1cnn2c1CN(Cc1n[nH]c(=O)[nH]1)CC2 ZINC001128153802 753274268 /nfs/dbraw/zinc/27/42/68/753274268.db2.gz RCKYLUAPYABBCL-UHFFFAOYSA-N 0 2 319.369 0.139 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CC=CCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046137787 753502647 /nfs/dbraw/zinc/50/26/47/753502647.db2.gz OLMUFSKPFNKTOL-ABAIWWIYSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CC=CCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046137787 753502650 /nfs/dbraw/zinc/50/26/50/753502650.db2.gz OLMUFSKPFNKTOL-ABAIWWIYSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)n1C ZINC001046141336 753504737 /nfs/dbraw/zinc/50/47/37/753504737.db2.gz IPUBFFGWJDVDEQ-HNNXBMFYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)n1C ZINC001046141336 753504742 /nfs/dbraw/zinc/50/47/42/753504742.db2.gz IPUBFFGWJDVDEQ-HNNXBMFYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ncncc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046169667 753525047 /nfs/dbraw/zinc/52/50/47/753525047.db2.gz UWTUUFSFYHHHEB-CQSZACIVSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC001046270603 753603660 /nfs/dbraw/zinc/60/36/60/753603660.db2.gz XLMWPTWQRLMQNY-CYBMUJFWSA-N 0 2 322.394 0.670 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CCC2(F)F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046409508 753700017 /nfs/dbraw/zinc/70/00/17/753700017.db2.gz VYXIDRCDACWVKP-QPUJVOFHSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CCC2(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046409508 753700020 /nfs/dbraw/zinc/70/00/20/753700020.db2.gz VYXIDRCDACWVKP-QPUJVOFHSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CCC2(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046409508 753700024 /nfs/dbraw/zinc/70/00/24/753700024.db2.gz VYXIDRCDACWVKP-QPUJVOFHSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1c(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1C ZINC001046606717 753825129 /nfs/dbraw/zinc/82/51/29/753825129.db2.gz GOXJJNBFORXHJL-HNNXBMFYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1c(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1C ZINC001046606717 753825135 /nfs/dbraw/zinc/82/51/35/753825135.db2.gz GOXJJNBFORXHJL-HNNXBMFYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1nc(N[C@@H](C)[C@@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)cc[nH+]1 ZINC001113364025 762271343 /nfs/dbraw/zinc/27/13/43/762271343.db2.gz HUHHQPXMIOYYPL-NKWVEPMBSA-N 0 2 319.325 0.000 20 0 DCADLN COCC(=O)NC1(CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001063305440 755146150 /nfs/dbraw/zinc/14/61/50/755146150.db2.gz JWMPUDKADPSPEI-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN COCC(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CCC1 ZINC001063305440 755146154 /nfs/dbraw/zinc/14/61/54/755146154.db2.gz JWMPUDKADPSPEI-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(c1cnco1)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049625316 755339161 /nfs/dbraw/zinc/33/91/61/755339161.db2.gz KHPWXYMNEKGSDC-VHSXEESVSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cnco1)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049625316 755339164 /nfs/dbraw/zinc/33/91/64/755339164.db2.gz KHPWXYMNEKGSDC-VHSXEESVSA-N 0 2 318.337 0.377 20 0 DCADLN CC[C@H](F)C(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049650461 755347855 /nfs/dbraw/zinc/34/78/55/755347855.db2.gz YNGSYKYOAITJLU-HBNTYKKESA-N 0 2 311.361 0.824 20 0 DCADLN CC[C@H](F)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049650461 755347860 /nfs/dbraw/zinc/34/78/60/755347860.db2.gz YNGSYKYOAITJLU-HBNTYKKESA-N 0 2 311.361 0.824 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C(F)F)CCO1)C(F)C(F)(F)F ZINC001064727252 755476871 /nfs/dbraw/zinc/47/68/71/755476871.db2.gz ABUVPAYIDJNBIZ-PHDIDXHHSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C(F)F)CCO1)[C@@H](F)C(F)(F)F ZINC001064727252 755476872 /nfs/dbraw/zinc/47/68/72/755476872.db2.gz ABUVPAYIDJNBIZ-PHDIDXHHSA-N 0 2 322.205 0.496 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccccc1F ZINC001079365574 755787172 /nfs/dbraw/zinc/78/71/72/755787172.db2.gz BHYHCOUQKAQYQR-BXKDBHETSA-N 0 2 319.340 0.900 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001079535271 755888999 /nfs/dbraw/zinc/88/89/99/755888999.db2.gz LXUPIGOBXXPNJL-VXNVDRBHSA-N 0 2 306.326 0.057 20 0 DCADLN Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)co1 ZINC001079579392 755916269 /nfs/dbraw/zinc/91/62/69/755916269.db2.gz GTNAWMGWACJVHJ-PRHODGIISA-N 0 2 319.365 0.970 20 0 DCADLN Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)co1 ZINC001079579392 755916272 /nfs/dbraw/zinc/91/62/72/755916272.db2.gz GTNAWMGWACJVHJ-PRHODGIISA-N 0 2 319.365 0.970 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC(C)(C)C1 ZINC001079656140 755947589 /nfs/dbraw/zinc/94/75/89/755947589.db2.gz BBPOIXWFTKOAPK-MWLCHTKSSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC(C)(C)C1 ZINC001079656140 755947592 /nfs/dbraw/zinc/94/75/92/755947592.db2.gz BBPOIXWFTKOAPK-MWLCHTKSSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)[C@@H](C)C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053456863 756050424 /nfs/dbraw/zinc/05/04/24/756050424.db2.gz SELHJGOPNOJEQF-LLVKDONJSA-N 0 2 323.397 0.216 20 0 DCADLN O=C(c1ccccn1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084151657 757698926 /nfs/dbraw/zinc/69/89/26/757698926.db2.gz KJSZHJXIGDFOPX-ZYHUDNBSSA-N 0 2 314.349 0.252 20 0 DCADLN O=C(c1ccco1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084156721 757700447 /nfs/dbraw/zinc/70/04/47/757700447.db2.gz WLEGSXJLFNFZMD-NXEZZACHSA-N 0 2 303.322 0.450 20 0 DCADLN Cn1ccc(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)c1 ZINC001084277892 757769025 /nfs/dbraw/zinc/76/90/25/757769025.db2.gz ALVLSHMGZUWILQ-ZYHUDNBSSA-N 0 2 316.365 0.195 20 0 DCADLN O=C(c1ccns1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084382255 757813322 /nfs/dbraw/zinc/81/33/22/757813322.db2.gz WJCAPYHOMCOCAL-RKDXNWHRSA-N 0 2 320.378 0.313 20 0 DCADLN CS(=O)(=O)CCC(=O)Nc1nccc(Br)c1O ZINC000828083263 758925835 /nfs/dbraw/zinc/92/58/35/758925835.db2.gz FQJOZJQCQVESDL-UHFFFAOYSA-N 0 2 323.168 0.923 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CCCOCC1 ZINC001085572171 759071408 /nfs/dbraw/zinc/07/14/08/759071408.db2.gz STRJWKMJOFXBAX-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085877896 759420700 /nfs/dbraw/zinc/42/07/00/759420700.db2.gz OQNOYEZAZZCBSU-JTQLQIEISA-N 0 2 319.369 0.197 20 0 DCADLN CC(C)(C)C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001108358551 760430879 /nfs/dbraw/zinc/43/08/79/760430879.db2.gz PHDUNIBAMUKJQN-CQSZACIVSA-N 0 2 311.386 0.264 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H]([NH2+][C@@H](CCC(=O)[O-])C(=O)OC)CO1 ZINC001169322434 760632623 /nfs/dbraw/zinc/63/26/23/760632623.db2.gz USCVDPRQVAATHB-VWYCJHECSA-N 0 2 317.338 0.093 20 0 DCADLN C[C@@H]1CN(C(=O)C2CC2)C[C@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071119008 761633443 /nfs/dbraw/zinc/63/34/43/761633443.db2.gz IHZJNZRZUMVJAW-MEBBXXQBSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@@H]1CN(C(=O)C2CC2)C[C@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071119008 761633448 /nfs/dbraw/zinc/63/34/48/761633448.db2.gz IHZJNZRZUMVJAW-MEBBXXQBSA-N 0 2 321.381 0.112 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112658459 761764511 /nfs/dbraw/zinc/76/45/11/761764511.db2.gz GHOWWRHMPAKPGO-LLVKDONJSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cnccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071321712 761778236 /nfs/dbraw/zinc/77/82/36/761778236.db2.gz NFIXDKFFOUQPLY-VHSXEESVSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cscn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071378685 761809333 /nfs/dbraw/zinc/80/93/33/761809333.db2.gz NPPFZCWXNGJURI-IUCAKERBSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)CC(F)(F)F)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131892149 764105536 /nfs/dbraw/zinc/10/55/36/764105536.db2.gz LCGXCVYBAFTXGP-HTQZYQBOSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)CC(F)(F)F)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131892149 764105543 /nfs/dbraw/zinc/10/55/43/764105543.db2.gz LCGXCVYBAFTXGP-HTQZYQBOSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)CC(F)(F)F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001131892149 764105547 /nfs/dbraw/zinc/10/55/47/764105547.db2.gz LCGXCVYBAFTXGP-HTQZYQBOSA-N 0 2 321.303 0.932 20 0 DCADLN COCc1nnc(CN2C(=O)[C@H](COC(C)C)N(C)C2=O)[nH]1 ZINC001118379712 766303890 /nfs/dbraw/zinc/30/38/90/766303890.db2.gz MUAAJEXANHTDCD-VIFPVBQESA-N 0 2 311.342 0.139 20 0 DCADLN O=C([O-])c1ncccc1NC(=O)C(=O)N1CC[NH+](C2CC2)CC1 ZINC001118480788 766327755 /nfs/dbraw/zinc/32/77/55/766327755.db2.gz OXDRZWMRNNHUQA-UHFFFAOYSA-N 0 2 318.333 0.025 20 0 DCADLN O=C(c1cccc(Nc2nn[nH]n2)c1)N1CCc2[nH]nnc2C1 ZINC001136907730 766590053 /nfs/dbraw/zinc/59/00/53/766590053.db2.gz OZXOXKQZSHBMGY-UHFFFAOYSA-N 0 2 311.309 0.260 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1nc2cnccc2s1 ZINC001149283632 768723439 /nfs/dbraw/zinc/72/34/39/768723439.db2.gz DUXNBKVMZPOJLM-UHFFFAOYSA-N 0 2 303.303 0.823 20 0 DCADLN COc1ccc(-n2cc(C(=O)NCc3n[nH]c(=O)[nH]3)nn2)cc1 ZINC001149297554 768727737 /nfs/dbraw/zinc/72/77/37/768727737.db2.gz OSFWKBZTNJBBLC-UHFFFAOYSA-N 0 2 315.293 0.030 20 0 DCADLN CCOCCCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001230860803 768890168 /nfs/dbraw/zinc/89/01/68/768890168.db2.gz TWFQMUCUTCWPGP-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCCSCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001230927379 769135289 /nfs/dbraw/zinc/13/52/89/769135289.db2.gz YODJGKFJDNNSPU-SNVBAGLBSA-N 0 2 313.427 0.686 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001233739838 769435173 /nfs/dbraw/zinc/43/51/73/769435173.db2.gz ANOHVGBITPZTMB-NWDGAFQWSA-N 0 2 318.381 0.606 20 0 DCADLN CN(C)[C@H](C(=O)NCC[NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001152529579 769593382 /nfs/dbraw/zinc/59/33/82/769593382.db2.gz RHGPEEMXTUZUNG-ZDUSSCGKSA-N 0 2 318.381 0.019 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nnn(C)n1)C(=O)C(F)C(F)(F)F ZINC001234425372 769652652 /nfs/dbraw/zinc/65/26/52/769652652.db2.gz MPWONKYACVIWPV-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nnn(C)n1)C(=O)[C@@H](F)C(F)(F)F ZINC001234425372 769652657 /nfs/dbraw/zinc/65/26/57/769652657.db2.gz MPWONKYACVIWPV-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN Cn1c(C(=O)NCc2n[nH]c(=O)[nH]2)cc2c1ncnc2Cl ZINC001152930813 769676221 /nfs/dbraw/zinc/67/62/21/769676221.db2.gz SAIMKHNRGARKNC-UHFFFAOYSA-N 0 2 307.701 0.375 20 0 DCADLN CCOc1ncc(NS(=O)(=O)c2cc(N)ccc2N)cn1 ZINC001175560243 769926494 /nfs/dbraw/zinc/92/64/94/769926494.db2.gz CVHYMGDWUFXPGA-UHFFFAOYSA-N 0 2 309.351 0.841 20 0 DCADLN COC(=O)[C@]1(F)CCN(C(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153859345 769964662 /nfs/dbraw/zinc/96/46/62/769964662.db2.gz ZOQIPCZFPQQSRJ-HNNXBMFYSA-N 0 2 319.292 0.650 20 0 DCADLN CO[C@@H]1COCC[C@H]1NC(=O)c1c[nH]c2cccnc2c1=O ZINC001153859888 769966726 /nfs/dbraw/zinc/96/67/26/769966726.db2.gz ADAZYZFSMXUBSY-ZYHUDNBSSA-N 0 2 303.318 0.457 20 0 DCADLN CC(=O)NC[C@@H](C)CNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153861436 769968887 /nfs/dbraw/zinc/96/88/87/769968887.db2.gz CMESUHBRGXVSTJ-SECBINFHSA-N 0 2 302.334 0.425 20 0 DCADLN COC(=O)[C@H]1CCC[C@H](C(=O)NCCc2n[nH]c(=S)o2)C1 ZINC001154901302 770331577 /nfs/dbraw/zinc/33/15/77/770331577.db2.gz XPEVDVKLOWWZPV-IUCAKERBSA-N 0 2 313.379 0.996 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccnc2ccc(O)cc21 ZINC001155140571 770412207 /nfs/dbraw/zinc/41/22/07/770412207.db2.gz BXHLRVWZULITGY-GFCCVEGCSA-N 0 2 303.299 0.979 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H]1CCCN1Cc1ccco1 ZINC001177627594 770515081 /nfs/dbraw/zinc/51/50/81/770515081.db2.gz JJZLPBUSJAUVCT-JOYOIKCWSA-N 0 2 309.347 0.669 20 0 DCADLN COC(=O)c1ccc2[nH]c(C(=O)NCc3n[nH]c(=O)[nH]3)cc2c1 ZINC001156518628 770842693 /nfs/dbraw/zinc/84/26/93/770842693.db2.gz MIRACKLMVWKQLI-UHFFFAOYSA-N 0 2 315.289 0.708 20 0 DCADLN O=C1[N-]OC[C@H]1Nc1cc(-c2cc3c([nH]2)CCNC3=O)cc[nH+]1 ZINC001156691705 770897170 /nfs/dbraw/zinc/89/71/70/770897170.db2.gz WTKXYDLCUKBCMW-GFCCVEGCSA-N 0 2 313.317 0.205 20 0 DCADLN CC[N@H+](CC(=O)N1CCC1)[C@H](C)CNC(=O)Cn1cc[nH+]c1C ZINC001156942377 770971410 /nfs/dbraw/zinc/97/14/10/770971410.db2.gz NUWPFJHKDCPFRD-CYBMUJFWSA-N 0 2 321.425 0.250 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)c1ccn2nnnc2c1 ZINC001157356018 771594535 /nfs/dbraw/zinc/59/45/35/771594535.db2.gz RMBXCNWKGRBLNX-UHFFFAOYSA-N 0 2 322.288 0.867 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2cc(NC(C)=O)ncn2)n1 ZINC001185161993 771871506 /nfs/dbraw/zinc/87/15/06/771871506.db2.gz XSLAHRNHVOMTQU-UHFFFAOYSA-N 0 2 320.334 0.905 20 0 DCADLN COc1cnc(Cl)nc1Nc1c(O)[nH]c(=O)[nH]c1=S ZINC001160847444 772121783 /nfs/dbraw/zinc/12/17/83/772121783.db2.gz JVGGRPRFCHDUNE-SCSAIBSYSA-N 0 2 301.715 0.086 20 0 DCADLN CN(CCO)C(=O)c1cc(-n2ncc(=O)[nH]c2=O)ccc1Cl ZINC001161114920 772171530 /nfs/dbraw/zinc/17/15/30/772171530.db2.gz ILHZVHOKLRUDQI-UHFFFAOYSA-N 0 2 324.724 0.051 20 0 DCADLN CN1CCN(c2cc(NS(=O)(=O)CCCF)ncn2)CC1 ZINC001189868473 772546987 /nfs/dbraw/zinc/54/69/87/772546987.db2.gz LLFWCOAXTATERI-UHFFFAOYSA-N 0 2 317.390 0.330 20 0 DCADLN CC(C)NC(=O)C[NH+](C)[C@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190947599 772696100 /nfs/dbraw/zinc/69/61/00/772696100.db2.gz RCBNWMAICWNCCW-GJZGRUSLSA-N 0 2 324.469 0.528 20 0 DCADLN C[C@@]1(CO)CN(C(=O)c2c(F)ccc(F)c2O)CC[C@@H]1O ZINC001192693493 772948630 /nfs/dbraw/zinc/94/86/30/772948630.db2.gz KCUJLEQMKTVGIS-HZMBPMFUSA-N 0 2 301.289 0.876 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2c(F)ccc(F)c2O)CCN1C ZINC001192703060 772948773 /nfs/dbraw/zinc/94/87/73/772948773.db2.gz NKTWTINBGXTOHL-JTQLQIEISA-N 0 2 314.288 0.600 20 0 DCADLN CCOC(=O)C(C)(C)S(=O)(=O)Nc1cnc(OC)nc1C ZINC001193024771 772997112 /nfs/dbraw/zinc/99/71/12/772997112.db2.gz UCNJAWPLDUWYOI-UHFFFAOYSA-N 0 2 317.367 0.877 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206895866 773124271 /nfs/dbraw/zinc/12/42/71/773124271.db2.gz NHWLPCUUQPZIML-OUAUKWLOSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206895866 773124274 /nfs/dbraw/zinc/12/42/74/773124274.db2.gz NHWLPCUUQPZIML-OUAUKWLOSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C)CCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195598529 773441327 /nfs/dbraw/zinc/44/13/27/773441327.db2.gz WMYJIALDURUVMX-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnn3c2OCCC3)cn1 ZINC001195693641 773463879 /nfs/dbraw/zinc/46/38/79/773463879.db2.gz VMXZIHNRIXQGHN-UHFFFAOYSA-N 0 2 310.335 0.870 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3cnsn3)C[C@H]21)C(F)C(F)(F)F ZINC001114309611 773493176 /nfs/dbraw/zinc/49/31/76/773493176.db2.gz ZFMGEXAPXMJUEJ-KDXUFGMBSA-N 0 2 324.303 0.985 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3cnsn3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001114309611 773493179 /nfs/dbraw/zinc/49/31/79/773493179.db2.gz ZFMGEXAPXMJUEJ-KDXUFGMBSA-N 0 2 324.303 0.985 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cnc(N(C)CC)nc1 ZINC001195942000 773500079 /nfs/dbraw/zinc/50/00/79/773500079.db2.gz OGCFYXFPNWLTKR-UHFFFAOYSA-N 0 2 302.356 0.238 20 0 DCADLN COCCCN1CC[C@@H]([NH+]2CCOCC2)C[C@@]1(C)C(=O)OC ZINC001209050976 773557571 /nfs/dbraw/zinc/55/75/71/773557571.db2.gz YMVHWMNOBCGQST-ZBFHGGJFSA-N 0 2 314.426 0.751 20 0 DCADLN CCOC(=O)c1ncncc1NS(=O)(=O)Cc1cccnc1 ZINC001197423376 773745697 /nfs/dbraw/zinc/74/56/97/773745697.db2.gz XIQWZBJVNPKENF-UHFFFAOYSA-N 0 2 322.346 0.990 20 0 DCADLN COC(=O)c1ncc(NS(=O)(=O)c2cc(N)ccc2N)cn1 ZINC001211161599 773776871 /nfs/dbraw/zinc/77/68/71/773776871.db2.gz ZQSOLLWYTGAXTQ-UHFFFAOYSA-N 0 2 323.334 0.228 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)CC(C)=C(C)C ZINC001213284998 773829209 /nfs/dbraw/zinc/82/92/09/773829209.db2.gz AKUCGQXWHPAJFL-VXGBXAGGSA-N 0 2 323.397 0.572 20 0 DCADLN CCCC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2OC)CC1 ZINC001213412569 773844772 /nfs/dbraw/zinc/84/47/72/773844772.db2.gz YENRZTYGGVXMMA-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)Oc1ccc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)cn1 ZINC001213928495 773902697 /nfs/dbraw/zinc/90/26/97/773902697.db2.gz YUBNMKZIQLWYPG-UHFFFAOYSA-N 0 2 315.289 0.962 20 0 DCADLN O=c1[nH]cc(-c2nc(-c3cccnc3OC(F)F)no2)[nH]c1=O ZINC001213928452 773902880 /nfs/dbraw/zinc/90/28/80/773902880.db2.gz XVEUDFXRMAZFBQ-UHFFFAOYSA-N 0 2 323.215 0.777 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cn(Cc2ccccn2)cn1 ZINC001198376333 773910407 /nfs/dbraw/zinc/91/04/07/773910407.db2.gz UZXXRWVXVNKVOV-GFCCVEGCSA-N 0 2 317.330 0.365 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccncc1N1CCCCC1 ZINC001199364848 774096689 /nfs/dbraw/zinc/09/66/89/774096689.db2.gz RIAWUOKJHUROJN-UHFFFAOYSA-N 0 2 302.338 0.826 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(=O)n(CC(F)(F)F)c1 ZINC001199500459 774124893 /nfs/dbraw/zinc/12/48/93/774124893.db2.gz BVZLOJXSXXKJKB-UHFFFAOYSA-N 0 2 317.227 0.164 20 0 DCADLN O=C(N[C@@H]1CN(Cc2cccnc2)C[C@@H]1O)C(F)C(F)(F)F ZINC001219665370 774574717 /nfs/dbraw/zinc/57/47/17/774574717.db2.gz ILJOMBRZJVVQMT-OUAUKWLOSA-N 0 2 321.274 0.643 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc2cc(F)ccc2[nH]c1=O ZINC001137240140 775016912 /nfs/dbraw/zinc/01/69/12/775016912.db2.gz SQWXLRDKJFGZAR-UHFFFAOYSA-N 0 2 303.253 0.421 20 0 DCADLN Cc1cncc(O[C@@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])c1 ZINC001225479792 775685137 /nfs/dbraw/zinc/68/51/37/775685137.db2.gz AQXTYAHKANRGDD-ZDUSSCGKSA-N 0 2 316.379 0.357 20 0 DCADLN C[C@@H](Oc1[nH]c(=O)nc2c(=O)[nH]c(=O)[nH]c21)c1ncccn1 ZINC001226889126 775893713 /nfs/dbraw/zinc/89/37/13/775893713.db2.gz YKAYNYFOEDVKGU-RXMQYKEDSA-N 0 2 302.250 0.467 20 0 DCADLN CCOC(=O)[C@H]1CC[C@@H](Oc2[nH]c(=O)nc3nc[nH]c32)CO1 ZINC001227069743 775923191 /nfs/dbraw/zinc/92/31/91/775923191.db2.gz IVWSNULYNQTPAN-HTQZYQBOSA-N 0 2 308.294 0.548 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1(C(F)F)CC1 ZINC001227389957 775969717 /nfs/dbraw/zinc/96/97/17/775969717.db2.gz KFFDBRYDHZACPB-UHFFFAOYSA-N 0 2 315.324 0.636 20 0 DCADLN Cn1ncnc1CN1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001227473764 775976569 /nfs/dbraw/zinc/97/65/69/775976569.db2.gz CCDPJPZHYYRPIY-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CCC(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001227473764 775976576 /nfs/dbraw/zinc/97/65/76/775976576.db2.gz CCDPJPZHYYRPIY-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN CN(CCCN(C)C(=O)C(F)C(F)(F)F)C(=O)CC(N)=O ZINC001067054569 776782058 /nfs/dbraw/zinc/78/20/58/776782058.db2.gz DPJUNHQJZOYRPJ-SECBINFHSA-N 0 2 315.267 0.069 20 0 DCADLN CN(CCCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)CC(N)=O ZINC001067054569 776782064 /nfs/dbraw/zinc/78/20/64/776782064.db2.gz DPJUNHQJZOYRPJ-SECBINFHSA-N 0 2 315.267 0.069 20 0 DCADLN CCCC(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041193252 777118169 /nfs/dbraw/zinc/11/81/69/777118169.db2.gz HEEDYKZBHCYXOF-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CCCC(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001041193252 777118177 /nfs/dbraw/zinc/11/81/77/777118177.db2.gz HEEDYKZBHCYXOF-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(C(F)F)N1CC[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001041876240 777561045 /nfs/dbraw/zinc/56/10/45/777561045.db2.gz LHOXNZASTLKRIM-SFYZADRCSA-N 0 2 301.297 0.198 20 0 DCADLN CSCC(=O)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041918685 777584146 /nfs/dbraw/zinc/58/41/46/777584146.db2.gz BUHCFTDRZIAFSV-NXEZZACHSA-N 0 2 311.411 0.296 20 0 DCADLN CSCC(=O)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041918685 777584150 /nfs/dbraw/zinc/58/41/50/777584150.db2.gz BUHCFTDRZIAFSV-NXEZZACHSA-N 0 2 311.411 0.296 20 0 DCADLN O=C(c1cocn1)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041974901 777616463 /nfs/dbraw/zinc/61/64/63/777616463.db2.gz HXZMYSPXNOPCRT-ONGXEEELSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cocn1)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041974901 777616469 /nfs/dbraw/zinc/61/64/69/777616469.db2.gz HXZMYSPXNOPCRT-ONGXEEELSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cnco1)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001042169032 777754659 /nfs/dbraw/zinc/75/46/59/777754659.db2.gz BVFHYTQHGZINBN-NXEZZACHSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1cnco1)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001042169032 777754666 /nfs/dbraw/zinc/75/46/66/777754666.db2.gz BVFHYTQHGZINBN-NXEZZACHSA-N 0 2 318.337 0.235 20 0 DCADLN CN(Cc1c[nH+]cn1C)S(=O)(=O)[C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC001465112532 804123430 /nfs/dbraw/zinc/12/34/30/804123430.db2.gz BOHOEQRKAUPNFP-NWDGAFQWSA-N 0 2 315.395 0.825 20 0 DCADLN Cc1ccncc1S(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1 ZINC001600607127 1168211980 /nfs/dbraw/zinc/21/19/80/1168211980.db2.gz UTMYMMGWYNEKNW-UHFFFAOYSA-N 0 2 313.379 0.171 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC001600904305 1168501600 /nfs/dbraw/zinc/50/16/00/1168501600.db2.gz RUJIPGXUZPTINC-UHFFFAOYSA-N 0 2 309.366 0.564 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC001600904305 1168501606 /nfs/dbraw/zinc/50/16/06/1168501606.db2.gz RUJIPGXUZPTINC-UHFFFAOYSA-N 0 2 309.366 0.564 20 0 DCADLN O=C([O-])C1(O)CN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)C1 ZINC001601245967 1168673964 /nfs/dbraw/zinc/67/39/64/1168673964.db2.gz YLOLKUGSAGBHBB-ZETCQYMHSA-N 0 2 307.228 0.035 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)CCC(F)(F)F)CC1 ZINC001602012170 1168963430 /nfs/dbraw/zinc/96/34/30/1168963430.db2.gz DBLRUDOISUKOFQ-UHFFFAOYSA-N 0 2 318.317 0.361 20 0 DCADLN O=C([O-])c1csc(S(=O)(=O)N2CCn3c[nH+]cc3C2)c1 ZINC001602562819 1169118075 /nfs/dbraw/zinc/11/80/75/1169118075.db2.gz BVMIHUFJZJEKRB-UHFFFAOYSA-N 0 2 313.360 0.847 20 0 DCADLN COCCC[C@@H](C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001266349151 939087808 /nfs/dbraw/zinc/08/78/08/939087808.db2.gz ALOGPLYCOFFPFR-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H](C)c1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001481000385 939516282 /nfs/dbraw/zinc/51/62/82/939516282.db2.gz XBTNXKMPJTWCOS-JTQLQIEISA-N 0 2 307.354 0.845 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H](C)c1ccco1)Cc1n[nH]c(=O)[n-]1 ZINC001481000385 939516283 /nfs/dbraw/zinc/51/62/83/939516283.db2.gz XBTNXKMPJTWCOS-JTQLQIEISA-N 0 2 307.354 0.845 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnoc1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001481009220 939521100 /nfs/dbraw/zinc/52/11/00/939521100.db2.gz ABPLEZWJLHWHOW-UHFFFAOYSA-N 0 2 322.369 0.874 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cnoc1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001481009220 939521102 /nfs/dbraw/zinc/52/11/02/939521102.db2.gz ABPLEZWJLHWHOW-UHFFFAOYSA-N 0 2 322.369 0.874 20 0 DCADLN Cn1ncc(CN2CCC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001481039889 939537217 /nfs/dbraw/zinc/53/72/17/939537217.db2.gz PYVZXNTUPUJULM-UWVGGRQHSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CCC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001481039889 939537219 /nfs/dbraw/zinc/53/72/19/939537219.db2.gz PYVZXNTUPUJULM-UWVGGRQHSA-N 0 2 323.294 0.796 20 0 DCADLN CC1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC=CC1 ZINC001481055633 939542819 /nfs/dbraw/zinc/54/28/19/939542819.db2.gz JYHAKVOSDSGVBS-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN CC1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC=CC1 ZINC001481055633 939542820 /nfs/dbraw/zinc/54/28/20/939542820.db2.gz JYHAKVOSDSGVBS-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cc(Cl)c[nH]1 ZINC001481157829 939587844 /nfs/dbraw/zinc/58/78/44/939587844.db2.gz QEZZSWMANMLIFW-UHFFFAOYSA-N 0 2 324.772 0.886 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cc(Cl)c[nH]1 ZINC001481157829 939587845 /nfs/dbraw/zinc/58/78/45/939587845.db2.gz QEZZSWMANMLIFW-UHFFFAOYSA-N 0 2 324.772 0.886 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481599165 939963128 /nfs/dbraw/zinc/96/31/28/939963128.db2.gz KSJLAPAQEUAORL-SNVBAGLBSA-N 0 2 317.349 0.278 20 0 DCADLN CCN(C(=O)C(=O)C(C)(C)C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001269319293 940680810 /nfs/dbraw/zinc/68/08/10/940680810.db2.gz VUSMSSLYMJDXMH-SNVBAGLBSA-N 0 2 323.397 0.548 20 0 DCADLN CCn1nncc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060516 941300166 /nfs/dbraw/zinc/30/01/66/941300166.db2.gz NBTBURGVEZHPMW-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1nncc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409060516 941300173 /nfs/dbraw/zinc/30/01/73/941300173.db2.gz NBTBURGVEZHPMW-MRVPVSSYSA-N 0 2 323.250 0.139 20 0 DCADLN C[C@H](NC(=O)Cc1c[nH]c[nH+]1)[C@H](C)[NH2+]CC(=O)NC(C)(C)C ZINC001409348341 941955703 /nfs/dbraw/zinc/95/57/03/941955703.db2.gz BWBUSMSFDMGUBM-QWRGUYRKSA-N 0 2 309.414 0.350 20 0 DCADLN C[C@@H](NC(=O)Cc1c[nH]c[nH+]1)[C@H](C)[NH2+]CC(=O)NC(C)(C)C ZINC001409348345 941955879 /nfs/dbraw/zinc/95/58/79/941955879.db2.gz BWBUSMSFDMGUBM-WDEREUQCSA-N 0 2 309.414 0.350 20 0 DCADLN CN1C(=O)COCC12CN(C(=O)c1ccc(O)c(F)c1F)C2 ZINC001272262532 941965684 /nfs/dbraw/zinc/96/56/84/941965684.db2.gz AHVCQSKCTAJZPV-UHFFFAOYSA-N 0 2 312.272 0.354 20 0 DCADLN COc1cccc(C[N@H+](C)[C@H](C)CNC(=O)c2nc[nH]n2)n1 ZINC001409616883 942094454 /nfs/dbraw/zinc/09/44/54/942094454.db2.gz DZZYSLLNKCFLSW-SNVBAGLBSA-N 0 2 304.354 0.459 20 0 DCADLN COc1cccc(C[N@@H+](C)[C@H](C)CNC(=O)c2nc[nH]n2)n1 ZINC001409616883 942094458 /nfs/dbraw/zinc/09/44/58/942094458.db2.gz DZZYSLLNKCFLSW-SNVBAGLBSA-N 0 2 304.354 0.459 20 0 DCADLN CCc1nn(C)cc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483350781 942290577 /nfs/dbraw/zinc/29/05/77/942290577.db2.gz IAWPYOKQGPOBPO-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CCc1nn(C)cc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483350781 942290578 /nfs/dbraw/zinc/29/05/78/942290578.db2.gz IAWPYOKQGPOBPO-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CC(C)(C)c1nnc(CNC(=O)C[C@H]2SC(=N)NC2=O)[nH]1 ZINC001413082111 942489389 /nfs/dbraw/zinc/48/93/89/942489389.db2.gz VXLFJSXQXMWVSQ-ZCFIWIBFSA-N 0 2 310.383 0.275 20 0 DCADLN O=C(CCOCC1CC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001483648180 942622378 /nfs/dbraw/zinc/62/23/78/942622378.db2.gz CPPMLIZOSZYFJL-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H](NC(=O)Cc1ccsc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409874019 942638102 /nfs/dbraw/zinc/63/81/02/942638102.db2.gz YBCSZRMVMQEKJH-SECBINFHSA-N 0 2 321.406 0.751 20 0 DCADLN COCc1ccc(OC)c(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001413432066 942974415 /nfs/dbraw/zinc/97/44/15/942974415.db2.gz SWSSBLPECYDRDM-UHFFFAOYSA-N 0 2 313.339 0.166 20 0 DCADLN CO[C@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001484054086 943121861 /nfs/dbraw/zinc/12/18/61/943121861.db2.gz IHAIZKQHIVKPNG-SWLSCSKDSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001484054086 943121867 /nfs/dbraw/zinc/12/18/67/943121867.db2.gz IHAIZKQHIVKPNG-SWLSCSKDSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NCCN(CCO)C(=O)C1CCC1)C(F)C(F)(F)F ZINC001056764726 943402503 /nfs/dbraw/zinc/40/25/03/943402503.db2.gz IOUYULLVTMWAJP-SECBINFHSA-N 0 2 314.279 0.624 20 0 DCADLN O=C(NCCN(CCO)C(=O)C1CCC1)[C@@H](F)C(F)(F)F ZINC001056764726 943402507 /nfs/dbraw/zinc/40/25/07/943402507.db2.gz IOUYULLVTMWAJP-SECBINFHSA-N 0 2 314.279 0.624 20 0 DCADLN COC(=O)[C@]12C[C@H]1CCCN2C(=O)C[C@H]1SC(=N)NC1=O ZINC001413629586 943536778 /nfs/dbraw/zinc/53/67/78/943536778.db2.gz DTLBQVABBLVDEB-RBDZCENOSA-N 0 2 311.363 0.097 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccnn1C1CCOCC1 ZINC001413667489 943560461 /nfs/dbraw/zinc/56/04/61/943560461.db2.gz WXGUUJSSXQDREK-UHFFFAOYSA-N 0 2 307.314 0.380 20 0 DCADLN NC(=O)c1[nH]nc2c1CN(C(=O)c1c(F)ccc(F)c1O)CC2 ZINC001276833188 944320825 /nfs/dbraw/zinc/32/08/25/944320825.db2.gz KENKFIVYTAEJLM-UHFFFAOYSA-N 0 2 322.271 0.691 20 0 DCADLN O=S(=O)(c1ncc[nH]1)N1CC2(C1)CCN(Cc1ccc[nH]1)C2 ZINC001277167260 944690119 /nfs/dbraw/zinc/69/01/19/944690119.db2.gz ODVSOQYASHWCHD-UHFFFAOYSA-N 0 2 321.406 0.634 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N(C)C[C@@H](O)C[NH2+]Cc1nccs1 ZINC001486290538 944729464 /nfs/dbraw/zinc/72/94/64/944729464.db2.gz UNCKEYYMAFEIAA-LBPRGKRZSA-N 0 2 323.422 0.257 20 0 DCADLN CO[C@@H](C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C(C)C ZINC001486514910 944947890 /nfs/dbraw/zinc/94/78/90/944947890.db2.gz MKKRXIZINSJPHL-CYBMUJFWSA-N 0 2 323.397 0.430 20 0 DCADLN CO[C@@H](C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C(C)C ZINC001486514910 944947894 /nfs/dbraw/zinc/94/78/94/944947894.db2.gz MKKRXIZINSJPHL-CYBMUJFWSA-N 0 2 323.397 0.430 20 0 DCADLN Nc1cnnc(NNS(=O)(=O)N2CCCCC2)c1Cl ZINC001319282623 945540145 /nfs/dbraw/zinc/54/01/45/945540145.db2.gz NFJNJWAGIDGGJU-UHFFFAOYSA-N 0 2 306.779 0.359 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001487186161 945587482 /nfs/dbraw/zinc/58/74/82/945587482.db2.gz IINWTYGIUNPLST-XPUUQOCRSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001487186161 945587495 /nfs/dbraw/zinc/58/74/95/945587495.db2.gz IINWTYGIUNPLST-XPUUQOCRSA-N 0 2 322.262 0.887 20 0 DCADLN Cn1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c(C(F)F)n1 ZINC001319479427 945599081 /nfs/dbraw/zinc/59/90/81/945599081.db2.gz JOFFYYUWSGPJJK-UHFFFAOYSA-N 0 2 300.269 0.544 20 0 DCADLN C[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C1CN(C(=O)[C@H]2CCC[N@H+]2C)C1 ZINC001487228235 945608911 /nfs/dbraw/zinc/60/89/11/945608911.db2.gz PFUSOHWDVVOQKA-BXUZGUMPSA-N 0 2 319.409 0.010 20 0 DCADLN O=c1[nH]c2nc(=O)[nH]c(NS(=O)(=O)c3cccs3)c2[nH]1 ZINC001250943812 945690537 /nfs/dbraw/zinc/69/05/37/945690537.db2.gz VTNPHOLMEDHRQA-UHFFFAOYSA-N 0 2 313.320 0.626 20 0 DCADLN COCC(=O)N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001487394155 945699683 /nfs/dbraw/zinc/69/96/83/945699683.db2.gz YQKCWKNNZOKPJO-ZKWXMUAHSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)N[C@H]1C[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001487394155 945699692 /nfs/dbraw/zinc/69/96/92/945699692.db2.gz YQKCWKNNZOKPJO-ZKWXMUAHSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001429987741 945815394 /nfs/dbraw/zinc/81/53/94/945815394.db2.gz QIQOJUWSEDHNKW-IONNQARKSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)N[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001429987741 945815405 /nfs/dbraw/zinc/81/54/05/945815405.db2.gz QIQOJUWSEDHNKW-IONNQARKSA-N 0 2 300.252 0.544 20 0 DCADLN Cc1ncncc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001410216263 946050526 /nfs/dbraw/zinc/05/05/26/946050526.db2.gz DFNIQJLULYFGMZ-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ncncc1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001410216263 946050538 /nfs/dbraw/zinc/05/05/38/946050538.db2.gz DFNIQJLULYFGMZ-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN CCCCS(=O)(=O)Nc1cc(N2CCN(C)CC2)ncn1 ZINC001253781838 946179161 /nfs/dbraw/zinc/17/91/61/946179161.db2.gz GSIDUOLOTCMDGR-UHFFFAOYSA-N 0 2 313.427 0.770 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001410364885 946254950 /nfs/dbraw/zinc/25/49/50/946254950.db2.gz PTSFMPUTVACLFF-XLPZGREQSA-N 0 2 302.268 0.525 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001410364885 946254957 /nfs/dbraw/zinc/25/49/57/946254957.db2.gz PTSFMPUTVACLFF-XLPZGREQSA-N 0 2 302.268 0.525 20 0 DCADLN NC(=O)[C@H]1CCC2(CN(C(=O)C=C(O)c3ccccc3)C2)O1 ZINC001273306469 946460999 /nfs/dbraw/zinc/46/09/99/946460999.db2.gz DYILQEBQJSBQAK-CYBMUJFWSA-N 0 2 302.330 0.505 20 0 DCADLN Nc1nc2nc(CSc3nnc4ccccn43)cc(=O)n2[nH]1 ZINC001321978554 946533689 /nfs/dbraw/zinc/53/36/89/946533689.db2.gz KAAJXSALQYVUAX-UHFFFAOYSA-N 0 2 314.334 0.335 20 0 DCADLN C[C@H](NC(=O)NCC(C)(C)CC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC001604289439 1169548785 /nfs/dbraw/zinc/54/87/85/1169548785.db2.gz FBKDQZPURDXSQO-RYUDHWBXSA-N 0 2 315.414 0.896 20 0 DCADLN C[NH+]1CCC(CO)([N-]S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001259073060 946910031 /nfs/dbraw/zinc/91/00/31/946910031.db2.gz GJOONFWYEXUZJJ-UHFFFAOYSA-N 0 2 320.361 0.700 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cnn(C)c2C(N)=O)c(C)c1 ZINC001259096544 946913187 /nfs/dbraw/zinc/91/31/87/946913187.db2.gz QVKMQRJDCUEECE-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2cc(F)cc(F)c2)S1 ZINC001259312781 946944125 /nfs/dbraw/zinc/94/41/25/946944125.db2.gz DPNFBIFIBVGCPG-QMMMGPOBSA-N 0 2 308.287 0.552 20 0 DCADLN CCOC(=O)[C@H](NS(=O)(=O)c1cccnc1)c1cnccn1 ZINC001259611998 946973055 /nfs/dbraw/zinc/97/30/55/946973055.db2.gz QISBEYGROXBROO-GFCCVEGCSA-N 0 2 322.346 0.454 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnc(Br)nc2)cn1 ZINC001259820506 946987649 /nfs/dbraw/zinc/98/76/49/946987649.db2.gz ZMJJYQIKYZIIGU-UHFFFAOYSA-N 0 2 318.156 0.773 20 0 DCADLN Cc1nccc(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)n1 ZINC001260667235 947135800 /nfs/dbraw/zinc/13/58/00/947135800.db2.gz KVJGSUPNNLKGDS-UHFFFAOYSA-N 0 2 320.330 0.917 20 0 DCADLN O=S(=O)(Nc1ccnn1Cc1cccnc1)c1cn[nH]c1 ZINC001260954718 947156099 /nfs/dbraw/zinc/15/60/99/947156099.db2.gz XWORVJJVPUGVIF-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN Cc1cccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)c1C ZINC001260991993 947165594 /nfs/dbraw/zinc/16/55/94/947165594.db2.gz DFBCGSRKDCYAPF-UHFFFAOYSA-N 0 2 306.347 0.993 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)C1(C(=O)[O-])CCSCC1 ZINC001262158814 947588369 /nfs/dbraw/zinc/58/83/69/947588369.db2.gz YOTPQHPHGLWNHK-LLVKDONJSA-N 0 2 316.423 0.421 20 0 DCADLN C/C(=C\C(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001262179339 947592973 /nfs/dbraw/zinc/59/29/73/947592973.db2.gz LGZJTULBHOZMER-RZZPXTMHSA-N 0 2 300.330 0.289 20 0 DCADLN CC/C=C(\C)C(=O)N[C@@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001325817129 947932177 /nfs/dbraw/zinc/93/21/77/947932177.db2.gz AJMDYXOAZPABLP-RILDOFPPSA-N 0 2 323.397 0.310 20 0 DCADLN C[C@H](NC(=O)c1ccco1)C(=O)NCCc1n[nH]c(=S)o1 ZINC001326792799 948280302 /nfs/dbraw/zinc/28/03/02/948280302.db2.gz OMFHBLPEIVLAKD-ZETCQYMHSA-N 0 2 310.335 0.429 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)Nc2n[nH]cc2-c2nn[nH]n2)C1 ZINC001570920671 948604570 /nfs/dbraw/zinc/60/45/70/948604570.db2.gz YQEKFLMVNQVNID-HTRCEHHLSA-N 0 2 313.325 0.618 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCOCC[C@@H]1C ZINC001570921771 948627972 /nfs/dbraw/zinc/62/79/72/948627972.db2.gz OHVBMVPOZFJRNA-VIFPVBQESA-N 0 2 318.337 0.527 20 0 DCADLN O=C(NCc1cncc(-c2nn[nH]n2)c1)c1[nH]nc2c1CCCC2 ZINC001570922602 948645050 /nfs/dbraw/zinc/64/50/50/948645050.db2.gz KNPBJNQCTLWHEI-UHFFFAOYSA-N 0 2 324.348 0.794 20 0 DCADLN Cn1c(=O)[nH]c(Sc2cccc(F)c2)c(-c2nn[nH]n2)c1=O ZINC001570923067 948654860 /nfs/dbraw/zinc/65/48/60/948654860.db2.gz SVFKOSMPYFVQDV-UHFFFAOYSA-N 0 2 320.309 0.544 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000037656872 948839758 /nfs/dbraw/zinc/83/97/58/948839758.db2.gz QZMQZYGAYMASKW-UHFFFAOYSA-N 0 2 319.427 0.054 20 0 DCADLN CCC[C@@H](C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364487629 949406942 /nfs/dbraw/zinc/40/69/42/949406942.db2.gz CLRNQWBZRJIWGZ-MRVPVSSYSA-N 0 2 303.388 0.337 20 0 DCADLN O=C([O-])C1(C(=O)NC2CC[NH+]([C@@H]3CCOC3=O)CC2)CC=CC1 ZINC001595296094 950140825 /nfs/dbraw/zinc/14/08/25/950140825.db2.gz SWCQEARKJJBGSX-GFCCVEGCSA-N 0 2 322.361 0.304 20 0 DCADLN Cc1c(S(=O)(=O)NNc2nncc(N)c2Cl)cnn1C ZINC001330191351 950182808 /nfs/dbraw/zinc/18/28/08/950182808.db2.gz KGEUISCMABSVMF-UHFFFAOYSA-N 0 2 317.762 0.060 20 0 DCADLN CCN(C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)[C@H](C)C(=O)[O-] ZINC001589697433 950183885 /nfs/dbraw/zinc/18/38/85/950183885.db2.gz TZYMXXFVPUULJR-SNVBAGLBSA-N 0 2 322.365 0.716 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc(OC(F)(F)F)cc2)n1 ZINC001364915432 950184621 /nfs/dbraw/zinc/18/46/21/950184621.db2.gz VJOLFCBVTMNIMS-UHFFFAOYSA-N 0 2 323.256 0.910 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cccc3c2OCCCO3)n1 ZINC001364951278 950248224 /nfs/dbraw/zinc/24/82/24/950248224.db2.gz NBINJQBPCGUNPA-UHFFFAOYSA-N 0 2 311.323 0.172 20 0 DCADLN C[C@H](NC(=O)CNC(=O)c1ccccc1)c1nn(C)cc1O ZINC001365121263 950556642 /nfs/dbraw/zinc/55/66/42/950556642.db2.gz RIYNSQFATIJBMM-JTQLQIEISA-N 0 2 302.334 0.733 20 0 DCADLN O=c1nc(CN2CCS(=O)(=O)CCO2)[nH]c2ccsc21 ZINC001365350521 951013242 /nfs/dbraw/zinc/01/32/42/951013242.db2.gz HHGQHJCKSFIZLD-UHFFFAOYSA-N 0 2 315.376 0.559 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1ncnn1C ZINC001365373770 951035351 /nfs/dbraw/zinc/03/53/51/951035351.db2.gz ILKRTACKZAYHTE-IUCAKERBSA-N 0 2 320.357 0.289 20 0 DCADLN C[C@@H](CNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C)C(=O)[O-] ZINC001331509046 951149206 /nfs/dbraw/zinc/14/92/06/951149206.db2.gz HTNIJTRDECIVIZ-GXFFZTMASA-N 0 2 319.361 0.126 20 0 DCADLN C[C@@H](CNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C)C(=O)[O-] ZINC001331509046 951149210 /nfs/dbraw/zinc/14/92/10/951149210.db2.gz HTNIJTRDECIVIZ-GXFFZTMASA-N 0 2 319.361 0.126 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@H](C(=O)N[C@@H]2CCC[N@H+](CCF)C2)CO1 ZINC001595003745 951170124 /nfs/dbraw/zinc/17/01/24/951170124.db2.gz NXCUCAAORMFJNN-YNEHKIRRSA-N 0 2 316.373 0.806 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@H](C(=O)N[C@@H]2CCC[N@@H+](CCF)C2)CO1 ZINC001595003745 951170132 /nfs/dbraw/zinc/17/01/32/951170132.db2.gz NXCUCAAORMFJNN-YNEHKIRRSA-N 0 2 316.373 0.806 20 0 DCADLN C[C@]1(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCCO1 ZINC001365567462 951271782 /nfs/dbraw/zinc/27/17/82/951271782.db2.gz JVAQUXOFVAQBSU-QMTHXVAHSA-N 0 2 309.370 0.968 20 0 DCADLN Cc1nnc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)s1 ZINC001365577976 951287883 /nfs/dbraw/zinc/28/78/83/951287883.db2.gz JTBMDYSYSZFRRS-QMMMGPOBSA-N 0 2 323.382 0.759 20 0 DCADLN CS(=O)(=O)CCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001365692558 951442754 /nfs/dbraw/zinc/44/27/54/951442754.db2.gz MFMWFWMSDJKCHZ-QMMMGPOBSA-N 0 2 320.308 0.076 20 0 DCADLN CS(=O)(=O)CCN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001365692558 951442760 /nfs/dbraw/zinc/44/27/60/951442760.db2.gz MFMWFWMSDJKCHZ-QMMMGPOBSA-N 0 2 320.308 0.076 20 0 DCADLN COC(=O)[C@H](CC(F)(F)F)NS(=O)(=O)Cc1ccno1 ZINC001365698501 951449236 /nfs/dbraw/zinc/44/92/36/951449236.db2.gz DVYLSBZOJYCZPI-ZETCQYMHSA-N 0 2 316.257 0.588 20 0 DCADLN CN(C)C(=O)CN1CCC12C[NH+](Cc1sccc1C(=O)[O-])C2 ZINC001275158121 951976794 /nfs/dbraw/zinc/97/67/94/951976794.db2.gz YXXIAWGRCIDTBZ-UHFFFAOYSA-N 0 2 323.418 0.795 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH+]1CCC(OC2CCCC2)CC1 ZINC001595123571 951987742 /nfs/dbraw/zinc/98/77/42/951987742.db2.gz IJXBSQDBFHFOOQ-UHFFFAOYSA-N 0 2 319.423 0.909 20 0 DCADLN CC[C@@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@@H](C)O ZINC001412117869 952651837 /nfs/dbraw/zinc/65/18/37/952651837.db2.gz YHTSYAGMICAIPC-LDYMZIIASA-N 0 2 306.322 0.155 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCn2nccc2C1 ZINC001412366008 952761823 /nfs/dbraw/zinc/76/18/23/952761823.db2.gz HYOXWSTXIFPTRN-UHFFFAOYSA-N 0 2 304.272 0.682 20 0 DCADLN CCn1cc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)nn1 ZINC001412678452 952987392 /nfs/dbraw/zinc/98/73/92/952987392.db2.gz NPYUNAVUZFXFTP-UHFFFAOYSA-N 0 2 306.326 0.122 20 0 DCADLN CCCCC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001412755840 953072642 /nfs/dbraw/zinc/07/26/42/953072642.db2.gz AKIIGSDOEZLKLX-APPZFPTMSA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001412755840 953072645 /nfs/dbraw/zinc/07/26/45/953072645.db2.gz AKIIGSDOEZLKLX-APPZFPTMSA-N 0 2 302.268 0.670 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCc2ncncc2C1)c1nn(C)cc1O ZINC001412762911 953078394 /nfs/dbraw/zinc/07/83/94/953078394.db2.gz HVFMWLOKKSXNQS-UWVGGRQHSA-N 0 2 301.350 0.898 20 0 DCADLN CS(=O)(=O)c1cc(C(=O)NN2Cc3ccccc3C2=O)co1 ZINC001412819811 953111248 /nfs/dbraw/zinc/11/12/48/953111248.db2.gz ZHAAFFGVNBVQHS-UHFFFAOYSA-N 0 2 320.326 0.984 20 0 DCADLN Cn1nnnc1CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001365933317 953427048 /nfs/dbraw/zinc/42/70/48/953427048.db2.gz CZVYPVBFZJRZHO-CBAPKCEASA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CCC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001365933317 953427060 /nfs/dbraw/zinc/42/70/60/953427060.db2.gz CZVYPVBFZJRZHO-CBAPKCEASA-N 0 2 324.282 0.191 20 0 DCADLN CC(C)(C)c1csc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC001344443968 953482717 /nfs/dbraw/zinc/48/27/17/953482717.db2.gz WNCBCOONWVGCCX-UHFFFAOYSA-N 0 2 324.366 0.519 20 0 DCADLN C[C@H](CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001365985851 953524606 /nfs/dbraw/zinc/52/46/06/953524606.db2.gz QFODCICLKXSOOY-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC001594792660 953697066 /nfs/dbraw/zinc/69/70/66/953697066.db2.gz XCFBLNUJRAFWRW-TUAOUCFPSA-N 0 2 320.349 0.026 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001594814374 953842956 /nfs/dbraw/zinc/84/29/56/953842956.db2.gz GVLQKWFHXWNBPC-ZJUUUORDSA-N 0 2 316.317 0.642 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cc2n(n1)CCC2 ZINC001283059813 953965378 /nfs/dbraw/zinc/96/53/78/953965378.db2.gz REJXSFKUAYHNOB-VIFPVBQESA-N 0 2 322.262 0.576 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cc2n(n1)CCC2 ZINC001283059813 953965388 /nfs/dbraw/zinc/96/53/88/953965388.db2.gz REJXSFKUAYHNOB-VIFPVBQESA-N 0 2 322.262 0.576 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001348389596 954291319 /nfs/dbraw/zinc/29/13/19/954291319.db2.gz RUCSTDCNTUIIMX-ONGXEEELSA-N 0 2 316.365 0.312 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)Cc1ccccc1C(=O)[O-] ZINC001593751281 954414079 /nfs/dbraw/zinc/41/40/79/954414079.db2.gz BMDALFDDYIWLPL-UHFFFAOYSA-N 0 2 306.362 0.718 20 0 DCADLN C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC001589402730 954451215 /nfs/dbraw/zinc/45/12/15/954451215.db2.gz YWDKZAFZPXDDNN-QWRGUYRKSA-N 0 2 319.427 0.052 20 0 DCADLN C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC001589402730 954451220 /nfs/dbraw/zinc/45/12/20/954451220.db2.gz YWDKZAFZPXDDNN-QWRGUYRKSA-N 0 2 319.427 0.052 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@H+](C)Cc1cccc(C(N)=O)c1 ZINC001593761167 954494294 /nfs/dbraw/zinc/49/42/94/954494294.db2.gz WRTDNXRLPOUZHM-UHFFFAOYSA-N 0 2 302.334 0.849 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@@H+](C)Cc1cccc(C(N)=O)c1 ZINC001593761167 954494315 /nfs/dbraw/zinc/49/43/15/954494315.db2.gz WRTDNXRLPOUZHM-UHFFFAOYSA-N 0 2 302.334 0.849 20 0 DCADLN Cn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(C)(C(=O)[O-])CC1 ZINC001593775114 954596886 /nfs/dbraw/zinc/59/68/86/954596886.db2.gz YNPNKMLFLCNNPZ-WDEREUQCSA-N 0 2 323.397 0.244 20 0 DCADLN Cn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(C)(C(=O)[O-])CC1 ZINC001593775114 954596896 /nfs/dbraw/zinc/59/68/96/954596896.db2.gz YNPNKMLFLCNNPZ-WDEREUQCSA-N 0 2 323.397 0.244 20 0 DCADLN CC[N@H+]1CCCC[C@H]1C(=O)NCCNC(=O)Cc1c[nH]c[nH+]1 ZINC001349182523 954634408 /nfs/dbraw/zinc/63/44/08/954634408.db2.gz XMEVZVWEAICIOM-ZDUSSCGKSA-N 0 2 307.398 0.059 20 0 DCADLN CS[C@H](C)CC(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366731089 954730627 /nfs/dbraw/zinc/73/06/27/954730627.db2.gz AGGLSRLSXDQOLG-SECBINFHSA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@H](C)CC(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366731089 954730634 /nfs/dbraw/zinc/73/06/34/954730634.db2.gz AGGLSRLSXDQOLG-SECBINFHSA-N 0 2 313.427 0.733 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)c1cn(CC(=O)[O-])nn1 ZINC001593788249 954737700 /nfs/dbraw/zinc/73/77/00/954737700.db2.gz OCPRBWZTHAXGAS-CYBMUJFWSA-N 0 2 315.333 0.279 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)c1cn(CC(=O)[O-])nn1 ZINC001593788249 954737711 /nfs/dbraw/zinc/73/77/11/954737711.db2.gz OCPRBWZTHAXGAS-CYBMUJFWSA-N 0 2 315.333 0.279 20 0 DCADLN C[C@@H]1CO[C@@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366748681 954767286 /nfs/dbraw/zinc/76/72/86/954767286.db2.gz JUJABBTVNQWHET-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1CO[C@@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366748681 954767297 /nfs/dbraw/zinc/76/72/97/954767297.db2.gz JUJABBTVNQWHET-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001593807396 954914824 /nfs/dbraw/zinc/91/48/24/954914824.db2.gz PKLOQYAVKKXSBP-NHYWBVRUSA-N 0 2 314.382 0.629 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001593807396 954914834 /nfs/dbraw/zinc/91/48/34/954914834.db2.gz PKLOQYAVKKXSBP-NHYWBVRUSA-N 0 2 314.382 0.629 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](CCn2cc(Cl)cn2)CCO1 ZINC000711527982 955044412 /nfs/dbraw/zinc/04/44/12/955044412.db2.gz OBDURBXAYFXRSV-GFCCVEGCSA-N 0 2 303.746 0.339 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](CCn2cc(Cl)cn2)CCO1 ZINC000711527982 955044421 /nfs/dbraw/zinc/04/44/21/955044421.db2.gz OBDURBXAYFXRSV-GFCCVEGCSA-N 0 2 303.746 0.339 20 0 DCADLN COCC1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001366926674 955071682 /nfs/dbraw/zinc/07/16/82/955071682.db2.gz SGNAKCCMCCRROB-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@H]1CC[C@H](CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001366954445 955111774 /nfs/dbraw/zinc/11/17/74/955111774.db2.gz TVDYOJJXIAIUNA-GXSJLCMTSA-N 0 2 309.370 0.158 20 0 DCADLN NC(=O)C[N@@H+]1CCc2ccc(NC(=O)CCC(=O)[O-])cc2C1 ZINC001594641027 956418932 /nfs/dbraw/zinc/41/89/32/956418932.db2.gz HFRGBJIWKOEIJW-UHFFFAOYSA-N 0 2 305.334 0.333 20 0 DCADLN NC(=O)C[N@H+]1CCc2ccc(NC(=O)CCC(=O)[O-])cc2C1 ZINC001594641027 956418934 /nfs/dbraw/zinc/41/89/34/956418934.db2.gz HFRGBJIWKOEIJW-UHFFFAOYSA-N 0 2 305.334 0.333 20 0 DCADLN O=C(CCc1[nH]cc[nH+]1)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594689909 956739032 /nfs/dbraw/zinc/73/90/32/956739032.db2.gz CHOYAFVMMYSBJM-VTWZXRTESA-N 0 2 307.350 0.871 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(c2cc(NCC3CC3)[nH+]cn2)CCO1 ZINC001593985904 956777919 /nfs/dbraw/zinc/77/79/19/956777919.db2.gz XDAHXIKHXJNRDH-HNNXBMFYSA-N 0 2 322.365 0.605 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(c2cc(NCC3CC3)nc[nH+]2)CCO1 ZINC001593985904 956777924 /nfs/dbraw/zinc/77/79/24/956777924.db2.gz XDAHXIKHXJNRDH-HNNXBMFYSA-N 0 2 322.365 0.605 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)C2(C(=O)[O-])CCOCC2)CCO1 ZINC001589231650 956828842 /nfs/dbraw/zinc/82/88/42/956828842.db2.gz XABROFRTPKHKOZ-UHFFFAOYSA-N 0 2 314.382 0.095 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)C2(C(=O)[O-])CCOCC2)CCO1 ZINC001589231650 956828855 /nfs/dbraw/zinc/82/88/55/956828855.db2.gz XABROFRTPKHKOZ-UHFFFAOYSA-N 0 2 314.382 0.095 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001594719110 956951525 /nfs/dbraw/zinc/95/15/25/956951525.db2.gz ODIIKEXDNYBYAG-OLZOCXBDSA-N 0 2 314.345 0.653 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000037563164 957307359 /nfs/dbraw/zinc/30/73/59/957307359.db2.gz GLNBPZJIMPCGRU-QMMMGPOBSA-N 0 2 310.291 0.805 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000037563164 957307369 /nfs/dbraw/zinc/30/73/69/957307369.db2.gz GLNBPZJIMPCGRU-QMMMGPOBSA-N 0 2 310.291 0.805 20 0 DCADLN O=C(Cc1nnc(-c2ccccc2)o1)NCc1n[nH]c(=O)[nH]1 ZINC001294476285 957535188 /nfs/dbraw/zinc/53/51/88/957535188.db2.gz WBGCWXQOYHBTID-UHFFFAOYSA-N 0 2 300.278 0.419 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cncc(F)c1 ZINC001367505666 957914644 /nfs/dbraw/zinc/91/46/44/957914644.db2.gz IDEACZRUODEJKD-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cncc(F)c1 ZINC001367505666 957914653 /nfs/dbraw/zinc/91/46/53/957914653.db2.gz IDEACZRUODEJKD-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN O=C([O-])c1cccc(CNS(=O)(=O)CCn2cc[nH+]c2)c1 ZINC001574989223 957962273 /nfs/dbraw/zinc/96/22/73/957962273.db2.gz NKJDCUXFLNAPIZ-UHFFFAOYSA-N 0 2 309.347 0.701 20 0 DCADLN COCCOc1ncccc1C(=O)NCCc1n[nH]c(=S)o1 ZINC001296732348 958042120 /nfs/dbraw/zinc/04/21/20/958042120.db2.gz PIVAKCNDPALEPJ-UHFFFAOYSA-N 0 2 324.362 0.751 20 0 DCADLN COC(=O)c1ccnc(C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC001296734149 958042512 /nfs/dbraw/zinc/04/25/12/958042512.db2.gz LYKJLTRTXXQBAR-UHFFFAOYSA-N 0 2 308.319 0.512 20 0 DCADLN CO[C@@H](C(=O)NCCc1n[nH]c(=S)o1)C(=O)OC(C)(C)C ZINC001296734061 958042975 /nfs/dbraw/zinc/04/29/75/958042975.db2.gz KIRSIQNWPIKENK-QMMMGPOBSA-N 0 2 317.367 0.374 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1CCCNC1=O ZINC001296860987 958060075 /nfs/dbraw/zinc/06/00/75/958060075.db2.gz JYEBOPLTWOQOCH-JTQLQIEISA-N 0 2 315.333 0.950 20 0 DCADLN COC(=O)c1[nH]cnc1NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001361550845 958074428 /nfs/dbraw/zinc/07/44/28/958074428.db2.gz FPOVDFGAOUSJIG-UHFFFAOYSA-N 0 2 321.337 0.523 20 0 DCADLN COC(=O)c1nc[nH]c1NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001361550845 958074442 /nfs/dbraw/zinc/07/44/42/958074442.db2.gz FPOVDFGAOUSJIG-UHFFFAOYSA-N 0 2 321.337 0.523 20 0 DCADLN CC(C)(NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@@H]1CCCCO1 ZINC001361624089 958148289 /nfs/dbraw/zinc/14/82/89/958148289.db2.gz NOTAOTGIGVRNPA-NSHDSACASA-N 0 2 319.365 0.535 20 0 DCADLN Cc1n[nH]c(C(F)(F)F)c1C(=O)NCCc1c(C)[nH][nH]c1=O ZINC001361771305 958310710 /nfs/dbraw/zinc/31/07/10/958310710.db2.gz LPRINFVUDPKEKM-SSDOTTSWSA-N 0 2 317.271 0.979 20 0 DCADLN COCC(=O)N[C@H](CNC(=O)C(F)C(F)(F)F)C(C)C ZINC001433103384 1013175017 /nfs/dbraw/zinc/17/50/17/1013175017.db2.gz VQBOGVRTZBXWOM-APPZFPTMSA-N 0 2 302.268 0.790 20 0 DCADLN COCC(=O)N[C@H](CNC(=O)[C@H](F)C(F)(F)F)C(C)C ZINC001433103384 1013175023 /nfs/dbraw/zinc/17/50/23/1013175023.db2.gz VQBOGVRTZBXWOM-APPZFPTMSA-N 0 2 302.268 0.790 20 0 DCADLN Cc1cn2ccc(NC(=O)Cn3[nH]cc4c(=O)ncnc3-4)cc2n1 ZINC001361909107 958475549 /nfs/dbraw/zinc/47/55/49/958475549.db2.gz CCODSXUARCFVCS-UHFFFAOYSA-N 0 2 323.316 0.666 20 0 DCADLN O=C(c1c(F)ccc(O)c1F)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001362058471 958669847 /nfs/dbraw/zinc/66/98/47/958669847.db2.gz BQMYKTOQJLEPDS-QMMMGPOBSA-N 0 2 311.248 0.397 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc2c(cc1Cl)NC(=O)CO2 ZINC001362077657 958700790 /nfs/dbraw/zinc/70/07/90/958700790.db2.gz ZWQDWHDULYDVNK-UHFFFAOYSA-N 0 2 323.696 0.425 20 0 DCADLN CC(C)n1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001367850792 958712393 /nfs/dbraw/zinc/71/23/93/958712393.db2.gz UDOCSARNLWSNOB-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001367850792 958712408 /nfs/dbraw/zinc/71/24/08/958712408.db2.gz UDOCSARNLWSNOB-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CCNC(=O)CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001298795514 958718241 /nfs/dbraw/zinc/71/82/41/958718241.db2.gz LOFDWTJJPUBHNT-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CCNC(=O)CC(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001298795514 958718261 /nfs/dbraw/zinc/71/82/61/958718261.db2.gz LOFDWTJJPUBHNT-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CCNC(=O)CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001298795522 958719044 /nfs/dbraw/zinc/71/90/44/958719044.db2.gz LOFDWTJJPUBHNT-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CCNC(=O)CC(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001298795522 958719054 /nfs/dbraw/zinc/71/90/54/958719054.db2.gz LOFDWTJJPUBHNT-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN O=C(NC[C@H]1CCCCO1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001367907841 958836474 /nfs/dbraw/zinc/83/64/74/958836474.db2.gz QMMCCPHGXQUHOI-GHMZBOCLSA-N 0 2 309.370 0.968 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001368143967 959314524 /nfs/dbraw/zinc/31/45/24/959314524.db2.gz KUDSTTKXIPZIGA-HZMBPMFUSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001368143967 959314541 /nfs/dbraw/zinc/31/45/41/959314541.db2.gz KUDSTTKXIPZIGA-HZMBPMFUSA-N 0 2 319.369 0.049 20 0 DCADLN Cc1nccc(N2CC[NH+](Cc3c(C(=O)[O-])cnn3C)CC2)n1 ZINC001594470339 959550814 /nfs/dbraw/zinc/55/08/14/959550814.db2.gz ATCJXHCOLZFMAB-UHFFFAOYSA-N 0 2 316.365 0.539 20 0 DCADLN C[N@H+](CCNC(=O)c1cnn(C2CCC2)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377151015 959582648 /nfs/dbraw/zinc/58/26/48/959582648.db2.gz PJBGOUADKBDKMR-UHFFFAOYSA-N 0 2 319.369 0.294 20 0 DCADLN C[N@@H+](CCNC(=O)c1cnn(C2CCC2)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377151015 959582656 /nfs/dbraw/zinc/58/26/56/959582656.db2.gz PJBGOUADKBDKMR-UHFFFAOYSA-N 0 2 319.369 0.294 20 0 DCADLN O=C(C1=C[S@](=O)CCC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362589382 959652176 /nfs/dbraw/zinc/65/21/76/959652176.db2.gz ZLNJBYCCSROVFT-AOUSDQRYSA-N 0 2 310.379 0.643 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccsc1 ZINC001377213145 959702809 /nfs/dbraw/zinc/70/28/09/959702809.db2.gz AKRAKDWEFOCKRX-VIFPVBQESA-N 0 2 309.395 0.924 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccsc1 ZINC001377213145 959702827 /nfs/dbraw/zinc/70/28/27/959702827.db2.gz AKRAKDWEFOCKRX-VIFPVBQESA-N 0 2 309.395 0.924 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@H]1c1ccncc1)Cc1n[nH]c(=O)[n-]1 ZINC001492256472 959762276 /nfs/dbraw/zinc/76/22/76/959762276.db2.gz AXSKLWDQUPTVDU-NWDGAFQWSA-N 0 2 316.365 0.257 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1c1ccncc1)Cc1n[nH]c(=O)[n-]1 ZINC001492256472 959762293 /nfs/dbraw/zinc/76/22/93/959762293.db2.gz AXSKLWDQUPTVDU-NWDGAFQWSA-N 0 2 316.365 0.257 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(NCCOCC(=O)[O-])[nH+]cn2)C1 ZINC001603266474 972460653 /nfs/dbraw/zinc/46/06/53/972460653.db2.gz WYURGDLQMQRTKJ-WDEREUQCSA-N 0 2 310.354 0.197 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(NCCOCC(=O)[O-])nc[nH+]2)C1 ZINC001603266474 972460660 /nfs/dbraw/zinc/46/06/60/972460660.db2.gz WYURGDLQMQRTKJ-WDEREUQCSA-N 0 2 310.354 0.197 20 0 DCADLN CCC(CC)C[N@@H+]1CCOC[C@H]1CNC(=O)CCc1nc[nH]n1 ZINC001368438394 959861854 /nfs/dbraw/zinc/86/18/54/959861854.db2.gz YLFHEOSPITXZRH-CQSZACIVSA-N 0 2 323.441 0.991 20 0 DCADLN CCC(CC)C[N@H+]1CCOC[C@H]1CNC(=O)CCc1nc[nH]n1 ZINC001368438394 959861865 /nfs/dbraw/zinc/86/18/65/959861865.db2.gz YLFHEOSPITXZRH-CQSZACIVSA-N 0 2 323.441 0.991 20 0 DCADLN CCc1cc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001377346501 959955978 /nfs/dbraw/zinc/95/59/78/959955978.db2.gz CLMHBTSGDIAMMQ-UHFFFAOYSA-N 0 2 321.385 0.058 20 0 DCADLN CCc1cc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001377346501 959955980 /nfs/dbraw/zinc/95/59/80/959955980.db2.gz CLMHBTSGDIAMMQ-UHFFFAOYSA-N 0 2 321.385 0.058 20 0 DCADLN CCC[N@H+](C)CC(=O)N[C@H]1CCC[NH+](Cc2nncn2C)CC1 ZINC001368530080 959984284 /nfs/dbraw/zinc/98/42/84/959984284.db2.gz ZZQWEDCCRKDFSM-AWEZNQCLSA-N 0 2 322.457 0.628 20 0 DCADLN CCn1ncc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)n1 ZINC001362967611 960238569 /nfs/dbraw/zinc/23/85/69/960238569.db2.gz BAYYKIQIMKORTB-UHFFFAOYSA-N 0 2 306.326 0.122 20 0 DCADLN COc1cc(CO)ccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363150389 960486947 /nfs/dbraw/zinc/48/69/47/960486947.db2.gz PCQPOHFMWBALSS-UHFFFAOYSA-N 0 2 305.290 0.319 20 0 DCADLN CCc1nsc(NCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001363169325 960526362 /nfs/dbraw/zinc/52/63/62/960526362.db2.gz UKJXQMYGSKGRMI-UHFFFAOYSA-N 0 2 302.385 0.375 20 0 DCADLN CSc1ncc(C(=O)NCc2n[nH]c(C3CC3)n2)c(=O)[nH]1 ZINC001363339094 960931808 /nfs/dbraw/zinc/93/18/08/960931808.db2.gz XQUGNRIOGRVEBL-UHFFFAOYSA-N 0 2 306.351 0.830 20 0 DCADLN Cc1[nH][nH]c(=O)c1NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC001363376694 961014166 /nfs/dbraw/zinc/01/41/66/961014166.db2.gz RCLUWBWMJYGKPS-UHFFFAOYSA-N 0 2 311.323 0.443 20 0 DCADLN COCCC1(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001377939284 961015462 /nfs/dbraw/zinc/01/54/62/961015462.db2.gz IFSFKUYCAKFVFI-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(c3ccncn3)CC2)S1 ZINC001363441892 961163736 /nfs/dbraw/zinc/16/37/36/961163736.db2.gz HICVOBWBAHPORY-NSHDSACASA-N 0 2 319.390 0.739 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1CCCCO1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019304 961198715 /nfs/dbraw/zinc/19/87/15/961198715.db2.gz XFIPEHNUCYCYFN-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](CNC(=O)C[C@@H]1CCCCO1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019304 961198721 /nfs/dbraw/zinc/19/87/21/961198721.db2.gz XFIPEHNUCYCYFN-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN Cc1cc(=O)[nH]c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC001363517553 961338015 /nfs/dbraw/zinc/33/80/15/961338015.db2.gz WUHMNIWELGZCEX-UHFFFAOYSA-N 0 2 300.278 0.119 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)N2CC[C@@H](c3nn[nH]n3)C2)C1 ZINC001363523731 961357342 /nfs/dbraw/zinc/35/73/42/961357342.db2.gz LIQHXELZNMINSU-KKZNHRDASA-N 0 2 301.354 0.605 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])C(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001588803821 961452424 /nfs/dbraw/zinc/45/24/24/961452424.db2.gz JAUYJMUSVSOXRA-NWDGAFQWSA-N 0 2 312.366 0.239 20 0 DCADLN CCO[C@@H]1[C@@H](C)[C@@H]1C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001363658902 961642840 /nfs/dbraw/zinc/64/28/40/961642840.db2.gz YJEHWEHEHAWYMZ-KPXOXKRLSA-N 0 2 309.366 0.868 20 0 DCADLN C[C@H](CNC(=O)Cc1cccc(F)c1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378205566 961657586 /nfs/dbraw/zinc/65/75/86/961657586.db2.gz RDDQYABTIBCXHA-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN C[C@H](CNC(=O)Cc1cccc(F)c1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378205566 961657597 /nfs/dbraw/zinc/65/75/97/961657597.db2.gz RDDQYABTIBCXHA-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN Cc1[nH]c([C@H]2CCCN2C(=O)Cn2cc(C(=O)[O-])nn2)[nH+]c1C ZINC001571140839 961661788 /nfs/dbraw/zinc/66/17/88/961661788.db2.gz SRYFUFLEJFTZHC-LLVKDONJSA-N 0 2 318.337 0.680 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccc(F)cc1 ZINC001379781405 962016773 /nfs/dbraw/zinc/01/67/73/962016773.db2.gz DLLSUSZCSQWDMN-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccc(F)cc1 ZINC001379781405 962016787 /nfs/dbraw/zinc/01/67/87/962016787.db2.gz DLLSUSZCSQWDMN-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccnc(-n3cccn3)c2)S1 ZINC001363922287 962132523 /nfs/dbraw/zinc/13/25/23/962132523.db2.gz QPKBKWAREHMQPQ-VIFPVBQESA-N 0 2 316.346 0.762 20 0 DCADLN O=S(=O)(NCc1nc([C@@H]2CCOC2)n[nH]1)c1ccccc1O ZINC001364028346 962326034 /nfs/dbraw/zinc/32/60/34/962326034.db2.gz NCIQVEPXHJTYQR-SECBINFHSA-N 0 2 324.362 0.493 20 0 DCADLN O=S(=O)(NCc1nnc([C@@H]2CCOC2)[nH]1)c1ccccc1O ZINC001364028346 962326050 /nfs/dbraw/zinc/32/60/50/962326050.db2.gz NCIQVEPXHJTYQR-SECBINFHSA-N 0 2 324.362 0.493 20 0 DCADLN O=C(c1c[nH]cc(Cl)c1=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364082600 962434356 /nfs/dbraw/zinc/43/43/56/962434356.db2.gz BMINWOYORHOYCQ-SSDOTTSWSA-N 0 2 323.740 0.872 20 0 DCADLN CC1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCOCC1 ZINC001380013299 962498408 /nfs/dbraw/zinc/49/84/08/962498408.db2.gz CFOWQUMVJSNYRA-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@H](NC(=O)C[NH+]1CCOCC1)c1nnc(C(F)(F)F)[n-]1 ZINC001364314443 962879341 /nfs/dbraw/zinc/87/93/41/962879341.db2.gz YTCCLAQQSKGTDH-ZETCQYMHSA-N 0 2 307.276 0.333 20 0 DCADLN CC1(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCC1 ZINC001364344576 962931801 /nfs/dbraw/zinc/93/18/01/962931801.db2.gz DXANRYDCHXUGRB-UHFFFAOYSA-N 0 2 301.372 0.091 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001430392081 1013428003 /nfs/dbraw/zinc/42/80/03/1013428003.db2.gz YJPYEKAZBQYXGE-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430392081 1013428009 /nfs/dbraw/zinc/42/80/09/1013428009.db2.gz YJPYEKAZBQYXGE-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN COCCN1CCOC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001380806594 963594814 /nfs/dbraw/zinc/59/48/14/963594814.db2.gz CAJVXUVXYXBKBU-RKDXNWHRSA-N 0 2 302.268 0.350 20 0 DCADLN COCCN1CCOC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001380806594 963594815 /nfs/dbraw/zinc/59/48/15/963594815.db2.gz CAJVXUVXYXBKBU-RKDXNWHRSA-N 0 2 302.268 0.350 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314187309 963722952 /nfs/dbraw/zinc/72/29/52/963722952.db2.gz YBCNZHKYHFJHKI-GXFFZTMASA-N 0 2 303.318 0.989 20 0 DCADLN C[C@H](Oc1ccccc1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314187309 963722955 /nfs/dbraw/zinc/72/29/55/963722955.db2.gz YBCNZHKYHFJHKI-GXFFZTMASA-N 0 2 303.318 0.989 20 0 DCADLN O=C(c1ccc(Cl)o1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001381072012 963796358 /nfs/dbraw/zinc/79/63/58/963796358.db2.gz QMDALGDEPGLVGT-UHFFFAOYSA-N 0 2 311.729 0.715 20 0 DCADLN C[C@@H](CNS(=O)(=O)c1cc(C(=O)[O-])no1)[NH+]1CCCCC1 ZINC000316004273 963963412 /nfs/dbraw/zinc/96/34/12/963963412.db2.gz RDUHLODAEPMPFR-VIFPVBQESA-N 0 2 317.367 0.526 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC(CC(=O)[O-])CC1 ZINC000316198745 963989559 /nfs/dbraw/zinc/98/95/59/963989559.db2.gz RPAYTDMFMBBKKJ-LBPRGKRZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H](CNC(=O)c1ccncc1Cl)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368987999 964248209 /nfs/dbraw/zinc/24/82/09/964248209.db2.gz XYSHBELBQCPYGY-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@@H](CNC(=O)c1ccncc1Cl)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368987999 964248221 /nfs/dbraw/zinc/24/82/21/964248221.db2.gz XYSHBELBQCPYGY-QMMMGPOBSA-N 0 2 324.772 0.809 20 0 DCADLN CCOCC1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001376065402 965152878 /nfs/dbraw/zinc/15/28/78/965152878.db2.gz YHPQZFRVUIGUGI-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@H](CNC(=O)CCn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001447796592 1013551159 /nfs/dbraw/zinc/55/11/59/1013551159.db2.gz CRTJHXJNMWAMDN-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@H](CNC(=O)CCn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001447796592 1013551166 /nfs/dbraw/zinc/55/11/66/1013551166.db2.gz CRTJHXJNMWAMDN-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN CCNC(=O)C[NH+]1CCC(N(C)C(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001369752064 965355942 /nfs/dbraw/zinc/35/59/42/965355942.db2.gz XMXIURJQCLHRQP-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN O=C(COCC1CC1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369796181 965413501 /nfs/dbraw/zinc/41/35/01/965413501.db2.gz NCTOLVIBXDNPJV-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001447826739 1013573643 /nfs/dbraw/zinc/57/36/43/1013573643.db2.gz HMAAUQDRQDTUDI-SVRRBLITSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)[nH]n1 ZINC001447826739 1013573649 /nfs/dbraw/zinc/57/36/49/1013573649.db2.gz HMAAUQDRQDTUDI-SVRRBLITSA-N 0 2 310.251 0.853 20 0 DCADLN CNC(=O)C[NH2+]C[C@H]1CCCCN1C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001376603697 965878608 /nfs/dbraw/zinc/87/86/08/965878608.db2.gz GEYKMWKJVYXSTN-GXTWGEPZSA-N 0 2 321.425 0.305 20 0 DCADLN C[C@H](CNC(=O)C1CC(F)(F)C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381502494 966471756 /nfs/dbraw/zinc/47/17/56/966471756.db2.gz FJUNQHBTSXJDAU-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](CNC(=O)C1CC(F)(F)C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381502494 966471759 /nfs/dbraw/zinc/47/17/59/966471759.db2.gz FJUNQHBTSXJDAU-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@@H]1CCC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001370785017 966474597 /nfs/dbraw/zinc/47/45/97/966474597.db2.gz OPGSEKUZJYDNRF-AWEZNQCLSA-N 0 2 322.457 0.783 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001382025944 966875238 /nfs/dbraw/zinc/87/52/38/966875238.db2.gz LYRVHEJRJZBCTH-VDTYLAMSSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001382025944 966875241 /nfs/dbraw/zinc/87/52/41/966875241.db2.gz LYRVHEJRJZBCTH-VDTYLAMSSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CNC(=O)CCC(F)(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027492 1013717797 /nfs/dbraw/zinc/71/77/97/1013717797.db2.gz ZIJXSXGOMHYFPR-SSDOTTSWSA-N 0 2 309.292 0.789 20 0 DCADLN C[C@H](CNC(=O)CCC(F)(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027492 1013717804 /nfs/dbraw/zinc/71/78/04/1013717804.db2.gz ZIJXSXGOMHYFPR-SSDOTTSWSA-N 0 2 309.292 0.789 20 0 DCADLN CCOC1CC(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001448026139 1013718412 /nfs/dbraw/zinc/71/84/12/1013718412.db2.gz VJPNUEKGNRHGAP-KPPDAEKUSA-N 0 2 311.386 0.262 20 0 DCADLN CCOC1CC(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001448026139 1013718417 /nfs/dbraw/zinc/71/84/17/1013718417.db2.gz VJPNUEKGNRHGAP-KPPDAEKUSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](CNC(=O)c1cc(C2CC2)on1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448058341 1013738673 /nfs/dbraw/zinc/73/86/73/1013738673.db2.gz GYOLAROMTCUOHT-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CNC(=O)c1cc(C2CC2)on1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448058341 1013738677 /nfs/dbraw/zinc/73/86/77/1013738677.db2.gz GYOLAROMTCUOHT-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H](C)[C@@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001372406004 967968077 /nfs/dbraw/zinc/96/80/77/967968077.db2.gz OEELKTQYEXWOTC-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H](C)[C@@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001372406004 967968084 /nfs/dbraw/zinc/96/80/84/967968084.db2.gz OEELKTQYEXWOTC-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN O=C(COCC1CC1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372508977 968062421 /nfs/dbraw/zinc/06/24/21/968062421.db2.gz LKBDMDCBABPRLV-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN O=C(COCC1CC1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372508977 968062430 /nfs/dbraw/zinc/06/24/30/968062430.db2.gz LKBDMDCBABPRLV-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CO[C@@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001372715867 968285605 /nfs/dbraw/zinc/28/56/05/968285605.db2.gz RDCOJJGUQYYTAA-ABXGFROZSA-N 0 2 312.263 0.495 20 0 DCADLN CO[C@@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(F)(F)F ZINC001372715867 968285609 /nfs/dbraw/zinc/28/56/09/968285609.db2.gz RDCOJJGUQYYTAA-ABXGFROZSA-N 0 2 312.263 0.495 20 0 DCADLN Cc1ccc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)n1C ZINC001372760270 968334177 /nfs/dbraw/zinc/33/41/77/968334177.db2.gz FABZQQVVCRQDSX-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)n1C ZINC001372760270 968334184 /nfs/dbraw/zinc/33/41/84/968334184.db2.gz FABZQQVVCRQDSX-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cn[nH]c2)C1)C(F)C(F)(F)F ZINC001448242409 1013839129 /nfs/dbraw/zinc/83/91/29/1013839129.db2.gz KXMBIICHJVYDPI-IONNQARKSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cn[nH]c2)C1)[C@@H](F)C(F)(F)F ZINC001448242409 1013839139 /nfs/dbraw/zinc/83/91/39/1013839139.db2.gz KXMBIICHJVYDPI-IONNQARKSA-N 0 2 322.262 0.888 20 0 DCADLN C[C@H](NC(=O)CC[C@@H]1CCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001448280720 1013856667 /nfs/dbraw/zinc/85/66/67/1013856667.db2.gz JVEANZYZWWGOKC-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1CCC[C@@]1(C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373532528 969193044 /nfs/dbraw/zinc/19/30/44/969193044.db2.gz OEJNMBJCDLICFL-ZUZCIYMTSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](CC(=O)N1CCN(C(=O)C2CC2)CC1)C1CC1 ZINC001609708331 970485304 /nfs/dbraw/zinc/48/53/04/970485304.db2.gz DJTYGTKHUOFIBH-LLVKDONJSA-N 0 2 323.393 0.005 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](CC(=O)N1CCN(C(=O)C2CC2)CC1)C1CC1 ZINC001609708331 970485309 /nfs/dbraw/zinc/48/53/09/970485309.db2.gz DJTYGTKHUOFIBH-LLVKDONJSA-N 0 2 323.393 0.005 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+](CC)CC(=O)[O-])C1 ZINC001605524054 1169947477 /nfs/dbraw/zinc/94/74/77/1169947477.db2.gz CPDAPILKDMZMCO-NSHDSACASA-N 0 2 300.355 0.195 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+](CC)CC(=O)[O-])C1 ZINC001605524054 1169947481 /nfs/dbraw/zinc/94/74/81/1169947481.db2.gz CPDAPILKDMZMCO-NSHDSACASA-N 0 2 300.355 0.195 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)[C@]1(C(=O)[O-])CCCO1 ZINC001604174875 972591830 /nfs/dbraw/zinc/59/18/30/972591830.db2.gz HQRJTLXVLIEVGW-HNNXBMFYSA-N 0 2 314.382 0.189 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)[C@]1(C(=O)[O-])CCCO1 ZINC001604174875 972591839 /nfs/dbraw/zinc/59/18/39/972591839.db2.gz HQRJTLXVLIEVGW-HNNXBMFYSA-N 0 2 314.382 0.189 20 0 DCADLN O=C(NC[C@H](CO)NCc1cnsn1)C(F)C(F)(F)F ZINC001448826035 1014059878 /nfs/dbraw/zinc/05/98/78/1014059878.db2.gz RRINATQPNGGHMR-RQJHMYQMSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@H](CO)NCc1cnsn1)[C@H](F)C(F)(F)F ZINC001448826035 1014059880 /nfs/dbraw/zinc/05/98/80/1014059880.db2.gz RRINATQPNGGHMR-RQJHMYQMSA-N 0 2 316.280 0.005 20 0 DCADLN C[C@@H]1C[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CC[C@@H](C(F)(F)F)O1 ZINC001603461232 973299956 /nfs/dbraw/zinc/29/99/56/973299956.db2.gz NKKCDKOJQPNWDG-BBBLOLIVSA-N 0 2 324.299 0.714 20 0 DCADLN C[C@@H]1C[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)CC[C@@H](C(F)(F)F)O1 ZINC001603461232 973299961 /nfs/dbraw/zinc/29/99/61/973299961.db2.gz NKKCDKOJQPNWDG-BBBLOLIVSA-N 0 2 324.299 0.714 20 0 DCADLN O=C([O-])CCN(C(=O)[C@H]1CCn2cc[nH+]c2C1)C1CCOCC1 ZINC001606183190 973433123 /nfs/dbraw/zinc/43/31/23/973433123.db2.gz ZSQYRJORGYSGSA-LBPRGKRZSA-N 0 2 321.377 0.928 20 0 DCADLN O=C([O-])CN1CCC[C@H](C(=O)NCCCCn2cc[nH+]c2)C1=O ZINC001606249709 973548365 /nfs/dbraw/zinc/54/83/65/973548365.db2.gz IKWKTKLTWSIYJX-GFCCVEGCSA-N 0 2 322.365 0.103 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](Cc2nc3ccccc3c(=O)[nH]2)C1 ZINC000401952778 973558925 /nfs/dbraw/zinc/55/89/25/973558925.db2.gz NKKYIJCTRRTAQK-ZJUUUORDSA-N 0 2 303.318 0.603 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](Cc2nc3ccccc3c(=O)[nH]2)C1 ZINC000401952778 973558936 /nfs/dbraw/zinc/55/89/36/973558936.db2.gz NKKYIJCTRRTAQK-ZJUUUORDSA-N 0 2 303.318 0.603 20 0 DCADLN Cn1cc([C@H]2C[C@H](C(=O)[O-])CN(c3cc(N)nc[nH+]3)C2)cn1 ZINC001605487937 973611827 /nfs/dbraw/zinc/61/18/27/973611827.db2.gz BBCRAKUTDQENMW-UWVGGRQHSA-N 0 2 302.338 0.487 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)C1(C(=O)[O-])C(C)(C)C1(C)C ZINC001605522310 973706190 /nfs/dbraw/zinc/70/61/90/973706190.db2.gz CJOKBGDKBNHMNA-SECBINFHSA-N 0 2 309.366 0.707 20 0 DCADLN O=C([O-])c1ccc(CN2C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C2=O)cc1 ZINC001606483586 973968753 /nfs/dbraw/zinc/96/87/53/973968753.db2.gz CYVUTEKDQZDLTE-LBPRGKRZSA-N 0 2 314.301 0.771 20 0 DCADLN O=C([O-])c1ccc(CN2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)cc1 ZINC001606483586 973968758 /nfs/dbraw/zinc/96/87/58/973968758.db2.gz CYVUTEKDQZDLTE-LBPRGKRZSA-N 0 2 314.301 0.771 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)N1C[C@H]2COC[C@]2(C(=O)[O-])C1 ZINC001549179266 1014166165 /nfs/dbraw/zinc/16/61/65/1014166165.db2.gz BXRCOJLHKMPOFK-UHIISALHSA-N 0 2 308.338 0.014 20 0 DCADLN CCOC(=O)C[N@H+]([C@H]1CCN(CC(=O)[O-])C1=O)C(C)(C)C ZINC001603796905 974266576 /nfs/dbraw/zinc/26/65/76/974266576.db2.gz TYUVGBARXAGITH-JTQLQIEISA-N 0 2 300.355 0.336 20 0 DCADLN CCOC(=O)C[N@@H+]([C@H]1CCN(CC(=O)[O-])C1=O)C(C)(C)C ZINC001603796905 974266581 /nfs/dbraw/zinc/26/65/81/974266581.db2.gz TYUVGBARXAGITH-JTQLQIEISA-N 0 2 300.355 0.336 20 0 DCADLN CC(C)Oc1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])nc1 ZINC001591761304 975848897 /nfs/dbraw/zinc/84/88/97/975848897.db2.gz MEKXDYYCNDMQSF-CYBMUJFWSA-N 0 2 318.333 0.949 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCC[C@H]1c1ccnn1C)C(=O)[O-] ZINC001591865596 976163725 /nfs/dbraw/zinc/16/37/25/976163725.db2.gz CSWSFYIHRBSJBP-JSGCOSHPSA-N 0 2 308.382 0.782 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCC[C@H]1c1ccnn1C)C(=O)[O-] ZINC001591865596 976163726 /nfs/dbraw/zinc/16/37/26/976163726.db2.gz CSWSFYIHRBSJBP-JSGCOSHPSA-N 0 2 308.382 0.782 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCc2n[nH]c(=O)cc2C1)C(=O)[O-] ZINC001594894463 982321440 /nfs/dbraw/zinc/32/14/40/982321440.db2.gz SIMJGAYHEZUCBI-LKFCYVNXSA-N 0 2 322.365 0.156 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCc2n[nH]c(=O)cc2C1)C(=O)[O-] ZINC001594894463 982321449 /nfs/dbraw/zinc/32/14/49/982321449.db2.gz SIMJGAYHEZUCBI-LKFCYVNXSA-N 0 2 322.365 0.156 20 0 DCADLN CO[C@@H]1C[C@@H](c2nnc(C)[nH]2)N(C(=O)[C@@H]2CCc3cn[nH]c32)C1 ZINC001550767957 1014855902 /nfs/dbraw/zinc/85/59/02/1014855902.db2.gz HWOYZVYCVQFEGF-UTUOFQBUSA-N 0 2 316.365 0.855 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCC1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001530793135 1014937946 /nfs/dbraw/zinc/93/79/46/1014937946.db2.gz NZONCYCCMNIVAE-UHFFFAOYSA-N 0 2 321.425 0.257 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1ncc(C(=O)[O-])cn1 ZINC001596334237 983775591 /nfs/dbraw/zinc/77/55/91/983775591.db2.gz AITCFKTYAXMDIS-UHFFFAOYSA-N 0 2 318.337 0.083 20 0 DCADLN CCN1C(=O)CN(C[N@H+](CCc2ccccc2)CC(=O)[O-])C1=O ZINC001596390094 983922804 /nfs/dbraw/zinc/92/28/04/983922804.db2.gz QZKPDLPGGFWNNB-UHFFFAOYSA-N 0 2 319.361 0.857 20 0 DCADLN CCN1C(=O)CN(C[N@@H+](CCc2ccccc2)CC(=O)[O-])C1=O ZINC001596390094 983922805 /nfs/dbraw/zinc/92/28/05/983922805.db2.gz QZKPDLPGGFWNNB-UHFFFAOYSA-N 0 2 319.361 0.857 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)[O-])[C@H](C)C1 ZINC001596436607 984122269 /nfs/dbraw/zinc/12/22/69/984122269.db2.gz WNQULXCJEKRSMS-MRVPVSSYSA-N 0 2 316.383 0.131 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)[O-])[C@H](C)C1 ZINC001596436607 984122272 /nfs/dbraw/zinc/12/22/72/984122272.db2.gz WNQULXCJEKRSMS-MRVPVSSYSA-N 0 2 316.383 0.131 20 0 DCADLN Cn1cc([C@H]2CN(c3[nH+]cccc3C(=O)[O-])C[C@@H]2CO)cn1 ZINC001551353338 1015023735 /nfs/dbraw/zinc/02/37/35/1015023735.db2.gz GQJMFIBGMDKKRJ-DGCLKSJQSA-N 0 2 302.334 0.726 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H]1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001596677581 984754156 /nfs/dbraw/zinc/75/41/56/984754156.db2.gz HYUDSUDORZMENS-HBNTYKKESA-N 0 2 309.322 0.042 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001383855269 985143791 /nfs/dbraw/zinc/14/37/91/985143791.db2.gz SBAGYOUBEOSGEV-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1cccnc1 ZINC001383855269 985143796 /nfs/dbraw/zinc/14/37/96/985143796.db2.gz SBAGYOUBEOSGEV-BDAKNGLRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC1CN(C(=O)c2ncccn2)C1)C(F)C(F)(F)F ZINC001450377873 1015100413 /nfs/dbraw/zinc/10/04/13/1015100413.db2.gz OQHCYLLYWQVYJH-ZETCQYMHSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2ncccn2)C1)[C@H](F)C(F)(F)F ZINC001450377873 1015100422 /nfs/dbraw/zinc/10/04/22/1015100422.db2.gz OQHCYLLYWQVYJH-ZETCQYMHSA-N 0 2 306.219 0.318 20 0 DCADLN Cc1[nH]c(C(=O)C[NH+]2CCC(CO)(CO)CC2)c(C)c1C(=O)[O-] ZINC001599945949 985527075 /nfs/dbraw/zinc/52/70/75/985527075.db2.gz UBMXZMFNOSEBDM-UHFFFAOYSA-N 0 2 324.377 0.579 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)CC2(O)CCC2)n[nH]1 ZINC001551658471 1015164702 /nfs/dbraw/zinc/16/47/02/1015164702.db2.gz JSONNZGJHGDBRK-NEPJUHHUSA-N 0 2 308.382 0.749 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@](C)(O)C2CCC2)n[nH]1 ZINC001551656708 1015164972 /nfs/dbraw/zinc/16/49/72/1015164972.db2.gz DASFRUCJPLIJFS-WWGRRREGSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2OCC[C@H]2C)n[nH]1 ZINC001551662601 1015167857 /nfs/dbraw/zinc/16/78/57/1015167857.db2.gz WNBRGNKAICQKOX-OJAKKHQRSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CCc2ccc(C(=O)[O-])nc2C1 ZINC001599968089 985949079 /nfs/dbraw/zinc/94/90/79/985949079.db2.gz KJWIMYQNTVIRTF-UHFFFAOYSA-N 0 2 300.318 0.939 20 0 DCADLN CCOCCOCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001596934480 986037763 /nfs/dbraw/zinc/03/77/63/986037763.db2.gz MIAOEQUMJZFEHD-NWDGAFQWSA-N 0 2 303.355 0.378 20 0 DCADLN CCOCCOCC[N@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001596934480 986037775 /nfs/dbraw/zinc/03/77/75/986037775.db2.gz MIAOEQUMJZFEHD-NWDGAFQWSA-N 0 2 303.355 0.378 20 0 DCADLN C[C@@H](C(=O)N1CCCCC1)[N@@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001589358454 986349396 /nfs/dbraw/zinc/34/93/96/986349396.db2.gz CCDNBIZNOYVASD-JTQLQIEISA-N 0 2 306.366 0.867 20 0 DCADLN C[C@@H](C(=O)N1CCCCC1)[N@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001589358454 986349404 /nfs/dbraw/zinc/34/94/04/986349404.db2.gz CCDNBIZNOYVASD-JTQLQIEISA-N 0 2 306.366 0.867 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001385576867 986443331 /nfs/dbraw/zinc/44/33/31/986443331.db2.gz CYMIBBWIHPFXEP-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001385576867 986443335 /nfs/dbraw/zinc/44/33/35/986443335.db2.gz CYMIBBWIHPFXEP-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cn[nH]c3)C[C@H]21)C(F)C(F)(F)F ZINC001526197457 1015231619 /nfs/dbraw/zinc/23/16/19/1015231619.db2.gz DGTYXJGKPXFEHR-RYPBNFRJSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cn[nH]c3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001526197457 1015231621 /nfs/dbraw/zinc/23/16/21/1015231621.db2.gz DGTYXJGKPXFEHR-RYPBNFRJSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386010834 986751305 /nfs/dbraw/zinc/75/13/05/986751305.db2.gz HAAPRMFQCUFNMN-KHQFGBGNSA-N 0 2 323.246 0.881 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001386010834 986751318 /nfs/dbraw/zinc/75/13/18/986751318.db2.gz HAAPRMFQCUFNMN-KHQFGBGNSA-N 0 2 323.246 0.881 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001386489849 987175463 /nfs/dbraw/zinc/17/54/63/987175463.db2.gz FLWNOKDXGYFAMW-XGQMLPDNSA-N 0 2 312.263 0.495 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21 ZINC001386489849 987175465 /nfs/dbraw/zinc/17/54/65/987175465.db2.gz FLWNOKDXGYFAMW-XGQMLPDNSA-N 0 2 312.263 0.495 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001386644125 987262089 /nfs/dbraw/zinc/26/20/89/987262089.db2.gz CNLISJHPRMKTJX-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1cnn(C)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001386644125 987262096 /nfs/dbraw/zinc/26/20/96/987262096.db2.gz CNLISJHPRMKTJX-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1cccn1 ZINC001386809884 987417090 /nfs/dbraw/zinc/41/70/90/987417090.db2.gz ARXLWZMCMACYQU-DTWKUNHWSA-N 0 2 324.278 0.919 20 0 DCADLN C[C@@H](C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)n1cccn1 ZINC001386809884 987417096 /nfs/dbraw/zinc/41/70/96/987417096.db2.gz ARXLWZMCMACYQU-DTWKUNHWSA-N 0 2 324.278 0.919 20 0 DCADLN Cc1cn(C)nc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001386841614 987444252 /nfs/dbraw/zinc/44/42/52/987444252.db2.gz JGGJCSQKIJYJOH-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cn(C)nc1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001386841614 987444253 /nfs/dbraw/zinc/44/42/53/987444253.db2.gz JGGJCSQKIJYJOH-APPZFPTMSA-N 0 2 324.278 0.863 20 0 DCADLN CCc1[nH]c(C[NH2+]Cc2nnc3c(=O)[nH]ccn23)cc1C(=O)[O-] ZINC001597394946 987644996 /nfs/dbraw/zinc/64/49/96/987644996.db2.gz KNMWYUCOWFMSAA-UHFFFAOYSA-N 0 2 316.321 0.296 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001388092400 988031551 /nfs/dbraw/zinc/03/15/51/988031551.db2.gz VEZDSXNPOKJFTP-CAHLUQPWSA-N 0 2 311.239 0.330 20 0 DCADLN Cc1ccnn1CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387748609 988113320 /nfs/dbraw/zinc/11/33/20/988113320.db2.gz LFGHMUHYKIVRAB-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN Cc1ccnn1CC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387748609 988113326 /nfs/dbraw/zinc/11/33/26/988113326.db2.gz LFGHMUHYKIVRAB-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ccnn1C ZINC001388977628 988708746 /nfs/dbraw/zinc/70/87/46/988708746.db2.gz FMBMVQZNHUVAFC-SECBINFHSA-N 0 2 324.278 0.851 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccnn1C ZINC001388977628 988708748 /nfs/dbraw/zinc/70/87/48/988708748.db2.gz FMBMVQZNHUVAFC-SECBINFHSA-N 0 2 324.278 0.851 20 0 DCADLN C[C@@H](OCc1cn(Cc2ccc(N(C)C)[nH+]c2)nn1)C(=O)[O-] ZINC001599847178 988953437 /nfs/dbraw/zinc/95/34/37/988953437.db2.gz JGIREKWYRSHJFC-SNVBAGLBSA-N 0 2 305.338 0.777 20 0 DCADLN Cn1ccc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001389231074 989008567 /nfs/dbraw/zinc/00/85/67/989008567.db2.gz FHBGMNJFVAPBCE-VIFPVBQESA-N 0 2 307.247 0.866 20 0 DCADLN Cn1ccc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC001389231074 989008574 /nfs/dbraw/zinc/00/85/74/989008574.db2.gz FHBGMNJFVAPBCE-VIFPVBQESA-N 0 2 307.247 0.866 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)NC[C@H]1CC[N@@H+]1C ZINC001597785158 989300398 /nfs/dbraw/zinc/30/03/98/989300398.db2.gz YLXPGEYFHXVKDB-MRVPVSSYSA-N 0 2 302.352 0.523 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)NC[C@H]1CC[N@H+]1C ZINC001597785158 989300408 /nfs/dbraw/zinc/30/04/08/989300408.db2.gz YLXPGEYFHXVKDB-MRVPVSSYSA-N 0 2 302.352 0.523 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC(C)(C)[NH+]2CCOCC2)O[C@H]1C(=O)[O-] ZINC001599874504 989400973 /nfs/dbraw/zinc/40/09/73/989400973.db2.gz FJKREGIENDSKME-IJLUTSLNSA-N 0 2 314.382 0.092 20 0 DCADLN CN(C)C(=O)O[C@H]1CCC[N@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001598146352 990622811 /nfs/dbraw/zinc/62/28/11/990622811.db2.gz PQKXRLLUPBRECT-NSHDSACASA-N 0 2 308.338 0.837 20 0 DCADLN CN(C)C(=O)O[C@H]1CCC[N@@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001598146352 990622814 /nfs/dbraw/zinc/62/28/14/990622814.db2.gz PQKXRLLUPBRECT-NSHDSACASA-N 0 2 308.338 0.837 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001391400159 990805886 /nfs/dbraw/zinc/80/58/86/990805886.db2.gz RWVIEYRRHIKWEQ-IUCAKERBSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(CCc1ccc(F)cc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391434978 990834053 /nfs/dbraw/zinc/83/40/53/990834053.db2.gz ZACFQFNZSSMKAN-UHFFFAOYSA-N 0 2 319.340 0.583 20 0 DCADLN COCC(=O)N[C@@H]1CCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001391573983 990969989 /nfs/dbraw/zinc/96/99/89/990969989.db2.gz PGLXQXBZKYWDAT-SECBINFHSA-N 0 2 322.390 0.734 20 0 DCADLN CC[C@@H](CNC(=O)Cc1nnc(C)[nH]1)[NH2+][C@H](C)c1nnc(C)[nH]1 ZINC001391686020 991094466 /nfs/dbraw/zinc/09/44/66/991094466.db2.gz UFXSBGNTOFPZTM-KCJUWKMLSA-N 0 2 320.401 0.328 20 0 DCADLN COc1cnc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c(F)c1 ZINC001599723490 991578810 /nfs/dbraw/zinc/57/88/10/991578810.db2.gz OIKJCFVBFSLIIP-JTQLQIEISA-N 0 2 308.269 0.309 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001392454764 991949954 /nfs/dbraw/zinc/94/99/54/991949954.db2.gz DJUPFKRVKGOSQT-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001392454764 991949956 /nfs/dbraw/zinc/94/99/56/991949956.db2.gz DJUPFKRVKGOSQT-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN CS(=O)(=O)CC[NH+]1CCC(O)(C2(C(=O)[O-])CCC2)CC1 ZINC001599751387 991995924 /nfs/dbraw/zinc/99/59/24/991995924.db2.gz JKPCBPVQJOYZHU-UHFFFAOYSA-N 0 2 305.396 0.113 20 0 DCADLN CN(CCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])C(=O)CCc1[nH]cc[nH+]1 ZINC001598478973 992119074 /nfs/dbraw/zinc/11/90/74/992119074.db2.gz FQINQNHZJOEAES-MNOVXSKESA-N 0 2 322.365 0.028 20 0 DCADLN CNC(=O)C[NH+](C)C[C@@H](C)NC(=O)[C@H]1CCCC[N@H+]1C(C)C ZINC001392702002 992285796 /nfs/dbraw/zinc/28/57/96/992285796.db2.gz GOVXVIOAWOHSEG-ZIAGYGMSSA-N 0 2 312.458 0.432 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN([C@@H](C(=O)[O-])c2ccsc2)CC1 ZINC001599777086 992316961 /nfs/dbraw/zinc/31/69/61/992316961.db2.gz OYWRQHSODSGKQZ-MPBGBICISA-N 0 2 316.448 0.870 20 0 DCADLN CN(Cc1ccccc1)C(=O)C[N@@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001598527906 992743346 /nfs/dbraw/zinc/74/33/46/992743346.db2.gz PWOUTZQRMSXAJO-DZGCQCFKSA-N 0 2 306.362 0.555 20 0 DCADLN CN(Cc1ccccc1)C(=O)C[N@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001598527906 992743352 /nfs/dbraw/zinc/74/33/52/992743352.db2.gz PWOUTZQRMSXAJO-DZGCQCFKSA-N 0 2 306.362 0.555 20 0 DCADLN Cc1cc(C[NH2+]C2(CNC(=O)c3cn[nH]n3)CCC2)nn1C ZINC001393548179 993072863 /nfs/dbraw/zinc/07/28/63/993072863.db2.gz UUFWMUNDUZINCQ-UHFFFAOYSA-N 0 2 303.370 0.289 20 0 DCADLN C[C@@H]([NH2+]CC(=O)N(C)C1CC1)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001393934448 993354795 /nfs/dbraw/zinc/35/47/95/993354795.db2.gz PNOQTWRGYJMVIC-NEPJUHHUSA-N 0 2 321.425 0.446 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001394016593 993412653 /nfs/dbraw/zinc/41/26/53/993412653.db2.gz DELTXXZSZOQDHW-QMMMGPOBSA-N 0 2 323.250 0.296 20 0 DCADLN COCC(=O)N[C@]1(C)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001394227300 993553236 /nfs/dbraw/zinc/55/32/36/993553236.db2.gz UAJAYESGMSCMRG-WCBMZHEXSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N[C@]1(C)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001394227300 993553240 /nfs/dbraw/zinc/55/32/40/993553240.db2.gz UAJAYESGMSCMRG-WCBMZHEXSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H](CNC(=O)CC1(O)CCC1)NC(=O)C(F)C(F)(F)F ZINC001400213338 994288696 /nfs/dbraw/zinc/28/86/96/994288696.db2.gz LEBKEKDKFOQJQC-CBAPKCEASA-N 0 2 314.279 0.813 20 0 DCADLN C[C@@H](CNC(=O)CC1(O)CCC1)NC(=O)[C@H](F)C(F)(F)F ZINC001400213338 994288708 /nfs/dbraw/zinc/28/87/08/994288708.db2.gz LEBKEKDKFOQJQC-CBAPKCEASA-N 0 2 314.279 0.813 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccn(C)n1 ZINC001395099724 994431379 /nfs/dbraw/zinc/43/13/79/994431379.db2.gz RIIAZYAFAWUUSO-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ccn(C)n1 ZINC001395099724 994431384 /nfs/dbraw/zinc/43/13/84/994431384.db2.gz RIIAZYAFAWUUSO-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001400412751 994489422 /nfs/dbraw/zinc/48/94/22/994489422.db2.gz CRFUQUHGGQJHDP-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001400412751 994489428 /nfs/dbraw/zinc/48/94/28/994489428.db2.gz CRFUQUHGGQJHDP-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN COCCC(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001400434982 994511735 /nfs/dbraw/zinc/51/17/35/994511735.db2.gz UIKFRXXKIRFEAR-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001400434982 994511745 /nfs/dbraw/zinc/51/17/45/994511745.db2.gz UIKFRXXKIRFEAR-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CC(C)CCO[C@H](C)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400464272 994542030 /nfs/dbraw/zinc/54/20/30/994542030.db2.gz OMCLMXGNWHIVPI-SNVBAGLBSA-N 0 2 311.386 0.262 20 0 DCADLN Cn1cc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)cn1 ZINC001400519540 994610185 /nfs/dbraw/zinc/61/01/85/994610185.db2.gz BTXZPMOZLLPNOB-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN Cn1cc(C(=O)NCC2(NC(=O)[C@@H](F)C(F)(F)F)CC2)cn1 ZINC001400519540 994610189 /nfs/dbraw/zinc/61/01/89/994610189.db2.gz BTXZPMOZLLPNOB-MRVPVSSYSA-N 0 2 322.262 0.699 20 0 DCADLN COc1cccc([C@H](O)C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001599660120 994643192 /nfs/dbraw/zinc/64/31/92/994643192.db2.gz QVLPJRGQVOTGCO-STQMWFEESA-N 0 2 319.317 0.264 20 0 DCADLN COc1cccc([C@H](O)C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001599660120 994643196 /nfs/dbraw/zinc/64/31/96/994643196.db2.gz QVLPJRGQVOTGCO-STQMWFEESA-N 0 2 319.317 0.264 20 0 DCADLN CCCC[C@@H](C(N)=O)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[NH+](C)CCC ZINC001400593421 994684853 /nfs/dbraw/zinc/68/48/53/994684853.db2.gz SBKVRPQWQWFXBJ-KKUMJFAQSA-N 0 2 324.469 0.657 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])[nH]2)C1 ZINC001598668905 994720736 /nfs/dbraw/zinc/72/07/36/994720736.db2.gz GDJFIFMXIJUDRJ-JTQLQIEISA-N 0 2 301.368 0.227 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])[nH]2)C1 ZINC001598668905 994720748 /nfs/dbraw/zinc/72/07/48/994720748.db2.gz GDJFIFMXIJUDRJ-JTQLQIEISA-N 0 2 301.368 0.227 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001395591418 994785180 /nfs/dbraw/zinc/78/51/80/994785180.db2.gz QRAPIQZSBLEDAW-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001395591587 994786516 /nfs/dbraw/zinc/78/65/16/994786516.db2.gz QRAPIQZSBLEDAW-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN CCN(C)C(=O)C[NH+]1CC[C@H](N(CC)C(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001400731572 994855152 /nfs/dbraw/zinc/85/51/52/994855152.db2.gz ARHRYVAWYHTWKQ-LSDHHAIUSA-N 0 2 324.469 0.482 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnccn2)C1 ZINC001400746476 994873185 /nfs/dbraw/zinc/87/31/85/994873185.db2.gz ISQSBYIFVUCQLI-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cnccn2)C1 ZINC001400746476 994873195 /nfs/dbraw/zinc/87/31/95/994873195.db2.gz ISQSBYIFVUCQLI-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CCCCCC(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001502408764 1015900284 /nfs/dbraw/zinc/90/02/84/1015900284.db2.gz UPNQNYXOCPJFHW-UHFFFAOYSA-N 0 2 323.397 0.504 20 0 DCADLN COC(=O)[C@H](C)Oc1ccc(C[NH+]2CC(O)(C(=O)[O-])C2)cc1 ZINC001598689949 995049663 /nfs/dbraw/zinc/04/96/63/995049663.db2.gz AOFPCVUEAKHLSS-JTQLQIEISA-N 0 2 309.318 0.258 20 0 DCADLN CCCCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)Cc1nnc[nH]1)O2 ZINC001502441773 1015919817 /nfs/dbraw/zinc/91/98/17/1015919817.db2.gz XONYCLHSSGVFSE-ZDUSSCGKSA-N 0 2 321.425 0.887 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc(C(=O)[O-])o1 ZINC001598700981 995222674 /nfs/dbraw/zinc/22/26/74/995222674.db2.gz ACQAWFBMDHLTNW-QMMMGPOBSA-N 0 2 307.262 0.146 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001598701754 995232261 /nfs/dbraw/zinc/23/22/61/995232261.db2.gz JFNJWUYHTGPPTK-QJPTWQEYSA-N 0 2 323.349 0.432 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NCc1nnn(C)n1 ZINC001507076345 1015958623 /nfs/dbraw/zinc/95/86/23/1015958623.db2.gz HSBLXVQQPYIPJU-POYBYMJQSA-N 0 2 312.271 0.047 20 0 DCADLN O=C(N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001397016074 995743962 /nfs/dbraw/zinc/74/39/62/995743962.db2.gz VLOIRCOIZMHAGU-BDAKNGLRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001397016074 995743969 /nfs/dbraw/zinc/74/39/69/995743969.db2.gz VLOIRCOIZMHAGU-BDAKNGLRSA-N 0 2 322.262 0.935 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397135447 995817752 /nfs/dbraw/zinc/81/77/52/995817752.db2.gz VXDFMHOBFINWRA-IONNQARKSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397135447 995817757 /nfs/dbraw/zinc/81/77/57/995817757.db2.gz VXDFMHOBFINWRA-IONNQARKSA-N 0 2 314.279 0.765 20 0 DCADLN COC(=O)[C@@H]1CCC[C@H]1[NH2+]Cc1noc(CCCC(=O)[O-])n1 ZINC001598791664 996078395 /nfs/dbraw/zinc/07/83/95/996078395.db2.gz PYXKKPZWTMGJQF-NXEZZACHSA-N 0 2 311.338 0.908 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CNc2cccc[nH+]2)[C@H](C(=O)[O-])C1 ZINC001598793183 996090811 /nfs/dbraw/zinc/09/08/11/996090811.db2.gz JFWXRBJMDUSNBL-MNOVXSKESA-N 0 2 321.333 0.358 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCc2[nH+]ccn2C)[C@@H](C(=O)[O-])C1 ZINC001598793778 996095933 /nfs/dbraw/zinc/09/59/33/996095933.db2.gz QURLUVVORMHODZ-WDEREUQCSA-N 0 2 323.349 0.218 20 0 DCADLN C[C@@H](c1ccc(F)cc1)N(C)C(=O)C[N@@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001593693896 996147193 /nfs/dbraw/zinc/14/71/93/996147193.db2.gz ROVNCOAVJHVVSC-ZLKJLUDKSA-N 0 2 324.352 0.865 20 0 DCADLN C[C@@H](c1ccc(F)cc1)N(C)C(=O)C[N@H+]1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001593693896 996147194 /nfs/dbraw/zinc/14/71/94/996147194.db2.gz ROVNCOAVJHVVSC-ZLKJLUDKSA-N 0 2 324.352 0.865 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H](F)C(C)C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001397749468 996155190 /nfs/dbraw/zinc/15/51/90/996155190.db2.gz WFTQUSYHSRJVNL-HOSYDEDBSA-N 0 2 313.377 0.974 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H](F)C(C)C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001397749468 996155193 /nfs/dbraw/zinc/15/51/93/996155193.db2.gz WFTQUSYHSRJVNL-HOSYDEDBSA-N 0 2 313.377 0.974 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H](F)C(C)C)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001397749468 996155196 /nfs/dbraw/zinc/15/51/96/996155196.db2.gz WFTQUSYHSRJVNL-HOSYDEDBSA-N 0 2 313.377 0.974 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)CCc1cn[nH]c1 ZINC001397936861 996266858 /nfs/dbraw/zinc/26/68/58/996266858.db2.gz GRNSEXAZGWNLRL-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)CCc1cn[nH]c1 ZINC001397936861 996266861 /nfs/dbraw/zinc/26/68/61/996266861.db2.gz GRNSEXAZGWNLRL-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)C[C@@H]1C(=O)[O-] ZINC001598818369 996296543 /nfs/dbraw/zinc/29/65/43/996296543.db2.gz QHWJHUUQKYVUKN-UWVGGRQHSA-N 0 2 317.301 0.509 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC001599077483 996911049 /nfs/dbraw/zinc/91/10/49/996911049.db2.gz YMYZHSBDABMZLM-INIZCTEOSA-N 0 2 320.345 0.161 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC001599077483 996911061 /nfs/dbraw/zinc/91/10/61/996911061.db2.gz YMYZHSBDABMZLM-INIZCTEOSA-N 0 2 320.345 0.161 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001598895327 997071397 /nfs/dbraw/zinc/07/13/97/997071397.db2.gz VFDGVOJRFJSVIY-QJPTWQEYSA-N 0 2 323.349 0.432 20 0 DCADLN COC1(OC)CC[N@H+](Cc2nc(C(=O)[O-])cs2)C[C@@H]1O ZINC001599093135 997229702 /nfs/dbraw/zinc/22/97/02/997229702.db2.gz CEFABNXOQMREGB-VIFPVBQESA-N 0 2 302.352 0.397 20 0 DCADLN COC1(OC)CC[N@@H+](Cc2nc(C(=O)[O-])cs2)C[C@@H]1O ZINC001599093135 997229718 /nfs/dbraw/zinc/22/97/18/997229718.db2.gz CEFABNXOQMREGB-VIFPVBQESA-N 0 2 302.352 0.397 20 0 DCADLN COC[C@H](O)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001404676000 997371067 /nfs/dbraw/zinc/37/10/67/997371067.db2.gz WCXITJSQDLDNJS-RBSFLKMASA-N 0 2 324.425 0.422 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001405066345 997748631 /nfs/dbraw/zinc/74/86/31/997748631.db2.gz QFDNNEWIVZNSPX-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001405066345 997748619 /nfs/dbraw/zinc/74/86/19/997748619.db2.gz QFDNNEWIVZNSPX-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001405066346 997750067 /nfs/dbraw/zinc/75/00/67/997750067.db2.gz QFDNNEWIVZNSPX-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001405066346 997750070 /nfs/dbraw/zinc/75/00/70/997750070.db2.gz QFDNNEWIVZNSPX-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2CCC[C@@H](O)[C@@H]2C(=O)[O-])n(C)c1C ZINC001598933500 997815462 /nfs/dbraw/zinc/81/54/62/997815462.db2.gz YCNSSNIQUDYZCD-CHWSQXEVSA-N 0 2 310.350 0.530 20 0 DCADLN COC(=O)c1cc(C[N@H+]2CCC[C@@H](O)[C@@H]2C(=O)[O-])n(C)c1C ZINC001598933500 997815472 /nfs/dbraw/zinc/81/54/72/997815472.db2.gz YCNSSNIQUDYZCD-CHWSQXEVSA-N 0 2 310.350 0.530 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@]1(C)CCNC1=O ZINC001467397615 1016163353 /nfs/dbraw/zinc/16/33/53/1016163353.db2.gz IPNWLBRBUUARKI-HNNXBMFYSA-N 0 2 315.333 0.950 20 0 DCADLN COc1cc(OC[C@H](O)C[NH+]2CCOCC2)ccc1C(=O)[O-] ZINC001599456988 998204502 /nfs/dbraw/zinc/20/45/02/998204502.db2.gz RMMAAABQHHWERO-LLVKDONJSA-N 0 2 311.334 0.465 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])o1 ZINC001598973714 998564962 /nfs/dbraw/zinc/56/49/62/998564962.db2.gz FEHCTFNHIXJUBX-JTQLQIEISA-N 0 2 310.306 0.231 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])o1 ZINC001598973714 998564967 /nfs/dbraw/zinc/56/49/67/998564967.db2.gz FEHCTFNHIXJUBX-JTQLQIEISA-N 0 2 310.306 0.231 20 0 DCADLN CO[C@@H](C)C[N@H+](C)CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001599039408 999107012 /nfs/dbraw/zinc/10/70/12/999107012.db2.gz BVPIGZVECAXUIW-ZANVPECISA-N 0 2 301.343 0.086 20 0 DCADLN CO[C@@H](C)C[N@@H+](C)CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001599039408 999107015 /nfs/dbraw/zinc/10/70/15/999107015.db2.gz BVPIGZVECAXUIW-ZANVPECISA-N 0 2 301.343 0.086 20 0 DCADLN CC[S@](=O)CCNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001468944742 1016293233 /nfs/dbraw/zinc/29/32/33/1016293233.db2.gz PPBXPAYGWPYQEZ-BTKVJGODSA-N 0 2 315.399 0.168 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2cn(CC(=O)[O-])nn2)C[C@@H]1n1ccnc1 ZINC001594425981 999591207 /nfs/dbraw/zinc/59/12/07/999591207.db2.gz FDAGJOMBRVURIQ-YPMHNXCESA-N 0 2 304.354 0.642 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2cn(CC(=O)[O-])nn2)C[C@@H]1n1ccnc1 ZINC001594425981 999591211 /nfs/dbraw/zinc/59/12/11/999591211.db2.gz FDAGJOMBRVURIQ-YPMHNXCESA-N 0 2 304.354 0.642 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)N1CC[C@@H]1CO ZINC001469860920 1016369488 /nfs/dbraw/zinc/36/94/88/1016369488.db2.gz UAGZVLVWJUPEAB-LLVKDONJSA-N 0 2 317.349 0.660 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccncc1F)Cc1n[nH]c(=O)[n-]1 ZINC001418535637 1000323915 /nfs/dbraw/zinc/32/39/15/1000323915.db2.gz GZXOIVMHUFXDJL-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccncc1F)Cc1n[nH]c(=O)[n-]1 ZINC001418535637 1000323921 /nfs/dbraw/zinc/32/39/21/1000323921.db2.gz GZXOIVMHUFXDJL-UHFFFAOYSA-N 0 2 308.317 0.296 20 0 DCADLN O=C(Cc1ccsc1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418588376 1000369416 /nfs/dbraw/zinc/36/94/16/1000369416.db2.gz OJGHXLJFCHQLRI-NSHDSACASA-N 0 2 321.406 0.895 20 0 DCADLN O=C(Cc1ccsc1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418588376 1000369421 /nfs/dbraw/zinc/36/94/21/1000369421.db2.gz OJGHXLJFCHQLRI-NSHDSACASA-N 0 2 321.406 0.895 20 0 DCADLN Cn1nc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1OC(F)F ZINC001418621669 1000400037 /nfs/dbraw/zinc/40/00/37/1000400037.db2.gz KVTHXVOKJUIJED-UHFFFAOYSA-N 0 2 315.236 0.153 20 0 DCADLN Cn1nc(C(=O)NCC2=NC(=O)CC(=O)N2)cc1OC(F)F ZINC001418621669 1000400043 /nfs/dbraw/zinc/40/00/43/1000400043.db2.gz KVTHXVOKJUIJED-UHFFFAOYSA-N 0 2 315.236 0.153 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001418778948 1000513528 /nfs/dbraw/zinc/51/35/28/1000513528.db2.gz PGDHOJJZVMQDFU-VWYCJHECSA-N 0 2 311.386 0.404 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001418778948 1000513532 /nfs/dbraw/zinc/51/35/32/1000513532.db2.gz PGDHOJJZVMQDFU-VWYCJHECSA-N 0 2 311.386 0.404 20 0 DCADLN Cn1nccc1CC[N@H+](CCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001418794714 1000525527 /nfs/dbraw/zinc/52/55/27/1000525527.db2.gz AGTNINVEGSNVJC-UHFFFAOYSA-N 0 2 316.409 0.509 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001401679936 1000620655 /nfs/dbraw/zinc/62/06/55/1000620655.db2.gz UANJFSOUOHRWIF-IONNQARKSA-N 0 2 321.385 0.573 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2cnn(C)n2)C1 ZINC001419106048 1000778146 /nfs/dbraw/zinc/77/81/46/1000778146.db2.gz HYWRWRPTLQLSDT-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(Cc2cnn(C)n2)C1 ZINC001419106048 1000778148 /nfs/dbraw/zinc/77/81/48/1000778148.db2.gz HYWRWRPTLQLSDT-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN CC(C)NC(=O)CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001402027617 1000955844 /nfs/dbraw/zinc/95/58/44/1000955844.db2.gz SHFFTJNSKMIXDD-SNVBAGLBSA-N 0 2 313.295 0.554 20 0 DCADLN CC(C)NC(=O)CN1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001402027617 1000955851 /nfs/dbraw/zinc/95/58/51/1000955851.db2.gz SHFFTJNSKMIXDD-SNVBAGLBSA-N 0 2 313.295 0.554 20 0 DCADLN NC(=O)CC1(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001470579295 1016458196 /nfs/dbraw/zinc/45/81/96/1016458196.db2.gz PMAVWZPWPYNFTL-VIFPVBQESA-N 0 2 322.369 0.055 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001402118175 1001030298 /nfs/dbraw/zinc/03/02/98/1001030298.db2.gz FGVWVFRCVHNNBS-ZANVPECISA-N 0 2 313.427 0.733 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001402118175 1001030304 /nfs/dbraw/zinc/03/03/04/1001030304.db2.gz FGVWVFRCVHNNBS-ZANVPECISA-N 0 2 313.427 0.733 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402172433 1001082384 /nfs/dbraw/zinc/08/23/84/1001082384.db2.gz FBPJSYNGSICGIN-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN CC[C@H](C)CCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001470741683 1016488300 /nfs/dbraw/zinc/48/83/00/1016488300.db2.gz BAXCALWCMRVRTJ-VIFPVBQESA-N 0 2 317.415 0.585 20 0 DCADLN CC[C@H](C)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001402711921 1001478643 /nfs/dbraw/zinc/47/86/43/1001478643.db2.gz WIRUFRAXDMOYHZ-UWVGGRQHSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)[C@@H](C)O1 ZINC001471058098 1016517637 /nfs/dbraw/zinc/51/76/37/1016517637.db2.gz JBPYASYMWWDYLK-ZNSHCXBVSA-N 0 2 309.370 0.965 20 0 DCADLN COc1cn(C)nc1[C@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001420107073 1001875723 /nfs/dbraw/zinc/87/57/23/1001875723.db2.gz QUOQYTQEQDCFQB-XPUUQOCRSA-N 0 2 311.367 0.162 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)[N@H+](C)CCc2ccnn2C)c1[O-] ZINC001424936171 1002161699 /nfs/dbraw/zinc/16/16/99/1002161699.db2.gz SMRSEKDSGHOOJG-SNVBAGLBSA-N 0 2 320.397 0.450 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)[N@@H+](C)CCc2ccnn2C)c1[O-] ZINC001424936171 1002161704 /nfs/dbraw/zinc/16/17/04/1002161704.db2.gz SMRSEKDSGHOOJG-SNVBAGLBSA-N 0 2 320.397 0.450 20 0 DCADLN C[C@@H](NC(=O)CCc1ccon1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425226832 1002458528 /nfs/dbraw/zinc/45/85/28/1002458528.db2.gz KXVYGBPNRZKJQP-SECBINFHSA-N 0 2 320.353 0.068 20 0 DCADLN COCC(C)(C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420470244 1002463888 /nfs/dbraw/zinc/46/38/88/1002463888.db2.gz PUFWKLUZFWOAEK-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COCC(C)(C)C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420470244 1002463893 /nfs/dbraw/zinc/46/38/93/1002463893.db2.gz PUFWKLUZFWOAEK-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1Cc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001403884873 1002681136 /nfs/dbraw/zinc/68/11/36/1002681136.db2.gz FQOVEEFFMZRGBI-CYBMUJFWSA-N 0 2 315.377 0.788 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1Cc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001403884873 1002681138 /nfs/dbraw/zinc/68/11/38/1002681138.db2.gz FQOVEEFFMZRGBI-CYBMUJFWSA-N 0 2 315.377 0.788 20 0 DCADLN Cc1cncc(CC(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420611281 1002688282 /nfs/dbraw/zinc/68/82/82/1002688282.db2.gz IQAHESOPYJUZSJ-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN Cc1cncc(CC(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001420611281 1002688285 /nfs/dbraw/zinc/68/82/85/1002688285.db2.gz IQAHESOPYJUZSJ-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC(F)(F)C1 ZINC001420643277 1002732199 /nfs/dbraw/zinc/73/21/99/1002732199.db2.gz IDXGHTWVKVMKLY-UHFFFAOYSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1CC(F)(F)C1 ZINC001420643277 1002732209 /nfs/dbraw/zinc/73/22/09/1002732209.db2.gz IDXGHTWVKVMKLY-UHFFFAOYSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1cncc(CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001420848634 1003026792 /nfs/dbraw/zinc/02/67/92/1003026792.db2.gz KYYCODMAOIFNKJ-LBPRGKRZSA-N 0 2 316.365 0.147 20 0 DCADLN Cc1nccn1CC(=O)NC[C@H]1CC[N@H+]1CCc1ccnn1C ZINC001420910530 1003119602 /nfs/dbraw/zinc/11/96/02/1003119602.db2.gz ZRIIDKSQPMLTFQ-OAHLLOKOSA-N 0 2 316.409 0.358 20 0 DCADLN COc1ccc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001421031249 1003248788 /nfs/dbraw/zinc/24/87/88/1003248788.db2.gz RCPOQDJPMRLYKJ-JTQLQIEISA-N 0 2 322.262 0.686 20 0 DCADLN COc1ccc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001421031249 1003248798 /nfs/dbraw/zinc/24/87/98/1003248798.db2.gz RCPOQDJPMRLYKJ-JTQLQIEISA-N 0 2 322.262 0.686 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001421197583 1003432173 /nfs/dbraw/zinc/43/21/73/1003432173.db2.gz QADXSIOAWXGCLJ-XHDPSFHLSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001421197583 1003432176 /nfs/dbraw/zinc/43/21/76/1003432176.db2.gz QADXSIOAWXGCLJ-XHDPSFHLSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001421327690 1003547813 /nfs/dbraw/zinc/54/78/13/1003547813.db2.gz IXYPTSYCBJHMLY-BDAKNGLRSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001421327690 1003547819 /nfs/dbraw/zinc/54/78/19/1003547819.db2.gz IXYPTSYCBJHMLY-BDAKNGLRSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001421327690 1003547824 /nfs/dbraw/zinc/54/78/24/1003547824.db2.gz IXYPTSYCBJHMLY-BDAKNGLRSA-N 0 2 317.340 0.834 20 0 DCADLN CCOCC(=O)N1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001406490983 1003762089 /nfs/dbraw/zinc/76/20/89/1003762089.db2.gz ODFHEXYTOWWFGZ-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N1CC[C@@H]1CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001406490983 1003762095 /nfs/dbraw/zinc/76/20/95/1003762095.db2.gz ODFHEXYTOWWFGZ-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(c1c(O)cccc1O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001472562087 1016826196 /nfs/dbraw/zinc/82/61/96/1016826196.db2.gz QRQQLZAFCRIYLD-QMMMGPOBSA-N 0 2 304.306 0.941 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001428301424 1003845395 /nfs/dbraw/zinc/84/53/95/1003845395.db2.gz BASYCBZRDKHRQQ-GJMOJQLCSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001428301424 1003845414 /nfs/dbraw/zinc/84/54/14/1003845414.db2.gz BASYCBZRDKHRQQ-GJMOJQLCSA-N 0 2 322.262 0.996 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1C=CC=CC=C1 ZINC001406607059 1003917695 /nfs/dbraw/zinc/91/76/95/1003917695.db2.gz KZJBHUPCNRRSEK-NSHDSACASA-N 0 2 303.366 0.745 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1C=CC=CC=C1 ZINC001406607059 1003917701 /nfs/dbraw/zinc/91/77/01/1003917701.db2.gz KZJBHUPCNRRSEK-NSHDSACASA-N 0 2 303.366 0.745 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cncnc1 ZINC001428654936 1004091210 /nfs/dbraw/zinc/09/12/10/1004091210.db2.gz OTRGPYILTOOGFK-XVKPBYJWSA-N 0 2 322.262 0.540 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cncnc1 ZINC001428654936 1004091218 /nfs/dbraw/zinc/09/12/18/1004091218.db2.gz OTRGPYILTOOGFK-XVKPBYJWSA-N 0 2 322.262 0.540 20 0 DCADLN CCn1cc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001406827984 1004129086 /nfs/dbraw/zinc/12/90/86/1004129086.db2.gz LFHJOARMOWRVLS-UWVGGRQHSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1cc(CN2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001406827984 1004129090 /nfs/dbraw/zinc/12/90/90/1004129090.db2.gz LFHJOARMOWRVLS-UWVGGRQHSA-N 0 2 323.294 0.889 20 0 DCADLN CC[C@H](C)NC(=O)C[NH2+]C1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001406994707 1004293421 /nfs/dbraw/zinc/29/34/21/1004293421.db2.gz OVWWMHPFIWPHHH-NSHDSACASA-N 0 2 321.425 0.414 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001427273393 1004295930 /nfs/dbraw/zinc/29/59/30/1004295930.db2.gz ORJFQTSMPAUESW-HAFWLYHUSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC001427273393 1004295938 /nfs/dbraw/zinc/29/59/38/1004295938.db2.gz ORJFQTSMPAUESW-HAFWLYHUSA-N 0 2 324.234 0.595 20 0 DCADLN CCn1ncc(CN2CC(N(C)C(=O)C(F)C(F)(F)F)C2)n1 ZINC001407055893 1004339608 /nfs/dbraw/zinc/33/96/08/1004339608.db2.gz QXQCWJFSMRQHDA-SNVBAGLBSA-N 0 2 323.294 0.841 20 0 DCADLN CCn1ncc(CN2CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001407055893 1004339616 /nfs/dbraw/zinc/33/96/16/1004339616.db2.gz QXQCWJFSMRQHDA-SNVBAGLBSA-N 0 2 323.294 0.841 20 0 DCADLN C[C@@H]1CC[C@@H](CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)O1 ZINC001427681379 1004530240 /nfs/dbraw/zinc/53/02/40/1004530240.db2.gz AJCBYWAOQJLUMI-VWYCJHECSA-N 0 2 309.370 0.967 20 0 DCADLN C[C@H](CNC(=O)c1cncc(Cl)c1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506021113 1016895342 /nfs/dbraw/zinc/89/53/42/1016895342.db2.gz BELBMBGETMYFIX-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@H](CNC(=O)c1cncc(Cl)c1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506021113 1016895359 /nfs/dbraw/zinc/89/53/59/1016895359.db2.gz BELBMBGETMYFIX-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN CO[C@H](C)C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001429505258 1004636420 /nfs/dbraw/zinc/63/64/20/1004636420.db2.gz AENINBYRDDZJSI-BWZBUEFSSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001429505258 1004636424 /nfs/dbraw/zinc/63/64/24/1004636424.db2.gz AENINBYRDDZJSI-BWZBUEFSSA-N 0 2 300.252 0.639 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001429518536 1004644269 /nfs/dbraw/zinc/64/42/69/1004644269.db2.gz VXDFMHOBFINWRA-VXNVDRBHSA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001429518536 1004644275 /nfs/dbraw/zinc/64/42/75/1004644275.db2.gz VXDFMHOBFINWRA-VXNVDRBHSA-N 0 2 314.279 0.765 20 0 DCADLN CCOCC(=O)NCCN(CC)C(=O)C(F)C(F)(F)F ZINC001429529150 1004651260 /nfs/dbraw/zinc/65/12/60/1004651260.db2.gz MSTQEVYJCKEDHS-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCOCC(=O)NCCN(CC)C(=O)[C@H](F)C(F)(F)F ZINC001429529150 1004651263 /nfs/dbraw/zinc/65/12/63/1004651263.db2.gz MSTQEVYJCKEDHS-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001408470164 1005213104 /nfs/dbraw/zinc/21/31/04/1005213104.db2.gz HSEBSWZIXNZKSL-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001408470164 1005213106 /nfs/dbraw/zinc/21/31/06/1005213106.db2.gz HSEBSWZIXNZKSL-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001408470570 1005213175 /nfs/dbraw/zinc/21/31/75/1005213175.db2.gz XXQREIJSTAQKRN-RNFRBKRXSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001408470570 1005213177 /nfs/dbraw/zinc/21/31/77/1005213177.db2.gz XXQREIJSTAQKRN-RNFRBKRXSA-N 0 2 310.251 0.935 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)N[C@H](c1ncccn1)C1CC1 ZINC001414341645 1005292011 /nfs/dbraw/zinc/29/20/11/1005292011.db2.gz IMFMUHWZOLNMRC-VIFPVBQESA-N 0 2 304.397 0.490 20 0 DCADLN Cc1nonc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414726131 1005390908 /nfs/dbraw/zinc/39/09/08/1005390908.db2.gz WWWRGIVNCHHDGQ-MRVPVSSYSA-N 0 2 307.314 0.291 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414964790 1005433548 /nfs/dbraw/zinc/43/35/48/1005433548.db2.gz FHVKFYUGHYZVQY-BGZDPUMWSA-N 0 2 314.279 0.667 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001414964790 1005433550 /nfs/dbraw/zinc/43/35/50/1005433550.db2.gz FHVKFYUGHYZVQY-BGZDPUMWSA-N 0 2 314.279 0.667 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC001415012210 1005441807 /nfs/dbraw/zinc/44/18/07/1005441807.db2.gz RCJNORZPHPIWHS-BFHQHQDPSA-N 0 2 309.223 0.082 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCn1cccn1 ZINC001415183621 1005476869 /nfs/dbraw/zinc/47/68/69/1005476869.db2.gz SXHHXICKOGISJB-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CCn1cccn1 ZINC001415183621 1005476871 /nfs/dbraw/zinc/47/68/71/1005476871.db2.gz SXHHXICKOGISJB-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN CO[C@H](C)CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001415514381 1005551174 /nfs/dbraw/zinc/55/11/74/1005551174.db2.gz KJALFJZZSUTZQY-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@H](C)CC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001415514381 1005551177 /nfs/dbraw/zinc/55/11/77/1005551177.db2.gz KJALFJZZSUTZQY-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001415516695 1005551818 /nfs/dbraw/zinc/55/18/18/1005551818.db2.gz YFXUKXFSMHEYIC-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001415516695 1005551819 /nfs/dbraw/zinc/55/18/19/1005551819.db2.gz YFXUKXFSMHEYIC-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN C[C@@H](c1nncn1C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001415835726 1005620505 /nfs/dbraw/zinc/62/05/05/1005620505.db2.gz ISSASNGBYATINP-DTWKUNHWSA-N 0 2 323.294 0.921 20 0 DCADLN C[C@@H](c1nncn1C)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001415835726 1005620507 /nfs/dbraw/zinc/62/05/07/1005620507.db2.gz ISSASNGBYATINP-DTWKUNHWSA-N 0 2 323.294 0.921 20 0 DCADLN O=C(Cc1ccon1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416033571 1005649577 /nfs/dbraw/zinc/64/95/77/1005649577.db2.gz UBUYNLQIACEANB-SECBINFHSA-N 0 2 309.219 0.445 20 0 DCADLN O=C(Cc1ccon1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416033571 1005649579 /nfs/dbraw/zinc/64/95/79/1005649579.db2.gz UBUYNLQIACEANB-SECBINFHSA-N 0 2 309.219 0.445 20 0 DCADLN CC[C@H](CNC(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001416188582 1005668681 /nfs/dbraw/zinc/66/86/81/1005668681.db2.gz WUAQUBWLFWTXJV-HTQZYQBOSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)c1cn[nH]c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001416188582 1005668684 /nfs/dbraw/zinc/66/86/84/1005668684.db2.gz WUAQUBWLFWTXJV-HTQZYQBOSA-N 0 2 310.251 0.935 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001416716858 1005726821 /nfs/dbraw/zinc/72/68/21/1005726821.db2.gz FQJYXQXFDFKHBU-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccncc1 ZINC001416716858 1005726822 /nfs/dbraw/zinc/72/68/22/1005726822.db2.gz FQJYXQXFDFKHBU-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN CN(C[C@@H]1CCOC1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417654877 1005861489 /nfs/dbraw/zinc/86/14/89/1005861489.db2.gz CIYHUJRSCLVTDE-JTQLQIEISA-N 0 2 318.333 0.375 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2COCc3ccccc32)S1 ZINC001418071651 1006003389 /nfs/dbraw/zinc/00/33/89/1006003389.db2.gz ICSCKLDJCNGSKM-MNOVXSKESA-N 0 2 305.359 0.931 20 0 DCADLN CCC[C@H](C)C(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001436699191 1006274366 /nfs/dbraw/zinc/27/43/66/1006274366.db2.gz MCQWHLIYWSHIDP-LBPRGKRZSA-N 0 2 324.429 0.180 20 0 DCADLN O=S(=O)(NCCn1cncn1)c1onc(C2CC2)c1Cl ZINC001474943681 1017046762 /nfs/dbraw/zinc/04/67/62/1017046762.db2.gz DEXAMFDSUHRNFH-UHFFFAOYSA-N 0 2 317.758 0.775 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(C)F ZINC001451774976 1006404517 /nfs/dbraw/zinc/40/45/17/1006404517.db2.gz MKVMXHPNXGNVAW-RNFRBKRXSA-N 0 2 320.258 0.570 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(C)(C)F ZINC001451774976 1006404524 /nfs/dbraw/zinc/40/45/24/1006404524.db2.gz MKVMXHPNXGNVAW-RNFRBKRXSA-N 0 2 320.258 0.570 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CC[C@@H](CNC(=O)CCc2c[nH+]cn2C)C1 ZINC001437743059 1007341797 /nfs/dbraw/zinc/34/17/97/1007341797.db2.gz UDSFDEDZITWMOF-JSGCOSHPSA-N 0 2 321.425 0.055 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001438014888 1007581420 /nfs/dbraw/zinc/58/14/20/1007581420.db2.gz PKQVAXMJZORAHU-PWSUYJOCSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001440239381 1007601336 /nfs/dbraw/zinc/60/13/36/1007601336.db2.gz KPHFTQCZDCBTFS-IUCAKERBSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001440239372 1007602254 /nfs/dbraw/zinc/60/22/54/1007602254.db2.gz KPHFTQCZDCBTFS-BDAKNGLRSA-N 0 2 317.340 0.834 20 0 DCADLN CO[C@@H](C)C(=O)NC1CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001438050329 1007603810 /nfs/dbraw/zinc/60/38/10/1007603810.db2.gz UMCBEMANSNJVDK-QMMMGPOBSA-N 0 2 322.390 0.732 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001438202403 1007701626 /nfs/dbraw/zinc/70/16/26/1007701626.db2.gz YTTXTQWFDGBSIF-MWLCHTKSSA-N 0 2 323.397 0.143 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001438202403 1007701639 /nfs/dbraw/zinc/70/16/39/1007701639.db2.gz YTTXTQWFDGBSIF-MWLCHTKSSA-N 0 2 323.397 0.143 20 0 DCADLN CN(C(=O)COC(C)(C)C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438241557 1007734051 /nfs/dbraw/zinc/73/40/51/1007734051.db2.gz USPMDWMRMWHERY-JTQLQIEISA-N 0 2 311.386 0.358 20 0 DCADLN CN(C(=O)COC(C)(C)C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438241557 1007734056 /nfs/dbraw/zinc/73/40/56/1007734056.db2.gz USPMDWMRMWHERY-JTQLQIEISA-N 0 2 311.386 0.358 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441012695 1008174359 /nfs/dbraw/zinc/17/43/59/1008174359.db2.gz MIUALWGWHSTPOP-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC[C@H](CO)C1 ZINC001441091828 1008216637 /nfs/dbraw/zinc/21/66/37/1008216637.db2.gz DMTYVDUWBNMTSE-JTQLQIEISA-N 0 2 318.333 0.111 20 0 DCADLN O=C(NCC1(O)C[NH+](C[C@@H]2CC[C@H]3C[C@H]3C2)C1)c1ncn[nH]1 ZINC001421487002 1008650679 /nfs/dbraw/zinc/65/06/79/1008650679.db2.gz NVEBLLFAWAXVHA-GRYCIOLGSA-N 0 2 305.382 0.017 20 0 DCADLN C[C@H](CNC(=O)Cc1ccon1)NC(=O)C(F)C(F)(F)F ZINC001433432537 1008780051 /nfs/dbraw/zinc/78/00/51/1008780051.db2.gz GKNGWNOZDGDQFQ-MUWHJKNJSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@H](CNC(=O)Cc1ccon1)NC(=O)[C@H](F)C(F)(F)F ZINC001433432537 1008780062 /nfs/dbraw/zinc/78/00/62/1008780062.db2.gz GKNGWNOZDGDQFQ-MUWHJKNJSA-N 0 2 311.235 0.738 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001454697881 1009272205 /nfs/dbraw/zinc/27/22/05/1009272205.db2.gz MUUXFZNEGAYGDW-NVBFEUDRSA-N 0 2 322.409 0.697 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)Cc1cnns1 ZINC001442415027 1009290402 /nfs/dbraw/zinc/29/04/02/1009290402.db2.gz SOGYBJFLYBVWIP-SSDOTTSWSA-N 0 2 300.281 0.987 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1cnns1 ZINC001442415027 1009290416 /nfs/dbraw/zinc/29/04/16/1009290416.db2.gz SOGYBJFLYBVWIP-SSDOTTSWSA-N 0 2 300.281 0.987 20 0 DCADLN C[N@H+](CCNC(=O)c1conc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001442516840 1009437731 /nfs/dbraw/zinc/43/77/31/1009437731.db2.gz CIUVVRDXWLQTGL-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN C[N@@H+](CCNC(=O)c1conc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001442516840 1009437737 /nfs/dbraw/zinc/43/77/37/1009437737.db2.gz CIUVVRDXWLQTGL-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN CO[C@H]1COCC[C@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001434022619 1009467003 /nfs/dbraw/zinc/46/70/03/1009467003.db2.gz XDUKEAWIGVMJRS-GWCFXTLKSA-N 0 2 319.365 0.592 20 0 DCADLN C[C@@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCO1 ZINC001442585948 1009494748 /nfs/dbraw/zinc/49/47/48/1009494748.db2.gz ZWIOODAEXMTXBE-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCO1 ZINC001442585948 1009494756 /nfs/dbraw/zinc/49/47/56/1009494756.db2.gz ZWIOODAEXMTXBE-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001434066777 1009516163 /nfs/dbraw/zinc/51/61/63/1009516163.db2.gz JRXHQHIKJHCLTP-IONNQARKSA-N 0 2 314.279 0.981 20 0 DCADLN CCO[C@@H](C)C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001434066777 1009516172 /nfs/dbraw/zinc/51/61/72/1009516172.db2.gz JRXHQHIKJHCLTP-IONNQARKSA-N 0 2 314.279 0.981 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H]2c2ncccn2)S1 ZINC001434204203 1009660794 /nfs/dbraw/zinc/66/07/94/1009660794.db2.gz JJSGVKRLPUJWOY-RKDXNWHRSA-N 0 2 305.363 0.697 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C)n(C)c1C)Cc1n[nH]c(=O)[n-]1 ZINC001442744260 1009692475 /nfs/dbraw/zinc/69/24/75/1009692475.db2.gz JEWXDALORVHVFM-UHFFFAOYSA-N 0 2 320.397 0.718 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C)n(C)c1C)Cc1n[nH]c(=O)[n-]1 ZINC001442744260 1009692487 /nfs/dbraw/zinc/69/24/87/1009692487.db2.gz JEWXDALORVHVFM-UHFFFAOYSA-N 0 2 320.397 0.718 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)Cc1cnoc1 ZINC001455636137 1009875534 /nfs/dbraw/zinc/87/55/34/1009875534.db2.gz KFPLMIPHYWRWFM-UHFFFAOYSA-N 0 2 319.365 0.456 20 0 DCADLN Cc1nc(C)c(CC(=O)N[C@H](C)c2nn(C)cc2O)c(=O)[nH]1 ZINC001434408448 1009915759 /nfs/dbraw/zinc/91/57/59/1009915759.db2.gz XZORZUKWMSVGOW-MRVPVSSYSA-N 0 2 305.338 0.658 20 0 DCADLN Cc1ccncc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001442995592 1010024854 /nfs/dbraw/zinc/02/48/54/1010024854.db2.gz QEPXPRAHTFDZEC-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccncc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001442995592 1010024870 /nfs/dbraw/zinc/02/48/70/1010024870.db2.gz QEPXPRAHTFDZEC-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN COc1ncc(C[N@H+](C)[C@@H](C)CNC(=O)c2nnc[nH]2)c(C)n1 ZINC001422570395 1010316840 /nfs/dbraw/zinc/31/68/40/1010316840.db2.gz TWDBZJDHJWCVGF-VIFPVBQESA-N 0 2 319.369 0.162 20 0 DCADLN COc1ncc(C[N@@H+](C)[C@@H](C)CNC(=O)c2nnc[nH]2)c(C)n1 ZINC001422570395 1010316850 /nfs/dbraw/zinc/31/68/50/1010316850.db2.gz TWDBZJDHJWCVGF-VIFPVBQESA-N 0 2 319.369 0.162 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001422593250 1010370540 /nfs/dbraw/zinc/37/05/40/1010370540.db2.gz AVUXUPACEODDRD-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001422593250 1010370544 /nfs/dbraw/zinc/37/05/44/1010370544.db2.gz AVUXUPACEODDRD-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN C[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001443614849 1010538800 /nfs/dbraw/zinc/53/88/00/1010538800.db2.gz MHVFUZJPPAUVLM-VIFPVBQESA-N 0 2 305.338 0.455 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001422739308 1010552617 /nfs/dbraw/zinc/55/26/17/1010552617.db2.gz VZQJJTCVAFXKAQ-XCBNKYQSSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2ncnn2C)C1 ZINC001422739308 1010552623 /nfs/dbraw/zinc/55/26/23/1010552623.db2.gz VZQJJTCVAFXKAQ-XCBNKYQSSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001443844542 1010696397 /nfs/dbraw/zinc/69/63/97/1010696397.db2.gz KELTXBQYJUEHQB-WCBMZHEXSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001443844542 1010696403 /nfs/dbraw/zinc/69/64/03/1010696403.db2.gz KELTXBQYJUEHQB-WCBMZHEXSA-N 0 2 324.278 0.747 20 0 DCADLN CCN(C(=O)CC(F)(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443842822 1010696599 /nfs/dbraw/zinc/69/65/99/1010696599.db2.gz BZMHHIKPTDOZDK-QMMMGPOBSA-N 0 2 321.303 0.886 20 0 DCADLN CCN(C(=O)CC(F)(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443842822 1010696604 /nfs/dbraw/zinc/69/66/04/1010696604.db2.gz BZMHHIKPTDOZDK-QMMMGPOBSA-N 0 2 321.303 0.886 20 0 DCADLN CCN(C(=O)CC(F)(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001443842822 1010696607 /nfs/dbraw/zinc/69/66/07/1010696607.db2.gz BZMHHIKPTDOZDK-QMMMGPOBSA-N 0 2 321.303 0.886 20 0 DCADLN C[C@@H](CN(C)C(=O)c1nc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001443958145 1010776353 /nfs/dbraw/zinc/77/63/53/1010776353.db2.gz WOHQZRBRGTVFAS-WDSKDSINSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@@H](CN(C)C(=O)c1nc[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001443958145 1010776360 /nfs/dbraw/zinc/77/63/60/1010776360.db2.gz WOHQZRBRGTVFAS-WDSKDSINSA-N 0 2 311.239 0.282 20 0 DCADLN CC1(C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001444212043 1010907474 /nfs/dbraw/zinc/90/74/74/1010907474.db2.gz HTVZEFHBCCFKAA-RNFRBKRXSA-N 0 2 300.252 0.280 20 0 DCADLN CC1(C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001444212043 1010907478 /nfs/dbraw/zinc/90/74/78/1010907478.db2.gz HTVZEFHBCCFKAA-RNFRBKRXSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CCc1cccs1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423155636 1010930290 /nfs/dbraw/zinc/93/02/90/1010930290.db2.gz LPHJRHUBKNDBND-SNVBAGLBSA-N 0 2 321.406 0.895 20 0 DCADLN CC(C)(C)C(=O)NC[C@H](CO)NCc1nnsc1Cl ZINC001423282350 1011045616 /nfs/dbraw/zinc/04/56/16/1011045616.db2.gz KVEAJNPCVAZNDE-SSDOTTSWSA-N 0 2 306.819 0.804 20 0 DCADLN CCn1ncnc1CNC1(CNC(=O)Cc2ncc[nH]2)CC1 ZINC001423282713 1011046127 /nfs/dbraw/zinc/04/61/27/1011046127.db2.gz VJNFAXJKWRESAV-UHFFFAOYSA-N 0 2 303.370 0.002 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423564769 1011274477 /nfs/dbraw/zinc/27/44/77/1011274477.db2.gz ZRXGZZVGEUVIOW-HZMBPMFUSA-N 0 2 311.386 0.406 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423564769 1011274492 /nfs/dbraw/zinc/27/44/92/1011274492.db2.gz ZRXGZZVGEUVIOW-HZMBPMFUSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H]([NH2+]C[C@H](NC(=O)CCn1cc[nH+]c1)C(C)(C)C)C(N)=O ZINC001423609723 1011342299 /nfs/dbraw/zinc/34/22/99/1011342299.db2.gz RUJCNGPHGBOWGS-RYUDHWBXSA-N 0 2 309.414 0.268 20 0 DCADLN Cc1ccccc1CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445066206 1011373493 /nfs/dbraw/zinc/37/34/93/1011373493.db2.gz HPOUYPIBSBMBFV-UHFFFAOYSA-N 0 2 317.393 0.952 20 0 DCADLN Cc1ccccc1CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445066206 1011373506 /nfs/dbraw/zinc/37/35/06/1011373506.db2.gz HPOUYPIBSBMBFV-UHFFFAOYSA-N 0 2 317.393 0.952 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)NC(=O)C[N@H+](C)C(C)C)c1C ZINC001431660371 1011514951 /nfs/dbraw/zinc/51/49/51/1011514951.db2.gz NORNAIYTQSPMIM-SNVBAGLBSA-N 0 2 309.414 0.601 20 0 DCADLN CO[C@H](C)C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001432192669 1012113091 /nfs/dbraw/zinc/11/30/91/1012113091.db2.gz IFCSMCGHGYDGKG-RNFRBKRXSA-N 0 2 300.252 0.687 20 0 DCADLN CO[C@H](C)C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001432192669 1012113106 /nfs/dbraw/zinc/11/31/06/1012113106.db2.gz IFCSMCGHGYDGKG-RNFRBKRXSA-N 0 2 300.252 0.687 20 0 DCADLN Cc1cnc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)cn1 ZINC001458425562 1012173100 /nfs/dbraw/zinc/17/31/00/1012173100.db2.gz RVZHLXVRTLFRDH-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cnc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001458425562 1012173126 /nfs/dbraw/zinc/17/31/26/1012173126.db2.gz RVZHLXVRTLFRDH-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccncc1CC(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445755821 1012212044 /nfs/dbraw/zinc/21/20/44/1012212044.db2.gz VSSAVMWPXKNOCD-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ccncc1CC(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001445755821 1012212057 /nfs/dbraw/zinc/21/20/57/1012212057.db2.gz VSSAVMWPXKNOCD-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccncn1)C(F)C(F)(F)F ZINC001458725237 1012330125 /nfs/dbraw/zinc/33/01/25/1012330125.db2.gz KUZGANPPESSVNX-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ccncn1)[C@@H](F)C(F)(F)F ZINC001458725237 1012330138 /nfs/dbraw/zinc/33/01/38/1012330138.db2.gz KUZGANPPESSVNX-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C[C@@H]2C=CCCC2)[nH]1 ZINC001558551318 1012366325 /nfs/dbraw/zinc/36/63/25/1012366325.db2.gz KZIYPGYJOFGJEF-SNVBAGLBSA-N 0 2 312.395 0.712 20 0 DCADLN CCCC(C)=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001558552293 1012367488 /nfs/dbraw/zinc/36/74/88/1012367488.db2.gz XBBNOVAFSJLTRF-TWGQIWQCSA-N 0 2 300.384 0.712 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnc(F)c(F)c1 ZINC001540204454 1012448756 /nfs/dbraw/zinc/44/87/56/1012448756.db2.gz NZZWGEJFSBKKQD-UHFFFAOYSA-N 0 2 306.232 0.383 20 0 DCADLN CC(C)(O)CC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432409157 1012449592 /nfs/dbraw/zinc/44/95/92/1012449592.db2.gz MPAUNFWRCDLBTA-SECBINFHSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)(O)CC(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432409157 1012449605 /nfs/dbraw/zinc/44/96/05/1012449605.db2.gz MPAUNFWRCDLBTA-SECBINFHSA-N 0 2 314.279 0.622 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)c2ccnn2C)CC1 ZINC001459046641 1012542392 /nfs/dbraw/zinc/54/23/92/1012542392.db2.gz ZHFUFIGDAKMZNB-UHFFFAOYSA-N 0 2 316.365 0.073 20 0 DCADLN C[C@@H](NS(=O)(=O)N=S1(=O)CCCC1)c1nccc(N)n1 ZINC001424503741 1012588530 /nfs/dbraw/zinc/58/85/30/1012588530.db2.gz HTUNNZFRQLGILR-MRVPVSSYSA-N 0 2 319.412 0.216 20 0 DCADLN C[C@@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2cnon2)C1 ZINC001432555903 1012619248 /nfs/dbraw/zinc/61/92/48/1012619248.db2.gz AFCOUKQSOUOXSD-OIBJUYFYSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@@]1(NC(=O)[C@H](F)C(F)(F)F)CCN(C(=O)c2cnon2)C1 ZINC001432555903 1012619255 /nfs/dbraw/zinc/61/92/55/1012619255.db2.gz AFCOUKQSOUOXSD-OIBJUYFYSA-N 0 2 324.234 0.691 20 0 DCADLN CN(C(=O)CC(C)(C)C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001446354460 1012772560 /nfs/dbraw/zinc/77/25/60/1012772560.db2.gz YHQCWHRJNSFHQL-UHFFFAOYSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@@H+]1CC[S@@](C)=O ZINC001460311218 1012890334 /nfs/dbraw/zinc/89/03/34/1012890334.db2.gz QLRBRNWHNDEQKO-OCCIGCLGSA-N 0 2 300.346 0.896 20 0 DCADLN C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC[S@@](C)=O ZINC001460311218 1012890350 /nfs/dbraw/zinc/89/03/50/1012890350.db2.gz QLRBRNWHNDEQKO-OCCIGCLGSA-N 0 2 300.346 0.896 20 0 DCADLN O=C(NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001429931538 1012961534 /nfs/dbraw/zinc/96/15/34/1012961534.db2.gz NLNNXHHWVOHQFE-RKDXNWHRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001429931538 1012961539 /nfs/dbraw/zinc/96/15/39/1012961539.db2.gz NLNNXHHWVOHQFE-RKDXNWHRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NCC1(O)CN(Cc2ccccn2)C1)C(F)C(F)(F)F ZINC001446832394 1013004488 /nfs/dbraw/zinc/00/44/88/1013004488.db2.gz ALBXVRXCQYDAPX-JTQLQIEISA-N 0 2 321.274 0.645 20 0 DCADLN O=C(NCC1(O)CN(Cc2ccccn2)C1)[C@H](F)C(F)(F)F ZINC001446832394 1013004503 /nfs/dbraw/zinc/00/45/03/1013004503.db2.gz ALBXVRXCQYDAPX-JTQLQIEISA-N 0 2 321.274 0.645 20 0 DCADLN CC(C)C(C)(C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001479429480 1017552982 /nfs/dbraw/zinc/55/29/82/1017552982.db2.gz UVQHDVNZUHQGEY-UHFFFAOYSA-N 0 2 317.415 0.583 20 0 DCADLN Cc1cc(C[NH2+]CCC[C@H](C)NC(=O)[C@H]2C[N@H+](C)CCO2)on1 ZINC001506916524 1017666667 /nfs/dbraw/zinc/66/66/67/1017666667.db2.gz HBUNSGLKJRQEDB-SWLSCSKDSA-N 0 2 324.425 0.688 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1c(C)n[nH]c1C)Cc1n[nH]c(=O)[n-]1 ZINC001493056374 1019049614 /nfs/dbraw/zinc/04/96/14/1019049614.db2.gz CLNXVIZGTLPAAS-UHFFFAOYSA-N 0 2 321.385 0.031 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1c(C)n[nH]c1C)Cc1n[nH]c(=O)[n-]1 ZINC001493056374 1019049639 /nfs/dbraw/zinc/04/96/39/1019049639.db2.gz CLNXVIZGTLPAAS-UHFFFAOYSA-N 0 2 321.385 0.031 20 0 DCADLN O=C(C[C@@H]1C=CCC1)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001501755207 1019447422 /nfs/dbraw/zinc/44/74/22/1019447422.db2.gz RZEMFBUUAHBRFU-VXGBXAGGSA-N 0 2 321.381 0.184 20 0 DCADLN CC[C@@H](C)OCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001493915388 1019812599 /nfs/dbraw/zinc/81/25/99/1019812599.db2.gz ZZXMOUSNLXZKFD-UTUOFQBUSA-N 0 2 323.397 0.501 20 0 DCADLN CS[C@@H](C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001494345700 1020141417 /nfs/dbraw/zinc/14/14/17/1020141417.db2.gz FJYMDGFBQALPIK-VIFPVBQESA-N 0 2 321.406 0.075 20 0 DCADLN CC(C)[C@H](C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001494455457 1020224903 /nfs/dbraw/zinc/22/49/03/1020224903.db2.gz FKZGWPNVFQVUBL-NSHDSACASA-N 0 2 317.393 0.615 20 0 DCADLN O=C([O-])c1csc(S(=O)(=O)NCC[N@H+]2CC=CCC2)c1 ZINC000279872332 215196856 /nfs/dbraw/zinc/19/68/56/215196856.db2.gz AGEQAQJQHVEVJV-UHFFFAOYSA-N 0 2 316.404 0.987 20 0 DCADLN O=C([O-])c1csc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)c1 ZINC000279872332 215196859 /nfs/dbraw/zinc/19/68/59/215196859.db2.gz AGEQAQJQHVEVJV-UHFFFAOYSA-N 0 2 316.404 0.987 20 0 DCADLN COC(=O)CCCC(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000274152464 186439245 /nfs/dbraw/zinc/43/92/45/186439245.db2.gz ZJBFGZXORJCVLO-UHFFFAOYSA-N 0 2 313.383 0.112 20 0 DCADLN C[C@@H]1CN(C(=O)/C=C/c2ccc(S(N)(=O)=O)cc2)[C@@H](C)CO1 ZINC000299249225 186725987 /nfs/dbraw/zinc/72/59/87/186725987.db2.gz YMFNXYATDJDUHU-JZUADKBYSA-N 0 2 324.402 0.983 20 0 DCADLN CC[C@@H]1C[C@H]1NC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000281092770 216073538 /nfs/dbraw/zinc/07/35/38/216073538.db2.gz QCBRUTLBQVZKAO-DGCLKSJQSA-N 0 2 321.381 0.662 20 0 DCADLN COc1ccc(CCCC(=O)NCc2n[nH]c(=O)[nH]2)c(OC)c1 ZINC000157331756 291227947 /nfs/dbraw/zinc/22/79/47/291227947.db2.gz HWESAFDYORUIQT-UHFFFAOYSA-N 0 2 320.349 0.754 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1cccc(C(=O)N(C)C)c1 ZINC000281299099 216221332 /nfs/dbraw/zinc/22/13/32/216221332.db2.gz YWTNRNGUEDTPCU-UHFFFAOYSA-N 0 2 322.390 0.898 20 0 DCADLN CC(C)[C@H](C(=O)[O-])C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000566301535 291292108 /nfs/dbraw/zinc/29/21/08/291292108.db2.gz OKXOTVUOXMIVPY-ZDUSSCGKSA-N 0 2 308.382 0.421 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2CCC[C@H](C(=O)[O-])C2)c[nH+]1 ZINC000420587880 240047269 /nfs/dbraw/zinc/04/72/69/240047269.db2.gz RVGWLDLQMVBVQC-LBPRGKRZSA-N 0 2 319.361 0.638 20 0 DCADLN O=C(C[N@@H+]1CCN2C(=O)OCC[C@H]2C1)[N-]OCc1ccccc1 ZINC000494590979 241203457 /nfs/dbraw/zinc/20/34/57/241203457.db2.gz SZPQUEMXRFZVRI-AWEZNQCLSA-N 0 2 319.361 0.761 20 0 DCADLN O=C(C[N@H+]1CCN2C(=O)OCC[C@H]2C1)[N-]OCc1ccccc1 ZINC000494590979 241203462 /nfs/dbraw/zinc/20/34/62/241203462.db2.gz SZPQUEMXRFZVRI-AWEZNQCLSA-N 0 2 319.361 0.761 20 0 DCADLN O=C(C[N@@H+]1CCN2C(=O)OCC[C@@H]2C1)[N-]OCc1ccccc1 ZINC000494590980 241204156 /nfs/dbraw/zinc/20/41/56/241204156.db2.gz SZPQUEMXRFZVRI-CQSZACIVSA-N 0 2 319.361 0.761 20 0 DCADLN O=C(C[N@H+]1CCN2C(=O)OCC[C@@H]2C1)[N-]OCc1ccccc1 ZINC000494590980 241204160 /nfs/dbraw/zinc/20/41/60/241204160.db2.gz SZPQUEMXRFZVRI-CQSZACIVSA-N 0 2 319.361 0.761 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)c1cn(C)nc1C ZINC000288178421 220000400 /nfs/dbraw/zinc/00/04/00/220000400.db2.gz DUZLFDMANJWYLC-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN O=C(c1cc2n(n1)CCC2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000568274033 291420033 /nfs/dbraw/zinc/42/00/33/291420033.db2.gz QWEAIBYOOMVOSB-SECBINFHSA-N 0 2 302.338 0.673 20 0 DCADLN O=C1OCC[C@H]1Sc1nnnn1-c1ccc2c(c1)OCCO2 ZINC000265231415 204470259 /nfs/dbraw/zinc/47/02/59/204470259.db2.gz CUSLFQCONXICEP-LLVKDONJSA-N 0 2 320.330 0.841 20 0 DCADLN COCCN1CN(C(=O)c2cc(F)c(F)c(O)c2F)CC1=O ZINC000282537151 217070719 /nfs/dbraw/zinc/07/07/19/217070719.db2.gz VPZAKLKSRLJTJJ-UHFFFAOYSA-N 0 2 318.251 0.698 20 0 DCADLN COCCN(Cc1ccccn1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000288352080 220103304 /nfs/dbraw/zinc/10/33/04/220103304.db2.gz JUDCQQMEJCXHTR-UHFFFAOYSA-N 0 2 323.378 0.260 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCc1cnnn1C ZINC000288566511 220242474 /nfs/dbraw/zinc/24/24/74/220242474.db2.gz JLGMGWKSQPZPBL-QMMMGPOBSA-N 0 2 307.276 0.134 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)CC1 ZINC000022157748 182311004 /nfs/dbraw/zinc/31/10/04/182311004.db2.gz WCAKGWBCDBOOGH-UHFFFAOYSA-N 0 2 301.368 0.050 20 0 DCADLN CC(=O)N1CC[C@](C)(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000573567702 291641048 /nfs/dbraw/zinc/64/10/48/291641048.db2.gz LBCUXTYNYOOOTF-ABAIWWIYSA-N 0 2 321.381 0.475 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC001646723532 1172493384 /nfs/dbraw/zinc/49/33/84/1172493384.db2.gz UTBSJYLMLDDUJP-XQQFMLRXSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCCO1 ZINC000052431340 183860377 /nfs/dbraw/zinc/86/03/77/183860377.db2.gz PRNKXXDXXQFRMO-XCBNKYQSSA-N 0 2 304.306 0.321 20 0 DCADLN CC(=O)C1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CC1 ZINC000339079631 253029813 /nfs/dbraw/zinc/02/98/13/253029813.db2.gz YPYVPWNMTYKJIU-UHFFFAOYSA-N 0 2 304.353 0.217 20 0 DCADLN CN(C(=O)C1=NN(c2ccccc2)CC1=O)[C@H]1CCNC1=O ZINC000340450878 253256880 /nfs/dbraw/zinc/25/68/80/253256880.db2.gz JNWCFSMOHZDOHD-NSHDSACASA-N 0 2 300.318 0.538 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc2oc(=O)n(C)c2c1 ZINC000340804070 253306537 /nfs/dbraw/zinc/30/65/37/253306537.db2.gz BKOGYSOQLOMHCJ-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN O=S(=O)(NCCCO[C@@H]1CCCCO1)NCC(F)(F)F ZINC000341533062 253391219 /nfs/dbraw/zinc/39/12/19/253391219.db2.gz PYYDDDYCSIPYST-SECBINFHSA-N 0 2 320.333 0.906 20 0 DCADLN Cc1cc(C(=O)NC[C@]2(C)CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000346133431 254015390 /nfs/dbraw/zinc/01/53/90/254015390.db2.gz RASUDSPCNIQHLE-HNNXBMFYSA-N 0 2 318.333 0.901 20 0 DCADLN CC(C)[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(N)=O ZINC000348817418 254258224 /nfs/dbraw/zinc/25/82/24/254258224.db2.gz LDSFLNBQFDUADJ-JTQLQIEISA-N 0 2 304.306 0.270 20 0 DCADLN CNC(=O)Cn1nc(NS(=O)(=O)c2ccccc2)cc1C ZINC000348907541 254264039 /nfs/dbraw/zinc/26/40/39/254264039.db2.gz KZZUHOWJBNFOKF-UHFFFAOYSA-N 0 2 308.363 0.738 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ccn(CCC(N)=O)n2)cn1 ZINC000349988247 254307393 /nfs/dbraw/zinc/30/73/93/254307393.db2.gz DLIRKLNORIINBK-UHFFFAOYSA-N 0 2 309.351 0.263 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2nc(C)c(C)o2)cn1 ZINC000351507815 254341110 /nfs/dbraw/zinc/34/11/10/254341110.db2.gz AQRUWTIYSLABKE-UHFFFAOYSA-N 0 2 314.323 0.462 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H]1CCOc2ccc(F)cc21 ZINC000275573140 130339828 /nfs/dbraw/zinc/33/98/28/130339828.db2.gz NRYPGPXWELHMEU-SECBINFHSA-N 0 2 324.337 0.969 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCSCCCO)cn1 ZINC000275689783 130364750 /nfs/dbraw/zinc/36/47/50/130364750.db2.gz QOTVWXZCSGNLBB-UHFFFAOYSA-N 0 2 309.395 0.656 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cc3n(n2)CCCC3)cc1C(N)=O ZINC000285219607 131148914 /nfs/dbraw/zinc/14/89/14/131148914.db2.gz XNVLGNAKWGUMRX-UHFFFAOYSA-N 0 2 323.378 0.458 20 0 DCADLN C[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)[C@@H](O)c1ccccc1 ZINC000290568015 131499391 /nfs/dbraw/zinc/49/93/91/131499391.db2.gz GKJJGJQSFQBKBQ-GZMMTYOYSA-N 0 2 308.363 0.428 20 0 DCADLN Cc1oc(C)c(S(=O)(=O)N2CC[NH+](C)CC2)c1C(=O)[O-] ZINC000036732404 395724178 /nfs/dbraw/zinc/72/41/78/395724178.db2.gz XOAOBNPPCRGYRR-UHFFFAOYSA-N 0 2 302.352 0.531 20 0 DCADLN CNS(=O)(=O)c1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000040826105 395735117 /nfs/dbraw/zinc/73/51/17/395735117.db2.gz JOUQVYAFOGZMSK-UHFFFAOYSA-N 0 2 316.364 0.119 20 0 DCADLN C[C@@H](C(N)=O)S(=O)(=O)c1n[nH]c(-c2ccc3c(c2)CCC3)n1 ZINC000195211630 395821857 /nfs/dbraw/zinc/82/18/57/395821857.db2.gz KWMLVVRAVAENBK-QMMMGPOBSA-N 0 2 320.374 0.608 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N(C)C[C@H]1CCC[N@@H+](C)C1 ZINC000115798038 395841654 /nfs/dbraw/zinc/84/16/54/395841654.db2.gz PKIZRWKFTPURPF-CABCVRRESA-N 0 2 312.458 0.690 20 0 DCADLN O=C(NCc1ccc(O)cc1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000051035331 395844665 /nfs/dbraw/zinc/84/46/65/395844665.db2.gz PYGCGIMSQHEYTL-UHFFFAOYSA-N 0 2 312.285 0.659 20 0 DCADLN Cc1cc(S(C)(=O)=O)cc(C(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC000119405298 395870557 /nfs/dbraw/zinc/87/05/57/395870557.db2.gz DDFJDOBJUFXSCH-UHFFFAOYSA-N 0 2 324.362 0.048 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cc(OCC(F)F)ccn2)C1=O ZINC000272201669 395876967 /nfs/dbraw/zinc/87/69/67/395876967.db2.gz MMWWRYWZZGZXMT-UHFFFAOYSA-N 0 2 314.248 0.264 20 0 DCADLN CCc1nc(NS(=O)(=O)c2c[nH]c(C(=O)OC)c2)no1 ZINC000272289129 395881745 /nfs/dbraw/zinc/88/17/45/395881745.db2.gz MINGRLNPJPMJAK-UHFFFAOYSA-N 0 2 300.296 0.548 20 0 DCADLN CO[N-]C(=O)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000134760631 395934727 /nfs/dbraw/zinc/93/47/27/395934727.db2.gz JIEIUGFIQLPEDS-UHFFFAOYSA-N 0 2 306.366 0.050 20 0 DCADLN CCOCCCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000057340237 395943739 /nfs/dbraw/zinc/94/37/39/395943739.db2.gz FCSNVDRUSGAIGT-UHFFFAOYSA-N 0 2 306.322 0.570 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)[C@H]1COCCO1 ZINC000274556877 395958749 /nfs/dbraw/zinc/95/87/49/395958749.db2.gz JEWRFBGMYIQLEO-GFCCVEGCSA-N 0 2 318.333 0.415 20 0 DCADLN CC(C)[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)(C)O ZINC000276701224 396022678 /nfs/dbraw/zinc/02/26/78/396022678.db2.gz KQGVGAUMGBTWHW-JTQLQIEISA-N 0 2 320.349 0.961 20 0 DCADLN CCN(C[C@H]1CCCO1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000068243311 396051129 /nfs/dbraw/zinc/05/11/29/396051129.db2.gz TWTHZGQJLZGEND-SNVBAGLBSA-N 0 2 318.333 0.665 20 0 DCADLN Cc1noc(CCCNC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000277440009 396067993 /nfs/dbraw/zinc/06/79/93/396067993.db2.gz DSRZNFUHGXZQEA-UHFFFAOYSA-N 0 2 322.287 0.884 20 0 DCADLN CNC(=O)[C@@H](NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-])c1ccccc1 ZINC000262108741 396099770 /nfs/dbraw/zinc/09/97/70/396099770.db2.gz KEGHUUHGPNXDGQ-JSGCOSHPSA-N 0 2 319.361 0.139 20 0 DCADLN CNC(=O)[C@@H](NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-])c1ccccc1 ZINC000262108741 396099774 /nfs/dbraw/zinc/09/97/74/396099774.db2.gz KEGHUUHGPNXDGQ-JSGCOSHPSA-N 0 2 319.361 0.139 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(CNC(C)=O)cc2)c1O ZINC000278094334 396105401 /nfs/dbraw/zinc/10/54/01/396105401.db2.gz KSUDFXYODDRFFG-GFCCVEGCSA-N 0 2 319.317 0.311 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc(S(C)(=O)=O)cc1 ZINC000171153130 396109254 /nfs/dbraw/zinc/10/92/54/396109254.db2.gz JOFNFGYSJRDNII-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H](C)NS(=O)(=O)c2cccs2)n1 ZINC000171549314 396118766 /nfs/dbraw/zinc/11/87/66/396118766.db2.gz YGGNAFAHYUFAFC-LURJTMIESA-N 0 2 315.380 0.480 20 0 DCADLN CCC[C@@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)OCC ZINC000279359343 396168573 /nfs/dbraw/zinc/16/85/73/396168573.db2.gz CXPMQOAHOMVBQT-QMMMGPOBSA-N 0 2 316.383 0.286 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCCOc1cccc(Cl)c1 ZINC000178911457 396214546 /nfs/dbraw/zinc/21/45/46/396214546.db2.gz HMXQXDBAWCWGED-UHFFFAOYSA-N 0 2 300.742 0.851 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCCOc1cccc(Cl)c1 ZINC000178911457 396214548 /nfs/dbraw/zinc/21/45/48/396214548.db2.gz HMXQXDBAWCWGED-UHFFFAOYSA-N 0 2 300.742 0.851 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C2=COCCC2)s1 ZINC000264441156 396280213 /nfs/dbraw/zinc/28/02/13/396280213.db2.gz NKTWKYLHTBOZSU-UHFFFAOYSA-N 0 2 304.353 0.542 20 0 DCADLN CN1CCN(c2cc(N3CC[C@](C)(C(=O)[O-])C3)nc[nH+]2)CC1=O ZINC000263447590 396217405 /nfs/dbraw/zinc/21/74/05/396217405.db2.gz ZDKLSQZPEUBWIO-HNNXBMFYSA-N 0 2 319.365 0.056 20 0 DCADLN CC1=CCCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000280753187 396219888 /nfs/dbraw/zinc/21/98/88/396219888.db2.gz MOTVPPHULJRNCH-UHFFFAOYSA-N 0 2 322.346 0.777 20 0 DCADLN CCOC(=O)CN(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000263646579 396221673 /nfs/dbraw/zinc/22/16/73/396221673.db2.gz DPQBJBGVAXVSKE-UHFFFAOYSA-N 0 2 306.278 0.071 20 0 DCADLN C[C@H](CO)CNC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000184213374 396288413 /nfs/dbraw/zinc/28/84/13/396288413.db2.gz YMPHIRKUKOJGLC-NSHDSACASA-N 0 2 319.365 0.811 20 0 DCADLN COC(=O)c1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)s1 ZINC000080975243 396290635 /nfs/dbraw/zinc/29/06/35/396290635.db2.gz XYRQZDJQBNDBIC-UHFFFAOYSA-N 0 2 310.335 0.309 20 0 DCADLN O=C(NCCCO)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185886542 396336366 /nfs/dbraw/zinc/33/63/66/396336366.db2.gz BGBNWGSWVMGJCG-UHFFFAOYSA-N 0 2 305.338 0.565 20 0 DCADLN CN(CCOCc1ccccc1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000187182938 396364469 /nfs/dbraw/zinc/36/44/69/396364469.db2.gz VGUAXCAYNMVVKE-UHFFFAOYSA-N 0 2 322.390 0.865 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)C1(O)CCC1 ZINC000187572839 396371235 /nfs/dbraw/zinc/37/12/35/396371235.db2.gz DPLMWHAARWLPFI-UHFFFAOYSA-N 0 2 302.334 0.915 20 0 DCADLN CNC(=O)C[NH+]1CCN([C@@H]2CC[N@H+](Cc3ccccc3)C2)CC1 ZINC000286109380 396375269 /nfs/dbraw/zinc/37/52/69/396375269.db2.gz UIMLNLMVTGBDJB-QGZVFWFLSA-N 0 2 316.449 0.625 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn(CC(C)C)c2)C1=O ZINC000193156742 396444758 /nfs/dbraw/zinc/44/47/58/396444758.db2.gz PAGCRMHNZMYKDL-AWEZNQCLSA-N 0 2 307.354 0.904 20 0 DCADLN CC(C)CONC(=O)CNC1=NS(=O)(=O)c2ccccc21 ZINC000269652930 396433188 /nfs/dbraw/zinc/43/31/88/396433188.db2.gz MWFSVEJUBBTQDZ-UHFFFAOYSA-N 0 2 311.363 0.429 20 0 DCADLN O=C(c1ccc(Cl)cc1O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000371460172 396467479 /nfs/dbraw/zinc/46/74/79/396467479.db2.gz XBGSHFQMBSTYRI-JTQLQIEISA-N 0 2 309.713 0.772 20 0 DCADLN CCCc1nnc(SCC(=O)NOC[C@H]2CCOC2)n1N ZINC000292685629 396563125 /nfs/dbraw/zinc/56/31/25/396563125.db2.gz BTFSATGSTVOTHI-VIFPVBQESA-N 0 2 315.399 0.121 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C[C@H]2CCCC(=O)N2)s[nH]1 ZINC000634149803 396796441 /nfs/dbraw/zinc/79/64/41/396796441.db2.gz QMQUWDOFXGKMJM-MRVPVSSYSA-N 0 2 312.395 0.839 20 0 DCADLN C[N@H+]1CCN(C(=O)N[C@H]2Cc3cccc(O)c3C2)[C@H](C[NH3+])C1 ZINC000563593551 396757066 /nfs/dbraw/zinc/75/70/66/396757066.db2.gz HFZNHABYCCIQTQ-QWHCGFSZSA-N 0 2 304.394 0.144 20 0 DCADLN CCN1CCOC[C@@H]1C(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000634151810 396797346 /nfs/dbraw/zinc/79/73/46/396797346.db2.gz LRHJFXBHHUUPKW-SECBINFHSA-N 0 2 314.411 0.501 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000312040031 396762962 /nfs/dbraw/zinc/76/29/62/396762962.db2.gz QQRHSUYMTWIXIF-GXTWGEPZSA-N 0 2 306.362 0.724 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000312040031 396762965 /nfs/dbraw/zinc/76/29/65/396762965.db2.gz QQRHSUYMTWIXIF-GXTWGEPZSA-N 0 2 306.362 0.724 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@H](C)CS(C)(=O)=O)s[nH]1 ZINC000634151158 396797396 /nfs/dbraw/zinc/79/73/96/396797396.db2.gz LINDGFBIQYSKAD-SSDOTTSWSA-N 0 2 321.424 0.461 20 0 DCADLN CC[C@H](NC(C)=O)C(=O)N=c1nc(C(C)(C)OC)[nH]s1 ZINC000634150640 396797734 /nfs/dbraw/zinc/79/77/34/396797734.db2.gz ZFEHLXQOFSXYMK-QMMMGPOBSA-N 0 2 300.384 0.695 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@H]2CN(C)CCO2)s[nH]1 ZINC000634151722 396797832 /nfs/dbraw/zinc/79/78/32/396797832.db2.gz ZZLOPSCKOVKECT-QMMMGPOBSA-N 0 2 300.384 0.111 20 0 DCADLN CC(=O)NCC[N@H+](CC(=O)NCC(C)(C)C)[C@@H](C)C(=O)[O-] ZINC000629488955 396770637 /nfs/dbraw/zinc/77/06/37/396770637.db2.gz ZQROFJIYDGELEX-JTQLQIEISA-N 0 2 301.387 0.060 20 0 DCADLN CC(=O)NCC[N@@H+](CC(=O)NCC(C)(C)C)[C@@H](C)C(=O)[O-] ZINC000629488955 396770639 /nfs/dbraw/zinc/77/06/39/396770639.db2.gz ZQROFJIYDGELEX-JTQLQIEISA-N 0 2 301.387 0.060 20 0 DCADLN COc1ccccc1C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)C1 ZINC000634584676 396888586 /nfs/dbraw/zinc/88/85/86/396888586.db2.gz JXTQAKMAZZZHHN-SNVBAGLBSA-N 0 2 308.359 0.819 20 0 DCADLN COc1ccccc1-c1cc(=O)n([C@@H]2CCS(=O)(=O)C2)[nH]1 ZINC000634584676 396888589 /nfs/dbraw/zinc/88/85/89/396888589.db2.gz JXTQAKMAZZZHHN-SNVBAGLBSA-N 0 2 308.359 0.819 20 0 DCADLN COc1cccc(C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)C2)c1 ZINC000634584479 396888671 /nfs/dbraw/zinc/88/86/71/396888671.db2.gz CXCHQHROXHXGQG-LLVKDONJSA-N 0 2 308.359 0.819 20 0 DCADLN COc1cccc(-c2cc(=O)n([C@@H]3CCS(=O)(=O)C3)[nH]2)c1 ZINC000634584479 396888675 /nfs/dbraw/zinc/88/86/75/396888675.db2.gz CXCHQHROXHXGQG-LLVKDONJSA-N 0 2 308.359 0.819 20 0 DCADLN O=c1[n-]n(-c2ccc(-n3cc[nH+]c3)nn2)c(=O)c2c1CCCC2 ZINC000634606234 396890290 /nfs/dbraw/zinc/89/02/90/396890290.db2.gz LBSNWTRRFHTLCS-UHFFFAOYSA-N 0 2 310.317 0.793 20 0 DCADLN COCC[C@](C)(O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000611683314 396907793 /nfs/dbraw/zinc/90/77/93/396907793.db2.gz DBVTUVFRUQEZMZ-HNNXBMFYSA-N 0 2 321.333 0.547 20 0 DCADLN COC(=O)c1cnn(C)c1NS(=O)(=O)c1cnc(C)n1C ZINC000600667915 396911599 /nfs/dbraw/zinc/91/15/99/396911599.db2.gz FZWHCNCXDHFULP-UHFFFAOYSA-N 0 2 313.339 0.049 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCC(O)(CO)CC1 ZINC000618239384 396937572 /nfs/dbraw/zinc/93/75/72/396937572.db2.gz BCGQLKUQTZRVPV-UHFFFAOYSA-N 0 2 317.345 0.537 20 0 DCADLN O=C([O-])CSCCNC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000600866402 396958229 /nfs/dbraw/zinc/95/82/29/396958229.db2.gz AVUJTCTYUIZQFI-GFCCVEGCSA-N 0 2 316.423 0.564 20 0 DCADLN O=C([O-])CSCCNC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000600866402 396958236 /nfs/dbraw/zinc/95/82/36/396958236.db2.gz AVUJTCTYUIZQFI-GFCCVEGCSA-N 0 2 316.423 0.564 20 0 DCADLN Cc1cc(C)[nH+]c(S([O-])=CC(=O)N[C@@H](C)C(=O)N(C)C)c1 ZINC000618534099 396990253 /nfs/dbraw/zinc/99/02/53/396990253.db2.gz OPKNVNKJUQVPIU-MQJDWESPSA-N 0 2 311.407 0.399 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(C(=O)Cc2cn3ccccc3[nH+]2)C1 ZINC000630251624 396970196 /nfs/dbraw/zinc/97/01/96/396970196.db2.gz YEODCOHEUONROY-OAHLLOKOSA-N 0 2 303.318 0.579 20 0 DCADLN NC(=O)[C@@H]1CCC[C@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000571645819 396973482 /nfs/dbraw/zinc/97/34/82/396973482.db2.gz VAHQVGVZNLGFMR-OUAUKWLOSA-N 0 2 321.381 0.508 20 0 DCADLN CN(Cc1cn2c([nH+]1)CCCC2)C(=O)c1cnc([O-])n(C)c1=O ZINC000377284082 397061823 /nfs/dbraw/zinc/06/18/23/397061823.db2.gz YJIMVVZEVKQFQV-UHFFFAOYSA-N 0 2 317.349 0.291 20 0 DCADLN COC(=O)c1cccc(NC(=O)N2CC[N@H+](C)C[C@@H]2C[NH3+])c1 ZINC000573426113 397165228 /nfs/dbraw/zinc/16/52/28/397165228.db2.gz QHHBCMKNPHYIRU-ZDUSSCGKSA-N 0 2 306.366 0.580 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC000577500121 397337111 /nfs/dbraw/zinc/33/71/11/397337111.db2.gz PQJRKAPLKGWAJK-QMMMGPOBSA-N 0 2 306.409 0.903 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC000577500121 397337115 /nfs/dbraw/zinc/33/71/15/397337115.db2.gz PQJRKAPLKGWAJK-QMMMGPOBSA-N 0 2 306.409 0.903 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)CNc1cc[nH+]c(C(=O)[O-])c1 ZINC000593324639 397351661 /nfs/dbraw/zinc/35/16/61/397351661.db2.gz MACQUKVMDGQMHJ-LBPRGKRZSA-N 0 2 323.349 0.318 20 0 DCADLN CO[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@@H]1OC ZINC000613966864 397355036 /nfs/dbraw/zinc/35/50/36/397355036.db2.gz DFKZCUKUDBDTON-TXEJJXNPSA-N 0 2 319.317 0.516 20 0 DCADLN CC1(C)CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@H]1O ZINC000614216353 397402011 /nfs/dbraw/zinc/40/20/11/397402011.db2.gz ZYIQTPGOXQQBJB-LLVKDONJSA-N 0 2 303.318 0.873 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H]2CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000487941378 397480386 /nfs/dbraw/zinc/48/03/86/397480386.db2.gz CJYCWFGTWRQARX-VIFPVBQESA-N 0 2 318.333 0.853 20 0 DCADLN NC(=O)c1ccc(S(=O)(=O)NC(=O)/C=C\C2CC2)s1 ZINC000491904548 397506812 /nfs/dbraw/zinc/50/68/12/397506812.db2.gz BYAQXMGYEGCIMN-HYXAFXHYSA-N 0 2 300.361 0.618 20 0 DCADLN COC(=O)NCC(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000495811617 397559463 /nfs/dbraw/zinc/55/94/63/397559463.db2.gz UGVYTEJNHLRQHF-UHFFFAOYSA-N 0 2 305.294 0.780 20 0 DCADLN CCS(=O)(=O)CC[N@@H+]1CCO[C@@H]2C[C@H]([NH+](C)C)CC[C@@H]21 ZINC000371301919 397570448 /nfs/dbraw/zinc/57/04/48/397570448.db2.gz WNVUTFNJUNDNAP-HZSPNIEDSA-N 0 2 304.456 0.605 20 0 DCADLN CCS(=O)(=O)CC[N@@H+]1CCO[C@@H]2C[C@H]([NH+](C)C)CC[C@H]21 ZINC000371301921 397570687 /nfs/dbraw/zinc/57/06/87/397570687.db2.gz WNVUTFNJUNDNAP-MGPQQGTHSA-N 0 2 304.456 0.605 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C[C@H]2CCOC2)s1 ZINC000606835484 397688603 /nfs/dbraw/zinc/68/86/03/397688603.db2.gz XWUZEBPMYVKLIJ-ZCFIWIBFSA-N 0 2 306.369 0.275 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C[C@@H]2CCOC2)s1 ZINC000606835471 397688642 /nfs/dbraw/zinc/68/86/42/397688642.db2.gz XWUZEBPMYVKLIJ-LURJTMIESA-N 0 2 306.369 0.275 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC[C@@H](C(N)=O)C1)c2=O ZINC000119276352 158184967 /nfs/dbraw/zinc/18/49/67/158184967.db2.gz PLLXQMZRUNMPQC-RKDXNWHRSA-N 0 2 317.349 0.448 20 0 DCADLN Cc1nc(-c2ccccc2)ncc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000122110975 158218689 /nfs/dbraw/zinc/21/86/89/158218689.db2.gz SAOFTIHAVFEYIY-UHFFFAOYSA-N 0 2 310.317 0.793 20 0 DCADLN CN1CCO[C@@H]2CN(Cc3nc(=O)c4sccc4[nH]3)C[C@H]21 ZINC000330357339 159162508 /nfs/dbraw/zinc/16/25/08/159162508.db2.gz SQZXLDMOMXOLHW-GHMZBOCLSA-N 0 2 306.391 0.912 20 0 DCADLN COc1ccc2c(c1)C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)CO2 ZINC000359270535 159258726 /nfs/dbraw/zinc/25/87/26/159258726.db2.gz KNDBQCCBVNLHIZ-SECBINFHSA-N 0 2 304.306 0.386 20 0 DCADLN COc1c(NS(=O)(=O)c2c[nH]nc2C)cccc1C(N)=O ZINC000360294038 159265784 /nfs/dbraw/zinc/26/57/84/159265784.db2.gz WVYTVWOWIFJJNX-UHFFFAOYSA-N 0 2 310.335 0.626 20 0 DCADLN Cn1nccc1C1=CCN(S(=O)(=O)NCC(F)(F)F)CC1 ZINC000367532209 159343828 /nfs/dbraw/zinc/34/38/28/159343828.db2.gz YMKSCDGQMLLSOI-UHFFFAOYSA-N 0 2 324.328 0.906 20 0 DCADLN CO[C@H](C)C(=O)N1CCc2nc(NS(C)(=O)=O)sc2C1 ZINC000408080144 160031530 /nfs/dbraw/zinc/03/15/30/160031530.db2.gz LUUJGYZFWZCFFL-SSDOTTSWSA-N 0 2 319.408 0.434 20 0 DCADLN CCCCN(C)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000408191371 160056814 /nfs/dbraw/zinc/05/68/14/160056814.db2.gz FNJXQXGIRLVEBZ-JTQLQIEISA-N 0 2 317.415 0.666 20 0 DCADLN CCc1nc2n(n1)C[C@H](NS(=O)(=O)CC(F)(F)F)CC2 ZINC000408308185 160082322 /nfs/dbraw/zinc/08/23/22/160082322.db2.gz IWIPUGORDIWVKD-SSDOTTSWSA-N 0 2 312.317 0.637 20 0 DCADLN Cc1nc([C@H](C)N2CCC[N@@H+](CC(=O)N3CCCC3)CC2)n[nH]1 ZINC000408469204 160115043 /nfs/dbraw/zinc/11/50/43/160115043.db2.gz SPHVEPPBNKELAI-ZDUSSCGKSA-N 0 2 320.441 0.804 20 0 DCADLN O=C(NCc1nnc(-c2ccccc2)s1)c1nc(=O)[nH][nH]1 ZINC000150881808 287031092 /nfs/dbraw/zinc/03/10/92/287031092.db2.gz JDIZMTRSYXDEIQ-UHFFFAOYSA-N 0 2 302.319 0.547 20 0 DCADLN CN(C[C@@H]1CCCC[C@H]1O)S(=O)(=O)NCC(F)(F)F ZINC000249531677 287112963 /nfs/dbraw/zinc/11/29/63/287112963.db2.gz JNLKSTCNENKAIT-DTWKUNHWSA-N 0 2 304.334 0.866 20 0 DCADLN COc1cc(F)c(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1OC ZINC000354174508 287167160 /nfs/dbraw/zinc/16/71/60/287167160.db2.gz OPUHUPFGHILJHZ-UHFFFAOYSA-N 0 2 311.273 0.988 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)OC ZINC000358311102 287206607 /nfs/dbraw/zinc/20/66/07/287206607.db2.gz KIWFFZLUEKHWCO-KCJUWKMLSA-N 0 2 320.349 0.991 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@H](O)COCC1CC1)c2=O ZINC000358396148 287208374 /nfs/dbraw/zinc/20/83/74/287208374.db2.gz ITLRQMDJEXIOCW-JTQLQIEISA-N 0 2 320.349 0.191 20 0 DCADLN CC[C@@H]1CC[C@@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)O1 ZINC000268100101 415159044 /nfs/dbraw/zinc/15/90/44/415159044.db2.gz HWKOUZVFOWTYPZ-RQJHMYQMSA-N 0 2 320.396 0.806 20 0 DCADLN CO[C@@H]1CCC[C@@H]1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342520070 415198422 /nfs/dbraw/zinc/19/84/22/415198422.db2.gz GROGFAZENKGAHT-LDYMZIIASA-N 0 2 318.333 0.981 20 0 DCADLN NC(=O)Cn1ccc(NS(=O)(=O)c2cc(F)cc(F)c2)n1 ZINC000342787385 415295174 /nfs/dbraw/zinc/29/51/74/415295174.db2.gz KCZKSUBEBUPQIW-UHFFFAOYSA-N 0 2 316.289 0.447 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)oc(=O)n2C ZINC000342791750 415299126 /nfs/dbraw/zinc/29/91/26/415299126.db2.gz PKINACXUYFQWCH-UHFFFAOYSA-N 0 2 308.319 0.666 20 0 DCADLN C/C=C/C[C@@H](CO)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000274598930 415357883 /nfs/dbraw/zinc/35/78/83/415357883.db2.gz SSUZSKLEVGNAJQ-NWALNABHSA-N 0 2 318.333 0.801 20 0 DCADLN CC(C)(CCS(C)(=O)=O)NS(=O)(=O)CC(F)(F)F ZINC000332426592 415395942 /nfs/dbraw/zinc/39/59/42/415395942.db2.gz AEIHFNRIDTVNAS-UHFFFAOYSA-N 0 2 311.347 0.681 20 0 DCADLN COC(=O)[C@@H](NC(=O)C1=NN(c2ccccc2)CC1=O)[C@@H](C)O ZINC000275969257 415406033 /nfs/dbraw/zinc/40/60/33/415406033.db2.gz JSFFDWSJZQZELU-SKDRFNHKSA-N 0 2 319.317 0.230 20 0 DCADLN CC[C@H]1CC[C@H](C)N1c1nc(NCCO)[nH+]c(NC(C)C)n1 ZINC000342940567 415370993 /nfs/dbraw/zinc/37/09/93/415370993.db2.gz SYBSNROZEGYKBV-RYUDHWBXSA-N 0 2 308.430 0.707 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cccc3c2OCCO3)C1=O ZINC000278791754 415486508 /nfs/dbraw/zinc/48/65/08/415486508.db2.gz OCYFESQTTYWARM-HNNXBMFYSA-N 0 2 319.317 0.823 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)O1 ZINC000353087977 415432475 /nfs/dbraw/zinc/43/24/75/415432475.db2.gz VSEIDEGJUOTQNY-UWVGGRQHSA-N 0 2 318.289 0.001 20 0 DCADLN Cn1c2ccccc2[nH+]c1N1CCS(=O)(=O)[C@@H](C(=O)[O-])C1 ZINC000424462471 287306440 /nfs/dbraw/zinc/30/64/40/287306440.db2.gz ASFZHHSCDRAKRG-LLVKDONJSA-N 0 2 309.347 0.261 20 0 DCADLN COC(=O)[C@@H](C)CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000353352519 415518211 /nfs/dbraw/zinc/51/82/11/415518211.db2.gz KZWSCGWTMNJDOZ-YFKPBYRVSA-N 0 2 322.368 0.047 20 0 DCADLN Cn1ccnc1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000343304488 415544715 /nfs/dbraw/zinc/54/47/15/415544715.db2.gz VMFJHVMHQHGETF-UHFFFAOYSA-N 0 2 300.278 0.100 20 0 DCADLN CC[NH+]1CCN([C@@H](C)CNC(=O)[C@@H]2CC[NH2+]CC2(F)F)CC1 ZINC000343451982 415604467 /nfs/dbraw/zinc/60/44/67/415604467.db2.gz GPEKQVGJHMUXLQ-STQMWFEESA-N 0 2 318.412 0.373 20 0 DCADLN COCCN(Cc1nc(=O)c2sccc2[nH]1)[C@H](C)C(N)=O ZINC000107306242 415664532 /nfs/dbraw/zinc/66/45/32/415664532.db2.gz KHVYOAFTSKFJEU-MRVPVSSYSA-N 0 2 310.379 0.307 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H](C(N)=O)C(C)(C)C)c2=O ZINC000119228013 415740039 /nfs/dbraw/zinc/74/00/39/415740039.db2.gz GEGBJERYVXXXFK-SNVBAGLBSA-N 0 2 305.338 0.304 20 0 DCADLN CC(CO)(CO)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000120546517 415776407 /nfs/dbraw/zinc/77/64/07/415776407.db2.gz MOBYCAGUKZWZDN-UHFFFAOYSA-N 0 2 309.297 0.190 20 0 DCADLN O=C(N[C@H](C[C@H]1CCOC1)c1ccccc1)c1nc(=O)[nH][nH]1 ZINC000119857282 415751050 /nfs/dbraw/zinc/75/10/50/415751050.db2.gz NTPIAYNBUSOLJV-ZYHUDNBSSA-N 0 2 302.334 0.996 20 0 DCADLN O=C(NN1C(=O)NC2(CCCCC2)C1=O)c1n[nH]c2c1CCC2 ZINC000119688536 415752579 /nfs/dbraw/zinc/75/25/79/415752579.db2.gz GVOOMPUWMQTMQG-UHFFFAOYSA-N 0 2 317.349 0.798 20 0 DCADLN CC(=O)[C@H](NC(=O)CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC000344111886 415840632 /nfs/dbraw/zinc/84/06/32/415840632.db2.gz RGWPZQYQFSINHU-WCQYABFASA-N 0 2 323.397 0.420 20 0 DCADLN COc1nccc(CN2CCS(=O)(=O)C[C@H]2C2CCC2)n1 ZINC000334030775 415789085 /nfs/dbraw/zinc/78/90/85/415789085.db2.gz KTJWBGXHZDJDCJ-ZDUSSCGKSA-N 0 2 311.407 0.884 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC[C@@H](O)C(F)(F)F)c2=O ZINC000337043222 415896110 /nfs/dbraw/zinc/89/61/10/415896110.db2.gz NNFOISHHRFPXAQ-MRVPVSSYSA-N 0 2 318.255 0.717 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cnc3c(c2)c(C)nn3C)no1 ZINC000152805492 415972126 /nfs/dbraw/zinc/97/21/26/415972126.db2.gz DHNWTARGHKXMHD-UHFFFAOYSA-N 0 2 308.323 0.769 20 0 DCADLN CCN(C[C@H](C)O)C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000356704534 415975838 /nfs/dbraw/zinc/97/58/38/415975838.db2.gz OPWIHNVPTFVYKY-QMMMGPOBSA-N 0 2 314.411 0.425 20 0 DCADLN Cc1ocnc1CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000356800623 415991064 /nfs/dbraw/zinc/99/10/64/415991064.db2.gz WTIHYVBNDZMXHF-UHFFFAOYSA-N 0 2 315.289 0.971 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H](CO)CC1CCCC1 ZINC000338248232 416066607 /nfs/dbraw/zinc/06/66/07/416066607.db2.gz NEMNKMVOXOQTOO-VIFPVBQESA-N 0 2 300.384 0.660 20 0 DCADLN CCN1CC[NH+](CCC(=O)NC[C@H](C)Cn2cc[nH+]c2C)CC1 ZINC000338050961 416039903 /nfs/dbraw/zinc/03/99/03/416039903.db2.gz AETHXCDUTNRKSY-HNNXBMFYSA-N 0 2 321.469 0.971 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1coc(C2CCOCC2)n1 ZINC000338394213 416089248 /nfs/dbraw/zinc/08/92/48/416089248.db2.gz JOKMEILOYTXWNF-UHFFFAOYSA-N 0 2 321.337 0.755 20 0 DCADLN CN(C)C(=O)Cn1ccc(NS(=O)(=O)c2ccccc2)n1 ZINC000357523159 416092964 /nfs/dbraw/zinc/09/29/64/416092964.db2.gz SHTZDSZMXWCQEY-UHFFFAOYSA-N 0 2 308.363 0.772 20 0 DCADLN C[C@@H]1C[C@@H](C)CN(C(=O)C[N@H+](C)C2CC[NH+](CCO)CC2)C1 ZINC000357654736 416111591 /nfs/dbraw/zinc/11/15/91/416111591.db2.gz XJPAQDBJPSBXDX-HUUCEWRRSA-N 0 2 311.470 0.879 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)c2ccc(C3(C(=O)[O-])CC3)cc2)CC1 ZINC000317100361 416118483 /nfs/dbraw/zinc/11/84/83/416118483.db2.gz BIAOQLCAYXNXQN-UHFFFAOYSA-N 0 2 324.402 0.739 20 0 DCADLN Cc1cc(=O)n2nc(NS(=O)(=O)c3ccccc3F)[nH]c2n1 ZINC000176416458 416125182 /nfs/dbraw/zinc/12/51/82/416125182.db2.gz PRWCNTBOYVWRQD-UHFFFAOYSA-N 0 2 323.309 0.666 20 0 DCADLN Cc1cccc(S(=O)(=O)Nc2nn3c(nc(C)cc3=O)[nH]2)c1 ZINC000176416013 416126592 /nfs/dbraw/zinc/12/65/92/416126592.db2.gz NCHKMXFZFSHPER-UHFFFAOYSA-N 0 2 319.346 0.835 20 0 DCADLN Cc1cc(F)cc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)c1 ZINC000176688035 416133234 /nfs/dbraw/zinc/13/32/34/416133234.db2.gz AWLSSCPATBGAIY-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN NC(=O)CCn1ccc(NC(=O)c2cc(F)c(O)c(F)c2)n1 ZINC000177168547 416141614 /nfs/dbraw/zinc/14/16/14/416141614.db2.gz QKWGZJKZXKLBSN-UHFFFAOYSA-N 0 2 310.260 0.995 20 0 DCADLN Cc1nn(C)cc1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358125592 416196478 /nfs/dbraw/zinc/19/64/78/416196478.db2.gz QNHMDUDPYCOWIO-UHFFFAOYSA-N 0 2 300.322 0.646 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC[C@H](C)CO)=N2)cc1 ZINC000180869356 416201674 /nfs/dbraw/zinc/20/16/74/416201674.db2.gz XBGSEEVYTJHLSB-JTQLQIEISA-N 0 2 305.334 0.945 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC(=O)OC(C)(C)C)c2=O ZINC000358373915 416231083 /nfs/dbraw/zinc/23/10/83/416231083.db2.gz SLSCVJJKFVNMMO-UHFFFAOYSA-N 0 2 306.322 0.745 20 0 DCADLN O=C(CCCc1ccc2[nH]c(=O)[nH]c2c1)NCc1n[nH]c(=O)[nH]1 ZINC000358375432 416231248 /nfs/dbraw/zinc/23/12/48/416231248.db2.gz YSZIOEJBKTWPJC-UHFFFAOYSA-N 0 2 316.321 0.731 20 0 DCADLN Cc1nccc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000342051759 416274038 /nfs/dbraw/zinc/27/40/38/416274038.db2.gz FBOPFDCWIBKJIC-UHFFFAOYSA-N 0 2 312.289 0.464 20 0 DCADLN CCCNS(=O)(=O)N1CCCC[C@H]1c1nnc(COC)[nH]1 ZINC000331151845 416274451 /nfs/dbraw/zinc/27/44/51/416274451.db2.gz OMROWVBJUOKIAI-JTQLQIEISA-N 0 2 317.415 0.723 20 0 DCADLN NS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(F)cc(Cl)c2O)C1 ZINC000331237425 416294027 /nfs/dbraw/zinc/29/40/27/416294027.db2.gz NAGWEHYZPNQLPH-SSDOTTSWSA-N 0 2 322.745 0.688 20 0 DCADLN O=S(=O)(NCc1ccc2c(c1)OCO2)NCC(F)(F)F ZINC000191998114 416294720 /nfs/dbraw/zinc/29/47/20/416294720.db2.gz IKIINZKKQJXOFF-UHFFFAOYSA-N 0 2 312.269 0.902 20 0 DCADLN COC(=O)[C@@H](C)CN(C1CC1)S(=O)(=O)NCC(F)(F)F ZINC000195208975 416325359 /nfs/dbraw/zinc/32/53/59/416325359.db2.gz QGGSVFWJRVGKKB-ZETCQYMHSA-N 0 2 318.317 0.657 20 0 DCADLN CC(C)(C)N1C[C@H](NS(=O)(=O)NCC(F)(F)F)CC1=O ZINC000195328479 416326380 /nfs/dbraw/zinc/32/63/80/416326380.db2.gz VFALAGCZXDQMAV-SSDOTTSWSA-N 0 2 317.333 0.372 20 0 DCADLN O=C(CCN1C(=O)CCc2ccccc21)NCc1n[nH]c(=O)[nH]1 ZINC000359807051 416359814 /nfs/dbraw/zinc/35/98/14/416359814.db2.gz QYZZGOZYZXONCE-UHFFFAOYSA-N 0 2 315.333 0.496 20 0 DCADLN O=C(Cc1ccc(NC(=O)C2CC2)cc1)NCc1n[nH]c(=O)[nH]1 ZINC000359844635 416361791 /nfs/dbraw/zinc/36/17/91/416361791.db2.gz YMKRWUNUTVMSBO-UHFFFAOYSA-N 0 2 315.333 0.718 20 0 DCADLN CC(C)(C)c1nnc(CNS(=O)(=O)NCC(F)(F)F)[nH]1 ZINC000416549871 416375106 /nfs/dbraw/zinc/37/51/06/416375106.db2.gz ZPZJYWHYURUKAC-UHFFFAOYSA-N 0 2 315.321 0.589 20 0 DCADLN C[C@H](CNC(=O)c1ccnc(C[NH3+])c1)CN1CC[NH+](C)CC1 ZINC000361631228 416466167 /nfs/dbraw/zinc/46/61/67/416466167.db2.gz JJQAKQXJQLTYBM-CYBMUJFWSA-N 0 2 305.426 0.154 20 0 DCADLN CCCCNC(=O)Cc1noc(C(=O)Nc2cc(C)on2)n1 ZINC000598451196 416603705 /nfs/dbraw/zinc/60/37/05/416603705.db2.gz PDQZJRGSRUAUDL-UHFFFAOYSA-N 0 2 307.310 1.077 20 0 DCADLN CC(C)c1nc(N2CC3N=NC(=O)N3C[C@H]2C(=O)[O-])cc[nH+]1 ZINC000424372444 416638050 /nfs/dbraw/zinc/63/80/50/416638050.db2.gz HSZOQMPJRRSYIR-QMMMGPOBSA-N 0 2 304.310 0.371 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)[C@H]2CCNC2=O)c1 ZINC000424755660 416667229 /nfs/dbraw/zinc/66/72/29/416667229.db2.gz RMNLCPNYBINKAD-QKVFXAPYSA-N 0 2 310.375 0.150 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC(O)(C3CC3)C2)c1 ZINC000424801319 416668809 /nfs/dbraw/zinc/66/88/09/416668809.db2.gz LQZHCITWOCXVMK-OAQYLSRUSA-N 0 2 309.387 0.786 20 0 DCADLN O=S(=O)(CCn1cc[nH+]c1)NCCC[NH+]1CCCCC1 ZINC000641262430 416755183 /nfs/dbraw/zinc/75/51/83/416755183.db2.gz VBUWBTPIDOWTDP-UHFFFAOYSA-N 0 2 300.428 0.679 20 0 DCADLN C[C@@H](O)CN(C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)[C@H](C)CO ZINC000442702346 416706939 /nfs/dbraw/zinc/70/69/39/416706939.db2.gz FFUARUQBPSRWNQ-NXEZZACHSA-N 0 2 321.333 0.234 20 0 DCADLN CCN(CC)C(=O)CCCNS(=O)(=O)NCC(F)(F)F ZINC000442915755 416718304 /nfs/dbraw/zinc/71/83/04/416718304.db2.gz SDUBDSGJINLAKQ-UHFFFAOYSA-N 0 2 319.349 0.621 20 0 DCADLN NC(=O)C1CCC(NS(=O)(=O)NCC(F)(F)F)CC1 ZINC000442949489 416722629 /nfs/dbraw/zinc/72/26/29/416722629.db2.gz IMDOMQPBZWVYBS-UHFFFAOYSA-N 0 2 303.306 0.017 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCOC2(CCOCC2)C1 ZINC000443022048 416726578 /nfs/dbraw/zinc/72/65/78/416726578.db2.gz VYXSRWWOCKDXBC-UHFFFAOYSA-N 0 2 318.317 0.265 20 0 DCADLN CC[C@H]1C(=O)N(CC)CCN1S(=O)(=O)NCC(F)(F)F ZINC000443205948 416737403 /nfs/dbraw/zinc/73/74/03/416737403.db2.gz FFARSHZSYIBCGW-QMMMGPOBSA-N 0 2 317.333 0.326 20 0 DCADLN C[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000443509300 416763468 /nfs/dbraw/zinc/76/34/68/416763468.db2.gz IPGDNDNKCFAXKQ-SSDOTTSWSA-N 0 2 323.740 0.598 20 0 DCADLN Cc1cc(C(=O)NC[C@H](CO)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000428134280 416878969 /nfs/dbraw/zinc/87/89/69/416878969.db2.gz JOMJUNBYSBDDHH-SECBINFHSA-N 0 2 318.333 0.493 20 0 DCADLN COc1cncc(NS(=O)(=O)c2ccc(N(C)C)nc2)n1 ZINC000563541918 416882514 /nfs/dbraw/zinc/88/25/14/416882514.db2.gz SGCOILKUSRJSRV-UHFFFAOYSA-N 0 2 309.351 0.747 20 0 DCADLN C[C@H]1[C@@H](CO)CCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000427829272 416852791 /nfs/dbraw/zinc/85/27/91/416852791.db2.gz RLGQXUNIZJQYAJ-JGVFFNPUSA-N 0 2 304.306 0.279 20 0 DCADLN O=C(NC[C@@H](O)C1CCC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616118404 416968052 /nfs/dbraw/zinc/96/80/52/416968052.db2.gz HXLWYVVZIJCGBH-GFCCVEGCSA-N 0 2 303.318 0.921 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(c2cc(NC[C@H](C)O)[nH+]cn2)C1 ZINC000520818307 416976254 /nfs/dbraw/zinc/97/62/54/416976254.db2.gz FNSYLACVKLIHMZ-NHYWBVRUSA-N 0 2 324.381 0.587 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(c2cc(NC[C@H](C)O)nc[nH+]2)C1 ZINC000520818307 416976258 /nfs/dbraw/zinc/97/62/58/416976258.db2.gz FNSYLACVKLIHMZ-NHYWBVRUSA-N 0 2 324.381 0.587 20 0 DCADLN O=S(=O)(CCO)c1n[nH]c(-c2ccc3c(c2)OCCO3)n1 ZINC000447490537 417003593 /nfs/dbraw/zinc/00/35/93/417003593.db2.gz PRLWAECETAXNIL-UHFFFAOYSA-N 0 2 311.319 0.009 20 0 DCADLN CC(=O)NCCN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616475483 417059467 /nfs/dbraw/zinc/05/94/67/417059467.db2.gz PJDBPGJAMPPDBV-UHFFFAOYSA-N 0 2 304.306 0.238 20 0 DCADLN CN=c1[nH]nc(CC(=O)N=c2cc([C@H]3CCCO3)[nH][nH]2)s1 ZINC000616824833 417118981 /nfs/dbraw/zinc/11/89/81/417118981.db2.gz OUAWSLHTSRUEPC-MRVPVSSYSA-N 0 2 308.367 0.179 20 0 DCADLN CC(=O)N1CCC(CNC(=O)c2cccc3[nH]nnc32)CC1 ZINC000526115160 417186630 /nfs/dbraw/zinc/18/66/30/417186630.db2.gz WNURFKWDNPSDLJ-UHFFFAOYSA-N 0 2 301.350 0.946 20 0 DCADLN O=C1CCC[C@@H]1[C@@H]1COCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000526135037 417188612 /nfs/dbraw/zinc/18/86/12/417188612.db2.gz ZAUFOXJQSGZGAF-OLZOCXBDSA-N 0 2 316.361 0.593 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N(CCO)[C@@H]1CCCSC1 ZINC000451559731 417360316 /nfs/dbraw/zinc/36/03/16/417360316.db2.gz DRUWETTXUGMHQF-MRVPVSSYSA-N 0 2 322.374 0.573 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N(CCO)[C@H]1CCCSC1 ZINC000451559732 417360774 /nfs/dbraw/zinc/36/07/74/417360774.db2.gz DRUWETTXUGMHQF-QMMMGPOBSA-N 0 2 322.374 0.573 20 0 DCADLN C[C@@H](C[N@H+]1CCC[C@@H](C)C1)NC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000414738966 417298394 /nfs/dbraw/zinc/29/83/94/417298394.db2.gz ILQPNENKLUBWRS-NEPJUHHUSA-N 0 2 319.409 0.839 20 0 DCADLN Cn1ncc2c1nc(N1CCC3(C[C@H]3C(=O)[O-])CC1)[nH+]c2N ZINC000570283430 417334653 /nfs/dbraw/zinc/33/46/53/417334653.db2.gz RNTDTHKKIDYKFE-VIFPVBQESA-N 0 2 302.338 0.637 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C1(CO)CC1 ZINC000622146472 417410071 /nfs/dbraw/zinc/41/00/71/417410071.db2.gz USJNEAJFVPNDOS-SECBINFHSA-N 0 2 303.318 0.921 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1CC[N@H+]1C1CCCCC1)S(C)(=O)=O ZINC000529717581 417491153 /nfs/dbraw/zinc/49/11/53/417491153.db2.gz HHAMWJZCDRIWMW-WCQYABFASA-N 0 2 302.440 0.943 20 0 DCADLN COc1cc2nc(NC[C@@H](C)C(=O)[O-])[nH+]c(N)c2cc1OC ZINC000572367801 417457593 /nfs/dbraw/zinc/45/75/93/417457593.db2.gz JYXMZBUJQHGNMY-SSDOTTSWSA-N 0 2 306.322 0.784 20 0 DCADLN CCn1ncnc1CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000622573474 417462185 /nfs/dbraw/zinc/46/21/85/417462185.db2.gz OVBRDWGICURGEN-UHFFFAOYSA-N 0 2 314.305 0.571 20 0 DCADLN CCc1cc(S(=O)(=O)Nc2nnn(C)n2)c(C)cc1OC ZINC000634663906 417523083 /nfs/dbraw/zinc/52/30/83/417523083.db2.gz DZFHNQQKVUSIKC-UHFFFAOYSA-N 0 2 311.367 0.890 20 0 DCADLN Cc1[nH]c(C(=O)N[C@H]2CCN([C@@H](C(=O)[O-])C(C)C)C2=O)c[nH+]1 ZINC000455796676 417615761 /nfs/dbraw/zinc/61/57/61/417615761.db2.gz QJDIYCJJKLSNFG-GXSJLCMTSA-N 0 2 308.338 0.158 20 0 DCADLN O=C([O-])c1ccc(N2CCN(S(=O)(=O)C3CC3)CC2)[nH+]c1 ZINC000644572826 417621300 /nfs/dbraw/zinc/62/13/00/417621300.db2.gz VAUUDFSDBZSGLT-UHFFFAOYSA-N 0 2 311.363 0.394 20 0 DCADLN CC(=O)N1CC[C@@H](NC(=O)N=c2nc(C(C)(C)C)[nH]s2)C1 ZINC000531621077 417631458 /nfs/dbraw/zinc/63/14/58/417631458.db2.gz FKJQZMJJPRYPKW-SECBINFHSA-N 0 2 311.411 1.000 20 0 DCADLN CCO[C@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@H]1C ZINC000651836162 417792272 /nfs/dbraw/zinc/79/22/72/417792272.db2.gz LAOIDQCJGJSALJ-NEPJUHHUSA-N 0 2 323.397 0.493 20 0 DCADLN COC[C@H]1CCCCN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651843851 417797027 /nfs/dbraw/zinc/79/70/27/417797027.db2.gz RRWICZVIMRPDDZ-LLVKDONJSA-N 0 2 309.370 0.247 20 0 DCADLN C[C@@H](C(=O)Nc1ncccc1O)[C@H](C)NC(=O)c1cnn[nH]1 ZINC000646202132 417805740 /nfs/dbraw/zinc/80/57/40/417805740.db2.gz IXPPBZJIIBXRRO-SFYZADRCSA-N 0 2 304.310 0.298 20 0 DCADLN CCc1cccc(S(=O)(=O)NCc2nnc(COC)[nH]2)c1 ZINC000657103935 417811695 /nfs/dbraw/zinc/81/16/95/417811695.db2.gz JVKWAZPNXGOJPS-UHFFFAOYSA-N 0 2 310.379 0.992 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N2CCC[C@@H](C)C2)[nH]1 ZINC000657104318 417812236 /nfs/dbraw/zinc/81/22/36/417812236.db2.gz MUTLIKLAZUEGTJ-SECBINFHSA-N 0 2 303.388 0.017 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C ZINC000636068323 417855949 /nfs/dbraw/zinc/85/59/49/417855949.db2.gz WWZUCCQJLCTADX-LLVKDONJSA-N 0 2 316.427 0.640 20 0 DCADLN C[C@@H](CCO)N(C)C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000652256052 417875381 /nfs/dbraw/zinc/87/53/81/417875381.db2.gz LJAJFGQSULOPFW-QMMMGPOBSA-N 0 2 314.411 0.425 20 0 DCADLN O=C([O-])C[C@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)CCO1 ZINC000652060444 417841016 /nfs/dbraw/zinc/84/10/16/417841016.db2.gz QEVJECHIBZEFPF-LBPRGKRZSA-N 0 2 307.350 0.464 20 0 DCADLN COC[C@](C)(CC(=O)[O-])NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000652063386 417842248 /nfs/dbraw/zinc/84/22/48/417842248.db2.gz KOZHPLJZCGQTKC-HNNXBMFYSA-N 0 2 309.366 0.758 20 0 DCADLN CN1C[C@@H](NC(=O)CSc2n[nH]c(=O)[nH]2)Cc2ccccc21 ZINC000636261929 417918856 /nfs/dbraw/zinc/91/88/56/417918856.db2.gz FULWBVUEECIBIO-JTQLQIEISA-N 0 2 319.390 0.780 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCn3c(CC4CC4)nnc3C2)c1O ZINC000652750151 417963107 /nfs/dbraw/zinc/96/31/07/417963107.db2.gz FIDDXGJULZTQOK-UHFFFAOYSA-N 0 2 302.338 0.624 20 0 DCADLN Cc1nc(=NC(=O)NCc2cc(F)cc3c2OCOC3)[nH]n1C ZINC000653244176 418046183 /nfs/dbraw/zinc/04/61/83/418046183.db2.gz CJAAYOUDKUBINL-UHFFFAOYSA-N 0 2 321.312 0.873 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000663092839 417989058 /nfs/dbraw/zinc/98/90/58/417989058.db2.gz RXBOTFSLVZUJEL-CQSZACIVSA-N 0 2 312.391 0.882 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1ccn(C)n1)C1CCOCC1 ZINC000647123476 417991720 /nfs/dbraw/zinc/99/17/20/417991720.db2.gz YPSWQOYJWGWBJV-LLVKDONJSA-N 0 2 303.384 0.603 20 0 DCADLN C[C@H](NC(=O)[C@@H](C(=O)[O-])C(C)(C)C)[C@H](C)[NH+]1CCOCC1 ZINC000663113397 417991842 /nfs/dbraw/zinc/99/18/42/417991842.db2.gz CBXMKUSIYMNUPT-SRVKXCTJSA-N 0 2 300.399 0.959 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3C[C@@H]4COC[C@]4(C(=O)[O-])C3)ccn12 ZINC000663129818 417993765 /nfs/dbraw/zinc/99/37/65/417993765.db2.gz WJGRMTBFSGRVMR-MLGOLLRUSA-N 0 2 315.329 0.816 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)N[C@@H](C[C@H]1CCCO1)C(F)(F)F ZINC000654266493 418132419 /nfs/dbraw/zinc/13/24/19/418132419.db2.gz QMWQXHIYSYTBET-RQJHMYQMSA-N 0 2 323.275 0.810 20 0 DCADLN Cc1n[nH]c(NC(=O)CC2CCN(S(C)(=O)=O)CC2)n1 ZINC000654710211 418178882 /nfs/dbraw/zinc/17/88/82/418178882.db2.gz STDFADDFJZNAOF-UHFFFAOYSA-N 0 2 301.372 0.113 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)N2CC[N@@H+]3C[C@H](O)C[C@H]3C2)c2[nH+]ccn21 ZINC000654583152 418164017 /nfs/dbraw/zinc/16/40/17/418164017.db2.gz JACJJQPIRNAGAM-WUHRBBMRSA-N 0 2 305.382 0.349 20 0 DCADLN CC(C)(C)n1nnc(CNC(=O)c2cccc3[nH]nnc32)n1 ZINC000655251104 418243977 /nfs/dbraw/zinc/24/39/77/418243977.db2.gz JAWHKIUWZGXVFJ-UHFFFAOYSA-N 0 2 300.326 0.629 20 0 DCADLN Cn1cc(-c2ccc(=NC(=O)N[C@H](CO)CCF)[nH]n2)cn1 ZINC000650167619 418295989 /nfs/dbraw/zinc/29/59/89/418295989.db2.gz XZCRZTXYDNLMHS-JTQLQIEISA-N 0 2 308.317 0.141 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H]2CCc3ccccc3O2)[nH]n1 ZINC000650819554 418327003 /nfs/dbraw/zinc/32/70/03/418327003.db2.gz YFUBTUTXFLTUGG-CYBMUJFWSA-N 0 2 312.329 0.590 20 0 DCADLN C[C@@H](O)CNc1cc(N[C@H](Cc2ccncc2)C(=O)[O-])[nH+]cn1 ZINC000656092745 418327020 /nfs/dbraw/zinc/32/70/20/418327020.db2.gz PUXNQOVPBFWXCI-ZYHUDNBSSA-N 0 2 317.349 0.772 20 0 DCADLN C[C@@H](O)CNc1cc(N[C@H](Cc2ccncc2)C(=O)[O-])nc[nH+]1 ZINC000656092745 418327021 /nfs/dbraw/zinc/32/70/21/418327021.db2.gz PUXNQOVPBFWXCI-ZYHUDNBSSA-N 0 2 317.349 0.772 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C[C@@H](OC)C(F)(F)F)[nH]n1 ZINC000650819503 418327471 /nfs/dbraw/zinc/32/74/71/418327471.db2.gz WMGJEHDCYWODLZ-SSDOTTSWSA-N 0 2 306.244 0.164 20 0 DCADLN COCc1nc2n(n1)C[C@H](Nc1cc[nH+]c(C(=O)[O-])c1)CC2 ZINC000650767387 418323547 /nfs/dbraw/zinc/32/35/47/418323547.db2.gz SRRGYHLJPBCWRO-SNVBAGLBSA-N 0 2 303.322 0.367 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H]2COc3ccccc3C2)[nH]n1 ZINC000650815656 418326146 /nfs/dbraw/zinc/32/61/46/418326146.db2.gz ZHSFXWDMORRLCZ-LLVKDONJSA-N 0 2 312.329 0.448 20 0 DCADLN Cn1nccc1[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(=O)[O-] ZINC000655547036 418273966 /nfs/dbraw/zinc/27/39/66/418273966.db2.gz GSFFNQMEAILXLM-JOYOIKCWSA-N 0 2 303.322 0.121 20 0 DCADLN Cn1cnnc1N1CC[NH+]([C@H](C(=O)[O-])c2ccsc2)CC1 ZINC000656192020 418335154 /nfs/dbraw/zinc/33/51/54/418335154.db2.gz WDFPNTLXKUXVGY-NSHDSACASA-N 0 2 307.379 0.825 20 0 DCADLN CC(C)CO[C@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651619444 418397999 /nfs/dbraw/zinc/39/79/99/418397999.db2.gz ULLONAVCNPFWEI-LBPRGKRZSA-N 0 2 323.397 0.493 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)Nc1ccc3[nH+]ccn3c1)C2 ZINC000656601884 418366223 /nfs/dbraw/zinc/36/62/23/418366223.db2.gz AETWIYMRTAWEBH-MEBBXXQBSA-N 0 2 316.317 0.899 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1nc(SC)n[nH]1)[C@@H]1CCOC1 ZINC000656729264 418373925 /nfs/dbraw/zinc/37/39/25/418373925.db2.gz UUMQFBGMVACYBG-SFYZADRCSA-N 0 2 322.412 0.320 20 0 DCADLN COc1cnc(NS(=O)(=O)C[C@H](OC)[C@H]2CCOC2)nc1 ZINC000656737160 418374841 /nfs/dbraw/zinc/37/48/41/418374841.db2.gz GLHHFJASDCRMGR-ONGXEEELSA-N 0 2 317.367 0.278 20 0 DCADLN C[C@@H]1C[N@H+](Cc2csc(C(=O)[O-])c2)CCN1S(C)(=O)=O ZINC000656762521 418375112 /nfs/dbraw/zinc/37/51/12/418375112.db2.gz DSHBITKALNXHMI-SECBINFHSA-N 0 2 318.420 0.912 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2csc(C(=O)[O-])c2)CCN1S(C)(=O)=O ZINC000656762521 418375115 /nfs/dbraw/zinc/37/51/15/418375115.db2.gz DSHBITKALNXHMI-SECBINFHSA-N 0 2 318.420 0.912 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)Cc2ccsc2)[nH]1 ZINC000651515700 418386017 /nfs/dbraw/zinc/38/60/17/418386017.db2.gz QUSXOACAESZHDR-UHFFFAOYSA-N 0 2 307.379 0.940 20 0 DCADLN O=C([O-])CN1CC[C@H](N2CC[NH+](Cc3ccsc3)CC2)C1=O ZINC000662204064 418388568 /nfs/dbraw/zinc/38/85/68/418388568.db2.gz GKQHOHNFECELIT-ZDUSSCGKSA-N 0 2 323.418 0.551 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCN(Cc3ccsc3)CC2)C1=O ZINC000662204064 418388570 /nfs/dbraw/zinc/38/85/70/418388570.db2.gz GKQHOHNFECELIT-ZDUSSCGKSA-N 0 2 323.418 0.551 20 0 DCADLN CC[C@@H]1CN2CCCC[C@@H]2C[N@@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662204174 418388677 /nfs/dbraw/zinc/38/86/77/418388677.db2.gz GQPOYWPDRSOWFO-MGPQQGTHSA-N 0 2 309.410 0.621 20 0 DCADLN CC[C@@H]1CN2CCCC[C@@H]2C[N@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662204174 418388680 /nfs/dbraw/zinc/38/86/80/418388680.db2.gz GQPOYWPDRSOWFO-MGPQQGTHSA-N 0 2 309.410 0.621 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@@H](C)O1 ZINC000651564173 418392281 /nfs/dbraw/zinc/39/22/81/418392281.db2.gz XLHJROZXQGOWEG-MNOVXSKESA-N 0 2 309.370 0.245 20 0 DCADLN CC[C@H](C)[C@](C)(O)CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651580286 418393703 /nfs/dbraw/zinc/39/37/03/418393703.db2.gz FVXJJKYTXVNNJF-IINYFYTJSA-N 0 2 311.386 0.133 20 0 DCADLN CC[C@H](C)[C@@](C)(O)CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651580285 418393746 /nfs/dbraw/zinc/39/37/46/418393746.db2.gz FVXJJKYTXVNNJF-HZMBPMFUSA-N 0 2 311.386 0.133 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@H]2CCC(F)(F)C2)[nH]1 ZINC000651767353 418409440 /nfs/dbraw/zinc/40/94/40/418409440.db2.gz RHHFNRSBZJMZCQ-VIFPVBQESA-N 0 2 315.324 0.771 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCC[C@H]2CCO[C@@H](C)C2)[nH]1 ZINC000651660919 418401489 /nfs/dbraw/zinc/40/14/89/418401489.db2.gz FOYNCDMAJDCEOI-RYUDHWBXSA-N 0 2 323.397 0.541 20 0 DCADLN Cc1ccncc1/C=C/C(=O)NS(=O)(=O)c1cnn(C)c1 ZINC000492500671 287576543 /nfs/dbraw/zinc/57/65/43/287576543.db2.gz LNNOVYOJJVOBPP-ONEGZZNKSA-N 0 2 306.347 0.642 20 0 DCADLN NC(=O)[C@@H]1CCC[N@@H+]1CCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000342198533 261186179 /nfs/dbraw/zinc/18/61/79/261186179.db2.gz GMOPOJSCTSYRAX-ZETCQYMHSA-N 0 2 323.344 0.731 20 0 DCADLN NC(=O)[C@@H]1CCC[N@H+]1CCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000342198533 261186180 /nfs/dbraw/zinc/18/61/80/261186180.db2.gz GMOPOJSCTSYRAX-ZETCQYMHSA-N 0 2 323.344 0.731 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(Cn2cncn2)c1 ZINC000354336640 261205464 /nfs/dbraw/zinc/20/54/64/261205464.db2.gz YEZKVPNXTOYLFA-UHFFFAOYSA-N 0 2 314.309 0.472 20 0 DCADLN CCOC(=O)CN(C(=O)c1c[nH]c2c(cnn2C)c1=O)C1CC1 ZINC000355615626 261354015 /nfs/dbraw/zinc/35/40/15/261354015.db2.gz GTWFRLJHAJXLFK-UHFFFAOYSA-N 0 2 318.333 0.842 20 0 DCADLN CCOC[C@@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000357560008 261554799 /nfs/dbraw/zinc/55/47/99/261554799.db2.gz DZXDMTOGPHZUAY-SECBINFHSA-N 0 2 318.333 0.935 20 0 DCADLN C[S@@](=O)c1ccc(NC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000362376943 262027322 /nfs/dbraw/zinc/02/73/22/262027322.db2.gz VLXZDPOULHZTNR-HXUWFJFHSA-N 0 2 312.376 0.979 20 0 DCADLN COC(=O)[C@@H](C)CN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355856553 271027867 /nfs/dbraw/zinc/02/78/67/271027867.db2.gz KZHGQUZOVLLQGI-QMMMGPOBSA-N 0 2 306.322 0.555 20 0 DCADLN COCCn1ccc(NS(=O)(=O)CCOCC2CC2)n1 ZINC000424065426 271397052 /nfs/dbraw/zinc/39/70/52/271397052.db2.gz LJMZZADHMYMHBF-UHFFFAOYSA-N 0 2 303.384 0.698 20 0 DCADLN CCc1ccc(S(=O)(=O)Nc2ncn(CC(=O)OC)n2)cc1 ZINC000437327634 271620162 /nfs/dbraw/zinc/62/01/62/271620162.db2.gz WDBOYBZJXHBACT-UHFFFAOYSA-N 0 2 324.362 0.814 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc2c(c1)N(C(N)=O)CC2 ZINC000487363244 272041094 /nfs/dbraw/zinc/04/10/94/272041094.db2.gz GBPBFBPPJIRXFN-UHFFFAOYSA-N 0 2 321.362 0.960 20 0 DCADLN CO[C@@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C[C@@H]1OC ZINC000489241081 272059012 /nfs/dbraw/zinc/05/90/12/272059012.db2.gz PKHVEOODXDNVES-PHIMTYICSA-N 0 2 306.322 0.160 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000493005910 272163721 /nfs/dbraw/zinc/16/37/21/272163721.db2.gz NTKVFYLVLJNXQI-ISALQUGTSA-N 0 2 313.379 0.541 20 0 DCADLN CCOC(=O)N[C@@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)C(C)C ZINC000493073976 272167029 /nfs/dbraw/zinc/16/70/29/272167029.db2.gz OKVCXTGZFZZXRW-SNVBAGLBSA-N 0 2 313.358 0.330 20 0 DCADLN O=C(/C=C/C1CC1)NS(=O)(=O)c1cnn([C@@H]2CCOC2)c1 ZINC000493154499 272172483 /nfs/dbraw/zinc/17/24/83/272172483.db2.gz MKVHMYSBTNQGPC-PGLGOXFNSA-N 0 2 311.363 0.616 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C\c2ccn(C)c2)cn1 ZINC000493285522 272179663 /nfs/dbraw/zinc/17/96/63/272179663.db2.gz JWVUWFZTUCMOIL-PLNGDYQASA-N 0 2 308.363 0.760 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NOC1CCC1 ZINC000497268300 272379167 /nfs/dbraw/zinc/37/91/67/272379167.db2.gz HTVLQDZZXWWUNG-UHFFFAOYSA-N 0 2 301.343 0.228 20 0 DCADLN O=C(Nc1ccc(-c2nc(=O)o[nH]2)cc1)[C@H]1CCCS1(=O)=O ZINC000517038193 272457085 /nfs/dbraw/zinc/45/70/85/272457085.db2.gz NHSIKHHNPYMSMN-SNVBAGLBSA-N 0 2 323.330 0.546 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])Cc1cnc(N(C)C)s1 ZINC001649977244 1173355334 /nfs/dbraw/zinc/35/53/34/1173355334.db2.gz SNOKOTPUCOMLAR-UHFFFAOYSA-N 0 2 301.368 0.659 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])Cc1cnc(N(C)C)s1 ZINC001649977244 1173355345 /nfs/dbraw/zinc/35/53/45/1173355345.db2.gz SNOKOTPUCOMLAR-UHFFFAOYSA-N 0 2 301.368 0.659 20 0 DCADLN CO[C@]1(CNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CCOC1 ZINC000545897023 288005113 /nfs/dbraw/zinc/00/51/13/288005113.db2.gz DUBLFIBDSRLZBE-HNNXBMFYSA-N 0 2 319.317 0.565 20 0 DCADLN Cn1c(CNC(=O)CSc2n[nH]c(=O)[nH]2)nc2ccccc21 ZINC000547867335 288086208 /nfs/dbraw/zinc/08/62/08/288086208.db2.gz AEWYKZFRHRDVAT-UHFFFAOYSA-N 0 2 318.362 0.806 20 0 DCADLN COC[C@@](C)(O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000548113171 288112989 /nfs/dbraw/zinc/11/29/89/288112989.db2.gz FPKRPZNTYGMGBH-OAHLLOKOSA-N 0 2 320.349 0.397 20 0 DCADLN CN1C(=O)C[C@H](NC(=O)c2cc(F)c(F)c(O)c2F)C1=O ZINC000550160697 288212173 /nfs/dbraw/zinc/21/21/73/288212173.db2.gz OSQYSAAPQGPKEB-LURJTMIESA-N 0 2 302.208 0.297 20 0 DCADLN CC[C@@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@H](C)[S@]1=O ZINC000556840830 288398984 /nfs/dbraw/zinc/39/89/84/288398984.db2.gz STFJPLZAMMREMX-WOOQEGAKSA-N 0 2 308.407 0.754 20 0 DCADLN C[C@H]1CN(c2ccc(CNC(=O)CCC(=O)[O-])c[nH+]2)CCO1 ZINC000563114785 288632736 /nfs/dbraw/zinc/63/27/36/288632736.db2.gz NNNYAQDKFQCTME-NSHDSACASA-N 0 2 307.350 0.788 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@H](O)CC12CCC2 ZINC000565237309 288836621 /nfs/dbraw/zinc/83/66/21/288836621.db2.gz ZAOQDPNZZBTHFP-QMMMGPOBSA-N 0 2 302.318 0.763 20 0 DCADLN CONC(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C ZINC000298361411 293372402 /nfs/dbraw/zinc/37/24/02/293372402.db2.gz YPAZDGWPQWIAHB-OAHLLOKOSA-N 0 2 303.318 0.663 20 0 DCADLN CO[C@H](C)c1nsc(=NC[C@](C)(O)C[NH+]2CCOCC2)[n-]1 ZINC000337865855 294342441 /nfs/dbraw/zinc/34/24/41/294342441.db2.gz KVZQMPMATXYFQN-MFKMUULPSA-N 0 2 316.427 0.163 20 0 DCADLN CO[C@H](C)c1nc(=NC[C@](C)(O)C[NH+]2CCOCC2)s[n-]1 ZINC000337865855 294342446 /nfs/dbraw/zinc/34/24/46/294342446.db2.gz KVZQMPMATXYFQN-MFKMUULPSA-N 0 2 316.427 0.163 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCS1 ZINC000171570009 300205965 /nfs/dbraw/zinc/20/59/65/300205965.db2.gz OHIUNBLODGJXMR-ZETCQYMHSA-N 0 2 306.347 0.189 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@](C)(O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000271106019 301097006 /nfs/dbraw/zinc/09/70/06/301097006.db2.gz LJSAQMPRMFJBSQ-OAHLLOKOSA-N 0 2 318.333 0.731 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](C)[C@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000352766497 301124280 /nfs/dbraw/zinc/12/42/80/301124280.db2.gz XJJOKKYPLKNYTD-IONNQARKSA-N 0 2 304.306 0.197 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCOC[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000278396576 301148053 /nfs/dbraw/zinc/14/80/53/301148053.db2.gz DCXDXFHIQBVWIZ-BDAKNGLRSA-N 0 2 318.333 0.899 20 0 DCADLN NC(=O)CCCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000176274013 303243114 /nfs/dbraw/zinc/24/31/14/303243114.db2.gz JQSKSUJPMGKPIO-UHFFFAOYSA-N 0 2 306.297 0.712 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCNC(=O)c2ccc(F)cc2)[C@H](C[NH3+])C1 ZINC000580309879 333094000 /nfs/dbraw/zinc/09/40/00/333094000.db2.gz PQBDFZAWIMIMHI-CQSZACIVSA-N 0 2 322.384 0.047 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000577061461 341882498 /nfs/dbraw/zinc/88/24/98/341882498.db2.gz PTTQRMCHNQTWCG-TZMCWYRMSA-N 0 2 306.362 0.897 20 0 DCADLN C[C@@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@@H](C(N)=O)C1 ZINC000186975437 534015219 /nfs/dbraw/zinc/01/52/19/534015219.db2.gz DNQJRHHUGOGJPT-LDYMZIIASA-N 0 2 317.349 0.400 20 0 DCADLN CCOCCNC(=O)CN(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000131887285 519940120 /nfs/dbraw/zinc/94/01/20/519940120.db2.gz KTBZRFWEFGHFRD-UHFFFAOYSA-N 0 2 324.406 0.569 20 0 DCADLN COC[C@H](C)N(C)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266856266 522590869 /nfs/dbraw/zinc/59/08/69/522590869.db2.gz SVXBPTJXXGLQKZ-QMMMGPOBSA-N 0 2 306.322 0.851 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C[C@@H]2CCCCO2)s1 ZINC000267985216 525227810 /nfs/dbraw/zinc/22/78/10/525227810.db2.gz XPBKFHZOZMJZKU-ZETCQYMHSA-N 0 2 320.396 0.807 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2ccn(CCC(N)=O)n2)cc1 ZINC000117740300 525618395 /nfs/dbraw/zinc/61/83/95/525618395.db2.gz HQDPSYZTLAKINK-UHFFFAOYSA-N 0 2 308.363 0.868 20 0 DCADLN Cc1ccc(S(=O)(=O)NCc2c[nH+]cn2C)cc1C(=O)[O-] ZINC000357143757 546186997 /nfs/dbraw/zinc/18/69/97/546186997.db2.gz DDYGGENHLVWIOC-UHFFFAOYSA-N 0 2 309.347 0.905 20 0 DCADLN Cn1cccc1S(=O)(=O)Nc1cncc(N2CCCC2)n1 ZINC000414456793 546235514 /nfs/dbraw/zinc/23/55/14/546235514.db2.gz RBDSEJGXNFNGPB-UHFFFAOYSA-N 0 2 307.379 0.638 20 0 DCADLN NC(=O)[C@H]1CCCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666228281 546441278 /nfs/dbraw/zinc/44/12/78/546441278.db2.gz OFEMPNQSROKFDJ-LLVKDONJSA-N 0 2 316.317 0.510 20 0 DCADLN COC[C@H]1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCO1 ZINC000669168956 546931229 /nfs/dbraw/zinc/93/12/29/546931229.db2.gz RGYIAIUSPNACJI-GFCCVEGCSA-N 0 2 319.317 0.517 20 0 DCADLN CN(C)C(=O)C1(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000672843296 547414647 /nfs/dbraw/zinc/41/46/47/547414647.db2.gz JMHWZELXTIQSIL-UHFFFAOYSA-N 0 2 316.317 0.837 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H](O)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000674813690 547635716 /nfs/dbraw/zinc/63/57/16/547635716.db2.gz UPWRHHOZEQESHZ-SNVBAGLBSA-N 0 2 318.333 0.587 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)CCO1 ZINC000676214398 547744438 /nfs/dbraw/zinc/74/44/38/547744438.db2.gz RIFCPBALFHSIFF-AWEZNQCLSA-N 0 2 315.329 0.857 20 0 DCADLN C[C@@H](C(=O)NCc1ccc(F)cc1)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676232259 547748438 /nfs/dbraw/zinc/74/84/38/547748438.db2.gz ZASZTQAYHBJZFZ-GXFFZTMASA-N 0 2 310.325 0.616 20 0 DCADLN C[C@@H](C(=O)NCc1ccc(F)cc1)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676232259 547748441 /nfs/dbraw/zinc/74/84/41/547748441.db2.gz ZASZTQAYHBJZFZ-GXFFZTMASA-N 0 2 310.325 0.616 20 0 DCADLN CN(C[C@@H](O)C1CC1)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000677674034 547896269 /nfs/dbraw/zinc/89/62/69/547896269.db2.gz YSKRFRGZJPQBLE-GFCCVEGCSA-N 0 2 303.318 0.873 20 0 DCADLN Cn1nnnc1CCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000680453615 548142540 /nfs/dbraw/zinc/14/25/40/548142540.db2.gz MMOCUMDUXHSDON-UHFFFAOYSA-N 0 2 301.228 0.306 20 0 DCADLN COc1cc(N2CCN(C(=O)c3n[nH]c(C)c3O)CC2)ncn1 ZINC000680874669 548180221 /nfs/dbraw/zinc/18/02/21/548180221.db2.gz TZLMDEZYTVMGCF-UHFFFAOYSA-N 0 2 318.337 0.185 20 0 DCADLN O=C(Cn1cncn1)NCc1nnc(COc2ccccc2)[nH]1 ZINC000681201135 548215909 /nfs/dbraw/zinc/21/59/09/548215909.db2.gz CDOJFXMSPDMIDU-UHFFFAOYSA-N 0 2 313.321 0.292 20 0 DCADLN COCCOCCOCCN(C)C(=O)c1n[nH]c(C)c1O ZINC000682567939 548401570 /nfs/dbraw/zinc/40/15/70/548401570.db2.gz GQMACYJBXFMITD-UHFFFAOYSA-N 0 2 301.343 0.175 20 0 DCADLN CC(C)[C@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccnn1C ZINC000682684477 548418770 /nfs/dbraw/zinc/41/87/70/548418770.db2.gz HLDKLTZEHVTODC-VIFPVBQESA-N 0 2 324.410 0.892 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000739631076 596903719 /nfs/dbraw/zinc/90/37/19/596903719.db2.gz FLUBRJDXABGUBB-UHFFFAOYSA-N 0 2 315.370 0.082 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000739631076 596903721 /nfs/dbraw/zinc/90/37/21/596903721.db2.gz FLUBRJDXABGUBB-UHFFFAOYSA-N 0 2 315.370 0.082 20 0 DCADLN COc1ccc([C@@H]([NH2+]CC(=O)N2CCOCC2)C(=O)[O-])cc1 ZINC000737971924 599856803 /nfs/dbraw/zinc/85/68/03/599856803.db2.gz LTFRSJWNOWAVRL-CQSZACIVSA-N 0 2 308.334 0.269 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000263257705 597384742 /nfs/dbraw/zinc/38/47/42/597384742.db2.gz PVKKHIJEQVKQSM-VXGBXAGGSA-N 0 2 304.350 0.776 20 0 DCADLN C[C@H](c1cccc(F)c1)[NH+]1CCN(CC(=O)NCC(=O)[O-])CC1 ZINC000820199807 597799932 /nfs/dbraw/zinc/79/99/32/597799932.db2.gz JXLIDAPVRFIJQH-GFCCVEGCSA-N 0 2 323.368 0.705 20 0 DCADLN CC(=O)OCCCCn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC000735496708 598503630 /nfs/dbraw/zinc/50/36/30/598503630.db2.gz IGMZRIKTKCWFKU-UHFFFAOYSA-N 0 2 306.326 0.384 20 0 DCADLN C[C@@H](N(C)c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C(C)(C)C ZINC000736099305 598813579 /nfs/dbraw/zinc/81/35/79/598813579.db2.gz UQPJXQICUALPHZ-MRVPVSSYSA-N 0 2 321.385 0.135 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)o1 ZINC000037837770 599771756 /nfs/dbraw/zinc/77/17/56/599771756.db2.gz FBPINWHMMCFFAN-UHFFFAOYSA-N 0 2 314.363 0.694 20 0 DCADLN COc1ccccc1OCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000378696140 599778226 /nfs/dbraw/zinc/77/82/26/599778226.db2.gz GACDSGKRGGJFOY-NSHDSACASA-N 0 2 319.317 0.609 20 0 DCADLN COc1ccccc1OCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000378696140 599778229 /nfs/dbraw/zinc/77/82/29/599778229.db2.gz GACDSGKRGGJFOY-NSHDSACASA-N 0 2 319.317 0.609 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)NCCCCC(=O)[O-] ZINC000736736908 599888224 /nfs/dbraw/zinc/88/82/24/599888224.db2.gz IJNAGPARJMLPTL-UHFFFAOYSA-N 0 2 301.387 0.651 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)NCCCCC(=O)[O-] ZINC000736736908 599888221 /nfs/dbraw/zinc/88/82/21/599888221.db2.gz IJNAGPARJMLPTL-UHFFFAOYSA-N 0 2 301.387 0.651 20 0 DCADLN CC(C)C[C@@H](NC(=O)CN1CCc2c([nH+]cn2C)C1)C(=O)[O-] ZINC000736412988 599925185 /nfs/dbraw/zinc/92/51/85/599925185.db2.gz QRMNFMOOGQDRDM-LLVKDONJSA-N 0 2 308.382 0.394 20 0 DCADLN O=C([O-])C1CC[NH+](CC(=O)NNC(=O)c2cccs2)CC1 ZINC000035299993 600033595 /nfs/dbraw/zinc/03/35/95/600033595.db2.gz UWKGRWZGLDDQOV-UHFFFAOYSA-N 0 2 311.363 0.306 20 0 DCADLN O=C([O-])Cn1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000738642257 600120580 /nfs/dbraw/zinc/12/05/80/600120580.db2.gz ZSXRMCDOVCRUFF-LBPRGKRZSA-N 0 2 303.322 0.331 20 0 DCADLN O=C([O-])Cn1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000738642257 600120582 /nfs/dbraw/zinc/12/05/82/600120582.db2.gz ZSXRMCDOVCRUFF-LBPRGKRZSA-N 0 2 303.322 0.331 20 0 DCADLN O=C([O-])COc1cccc(CNC(=O)NCCn2cc[nH+]c2)c1 ZINC000739831814 600185225 /nfs/dbraw/zinc/18/52/25/600185225.db2.gz QISQITZJKOAWNE-UHFFFAOYSA-N 0 2 318.333 0.846 20 0 DCADLN O=C([O-])CNC(=O)Cc1ccc(NC(=O)Cn2cc[nH+]c2)cc1 ZINC000739814295 600657341 /nfs/dbraw/zinc/65/73/41/600657341.db2.gz UVDBSIXIRQJMPF-UHFFFAOYSA-N 0 2 316.317 0.265 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)c[nH]1 ZINC000761285956 600730726 /nfs/dbraw/zinc/73/07/26/600730726.db2.gz BJLCYJSLSMRUNI-UHFFFAOYSA-N 0 2 324.362 0.935 20 0 DCADLN O=C([O-])c1ccsc1NC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000833137993 600863866 /nfs/dbraw/zinc/86/38/66/600863866.db2.gz IHRIEZQOUGKWIW-UHFFFAOYSA-N 0 2 322.346 0.788 20 0 DCADLN CCc1ccc(N2CC[NH+]([C@H](C)C(=O)NCC(=O)[O-])CC2)cc1 ZINC000830253528 601039151 /nfs/dbraw/zinc/03/91/51/601039151.db2.gz DHVGKEPMQDMQQL-CYBMUJFWSA-N 0 2 319.405 0.960 20 0 DCADLN C[C@@H]1CN(C(=O)c2cccc(C(=O)[O-])n2)C[C@H]1[NH+]1CCOCC1 ZINC000736807226 601109246 /nfs/dbraw/zinc/10/92/46/601109246.db2.gz LWSIQMFUAFDHIW-BXUZGUMPSA-N 0 2 319.361 0.573 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(C(=O)[O-])nc2)C[C@H]1[NH+]1CCOCC1 ZINC000736805245 601109293 /nfs/dbraw/zinc/10/92/93/601109293.db2.gz BSJZKPLDTGQKSO-BXUZGUMPSA-N 0 2 319.361 0.573 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCCn2cc[nH+]c2)ccc1F ZINC000041063224 601207893 /nfs/dbraw/zinc/20/78/93/601207893.db2.gz FPVCHAFIIGIGRM-UHFFFAOYSA-N 0 2 313.310 0.699 20 0 DCADLN CN(CCC(=O)[O-])S(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000830735653 601259615 /nfs/dbraw/zinc/25/96/15/601259615.db2.gz VSOXVICABFVSGF-NSHDSACASA-N 0 2 316.383 0.171 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1ccnc(C(=O)[O-])c1)[NH+]1CCOCC1 ZINC000827710246 601284441 /nfs/dbraw/zinc/28/44/41/601284441.db2.gz PBGRFOFXWURQDK-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN CC(C)CNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000826891130 601438342 /nfs/dbraw/zinc/43/83/42/601438342.db2.gz IAHIHDRVPFIXSR-RNCFNFMXSA-N 0 2 303.334 0.355 20 0 DCADLN CC(C)CNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000826891130 601438343 /nfs/dbraw/zinc/43/83/43/601438343.db2.gz IAHIHDRVPFIXSR-RNCFNFMXSA-N 0 2 303.334 0.355 20 0 DCADLN CC[C@H]1C[N@H+](CC(=O)NCCC(=O)[O-])[C@@H](CC)CN1C(C)=O ZINC000829139943 601449473 /nfs/dbraw/zinc/44/94/73/601449473.db2.gz BASRHQSOBJPCRL-STQMWFEESA-N 0 2 313.398 0.299 20 0 DCADLN CC[C@H]1C[N@@H+](CC(=O)NCCC(=O)[O-])[C@@H](CC)CN1C(C)=O ZINC000829139943 601449475 /nfs/dbraw/zinc/44/94/75/601449475.db2.gz BASRHQSOBJPCRL-STQMWFEESA-N 0 2 313.398 0.299 20 0 DCADLN CC(=O)N1CCC(NC(=O)C[N@@H+]2CCCC[C@@H]2C(=O)[O-])CC1 ZINC000819731314 601578700 /nfs/dbraw/zinc/57/87/00/601578700.db2.gz IJLPQUDYPXTIOD-CYBMUJFWSA-N 0 2 311.382 0.053 20 0 DCADLN CC(=O)N1CCC(NC(=O)C[N@H+]2CCCC[C@@H]2C(=O)[O-])CC1 ZINC000819731314 601578701 /nfs/dbraw/zinc/57/87/01/601578701.db2.gz IJLPQUDYPXTIOD-CYBMUJFWSA-N 0 2 311.382 0.053 20 0 DCADLN COCc1ccc(CNC(=O)C[N@H+](CC(=O)[O-])C2CC2)cc1 ZINC000831813249 601580071 /nfs/dbraw/zinc/58/00/71/601580071.db2.gz VDRYGQWZPRJKMK-UHFFFAOYSA-N 0 2 306.362 0.998 20 0 DCADLN COCc1ccc(CNC(=O)C[N@@H+](CC(=O)[O-])C2CC2)cc1 ZINC000831813249 601580073 /nfs/dbraw/zinc/58/00/73/601580073.db2.gz VDRYGQWZPRJKMK-UHFFFAOYSA-N 0 2 306.362 0.998 20 0 DCADLN C[C@H](CS(=O)(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000827541817 602320677 /nfs/dbraw/zinc/32/06/77/602320677.db2.gz PLCBIBKLWRQJAX-QJPTWQEYSA-N 0 2 315.395 0.817 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)NCc2ccn3cc[nH+]c3c2)CCO1 ZINC000819314924 602401824 /nfs/dbraw/zinc/40/18/24/602401824.db2.gz WARPCGXOXQKGHC-LLVKDONJSA-N 0 2 304.306 0.329 20 0 DCADLN CN(CC(=O)N1CC[NH+](Cc2cccc(O)c2)CC1)C(=O)[O-] ZINC000737387546 602888143 /nfs/dbraw/zinc/88/81/43/602888143.db2.gz CONLPXOYSSVMJU-UHFFFAOYSA-N 0 2 307.350 0.646 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)ccc2F)[C@@H](CNC(=O)[O-])C1 ZINC000828506312 603520935 /nfs/dbraw/zinc/52/09/35/603520935.db2.gz FSGZGPOWFOLEGJ-JTQLQIEISA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)ccc2F)[C@@H](CNC(=O)[O-])C1 ZINC000828506312 603520938 /nfs/dbraw/zinc/52/09/38/603520938.db2.gz FSGZGPOWFOLEGJ-JTQLQIEISA-N 0 2 313.304 0.989 20 0 DCADLN Cc1cc(N2CC[C@@H]([NH+]3CCC(NC(=O)[O-])CC3)C2=O)n(C)n1 ZINC000830072325 603551534 /nfs/dbraw/zinc/55/15/34/603551534.db2.gz CVWFTKSZSDYDMN-GFCCVEGCSA-N 0 2 321.381 0.566 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000831271466 603559223 /nfs/dbraw/zinc/55/92/23/603559223.db2.gz CPPHLWBTTXLKJC-PWSUYJOCSA-N 0 2 308.338 0.229 20 0 DCADLN O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000831271466 603559227 /nfs/dbraw/zinc/55/92/27/603559227.db2.gz CPPHLWBTTXLKJC-PWSUYJOCSA-N 0 2 308.338 0.229 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1[C@@H](C)OC(C)(C)N1C(=O)[O-] ZINC000825054602 603661587 /nfs/dbraw/zinc/66/15/87/603661587.db2.gz GAZZQGXFHLCMSV-GMTAPVOTSA-N 0 2 310.354 0.891 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)C(C)(C)CNC(=O)[O-])CC1 ZINC000827399976 603668468 /nfs/dbraw/zinc/66/84/68/603668468.db2.gz VDHKDYZAVWIENK-UHFFFAOYSA-N 0 2 301.387 0.461 20 0 DCADLN C[C@H](CNC(=O)N1CCN(C(=O)[O-])[C@@H](C)C1)[NH+]1CCOCC1 ZINC000824929605 603692550 /nfs/dbraw/zinc/69/25/50/603692550.db2.gz FTMJJGITWBIUTC-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCN(C(=O)[O-])[C@H](C)C1 ZINC000824909368 603697082 /nfs/dbraw/zinc/69/70/82/603697082.db2.gz ZRQAFHLYOQCHIA-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN O=C([O-])N1CCN(S(=O)(=O)C2C[NH+](CC3CCC3)C2)CC1 ZINC000832041829 604100256 /nfs/dbraw/zinc/10/02/56/604100256.db2.gz CBKXZMWWIKQANK-UHFFFAOYSA-N 0 2 317.411 0.096 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC000832049327 604280251 /nfs/dbraw/zinc/28/02/51/604280251.db2.gz DUAHYQMYMQSNLD-GFCCVEGCSA-N 0 2 308.338 0.495 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2Cc3ccccc32)[C@H](CNC(=O)[O-])C1 ZINC000828496135 604401688 /nfs/dbraw/zinc/40/16/88/604401688.db2.gz UEURSMCBJGTGOR-OCCSQVGLSA-N 0 2 303.362 0.737 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2Cc3ccccc32)[C@H](CNC(=O)[O-])C1 ZINC000828496135 604401689 /nfs/dbraw/zinc/40/16/89/604401689.db2.gz UEURSMCBJGTGOR-OCCSQVGLSA-N 0 2 303.362 0.737 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2CCCc3n[nH]cc32)[C@@H](CNC(=O)[O-])C1 ZINC000828496498 604411616 /nfs/dbraw/zinc/41/16/16/604411616.db2.gz XMLDXQVTKGMPKH-QWRGUYRKSA-N 0 2 321.381 0.240 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2CCCc3n[nH]cc32)[C@@H](CNC(=O)[O-])C1 ZINC000828496498 604411617 /nfs/dbraw/zinc/41/16/17/604411617.db2.gz XMLDXQVTKGMPKH-QWRGUYRKSA-N 0 2 321.381 0.240 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[NH+]1CC(c2nc3ccccc3[nH]2)C1 ZINC000826001575 604486971 /nfs/dbraw/zinc/48/69/71/604486971.db2.gz WCTWGOCXXWCQEX-VIFPVBQESA-N 0 2 302.334 0.551 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[NH+]1CC(c2nc3ccccc3[nH]2)C1 ZINC000826001573 604487338 /nfs/dbraw/zinc/48/73/38/604487338.db2.gz WCTWGOCXXWCQEX-SECBINFHSA-N 0 2 302.334 0.551 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)[C@@H](C)C[N@@H+]1C ZINC000833631468 604553403 /nfs/dbraw/zinc/55/34/03/604553403.db2.gz OWNLKCVVTDKIJH-ZJUUUORDSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)[C@@H](C)C[N@H+]1C ZINC000833631468 604553406 /nfs/dbraw/zinc/55/34/06/604553406.db2.gz OWNLKCVVTDKIJH-ZJUUUORDSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000833632786 604554341 /nfs/dbraw/zinc/55/43/41/604554341.db2.gz ZQOCBGSHRLYLCK-DTWKUNHWSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000833632786 604554346 /nfs/dbraw/zinc/55/43/46/604554346.db2.gz ZQOCBGSHRLYLCK-DTWKUNHWSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CC[C@H](c2[nH+]ccn2C)C1)C(=O)[O-] ZINC000833519088 604567536 /nfs/dbraw/zinc/56/75/36/604567536.db2.gz MGANOLVBZDMGFT-UWVGGRQHSA-N 0 2 301.368 0.260 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC000831688702 604754032 /nfs/dbraw/zinc/75/40/32/604754032.db2.gz FMSSURRZUMWKGR-AWEZNQCLSA-N 0 2 319.361 0.497 20 0 DCADLN C[C@@H](C(=O)Nc1ncccn1)[N@@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000833412741 604919095 /nfs/dbraw/zinc/91/90/95/604919095.db2.gz XSMRSIBJBBSWOT-UWVGGRQHSA-N 0 2 310.379 0.696 20 0 DCADLN C[C@@H](C(=O)Nc1ncccn1)[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000833412741 604919099 /nfs/dbraw/zinc/91/90/99/604919099.db2.gz XSMRSIBJBBSWOT-UWVGGRQHSA-N 0 2 310.379 0.696 20 0 DCADLN CC(=O)NC[C@H]1C[N@H+](Cc2ccccc2CC(=O)[O-])CCO1 ZINC000833397322 605069642 /nfs/dbraw/zinc/06/96/42/605069642.db2.gz MFIGPGWIFQLLMB-HNNXBMFYSA-N 0 2 306.362 0.651 20 0 DCADLN CC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2CC(=O)[O-])CCO1 ZINC000833397322 605069652 /nfs/dbraw/zinc/06/96/52/605069652.db2.gz MFIGPGWIFQLLMB-HNNXBMFYSA-N 0 2 306.362 0.651 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCN2C(=O)CC[C@H]2C1 ZINC000833405137 605147064 /nfs/dbraw/zinc/14/70/64/605147064.db2.gz YBVPBLWMRCCECX-AAEUAGOBSA-N 0 2 323.393 0.005 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCN2C(=O)CC[C@H]2C1 ZINC000833405137 605147066 /nfs/dbraw/zinc/14/70/66/605147066.db2.gz YBVPBLWMRCCECX-AAEUAGOBSA-N 0 2 323.393 0.005 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CCCN(C(=O)[O-])CC2)C1 ZINC000833958107 605318850 /nfs/dbraw/zinc/31/88/50/605318850.db2.gz ANECPYCOQTYNGR-GFCCVEGCSA-N 0 2 321.381 0.379 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@@H+]2CCO[C@@H](c3cnn(C)c3)C2)O1 ZINC000833580999 605350631 /nfs/dbraw/zinc/35/06/31/605350631.db2.gz UUXINIOVKSFLDT-GXTWGEPZSA-N 0 2 307.350 0.941 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@H+]2CCO[C@@H](c3cnn(C)c3)C2)O1 ZINC000833580999 605350635 /nfs/dbraw/zinc/35/06/35/605350635.db2.gz UUXINIOVKSFLDT-GXTWGEPZSA-N 0 2 307.350 0.941 20 0 DCADLN O=C([O-])Nc1ccccc1C(=O)NC[C@H](O)C[NH+]1CCOCC1 ZINC000833289122 605406456 /nfs/dbraw/zinc/40/64/56/605406456.db2.gz INZGTVWQCQKKCR-NSHDSACASA-N 0 2 323.349 0.199 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2ccccc2F)[C@@H](CNC(=O)[O-])C1 ZINC000828507040 605451925 /nfs/dbraw/zinc/45/19/25/605451925.db2.gz MGPIEKSDWFMNSZ-LBPRGKRZSA-N 0 2 324.356 0.919 20 0 DCADLN C[N@H+]1CCN(C(=O)NCc2ccccc2F)[C@@H](CNC(=O)[O-])C1 ZINC000828507040 605451929 /nfs/dbraw/zinc/45/19/29/605451929.db2.gz MGPIEKSDWFMNSZ-LBPRGKRZSA-N 0 2 324.356 0.919 20 0 DCADLN CC(C)(C(=O)N1CCC[C@@H](CO)C1)[NH+]1CCN(C(=O)[O-])CC1 ZINC000823738593 605620444 /nfs/dbraw/zinc/62/04/44/605620444.db2.gz ZIXCFCWYZQBUNU-GFCCVEGCSA-N 0 2 313.398 0.292 20 0 DCADLN O=C([O-])Nc1cc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)ccc1F ZINC000834253159 605650231 /nfs/dbraw/zinc/65/02/31/605650231.db2.gz JGSZLVHCRGCGPJ-LLVKDONJSA-N 0 2 322.340 0.645 20 0 DCADLN C[C@H](CNC(=O)CO[C@H]1CCCN(C(=O)[O-])C1)Cn1cc[nH+]c1 ZINC000824922367 605734723 /nfs/dbraw/zinc/73/47/23/605734723.db2.gz OBPNNILJTLMBSZ-OLZOCXBDSA-N 0 2 324.381 0.794 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)NCC1CN(C(=O)[O-])C1)C2 ZINC000833915255 605769396 /nfs/dbraw/zinc/76/93/96/605769396.db2.gz LJNCTHDSQAXMKE-UHFFFAOYSA-N 0 2 307.354 0.635 20 0 DCADLN O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2C(=O)NCCn1cc[nH+]c1 ZINC000834092572 605942058 /nfs/dbraw/zinc/94/20/58/605942058.db2.gz FUGUOWJGXBAZHP-NEPJUHHUSA-N 0 2 307.354 0.809 20 0 DCADLN O=C([O-])NC1CC[NH+](CC(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000834189416 605960178 /nfs/dbraw/zinc/96/01/78/605960178.db2.gz LHMZJNFQTJYKNH-LLVKDONJSA-N 0 2 321.381 0.115 20 0 DCADLN O=C([O-])NCCCNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000834238213 605964108 /nfs/dbraw/zinc/96/41/08/605964108.db2.gz QAWOWBWMARIMQM-NSHDSACASA-N 0 2 307.354 0.617 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)CCOC2CN(C(=O)[O-])C2)C1 ZINC000833955326 606091980 /nfs/dbraw/zinc/09/19/80/606091980.db2.gz QRBJBQGTXJAIPU-NSHDSACASA-N 0 2 322.365 0.505 20 0 DCADLN O=C([O-])NCC[NH+]1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC000834239793 606095747 /nfs/dbraw/zinc/09/57/47/606095747.db2.gz PJXOSCVEUDBBEK-UHFFFAOYSA-N 0 2 324.356 0.649 20 0 DCADLN CC[C@@H]1CCC[C@@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820980125 606807334 /nfs/dbraw/zinc/80/73/34/606807334.db2.gz KSGYCPHYPUVSCU-BDAKNGLRSA-N 0 2 319.369 0.255 20 0 DCADLN CCCC[C@@H](CC)Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC000825261098 608096054 /nfs/dbraw/zinc/09/60/54/608096054.db2.gz UAJACXZDXLVSNR-SNVBAGLBSA-N 0 2 306.370 0.944 20 0 DCADLN CN(C(=O)c1cn[nH]c1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646251 665405810 /nfs/dbraw/zinc/40/58/10/665405810.db2.gz BFFZGZOPGMOWRI-RKDXNWHRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1cn[nH]c1)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938646251 665405811 /nfs/dbraw/zinc/40/58/11/665405811.db2.gz BFFZGZOPGMOWRI-RKDXNWHRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1cn[nH]n1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938647294 665406181 /nfs/dbraw/zinc/40/61/81/665406181.db2.gz UXLALTSZWGSRHK-HTRCEHHLSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)c1cn[nH]n1)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938647294 665406183 /nfs/dbraw/zinc/40/61/83/665406183.db2.gz UXLALTSZWGSRHK-HTRCEHHLSA-N 0 2 323.250 0.378 20 0 DCADLN Cn1c[nH+]cc1CC(=O)NC[C@@H](Oc1ccc(F)cc1)C(=O)[O-] ZINC000909389183 660526412 /nfs/dbraw/zinc/52/64/12/660526412.db2.gz PRNCPMGEVCLXPZ-CYBMUJFWSA-N 0 2 321.308 0.750 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cc[nH]c(=O)c1 ZINC001027822836 660792161 /nfs/dbraw/zinc/79/21/61/660792161.db2.gz IPOBJCJUPHIZAC-SNVBAGLBSA-N 0 2 318.337 0.005 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cc[nH]c(=O)c1 ZINC001027822836 660792163 /nfs/dbraw/zinc/79/21/63/660792163.db2.gz IPOBJCJUPHIZAC-SNVBAGLBSA-N 0 2 318.337 0.005 20 0 DCADLN C[C@@H](O)C[N@@H+]1CCCN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC000981210275 661103736 /nfs/dbraw/zinc/10/37/36/661103736.db2.gz PUNXWOVHRGGAPZ-CQSZACIVSA-N 0 2 320.437 0.677 20 0 DCADLN O=C(N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1(C2CC2)CC1 ZINC000981404559 661147288 /nfs/dbraw/zinc/14/72/88/661147288.db2.gz ISUQEGQNXCOVAI-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(Cl)[nH]1 ZINC001028120659 661214028 /nfs/dbraw/zinc/21/40/28/661214028.db2.gz SNWZOHCURPAQPT-QMMMGPOBSA-N 0 2 324.772 0.886 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccc(Cl)[nH]1 ZINC001028120659 661214030 /nfs/dbraw/zinc/21/40/30/661214030.db2.gz SNWZOHCURPAQPT-QMMMGPOBSA-N 0 2 324.772 0.886 20 0 DCADLN C[C@@H]1CCC[NH+]1CC(=O)N1CCC[N@H+](Cc2cnn(C)c2)CC1 ZINC000981952607 661253063 /nfs/dbraw/zinc/25/30/63/661253063.db2.gz AGMZWYBNWRXSJR-OAHLLOKOSA-N 0 2 319.453 0.939 20 0 DCADLN C[C@@H](C(=O)N(C)C)[N@@H+]1CCCN(C(=O)C[NH+]2CC[C@@H](C)C2)CC1 ZINC000981957212 661255738 /nfs/dbraw/zinc/25/57/38/661255738.db2.gz GHNQKWAMVYVWMQ-CABCVRRESA-N 0 2 324.469 0.339 20 0 DCADLN O=C([C@H]1CC12CCC2)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982043603 661283732 /nfs/dbraw/zinc/28/37/32/661283732.db2.gz UMSRIUQQJKYHGJ-LLVKDONJSA-N 0 2 305.382 0.735 20 0 DCADLN Cc1nc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC000970640377 657728647 /nfs/dbraw/zinc/72/86/47/657728647.db2.gz OBCGCFAJSKINMI-SSDOTTSWSA-N 0 2 320.353 0.365 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970681164 657781119 /nfs/dbraw/zinc/78/11/19/657781119.db2.gz IPQILRPBCMAJIL-QMMMGPOBSA-N 0 2 306.326 0.057 20 0 DCADLN Cc1ccncc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969434476 657869037 /nfs/dbraw/zinc/86/90/37/657869037.db2.gz BPAZMKQYLWEGFP-JTQLQIEISA-N 0 2 316.365 0.464 20 0 DCADLN CC(C)(C)c1nnc(CN2CC(CNC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001031805855 665525499 /nfs/dbraw/zinc/52/54/99/665525499.db2.gz WJYCZVMZOKUCQL-UHFFFAOYSA-N 0 2 318.385 0.082 20 0 DCADLN C[C@@H](NC(=O)C1CC(F)(F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969468905 657916396 /nfs/dbraw/zinc/91/63/96/657916396.db2.gz CUJIZASXLMQVIS-SSDOTTSWSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969583486 658006270 /nfs/dbraw/zinc/00/62/70/658006270.db2.gz JYJUVVIMCQHPTB-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN NC(=O)COc1ccccc1C(=O)NNC(=O)c1ccccn1 ZINC000053066996 658137254 /nfs/dbraw/zinc/13/72/54/658137254.db2.gz MAPRAEDNANXUQS-UHFFFAOYSA-N 0 2 314.301 0.021 20 0 DCADLN Cc1noc(C[NH2+][C@@H]2CCN(C(=O)[C@@H](C(C)C)[NH+](C)C)C2)n1 ZINC000969846258 658203628 /nfs/dbraw/zinc/20/36/28/658203628.db2.gz QRYHNBNXGYPKPQ-TZMCWYRMSA-N 0 2 309.414 0.655 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H]([NH2+]Cc3cnon3)C2)c1[O-] ZINC000998955890 665557055 /nfs/dbraw/zinc/55/70/55/665557055.db2.gz SJZBJISRJNXWAY-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@H](NC(=O)c1cnn[n-]1)C1C[NH+](Cc2ncc(C3CC3)o2)C1 ZINC000970024591 658365622 /nfs/dbraw/zinc/36/56/22/658365622.db2.gz NPIBYJMHGDJBEU-VIFPVBQESA-N 0 2 316.365 0.920 20 0 DCADLN CCc1noc([C@@H](C)[NH+]2CC([C@H](C)NC(=O)c3cnn[n-]3)C2)n1 ZINC000970035004 658384949 /nfs/dbraw/zinc/38/49/49/658384949.db2.gz LFCZMQUDFHFJJJ-DTWKUNHWSA-N 0 2 319.369 0.561 20 0 DCADLN Cc1cncc(CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000949494968 658395661 /nfs/dbraw/zinc/39/56/61/658395661.db2.gz YQWHXKDJQWUSGD-UHFFFAOYSA-N 0 2 316.365 0.101 20 0 DCADLN Cc1ncc(C[N@@H+](C)[C@@H]2CCN(C(=O)CCc3c[nH]nn3)C2)o1 ZINC000972369637 658632200 /nfs/dbraw/zinc/63/22/00/658632200.db2.gz HMKDNZUFZYUCRD-CYBMUJFWSA-N 0 2 318.381 0.767 20 0 DCADLN O=C(NCCCn1cc[nH+]c1)N1CC[C@@H]2[C@@H]1CCC[N@H+]2CCO ZINC000891427152 658728631 /nfs/dbraw/zinc/72/86/31/658728631.db2.gz RELQTGKEOLSIHD-CABCVRRESA-N 0 2 321.425 0.514 20 0 DCADLN CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CN(C)C[C@@H](C)O3)C2)C1 ZINC000972431623 658759714 /nfs/dbraw/zinc/75/97/14/658759714.db2.gz STDOFOQQBQQFRO-IIAWOOMASA-N 0 2 311.426 0.029 20 0 DCADLN COC(=O)[C@@](C)(Cn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC000890945332 658872704 /nfs/dbraw/zinc/87/27/04/658872704.db2.gz QSESQFKKOYNYKD-OIBJUYFYSA-N 0 2 311.235 0.831 20 0 DCADLN COC(=O)[C@@](C)(Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC000890945332 658872702 /nfs/dbraw/zinc/87/27/02/658872702.db2.gz QSESQFKKOYNYKD-OIBJUYFYSA-N 0 2 311.235 0.831 20 0 DCADLN O=C(Cc1cnoc1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011240270 658892352 /nfs/dbraw/zinc/89/23/52/658892352.db2.gz PIZYYMJFQBOBJB-SNVBAGLBSA-N 0 2 323.246 0.788 20 0 DCADLN O=C(Cc1cnoc1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011240270 658892357 /nfs/dbraw/zinc/89/23/57/658892357.db2.gz PIZYYMJFQBOBJB-SNVBAGLBSA-N 0 2 323.246 0.788 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cocn1 ZINC000939716558 665628441 /nfs/dbraw/zinc/62/84/41/665628441.db2.gz USAIEEDSWYENND-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cocn1 ZINC000939716558 665628442 /nfs/dbraw/zinc/62/84/42/665628442.db2.gz USAIEEDSWYENND-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(Cc1cncs1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007539513 659164929 /nfs/dbraw/zinc/16/49/29/659164929.db2.gz ACUFRTIMEGDXGY-SECBINFHSA-N 0 2 322.394 0.290 20 0 DCADLN C[C@@H]1CCCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949930937 659244286 /nfs/dbraw/zinc/24/42/86/659244286.db2.gz UCZJQZJODJQJSK-NEPJUHHUSA-N 0 2 307.398 0.981 20 0 DCADLN C[C@@H](c1ncccn1)[N@@H+]1C[C@@H](NC(=O)c2cnn[n-]2)C(C)(C)C1 ZINC000974782584 659664964 /nfs/dbraw/zinc/66/49/64/659664964.db2.gz GEHFHBBYERPLMD-CMPLNLGQSA-N 0 2 315.381 0.796 20 0 DCADLN C[C@@H](c1ncccn1)[N@H+]1C[C@@H](NC(=O)c2cnn[n-]2)C(C)(C)C1 ZINC000974782584 659664971 /nfs/dbraw/zinc/66/49/71/659664971.db2.gz GEHFHBBYERPLMD-CMPLNLGQSA-N 0 2 315.381 0.796 20 0 DCADLN C[C@H](c1ncccn1)[N@@H+]1C[C@@H](NC(=O)c2cnn[n-]2)C(C)(C)C1 ZINC000974782598 659665446 /nfs/dbraw/zinc/66/54/46/659665446.db2.gz GEHFHBBYERPLMD-ZYHUDNBSSA-N 0 2 315.381 0.796 20 0 DCADLN C[C@H](c1ncccn1)[N@H+]1C[C@@H](NC(=O)c2cnn[n-]2)C(C)(C)C1 ZINC000974782598 659665448 /nfs/dbraw/zinc/66/54/48/659665448.db2.gz GEHFHBBYERPLMD-ZYHUDNBSSA-N 0 2 315.381 0.796 20 0 DCADLN Cc1cc(C[N@@H+]2C[C@@H](NC(=O)c3cnn[n-]3)C(C)(C)C2)no1 ZINC000974793216 659668434 /nfs/dbraw/zinc/66/84/34/659668434.db2.gz LRMGUFMRRCBCPM-GFCCVEGCSA-N 0 2 304.354 0.742 20 0 DCADLN Cc1cc(C[N@H+]2C[C@@H](NC(=O)c3cnn[n-]3)C(C)(C)C2)no1 ZINC000974793216 659668437 /nfs/dbraw/zinc/66/84/37/659668437.db2.gz LRMGUFMRRCBCPM-GFCCVEGCSA-N 0 2 304.354 0.742 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)[C@@]1(C)CCOC1 ZINC000977398252 659677403 /nfs/dbraw/zinc/67/74/03/659677403.db2.gz FGTWYEFLJDGZMA-BONVTDFDSA-N 0 2 323.397 0.264 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC000977408337 659683650 /nfs/dbraw/zinc/68/36/50/659683650.db2.gz IUKIGJXRPJKEQG-IUCAKERBSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC000977408337 659683653 /nfs/dbraw/zinc/68/36/53/659683653.db2.gz IUKIGJXRPJKEQG-IUCAKERBSA-N 0 2 322.262 0.983 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1cnoc1 ZINC000974935691 659736804 /nfs/dbraw/zinc/73/68/04/659736804.db2.gz ODBZOMXHFCZPPB-JTQLQIEISA-N 0 2 320.353 0.068 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1cnoc1 ZINC000974935691 659736810 /nfs/dbraw/zinc/73/68/10/659736810.db2.gz ODBZOMXHFCZPPB-JTQLQIEISA-N 0 2 320.353 0.068 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000940332275 665745097 /nfs/dbraw/zinc/74/50/97/665745097.db2.gz VESBOYBLLMFLCE-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000940332275 665745098 /nfs/dbraw/zinc/74/50/98/665745098.db2.gz VESBOYBLLMFLCE-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN COCCC(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000738062621 661997830 /nfs/dbraw/zinc/99/78/30/661997830.db2.gz OOLWZKDYXNABHM-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN O=C(C[C@@H]1CCCO1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029223836 662055755 /nfs/dbraw/zinc/05/57/55/662055755.db2.gz MYHQISKNHHYZTC-TUAOUCFPSA-N 0 2 321.381 0.255 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)nc1 ZINC001038103391 662187590 /nfs/dbraw/zinc/18/75/90/662187590.db2.gz KXVWNHMINQDQJY-SECBINFHSA-N 0 2 306.301 0.049 20 0 DCADLN C[C@@H]1C[C@H]1CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029456515 662217238 /nfs/dbraw/zinc/21/72/38/662217238.db2.gz VACBKOIYVBVFMN-KXNHARMFSA-N 0 2 305.382 0.732 20 0 DCADLN COc1cc(C[NH2+]C[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)on1 ZINC000984858633 662323640 /nfs/dbraw/zinc/32/36/40/662323640.db2.gz KQPAKAGVSXDVEY-XYPYZODXSA-N 0 2 319.365 0.633 20 0 DCADLN C[C@@](O)(CNC(=O)c1ccc(Cn2cc[nH+]c2)cc1)C(=O)[O-] ZINC000262463411 662396016 /nfs/dbraw/zinc/39/60/16/662396016.db2.gz GBJJZVDDNQXJCA-OAHLLOKOSA-N 0 2 303.318 0.497 20 0 DCADLN CC[C@H](C(=O)NCCOCC(=O)[O-])[N@@H+]1CCO[C@@H](CC)C1 ZINC000909636632 662489051 /nfs/dbraw/zinc/48/90/51/662489051.db2.gz JATAAIIAULUZFI-NWDGAFQWSA-N 0 2 302.371 0.093 20 0 DCADLN CC[C@H](C(=O)NCCOCC(=O)[O-])[N@H+]1CCO[C@@H](CC)C1 ZINC000909636632 662489052 /nfs/dbraw/zinc/48/90/52/662489052.db2.gz JATAAIIAULUZFI-NWDGAFQWSA-N 0 2 302.371 0.093 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2ncn[nH]2)CC[N@@H+]1Cc1ccnn1C ZINC000947819240 662492352 /nfs/dbraw/zinc/49/23/52/662492352.db2.gz DEGULAMMDPPXJC-MNOVXSKESA-N 0 2 303.370 0.321 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2ncn[nH]2)CC[N@H+]1Cc1ccnn1C ZINC000947819240 662492354 /nfs/dbraw/zinc/49/23/54/662492354.db2.gz DEGULAMMDPPXJC-MNOVXSKESA-N 0 2 303.370 0.321 20 0 DCADLN COC[C@@H](NC(=O)Cc1c[nH+]cn1Cc1ccccc1)C(=O)[O-] ZINC000909659329 662533763 /nfs/dbraw/zinc/53/37/63/662533763.db2.gz OLKDIICHSVVNLD-CQSZACIVSA-N 0 2 317.345 0.690 20 0 DCADLN Cn1ncc(C[N@@H+](C)C[C@H]2CCN(C(=O)C[NH+]3CCCC3)C2)n1 ZINC001029904624 662554434 /nfs/dbraw/zinc/55/44/34/662554434.db2.gz ZJGOAUFXLGJHGQ-CQSZACIVSA-N 0 2 320.441 0.191 20 0 DCADLN Cc1ncoc1C[NH2+][C@@H]1CCN(C(=O)CCc2cnn[nH]2)[C@@H]1C ZINC000987020435 662635307 /nfs/dbraw/zinc/63/53/07/662635307.db2.gz XBCRXTHVYCQOJP-DGCLKSJQSA-N 0 2 318.381 0.813 20 0 DCADLN Cc1ncc(C(=O)N2C[C@H]([NH2+]Cc3ccn(C)n3)C[C@@H]2C)[nH]1 ZINC000988364603 662758281 /nfs/dbraw/zinc/75/82/81/662758281.db2.gz GQUJDIWYIQFLSC-GXFFZTMASA-N 0 2 302.382 0.844 20 0 DCADLN C[C@@H]1C[C@@H]([NH2+]Cc2nc(=O)n(C)[nH]2)CN1C(=O)/C=C\C1CC1 ZINC000988465859 662788245 /nfs/dbraw/zinc/78/82/45/662788245.db2.gz YWJKUOPIDJHGTL-FNSKHJIESA-N 0 2 305.382 0.154 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@H]([NH2+]Cc3ccon3)C[C@H]2C)c1[O-] ZINC000988631832 662807899 /nfs/dbraw/zinc/80/78/99/662807899.db2.gz HUSQOSSOJOKFQS-LDYMZIIASA-N 0 2 305.338 0.805 20 0 DCADLN O=C(N[C@]12CCC[C@@H]1N(Cc1n[nH]c(=O)[nH]1)CC2)C(F)F ZINC000989885074 662985903 /nfs/dbraw/zinc/98/59/03/662985903.db2.gz YQMKWNHHCQBBGC-MADCSZMMSA-N 0 2 301.297 0.389 20 0 DCADLN O=C(N[C@@]12CCC[C@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cocn1 ZINC000990044655 662998477 /nfs/dbraw/zinc/99/84/77/662998477.db2.gz YHMSHINMZAXJEK-QMTHXVAHSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cocn1 ZINC000990044655 662998478 /nfs/dbraw/zinc/99/84/78/662998478.db2.gz YHMSHINMZAXJEK-QMTHXVAHSA-N 0 2 318.337 0.425 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n(C)n1 ZINC000990382618 663041002 /nfs/dbraw/zinc/04/10/02/663041002.db2.gz BJPACPYHERPVJP-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n(C)n1 ZINC000990382618 663041003 /nfs/dbraw/zinc/04/10/03/663041003.db2.gz BJPACPYHERPVJP-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1[nH]nc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)c1C ZINC000990551641 663065486 /nfs/dbraw/zinc/06/54/86/663065486.db2.gz AMGGRPMMMOWYQT-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN Cc1[nH]nc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)c1C ZINC000990551641 663065487 /nfs/dbraw/zinc/06/54/87/663065487.db2.gz AMGGRPMMMOWYQT-SECBINFHSA-N 0 2 322.262 0.868 20 0 DCADLN CCc1ocnc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038119556 663089102 /nfs/dbraw/zinc/08/91/02/663089102.db2.gz MVFPGYAWYQGXCG-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)C(F)(F)C2CCOCC2)C(=O)N1C ZINC000899030695 663119402 /nfs/dbraw/zinc/11/94/02/663119402.db2.gz BIWPJUDXDIWCIG-SSDOTTSWSA-N 0 2 305.281 0.362 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000901572579 663353089 /nfs/dbraw/zinc/35/30/89/663353089.db2.gz OXEISTUJYANHFR-RKDXNWHRSA-N 0 2 321.255 0.689 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3CCN(C(=O)C(=O)[O-])CC3)ccn12 ZINC000902772071 663432878 /nfs/dbraw/zinc/43/28/78/663432878.db2.gz OSDMURJMZWPNFD-UHFFFAOYSA-N 0 2 316.317 0.012 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2c(C)cnn2C)n(C)c1 ZINC000903642550 663471980 /nfs/dbraw/zinc/47/19/80/663471980.db2.gz QGJMMRDHMMQFFW-UHFFFAOYSA-N 0 2 312.351 0.654 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccnn2[C@@H]2CCOC2)cnn1C ZINC000903667130 663473329 /nfs/dbraw/zinc/47/33/29/663473329.db2.gz XTGBTSYKNJRQFD-SNVBAGLBSA-N 0 2 311.367 0.687 20 0 DCADLN CC(F)(F)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)Cc1c[nH+]c[nH]1 ZINC001001036613 665983819 /nfs/dbraw/zinc/98/38/19/665983819.db2.gz UPTZROUQGLYXAE-MXWKQRLJSA-N 0 2 312.320 0.856 20 0 DCADLN COc1cc(CO)ccc1C(=O)NCCc1n[nH]c(=S)o1 ZINC000907462689 663699077 /nfs/dbraw/zinc/69/90/77/663699077.db2.gz WRWWJSUPGYNZGR-UHFFFAOYSA-N 0 2 309.347 0.832 20 0 DCADLN Cn1nc2c(c1C(=O)N1CCC(c3n[nH]c(=O)[nH]3)CC1)CCC2 ZINC000907888334 663722545 /nfs/dbraw/zinc/72/25/45/663722545.db2.gz WIPMORXZIZZWNO-UHFFFAOYSA-N 0 2 316.365 0.752 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C1(C(=O)[O-])CCOCC1 ZINC000907913807 663723748 /nfs/dbraw/zinc/72/37/48/663723748.db2.gz GZJKCHJMAHPZJF-RYUDHWBXSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000908986115 663777811 /nfs/dbraw/zinc/77/78/11/663777811.db2.gz CYVCNUSMIUDUPP-CHWSQXEVSA-N 0 2 321.377 0.928 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@](C)(CC(=O)[O-])c2cccc(F)c2)C1 ZINC000909681856 663793052 /nfs/dbraw/zinc/79/30/52/663793052.db2.gz MNCPKYITMMMEKD-XJKSGUPXSA-N 0 2 324.352 0.962 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N[C@](C)(CC(=O)[O-])c2cccc(F)c2)C1 ZINC000909681856 663793053 /nfs/dbraw/zinc/79/30/53/663793053.db2.gz MNCPKYITMMMEKD-XJKSGUPXSA-N 0 2 324.352 0.962 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)CCc2c[nH+]cn2C)C1 ZINC000909716132 663795490 /nfs/dbraw/zinc/79/54/90/663795490.db2.gz UBIBTEMAFJMDNU-OAHLLOKOSA-N 0 2 309.366 0.693 20 0 DCADLN COC1(C[C@H](NC(=O)[C@@H]2CCn3cc[nH+]c3C2)C(=O)[O-])CCC1 ZINC000909896702 663818546 /nfs/dbraw/zinc/81/85/46/663818546.db2.gz WVGHOUIHEWVTIR-NEPJUHHUSA-N 0 2 321.377 0.974 20 0 DCADLN O=C([O-])CC1(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)CCOCC1 ZINC000910121236 663847648 /nfs/dbraw/zinc/84/76/48/663847648.db2.gz REJWODIWNHINLH-GFCCVEGCSA-N 0 2 321.377 0.833 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCSC[C@H](C(=O)[O-])C1 ZINC000910146053 663850212 /nfs/dbraw/zinc/85/02/12/663850212.db2.gz CFFRQMAQYNUBOE-GMTAPVOTSA-N 0 2 309.391 0.800 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910407400 663883422 /nfs/dbraw/zinc/88/34/22/663883422.db2.gz ZBOIIORPYIEPTO-SRVKXCTJSA-N 0 2 306.366 0.595 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC[C@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910407400 663883424 /nfs/dbraw/zinc/88/34/24/663883424.db2.gz ZBOIIORPYIEPTO-SRVKXCTJSA-N 0 2 306.366 0.595 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@@H]3CCC[C@H]3[C@@H]2C(=O)[O-])nc[nH+]1 ZINC000910453727 663885612 /nfs/dbraw/zinc/88/56/12/663885612.db2.gz LYURULKIRNLTOG-KZWBYHQPSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)CNc1cc(N2C[C@@H]3CCC[C@H]3[C@@H]2C(=O)[O-])[nH+]cn1 ZINC000910453727 663885613 /nfs/dbraw/zinc/88/56/13/663885613.db2.gz LYURULKIRNLTOG-KZWBYHQPSA-N 0 2 306.366 0.959 20 0 DCADLN O=C([O-])[C@@H](CC1CCOCC1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000910456857 663886213 /nfs/dbraw/zinc/88/62/13/663886213.db2.gz ZRTNZANHBXCCKC-CHWSQXEVSA-N 0 2 321.377 0.832 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC4CC3(C(=O)[O-])C4)nc[nH+]2)C1 ZINC000910463088 663887250 /nfs/dbraw/zinc/88/72/50/663887250.db2.gz MXOQQJYUKXUVRV-IRDPIATMSA-N 0 2 318.377 0.737 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N3CC4CC3(C(=O)[O-])C4)[nH+]cn2)C1 ZINC000910463088 663887254 /nfs/dbraw/zinc/88/72/54/663887254.db2.gz MXOQQJYUKXUVRV-IRDPIATMSA-N 0 2 318.377 0.737 20 0 DCADLN O=C([O-])[C@@]1(O)CCN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000910526846 663899453 /nfs/dbraw/zinc/89/94/53/663899453.db2.gz LPRIGCXLQIHSJM-MRXNPFEDSA-N 0 2 315.329 0.463 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@H](Cc1ccc(F)cc1)C(=O)[O-] ZINC000910904844 663953605 /nfs/dbraw/zinc/95/36/05/663953605.db2.gz IJUQYOYSDJVROM-CYBMUJFWSA-N 0 2 305.309 0.914 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)[C@@H](C)C[N@@H+]1CCO ZINC000910931295 663954097 /nfs/dbraw/zinc/95/40/97/663954097.db2.gz ODZYPVTVWOJIHZ-MNOVXSKESA-N 0 2 303.366 0.485 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC000911199260 664003332 /nfs/dbraw/zinc/00/33/32/664003332.db2.gz TXVLKLNLBNAYHR-QUCGXOGASA-N 0 2 308.334 0.828 20 0 DCADLN CC(C)[C@H](O)C(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911307514 664024624 /nfs/dbraw/zinc/02/46/24/664024624.db2.gz FJTOZLMUHIESOP-AWEZNQCLSA-N 0 2 321.377 0.835 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2c3ccccc3C[C@H]2CO)S1 ZINC000912315657 664161620 /nfs/dbraw/zinc/16/16/20/664161620.db2.gz BZGZMXJOODRSSW-ONGXEEELSA-N 0 2 305.359 0.493 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cn(C)nc2C2CCC2)C(=O)N1C ZINC000912818477 664232178 /nfs/dbraw/zinc/23/21/78/664232178.db2.gz DVNFQKPTLRWHEM-QMMMGPOBSA-N 0 2 305.338 0.615 20 0 DCADLN O=C(Nc1nccc(Br)c1O)[C@@H]1CCNC1=O ZINC000913025648 664255920 /nfs/dbraw/zinc/25/59/20/664255920.db2.gz WHEJVFWRJHXLTE-RXMQYKEDSA-N 0 2 300.112 0.624 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)c(F)c([O-])c2F)C[C@@H]1CO ZINC000913546337 664340006 /nfs/dbraw/zinc/34/00/06/664340006.db2.gz NFGXYUVVOCJHBQ-SSDOTTSWSA-N 0 2 304.268 0.558 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)c(F)c([O-])c2F)C[C@@H]1CO ZINC000913546337 664340010 /nfs/dbraw/zinc/34/00/10/664340010.db2.gz NFGXYUVVOCJHBQ-SSDOTTSWSA-N 0 2 304.268 0.558 20 0 DCADLN O=C(C[C@@H]1CCC(F)(F)C1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030413291 664535530 /nfs/dbraw/zinc/53/55/30/664535530.db2.gz QDDSNTMRWCGZHA-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1noc(C(C)C)c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030475382 664558131 /nfs/dbraw/zinc/55/81/31/664558131.db2.gz YAKWJBRQTGWATL-UHFFFAOYSA-N 0 2 320.353 0.544 20 0 DCADLN COC1CCC(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001030871468 664691746 /nfs/dbraw/zinc/69/17/46/664691746.db2.gz DEUCWVLAHOTSQU-UHFFFAOYSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2[nH]ccc2c1 ZINC001030882033 664693937 /nfs/dbraw/zinc/69/39/37/664693937.db2.gz JTFJNEOBZHFAHC-UHFFFAOYSA-N 0 2 312.333 0.606 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+]1CC[C@]2(NC(=O)C[NH+]3CCCC3)CCC[C@H]12 ZINC000992483939 664725611 /nfs/dbraw/zinc/72/56/11/664725611.db2.gz GVPCAZFJZYMOAT-GRDNDAEWSA-N 0 2 322.453 0.330 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc2c1OCC2 ZINC001030996360 664751439 /nfs/dbraw/zinc/75/14/39/664751439.db2.gz RBGBRPLIACDREX-UHFFFAOYSA-N 0 2 315.333 0.059 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)C[C@@]23CC[N@H+](C2)CCC3)C(C)(C)C1 ZINC000914311757 664770664 /nfs/dbraw/zinc/77/06/64/664770664.db2.gz IBNVAEILROKCKC-HNNXBMFYSA-N 0 2 315.483 0.828 20 0 DCADLN CN(Cc1ccccc1F)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730514901 664783844 /nfs/dbraw/zinc/78/38/44/664783844.db2.gz DRPMXWLUUMWUTA-UHFFFAOYSA-N 0 2 315.308 0.869 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCc1ccccc1F ZINC000730515856 664784293 /nfs/dbraw/zinc/78/42/93/664784293.db2.gz FGCGPDYGSBDTQI-UHFFFAOYSA-N 0 2 315.308 0.569 20 0 DCADLN Cc1ccccc1CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730516819 664784419 /nfs/dbraw/zinc/78/44/19/664784419.db2.gz PZVGNYXSPYTXRI-UHFFFAOYSA-N 0 2 311.345 0.739 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccncc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992951627 664786044 /nfs/dbraw/zinc/78/60/44/664786044.db2.gz OBPPWCJRJNSPGE-ZYHUDNBSSA-N 0 2 316.365 0.688 20 0 DCADLN Cc1cccc2c1CCN2C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730538909 664791077 /nfs/dbraw/zinc/79/10/77/664791077.db2.gz CGJGJKCFOHSOBS-UHFFFAOYSA-N 0 2 309.329 0.969 20 0 DCADLN CC[C@@H](NC(=O)C(F)C(F)(F)F)C(=O)N1CCOCC1 ZINC000764616120 664874835 /nfs/dbraw/zinc/87/48/35/664874835.db2.gz ZUQCCGXMQLHAFO-SFYZADRCSA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C(=O)N1CCOCC1 ZINC000764616120 664874840 /nfs/dbraw/zinc/87/48/40/664874840.db2.gz ZUQCCGXMQLHAFO-SFYZADRCSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000993223096 664910072 /nfs/dbraw/zinc/91/00/72/664910072.db2.gz WZERPJSRAICHQO-ZYHUDNBSSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000993223096 664910074 /nfs/dbraw/zinc/91/00/74/664910074.db2.gz WZERPJSRAICHQO-ZYHUDNBSSA-N 0 2 318.381 0.930 20 0 DCADLN O=C(NCc1cc[nH+]c(N2CCCCCC2)c1)c1cc(=O)[nH][n-]1 ZINC000732290570 664912478 /nfs/dbraw/zinc/91/24/78/664912478.db2.gz ZZFIRKXVQYHMHJ-UHFFFAOYSA-N 0 2 315.377 0.954 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ncc[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993333127 664941969 /nfs/dbraw/zinc/94/19/69/664941969.db2.gz VRJAXDKALYPGBB-RKDXNWHRSA-N 0 2 305.342 0.016 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@]12C[C@H]1COC2 ZINC000993504439 664974011 /nfs/dbraw/zinc/97/40/11/664974011.db2.gz QTMCDWBZRJZDEQ-RASWFIQWSA-N 0 2 310.247 0.250 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@]12C[C@H]1COC2 ZINC000993504439 664974012 /nfs/dbraw/zinc/97/40/12/664974012.db2.gz QTMCDWBZRJZDEQ-RASWFIQWSA-N 0 2 310.247 0.250 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2n[nH]cc2F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993743040 664998147 /nfs/dbraw/zinc/99/81/47/664998147.db2.gz OXCVGYFJSFOKHH-VXNVDRBHSA-N 0 2 323.332 0.155 20 0 DCADLN Cc1ncn(C)c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000994009495 665025456 /nfs/dbraw/zinc/02/54/56/665025456.db2.gz QONKRVJTKCCEKW-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ncn(C)c1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000994009495 665025461 /nfs/dbraw/zinc/02/54/61/665025461.db2.gz QONKRVJTKCCEKW-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cc1 ZINC001031516469 665180432 /nfs/dbraw/zinc/18/04/32/665180432.db2.gz WKMSHAQVRDYQSY-UHFFFAOYSA-N 0 2 305.313 0.511 20 0 DCADLN CC(=O)NCc1cn(C2CN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000994720696 665302770 /nfs/dbraw/zinc/30/27/70/665302770.db2.gz RROUJCOPTLWTRE-SECBINFHSA-N 0 2 323.250 0.198 20 0 DCADLN CC(=O)NCc1cn(C2CN(C(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC000994720696 665302771 /nfs/dbraw/zinc/30/27/71/665302771.db2.gz RROUJCOPTLWTRE-SECBINFHSA-N 0 2 323.250 0.198 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@H](N(C)C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC000938587050 665393632 /nfs/dbraw/zinc/39/36/32/665393632.db2.gz JQZBCWLERAWYSL-LBPRGKRZSA-N 0 2 316.365 0.357 20 0 DCADLN Cc1ncncc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002389847 666164639 /nfs/dbraw/zinc/16/46/39/666164639.db2.gz BDTADZBQDWCUKS-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1ccnc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001002711755 666208139 /nfs/dbraw/zinc/20/81/39/666208139.db2.gz SSYDSUIHEPTMKV-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cncc(Cl)c1 ZINC001032052116 666224217 /nfs/dbraw/zinc/22/42/17/666224217.db2.gz WDIXWLBWUWBHBG-UHFFFAOYSA-N 0 2 322.756 0.421 20 0 DCADLN CC(C)c1nc(C[NH+]2CC(CNC(=O)c3nnc[n-]3)C2)no1 ZINC001032060057 666237948 /nfs/dbraw/zinc/23/79/48/666237948.db2.gz FYXJEFZZALTQDN-UHFFFAOYSA-N 0 2 305.342 0.173 20 0 DCADLN Cc1nnccc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003249231 666288578 /nfs/dbraw/zinc/28/85/78/666288578.db2.gz RSSLUPWGASDFJA-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(Cl)no1 ZINC001032143542 666372208 /nfs/dbraw/zinc/37/22/08/666372208.db2.gz AFLRYNFQXPPFLC-UHFFFAOYSA-N 0 2 312.717 0.014 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001032928403 666395828 /nfs/dbraw/zinc/39/58/28/666395828.db2.gz LEGNSMAKBDAAHD-QWRGUYRKSA-N 0 2 319.369 0.001 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001032928403 666395830 /nfs/dbraw/zinc/39/58/30/666395830.db2.gz LEGNSMAKBDAAHD-QWRGUYRKSA-N 0 2 319.369 0.001 20 0 DCADLN CN(C(=O)c1ccnc(F)c1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032947308 666401142 /nfs/dbraw/zinc/40/11/42/666401142.db2.gz NMMDZQQDTYQDPW-SNVBAGLBSA-N 0 2 320.328 0.391 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032988380 666418550 /nfs/dbraw/zinc/41/85/50/666418550.db2.gz ZNHAONGKJBZKGW-CIQGVGRVSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032988380 666418552 /nfs/dbraw/zinc/41/85/52/666418552.db2.gz ZNHAONGKJBZKGW-CIQGVGRVSA-N 0 2 319.409 0.979 20 0 DCADLN CCc1ccsc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032204251 666433499 /nfs/dbraw/zinc/43/34/99/666433499.db2.gz NFKQHTMYUQNHCL-UHFFFAOYSA-N 0 2 321.406 0.996 20 0 DCADLN Cc1ncc(CC(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001033139741 666558806 /nfs/dbraw/zinc/55/88/06/666558806.db2.gz PHFKYHBIEFHQLX-SNVBAGLBSA-N 0 2 320.353 0.082 20 0 DCADLN Cc1ncc(CC(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001033139741 666558807 /nfs/dbraw/zinc/55/88/07/666558807.db2.gz PHFKYHBIEFHQLX-SNVBAGLBSA-N 0 2 320.353 0.082 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccnc(F)c1 ZINC001038093274 666600098 /nfs/dbraw/zinc/60/00/98/666600098.db2.gz WFODNXXSHSECRX-SECBINFHSA-N 0 2 306.301 0.049 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001033184014 666609915 /nfs/dbraw/zinc/60/99/15/666609915.db2.gz CDLDJXCCVBOITE-PWSUYJOCSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001033184014 666609916 /nfs/dbraw/zinc/60/99/16/666609916.db2.gz CDLDJXCCVBOITE-PWSUYJOCSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(Cc1ccsc1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032261593 666615085 /nfs/dbraw/zinc/61/50/85/666615085.db2.gz UMDPEZRNZACYPM-QWRGUYRKSA-N 0 2 319.390 0.600 20 0 DCADLN COC[C@@H](C)[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001033215100 666627817 /nfs/dbraw/zinc/62/78/17/666627817.db2.gz BHTKWMIFCBMSCP-CHWSQXEVSA-N 0 2 324.425 0.917 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033287065 666662539 /nfs/dbraw/zinc/66/25/39/666662539.db2.gz PFWLVPRSELBMNO-UTUOFQBUSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033287065 666662540 /nfs/dbraw/zinc/66/25/40/666662540.db2.gz PFWLVPRSELBMNO-UTUOFQBUSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(=O)n2[nH]ccc2n1 ZINC001032283299 666678957 /nfs/dbraw/zinc/67/89/57/666678957.db2.gz UXRAXQSLJCBVHA-STQMWFEESA-N 0 2 315.377 0.464 20 0 DCADLN CN(C(=O)c1csc(=O)[nH]1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033349124 666686229 /nfs/dbraw/zinc/68/62/29/666686229.db2.gz NDQHYXTXEOLIAP-ZETCQYMHSA-N 0 2 324.366 0.019 20 0 DCADLN CN(C(=O)c1csc(=O)[nH]1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033349124 666686231 /nfs/dbraw/zinc/68/62/31/666686231.db2.gz NDQHYXTXEOLIAP-ZETCQYMHSA-N 0 2 324.366 0.019 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)N(C)[C@@H]2CC[NH+](CC(=O)NC3CC3)C2)C1 ZINC001033420470 666710930 /nfs/dbraw/zinc/71/09/30/666710930.db2.gz PNGKPYLZGCISJP-DZGCQCFKSA-N 0 2 322.453 0.140 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1(F)CCCCC1 ZINC001015944372 666748516 /nfs/dbraw/zinc/74/85/16/666748516.db2.gz JNDIBPDESKGNNX-JTQLQIEISA-N 0 2 311.361 0.873 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cc(F)c[nH]1 ZINC000996241031 666758194 /nfs/dbraw/zinc/75/81/94/666758194.db2.gz DZZNWMXXKBDMSL-MRVPVSSYSA-N 0 2 311.210 0.995 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cc(F)c[nH]1 ZINC000996241031 666758199 /nfs/dbraw/zinc/75/81/99/666758199.db2.gz DZZNWMXXKBDMSL-MRVPVSSYSA-N 0 2 311.210 0.995 20 0 DCADLN C[C@H](C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001005232006 666769590 /nfs/dbraw/zinc/76/95/90/666769590.db2.gz MIEUACKIDCXGGO-JTQLQIEISA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)C[C@@H]1CCCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033666717 666787265 /nfs/dbraw/zinc/78/72/65/666787265.db2.gz FCLTYYIFGAATLC-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)C[C@@H]1CCCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033666717 666787266 /nfs/dbraw/zinc/78/72/66/666787266.db2.gz FCLTYYIFGAATLC-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN C/C(=C\C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001032298721 666794852 /nfs/dbraw/zinc/79/48/52/666794852.db2.gz XHGBAEYGWCJZAI-XKKDPXPLSA-N 0 2 303.366 0.652 20 0 DCADLN CCN(C(=O)c1ncoc1C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033769064 666825835 /nfs/dbraw/zinc/82/58/35/666825835.db2.gz ORHXSEWQQXPPHI-JTQLQIEISA-N 0 2 320.353 0.543 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc(Cl)ccn1 ZINC000733953015 666831446 /nfs/dbraw/zinc/83/14/46/666831446.db2.gz ISQOQSKQMFMAEU-UHFFFAOYSA-N 0 2 304.697 0.758 20 0 DCADLN CCN(C(=O)[C@H]1CCn2c[nH+]cc2C1)[C@H]1CC[N@H+](CCCO)C1 ZINC001033876127 666849609 /nfs/dbraw/zinc/84/96/09/666849609.db2.gz OIHDUSUQVDCJPC-GJZGRUSLSA-N 0 2 320.437 0.751 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCOC1 ZINC001034118493 666926740 /nfs/dbraw/zinc/92/67/40/666926740.db2.gz DXISUPVBCIFHLU-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCOC1 ZINC001034118493 666926743 /nfs/dbraw/zinc/92/67/43/666926743.db2.gz DXISUPVBCIFHLU-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](CCO)C1)[C@H]1CCc2[nH+]ccn2C1 ZINC001034277204 666973337 /nfs/dbraw/zinc/97/33/37/666973337.db2.gz WMNBORSYYHVGMV-KBPBESRZSA-N 0 2 306.410 0.409 20 0 DCADLN O=C(N[C@@H]1COC(=O)C1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861785869 666978818 /nfs/dbraw/zinc/97/88/18/666978818.db2.gz ZCXVJLJBCKDEAI-LURJTMIESA-N 0 2 320.223 0.503 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cc(F)c[nH]1 ZINC001034314794 666987514 /nfs/dbraw/zinc/98/75/14/666987514.db2.gz ZJHWYVBNZCGXNX-JTQLQIEISA-N 0 2 322.344 0.762 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cc(F)c[nH]1 ZINC001034314794 666987519 /nfs/dbraw/zinc/98/75/19/666987519.db2.gz ZJHWYVBNZCGXNX-JTQLQIEISA-N 0 2 322.344 0.762 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](CCO)C1)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001034391929 667005388 /nfs/dbraw/zinc/00/53/88/667005388.db2.gz RHMJERBQNQMFLN-STQMWFEESA-N 0 2 306.410 0.793 20 0 DCADLN CCC1(COC(=O)Cc2c(C)nc3cc[nH]n3c2=O)COC1 ZINC000118968019 667049265 /nfs/dbraw/zinc/04/92/65/667049265.db2.gz AFZSLABIGFJXPM-UHFFFAOYSA-N 0 2 305.334 0.843 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032373629 667119616 /nfs/dbraw/zinc/11/96/16/667119616.db2.gz AAVQCKLZIMNPFL-WGPFEIJOSA-N 0 2 314.349 0.337 20 0 DCADLN O=C(c1sccc1F)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032389193 667149529 /nfs/dbraw/zinc/14/95/29/667149529.db2.gz GSDDTYZDJGWOLR-YUMQZZPRSA-N 0 2 323.353 0.810 20 0 DCADLN C[C@@H]1CO[C@@H](C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001005737873 667157784 /nfs/dbraw/zinc/15/77/84/667157784.db2.gz YPLMWSIJLRFORU-CMPLNLGQSA-N 0 2 323.397 0.358 20 0 DCADLN O=C([O-])C1=CC[C@@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000864169348 667221440 /nfs/dbraw/zinc/22/14/40/667221440.db2.gz SYUHSCSPLSKNFM-OCCSQVGLSA-N 0 2 308.378 0.919 20 0 DCADLN O=C([O-])C1=CC[C@@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000864169348 667221442 /nfs/dbraw/zinc/22/14/42/667221442.db2.gz SYUHSCSPLSKNFM-OCCSQVGLSA-N 0 2 308.378 0.919 20 0 DCADLN Cc1c2ccccc2oc(=O)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000119907845 667223010 /nfs/dbraw/zinc/22/30/10/667223010.db2.gz XYHZFRDKMTZLFV-UHFFFAOYSA-N 0 2 300.274 0.443 20 0 DCADLN CN(C(=O)c1csnn1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005790261 667250693 /nfs/dbraw/zinc/25/06/93/667250693.db2.gz BTAGRODDUHOETO-UHFFFAOYSA-N 0 2 323.382 0.098 20 0 DCADLN Cc1nc(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)ccc1C(=O)[O-] ZINC000738338494 667667795 /nfs/dbraw/zinc/66/77/95/667667795.db2.gz FKLNINNGBSPLGT-CMPLNLGQSA-N 0 2 321.377 0.927 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000741625815 667773888 /nfs/dbraw/zinc/77/38/88/667773888.db2.gz AEXDOLKZVINBKR-GXTWGEPZSA-N 0 2 323.356 0.819 20 0 DCADLN COC(C)(C)C[C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000741971808 667778980 /nfs/dbraw/zinc/77/89/80/667778980.db2.gz OQPGQDGAILTNPN-VIFPVBQESA-N 0 2 307.354 0.391 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000742469504 667792003 /nfs/dbraw/zinc/79/20/03/667792003.db2.gz AHPUONXAGPSRPG-DTWKUNHWSA-N 0 2 313.379 0.345 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](c3ncc[nH]3)C2)S1 ZINC000742628554 667795731 /nfs/dbraw/zinc/79/57/31/667795731.db2.gz WNDSXHQITFMLET-BDAKNGLRSA-N 0 2 307.379 0.672 20 0 DCADLN CSC1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCOCC1 ZINC000742708050 667797918 /nfs/dbraw/zinc/79/79/18/667797918.db2.gz FYHHYWTUBFIWBL-QMMMGPOBSA-N 0 2 317.436 0.571 20 0 DCADLN Cc1cccc(F)c1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000742727855 667798320 /nfs/dbraw/zinc/79/83/20/667798320.db2.gz OMASWJBINPRZAY-UHFFFAOYSA-N 0 2 315.308 0.835 20 0 DCADLN O=C(NC[C@]12COC(=O)N1CCOC2)C(F)C(F)(F)F ZINC000871407209 667817406 /nfs/dbraw/zinc/81/74/06/667817406.db2.gz HXGODAXPFHJUJC-RCOVLWMOSA-N 0 2 300.208 0.224 20 0 DCADLN O=C(NC[C@]12COC(=O)N1CCOC2)[C@H](F)C(F)(F)F ZINC000871407209 667817408 /nfs/dbraw/zinc/81/74/08/667817408.db2.gz HXGODAXPFHJUJC-RCOVLWMOSA-N 0 2 300.208 0.224 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCN(Cc3ccco3)CC2)S1 ZINC000745423218 667847772 /nfs/dbraw/zinc/84/77/72/667847772.db2.gz RSOQSHQFEWMNGQ-LLVKDONJSA-N 0 2 322.390 0.480 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@@H](O)Cc1ccccc1 ZINC000747551930 667906169 /nfs/dbraw/zinc/90/61/69/667906169.db2.gz CMYYHRNYGNXPSH-DLOVCJGASA-N 0 2 321.402 0.651 20 0 DCADLN CN1CCN(C(=O)[C@H](c2ccccc2)[NH+](C)C)CC[N@H+](C)CC1 ZINC000888989460 667946423 /nfs/dbraw/zinc/94/64/23/667946423.db2.gz MFZWSAPTIOKALV-KRWDZBQOSA-N 0 2 318.465 0.995 20 0 DCADLN CC(=O)NC(=Cc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000754355272 668044807 /nfs/dbraw/zinc/04/48/07/668044807.db2.gz PZHSMUKBPAWDEG-XFFZJAGNSA-N 0 2 301.306 0.304 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@H](C3CCOCC3)C2)S1 ZINC000755217235 668057949 /nfs/dbraw/zinc/05/79/49/668057949.db2.gz YEMLPTCQDNCEKA-WDEREUQCSA-N 0 2 311.407 0.818 20 0 DCADLN C[C@@H]1N(C(=O)C[C@@H]2SC(=N)NC2=O)CCC12CCOCC2 ZINC000756831159 668102129 /nfs/dbraw/zinc/10/21/29/668102129.db2.gz LOVMJGIWBWBLMI-UWVGGRQHSA-N 0 2 311.407 0.960 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1nnnn1C1CC1 ZINC000758516470 668180941 /nfs/dbraw/zinc/18/09/41/668180941.db2.gz URTOYGRIQHKLDF-UHFFFAOYSA-N 0 2 320.275 0.081 20 0 DCADLN C[C@H](C[S@](C)=O)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760505184 668275332 /nfs/dbraw/zinc/27/53/32/668275332.db2.gz MVUOFLBIQCITMG-YIVBRQOJSA-N 0 2 302.318 0.327 20 0 DCADLN COC(=O)c1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)ccc1F ZINC000762213944 668352299 /nfs/dbraw/zinc/35/22/99/668352299.db2.gz MMHTVXYZHTVJBO-UHFFFAOYSA-N 0 2 309.257 0.758 20 0 DCADLN CC[S@](C)(=O)=NC(=O)C(F)=C(O)N=[S@@](C)(=O)CC ZINC000925820743 668352273 /nfs/dbraw/zinc/35/22/73/668352273.db2.gz LFQLIRHQZJQCCI-ROUUACIJSA-N 0 2 300.377 0.613 20 0 DCADLN Cn1[nH]cnc1=NC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000762568240 668367420 /nfs/dbraw/zinc/36/74/20/668367420.db2.gz GSSWAEQRCDQXIV-UHFFFAOYSA-N 0 2 316.346 0.025 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)[N-]C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000764156268 668436091 /nfs/dbraw/zinc/43/60/91/668436091.db2.gz OPRHHRNLIMUXRS-NSHDSACASA-N 0 2 323.374 0.967 20 0 DCADLN COCCN(C(=O)C(F)C(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000764499316 668450411 /nfs/dbraw/zinc/45/04/11/668450411.db2.gz MNJBUDCLKJUAMC-SFYZADRCSA-N 0 2 321.292 0.549 20 0 DCADLN COCCN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000764499316 668450414 /nfs/dbraw/zinc/45/04/14/668450414.db2.gz MNJBUDCLKJUAMC-SFYZADRCSA-N 0 2 321.292 0.549 20 0 DCADLN O=C(CCCc1nn[n-]n1)N[C@@H]1CCC[N@@H+]2CCSC[C@H]12 ZINC000928075452 668550193 /nfs/dbraw/zinc/55/01/93/668550193.db2.gz CUPAYYCVDNMNQJ-GHMZBOCLSA-N 0 2 310.427 0.218 20 0 DCADLN O=C(CCCc1nn[n-]n1)N[C@@H]1CCC[N@H+]2CCSC[C@H]12 ZINC000928075452 668550198 /nfs/dbraw/zinc/55/01/98/668550198.db2.gz CUPAYYCVDNMNQJ-GHMZBOCLSA-N 0 2 310.427 0.218 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCOC[C@@H]1CCO ZINC000769050213 668631189 /nfs/dbraw/zinc/63/11/89/668631189.db2.gz QXMDSFMJMUJNNS-ZDUSSCGKSA-N 0 2 317.345 0.801 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CC(=O)N(C2CCCC2)C1 ZINC000773101100 668792992 /nfs/dbraw/zinc/79/29/92/668792992.db2.gz LZWVNNBYFVCOLX-SECBINFHSA-N 0 2 324.406 0.808 20 0 DCADLN COc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)cc(OC)c1OC ZINC000774887423 668849648 /nfs/dbraw/zinc/84/96/48/668849648.db2.gz RKSJDBBBKSUWBX-UHFFFAOYSA-N 0 2 309.278 0.893 20 0 DCADLN O=C(CSc1nnc(-c2ccco2)o1)OCc1n[nH]c(=O)[nH]1 ZINC000774902676 668850434 /nfs/dbraw/zinc/85/04/34/668850434.db2.gz WEERJRCWLHTYLI-UHFFFAOYSA-N 0 2 323.290 0.989 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CCCN1C(=O)c1ccccc1 ZINC000774915511 668851132 /nfs/dbraw/zinc/85/11/32/668851132.db2.gz SVPZAYSWHONGQL-NSHDSACASA-N 0 2 316.317 0.858 20 0 DCADLN CS(=O)(=O)c1ccc(F)c(C(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000774934638 668851918 /nfs/dbraw/zinc/85/19/18/668851918.db2.gz TVSCXCQNURPDAU-UHFFFAOYSA-N 0 2 315.282 0.410 20 0 DCADLN CC(C)[C@H](NC(=O)NC(C)(C)C)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774961956 668852865 /nfs/dbraw/zinc/85/28/65/668852865.db2.gz JWVPMWXTVKHJRY-VIFPVBQESA-N 0 2 313.358 0.676 20 0 DCADLN O=C(OCc1n[nH]c(=O)[n-]1)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000774958458 668853022 /nfs/dbraw/zinc/85/30/22/668853022.db2.gz DDKXDNPYILBFGM-LBPRGKRZSA-N 0 2 318.333 0.455 20 0 DCADLN O=C(OCc1n[nH]c(=O)[n-]1)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000774958458 668853024 /nfs/dbraw/zinc/85/30/24/668853024.db2.gz DDKXDNPYILBFGM-LBPRGKRZSA-N 0 2 318.333 0.455 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOCC3(CCCC3)C2)S1 ZINC000775435586 668862659 /nfs/dbraw/zinc/86/26/59/668862659.db2.gz VEVPNSWNBWDGHW-SNVBAGLBSA-N 0 2 311.407 0.962 20 0 DCADLN CC(C)(C)C(=O)NCCC(=O)NCCc1n[nH]c(=S)o1 ZINC000776535133 668877357 /nfs/dbraw/zinc/87/73/57/668877357.db2.gz ANZGTDAMYLTTCM-UHFFFAOYSA-N 0 2 300.384 0.569 20 0 DCADLN C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000777396566 668887040 /nfs/dbraw/zinc/88/70/40/668887040.db2.gz CPBGGSZLPCJNHS-JGVFFNPUSA-N 0 2 314.411 0.304 20 0 DCADLN CCc1cnccc1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000779004686 668906950 /nfs/dbraw/zinc/90/69/50/668906950.db2.gz YTBWJVJSCKVKQO-UHFFFAOYSA-N 0 2 312.333 0.345 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](OCC3CC3)C2)S1 ZINC000779130862 668908098 /nfs/dbraw/zinc/90/80/98/668908098.db2.gz ZHAVXFZBZDPZAH-WDEREUQCSA-N 0 2 311.407 0.960 20 0 DCADLN CC(C)(CNC(=O)N[C@](C)(C(=O)[O-])C1CC1)[NH+]1CCOCC1 ZINC000780341814 668979993 /nfs/dbraw/zinc/97/99/93/668979993.db2.gz PTVDPOSPIARRLI-HNNXBMFYSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1NC(=O)[C@H](C)[N@H+](CC(=O)[N-]OCc2ccccc2)[C@H]1C ZINC000930835229 669090331 /nfs/dbraw/zinc/09/03/31/669090331.db2.gz AHPWXLJTRDMDIO-AVGNSLFASA-N 0 2 305.378 0.832 20 0 DCADLN C[C@@H]1NC(=O)[C@H](C)[N@@H+](CC(=O)[N-]OCc2ccccc2)[C@H]1C ZINC000930835229 669090335 /nfs/dbraw/zinc/09/03/35/669090335.db2.gz AHPWXLJTRDMDIO-AVGNSLFASA-N 0 2 305.378 0.832 20 0 DCADLN Nc1cnc(Br)cc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000782359730 669094837 /nfs/dbraw/zinc/09/48/37/669094837.db2.gz OYJIEEVMIWGZAN-UHFFFAOYSA-N 0 2 314.099 0.607 20 0 DCADLN CCC[C@]1(C)C(=O)NCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000783874402 669179002 /nfs/dbraw/zinc/17/90/02/669179002.db2.gz ITKCEZNPFRZIFF-ISVAXAHUSA-N 0 2 312.395 0.060 20 0 DCADLN CC(C)(NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCOCC1 ZINC000786337077 669307063 /nfs/dbraw/zinc/30/70/63/669307063.db2.gz LOGWKMCDSOOQQY-UHFFFAOYSA-N 0 2 319.365 0.393 20 0 DCADLN COCCONC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000789201703 669477282 /nfs/dbraw/zinc/47/72/82/669477282.db2.gz BGALNFISZPUQCA-UHFFFAOYSA-N 0 2 321.337 0.761 20 0 DCADLN CN(C)[S@@](C)(=O)=NCC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000934751814 669635128 /nfs/dbraw/zinc/63/51/28/669635128.db2.gz LTTVZZSZXRPPNW-NRFANRHFSA-N 0 2 316.431 0.297 20 0 DCADLN Cn1nc2c(c1CNC(=O)C[C@@H]1SC(=N)NC1=O)CCCC2 ZINC000794435354 669835208 /nfs/dbraw/zinc/83/52/08/669835208.db2.gz TYVMOAKRIQTSRA-NSHDSACASA-N 0 2 321.406 0.472 20 0 DCADLN CC[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1NC(=O)c1cn[nH]n1 ZINC000948304394 670095325 /nfs/dbraw/zinc/09/53/25/670095325.db2.gz UHJFUFTVCDSBKA-ZJUUUORDSA-N 0 2 317.353 0.199 20 0 DCADLN CC[C@@H]1CN(C(=O)c2cc[nH]n2)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000948304394 670095328 /nfs/dbraw/zinc/09/53/28/670095328.db2.gz UHJFUFTVCDSBKA-ZJUUUORDSA-N 0 2 317.353 0.199 20 0 DCADLN CCN(C(=O)[C@H]1CCOC[C@@H]1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951703995 670343201 /nfs/dbraw/zinc/34/32/01/670343201.db2.gz FDBHOTNTFMYBCR-JQWIXIFHSA-N 0 2 323.397 0.216 20 0 DCADLN Cn1nncc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949683604 670403694 /nfs/dbraw/zinc/40/36/94/670403694.db2.gz KCBLRWBKOUSOIS-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000949683604 670403697 /nfs/dbraw/zinc/40/36/97/670403697.db2.gz KCBLRWBKOUSOIS-POYBYMJQSA-N 0 2 323.250 0.046 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)c1cn[nH]c1 ZINC000949760118 670425048 /nfs/dbraw/zinc/42/50/48/670425048.db2.gz DQVVAOKCOOQPPI-FTLITQJKSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1NC(=O)c1cn[nH]c1 ZINC000949760118 670425051 /nfs/dbraw/zinc/42/50/51/670425051.db2.gz DQVVAOKCOOQPPI-FTLITQJKSA-N 0 2 322.262 0.887 20 0 DCADLN CCO[C@H]1C[C@@H](OC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC000809116556 670440077 /nfs/dbraw/zinc/44/00/77/670440077.db2.gz OKZGSMAZHWGBNN-PHIMTYICSA-N 0 2 305.334 0.984 20 0 DCADLN CC1(C)CC(CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949821091 670440270 /nfs/dbraw/zinc/44/02/70/670440270.db2.gz MRLHOOHBXMYBKK-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN O=C(N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C1(C(F)F)CCC1 ZINC000949828036 670442067 /nfs/dbraw/zinc/44/20/67/670442067.db2.gz UTKNXMIHPAZEOT-UHFFFAOYSA-N 0 2 315.324 0.590 20 0 DCADLN CS(=O)(=O)N1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC000810205509 670478085 /nfs/dbraw/zinc/47/80/85/670478085.db2.gz QWKMIEGSMOTDKE-NKWVEPMBSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC000810205509 670478088 /nfs/dbraw/zinc/47/80/88/670478088.db2.gz QWKMIEGSMOTDKE-NKWVEPMBSA-N 0 2 306.281 0.427 20 0 DCADLN CC(C)(CO)ONC(=O)[C@H](CS(C)(=O)=O)c1ccccc1 ZINC000854754992 670623026 /nfs/dbraw/zinc/62/30/26/670623026.db2.gz SRHXNNVVBCXXNG-GFCCVEGCSA-N 0 2 315.391 0.634 20 0 DCADLN CCCc1nnc(SCC(=O)NOCCOCC)n1N ZINC000813524109 670633604 /nfs/dbraw/zinc/63/36/04/670633604.db2.gz RDBJVFIVJWIIQJ-UHFFFAOYSA-N 0 2 303.388 0.121 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc([C@H]3CCCO3)[nH]n2)S1 ZINC000855107021 670679073 /nfs/dbraw/zinc/67/90/73/670679073.db2.gz KZYAISLSVKSFKR-SFYZADRCSA-N 0 2 309.351 0.756 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000848447116 670684837 /nfs/dbraw/zinc/68/48/37/670684837.db2.gz JGSJZEGPXCVCPU-IAQYHMDHSA-N 0 2 307.350 0.538 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000815046528 670712870 /nfs/dbraw/zinc/71/28/70/670712870.db2.gz XCPMACUMRAYLJQ-MRVPVSSYSA-N 0 2 312.355 0.110 20 0 DCADLN COCc1nnc(CNC(=O)C=Cc2ccc(OC)c(O)c2)[nH]1 ZINC000855517917 670727727 /nfs/dbraw/zinc/72/77/27/670727727.db2.gz FYOZMPUCOWYRHV-XQRVVYSFSA-N 0 2 318.333 0.995 20 0 DCADLN COCc1nnc(CNC(=O)C(F)(F)C2(O)CCCCC2)[nH]1 ZINC000855519278 670728333 /nfs/dbraw/zinc/72/83/33/670728333.db2.gz ZTUVMJDEBLVMKT-UHFFFAOYSA-N 0 2 318.324 0.898 20 0 DCADLN CCO[C@@H]1COCC[C@H]1CC(=O)NCCc1n[nH]c(=S)o1 ZINC000867484043 670969511 /nfs/dbraw/zinc/96/95/11/670969511.db2.gz PXYJCXRBBHQZRN-VHSXEESVSA-N 0 2 315.395 0.849 20 0 DCADLN O=C(N[C@H](CO)C1CC1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860546652 671218039 /nfs/dbraw/zinc/21/80/39/671218039.db2.gz JBBPDYXERBVEQF-SECBINFHSA-N 0 2 320.267 0.959 20 0 DCADLN CSc1ccc(O)c(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)c1 ZINC000826833454 671502333 /nfs/dbraw/zinc/50/23/33/671502333.db2.gz DBJKEEPISKTZPH-NSHDSACASA-N 0 2 321.362 0.841 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCC[C@H]1CCOC1 ZINC000828838075 671562205 /nfs/dbraw/zinc/56/22/05/671562205.db2.gz UYNRRLWHLGIHFU-JTQLQIEISA-N 0 2 306.322 0.431 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000832362811 671716289 /nfs/dbraw/zinc/71/62/89/671716289.db2.gz FFUVAOQZMRPUFH-LPEHRKFASA-N 0 2 324.406 0.712 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)N[C@H](CO)c1ccsc1 ZINC000867119254 671791906 /nfs/dbraw/zinc/79/19/06/671791906.db2.gz JPAHXSKIMYNHDF-SNVBAGLBSA-N 0 2 324.449 0.878 20 0 DCADLN CC[C@@H](C)N(CC(=O)OC)C(=O)C[C@H]1SC(=N)NC1=O ZINC000836788596 671832013 /nfs/dbraw/zinc/83/20/13/671832013.db2.gz SMAUDOBTIFJQBD-HTQZYQBOSA-N 0 2 301.368 0.343 20 0 DCADLN COC(=O)[C@]1(C)CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000840555473 671893990 /nfs/dbraw/zinc/89/39/90/671893990.db2.gz GQHBADLHJGJTHP-AMIZOPFISA-N 0 2 313.379 0.345 20 0 DCADLN CC(C)CN1C[C@@H](C(=O)NCCc2n[nH]c(=S)o2)CC1=O ZINC000841425091 671930952 /nfs/dbraw/zinc/93/09/52/671930952.db2.gz IOOYRYDLTBBFLN-VIFPVBQESA-N 0 2 312.395 0.522 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCOC[C@@H]1C1CCC1 ZINC000843697364 672116778 /nfs/dbraw/zinc/11/67/78/672116778.db2.gz GEYQNSRLNFWMIR-GFCCVEGCSA-N 0 2 317.349 0.099 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC2(CCC2)O1 ZINC000843732434 672118024 /nfs/dbraw/zinc/11/80/24/672118024.db2.gz HBRXUUWLNZNMLR-SNVBAGLBSA-N 0 2 317.349 0.241 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@H](Nc3ncccn3)C2)S1 ZINC000843941669 672130336 /nfs/dbraw/zinc/13/03/36/672130336.db2.gz IWBYPYWZWSBQNP-DTWKUNHWSA-N 0 2 320.378 0.046 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CCC[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000844214135 672164301 /nfs/dbraw/zinc/16/43/01/672164301.db2.gz JVNUYFDABDJXLG-FRRDWIJNSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CCC[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000844214135 672164302 /nfs/dbraw/zinc/16/43/02/672164302.db2.gz JVNUYFDABDJXLG-FRRDWIJNSA-N 0 2 320.393 0.985 20 0 DCADLN CC[C@@H]1COC(C)(C)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000844787501 672202935 /nfs/dbraw/zinc/20/29/35/672202935.db2.gz USGNFZIAUPSLOA-SNVBAGLBSA-N 0 2 319.365 0.487 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)N1CCCCC1)n1cc[nH+]c1 ZINC000845451358 672249088 /nfs/dbraw/zinc/24/90/88/672249088.db2.gz XRWACHQNZOSMRK-LLVKDONJSA-N 0 2 300.384 0.681 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000845541062 672257605 /nfs/dbraw/zinc/25/76/05/672257605.db2.gz FODZILUHYAEXQV-NWDGAFQWSA-N 0 2 309.370 0.543 20 0 DCADLN CCO[N-]C(=O)CNc1nc(NCCO)c2ccccc2[nH+]1 ZINC000845714509 672270497 /nfs/dbraw/zinc/27/04/97/672270497.db2.gz GIYLUDVXWMTAFK-UHFFFAOYSA-N 0 2 305.338 0.514 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@@H]3CCCOC3)CC2)[nH+]c1 ZINC000738681369 685324796 /nfs/dbraw/zinc/32/47/96/685324796.db2.gz PIZFHBNUSDCHJF-CYBMUJFWSA-N 0 2 319.361 0.855 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1n[nH]cc1F ZINC001024637731 693814257 /nfs/dbraw/zinc/81/42/57/693814257.db2.gz PHASAQONGSDABV-QMMMGPOBSA-N 0 2 323.332 0.157 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001076856843 695077916 /nfs/dbraw/zinc/07/79/16/695077916.db2.gz FOJUKWMXCCITIP-FSPLSTOPSA-N 0 2 312.223 0.595 20 0 DCADLN Cc1nc[nH]c1C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954738450 686125855 /nfs/dbraw/zinc/12/58/55/686125855.db2.gz PFYBHYWYNUICPO-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1nc[nH]c1C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954738450 686125862 /nfs/dbraw/zinc/12/58/62/686125862.db2.gz PFYBHYWYNUICPO-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccoc1Cl ZINC001038205502 694013270 /nfs/dbraw/zinc/01/32/70/694013270.db2.gz TZLCTZWIJKJTTL-SSDOTTSWSA-N 0 2 311.729 0.761 20 0 DCADLN CC(C)c1oncc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957041675 686941265 /nfs/dbraw/zinc/94/12/65/686941265.db2.gz DAMMPNWWZCCCNK-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CCc1nc(C)c(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000957073881 686953131 /nfs/dbraw/zinc/95/31/31/686953131.db2.gz RDOVZWZWAFRRAQ-UHFFFAOYSA-N 0 2 320.353 0.327 20 0 DCADLN CCc1nnc([C@H](C)N2CC[C@@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001038448707 694084377 /nfs/dbraw/zinc/08/43/77/694084377.db2.gz HAIPMLVTHOELIX-DTWKUNHWSA-N 0 2 304.358 0.051 20 0 DCADLN C[C@H]1CC[C@H](CCC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000957730341 687172879 /nfs/dbraw/zinc/17/28/79/687172879.db2.gz DUJQQBYNHDSGIO-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCO[C@H]1C1CC1 ZINC001038546476 694111494 /nfs/dbraw/zinc/11/14/94/694111494.db2.gz PCJMJNRHFDSSCE-WZRBSPASSA-N 0 2 321.381 0.016 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1occ2c1CCC2 ZINC001038555126 694114015 /nfs/dbraw/zinc/11/40/15/694114015.db2.gz NGJOIOAMMHRABV-SNVBAGLBSA-N 0 2 317.349 0.596 20 0 DCADLN CCc1nocc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038676085 694157733 /nfs/dbraw/zinc/15/77/33/694157733.db2.gz FXVKJSGRRFKFBX-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN CC(=O)NC[C@H]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)O1 ZINC000965510530 689462622 /nfs/dbraw/zinc/46/26/22/689462622.db2.gz VBEIDZJUVAGWJQ-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN CC(=O)NC[C@H]1CCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)O1 ZINC000965510530 689462627 /nfs/dbraw/zinc/46/26/27/689462627.db2.gz VBEIDZJUVAGWJQ-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@@H]1COC2(CN(C(=O)C(F)C(F)(F)F)C2)C1)C1CC1 ZINC000965585548 689490332 /nfs/dbraw/zinc/49/03/32/689490332.db2.gz DDOFEDLWAXNUHO-IUCAKERBSA-N 0 2 324.274 0.783 20 0 DCADLN O=C(N[C@@H]1COC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1)C1CC1 ZINC000965585548 689490336 /nfs/dbraw/zinc/49/03/36/689490336.db2.gz DDOFEDLWAXNUHO-IUCAKERBSA-N 0 2 324.274 0.783 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(CF)cc1 ZINC001039067725 694292113 /nfs/dbraw/zinc/29/21/13/694292113.db2.gz NFIHBZPNQLIGMI-LBPRGKRZSA-N 0 2 319.340 0.984 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CC=CC1 ZINC000965766378 689547727 /nfs/dbraw/zinc/54/77/27/689547727.db2.gz SCCKESCRBIWSRG-PWSUYJOCSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CC=CC1 ZINC000965766378 689547730 /nfs/dbraw/zinc/54/77/30/689547730.db2.gz SCCKESCRBIWSRG-PWSUYJOCSA-N 0 2 305.382 0.803 20 0 DCADLN Cc1cccc(F)c1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039087927 694299763 /nfs/dbraw/zinc/29/97/63/694299763.db2.gz LFFUHKRHMIBOQB-JTQLQIEISA-N 0 2 319.340 0.962 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC12CCOCC2 ZINC001039100442 694302079 /nfs/dbraw/zinc/30/20/79/694302079.db2.gz AQUYHDPMAQXUHI-MNOVXSKESA-N 0 2 321.381 0.018 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccns1 ZINC000966523638 689788562 /nfs/dbraw/zinc/78/85/62/689788562.db2.gz KPYRVOOZYAXUNA-BDAKNGLRSA-N 0 2 322.394 0.607 20 0 DCADLN CCN(C(=O)c1ncc[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000967938404 690130483 /nfs/dbraw/zinc/13/04/83/690130483.db2.gz WHPHHCIQKHNWCZ-MRVPVSSYSA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)c1ncc[nH]1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000967938404 690130487 /nfs/dbraw/zinc/13/04/87/690130487.db2.gz WHPHHCIQKHNWCZ-MRVPVSSYSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)no1 ZINC000968429411 690264230 /nfs/dbraw/zinc/26/42/30/690264230.db2.gz UCXVUQDAVSAEAO-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccsn1 ZINC001007597504 690712824 /nfs/dbraw/zinc/71/28/24/690712824.db2.gz KVDDYBMYPPYBNA-MRVPVSSYSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2C[C@@H](C)O ZINC001039765252 694427394 /nfs/dbraw/zinc/42/73/94/694427394.db2.gz JIENDWSOKXRGMO-KFWWJZLASA-N 0 2 306.410 0.638 20 0 DCADLN CCOC1CC(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001010865399 691405160 /nfs/dbraw/zinc/40/51/60/691405160.db2.gz JLCFEAFIYMHLNN-HSOILSAZSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1c(F)cncc1F ZINC001014594795 692050511 /nfs/dbraw/zinc/05/05/11/692050511.db2.gz LYGBZYIFOSPWFH-ZETCQYMHSA-N 0 2 324.291 0.188 20 0 DCADLN CC(C)n1cnc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015388764 692282809 /nfs/dbraw/zinc/28/28/09/692282809.db2.gz LFQGXYYKFSZBGW-SNVBAGLBSA-N 0 2 319.369 0.292 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1Cc2ccccc21 ZINC001015636097 692374890 /nfs/dbraw/zinc/37/48/90/692374890.db2.gz BSJTYFSRKLXJHY-YPMHNXCESA-N 0 2 313.361 0.541 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1Cc2ccccc21 ZINC001015636094 692374909 /nfs/dbraw/zinc/37/49/09/692374909.db2.gz BSJTYFSRKLXJHY-AAEUAGOBSA-N 0 2 313.361 0.541 20 0 DCADLN CCCn1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001015778805 692439299 /nfs/dbraw/zinc/43/92/99/692439299.db2.gz WCWPGBQRHOHJKS-LLVKDONJSA-N 0 2 319.369 0.121 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001015790742 692444427 /nfs/dbraw/zinc/44/44/27/692444427.db2.gz SDSNVQZWYVOFJY-SECBINFHSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001076857022 695077776 /nfs/dbraw/zinc/07/77/76/695077776.db2.gz IQZILXDMHOPRAJ-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001076857022 695077778 /nfs/dbraw/zinc/07/77/78/695077778.db2.gz IQZILXDMHOPRAJ-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001076856779 695077822 /nfs/dbraw/zinc/07/78/22/695077822.db2.gz DBYAZEWISPKYHH-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001076856779 695077825 /nfs/dbraw/zinc/07/78/25/695077825.db2.gz DBYAZEWISPKYHH-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001076856940 695077828 /nfs/dbraw/zinc/07/78/28/695077828.db2.gz HSEBSWZIXNZKSL-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001076856940 695077833 /nfs/dbraw/zinc/07/78/33/695077833.db2.gz HSEBSWZIXNZKSL-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001076856843 695077912 /nfs/dbraw/zinc/07/79/12/695077912.db2.gz FOJUKWMXCCITIP-FSPLSTOPSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001076858748 695078089 /nfs/dbraw/zinc/07/80/89/695078089.db2.gz LFUIPHVKGFFWNW-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001076858748 695078092 /nfs/dbraw/zinc/07/80/92/695078092.db2.gz LFUIPHVKGFFWNW-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001076858739 695078120 /nfs/dbraw/zinc/07/81/20/695078120.db2.gz KYNOQLUEPBFYOD-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001076858739 695078123 /nfs/dbraw/zinc/07/81/23/695078123.db2.gz KYNOQLUEPBFYOD-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN CN(C(=O)CCc1nn[nH]n1)[C@@H](c1nc[nH]n1)c1ccccc1 ZINC001626084902 1158697934 /nfs/dbraw/zinc/69/79/34/1158697934.db2.gz YNCUKORIBOLLDE-CYBMUJFWSA-N 0 2 312.337 0.498 20 0 DCADLN CC(C)n1cnc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001448068012 1159714068 /nfs/dbraw/zinc/71/40/68/1159714068.db2.gz LPTWZBHFKGFVJE-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1cnc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001448068012 1159714072 /nfs/dbraw/zinc/71/40/72/1159714072.db2.gz LPTWZBHFKGFVJE-JTQLQIEISA-N 0 2 321.385 0.538 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCCN(C(=O)C2CC2)C1)c1nn(C)cc1O ZINC001456797805 1159762639 /nfs/dbraw/zinc/76/26/39/1159762639.db2.gz SMVFJKBWYZDZSS-ZYHUDNBSSA-N 0 2 320.393 0.952 20 0 DCADLN CC[C@H](C(N)=O)[N@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])C1CC1 ZINC001566584417 1160078638 /nfs/dbraw/zinc/07/86/38/1160078638.db2.gz LAHRBOPRERTAID-LLVKDONJSA-N 0 2 323.397 0.272 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])C1CC1 ZINC001566584417 1160078647 /nfs/dbraw/zinc/07/86/47/1160078647.db2.gz LAHRBOPRERTAID-LLVKDONJSA-N 0 2 323.397 0.272 20 0 DCADLN CCCc1[nH]ncc1C(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001567087476 1160235664 /nfs/dbraw/zinc/23/56/64/1160235664.db2.gz GMEOHGVIFUFXNE-UHFFFAOYSA-N 0 2 324.362 0.968 20 0 DCADLN CN(C(=O)C(=O)C(C)(C)C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001567906200 1160526748 /nfs/dbraw/zinc/52/67/48/1160526748.db2.gz IDQCJCSHWZZMPD-VIFPVBQESA-N 0 2 309.370 0.158 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)c1ns[nH]c1=O ZINC001568824688 1160789754 /nfs/dbraw/zinc/78/97/54/1160789754.db2.gz SBYHXNFNXPTCGO-UHFFFAOYSA-N 0 2 304.291 0.987 20 0 DCADLN COCc1noc(C[N@@H+]2CC[C@@H](c3n[nH]cc3C(=O)[O-])C2)n1 ZINC001573992901 1163619041 /nfs/dbraw/zinc/61/90/41/1163619041.db2.gz MHKGRUFQHYPQOO-MRVPVSSYSA-N 0 2 307.310 0.627 20 0 DCADLN COCc1noc(C[N@H+]2CC[C@@H](c3n[nH]cc3C(=O)[O-])C2)n1 ZINC001573992901 1163619047 /nfs/dbraw/zinc/61/90/47/1163619047.db2.gz MHKGRUFQHYPQOO-MRVPVSSYSA-N 0 2 307.310 0.627 20 0 DCADLN O=C([O-])N1C[C@@H](CO)[C@H](NC(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC001574341660 1163698743 /nfs/dbraw/zinc/69/87/43/1163698743.db2.gz GCZJTFRAQTYGOO-GXSJLCMTSA-N 0 2 319.321 0.427 20 0 DCADLN O=C([O-])C[C@@H](CO)NC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC001574356726 1163703332 /nfs/dbraw/zinc/70/33/32/1163703332.db2.gz IUUTYTLPFGWQAN-VIFPVBQESA-N 0 2 305.290 0.143 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)NCCCNC(=O)[C@H]1CCC[N@H+]1C ZINC001576034751 1164218095 /nfs/dbraw/zinc/21/80/95/1164218095.db2.gz LPWSMBXBPGHUSB-CQSZACIVSA-N 0 2 321.425 0.069 20 0 DCADLN Cc1cc(C[NH3+])oc1C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC001579595667 1165356047 /nfs/dbraw/zinc/35/60/47/1165356047.db2.gz IYVSFQWIHZLNPV-LBPRGKRZSA-N 0 2 309.410 0.964 20 0 DCADLN COC1(CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)CCC1 ZINC001579602685 1165357652 /nfs/dbraw/zinc/35/76/52/1165357652.db2.gz REYXIFIUDWHVKB-UHFFFAOYSA-N 0 2 318.337 0.575 20 0 DCADLN COCCC(C)(C)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001579778157 1165392948 /nfs/dbraw/zinc/39/29/48/1165392948.db2.gz YZRDKCFTGKGYAS-UHFFFAOYSA-N 0 2 320.353 0.821 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@H](O)CC1 ZINC001580598540 1165649177 /nfs/dbraw/zinc/64/91/77/1165649177.db2.gz YWQRWCXAHKPHFI-VIFPVBQESA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CCCS1 ZINC001580601576 1165651488 /nfs/dbraw/zinc/65/14/88/1165651488.db2.gz BISGYPRUIMJLNC-MRVPVSSYSA-N 0 2 320.378 0.901 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@]1(C)CCO[C@@H]1C ZINC001580602293 1165652709 /nfs/dbraw/zinc/65/27/09/1165652709.db2.gz OYRDOUWPSFSUTO-CLAHSXSESA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(NC(=O)Cn2cc(C)cc(-c3nn[nH]n3)c2=O)no1 ZINC001580609126 1165656146 /nfs/dbraw/zinc/65/61/46/1165656146.db2.gz OVJIBJFTJPKYAY-UHFFFAOYSA-N 0 2 315.293 0.272 20 0 DCADLN O=C(CCc1c[nH]nn1)Nc1n[nH]c(C2CC2)c1-c1nn[nH]n1 ZINC001582057498 1165946136 /nfs/dbraw/zinc/94/61/36/1165946136.db2.gz IOULWRULSSCXCD-UHFFFAOYSA-N 0 2 314.313 0.157 20 0 DCADLN C[C@](CNC(=O)CC(N)=O)(NC(=O)C1CC2(CC2)C1)C1CC1 ZINC001582659468 1166063433 /nfs/dbraw/zinc/06/34/33/1166063433.db2.gz QXUFKRCLRKWTDG-OAHLLOKOSA-N 0 2 307.394 0.453 20 0 DCADLN C[C@](CNC(=O)CC(N)=O)(NC(=O)c1ncccc1F)C1CC1 ZINC001582656975 1166063538 /nfs/dbraw/zinc/06/35/38/1166063538.db2.gz XQELMKNPDBJUHV-OAHLLOKOSA-N 0 2 322.340 0.111 20 0 DCADLN C[C@@H](C(=O)Nc1cccc(F)c1O)n1cnc(-c2nn[nH]n2)n1 ZINC001589018575 1166628860 /nfs/dbraw/zinc/62/88/60/1166628860.db2.gz HYIGFPBYKGLLSV-LURJTMIESA-N 0 2 318.272 0.503 20 0 DCADLN CC(C)(C)[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCO1 ZINC001589105774 1166634745 /nfs/dbraw/zinc/63/47/45/1166634745.db2.gz YCISJWXYHLUOOC-LBPRGKRZSA-N 0 2 318.381 0.733 20 0 DCADLN CC(C)[C@H](Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C1CC1 ZINC001589475187 1166661035 /nfs/dbraw/zinc/66/10/35/1166661035.db2.gz LCEVCXVNYKLXBJ-JTQLQIEISA-N 0 2 319.369 0.111 20 0 DCADLN CC(C)CCCCNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589593876 1166667089 /nfs/dbraw/zinc/66/70/89/1166667089.db2.gz UDEJCOCVPNUCKZ-UHFFFAOYSA-N 0 2 307.358 0.904 20 0 DCADLN C[C@@H](CN1CCCC1=O)NCc1nc(-c2nn[nH]n2)cs1 ZINC001589849239 1166700676 /nfs/dbraw/zinc/70/06/76/1166700676.db2.gz MPFFPZVRSFWAJS-QMMMGPOBSA-N 0 2 307.383 0.424 20 0 DCADLN C[C@@H]1CCC[C@@H](C)N1C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001590242370 1166826979 /nfs/dbraw/zinc/82/69/79/1166826979.db2.gz GFYSMWYQDNRAIA-NXEZZACHSA-N 0 2 317.353 0.213 20 0 DCADLN CC[C@@H](C)c1nnc([C@H](C)Nc2ccnc(-c3nn[nH]n3)n2)[nH]1 ZINC001590416919 1166902542 /nfs/dbraw/zinc/90/25/42/1166902542.db2.gz GERKVAHBXKQOFX-SFYZADRCSA-N 0 2 314.357 0.883 20 0 DCADLN CC[C@@H](C)c1nc([C@H](C)Nc2ccnc(-c3nn[nH]n3)n2)n[nH]1 ZINC001590416919 1166902548 /nfs/dbraw/zinc/90/25/48/1166902548.db2.gz GERKVAHBXKQOFX-SFYZADRCSA-N 0 2 314.357 0.883 20 0 DCADLN CC[C@@](O)(Cn1cnc(-c2nn[nH]n2)cc1=O)C(F)(F)F ZINC001590476298 1166919889 /nfs/dbraw/zinc/91/98/89/1166919889.db2.gz UTHVTYYBLCGFRZ-SECBINFHSA-N 0 2 304.232 0.127 20 0 DCADLN CCC[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCO1 ZINC001590569765 1166945541 /nfs/dbraw/zinc/94/55/41/1166945541.db2.gz UZTYOXSVKBEKNF-NSHDSACASA-N 0 2 304.354 0.487 20 0 DCADLN CCOC1CC(Cn2c(=O)c(-c3nn[nH]n3)cn(CC)c2=O)C1 ZINC001590788331 1167021061 /nfs/dbraw/zinc/02/10/61/1167021061.db2.gz NSNJZCHLTZXWSI-UHFFFAOYSA-N 0 2 320.353 0.025 20 0 DCADLN CCc1nn(Cc2cnns2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929696 1167054352 /nfs/dbraw/zinc/05/43/52/1167054352.db2.gz MVQCWZJOKCDIOI-UHFFFAOYSA-N 0 2 318.366 0.448 20 0 DCADLN CO[C@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)CC(C)C ZINC001591329072 1167218736 /nfs/dbraw/zinc/21/87/36/1167218736.db2.gz ABACHSBVTZHDJG-QMMMGPOBSA-N 0 2 323.357 0.139 20 0 DCADLN COc1ccc(C=O)cc1Cn1cncc(-c2nn[nH]n2)c1=O ZINC001591582221 1167333906 /nfs/dbraw/zinc/33/39/06/1167333906.db2.gz BCFQNPVMLCDLGQ-UHFFFAOYSA-N 0 2 312.289 0.293 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)NOC(C)C ZINC001591787308 1167381192 /nfs/dbraw/zinc/38/11/92/1167381192.db2.gz SXKIDEXCZBQRBF-UHFFFAOYSA-N 0 2 320.353 0.973 20 0 DCADLN Cc1cc(C)cc(Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)c1 ZINC001591953937 1167426319 /nfs/dbraw/zinc/42/63/19/1167426319.db2.gz FMTHOKWRUMYFIT-UHFFFAOYSA-N 0 2 312.333 0.392 20 0 DCADLN Cc1cc(F)ccc1Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001591993004 1167429719 /nfs/dbraw/zinc/42/97/19/1167429719.db2.gz KMGMOVZQLOIIKM-UHFFFAOYSA-N 0 2 302.269 0.625 20 0 DCADLN Cc1cn(C)nc1Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001592287937 1167484681 /nfs/dbraw/zinc/48/46/81/1167484681.db2.gz XPOCTWSRJHRIBI-UHFFFAOYSA-N 0 2 311.349 0.607 20 0 DCADLN Cc1nc(CC(=O)N2CCc3cc(-c4nn[nH]n4)ccc32)n[nH]1 ZINC001592326696 1167489085 /nfs/dbraw/zinc/48/90/85/1167489085.db2.gz MCIGGXHANCINFC-UHFFFAOYSA-N 0 2 310.321 0.425 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCC[C@]12CCOC2=O ZINC001592498897 1167539282 /nfs/dbraw/zinc/53/92/82/1167539282.db2.gz DFGOLPZTMGRNFP-CQSZACIVSA-N 0 2 317.305 0.690 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@H]1CC2(CCC2)CO1 ZINC001593489464 1167870546 /nfs/dbraw/zinc/87/05/46/1167870546.db2.gz ORZZOAQESZWZAS-MRVPVSSYSA-N 0 2 304.310 0.088 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCO[C@@H](C2CCC2)C1 ZINC001593493101 1167876264 /nfs/dbraw/zinc/87/62/64/1167876264.db2.gz YFYRRCVTZBSNFN-CYBMUJFWSA-N 0 2 316.365 0.487 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1CCN1CCc2ccccc21 ZINC001593494280 1167877598 /nfs/dbraw/zinc/87/75/98/1167877598.db2.gz QKXNVBVABCFCIR-UHFFFAOYSA-N 0 2 309.333 0.486 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1noc2c1COCC2 ZINC001593495325 1167878583 /nfs/dbraw/zinc/87/85/83/1167878583.db2.gz UTVUBHGGHLRGSN-UHFFFAOYSA-N 0 2 300.278 0.137 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1nnc(-c2ccco2)o1 ZINC001593495446 1167880244 /nfs/dbraw/zinc/88/02/44/1167880244.db2.gz BOFORUCUJAZYMT-UHFFFAOYSA-N 0 2 312.249 0.115 20 0 DCADLN Cc1cccc2c1O[C@@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])C2 ZINC001600559351 1168199335 /nfs/dbraw/zinc/19/93/35/1168199335.db2.gz ZKCVOSWRMNSXCZ-CHWSQXEVSA-N 0 2 315.329 0.765 20 0 DCADLN Cc1ccnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001600600254 1168208368 /nfs/dbraw/zinc/20/83/68/1168208368.db2.gz ZUTGRMXECOIEED-SVPLCASGSA-N 0 2 316.361 0.052 20 0 DCADLN Cc1ccnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001600600254 1168208370 /nfs/dbraw/zinc/20/83/70/1168208370.db2.gz ZUTGRMXECOIEED-SVPLCASGSA-N 0 2 316.361 0.052 20 0 DCADLN Cc1n[nH]cc1C[N@@H+](C)[C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001600674505 1168242950 /nfs/dbraw/zinc/24/29/50/1168242950.db2.gz KKDWJQYWCVIIMO-LLVKDONJSA-N 0 2 308.382 0.862 20 0 DCADLN Cc1n[nH]cc1C[N@H+](C)[C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001600674505 1168242963 /nfs/dbraw/zinc/24/29/63/1168242963.db2.gz KKDWJQYWCVIIMO-LLVKDONJSA-N 0 2 308.382 0.862 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)NC(=O)NCc1ccccc1 ZINC001600685333 1168249886 /nfs/dbraw/zinc/24/98/86/1168249886.db2.gz GMKRARHHYGWMRI-UHFFFAOYSA-N 0 2 316.317 0.916 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1NC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001600785182 1168295520 /nfs/dbraw/zinc/29/55/20/1168295520.db2.gz CMWOQPXAUIEGHZ-NSHDSACASA-N 0 2 310.354 0.244 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1NC(=O)[C@@H]1C[N@@H+](C)CCO1 ZINC001600785182 1168295525 /nfs/dbraw/zinc/29/55/25/1168295525.db2.gz CMWOQPXAUIEGHZ-NSHDSACASA-N 0 2 310.354 0.244 20 0 DCADLN Cc1noc(C)c1CCC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600807435 1168311789 /nfs/dbraw/zinc/31/17/89/1168311789.db2.gz UUGJWEKGHRZPLI-GFCCVEGCSA-N 0 2 306.322 0.690 20 0 DCADLN Cc1nonc1C[N@@H+]1CCC2(CN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001600818246 1168475063 /nfs/dbraw/zinc/47/50/63/1168475063.db2.gz CDNAVAUWPYAKGN-MNOVXSKESA-N 0 2 320.349 0.133 20 0 DCADLN Cc1nonc1C[N@H+]1CCC2(CN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001600818246 1168475067 /nfs/dbraw/zinc/47/50/67/1168475067.db2.gz CDNAVAUWPYAKGN-MNOVXSKESA-N 0 2 320.349 0.133 20 0 DCADLN Cn1cc(C[NH+]2CCN(c3cncc(C(=O)[O-])n3)CC2)cn1 ZINC001600953423 1168529730 /nfs/dbraw/zinc/52/97/30/1168529730.db2.gz SWMWVFFVHHARHZ-UHFFFAOYSA-N 0 2 302.338 0.231 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)CCCCCC(=O)[O-])c1 ZINC001600989136 1168547846 /nfs/dbraw/zinc/54/78/46/1168547846.db2.gz VIKCWNWRRZCNBK-UHFFFAOYSA-N 0 2 303.384 0.527 20 0 DCADLN Cn1cnc2cc(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])ccc21 ZINC001600991049 1168549324 /nfs/dbraw/zinc/54/93/24/1168549324.db2.gz LDMWUZOSZMKLIG-LBPRGKRZSA-N 0 2 313.317 0.653 20 0 DCADLN Cn1nc(C(=O)[O-])cc1NC(=O)NCCNc1cccc[nH+]1 ZINC001601005915 1168559589 /nfs/dbraw/zinc/55/95/89/1168559589.db2.gz LCSMNSMSEJKTFO-UHFFFAOYSA-N 0 2 304.310 0.747 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc2c1OCC2 ZINC001601568086 1168784813 /nfs/dbraw/zinc/78/48/13/1168784813.db2.gz SLQFCFSMWSQOIR-GFCCVEGCSA-N 0 2 301.302 0.770 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc2c1OCC2 ZINC001601568086 1168784814 /nfs/dbraw/zinc/78/48/14/1168784814.db2.gz SLQFCFSMWSQOIR-GFCCVEGCSA-N 0 2 301.302 0.770 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCO1)[NH2+]Cc1cc(=O)n2[nH]ccc2n1 ZINC001601641582 1168798991 /nfs/dbraw/zinc/79/89/91/1168798991.db2.gz MHQKCADXCWPWNW-GHMZBOCLSA-N 0 2 306.322 0.135 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC001601683807 1168815921 /nfs/dbraw/zinc/81/59/21/1168815921.db2.gz RPTFTFPCDMJKAX-DGCLKSJQSA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])C1=CC[C@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)C1 ZINC001601734414 1168839694 /nfs/dbraw/zinc/83/96/94/1168839694.db2.gz KRLXCCIBLPWQSE-KBPBESRZSA-N 0 2 323.393 0.666 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)[C@H]3CCn4c[nH+]cc4C3)C2)C1 ZINC001601738677 1168842576 /nfs/dbraw/zinc/84/25/76/1168842576.db2.gz HGPYJGUUGCTEJX-BONVTDFDSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001601777397 1168878797 /nfs/dbraw/zinc/87/87/97/1168878797.db2.gz CWGXHVYSVAAAQN-QWHCGFSZSA-N 0 2 320.349 0.429 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001601777397 1168878806 /nfs/dbraw/zinc/87/88/06/1168878806.db2.gz CWGXHVYSVAAAQN-QWHCGFSZSA-N 0 2 320.349 0.429 20 0 DCADLN O=C([O-])[C@H]1CSCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001601856904 1168918408 /nfs/dbraw/zinc/91/84/08/1168918408.db2.gz AQJXYMVPCXDVLM-LLVKDONJSA-N 0 2 309.391 0.791 20 0 DCADLN O=C([O-])[C@H]1Cc2ccc(O)cc2CN1C(=O)Cn1cc[nH+]c1 ZINC001601867903 1168920856 /nfs/dbraw/zinc/92/08/56/1168920856.db2.gz YEUPOFAXOAHFNI-CYBMUJFWSA-N 0 2 301.302 0.627 20 0 DCADLN O=C([O-])CCCONC(=O)CC1([NH+]2CCOCC2)CCCC1 ZINC001601996016 1168954584 /nfs/dbraw/zinc/95/45/84/1168954584.db2.gz YQTLRHSMNSOSAM-UHFFFAOYSA-N 0 2 314.382 0.934 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1CC[S@](=O)c1ccccc1 ZINC001602068758 1168986203 /nfs/dbraw/zinc/98/62/03/1168986203.db2.gz VMLKJOXQEDTAJJ-XMHCIUCPSA-N 0 2 324.402 0.459 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1CC[S@](=O)c1ccccc1 ZINC001602068758 1168986208 /nfs/dbraw/zinc/98/62/08/1168986208.db2.gz VMLKJOXQEDTAJJ-XMHCIUCPSA-N 0 2 324.402 0.459 20 0 DCADLN O=C([O-])COc1cccc(NC(=O)/C=C/C[NH+]2CCOCC2)c1 ZINC001602092664 1168992355 /nfs/dbraw/zinc/99/23/55/1168992355.db2.gz KDUOUYOCHYHWKR-GORDUTHDSA-N 0 2 320.345 0.977 20 0 DCADLN O=C([O-])c1cn([C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)nn1 ZINC001602492492 1169090889 /nfs/dbraw/zinc/09/08/89/1169090889.db2.gz CBXUMAGCPBGUBK-VIFPVBQESA-N 0 2 304.310 0.106 20 0 DCADLN O=C([O-])c1cnc(NC2CC[NH+]([C@H]3CCOC3=O)CC2)nc1 ZINC001602531542 1169107743 /nfs/dbraw/zinc/10/77/43/1169107743.db2.gz QCPYEZIKCWXGFD-NSHDSACASA-N 0 2 306.322 0.367 20 0 DCADLN O=C([O-])c1cnoc1C[NH+]1CCN(c2ncc(F)cn2)CC1 ZINC001602547692 1169113356 /nfs/dbraw/zinc/11/33/56/1169113356.db2.gz VPVMEMRLLWIZDH-UHFFFAOYSA-N 0 2 307.285 0.624 20 0 DCADLN O=C([O-])c1coc(S(=O)(=O)N2CC[NH2+]C[C@@H]2C(F)F)c1 ZINC001602558383 1169116671 /nfs/dbraw/zinc/11/66/71/1169116671.db2.gz MAUXDCNZPOXOMS-SSDOTTSWSA-N 0 2 310.278 0.205 20 0 DCADLN O=C([O-])c1cc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)ccn1 ZINC001602614301 1169130467 /nfs/dbraw/zinc/13/04/67/1169130467.db2.gz WCYKOBHCTPDNSS-TXEJJXNPSA-N 0 2 306.318 0.800 20 0 DCADLN O=C([O-])c1cc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)ccn1 ZINC001602614301 1169130469 /nfs/dbraw/zinc/13/04/69/1169130469.db2.gz WCYKOBHCTPDNSS-TXEJJXNPSA-N 0 2 306.318 0.800 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC001602630019 1169135615 /nfs/dbraw/zinc/13/56/15/1169135615.db2.gz RPNRXJJVQHKSBM-DOMZBBRYSA-N 0 2 324.764 0.967 20 0 DCADLN O=C([O-])[C@]1(O)CC[N@H+]([C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC001602630019 1169135620 /nfs/dbraw/zinc/13/56/20/1169135620.db2.gz RPNRXJJVQHKSBM-DOMZBBRYSA-N 0 2 324.764 0.967 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)N[C@@H]1CC=C(C(=O)[O-])C1 ZINC001603619081 1169334593 /nfs/dbraw/zinc/33/45/93/1169334593.db2.gz XDGBPECJRDLSEX-GFCCVEGCSA-N 0 2 311.382 0.570 20 0 DCADLN CC(C)[C@@H]1C[C@@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCO1 ZINC001603750753 1169376885 /nfs/dbraw/zinc/37/68/85/1169376885.db2.gz RNXWBZREDHLLJN-DRZSPHRISA-N 0 2 309.366 0.973 20 0 DCADLN CC(C)[C@@H]1C[C@@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCO1 ZINC001603750753 1169376893 /nfs/dbraw/zinc/37/68/93/1169376893.db2.gz RNXWBZREDHLLJN-DRZSPHRISA-N 0 2 309.366 0.973 20 0 DCADLN C[C@@H](CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])c1cnn(C)c1 ZINC001604067700 1169486467 /nfs/dbraw/zinc/48/64/67/1169486467.db2.gz CVKTUQFNCXCCMI-JQWIXIFHSA-N 0 2 305.338 0.380 20 0 DCADLN CC[C@@H]1CCC[C@@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001604100313 1169492561 /nfs/dbraw/zinc/49/25/61/1169492561.db2.gz LHUIVNAJXJZPQE-SFYZADRCSA-N 0 2 305.342 0.657 20 0 DCADLN CCCC(=O)Cn1nc(CC)c(CC)c(-c2nn[nH]n2)c1=O ZINC001604120579 1169500110 /nfs/dbraw/zinc/50/01/10/1169500110.db2.gz VFJILXJBRYNVRM-UHFFFAOYSA-N 0 2 304.354 0.917 20 0 DCADLN C[C@](Cn1cccn1)([NH2+]Cc1cccc(C(=O)[O-])n1)C(N)=O ZINC001604245099 1169532203 /nfs/dbraw/zinc/53/22/03/1169532203.db2.gz UDNLWMZJBKJNQP-CQSZACIVSA-N 0 2 303.322 0.010 20 0 DCADLN CCN(CC)c1nnc(-c2c[nH]c(=O)c(-c3nn[nH]n3)c2)n1C ZINC001604288583 1169549805 /nfs/dbraw/zinc/54/98/05/1169549805.db2.gz IYJKIZFKOBNJDF-UHFFFAOYSA-N 0 2 315.341 0.609 20 0 DCADLN C[C@@H](NC(=O)c1cncc(C(=O)[O-])c1)[C@H](C)[NH+]1CCOCC1 ZINC001604315720 1169552163 /nfs/dbraw/zinc/55/21/63/1169552163.db2.gz GKKBHBYWMQNHJF-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN C[C@H]([NH2+][C@@H](C)c1nncn1C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001604323346 1169555065 /nfs/dbraw/zinc/55/50/65/1169555065.db2.gz YVNSGBIHLPKFHZ-UWVGGRQHSA-N 0 2 309.370 0.177 20 0 DCADLN CCOC[C@@H]1CCC[N@H+](Cn2cccc(-c3nnn[n-]3)c2=O)C1 ZINC001604421113 1169590087 /nfs/dbraw/zinc/59/00/87/1169590087.db2.gz NKEYCUABMXGSCD-GFCCVEGCSA-N 0 2 318.381 0.734 20 0 DCADLN CCOC[C@@H]1CCC[N@@H+](Cn2cccc(-c3nnn[n-]3)c2=O)C1 ZINC001604421113 1169590091 /nfs/dbraw/zinc/59/00/91/1169590091.db2.gz NKEYCUABMXGSCD-GFCCVEGCSA-N 0 2 318.381 0.734 20 0 DCADLN C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC001604585758 1169624178 /nfs/dbraw/zinc/62/41/78/1169624178.db2.gz JRLJILYCNRROME-ZDUSSCGKSA-N 0 2 316.354 0.707 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+](CC)CC(=O)N(C)C)C(=O)[O-] ZINC001604928577 1169747570 /nfs/dbraw/zinc/74/75/70/1169747570.db2.gz FWANMAACFUNEGZ-MFKMUULPSA-N 0 2 301.387 0.012 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+](CC)CC(=O)N(C)C)C(=O)[O-] ZINC001604928577 1169747572 /nfs/dbraw/zinc/74/75/72/1169747572.db2.gz FWANMAACFUNEGZ-MFKMUULPSA-N 0 2 301.387 0.012 20 0 DCADLN CO[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CC[C@H]1C ZINC001605036160 1169788592 /nfs/dbraw/zinc/78/85/92/1169788592.db2.gz FTJVSMXNOUNLFE-PWSUYJOCSA-N 0 2 304.354 0.343 20 0 DCADLN COC[C@]1(C)CC[N@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001605065331 1169802510 /nfs/dbraw/zinc/80/25/10/1169802510.db2.gz QEXDGLPSZQMPSF-OAHLLOKOSA-N 0 2 318.381 0.653 20 0 DCADLN COC[C@]1(C)CC[N@@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001605065331 1169802521 /nfs/dbraw/zinc/80/25/21/1169802521.db2.gz QEXDGLPSZQMPSF-OAHLLOKOSA-N 0 2 318.381 0.653 20 0 DCADLN CCCCOC(=O)[C@H](C)[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001605281556 1169886630 /nfs/dbraw/zinc/88/66/30/1169886630.db2.gz GDTKIEWTIYOUCE-WDEREUQCSA-N 0 2 310.354 0.955 20 0 DCADLN CCCCOC(=O)[C@H](C)[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001605281556 1169886639 /nfs/dbraw/zinc/88/66/39/1169886639.db2.gz GDTKIEWTIYOUCE-WDEREUQCSA-N 0 2 310.354 0.955 20 0 DCADLN Cc1nc(CNC(=O)c2cccc(F)c2-c2nn[nH]n2)n[nH]1 ZINC001606010512 1170048137 /nfs/dbraw/zinc/04/81/37/1170048137.db2.gz FLMSGGBQIZHRKM-UHFFFAOYSA-N 0 2 302.273 0.362 20 0 DCADLN CN1C(=O)CN(C[N@@H+]2CCc3cc(C(=O)[O-])ccc3C2)C1=O ZINC001606189169 1170107597 /nfs/dbraw/zinc/10/75/97/1170107597.db2.gz DSCYZCKIPSCQGW-UHFFFAOYSA-N 0 2 303.318 0.594 20 0 DCADLN CN1C(=O)CN(C[N@H+]2CCc3cc(C(=O)[O-])ccc3C2)C1=O ZINC001606189169 1170107599 /nfs/dbraw/zinc/10/75/99/1170107599.db2.gz DSCYZCKIPSCQGW-UHFFFAOYSA-N 0 2 303.318 0.594 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2C[C@H]3CCC[C@H]32)c(-c2nn[nH]n2)c1=O ZINC001606206338 1170112927 /nfs/dbraw/zinc/11/29/27/1170112927.db2.gz VOJJPOYPEPBLTN-BWZBUEFSSA-N 0 2 303.326 0.267 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NC2CCC=CCC2)n(C)c1=O ZINC001606217688 1170117725 /nfs/dbraw/zinc/11/77/25/1170117725.db2.gz OSVKKWJJJVLPNP-UHFFFAOYSA-N 0 2 317.353 0.175 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)c2cccnc2C(=O)[O-])CC1(C)C ZINC001606245566 1170136072 /nfs/dbraw/zinc/13/60/72/1170136072.db2.gz PEUNXOGZODJONT-UHFFFAOYSA-N 0 2 313.379 0.495 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)c2cccnc2C(=O)[O-])CC1(C)C ZINC001606245566 1170136075 /nfs/dbraw/zinc/13/60/75/1170136075.db2.gz PEUNXOGZODJONT-UHFFFAOYSA-N 0 2 313.379 0.495 20 0 DCADLN O=C(CC[C@@H]1CCCCO1)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001606511924 1170224462 /nfs/dbraw/zinc/22/44/62/1170224462.db2.gz FXOHWTAFEQKDSA-NSHDSACASA-N 0 2 318.337 0.342 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001606515622 1170225360 /nfs/dbraw/zinc/22/53/60/1170225360.db2.gz ZIEWDKSVVMQIDR-NGZCFLSTSA-N 0 2 309.322 0.111 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001606515622 1170225364 /nfs/dbraw/zinc/22/53/64/1170225364.db2.gz ZIEWDKSVVMQIDR-NGZCFLSTSA-N 0 2 309.322 0.111 20 0 DCADLN COC1([C@@H](C(=O)[O-])[NH+]2CCN(Cc3ccno3)CC2)CCC1 ZINC001606707983 1170271302 /nfs/dbraw/zinc/27/13/02/1170271302.db2.gz HXRYXMOBOVWSJB-CYBMUJFWSA-N 0 2 309.366 0.815 20 0 DCADLN COCC1=CC[N@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)CC1 ZINC001606845837 1170311270 /nfs/dbraw/zinc/31/12/70/1170311270.db2.gz RSIBWFNFCQZXOU-UHFFFAOYSA-N 0 2 305.334 0.997 20 0 DCADLN COCC1=CC[N@@H+](CC(=O)Nc2cccc(C(=O)[O-])n2)CC1 ZINC001606845837 1170311281 /nfs/dbraw/zinc/31/12/81/1170311281.db2.gz RSIBWFNFCQZXOU-UHFFFAOYSA-N 0 2 305.334 0.997 20 0 DCADLN CO[C@@H]1C[N@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C[C@@H]1OC ZINC001607005314 1170352284 /nfs/dbraw/zinc/35/22/84/1170352284.db2.gz HVHOGDNHGKMFRX-BETUJISGSA-N 0 2 308.334 0.669 20 0 DCADLN CO[C@@H]1C[N@@H+](CC(=O)Nc2ccc(C(=O)[O-])cc2)C[C@@H]1OC ZINC001607005314 1170352291 /nfs/dbraw/zinc/35/22/91/1170352291.db2.gz HVHOGDNHGKMFRX-BETUJISGSA-N 0 2 308.334 0.669 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cc(-c2ccco2)on1 ZINC001607091100 1170390373 /nfs/dbraw/zinc/39/03/73/1170390373.db2.gz HASDMOZHNDXSKI-UHFFFAOYSA-N 0 2 311.261 0.720 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@H+]([C@H]1CCN(OC)C1=O)CC2 ZINC001607214752 1170415426 /nfs/dbraw/zinc/41/54/26/1170415426.db2.gz JACJWKKNAVKKDM-ZDUSSCGKSA-N 0 2 320.345 0.914 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@@H+]([C@H]1CCN(OC)C1=O)CC2 ZINC001607214752 1170415430 /nfs/dbraw/zinc/41/54/30/1170415430.db2.gz JACJWKKNAVKKDM-ZDUSSCGKSA-N 0 2 320.345 0.914 20 0 DCADLN COc1cc[nH+]cc1Cn1c(C)nnc1N1CC[C@@H](C(=O)[O-])C1 ZINC001607350989 1170433699 /nfs/dbraw/zinc/43/36/99/1170433699.db2.gz WXRSZMUHYGANSZ-LLVKDONJSA-N 0 2 317.349 0.949 20 0 DCADLN C[C@@H]1CN(C(=O)NCCCNc2cccc[nH+]2)C[C@@H](C(=O)[O-])O1 ZINC001607432688 1170452251 /nfs/dbraw/zinc/45/22/51/1170452251.db2.gz VYZAUIRRUUUZHO-NEPJUHHUSA-N 0 2 322.365 0.767 20 0 DCADLN Cc1cc(CN2CC[NH+](CC[S@](C)=O)CC2)[nH]c1C(=O)[O-] ZINC001607641478 1170488994 /nfs/dbraw/zinc/48/89/94/1170488994.db2.gz YUHLTJAWQVKMND-NRFANRHFSA-N 0 2 313.423 0.517 20 0 DCADLN Cc1cc(NC(=O)N2CCC([C@@H](O)C(=O)[O-])CC2)c(C)c[nH+]1 ZINC001607682819 1170494629 /nfs/dbraw/zinc/49/46/29/1170494629.db2.gz SNNZTGBNNQKTKI-CYBMUJFWSA-N 0 2 307.350 0.810 20 0 DCADLN Cc1n[nH]c([C@H]2CCC[N@H+](Cc3cnc(C(=O)[O-])cn3)C2)n1 ZINC001607953657 1170532758 /nfs/dbraw/zinc/53/27/58/1170532758.db2.gz NEXGVYSNLLZJKB-JTQLQIEISA-N 0 2 302.338 0.981 20 0 DCADLN Cc1n[nH]c([C@H]2CCC[N@@H+](Cc3cnc(C(=O)[O-])cn3)C2)n1 ZINC001607953657 1170532764 /nfs/dbraw/zinc/53/27/64/1170532764.db2.gz NEXGVYSNLLZJKB-JTQLQIEISA-N 0 2 302.338 0.981 20 0 DCADLN Cc1nc([C@H]2CCC[N@H+](Cc3cnc(C(=O)[O-])cn3)C2)n[nH]1 ZINC001607953657 1170532768 /nfs/dbraw/zinc/53/27/68/1170532768.db2.gz NEXGVYSNLLZJKB-JTQLQIEISA-N 0 2 302.338 0.981 20 0 DCADLN Cc1nc([C@H]2CCC[N@@H+](Cc3cnc(C(=O)[O-])cn3)C2)n[nH]1 ZINC001607953657 1170532776 /nfs/dbraw/zinc/53/27/76/1170532776.db2.gz NEXGVYSNLLZJKB-JTQLQIEISA-N 0 2 302.338 0.981 20 0 DCADLN Cc1ncc(C[NH+]2CC(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])C2)cn1 ZINC001607978837 1170538075 /nfs/dbraw/zinc/53/80/75/1170538075.db2.gz TZNOWGOMPKNHKD-QWHCGFSZSA-N 0 2 304.350 0.054 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)NCCc2ncc(C(=O)[O-])s2)c1 ZINC001608135822 1170589205 /nfs/dbraw/zinc/58/92/05/1170589205.db2.gz SRMZORNHUPWDBA-UHFFFAOYSA-N 0 2 323.378 0.659 20 0 DCADLN Cn1nc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c2ccccc21 ZINC001608149207 1170596790 /nfs/dbraw/zinc/59/67/90/1170596790.db2.gz XWZIOBAOCGRRPH-AWEZNQCLSA-N 0 2 316.361 0.739 20 0 DCADLN Cn1nc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c2ccccc21 ZINC001608149207 1170596793 /nfs/dbraw/zinc/59/67/93/1170596793.db2.gz XWZIOBAOCGRRPH-AWEZNQCLSA-N 0 2 316.361 0.739 20 0 DCADLN NC(=O)CC[N@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccccc1 ZINC001608234265 1170622748 /nfs/dbraw/zinc/62/27/48/1170622748.db2.gz UEWBIPIZNAORRQ-UHFFFAOYSA-N 0 2 317.349 0.240 20 0 DCADLN NC(=O)CC[N@@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccccc1 ZINC001608234265 1170622750 /nfs/dbraw/zinc/62/27/50/1170622750.db2.gz UEWBIPIZNAORRQ-UHFFFAOYSA-N 0 2 317.349 0.240 20 0 DCADLN Nc1cccc2c1cc[nH+]c2N1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001608274636 1170639621 /nfs/dbraw/zinc/63/96/21/1170639621.db2.gz OXDSOMXPYXWNMG-CYBMUJFWSA-N 0 2 314.345 0.987 20 0 DCADLN O=C([O-])[C@@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccccc1F ZINC001608280650 1170641612 /nfs/dbraw/zinc/64/16/12/1170641612.db2.gz AWPGQHJOGQJTRI-BYPUDFOVSA-N 0 2 322.336 0.956 20 0 DCADLN O=C([O-])[C@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccccc1F ZINC001608280651 1170641949 /nfs/dbraw/zinc/64/19/49/1170641949.db2.gz AWPGQHJOGQJTRI-OMVNSRBRSA-N 0 2 322.336 0.956 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cccc2c1CCOC2 ZINC001608404401 1170670278 /nfs/dbraw/zinc/67/02/78/1170670278.db2.gz ZZVWDGZCJYLOBM-AWEZNQCLSA-N 0 2 315.329 0.839 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001608487367 1170689381 /nfs/dbraw/zinc/68/93/81/1170689381.db2.gz ULNJSHYMQOCOEQ-LLVKDONJSA-N 0 2 315.289 0.417 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001608487367 1170689384 /nfs/dbraw/zinc/68/93/84/1170689384.db2.gz ULNJSHYMQOCOEQ-LLVKDONJSA-N 0 2 315.289 0.417 20 0 DCADLN O=C([O-])Cn1cc(CN2CCC(Nc3cccc[nH+]3)CC2)nn1 ZINC001608797231 1170756185 /nfs/dbraw/zinc/75/61/85/1170756185.db2.gz WKWYQVBFXXSUQL-UHFFFAOYSA-N 0 2 316.365 0.834 20 0 DCADLN O=C([O-])c1nc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cs1 ZINC001608995091 1170782323 /nfs/dbraw/zinc/78/23/23/1170782323.db2.gz GESBMUQHDZAGFO-SECBINFHSA-N 0 2 311.363 0.388 20 0 DCADLN O=C([O-])c1ncccc1S(=O)(=O)N1CCc2c[nH+]ccc21 ZINC001609000488 1170783839 /nfs/dbraw/zinc/78/38/39/1170783839.db2.gz CBSYZMXXBIQCFC-UHFFFAOYSA-N 0 2 305.315 0.926 20 0 DCADLN C[C@@]12CCN(Cn3cccc(-c4nn[nH]n4)c3=O)C[C@@H]1C2(F)F ZINC001609152265 1170829233 /nfs/dbraw/zinc/82/92/33/1170829233.db2.gz YWBUFNXJBYWGDE-GXFFZTMASA-N 0 2 322.319 0.963 20 0 DCADLN CCCc1nc(Cn2cnc(-c3nn[nH]n3)cc2=O)cs1 ZINC001609218150 1170876033 /nfs/dbraw/zinc/87/60/33/1170876033.db2.gz MEVCCPNDMIEEKD-UHFFFAOYSA-N 0 2 303.351 0.881 20 0 DCADLN Cc1nc([C@H](C)NC(=O)c2ccc(-c3nn[nH]n3)s2)n[nH]1 ZINC001609460310 1170984466 /nfs/dbraw/zinc/98/44/66/1170984466.db2.gz NTXJODDXTORKKE-YFKPBYRVSA-N 0 2 304.339 0.846 20 0 DCADLN Cn1ncc2c1nc(Oc1cccnc1-c1nn[nH]n1)[nH]c2=O ZINC001609482643 1170994594 /nfs/dbraw/zinc/99/45/94/1170994594.db2.gz LXXXGRDFWSGGFW-UHFFFAOYSA-N 0 2 311.265 0.436 20 0 DCADLN O=C(CCc1nc[nH]n1)OCc1cccnc1-c1nn[nH]n1 ZINC001609525333 1171006460 /nfs/dbraw/zinc/00/64/60/1171006460.db2.gz GJYGQGXMJZGZEG-UHFFFAOYSA-N 0 2 300.282 0.056 20 0 DCADLN O=C(C=Cc1ccccc1-c1nn[nH]n1)N1CCc2[nH]nnc2C1 ZINC001609518097 1171008201 /nfs/dbraw/zinc/00/82/01/1171008201.db2.gz AYPOXJSSENPXSO-AATRIKPKSA-N 0 2 322.332 0.583 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1CC1CCC2(CC1)OCCO2 ZINC001609604820 1171040323 /nfs/dbraw/zinc/04/03/23/1171040323.db2.gz PPZJPRNLEVFFMF-UHFFFAOYSA-N 0 2 318.337 0.357 20 0 DCADLN CC(C)NC(=O)CN1CC[NH+](Cc2occc2C(=O)[O-])CC1 ZINC001609707015 1171071738 /nfs/dbraw/zinc/07/17/38/1171071738.db2.gz DUUCIVMLKJMPCY-UHFFFAOYSA-N 0 2 309.366 0.620 20 0 DCADLN CCOC(=O)[C@H]1C[NH2+]CCN1C(=O)c1[nH]c(C)c(C(=O)[O-])c1C ZINC001610014276 1171139674 /nfs/dbraw/zinc/13/96/74/1171139674.db2.gz MGGWZFZWDLXPSS-SNVBAGLBSA-N 0 2 323.349 0.307 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@H]1C[C@H](C)O ZINC001610197834 1171195238 /nfs/dbraw/zinc/19/52/38/1171195238.db2.gz UIXWCVKZXLIKAC-UWVGGRQHSA-N 0 2 311.338 0.561 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@H]1C[C@H](C)O ZINC001610197834 1171195239 /nfs/dbraw/zinc/19/52/39/1171195239.db2.gz UIXWCVKZXLIKAC-UWVGGRQHSA-N 0 2 311.338 0.561 20 0 DCADLN Cc1cc(N2CCC[C@@H]2C(=O)NCC(=O)[O-])nc(C2CC2)[nH+]1 ZINC001610468614 1171238511 /nfs/dbraw/zinc/23/85/11/1171238511.db2.gz VXZZYSZQFOJLFD-LLVKDONJSA-N 0 2 304.350 0.832 20 0 DCADLN Cc1cccc(N2CC[NH+]([C@@H](C)C(=O)NCC(=O)[O-])CC2)c1 ZINC001610524187 1171247115 /nfs/dbraw/zinc/24/71/15/1171247115.db2.gz BATKYTYTWWGOJX-ZDUSSCGKSA-N 0 2 305.378 0.706 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1Cc1nnnn1CC1CCOCC1 ZINC001610552623 1171252885 /nfs/dbraw/zinc/25/28/85/1171252885.db2.gz XQQGBUHYTGRPOL-UHFFFAOYSA-N 0 2 306.326 0.351 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@H+](CC(=O)NCc3ccco3)C2)nn1 ZINC001610664410 1171285387 /nfs/dbraw/zinc/28/53/87/1171285387.db2.gz QNZTYRMGRUAGKU-JTQLQIEISA-N 0 2 319.321 0.133 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@@H+](CC(=O)NCc3ccco3)C2)nn1 ZINC001610664410 1171285393 /nfs/dbraw/zinc/28/53/93/1171285393.db2.gz QNZTYRMGRUAGKU-JTQLQIEISA-N 0 2 319.321 0.133 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)CSCc1ccncc1 ZINC001610672054 1171287661 /nfs/dbraw/zinc/28/76/61/1171287661.db2.gz GLLDLOITFFSGNW-LBPRGKRZSA-N 0 2 320.374 0.781 20 0 DCADLN O=C([O-])CCc1ccc(NC(=O)CN2CC[NH2+]CC2=O)cc1 ZINC001610777474 1171320861 /nfs/dbraw/zinc/32/08/61/1171320861.db2.gz OFEYDUJAXTXVKY-UHFFFAOYSA-N 0 2 305.334 0.074 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cccc2c1OCO2 ZINC001610783096 1171323118 /nfs/dbraw/zinc/32/31/18/1171323118.db2.gz MONHZGGLCAGNOI-NSHDSACASA-N 0 2 306.318 0.581 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1Cc1cccc2c1OCO2 ZINC001610783096 1171323124 /nfs/dbraw/zinc/32/31/24/1171323124.db2.gz MONHZGGLCAGNOI-NSHDSACASA-N 0 2 306.318 0.581 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1ccnc2[nH]c(=O)[nH]c21 ZINC001611128917 1171352587 /nfs/dbraw/zinc/35/25/87/1171352587.db2.gz LHDPNPKAUSKCQK-UHFFFAOYSA-N 0 2 323.268 0.605 20 0 DCADLN CCOC(=O)NCCC(=O)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000042360984 1171524907 /nfs/dbraw/zinc/52/49/07/1171524907.db2.gz UCMMESUTFCVNFL-UHFFFAOYSA-N 0 2 323.353 0.186 20 0 DCADLN O=C(C=CCn1cncn1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001640379059 1171877574 /nfs/dbraw/zinc/87/75/74/1171877574.db2.gz BYKUJICMVVLXNJ-GORDUTHDSA-N 0 2 311.305 0.964 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cnc3ccnn3c2C(F)F)C1=O ZINC001641390654 1171913906 /nfs/dbraw/zinc/91/39/06/1171913906.db2.gz MACUXSYMQAEYMU-UHFFFAOYSA-N 0 2 324.247 0.206 20 0 DCADLN C[C@H]1CN(CCO)CC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC001643406960 1171974787 /nfs/dbraw/zinc/97/47/87/1171974787.db2.gz KEVCMAFQUCBWCT-AWEZNQCLSA-N 0 2 307.394 0.233 20 0 DCADLN C[C@H]1CN(CCO)CC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC001643406960 1171974793 /nfs/dbraw/zinc/97/47/93/1171974793.db2.gz KEVCMAFQUCBWCT-AWEZNQCLSA-N 0 2 307.394 0.233 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@H](C)C[C@H](C)O ZINC001645572158 1172059588 /nfs/dbraw/zinc/05/95/88/1172059588.db2.gz QQMPJNKFKWOJIX-ZJUUUORDSA-N 0 2 306.366 0.397 20 0 DCADLN C[C@H](C[C@@H]1CCOC1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001645604670 1172072017 /nfs/dbraw/zinc/07/20/17/1172072017.db2.gz BEXQNROIJSHWJR-ZJUUUORDSA-N 0 2 305.338 0.003 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC001646723532 1172493379 /nfs/dbraw/zinc/49/33/79/1172493379.db2.gz UTBSJYLMLDDUJP-XQQFMLRXSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1Cc1cc(C(=O)[O-])nn1C ZINC001647344929 1172828154 /nfs/dbraw/zinc/82/81/54/1172828154.db2.gz XYEICUUZEMTFFP-DGCLKSJQSA-N 0 2 308.382 0.413 20 0 DCADLN CC(C)(CNC(=O)N[C@](C)(CCF)C(=O)[O-])[NH+]1CCOCC1 ZINC001647422971 1172879710 /nfs/dbraw/zinc/87/97/10/1172879710.db2.gz OWNZXPUMNHKECH-CQSZACIVSA-N 0 2 319.377 0.599 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnnn1-c1cccc(F)c1 ZINC001647997242 1173064061 /nfs/dbraw/zinc/06/40/61/1173064061.db2.gz QIQVBLBKKXNJPF-UHFFFAOYSA-N 0 2 316.252 0.053 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CC[N@@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001649864185 1173269580 /nfs/dbraw/zinc/26/95/80/1173269580.db2.gz JJNJETVWLWMNOF-NXEZZACHSA-N 0 2 323.374 0.804 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CC[N@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001649864185 1173269586 /nfs/dbraw/zinc/26/95/86/1173269586.db2.gz JJNJETVWLWMNOF-NXEZZACHSA-N 0 2 323.374 0.804 20 0 DCADLN CC(C)(CCNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)C(=O)[O-] ZINC001649880435 1173279294 /nfs/dbraw/zinc/27/92/94/1173279294.db2.gz JRVFDHAEESBMIZ-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@](CCF)(NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC001650021959 1173384389 /nfs/dbraw/zinc/38/43/89/1173384389.db2.gz FNBWZTBEHQKPKG-AWEZNQCLSA-N 0 2 319.377 0.599 20 0 DCADLN C[C@@](CCF)(NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC001650021959 1173384393 /nfs/dbraw/zinc/38/43/93/1173384393.db2.gz FNBWZTBEHQKPKG-AWEZNQCLSA-N 0 2 319.377 0.599 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2[nH]cnc2c1F ZINC001654366421 1173805324 /nfs/dbraw/zinc/80/53/24/1173805324.db2.gz WRVQNRGLJYWHKF-UHFFFAOYSA-N 0 2 304.285 0.888 20 0 DCADLN COCCC[N@H+]1CC[C@@H]1CN(C)C(=O)CCc1[nH+]ccn1C ZINC001656081112 1173836461 /nfs/dbraw/zinc/83/64/61/1173836461.db2.gz URUOXEBESRYJAT-CQSZACIVSA-N 0 2 308.426 0.922 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001666699376 1174626114 /nfs/dbraw/zinc/62/61/14/1174626114.db2.gz YTJLHCAMHXELAS-SDKXAQGSSA-N 0 2 309.370 0.184 20 0 DCADLN COCCOCCNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001667344139 1174675863 /nfs/dbraw/zinc/67/58/63/1174675863.db2.gz WJNUTKFGXYKAPY-JTQLQIEISA-N 0 2 313.358 0.062 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2c(Cl)ccnc2Cl)n1 ZINC001671309761 1175008239 /nfs/dbraw/zinc/00/82/39/1175008239.db2.gz ITUJMWHDDOJIFG-UHFFFAOYSA-N 0 2 309.138 0.713 20 0 DCADLN C[C@@H](CO)CCCNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001681304871 1175888107 /nfs/dbraw/zinc/88/81/07/1175888107.db2.gz NSNQWSKINZSKGI-MNOVXSKESA-N 0 2 311.386 0.808 20 0 DCADLN Cc1c(CC(=O)NCCNC(=O)C(F)C(F)(F)F)cnn1C ZINC001686683308 1176190787 /nfs/dbraw/zinc/19/07/87/1176190787.db2.gz LZKKVJAEXBKVGQ-SNVBAGLBSA-N 0 2 324.278 0.404 20 0 DCADLN Cc1c(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cnn1C ZINC001686683308 1176190792 /nfs/dbraw/zinc/19/07/92/1176190792.db2.gz LZKKVJAEXBKVGQ-SNVBAGLBSA-N 0 2 324.278 0.404 20 0 DCADLN CO[C@@H](C(=O)NCCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001686983122 1176259596 /nfs/dbraw/zinc/25/95/96/1176259596.db2.gz DDMSETZXLZJOJM-RKDXNWHRSA-N 0 2 314.279 0.934 20 0 DCADLN CO[C@@H](C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001686983122 1176259598 /nfs/dbraw/zinc/25/95/98/1176259598.db2.gz DDMSETZXLZJOJM-RKDXNWHRSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(CCOCC1CC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001690090226 1177167877 /nfs/dbraw/zinc/16/78/77/1177167877.db2.gz AEINYVYMSRQHGR-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCC[N@H+]2C)CC[NH+]1CCOCC(F)F ZINC001692348435 1177490726 /nfs/dbraw/zinc/49/07/26/1177490726.db2.gz VQLZSSISTCYKTK-AGIUHOORSA-N 0 2 319.396 0.941 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(=O)C(C)(C)C)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001692350538 1177492264 /nfs/dbraw/zinc/49/22/64/1177492264.db2.gz PWITVUSUGNZOEA-BDAKNGLRSA-N 0 2 309.370 0.205 20 0 DCADLN CC(C)COCC[N@@H+]1CC[C@@](O)(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001699908985 1178367240 /nfs/dbraw/zinc/36/72/40/1178367240.db2.gz XHYAPLJCTDPUBI-MRXNPFEDSA-N 0 2 324.425 0.178 20 0 DCADLN Cc1nc[nH]c1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001703381041 1179511563 /nfs/dbraw/zinc/51/15/63/1179511563.db2.gz JRGMQHJXKXOREF-QMMMGPOBSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1nc[nH]c1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001703381041 1179511567 /nfs/dbraw/zinc/51/15/67/1179511567.db2.gz JRGMQHJXKXOREF-QMMMGPOBSA-N 0 2 310.251 0.855 20 0 DCADLN COCCCCC(=O)N1CC(CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001721407972 1183934622 /nfs/dbraw/zinc/93/46/22/1183934622.db2.gz YZGUNKQZLCWTFY-UHFFFAOYSA-N 0 2 322.409 0.652 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1ccncn1 ZINC001721870634 1184086614 /nfs/dbraw/zinc/08/66/14/1184086614.db2.gz FLXZCQDAZTVXOI-VWCDRPFISA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1ccncn1 ZINC001721870634 1184086622 /nfs/dbraw/zinc/08/66/22/1184086622.db2.gz FLXZCQDAZTVXOI-VWCDRPFISA-N 0 2 320.246 0.779 20 0 DCADLN CCc1noc(CN(C)CCCNC(=O)C[C@@H]2CCC(=O)N2)n1 ZINC001731271291 1185231236 /nfs/dbraw/zinc/23/12/36/1185231236.db2.gz GEDARWMQDGRCSO-NSHDSACASA-N 0 2 323.397 0.239 20 0 DCADLN CN(CCCNC(=O)CCc1cn[nH]c1)Cc1cnnn1C ZINC001731270877 1185232912 /nfs/dbraw/zinc/23/29/12/1185232912.db2.gz BPWDGFQJQNPQCU-UHFFFAOYSA-N 0 2 305.386 0.109 20 0 DCADLN CCCCOCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001732061071 1185653192 /nfs/dbraw/zinc/65/31/92/1185653192.db2.gz RDNFPLCVWDKQNB-TXEJJXNPSA-N 0 2 323.397 0.502 20 0 DCADLN CC(C)=CC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001732092507 1185666810 /nfs/dbraw/zinc/66/68/10/1185666810.db2.gz NLWNCUUYDYFBDV-UHFFFAOYSA-N 0 2 301.350 0.289 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@H+](C)CCOCC2CC2)c1[O-] ZINC001733034902 1186110582 /nfs/dbraw/zinc/11/05/82/1186110582.db2.gz WFJJWORFXMRBTK-SNVBAGLBSA-N 0 2 310.398 0.900 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)C[N@@H+](C)CCOCC2CC2)c1[O-] ZINC001733034902 1186110584 /nfs/dbraw/zinc/11/05/84/1186110584.db2.gz WFJJWORFXMRBTK-SNVBAGLBSA-N 0 2 310.398 0.900 20 0 DCADLN COCCCN1CCOC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001733701081 1186404629 /nfs/dbraw/zinc/40/46/29/1186404629.db2.gz FCFYPKZAAMBVLE-ZJUUUORDSA-N 0 2 316.295 0.740 20 0 DCADLN COCC(C)(C)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734626775 1186710521 /nfs/dbraw/zinc/71/05/21/1186710521.db2.gz AIMUPYNXLMIYSQ-UHFFFAOYSA-N 0 2 323.397 0.431 20 0 DCADLN COCC(C)(C)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001734626775 1186710524 /nfs/dbraw/zinc/71/05/24/1186710524.db2.gz AIMUPYNXLMIYSQ-UHFFFAOYSA-N 0 2 323.397 0.431 20 0 DCADLN O=C(CC1OCCCO1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001736725096 1187068045 /nfs/dbraw/zinc/06/80/45/1187068045.db2.gz OEMKSZLCRBFVJL-VIFPVBQESA-N 0 2 316.251 0.272 20 0 DCADLN O=C(CC1OCCCO1)NCCNC(=O)C(F)C(F)(F)F ZINC001736725096 1187068041 /nfs/dbraw/zinc/06/80/41/1187068041.db2.gz OEMKSZLCRBFVJL-VIFPVBQESA-N 0 2 316.251 0.272 20 0 DCADLN Cn1ccnc1CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001736784786 1187103901 /nfs/dbraw/zinc/10/39/01/1187103901.db2.gz BWTIGIIYRDAWKM-SNVBAGLBSA-N 0 2 324.278 0.486 20 0 DCADLN CCCOCC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001737738083 1187396890 /nfs/dbraw/zinc/39/68/90/1187396890.db2.gz UCTJPGAFDLQTAV-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCCOCC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001737738083 1187396892 /nfs/dbraw/zinc/39/68/92/1187396892.db2.gz UCTJPGAFDLQTAV-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCn1ncc(C[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001751959476 1188366727 /nfs/dbraw/zinc/36/67/27/1188366727.db2.gz UJKDBXGQXATYBR-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN CCn1ncc(C[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001751959476 1188366730 /nfs/dbraw/zinc/36/67/30/1188366730.db2.gz UJKDBXGQXATYBR-UHFFFAOYSA-N 0 2 321.385 0.287 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001756510039 1189366735 /nfs/dbraw/zinc/36/67/35/1189366735.db2.gz CMBABHOSEDNVSB-RKDXNWHRSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C1CC=CC1)[C@@H](F)C(F)(F)F ZINC001756510039 1189366740 /nfs/dbraw/zinc/36/67/40/1189366740.db2.gz CMBABHOSEDNVSB-RKDXNWHRSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NCC=CCNC(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC001759718100 1190278862 /nfs/dbraw/zinc/27/88/62/1190278862.db2.gz NYXQXQZEHFAOII-TWJVDONLSA-N 0 2 309.223 0.107 20 0 DCADLN Cc1nonc1C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001759719007 1190278869 /nfs/dbraw/zinc/27/88/69/1190278869.db2.gz SIYFXOJRFJRRPU-UFUPEUMYSA-N 0 2 324.234 0.681 20 0 DCADLN Cc1nonc1C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001759719007 1190278871 /nfs/dbraw/zinc/27/88/71/1190278871.db2.gz SIYFXOJRFJRRPU-UFUPEUMYSA-N 0 2 324.234 0.681 20 0 DCADLN Cc1nc(C)c(C[N@H+]2C[C@@H](NC(=O)c3n[nH]cc3F)[C@@H](O)C2)o1 ZINC001083758644 751411340 /nfs/dbraw/zinc/41/13/40/751411340.db2.gz MGZYEEWCHOPSAY-MNOVXSKESA-N 0 2 323.328 0.129 20 0 DCADLN CN(C(=O)c1ccccc1O)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042488429 751686172 /nfs/dbraw/zinc/68/61/72/751686172.db2.gz VRGPVHVVAYFANR-UHFFFAOYSA-N 0 2 303.322 0.172 20 0 DCADLN CC(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042880070 751962933 /nfs/dbraw/zinc/96/29/33/751962933.db2.gz CPVOBMMEOUWIOA-AAEUAGOBSA-N 0 2 323.397 0.214 20 0 DCADLN CC(C)[C@H]1OCC[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042880072 751963032 /nfs/dbraw/zinc/96/30/32/751963032.db2.gz CPVOBMMEOUWIOA-WCQYABFASA-N 0 2 323.397 0.214 20 0 DCADLN COc1cc(C[NH+]2CCOCC2)ccc1N[C@@H]1CO[N-]C1=O ZINC001167523049 747354341 /nfs/dbraw/zinc/35/43/41/747354341.db2.gz XCCSUXWNMNCLIW-CYBMUJFWSA-N 0 2 307.350 0.369 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccco2)C1)C(F)C(F)(F)F ZINC001043373579 752200433 /nfs/dbraw/zinc/20/04/33/752200433.db2.gz BIADVXMNQOAREF-MRVPVSSYSA-N 0 2 324.230 0.483 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccco2)C1)[C@@H](F)C(F)(F)F ZINC001043373579 752200439 /nfs/dbraw/zinc/20/04/39/752200439.db2.gz BIADVXMNQOAREF-MRVPVSSYSA-N 0 2 324.230 0.483 20 0 DCADLN CC[C@@H](F)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043378531 752203809 /nfs/dbraw/zinc/20/38/09/752203809.db2.gz YUKHGEVAWUYDHD-RQJHMYQMSA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@@H](F)C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043378531 752203814 /nfs/dbraw/zinc/20/38/14/752203814.db2.gz YUKHGEVAWUYDHD-RQJHMYQMSA-N 0 2 318.242 0.324 20 0 DCADLN C[C@@]1(CNC(=O)C2CCCC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107679747 752206533 /nfs/dbraw/zinc/20/65/33/752206533.db2.gz HIDRCONUWFJGIV-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001058849918 748382638 /nfs/dbraw/zinc/38/26/38/748382638.db2.gz VCCJSOHYFXTEDS-QWRGUYRKSA-N 0 2 315.381 0.460 20 0 DCADLN C[N@H+]1CCC[C@H]1C(=O)N1CC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001058849918 748382645 /nfs/dbraw/zinc/38/26/45/748382645.db2.gz VCCJSOHYFXTEDS-QWRGUYRKSA-N 0 2 315.381 0.460 20 0 DCADLN CC[C@H](C)C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107751816 752282898 /nfs/dbraw/zinc/28/28/98/752282898.db2.gz KHHKPSGOLODKSU-HZMBPMFUSA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccncc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087485966 748840597 /nfs/dbraw/zinc/84/05/97/748840597.db2.gz HIDQXYNHRNAKQP-NWDGAFQWSA-N 0 2 316.365 0.688 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccncc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087485966 748840599 /nfs/dbraw/zinc/84/05/99/748840599.db2.gz HIDQXYNHRNAKQP-NWDGAFQWSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CCOCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088443650 749447172 /nfs/dbraw/zinc/44/71/72/749447172.db2.gz NLFRWNSRKDPMTO-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CCOCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088443650 749447181 /nfs/dbraw/zinc/44/71/81/749447181.db2.gz NLFRWNSRKDPMTO-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cncn2C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071655477 762030484 /nfs/dbraw/zinc/03/04/84/762030484.db2.gz NCBIYQYKIILDPW-NXEZZACHSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)N[C@H]2CC[NH+](Cc3cnnn3C)[C@@H]2C)C1 ZINC001088859815 750660377 /nfs/dbraw/zinc/66/03/77/750660377.db2.gz NFZOWWIXIXYMKM-NFAWXSAZSA-N 0 2 320.441 0.236 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@]2(C)CCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088942764 750740119 /nfs/dbraw/zinc/74/01/19/750740119.db2.gz GELXIRLJMCESQW-BFVZDQMLSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@]2(C)CCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088942764 750740121 /nfs/dbraw/zinc/74/01/21/750740121.db2.gz GELXIRLJMCESQW-BFVZDQMLSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088955191 750744799 /nfs/dbraw/zinc/74/47/99/750744799.db2.gz VXMUTFFIRDVMOP-BDAKNGLRSA-N 0 2 306.326 0.200 20 0 DCADLN O=C(CCCF)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001113212328 762191193 /nfs/dbraw/zinc/19/11/93/762191193.db2.gz DAANYOGOFGGKSF-UHFFFAOYSA-N 0 2 321.356 0.417 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096036469 753261384 /nfs/dbraw/zinc/26/13/84/753261384.db2.gz IQZWHNXUDPUQLH-JCIQBVFBSA-N 0 2 305.382 0.778 20 0 DCADLN Cc1occc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046130592 753497734 /nfs/dbraw/zinc/49/77/34/753497734.db2.gz QFIFQOXANJTNOC-CQSZACIVSA-N 0 2 305.338 0.806 20 0 DCADLN CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)Cn2c[nH+]c3c2CCCC3)C1 ZINC001047461008 754304182 /nfs/dbraw/zinc/30/41/82/754304182.db2.gz RUKYWMIQOKRMDS-GJZGRUSLSA-N 0 2 306.410 0.285 20 0 DCADLN [NH3+]Cc1nc(=O)[nH]n1-c1ccc(Br)cc1C(=O)[O-] ZINC001168761101 754594978 /nfs/dbraw/zinc/59/49/78/754594978.db2.gz ZDKHCBXNYUYWMJ-UHFFFAOYSA-N 0 2 313.111 0.892 20 0 DCADLN C[C@H](CNc1ccc2nnnn2n1)NC(=O)C(F)C(F)(F)F ZINC001108171030 754873243 /nfs/dbraw/zinc/87/32/43/754873243.db2.gz WVYXCLHXRCJCMG-XRGYYRRGSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@H](CNc1ccc2nnnn2n1)NC(=O)[C@H](F)C(F)(F)F ZINC001108171030 754873246 /nfs/dbraw/zinc/87/32/46/754873246.db2.gz WVYXCLHXRCJCMG-XRGYYRRGSA-N 0 2 321.238 0.336 20 0 DCADLN O=C(C(F)F)N1CCC[C@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049266050 755211923 /nfs/dbraw/zinc/21/19/23/755211923.db2.gz IFTZDIPQTZVXHW-YUMQZZPRSA-N 0 2 301.297 0.341 20 0 DCADLN CCC(=O)N1CCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001064697153 755452065 /nfs/dbraw/zinc/45/20/65/755452065.db2.gz UHEWVLNFPIUCEL-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)N1CCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001064697153 755452068 /nfs/dbraw/zinc/45/20/68/755452068.db2.gz UHEWVLNFPIUCEL-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C(F)F)CCO1)C(F)C(F)(F)F ZINC001064727254 755476964 /nfs/dbraw/zinc/47/69/64/755476964.db2.gz ABUVPAYIDJNBIZ-WDSKDSINSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C(F)F)CCO1)[C@H](F)C(F)(F)F ZINC001064727254 755476967 /nfs/dbraw/zinc/47/69/67/755476967.db2.gz ABUVPAYIDJNBIZ-WDSKDSINSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@@H](Nc1[nH+]cnc2nc[nH]c21)C1CC1)c1nnc[n-]1 ZINC001096705283 755503618 /nfs/dbraw/zinc/50/36/18/755503618.db2.gz MCQPKHRQGIJOAL-MRVPVSSYSA-N 0 2 313.325 0.092 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001079541824 755902250 /nfs/dbraw/zinc/90/22/50/755902250.db2.gz DYAHSJGOMNPSOU-HMUNZLOLSA-N 0 2 305.382 0.493 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001079541824 755902255 /nfs/dbraw/zinc/90/22/55/755902255.db2.gz DYAHSJGOMNPSOU-HMUNZLOLSA-N 0 2 305.382 0.493 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001079900898 756032655 /nfs/dbraw/zinc/03/26/55/756032655.db2.gz AYMPQROHTGJABR-QMMMGPOBSA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001079900898 756032661 /nfs/dbraw/zinc/03/26/61/756032661.db2.gz AYMPQROHTGJABR-QMMMGPOBSA-N 0 2 322.262 0.983 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCC1(C)C ZINC001080295252 756164031 /nfs/dbraw/zinc/16/40/31/756164031.db2.gz MOCQYIHMUOXNGU-OUAUKWLOSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCC1(C)C ZINC001080295252 756164035 /nfs/dbraw/zinc/16/40/35/756164035.db2.gz MOCQYIHMUOXNGU-OUAUKWLOSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]2C)no1 ZINC001054582133 756550139 /nfs/dbraw/zinc/55/01/39/756550139.db2.gz REMWYNQTTBJDFE-UFBFGSQYSA-N 0 2 319.365 0.970 20 0 DCADLN CC(C)(C)CC(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001083002131 757405266 /nfs/dbraw/zinc/40/52/66/757405266.db2.gz UOFSJBAPZHHUIB-WDEREUQCSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(C1CC=CC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084246265 757734693 /nfs/dbraw/zinc/73/46/93/757734693.db2.gz UISFCYPIWJMBFW-VXGBXAGGSA-N 0 2 303.366 0.509 20 0 DCADLN O=C(CC1CCC1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084252878 757738656 /nfs/dbraw/zinc/73/86/56/757738656.db2.gz NHIZDBLQLNVLCV-VXGBXAGGSA-N 0 2 305.382 0.733 20 0 DCADLN C[C@H](C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1CC1 ZINC001084258983 757763765 /nfs/dbraw/zinc/76/37/65/757763765.db2.gz CIMYOQIAXKAYRS-MVWJERBFSA-N 0 2 305.382 0.589 20 0 DCADLN CC1(C)CC(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)C1 ZINC001084321420 757783680 /nfs/dbraw/zinc/78/36/80/757783680.db2.gz SPGRXQCDJHLLRY-ZYHUDNBSSA-N 0 2 319.409 0.979 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084672085 757958288 /nfs/dbraw/zinc/95/82/88/757958288.db2.gz ZTYNNDSVFAPUBF-DDHJBXDOSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CCCCO1 ZINC001085545254 759026747 /nfs/dbraw/zinc/02/67/47/759026747.db2.gz GTUUYNGKHYKWOF-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN Cc1nccc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122762386 767872097 /nfs/dbraw/zinc/87/20/97/767872097.db2.gz YNMICHDPFZICCJ-IONNQARKSA-N 0 2 310.251 0.574 20 0 DCADLN O=C(NC[C@@H](CO)Nc1ncc(F)cn1)C(F)C(F)(F)F ZINC001122762045 767872149 /nfs/dbraw/zinc/87/21/49/767872149.db2.gz WTDKOCWOORVMOU-BQBZGAKWSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](CO)Nc1ncc(F)cn1)[C@H](F)C(F)(F)F ZINC001122762045 767872157 /nfs/dbraw/zinc/87/21/57/767872157.db2.gz WTDKOCWOORVMOU-BQBZGAKWSA-N 0 2 314.214 0.405 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H]2C[C@H](CNC(=O)c3nnc[nH]3)C2)o1 ZINC001086507758 760272159 /nfs/dbraw/zinc/27/21/59/760272159.db2.gz KFRCWINEQGVFFN-SZEHBUNVSA-N 0 2 305.342 0.355 20 0 DCADLN CCc1nc(CN[C@H]2C[C@H](CNC(=O)c3ccncn3)C2)no1 ZINC001086508343 760273942 /nfs/dbraw/zinc/27/39/42/760273942.db2.gz OBXSOCDYOBIXJT-XYPYZODXSA-N 0 2 316.365 0.720 20 0 DCADLN CC(C)OCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001108962710 761172239 /nfs/dbraw/zinc/17/22/39/761172239.db2.gz RKZFWWAPULDKHL-WOPDTQHZSA-N 0 2 323.397 0.547 20 0 DCADLN CC(C)OCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001108962710 761172245 /nfs/dbraw/zinc/17/22/45/761172245.db2.gz RKZFWWAPULDKHL-WOPDTQHZSA-N 0 2 323.397 0.547 20 0 DCADLN COCC(=O)N1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001070359830 761186421 /nfs/dbraw/zinc/18/64/21/761186421.db2.gz XRRWUPJDDOCUPB-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001070359830 761186426 /nfs/dbraw/zinc/18/64/26/761186426.db2.gz XRRWUPJDDOCUPB-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccnn2C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071383480 761811408 /nfs/dbraw/zinc/81/14/08/761811408.db2.gz IBVAHCNRWKPUBS-NXEZZACHSA-N 0 2 319.369 0.027 20 0 DCADLN O=C(C1CCC1)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001099554753 762898485 /nfs/dbraw/zinc/89/84/85/762898485.db2.gz YFJYWYLAUSMSBF-UHFFFAOYSA-N 0 2 315.377 0.467 20 0 DCADLN CCCC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CC1 ZINC001100003264 763203562 /nfs/dbraw/zinc/20/35/62/763203562.db2.gz CELFXHMURUJBPO-GHMZBOCLSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)CC2(O)CCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132017141 764198394 /nfs/dbraw/zinc/19/83/94/764198394.db2.gz MHKKPGWADXAXAR-GHMZBOCLSA-N 0 2 323.397 0.285 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)CC2(O)CCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132017141 764198404 /nfs/dbraw/zinc/19/84/04/764198404.db2.gz MHKKPGWADXAXAR-GHMZBOCLSA-N 0 2 323.397 0.285 20 0 DCADLN Cc1ncc(C[NH2+]CCNC(=O)[C@H]2C[N@H+](C(C)C)CCO2)o1 ZINC001132848686 764691183 /nfs/dbraw/zinc/69/11/83/764691183.db2.gz OSTXYEKCBOLMTR-CQSZACIVSA-N 0 2 310.398 0.298 20 0 DCADLN Cc1nccc(NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001124808867 768307031 /nfs/dbraw/zinc/30/70/31/768307031.db2.gz NCSVFHIYJINLGZ-PSASIEDQSA-N 0 2 324.278 0.917 20 0 DCADLN CS(=O)(=O)N1CC[NH+](Cc2sccc2C(=O)[O-])CC1 ZINC001144669874 767002059 /nfs/dbraw/zinc/00/20/59/767002059.db2.gz ACXRBETYVQHSCE-UHFFFAOYSA-N 0 2 304.393 0.524 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CC(c2ccccn2)=NO1 ZINC001138525628 768423049 /nfs/dbraw/zinc/42/30/49/768423049.db2.gz SLMUBMWJRGIACX-JTQLQIEISA-N 0 2 319.346 0.605 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC[C@@H]1CCCO1 ZINC001230836915 768880275 /nfs/dbraw/zinc/88/02/75/768880275.db2.gz ANQQPQCVAIIZBW-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1CCCOC1 ZINC001095229466 769686719 /nfs/dbraw/zinc/68/67/19/769686719.db2.gz MFSVUQSHKXVIRV-WYUUTHIRSA-N 0 2 321.381 0.158 20 0 DCADLN CC(=O)NCCCCCNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153861855 769968998 /nfs/dbraw/zinc/96/89/98/769968998.db2.gz LLAIAUHQSRPIHX-UHFFFAOYSA-N 0 2 316.361 0.959 20 0 DCADLN COC(=O)c1cccc2c1n[nH]c2C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001154150009 770094412 /nfs/dbraw/zinc/09/44/12/770094412.db2.gz UHCSODBXIQLGJI-UHFFFAOYSA-N 0 2 316.277 0.103 20 0 DCADLN CC[N@@H+](CC(=O)NC)[C@H](C)CNC(=O)c1ccn2c[nH+]cc2c1 ZINC001154174294 770100367 /nfs/dbraw/zinc/10/03/67/770100367.db2.gz CVDMESTZDBVTSL-GFCCVEGCSA-N 0 2 317.393 0.521 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2ccc(F)cc2C(=O)[O-])CCN1C ZINC001238239335 770109295 /nfs/dbraw/zinc/10/92/95/770109295.db2.gz UKNZPVGKUBONIH-ZDUSSCGKSA-N 0 2 310.325 0.813 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2ccc(F)cc2C(=O)[O-])CCN1C ZINC001238239335 770109299 /nfs/dbraw/zinc/10/92/99/770109299.db2.gz UKNZPVGKUBONIH-ZDUSSCGKSA-N 0 2 310.325 0.813 20 0 DCADLN O=S(=O)([N-][C@H]1C[NH2+]CC1(F)F)C(Cl)(Cl)Cl ZINC001238883913 770141663 /nfs/dbraw/zinc/14/16/63/770141663.db2.gz YLCOUYUKOFBQRH-VKHMYHEASA-N 0 2 303.545 0.841 20 0 DCADLN CC1(C)CCc2c(n[nH]c2C(=O)Nc2c(N)[nH]c(=O)[nH]c2=O)C1 ZINC001154500137 770200173 /nfs/dbraw/zinc/20/01/73/770200173.db2.gz BHHOREKMMZQIRG-UHFFFAOYSA-N 0 2 318.337 0.960 20 0 DCADLN O=C([O-])Cn1cc(-c2ccc(C[NH+]3CCOCC3)cn2)cn1 ZINC001242179952 770280205 /nfs/dbraw/zinc/28/02/05/770280205.db2.gz DNUKPOQCFSXBEE-UHFFFAOYSA-N 0 2 302.334 0.862 20 0 DCADLN COC(CN(C(=O)C[C@H]1SC(=N)NC1=O)C1CC1)OC ZINC001154904289 770334344 /nfs/dbraw/zinc/33/43/44/770334344.db2.gz BJCONJPKRCEUHV-MRVPVSSYSA-N 0 2 301.368 0.153 20 0 DCADLN Cc1cc(C(=O)NCCc2n[nH]c(=S)o2)c(C)n1NC(N)=O ZINC001154906617 770334661 /nfs/dbraw/zinc/33/46/61/770334661.db2.gz GEDHQOFPEGLSSW-UHFFFAOYSA-N 0 2 324.366 0.371 20 0 DCADLN COC[C@H](NC(=O)c1cc(C)cc(C=O)c1O)c1nn[nH]n1 ZINC001177828666 770549549 /nfs/dbraw/zinc/54/95/49/770549549.db2.gz PHRLKQBSSGNWST-JTQLQIEISA-N 0 2 305.294 0.144 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1c[nH]c2c(c1=O)CCCC2 ZINC001156900196 770959518 /nfs/dbraw/zinc/95/95/18/770959518.db2.gz JRHHULMGSGURDZ-LBPRGKRZSA-N 0 2 307.331 0.293 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1nc(Cl)nc2[nH]ccc21 ZINC001157126728 771542282 /nfs/dbraw/zinc/54/22/82/771542282.db2.gz VLHMGMXLAJNSCI-QMMMGPOBSA-N 0 2 311.710 0.602 20 0 DCADLN CN(C)C(=O)C=CC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001157354239 771593925 /nfs/dbraw/zinc/59/39/25/771593925.db2.gz CKGRKPVNHWDLQP-SREVYHEPSA-N 0 2 301.306 0.760 20 0 DCADLN COCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110325904 771606723 /nfs/dbraw/zinc/60/67/23/771606723.db2.gz PZJMLEJXBSUCFI-MXWKQRLJSA-N 0 2 309.370 0.158 20 0 DCADLN COCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110325904 771606727 /nfs/dbraw/zinc/60/67/27/771606727.db2.gz PZJMLEJXBSUCFI-MXWKQRLJSA-N 0 2 309.370 0.158 20 0 DCADLN CO[C@@H](C(=O)N[C@H](C)c1nn(C)cc1O)C(=O)OC(C)(C)C ZINC001158307661 771756542 /nfs/dbraw/zinc/75/65/42/771756542.db2.gz QVUZNIMTIATTDI-KCJUWKMLSA-N 0 2 313.354 0.660 20 0 DCADLN CCc1nc2cccc(F)c2n1CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001185010629 771851418 /nfs/dbraw/zinc/85/14/18/771851418.db2.gz NKPTWQBIOACQPB-UHFFFAOYSA-N 0 2 318.312 0.878 20 0 DCADLN CCNC(=O)C[NH2+][C@@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001185841655 771963529 /nfs/dbraw/zinc/96/35/29/771963529.db2.gz NKORDAORTSUTNO-LLVKDONJSA-N 0 2 305.382 0.227 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc3n[nH]cc3c2)S1 ZINC001186001284 771984217 /nfs/dbraw/zinc/98/42/17/771984217.db2.gz MJWFJYWYYJAUGT-SECBINFHSA-N 0 2 312.332 0.150 20 0 DCADLN COc1cc(NS(=O)(=O)c2ccc3c(c2)CC(=O)N3)ncn1 ZINC001186891125 772102054 /nfs/dbraw/zinc/10/20/54/772102054.db2.gz KWEFYLYVPLURPS-UHFFFAOYSA-N 0 2 320.330 0.781 20 0 DCADLN CCOCCS(=O)(=O)Nc1cc2n(n1)CC(F)(F)CO2 ZINC001187256513 772163334 /nfs/dbraw/zinc/16/33/34/772163334.db2.gz HHKYYLAFEPBDOX-UHFFFAOYSA-N 0 2 311.310 0.689 20 0 DCADLN COc1ccc(NS(=O)(=O)c2cnoc2C)cc1C(N)=O ZINC001187370563 772176886 /nfs/dbraw/zinc/17/68/86/772176886.db2.gz IGVAUAQJJBATNN-UHFFFAOYSA-N 0 2 311.319 0.891 20 0 DCADLN CC[C@H](C)CC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187698704 772212362 /nfs/dbraw/zinc/21/23/62/772212362.db2.gz OFLREKHVOGTSGZ-SDDRHHMPSA-N 0 2 307.398 0.979 20 0 DCADLN CC[C@H](C)CC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187698704 772212363 /nfs/dbraw/zinc/21/23/63/772212363.db2.gz OFLREKHVOGTSGZ-SDDRHHMPSA-N 0 2 307.398 0.979 20 0 DCADLN CC[C@H](C[N@H+](C)[C@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1)OC ZINC001189664416 772516688 /nfs/dbraw/zinc/51/66/88/772516688.db2.gz NVDNTFTXJRFDFX-NWDGAFQWSA-N 0 2 310.398 0.995 20 0 DCADLN CC[C@H](C[N@@H+](C)[C@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1)OC ZINC001189664416 772516691 /nfs/dbraw/zinc/51/66/91/772516691.db2.gz NVDNTFTXJRFDFX-NWDGAFQWSA-N 0 2 310.398 0.995 20 0 DCADLN O=C(CCC1CCCC1)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001190778581 772677698 /nfs/dbraw/zinc/67/76/98/772677698.db2.gz ZAXBACNXWQRWOX-VXGBXAGGSA-N 0 2 323.397 0.142 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2ccc(C(=O)[O-])cc2)CCN1C(C)=O ZINC001204156655 772939998 /nfs/dbraw/zinc/93/99/98/772939998.db2.gz BGTKMZLPJVAHOG-AWEZNQCLSA-N 0 2 320.345 0.591 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2ccc(C(=O)[O-])cc2)CCN1C(C)=O ZINC001204156655 772940000 /nfs/dbraw/zinc/94/00/00/772940000.db2.gz BGTKMZLPJVAHOG-AWEZNQCLSA-N 0 2 320.345 0.591 20 0 DCADLN CS(=O)(=O)NC1CN(C(=O)c2ccc(F)c(F)c2O)C1 ZINC001192806602 772962638 /nfs/dbraw/zinc/96/26/38/772962638.db2.gz FDEVCDWDSCWSQQ-UHFFFAOYSA-N 0 2 306.290 0.044 20 0 DCADLN O=C(N[C@@H]1CN(C/C=C/Cl)C[C@H]1O)C(F)C(F)(F)F ZINC001193124058 773010033 /nfs/dbraw/zinc/01/00/33/773010033.db2.gz XJCMMHFXRZMVPP-MSGXPLJYSA-N 0 2 304.671 0.801 20 0 DCADLN COCCOc1cnc(NS(=O)(=O)C[C@H]2CCCO2)cn1 ZINC001193205465 773024181 /nfs/dbraw/zinc/02/41/81/773024181.db2.gz IUHIOADAZLTMQC-SNVBAGLBSA-N 0 2 317.367 0.423 20 0 DCADLN Cc1cnc(C(=O)NCc2n[nH]c(=O)[nH]2)c(Br)c1 ZINC001193227898 773034945 /nfs/dbraw/zinc/03/49/45/773034945.db2.gz BSOSPYLZCCIGMY-UHFFFAOYSA-N 0 2 312.127 0.906 20 0 DCADLN CC[C@H](C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O)[NH+](C)C ZINC001194086721 773152859 /nfs/dbraw/zinc/15/28/59/773152859.db2.gz VDOLTIUXIHUVOT-RBSFLKMASA-N 0 2 324.425 0.293 20 0 DCADLN CCOC(=O)c1nc(NC(=O)c2cc(C(=O)OC)n[nH]2)cn1C ZINC001194283709 773176310 /nfs/dbraw/zinc/17/63/10/773176310.db2.gz QJTMOLVPAPDMFJ-UHFFFAOYSA-N 0 2 321.293 0.359 20 0 DCADLN CCOC(=O)c1nc(NC(=O)c2cc(C(=O)OC)[nH]n2)cn1C ZINC001194283709 773176313 /nfs/dbraw/zinc/17/63/13/773176313.db2.gz QJTMOLVPAPDMFJ-UHFFFAOYSA-N 0 2 321.293 0.359 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc(N)cc2CCO)[nH]n1 ZINC001194289095 773177881 /nfs/dbraw/zinc/17/78/81/773177881.db2.gz WYJSFGICWNWSOH-UHFFFAOYSA-N 0 2 304.306 0.566 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc(N)cc2CCO)n[nH]1 ZINC001194289095 773177885 /nfs/dbraw/zinc/17/78/85/773177885.db2.gz WYJSFGICWNWSOH-UHFFFAOYSA-N 0 2 304.306 0.566 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc3[nH]c(=O)cnc3c2)[nH]n1 ZINC001194295200 773179347 /nfs/dbraw/zinc/17/93/47/773179347.db2.gz UVWRWXRTXFTYGK-UHFFFAOYSA-N 0 2 313.273 0.685 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc3[nH]c(=O)cnc3c2)n[nH]1 ZINC001194295200 773179350 /nfs/dbraw/zinc/17/93/50/773179350.db2.gz UVWRWXRTXFTYGK-UHFFFAOYSA-N 0 2 313.273 0.685 20 0 DCADLN O=C(Nc1ncnc2[nH]nnc21)c1cccn(CC2CC2)c1=O ZINC001194586494 773211937 /nfs/dbraw/zinc/21/19/37/773211937.db2.gz ZTPDKGICIMCMLV-UHFFFAOYSA-N 0 2 311.305 0.572 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2c(C)cnn2CCO)cn1 ZINC001195693166 773464199 /nfs/dbraw/zinc/46/41/99/773464199.db2.gz HIKRYLMROACCCA-UHFFFAOYSA-N 0 2 312.351 0.388 20 0 DCADLN CCOC(=O)CS(=O)(=O)[N-]c1ccnn1-c1cc[nH+]cc1 ZINC001195941380 773499169 /nfs/dbraw/zinc/49/91/69/773499169.db2.gz GDWDJKOUVVNLOY-UHFFFAOYSA-N 0 2 310.335 0.572 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnn(Cc2cccnc2)c1 ZINC001197498401 773761072 /nfs/dbraw/zinc/76/10/72/773761072.db2.gz LTYUXDGUUWRWJT-LBPRGKRZSA-N 0 2 317.330 0.365 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1(C(C)C)CC1 ZINC001213419470 773846014 /nfs/dbraw/zinc/84/60/14/773846014.db2.gz ZAESYGRIZIIFHO-GHMZBOCLSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1nccn1CC(F)(F)F ZINC001198307168 773904879 /nfs/dbraw/zinc/90/48/79/773904879.db2.gz NZTINKJYHXJLKC-SSDOTTSWSA-N 0 2 308.241 0.484 20 0 DCADLN C=CS(=O)(=O)Nc1ncc(C(=O)OC)cc1C(=O)OC ZINC001198579354 773951041 /nfs/dbraw/zinc/95/10/41/773951041.db2.gz QYGBAHPEZBSXBN-UHFFFAOYSA-N 0 2 300.292 0.540 20 0 DCADLN O=C(Nc1ncnc2[nH]nnc21)c1cccn(C2CCC2)c1=O ZINC001199484584 774128766 /nfs/dbraw/zinc/12/87/66/774128766.db2.gz FUDBSQYHPVITMX-UHFFFAOYSA-N 0 2 311.305 0.887 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cccnc1OC1COC1 ZINC001218619067 774264770 /nfs/dbraw/zinc/26/47/70/774264770.db2.gz WLSHJGAVULUTHX-NSHDSACASA-N 0 2 303.322 0.091 20 0 DCADLN O=C(Nc1ccc(F)c(Br)c1O)[C@@H]1CNC(=O)N1 ZINC001219107470 774372674 /nfs/dbraw/zinc/37/26/74/774372674.db2.gz ILQJTYCXWJLYDP-LURJTMIESA-N 0 2 318.102 0.914 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ccccn2)C[C@@H]1O)C(F)C(F)(F)F ZINC001219665554 774574558 /nfs/dbraw/zinc/57/45/58/774574558.db2.gz OEOZKWYIANQVJD-OUAUKWLOSA-N 0 2 321.274 0.643 20 0 DCADLN CC(C)[NH+](C)CC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001221089518 774993409 /nfs/dbraw/zinc/99/34/09/774993409.db2.gz JHMWFAJFUZELSZ-YUELXQCFSA-N 0 2 321.425 0.039 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NOC2CCCC2)[nH]1 ZINC001225194733 775653979 /nfs/dbraw/zinc/65/39/79/775653979.db2.gz ZJPXGOFWNVXSDR-UHFFFAOYSA-N 0 2 317.371 0.023 20 0 DCADLN CS[C@@H](C)CC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226943828 775900859 /nfs/dbraw/zinc/90/08/59/775900859.db2.gz SEFPSVSTUYJDHO-VIFPVBQESA-N 0 2 313.427 0.733 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)Oc1cccc(O)c1 ZINC001227552738 775984470 /nfs/dbraw/zinc/98/44/70/775984470.db2.gz QDKSXALOLKEFBW-ZDUSSCGKSA-N 0 2 317.363 0.360 20 0 DCADLN O=c1nc(OC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)[nH]c(=O)[n-]1 ZINC001227569235 775985285 /nfs/dbraw/zinc/98/52/85/775985285.db2.gz WBKPCLBHVSIOCG-LBPRGKRZSA-N 0 2 318.333 0.563 20 0 DCADLN O=c1nc(OC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)[nH]c(=O)[n-]1 ZINC001227569235 775985289 /nfs/dbraw/zinc/98/52/89/775985289.db2.gz WBKPCLBHVSIOCG-LBPRGKRZSA-N 0 2 318.333 0.563 20 0 DCADLN COCC1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001228286086 776064249 /nfs/dbraw/zinc/06/42/49/776064249.db2.gz YHTCLYCVDXICMT-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN CC(=O)NCCCN(Cc1n[nH]c(=O)[nH]1)Cc1cccnc1 ZINC001230640385 776366202 /nfs/dbraw/zinc/36/62/02/776366202.db2.gz KMZJEFWNXBUTPI-UHFFFAOYSA-N 0 2 304.354 0.434 20 0 DCADLN O=C(c1ccoc1)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041939933 777595309 /nfs/dbraw/zinc/59/53/09/777595309.db2.gz YDKJHDSUSCAAMP-JQWIXIFHSA-N 0 2 317.349 0.840 20 0 DCADLN O=C(c1ccoc1)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041939933 777595314 /nfs/dbraw/zinc/59/53/14/777595314.db2.gz YDKJHDSUSCAAMP-JQWIXIFHSA-N 0 2 317.349 0.840 20 0 DCADLN CC(=O)NC[C@](C)(NC(=O)CC(=O)NCC(F)(F)F)C1CC1 ZINC001582659784 1166062723 /nfs/dbraw/zinc/06/27/23/1166062723.db2.gz RIWAIIJRUJUEGK-LBPRGKRZSA-N 0 2 323.315 0.476 20 0 DCADLN CN(CCn1cc[nH+]c1)S(=O)(=O)[C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC001465112580 804123393 /nfs/dbraw/zinc/12/33/93/804123393.db2.gz CNIAJZMCCNZFFG-NWDGAFQWSA-N 0 2 315.395 0.788 20 0 DCADLN Cc1nnc(C[N@@H+](C)CCCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])o1 ZINC001600794108 1168297776 /nfs/dbraw/zinc/29/77/76/1168297776.db2.gz KXCKEJDXTPZDPP-WDEREUQCSA-N 0 2 310.354 0.379 20 0 DCADLN Cc1nnc(C[N@H+](C)CCCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])o1 ZINC001600794108 1168297779 /nfs/dbraw/zinc/29/77/79/1168297779.db2.gz KXCKEJDXTPZDPP-WDEREUQCSA-N 0 2 310.354 0.379 20 0 DCADLN Cc1noc([C@H](C)[NH2+][C@H](C)C(=O)N2CCC(C(=O)[O-])CC2)n1 ZINC001600803849 1168300278 /nfs/dbraw/zinc/30/02/78/1168300278.db2.gz DDHFKDCLCDFSDX-DTWKUNHWSA-N 0 2 310.354 0.740 20 0 DCADLN Cn1c(C[N@@H+]2CCC[C@H](NS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600914353 1168505385 /nfs/dbraw/zinc/50/53/85/1168505385.db2.gz HFZGVCIADDLXTM-JTQLQIEISA-N 0 2 315.395 0.237 20 0 DCADLN Cn1c(C[N@H+]2CCC[C@H](NS(C)(=O)=O)C2)ccc1C(=O)[O-] ZINC001600914353 1168505394 /nfs/dbraw/zinc/50/53/94/1168505394.db2.gz HFZGVCIADDLXTM-JTQLQIEISA-N 0 2 315.395 0.237 20 0 DCADLN O=C([O-])C[C@]1([NH2+]Cc2nc(CC(F)(F)F)no2)CCOC1 ZINC001601937619 1168940884 /nfs/dbraw/zinc/94/08/84/1168940884.db2.gz WMCAQVZQFSQXMA-SNVBAGLBSA-N 0 2 309.244 0.898 20 0 DCADLN C[C@@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccncc1 ZINC001480880748 939145811 /nfs/dbraw/zinc/14/58/11/939145811.db2.gz WYJLWBTVYIYARU-NSHDSACASA-N 0 2 318.381 0.647 20 0 DCADLN C[C@@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccncc1 ZINC001480880748 939145813 /nfs/dbraw/zinc/14/58/13/939145813.db2.gz WYJLWBTVYIYARU-NSHDSACASA-N 0 2 318.381 0.647 20 0 DCADLN CCNC(=O)C[NH2+]CCN(C)C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001267390506 939446146 /nfs/dbraw/zinc/44/61/46/939446146.db2.gz PUCJUSQYNQNPOU-UHFFFAOYSA-N 0 2 309.414 0.111 20 0 DCADLN CN(CCNC(=O)Cc1cncn1C)C(=O)C(F)C(F)(F)F ZINC001408634240 939480931 /nfs/dbraw/zinc/48/09/31/939480931.db2.gz MYGOULNOINCKGX-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CC(C)c1nnc(CN(CCNC(=O)c2cnn[nH]2)C2CC2)[nH]1 ZINC001481177867 939597132 /nfs/dbraw/zinc/59/71/32/939597132.db2.gz JGKQHNVNVUUQHP-UHFFFAOYSA-N 0 2 318.385 0.441 20 0 DCADLN O=C(CCc1nccs1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481696586 940049585 /nfs/dbraw/zinc/04/95/85/940049585.db2.gz WTRXEWOAMVVUTA-VIFPVBQESA-N 0 2 322.394 0.290 20 0 DCADLN CCCc1cc(C(=O)N(CC)CC[NH2+]Cc2n[nH]c(=O)[n-]2)n[nH]1 ZINC001268468093 940162321 /nfs/dbraw/zinc/16/23/21/940162321.db2.gz LSZNDSWXHCNHIK-UHFFFAOYSA-N 0 2 321.385 0.438 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C(=O)C(F)F)C1CC1 ZINC001408931367 940274486 /nfs/dbraw/zinc/27/44/86/940274486.db2.gz DBSVDWFYMDKMIC-UHFFFAOYSA-N 0 2 300.309 0.633 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001318329120 945323496 /nfs/dbraw/zinc/32/34/96/945323496.db2.gz DZHPDPHGEJZCMH-PGLGOXFNSA-N 0 2 302.338 0.242 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001318329120 945323505 /nfs/dbraw/zinc/32/35/05/945323505.db2.gz DZHPDPHGEJZCMH-PGLGOXFNSA-N 0 2 302.338 0.242 20 0 DCADLN C[N@H+]1CCC[C@H]1C(=O)N1C[C@@H]2[C@H](C1)OCC[NH+]2C1CCCC1 ZINC001270673646 940963217 /nfs/dbraw/zinc/96/32/17/940963217.db2.gz VZTXPHUSRUTPDW-XHSDSOJGSA-N 0 2 307.438 0.935 20 0 DCADLN C[C@@H](NC(=O)Cc1c[nH]c[nH+]1)[C@@H](C)[NH2+]CC(=O)NC(C)(C)C ZINC001409348324 941955845 /nfs/dbraw/zinc/95/58/45/941955845.db2.gz BWBUSMSFDMGUBM-GHMZBOCLSA-N 0 2 309.414 0.350 20 0 DCADLN C[C@@H](CNC(=O)c1cnn[nH]1)N(C)[C@@H](C)c1nc(C2CC2)no1 ZINC001409560553 942055532 /nfs/dbraw/zinc/05/55/32/942055532.db2.gz AYRPZMIMBGLGMK-IUCAKERBSA-N 0 2 319.369 0.876 20 0 DCADLN C[C@H](c1nc(C2CC2)no1)N(C)[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001409560548 942056694 /nfs/dbraw/zinc/05/66/94/942056694.db2.gz AYRPZMIMBGLGMK-DTWKUNHWSA-N 0 2 319.369 0.876 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001409609706 942087412 /nfs/dbraw/zinc/08/74/12/942087412.db2.gz FAHIMAMEJHWFOW-ZETCQYMHSA-N 0 2 307.358 0.101 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001409609706 942087419 /nfs/dbraw/zinc/08/74/19/942087419.db2.gz FAHIMAMEJHWFOW-ZETCQYMHSA-N 0 2 307.358 0.101 20 0 DCADLN Cn1cc([C@@H]2C[C@H]2C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001412879916 942121392 /nfs/dbraw/zinc/12/13/92/942121392.db2.gz BNQVFZCOVUSKBI-ADEWGFFLSA-N 0 2 316.365 0.754 20 0 DCADLN CS(=O)(=O)C[C@@H]1CCCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001412988699 942177830 /nfs/dbraw/zinc/17/78/30/942177830.db2.gz CDKZEVVVYLJDSJ-LBPRGKRZSA-N 0 2 324.406 0.422 20 0 DCADLN C[C@H](CN(C)CCS(C)(=O)=O)NC(=O)C(F)C(F)(F)F ZINC001483340015 942282744 /nfs/dbraw/zinc/28/27/44/942282744.db2.gz NSSCMCZQAMQQED-SFYZADRCSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@H](CN(C)CCS(C)(=O)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001483340015 942282747 /nfs/dbraw/zinc/28/27/47/942282747.db2.gz NSSCMCZQAMQQED-SFYZADRCSA-N 0 2 322.324 0.368 20 0 DCADLN Cc1ccc(CC(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001483420056 942348028 /nfs/dbraw/zinc/34/80/28/942348028.db2.gz LGINGNRGYNOTEX-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ccc(CC(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001483420056 942348035 /nfs/dbraw/zinc/34/80/35/942348035.db2.gz LGINGNRGYNOTEX-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001483420057 942348683 /nfs/dbraw/zinc/34/86/83/942348683.db2.gz LGINGNRGYNOTEX-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001483420057 942348688 /nfs/dbraw/zinc/34/86/88/942348688.db2.gz LGINGNRGYNOTEX-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(F)F)C(=O)C(F)C(F)(F)F ZINC001413268767 942595836 /nfs/dbraw/zinc/59/58/36/942595836.db2.gz DHSJONFWAQCNNG-NTSWFWBYSA-N 0 2 324.221 0.478 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(C)(F)F)C(=O)[C@@H](F)C(F)(F)F ZINC001413268767 942595837 /nfs/dbraw/zinc/59/58/37/942595837.db2.gz DHSJONFWAQCNNG-NTSWFWBYSA-N 0 2 324.221 0.478 20 0 DCADLN CO[C@](C)(CO)CNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001413387961 942944505 /nfs/dbraw/zinc/94/45/05/942944505.db2.gz OSEYLJQHCLHFIK-NSHDSACASA-N 0 2 324.786 0.881 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC1(C)CC[NH+](Cc2nncn2C)CC1 ZINC001483975815 943063665 /nfs/dbraw/zinc/06/36/65/943063665.db2.gz AVWNJABAYGWYTG-UHFFFAOYSA-N 0 2 322.457 0.626 20 0 DCADLN CC(C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)=C1CCC1 ZINC001484593548 943470142 /nfs/dbraw/zinc/47/01/42/943470142.db2.gz YARAVYOJMBSDGY-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN COCCC(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001298203898 943518673 /nfs/dbraw/zinc/51/86/73/943518673.db2.gz CUKXOALUJMKOTP-XADBCAIWSA-N 0 2 300.252 0.712 20 0 DCADLN COCCC(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001298203898 943518678 /nfs/dbraw/zinc/51/86/78/943518678.db2.gz CUKXOALUJMKOTP-XADBCAIWSA-N 0 2 300.252 0.712 20 0 DCADLN COc1cccnc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001413668977 943559815 /nfs/dbraw/zinc/55/98/15/943559815.db2.gz SFPQBLUNKOIRQX-UHFFFAOYSA-N 0 2 318.333 0.915 20 0 DCADLN Cc1cscc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413669840 943561760 /nfs/dbraw/zinc/56/17/60/943561760.db2.gz FLJXHULWCQMGNS-UHFFFAOYSA-N 0 2 314.392 0.649 20 0 DCADLN CC/C(C)=C/C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001484799470 943573322 /nfs/dbraw/zinc/57/33/22/943573322.db2.gz UGPQIMIWUHFBFR-LLMHMKPQSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@H](NC(=O)CNC(=O)OCC(F)(F)F)c1nn(C)cc1O ZINC001413752963 943665047 /nfs/dbraw/zinc/66/50/47/943665047.db2.gz AVNBCMSINCJJLD-LURJTMIESA-N 0 2 324.259 0.591 20 0 DCADLN C[C@@H](NC(=O)COCC(=O)OC(C)(C)C)c1nn(C)cc1O ZINC001413755726 943667392 /nfs/dbraw/zinc/66/73/92/943667392.db2.gz DWVAHDVUQOSIPF-SECBINFHSA-N 0 2 313.354 0.661 20 0 DCADLN COc1cccc(C[C@H](O)C(=O)N[C@H](C)c2nn(C)cc2O)c1 ZINC001413762736 943670776 /nfs/dbraw/zinc/67/07/76/943670776.db2.gz MUQGLRYAFRRCLB-MFKMUULPSA-N 0 2 319.361 0.915 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@H]1CCC[NH+](Cc2cnon2)C1 ZINC001491125640 943773278 /nfs/dbraw/zinc/77/32/78/943773278.db2.gz NIOLOTSLUSSKSN-CYBMUJFWSA-N 0 2 309.414 0.740 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001485287018 943797616 /nfs/dbraw/zinc/79/76/16/943797616.db2.gz AMYLXKOCKOYQAV-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001485287018 943797618 /nfs/dbraw/zinc/79/76/18/943797618.db2.gz AMYLXKOCKOYQAV-VIFPVBQESA-N 0 2 307.354 0.970 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001491218131 943839417 /nfs/dbraw/zinc/83/94/17/943839417.db2.gz ZULUYBGKRFGWFN-SCZZXKLOSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491218131 943839427 /nfs/dbraw/zinc/83/94/27/943839427.db2.gz ZULUYBGKRFGWFN-SCZZXKLOSA-N 0 2 314.279 0.936 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C(C)C ZINC001491353257 943935618 /nfs/dbraw/zinc/93/56/18/943935618.db2.gz NVDUJAHMBXNJKT-HTQZYQBOSA-N 0 2 302.268 0.790 20 0 DCADLN CO[C@@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C(C)C ZINC001491353257 943935621 /nfs/dbraw/zinc/93/56/21/943935621.db2.gz NVDUJAHMBXNJKT-HTQZYQBOSA-N 0 2 302.268 0.790 20 0 DCADLN NC(=O)c1cnc2n1CCN(C(=O)c1cc(F)c(O)cc1F)C2 ZINC001276859082 944333103 /nfs/dbraw/zinc/33/31/03/944333103.db2.gz WXAXEBSSIHSWJT-UHFFFAOYSA-N 0 2 322.271 0.622 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@@H](c2cc[nH]n2)C1 ZINC001611488345 971076269 /nfs/dbraw/zinc/07/62/69/971076269.db2.gz CGKKOMNDAHBSGY-SNVBAGLBSA-N 0 2 301.368 0.089 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@@H](c2cc[nH]n2)C1 ZINC001611488345 971076273 /nfs/dbraw/zinc/07/62/73/971076273.db2.gz CGKKOMNDAHBSGY-SNVBAGLBSA-N 0 2 301.368 0.089 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2ccc(Cl)nc2)n[nH]1 ZINC001319335865 945564595 /nfs/dbraw/zinc/56/45/95/945564595.db2.gz CMSDWXBIGWGESG-UHFFFAOYSA-N 0 2 302.743 0.973 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C(=O)C(C)(C)C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001278505320 945597839 /nfs/dbraw/zinc/59/78/39/945597839.db2.gz IYEKSHPUSNAOTA-UWVGGRQHSA-N 0 2 323.397 0.595 20 0 DCADLN CC(C)(O)C[NH2+]Cc1cc(N2CCS(=O)(=O)CC2)cc[nH+]1 ZINC001252370806 945896673 /nfs/dbraw/zinc/89/66/73/945896673.db2.gz YPZGQWABVIWFRN-UHFFFAOYSA-N 0 2 313.423 0.177 20 0 DCADLN Cc1ccnc(C[NH2+]CC[C@H](C)NC(=O)CCc2cnn[nH]2)n1 ZINC001320552508 945923294 /nfs/dbraw/zinc/92/32/94/945923294.db2.gz HOHORVGCJAYTTF-LBPRGKRZSA-N 0 2 317.397 0.520 20 0 DCADLN Cc1ncn(C)c1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001410216546 946048641 /nfs/dbraw/zinc/04/86/41/946048641.db2.gz FYKJFYPOUMOJHU-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncn(C)c1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001410216546 946048655 /nfs/dbraw/zinc/04/86/55/946048655.db2.gz FYKJFYPOUMOJHU-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1CCOC1)NC(=O)C(F)C(F)(F)F ZINC001410383404 946283530 /nfs/dbraw/zinc/28/35/30/946283530.db2.gz HTZAZCNGBFLWDY-QXFUBDJGSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1CCOC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001410383404 946283537 /nfs/dbraw/zinc/28/35/37/946283537.db2.gz HTZAZCNGBFLWDY-QXFUBDJGSA-N 0 2 314.279 0.934 20 0 DCADLN CN1C(=O)CN(NC(=O)c2csc(-c3ncccn3)n2)C1=O ZINC001254339531 946365911 /nfs/dbraw/zinc/36/59/11/946365911.db2.gz YPBVHSOFCHFIDD-UHFFFAOYSA-N 0 2 318.318 0.139 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cccnn1)C(F)C(F)(F)F ZINC001410581404 946601600 /nfs/dbraw/zinc/60/16/00/946601600.db2.gz VXELOIPJUYIICV-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cccnn1)[C@H](F)C(F)(F)F ZINC001410581404 946601608 /nfs/dbraw/zinc/60/16/08/946601608.db2.gz VXELOIPJUYIICV-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2c(c1)C(=O)N(C1CC1)C2 ZINC001259027083 946899448 /nfs/dbraw/zinc/89/94/48/946899448.db2.gz LEUJJSCXBYPUCV-UHFFFAOYSA-N 0 2 324.358 0.720 20 0 DCADLN NC(=O)c1ccnc(Cl)c1NS(=O)(=O)C1CCOCC1 ZINC001259907902 947013346 /nfs/dbraw/zinc/01/33/46/947013346.db2.gz LAHLXVXPOMQFCJ-UHFFFAOYSA-N 0 2 319.770 0.755 20 0 DCADLN COC(=O)c1nc(Br)sc1NS(N)(=O)=O ZINC001260075840 947055895 /nfs/dbraw/zinc/05/58/95/947055895.db2.gz NSXFZCUSPLHVTC-UHFFFAOYSA-N 0 2 316.158 0.308 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc3oc(=O)ccc3c2)n1 ZINC001260446368 947103908 /nfs/dbraw/zinc/10/39/08/947103908.db2.gz ZVJLXZXUARVCHZ-UHFFFAOYSA-N 0 2 306.303 0.722 20 0 DCADLN CS(=O)(=O)c1cccc(S(=O)(=O)Nc2ccncn2)c1 ZINC001260599351 947127695 /nfs/dbraw/zinc/12/76/95/947127695.db2.gz WHTMGGGOIXMVOD-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc(Cl)c3nonc32)n1 ZINC001261066505 947171023 /nfs/dbraw/zinc/17/10/23/947171023.db2.gz ZPDNEQDTKWWKMF-UHFFFAOYSA-N 0 2 314.714 0.806 20 0 DCADLN O=C(NCCNc1ccc2nccnc2n1)C(F)C(F)(F)F ZINC001094182573 947799469 /nfs/dbraw/zinc/79/94/69/947799469.db2.gz HZBMUNYPXYFSCY-SECBINFHSA-N 0 2 317.246 0.827 20 0 DCADLN O=C(NCCNc1ccc2nccnc2n1)[C@@H](F)C(F)(F)F ZINC001094182573 947799473 /nfs/dbraw/zinc/79/94/73/947799473.db2.gz HZBMUNYPXYFSCY-SECBINFHSA-N 0 2 317.246 0.827 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)NCC[N@H+](C)C2CC2)c[nH+]1 ZINC001325906939 947971036 /nfs/dbraw/zinc/97/10/36/947971036.db2.gz VOTFMJAJIGGEDR-UHFFFAOYSA-N 0 2 304.394 0.525 20 0 DCADLN C/C(=C\C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001326617604 948219060 /nfs/dbraw/zinc/21/90/60/948219060.db2.gz QVQNEKCAIQFZIA-GCZGVDRJSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001487769041 948249090 /nfs/dbraw/zinc/24/90/90/948249090.db2.gz JDJARDLWHLSWTG-NKWVEPMBSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ncc[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001487769041 948249099 /nfs/dbraw/zinc/24/90/99/948249099.db2.gz JDJARDLWHLSWTG-NKWVEPMBSA-N 0 2 310.251 0.887 20 0 DCADLN O=C(c1[nH]cnc1C(F)(F)F)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001364569824 949564260 /nfs/dbraw/zinc/56/42/60/949564260.db2.gz XAVVLCQOWBOKSF-RXMQYKEDSA-N 0 2 301.232 0.571 20 0 DCADLN O=C(c1nc[nH]c1C(F)(F)F)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001364569824 949564278 /nfs/dbraw/zinc/56/42/78/949564278.db2.gz XAVVLCQOWBOKSF-RXMQYKEDSA-N 0 2 301.232 0.571 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCC[NH+]2CC=CC2)cs1 ZINC000392277032 949658650 /nfs/dbraw/zinc/65/86/50/949658650.db2.gz OIRKWFOUUUWGDY-UHFFFAOYSA-N 0 2 302.377 0.596 20 0 DCADLN C[C@H](O)[C@H]1CCCN(C(=O)NCc2n[nH]c(=O)n2C2CC2)C1 ZINC001364719583 949809753 /nfs/dbraw/zinc/80/97/53/949809753.db2.gz BLGYIRDQWABWIS-UWVGGRQHSA-N 0 2 309.370 0.621 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NC[C@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001329962301 950014047 /nfs/dbraw/zinc/01/40/47/950014047.db2.gz DCTMQHLNDSJLLB-KZWBYHQPSA-N 0 2 302.371 0.090 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NC[C@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001329962301 950014070 /nfs/dbraw/zinc/01/40/70/950014070.db2.gz DCTMQHLNDSJLLB-KZWBYHQPSA-N 0 2 302.371 0.090 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NC[C@@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001329962302 950014384 /nfs/dbraw/zinc/01/43/84/950014384.db2.gz DCTMQHLNDSJLLB-NJBDSQKTSA-N 0 2 302.371 0.090 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NC[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001329962302 950014406 /nfs/dbraw/zinc/01/44/06/950014406.db2.gz DCTMQHLNDSJLLB-NJBDSQKTSA-N 0 2 302.371 0.090 20 0 DCADLN Cc1cccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1F ZINC001364946158 950235992 /nfs/dbraw/zinc/23/59/92/950235992.db2.gz UOLBBKUBKSUMOZ-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN CC/C=C(/C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001281090986 950292779 /nfs/dbraw/zinc/29/27/79/950292779.db2.gz OHCSCKCSRIPOSL-LSRCEVBISA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(/C)C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001281090986 950292794 /nfs/dbraw/zinc/29/27/94/950292794.db2.gz OHCSCKCSRIPOSL-LSRCEVBISA-N 0 2 314.279 0.836 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CS[C@@]2(C)CCC(=O)N12)c1nn(C)cc1O ZINC001365119576 950552521 /nfs/dbraw/zinc/55/25/21/950552521.db2.gz JWVMANYHYOSPSN-FZNYLWTLSA-N 0 2 324.406 0.757 20 0 DCADLN C[C@@H](NC(=O)c1ccoc1)C(=O)N[C@H](C)c1nn(C)cc1O ZINC001365123308 950559743 /nfs/dbraw/zinc/55/97/43/950559743.db2.gz ZYGLBGJGMXRCJD-RKDXNWHRSA-N 0 2 306.322 0.714 20 0 DCADLN Cn1cccc(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1=O ZINC001365125245 950564548 /nfs/dbraw/zinc/56/45/48/950564548.db2.gz GSBVUFJEIAGAMT-SECBINFHSA-N 0 2 318.337 0.621 20 0 DCADLN CC1=NO[C@H](CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001365233388 950781154 /nfs/dbraw/zinc/78/11/54/950781154.db2.gz QHBDSCGIBYKGTG-ZJUUUORDSA-N 0 2 308.342 0.564 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)N[C@@H](CCO)C(F)(F)F ZINC001365461637 951153547 /nfs/dbraw/zinc/15/35/47/951153547.db2.gz NCYZFJFJUFHTSW-YFKPBYRVSA-N 0 2 303.262 0.157 20 0 DCADLN CC(C)(C)OC(=O)N1CCC([NH2+]Cc2nnc(CO)[nH]2)CC1 ZINC001365511831 951205091 /nfs/dbraw/zinc/20/50/91/951205091.db2.gz UHIGZWIFTHWCDK-UHFFFAOYSA-N 0 2 311.386 0.786 20 0 DCADLN Cn1ncc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001365692629 951441529 /nfs/dbraw/zinc/44/15/29/951441529.db2.gz MSWHZIFJQAWIQO-SECBINFHSA-N 0 2 309.267 0.360 20 0 DCADLN Cn1ncc(CN2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001365692629 951441536 /nfs/dbraw/zinc/44/15/36/951441536.db2.gz MSWHZIFJQAWIQO-SECBINFHSA-N 0 2 309.267 0.360 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)C1(C(=O)[O-])CCSCC1 ZINC001332422701 951641016 /nfs/dbraw/zinc/64/10/16/951641016.db2.gz IYYDKHDHOKDHNY-NSHDSACASA-N 0 2 316.423 0.421 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)C1(C(=O)[O-])CCSCC1 ZINC001332422701 951641021 /nfs/dbraw/zinc/64/10/21/951641021.db2.gz IYYDKHDHOKDHNY-NSHDSACASA-N 0 2 316.423 0.421 20 0 DCADLN O=C([O-])COCCOCCNC(=O)c1cccc2[nH+]ccn21 ZINC001595115018 951941141 /nfs/dbraw/zinc/94/11/41/951941141.db2.gz RRDNEMDLOGOPLQ-UHFFFAOYSA-N 0 2 307.306 0.182 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH+]1CCC(c2ccncn2)CC1 ZINC001595123531 951987497 /nfs/dbraw/zinc/98/74/97/951987497.db2.gz GYCNTUIYTAGXDZ-UHFFFAOYSA-N 0 2 313.379 0.155 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cncc2c1CCCC2 ZINC001333320969 952004701 /nfs/dbraw/zinc/00/47/01/952004701.db2.gz HFXIEMPTABNHSA-UHFFFAOYSA-N 0 2 316.321 0.039 20 0 DCADLN C/C(=C\C(=O)NC[C@H](CC1CC1)C(=O)[O-])C[NH+]1CCOCC1 ZINC001333335974 952010843 /nfs/dbraw/zinc/01/08/43/952010843.db2.gz ZPCBBKUXCWMZLD-BCNIOPEESA-N 0 2 310.394 0.882 20 0 DCADLN C[C@H](NC(=O)C12CC(NC(=O)c3cnn[nH]3)(C1)C2)c1ncc[nH]1 ZINC001275710730 952399587 /nfs/dbraw/zinc/39/95/87/952399587.db2.gz WEDSVPBHTOPARG-AHOQVSSZSA-N 0 2 315.337 0.058 20 0 DCADLN C[C@H](NC(=O)C12CC(NC(=O)c3cnn[n-]3)(C1)C2)c1[nH]cc[nH+]1 ZINC001275710730 952399594 /nfs/dbraw/zinc/39/95/94/952399594.db2.gz WEDSVPBHTOPARG-AHOQVSSZSA-N 0 2 315.337 0.058 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CS(=O)(=O)C[C@H]2C(F)(F)F)n1 ZINC001411741847 952421694 /nfs/dbraw/zinc/42/16/94/952421694.db2.gz IBZJOSHIUNQGJF-NTSWFWBYSA-N 0 2 312.273 0.275 20 0 DCADLN O=C(c1c(O)cccc1F)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001412057910 952625144 /nfs/dbraw/zinc/62/51/44/952625144.db2.gz QENFVWPCYKOFQK-UHFFFAOYSA-N 0 2 307.285 0.168 20 0 DCADLN CC1(C)OCC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412143398 952663487 /nfs/dbraw/zinc/66/34/87/952663487.db2.gz AOAHJQFYOQGRCK-LLVKDONJSA-N 0 2 318.333 0.564 20 0 DCADLN CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2c[nH+]cn2C)n1 ZINC001412325840 952749474 /nfs/dbraw/zinc/74/94/74/952749474.db2.gz GCWCBSBGLJCVHE-SECBINFHSA-N 0 2 320.353 0.525 20 0 DCADLN COC(=O)c1ccc(C(=O)NCC2=NC(=O)CC(=O)N2)c(F)c1 ZINC001412378588 952770232 /nfs/dbraw/zinc/77/02/32/952770232.db2.gz YLEQPBDRAIDENQ-UHFFFAOYSA-N 0 2 321.264 0.744 20 0 DCADLN COC(=O)c1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c(F)c1 ZINC001412378588 952770237 /nfs/dbraw/zinc/77/02/37/952770237.db2.gz YLEQPBDRAIDENQ-UHFFFAOYSA-N 0 2 321.264 0.744 20 0 DCADLN Cn1cc(C(F)F)c(CNC(=O)C[C@@H]2SC(=N)NC2=O)n1 ZINC001412469831 952825505 /nfs/dbraw/zinc/82/55/05/952825505.db2.gz OHENTVJPRNHYEG-ZETCQYMHSA-N 0 2 317.321 0.530 20 0 DCADLN Cc1cc(=O)[nH]c(N2CCC[C@@H](NC(=O)c3[nH]ncc3F)C2)n1 ZINC001412612112 952936761 /nfs/dbraw/zinc/93/67/61/952936761.db2.gz ZCQGTQYYGOLICN-SECBINFHSA-N 0 2 320.328 0.752 20 0 DCADLN CC(C)[C@H](C(=O)N[C@@H](C)CN(C)C(=O)Cc1[nH]cc[nH+]1)[NH+](C)C ZINC001412681719 952989335 /nfs/dbraw/zinc/98/93/35/952989335.db2.gz WTACCDSLBUPZQA-SWLSCSKDSA-N 0 2 323.441 0.502 20 0 DCADLN C[C@H]1CCC[C@@]1(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412681814 952990578 /nfs/dbraw/zinc/99/05/78/952990578.db2.gz AAXMXQZHGVMQRI-TVQRCGJNSA-N 0 2 314.411 0.792 20 0 DCADLN COCCOC1CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC001339531637 953100915 /nfs/dbraw/zinc/10/09/15/953100915.db2.gz DBCGSFQRMSQARC-SNVBAGLBSA-N 0 2 315.395 0.197 20 0 DCADLN C[C@H]1OCC[C@@]1(C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412817441 953110782 /nfs/dbraw/zinc/11/07/82/953110782.db2.gz QYZNJDDBKBBYDH-RFAUZJTJSA-N 0 2 318.333 0.564 20 0 DCADLN C[C@@H]1C[N@@H+](CCc2cn(CC(=O)[O-])nn2)C[C@](C)(C(F)F)O1 ZINC001604796524 1169689134 /nfs/dbraw/zinc/68/91/34/1169689134.db2.gz DARQJOQNFDFTCX-NOZJJQNGSA-N 0 2 318.324 0.650 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1scnc1C1CC1 ZINC001342008415 953263569 /nfs/dbraw/zinc/26/35/69/953263569.db2.gz HXYHTSYQJIZQCK-UHFFFAOYSA-N 0 2 308.323 0.099 20 0 DCADLN COCC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001365974275 953502168 /nfs/dbraw/zinc/50/21/68/953502168.db2.gz CBVWAGKXCABEGD-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN O=C(CCc1cncs1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001365997345 953552723 /nfs/dbraw/zinc/55/27/23/953552723.db2.gz NSMFUYRNWGQMQG-VIFPVBQESA-N 0 2 322.394 0.290 20 0 DCADLN C/C(=C\C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001282693520 953647723 /nfs/dbraw/zinc/64/77/23/953647723.db2.gz OXDNNOUSAKMWKI-CYNRKNSPSA-N 0 2 305.382 0.803 20 0 DCADLN CC(C)[C@@H](C(=O)NCCNC(=O)CCc1[nH+]ccn1C)[NH+](C)C ZINC001283133684 954045297 /nfs/dbraw/zinc/04/52/97/954045297.db2.gz RNOUOXWCVNIDQL-HNNXBMFYSA-N 0 2 323.441 0.171 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)[C@@H]2CC(C(=O)[O-])=NO2)n1 ZINC001593703517 954139576 /nfs/dbraw/zinc/13/95/76/954139576.db2.gz AYRIHYFOMSEZHG-VIFPVBQESA-N 0 2 312.351 0.050 20 0 DCADLN O=C([O-])C1(C(=O)NCc2[nH]c3c([nH+]2)CCCC3)CCOCC1 ZINC001594864468 954310055 /nfs/dbraw/zinc/31/00/55/954310055.db2.gz CUKPNHQHZFYRKL-UHFFFAOYSA-N 0 2 307.350 0.786 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NCC1(C(=O)[O-])CCC1 ZINC001589399396 954403120 /nfs/dbraw/zinc/40/31/20/954403120.db2.gz DMZATOJEFJAFHV-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NCC1(C(=O)[O-])CCC1 ZINC001589399396 954403125 /nfs/dbraw/zinc/40/31/25/954403125.db2.gz DMZATOJEFJAFHV-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@@H+]1CCO[C@H](C)C1)C(=O)[O-] ZINC001589400411 954418416 /nfs/dbraw/zinc/41/84/16/954418416.db2.gz PYHNNZOOGWSPLA-NEPJUHHUSA-N 0 2 301.387 0.363 20 0 DCADLN CC(C)[C@H](CNC(=O)NCC[N@H+]1CCO[C@H](C)C1)C(=O)[O-] ZINC001589400411 954418421 /nfs/dbraw/zinc/41/84/21/954418421.db2.gz PYHNNZOOGWSPLA-NEPJUHHUSA-N 0 2 301.387 0.363 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC001589408837 954495631 /nfs/dbraw/zinc/49/56/31/954495631.db2.gz OXBZOKSCVQOFTA-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC001589408837 954495633 /nfs/dbraw/zinc/49/56/33/954495633.db2.gz OXBZOKSCVQOFTA-GFCCVEGCSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC001594933223 954831225 /nfs/dbraw/zinc/83/12/25/954831225.db2.gz LYIADCWWWYXBTJ-CYBMUJFWSA-N 0 2 321.377 0.367 20 0 DCADLN CNc1cc(CNC(=O)N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)cc[nH+]1 ZINC001593803389 954865739 /nfs/dbraw/zinc/86/57/39/954865739.db2.gz XFTXYHQGEYDSMG-NHYWBVRUSA-N 0 2 320.349 0.366 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCCc2nncn2C)cn1 ZINC001349796383 954884938 /nfs/dbraw/zinc/88/49/38/954884938.db2.gz LFMQBBPSFKAHKR-UHFFFAOYSA-N 0 2 314.353 0.301 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H](F)c1ccccc1 ZINC001366977775 955147186 /nfs/dbraw/zinc/14/71/86/955147186.db2.gz IPUQYVUUYVCKRQ-CYBMUJFWSA-N 0 2 319.340 0.769 20 0 DCADLN Cc1cc(CCC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001367015635 955200789 /nfs/dbraw/zinc/20/07/89/955200789.db2.gz IECZAODAOWCQJX-NSHDSACASA-N 0 2 320.353 0.130 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(=O)NC ZINC001285063335 955445525 /nfs/dbraw/zinc/44/55/25/955445525.db2.gz RSHLKACSYNIZNX-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CC(=O)NC ZINC001285063335 955445530 /nfs/dbraw/zinc/44/55/30/955445530.db2.gz RSHLKACSYNIZNX-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN COC[C@@H](C)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001367330634 955651760 /nfs/dbraw/zinc/65/17/60/955651760.db2.gz JPEPSLMPJIRNTQ-LLVKDONJSA-N 0 2 323.397 0.217 20 0 DCADLN CC(C)[N@@H+]1CCO[C@@H](c2nnc(N3CC[C@@H](C(=O)[O-])C3)n2C)C1 ZINC001603068596 972135651 /nfs/dbraw/zinc/13/56/51/972135651.db2.gz QMUWNGHOCBCWRQ-VXGBXAGGSA-N 0 2 323.397 0.508 20 0 DCADLN CC(C)[N@H+]1CCO[C@@H](c2nnc(N3CC[C@@H](C(=O)[O-])C3)n2C)C1 ZINC001603068596 972135653 /nfs/dbraw/zinc/13/56/53/972135653.db2.gz QMUWNGHOCBCWRQ-VXGBXAGGSA-N 0 2 323.397 0.508 20 0 DCADLN C[C@@H](NC(=O)N(C)CCCC(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC001589098075 955991138 /nfs/dbraw/zinc/99/11/38/955991138.db2.gz CIEVTJRFFYMOMD-VXGBXAGGSA-N 0 2 301.387 0.602 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@@H+]1C ZINC001589101414 955999256 /nfs/dbraw/zinc/99/92/56/955999256.db2.gz HFXMHZFKGPIGIS-JHJVBQTASA-N 0 2 312.414 0.127 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@H+]1C ZINC001589101414 955999266 /nfs/dbraw/zinc/99/92/66/955999266.db2.gz HFXMHZFKGPIGIS-JHJVBQTASA-N 0 2 312.414 0.127 20 0 DCADLN CCCn1cc(C(=O)NN2C(=O)N[C@@](C)(CC)C2=O)c(C)n1 ZINC001353676876 956143910 /nfs/dbraw/zinc/14/39/10/956143910.db2.gz ASYMBWAKLABELQ-AWEZNQCLSA-N 0 2 307.354 0.967 20 0 DCADLN C/C(=C/C(=O)N[C@@H](CC(=O)[O-])C(F)(F)F)C[NH+]1CCOCC1 ZINC001354071369 956273397 /nfs/dbraw/zinc/27/33/97/956273397.db2.gz KDHZYBXPEBCNMS-MBACFSSFSA-N 0 2 324.299 0.787 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)Cc1ccc(C(=O)[O-])cc1 ZINC000388560602 972177677 /nfs/dbraw/zinc/17/76/77/972177677.db2.gz KGHBEWBJKKXODL-UHFFFAOYSA-N 0 2 309.347 0.738 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(C(=O)[C@@H]1CCn3c[nH+]cc3C1)C2 ZINC001594667588 956592398 /nfs/dbraw/zinc/59/23/98/956592398.db2.gz JNWYZEAFHXRRLE-ZETOZRRWSA-N 0 2 305.334 0.005 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@@H+]1CCN1C(=O)c2ccccc2C1=O ZINC000390839749 972303696 /nfs/dbraw/zinc/30/36/96/972303696.db2.gz CMEFIUMUXXLWOY-LLVKDONJSA-N 0 2 318.329 0.458 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@H+]1CCN1C(=O)c2ccccc2C1=O ZINC000390839749 972303699 /nfs/dbraw/zinc/30/36/99/972303699.db2.gz CMEFIUMUXXLWOY-LLVKDONJSA-N 0 2 318.329 0.458 20 0 DCADLN CO[C@H]([C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC001361447137 957976993 /nfs/dbraw/zinc/97/69/93/957976993.db2.gz RSIIIKQXOBXHGO-QPUJVOFHSA-N 0 2 305.338 0.001 20 0 DCADLN CSc1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)ncn1 ZINC001361448765 957979010 /nfs/dbraw/zinc/97/90/10/957979010.db2.gz NXHIWXWJLKREFP-UHFFFAOYSA-N 0 2 317.334 0.222 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000391258045 972326481 /nfs/dbraw/zinc/32/64/81/972326481.db2.gz SOADDRSUDMIYCQ-LLVKDONJSA-N 0 2 322.252 0.883 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000391258045 972326485 /nfs/dbraw/zinc/32/64/85/972326485.db2.gz SOADDRSUDMIYCQ-LLVKDONJSA-N 0 2 322.252 0.883 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnn(C2CCC2)c1 ZINC001361788564 958332108 /nfs/dbraw/zinc/33/21/08/958332108.db2.gz QVNCCNHGURAGDF-UHFFFAOYSA-N 0 2 313.321 0.631 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@@H+]1C ZINC001603227107 972343859 /nfs/dbraw/zinc/34/38/59/972343859.db2.gz HFXMHZFKGPIGIS-FRRDWIJNSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@H+]1C ZINC001603227107 972343863 /nfs/dbraw/zinc/34/38/63/972343863.db2.gz HFXMHZFKGPIGIS-FRRDWIJNSA-N 0 2 312.414 0.127 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H](O)C(C)(C)O ZINC001361891667 958456209 /nfs/dbraw/zinc/45/62/09/958456209.db2.gz JZULNRKHVCLBLR-SNVBAGLBSA-N 0 2 311.363 0.487 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001367785569 958569657 /nfs/dbraw/zinc/56/96/57/958569657.db2.gz LMLLQTGPSBMOLF-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001367785569 958569663 /nfs/dbraw/zinc/56/96/63/958569663.db2.gz LMLLQTGPSBMOLF-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001367785564 958571968 /nfs/dbraw/zinc/57/19/68/958571968.db2.gz LMLLQTGPSBMOLF-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001367785564 958571982 /nfs/dbraw/zinc/57/19/82/958571982.db2.gz LMLLQTGPSBMOLF-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN C/C(=C/C(=O)N1CCC(C)(C(=O)[O-])CC1)C[NH+]1CCOCC1 ZINC001588479739 958579309 /nfs/dbraw/zinc/57/93/09/958579309.db2.gz CPKDRBYBZVUOGU-QBFSEMIESA-N 0 2 310.394 0.978 20 0 DCADLN COCC[C@@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001361997361 958587402 /nfs/dbraw/zinc/58/74/02/958587402.db2.gz UXWGNWJMUCWNRX-SECBINFHSA-N 0 2 306.322 0.421 20 0 DCADLN CCO[C@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001491608174 959033113 /nfs/dbraw/zinc/03/31/13/959033113.db2.gz VEJXQPFJUYAKEH-HTQZYQBOSA-N 0 2 302.268 0.934 20 0 DCADLN CCO[C@H](C)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491608174 959033141 /nfs/dbraw/zinc/03/31/41/959033141.db2.gz VEJXQPFJUYAKEH-HTQZYQBOSA-N 0 2 302.268 0.934 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CCn2cccn2)C1 ZINC001368077786 959194546 /nfs/dbraw/zinc/19/45/46/959194546.db2.gz UCDZZODVMRRYRE-JTQLQIEISA-N 0 2 308.279 0.926 20 0 DCADLN COc1ccc([C@@]2(C(=O)NCc3n[nH]c(=O)[nH]3)C[C@@H](O)C2)cc1 ZINC001362418064 959316652 /nfs/dbraw/zinc/31/66/52/959316652.db2.gz WWDLTMYUUACMHD-LGZQZNNBSA-N 0 2 318.333 0.228 20 0 DCADLN Cc1nc(CN2CCN(c3cc(C(=O)[O-])cc[nH+]3)CC2)no1 ZINC001594453852 959404705 /nfs/dbraw/zinc/40/47/05/959404705.db2.gz RFMYBWLMGOMHBG-UHFFFAOYSA-N 0 2 303.322 0.793 20 0 DCADLN Cc1ncc(C[NH2+][C@@H](C)CCNC(=O)Cc2c[nH+]cn2C)o1 ZINC001377107391 959476164 /nfs/dbraw/zinc/47/61/64/959476164.db2.gz UEYBJTIIIDKYJU-NSHDSACASA-N 0 2 305.382 0.944 20 0 DCADLN CN(CC1(O)CCC1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362502105 959476225 /nfs/dbraw/zinc/47/62/25/959476225.db2.gz ISNXNCKWUFFHFE-UHFFFAOYSA-N 0 2 318.333 0.253 20 0 DCADLN CC(C)(C(=O)[O-])n1ccc(NC(=O)C[NH+]2CCC(CO)CC2)n1 ZINC001588621603 959543905 /nfs/dbraw/zinc/54/39/05/959543905.db2.gz KNDKLVKSXKZSLG-UHFFFAOYSA-N 0 2 324.381 0.346 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1CO)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362542958 959560279 /nfs/dbraw/zinc/56/02/79/959560279.db2.gz HKYYVPZRGHTQJK-ZYHUDNBSSA-N 0 2 318.333 0.157 20 0 DCADLN CCc1ncc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)cn1 ZINC001362647861 959763154 /nfs/dbraw/zinc/76/31/54/959763154.db2.gz ITJWXFOKOLFSJD-UHFFFAOYSA-N 0 2 322.346 0.644 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC(C2CC2)C1 ZINC001362663980 959792371 /nfs/dbraw/zinc/79/23/71/959792371.db2.gz XNBZDSRMUVZWMH-UHFFFAOYSA-N 0 2 300.318 0.748 20 0 DCADLN O=C([C@H]1CCCn2ncnc21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362725233 959897428 /nfs/dbraw/zinc/89/74/28/959897428.db2.gz QGWGXFIFMIYQJO-UWVGGRQHSA-N 0 2 317.353 0.385 20 0 DCADLN CC[C@H](CO)N(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362771618 959965949 /nfs/dbraw/zinc/96/59/49/959965949.db2.gz YNBGOYGCIZVRCF-SNVBAGLBSA-N 0 2 306.322 0.109 20 0 DCADLN CCC[N@H+](C)CC(=O)N[C@@H]1CCC[NH+](Cc2nncn2C)CC1 ZINC001368530083 959985179 /nfs/dbraw/zinc/98/51/79/959985179.db2.gz ZZQWEDCCRKDFSM-CQSZACIVSA-N 0 2 322.457 0.628 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1CCOCC1 ZINC001377402364 960038113 /nfs/dbraw/zinc/03/81/13/960038113.db2.gz KRLDXZQGEXSGBK-CBAPKCEASA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C1CCOCC1 ZINC001377402364 960038119 /nfs/dbraw/zinc/03/81/19/960038119.db2.gz KRLDXZQGEXSGBK-CBAPKCEASA-N 0 2 314.279 0.934 20 0 DCADLN CC(C)[C@H](C)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001368595378 960071139 /nfs/dbraw/zinc/07/11/39/960071139.db2.gz VARLLLHCXXOETF-QWRGUYRKSA-N 0 2 323.397 0.262 20 0 DCADLN CC(Cl)(Cl)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001304086386 960077088 /nfs/dbraw/zinc/07/70/88/960077088.db2.gz KSXFOCGGXPFTQX-UHFFFAOYSA-N 0 2 315.182 0.159 20 0 DCADLN CCCN(C(=O)[C@@H]1C[N@@H+](C)CCO1)[C@@H]1CC[NH+](CCCF)C1 ZINC001377651422 960389824 /nfs/dbraw/zinc/38/98/24/960389824.db2.gz WYNPGMXZCPDTNF-CABCVRRESA-N 0 2 315.433 0.990 20 0 DCADLN CC(=O)C1(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC1 ZINC001363211428 960613875 /nfs/dbraw/zinc/61/38/75/960613875.db2.gz QHMNKFLWZNLBBC-UHFFFAOYSA-N 0 2 302.290 0.118 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](CO)Cc2ccoc2)S1 ZINC001363242128 960682847 /nfs/dbraw/zinc/68/28/47/960682847.db2.gz FNTDYGMUUFYHPI-NXEZZACHSA-N 0 2 311.363 0.103 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)[C@]2(C(=O)[O-])CCCO2)C[C@H](C)O1 ZINC001574291668 960690668 /nfs/dbraw/zinc/69/06/68/960690668.db2.gz ZZXYELCJBNQZDX-ZOWXZIJZSA-N 0 2 314.382 0.188 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)[C@]2(C(=O)[O-])CCCO2)C[C@H](C)O1 ZINC001574291668 960690681 /nfs/dbraw/zinc/69/06/81/960690681.db2.gz ZZXYELCJBNQZDX-ZOWXZIJZSA-N 0 2 314.382 0.188 20 0 DCADLN Cc1nnc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)o1 ZINC001377968309 961085336 /nfs/dbraw/zinc/08/53/36/961085336.db2.gz HLIVXVKFVZDEMP-SECBINFHSA-N 0 2 310.251 0.826 20 0 DCADLN Cc1nnc(CN2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)o1 ZINC001377968309 961085344 /nfs/dbraw/zinc/08/53/44/961085344.db2.gz HLIVXVKFVZDEMP-SECBINFHSA-N 0 2 310.251 0.826 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1CCCCO1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019300 961200725 /nfs/dbraw/zinc/20/07/25/961200725.db2.gz XFIPEHNUCYCYFN-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](CNC(=O)C[C@@H]1CCCCO1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378019300 961200737 /nfs/dbraw/zinc/20/07/37/961200737.db2.gz XFIPEHNUCYCYFN-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN Cc1nccc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001430218735 1013259452 /nfs/dbraw/zinc/25/94/52/1013259452.db2.gz NHGXORVYJYAFKD-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1nccc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001430218735 1013259458 /nfs/dbraw/zinc/25/94/58/1013259458.db2.gz NHGXORVYJYAFKD-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](S(=O)(=O)N2CC[NH+](C3CCC3)CC2)C1 ZINC001571130785 961540801 /nfs/dbraw/zinc/54/08/01/961540801.db2.gz IZKIZGONFZZTNO-YPMHNXCESA-N 0 2 316.423 0.740 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001378859417 961617572 /nfs/dbraw/zinc/61/75/72/961617572.db2.gz VQVDSVRBZHLSDG-HTQZYQBOSA-N 0 2 314.279 0.669 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001378859417 961617596 /nfs/dbraw/zinc/61/75/96/961617596.db2.gz VQVDSVRBZHLSDG-HTQZYQBOSA-N 0 2 314.279 0.669 20 0 DCADLN CC(F)(F)C(C)(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363670415 961663637 /nfs/dbraw/zinc/66/36/37/961663637.db2.gz CXTVMPHUHJOIOU-UHFFFAOYSA-N 0 2 324.353 0.647 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C)o1 ZINC001571150025 961786413 /nfs/dbraw/zinc/78/64/13/961786413.db2.gz UDRPEBVCRJVKMG-SNVBAGLBSA-N 0 2 321.289 0.523 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C)o1 ZINC001571150025 961786425 /nfs/dbraw/zinc/78/64/25/961786425.db2.gz UDRPEBVCRJVKMG-SNVBAGLBSA-N 0 2 321.289 0.523 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(C(=O)[O-])oc1C ZINC001571150107 961789317 /nfs/dbraw/zinc/78/93/17/961789317.db2.gz WOQDSRALMICPRI-SNVBAGLBSA-N 0 2 321.289 0.523 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(C(=O)[O-])oc1C ZINC001571150107 961789327 /nfs/dbraw/zinc/78/93/27/961789327.db2.gz WOQDSRALMICPRI-SNVBAGLBSA-N 0 2 321.289 0.523 20 0 DCADLN CCCc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001379724031 961853753 /nfs/dbraw/zinc/85/37/53/961853753.db2.gz ZZGRBSHROADBKU-VIFPVBQESA-N 0 2 321.385 0.436 20 0 DCADLN CCCc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001379724031 961853779 /nfs/dbraw/zinc/85/37/79/961853779.db2.gz ZZGRBSHROADBKU-VIFPVBQESA-N 0 2 321.385 0.436 20 0 DCADLN C[C@H](NC(=O)C1CCCC1)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001571156569 961880043 /nfs/dbraw/zinc/88/00/43/961880043.db2.gz ZREFXLNUDNRLLW-CMPLNLGQSA-N 0 2 322.365 0.147 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379740271 961905185 /nfs/dbraw/zinc/90/51/85/961905185.db2.gz JCAAHLWISKHCQS-GHMZBOCLSA-N 0 2 313.402 0.508 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379740271 961905200 /nfs/dbraw/zinc/90/52/00/961905200.db2.gz JCAAHLWISKHCQS-GHMZBOCLSA-N 0 2 313.402 0.508 20 0 DCADLN C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(C[NH+](C)C)o2)CC1 ZINC001571160702 961926507 /nfs/dbraw/zinc/92/65/07/961926507.db2.gz FHXYJXITDGFUIA-LBPRGKRZSA-N 0 2 323.393 0.962 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(C(=O)c2ccc(CN(C)C)o2)CC1 ZINC001571160702 961926521 /nfs/dbraw/zinc/92/65/21/961926521.db2.gz FHXYJXITDGFUIA-LBPRGKRZSA-N 0 2 323.393 0.962 20 0 DCADLN C[N@H+](CCS(=O)(=O)CC(=O)[O-])C[C@H](O)Cc1ccccc1 ZINC001574013697 961929429 /nfs/dbraw/zinc/92/94/29/961929429.db2.gz BFHUJUONYKGEFF-CYBMUJFWSA-N 0 2 315.391 0.021 20 0 DCADLN C[N@@H+](CCS(=O)(=O)CC(=O)[O-])C[C@H](O)Cc1ccccc1 ZINC001574013697 961929440 /nfs/dbraw/zinc/92/94/40/961929440.db2.gz BFHUJUONYKGEFF-CYBMUJFWSA-N 0 2 315.391 0.021 20 0 DCADLN COCCC(=O)N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001447188632 1013300398 /nfs/dbraw/zinc/30/03/98/1013300398.db2.gz PBSKECGNSZRNNQ-WCBMZHEXSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)N[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001447188632 1013300402 /nfs/dbraw/zinc/30/04/02/1013300402.db2.gz PBSKECGNSZRNNQ-WCBMZHEXSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](NC(=O)CS(=O)(=O)NC(C)(C)C)c1nn(C)cc1O ZINC001363851809 962026737 /nfs/dbraw/zinc/02/67/37/962026737.db2.gz NVXYULSCMZOFHC-QMMMGPOBSA-N 0 2 318.399 0.021 20 0 DCADLN CC(C)Oc1cnccc1NC(=O)CC1SC(=N)NC1=O ZINC001363896301 962097288 /nfs/dbraw/zinc/09/72/88/962097288.db2.gz KBUKMTKCPIPHAI-JTQLQIEISA-N 0 2 308.363 0.786 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001364057042 962383970 /nfs/dbraw/zinc/38/39/70/962383970.db2.gz ZJGFODPBZORLKS-GJZGRUSLSA-N 0 2 323.437 0.757 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](c3ncccn3)C2)S1 ZINC001364107392 962484930 /nfs/dbraw/zinc/48/49/30/962484930.db2.gz AXSSRKPUEWEEQT-NXEZZACHSA-N 0 2 319.390 0.739 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(c2nc(C)[nH+]c3c2CCCC3)CCO1 ZINC001574142969 962598234 /nfs/dbraw/zinc/59/82/34/962598234.db2.gz KZLHSVYPHKDOFQ-INIZCTEOSA-N 0 2 321.377 0.970 20 0 DCADLN COCCN(CCNC(=O)[C@H]1CC1(C)C)Cc1n[nH]c(=O)[nH]1 ZINC001380099429 962667528 /nfs/dbraw/zinc/66/75/28/962667528.db2.gz BZYWOOXQYVKEBO-SNVBAGLBSA-N 0 2 311.386 0.121 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)Cc2ccon2)C1 ZINC001379361181 962724625 /nfs/dbraw/zinc/72/46/25/962724625.db2.gz ABLJIUUFFIDUCJ-SNVBAGLBSA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)Cc2ccon2)C1 ZINC001379361181 962724640 /nfs/dbraw/zinc/72/46/40/962724640.db2.gz ABLJIUUFFIDUCJ-SNVBAGLBSA-N 0 2 323.246 0.787 20 0 DCADLN Cn1nnc(CN2CC[C@](C)(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001380347925 963075796 /nfs/dbraw/zinc/07/57/96/963075796.db2.gz HJQDTEYMNCAVHJ-WPRPVWTQSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CC[C@](C)(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001380347925 963075812 /nfs/dbraw/zinc/07/58/12/963075812.db2.gz HJQDTEYMNCAVHJ-WPRPVWTQSA-N 0 2 324.282 0.191 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001379639546 963308557 /nfs/dbraw/zinc/30/85/57/963308557.db2.gz KWKLOMXNCFAHJD-ZETCQYMHSA-N 0 2 307.358 0.101 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001379639546 963308561 /nfs/dbraw/zinc/30/85/61/963308561.db2.gz KWKLOMXNCFAHJD-ZETCQYMHSA-N 0 2 307.358 0.101 20 0 DCADLN CS[C@@H](C)C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001369111631 964415950 /nfs/dbraw/zinc/41/59/50/964415950.db2.gz QYIDRMKPCQADKK-IUCAKERBSA-N 0 2 313.427 0.588 20 0 DCADLN COC1(CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001375674644 964698014 /nfs/dbraw/zinc/69/80/14/964698014.db2.gz ZJCPMWZGBGJMBC-UHFFFAOYSA-N 0 2 309.370 0.112 20 0 DCADLN C[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@H]1CO ZINC001447740573 1013518369 /nfs/dbraw/zinc/51/83/69/1013518369.db2.gz AQPJDBZDVAYKAE-CABZTGNLSA-N 0 2 318.333 0.109 20 0 DCADLN Cc1cc(C(=O)N(CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C(C)C)no1 ZINC001369513694 965118800 /nfs/dbraw/zinc/11/88/00/965118800.db2.gz QRSFMMTUKMXILB-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN Cc1cc(C(=O)N(CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C(C)C)no1 ZINC001369513694 965118804 /nfs/dbraw/zinc/11/88/04/965118804.db2.gz QRSFMMTUKMXILB-UHFFFAOYSA-N 0 2 322.369 0.789 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cccc(F)c1 ZINC001374460303 965414971 /nfs/dbraw/zinc/41/49/71/965414971.db2.gz YFVIYLUNMISREB-UHFFFAOYSA-N 0 2 307.329 0.853 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cccc(F)c1 ZINC001374460303 965414974 /nfs/dbraw/zinc/41/49/74/965414974.db2.gz YFVIYLUNMISREB-UHFFFAOYSA-N 0 2 307.329 0.853 20 0 DCADLN COCCC(=O)N(C)[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001369865544 965496047 /nfs/dbraw/zinc/49/60/47/965496047.db2.gz VJQWBWQGTUDAKS-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N(C)[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001369865544 965496054 /nfs/dbraw/zinc/49/60/54/965496054.db2.gz VJQWBWQGTUDAKS-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN COc1cccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001374547243 965509820 /nfs/dbraw/zinc/50/98/20/965509820.db2.gz FJXZYQTYYHEYQT-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN COc1cccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001374547243 965509833 /nfs/dbraw/zinc/50/98/33/965509833.db2.gz FJXZYQTYYHEYQT-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN CCn1ncc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001374546054 965510332 /nfs/dbraw/zinc/51/03/32/965510332.db2.gz LVBZQTAXNAGWIQ-UHFFFAOYSA-N 0 2 321.385 0.239 20 0 DCADLN CCn1ncc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001374546054 965510342 /nfs/dbraw/zinc/51/03/42/965510342.db2.gz LVBZQTAXNAGWIQ-UHFFFAOYSA-N 0 2 321.385 0.239 20 0 DCADLN CC[C@@H](OC)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001374607625 965630042 /nfs/dbraw/zinc/63/00/42/965630042.db2.gz FNSRTZKCGDTEHY-SFYZADRCSA-N 0 2 300.252 0.639 20 0 DCADLN CC[C@@H](OC)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001374607625 965630046 /nfs/dbraw/zinc/63/00/46/965630046.db2.gz FNSRTZKCGDTEHY-SFYZADRCSA-N 0 2 300.252 0.639 20 0 DCADLN CC(C)NC(=O)C[NH2+]C[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001376603608 965880056 /nfs/dbraw/zinc/88/00/56/965880056.db2.gz FLEYNDAMTICUIR-ZDUSSCGKSA-N 0 2 321.425 0.448 20 0 DCADLN COCC(=O)N(C)[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001370378212 966059888 /nfs/dbraw/zinc/05/98/88/966059888.db2.gz XCHDRWLACGTXJM-SCZZXKLOSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N(C)[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001370378212 966059897 /nfs/dbraw/zinc/05/98/97/966059897.db2.gz XCHDRWLACGTXJM-SCZZXKLOSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375026083 966252611 /nfs/dbraw/zinc/25/26/11/966252611.db2.gz DHDRLXGSISFPLV-SNVBAGLBSA-N 0 2 306.370 0.408 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375026083 966252614 /nfs/dbraw/zinc/25/26/14/966252614.db2.gz DHDRLXGSISFPLV-SNVBAGLBSA-N 0 2 306.370 0.408 20 0 DCADLN CC1(C)OCC[C@@H]1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478670979 1017372814 /nfs/dbraw/zinc/37/28/14/1017372814.db2.gz YEEKEWJOKFYKAU-ZJUUUORDSA-N 0 2 309.370 0.967 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001370712697 966406777 /nfs/dbraw/zinc/40/67/77/966406777.db2.gz OKWVLRQJZIGBBJ-BDAKNGLRSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CCCC1)[C@H](F)C(F)(F)F ZINC001370712697 966406782 /nfs/dbraw/zinc/40/67/82/966406782.db2.gz OKWVLRQJZIGBBJ-BDAKNGLRSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@@H]1CN(C(=O)c2cn[nH]c2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001371501785 967048850 /nfs/dbraw/zinc/04/88/50/967048850.db2.gz PUVXIRLOUSUNEB-VDAHYXPESA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)c2cn[nH]c2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001371501785 967048862 /nfs/dbraw/zinc/04/88/62/967048862.db2.gz PUVXIRLOUSUNEB-VDAHYXPESA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CCCOCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027767 1013718997 /nfs/dbraw/zinc/71/89/97/1013718997.db2.gz XVZNTJPDDYRUGY-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CCCOCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027767 1013719000 /nfs/dbraw/zinc/71/90/00/1013719000.db2.gz XVZNTJPDDYRUGY-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001372068317 967620473 /nfs/dbraw/zinc/62/04/73/967620473.db2.gz DQGSAVIGOFFXTC-YUMQZZPRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001372068317 967620484 /nfs/dbraw/zinc/62/04/84/967620484.db2.gz DQGSAVIGOFFXTC-YUMQZZPRSA-N 0 2 322.262 0.983 20 0 DCADLN COCC(=O)N1CCC(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001372150530 967686155 /nfs/dbraw/zinc/68/61/55/967686155.db2.gz AEVPWCHDCPSKRI-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CCC(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001372150530 967686161 /nfs/dbraw/zinc/68/61/61/967686161.db2.gz AEVPWCHDCPSKRI-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN Cc1[nH]nc2ncc(C(=O)NCCc3n[nH]c(=S)o3)cc12 ZINC001448061089 1013741266 /nfs/dbraw/zinc/74/12/66/1013741266.db2.gz ACCHQIGOZJEGEJ-UHFFFAOYSA-N 0 2 304.335 0.911 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnon1 ZINC001382985399 967828008 /nfs/dbraw/zinc/82/80/08/967828008.db2.gz MASPVLIIAWFKLJ-IYSWYEEDSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cnon1 ZINC001382985399 967828017 /nfs/dbraw/zinc/82/80/17/967828017.db2.gz MASPVLIIAWFKLJ-IYSWYEEDSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnon1 ZINC001382985408 967828196 /nfs/dbraw/zinc/82/81/96/967828196.db2.gz MASPVLIIAWFKLJ-VDTYLAMSSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cnon1 ZINC001382985408 967828205 /nfs/dbraw/zinc/82/82/05/967828205.db2.gz MASPVLIIAWFKLJ-VDTYLAMSSA-N 0 2 312.223 0.547 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCC[C@H]2C[C@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001372363278 967930193 /nfs/dbraw/zinc/93/01/93/967930193.db2.gz KSUAZBIBLOKPJY-QJPTWQEYSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCC[C@H]2C[C@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001372363278 967930198 /nfs/dbraw/zinc/93/01/98/967930198.db2.gz KSUAZBIBLOKPJY-QJPTWQEYSA-N 0 2 307.398 0.885 20 0 DCADLN C/C=C/C[C@H](CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001448125028 1013767769 /nfs/dbraw/zinc/76/77/69/1013767769.db2.gz XFVWCSHMQADZMP-KXMPLOMGSA-N 0 2 318.333 0.323 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372549277 968110246 /nfs/dbraw/zinc/11/02/46/968110246.db2.gz KGYSSKPASWAFKH-ATRFCDNQSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1nncc1C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001372549277 968110252 /nfs/dbraw/zinc/11/02/52/968110252.db2.gz KGYSSKPASWAFKH-ATRFCDNQSA-N 0 2 323.250 0.093 20 0 DCADLN CO[C@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001372715880 968285967 /nfs/dbraw/zinc/28/59/67/968285967.db2.gz RDCOJJGUQYYTAA-XGQMLPDNSA-N 0 2 312.263 0.495 20 0 DCADLN CO[C@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(F)(F)F ZINC001372715880 968285977 /nfs/dbraw/zinc/28/59/77/968285977.db2.gz RDCOJJGUQYYTAA-XGQMLPDNSA-N 0 2 312.263 0.495 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1C[C@@]12CCOC2 ZINC001372888695 968503199 /nfs/dbraw/zinc/50/31/99/968503199.db2.gz SXTOFWNGSVHDCL-XHDPSFHLSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1C[C@@]12CCOC2 ZINC001372888695 968503208 /nfs/dbraw/zinc/50/32/08/968503208.db2.gz SXTOFWNGSVHDCL-XHDPSFHLSA-N 0 2 321.381 0.018 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cccc(=O)[nH]1 ZINC001372902127 968514232 /nfs/dbraw/zinc/51/42/32/968514232.db2.gz HUODEJQJGIWUJS-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cccc(=O)[nH]1 ZINC001372902127 968514245 /nfs/dbraw/zinc/51/42/45/968514245.db2.gz HUODEJQJGIWUJS-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN CN(CCNC(=O)c1cn(C)cn1)C(=O)C(F)C(F)(F)F ZINC001373403178 969047712 /nfs/dbraw/zinc/04/77/12/969047712.db2.gz ASIIQAKGYOCLCB-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)c1cn(C)cn1)C(=O)[C@@H](F)C(F)(F)F ZINC001373403178 969047723 /nfs/dbraw/zinc/04/77/23/969047723.db2.gz ASIIQAKGYOCLCB-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CC(C)CNC(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001373426407 969079808 /nfs/dbraw/zinc/07/98/08/969079808.db2.gz HHTOOBBFWMVVAG-JTQLQIEISA-N 0 2 313.295 0.459 20 0 DCADLN CC(C)CNC(=O)CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001373426407 969079814 /nfs/dbraw/zinc/07/98/14/969079814.db2.gz HHTOOBBFWMVVAG-JTQLQIEISA-N 0 2 313.295 0.459 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001448536766 1013945551 /nfs/dbraw/zinc/94/55/51/1013945551.db2.gz FDSCXXFDEDSYTC-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001448536766 1013945553 /nfs/dbraw/zinc/94/55/53/1013945553.db2.gz FDSCXXFDEDSYTC-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](C(=O)NC1CC1)[NH+]1CCN(c2cccc(C(=O)[O-])n2)CC1 ZINC001609696313 970457154 /nfs/dbraw/zinc/45/71/54/970457154.db2.gz LTEACNUFMBFCLB-LLVKDONJSA-N 0 2 318.377 0.569 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NC(=O)NC2CCCC2)[C@@H](C(=O)[O-])C1 ZINC000319124166 970505781 /nfs/dbraw/zinc/50/57/81/970505781.db2.gz DFYKOQBEMMUUJO-ZYHUDNBSSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NC(=O)NC2CCCC2)[C@@H](C(=O)[O-])C1 ZINC000319124166 970505788 /nfs/dbraw/zinc/50/57/88/970505788.db2.gz DFYKOQBEMMUUJO-ZYHUDNBSSA-N 0 2 311.382 0.940 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ccncn1 ZINC001431385930 1014024854 /nfs/dbraw/zinc/02/48/54/1014024854.db2.gz IKPBMTRKFQIHSX-VIFPVBQESA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1ccncn1 ZINC001431385930 1014024856 /nfs/dbraw/zinc/02/48/56/1014024856.db2.gz IKPBMTRKFQIHSX-VIFPVBQESA-N 0 2 322.262 0.907 20 0 DCADLN O=C([O-])c1ccccc1CC(=O)NC[C@H]1CN2CC[N@@H+]1CCC2 ZINC000394677056 972735985 /nfs/dbraw/zinc/73/59/85/972735985.db2.gz UNKCJVTZSORGFF-AWEZNQCLSA-N 0 2 317.389 0.433 20 0 DCADLN O=C([O-])c1ccccc1CC(=O)NC[C@H]1CN2CC[N@H+]1CCC2 ZINC000394677056 972735994 /nfs/dbraw/zinc/73/59/94/972735994.db2.gz UNKCJVTZSORGFF-AWEZNQCLSA-N 0 2 317.389 0.433 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(c2nnc([C@@H]3C[C@@H](O)C[N@@H+]3C)n2C)C1 ZINC001603371677 972899649 /nfs/dbraw/zinc/89/96/49/972899649.db2.gz IPOGKTQECGDLMG-KXNHARMFSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])CN(c2nnc([C@@H]3C[C@@H](O)C[N@H+]3C)n2C)C1 ZINC001603371677 972899658 /nfs/dbraw/zinc/89/96/58/972899658.db2.gz IPOGKTQECGDLMG-KXNHARMFSA-N 0 2 323.397 0.100 20 0 DCADLN COC(=O)CCN(CC(=O)[O-])C(=O)c1cccc2[nH+]ccn21 ZINC001604306036 972960492 /nfs/dbraw/zinc/96/04/92/972960492.db2.gz IYQQSPDLKZWTLW-UHFFFAOYSA-N 0 2 305.290 0.424 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@H+]2CCC[C@](O)(C(F)(F)F)CC2)C1 ZINC001605978626 973013024 /nfs/dbraw/zinc/01/30/24/973013024.db2.gz ZCWZCLPBIYKIIZ-BXKDBHETSA-N 0 2 324.299 0.656 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@@H+]2CCC[C@](O)(C(F)(F)F)CC2)C1 ZINC001605978626 973013034 /nfs/dbraw/zinc/01/30/34/973013034.db2.gz ZCWZCLPBIYKIIZ-BXKDBHETSA-N 0 2 324.299 0.656 20 0 DCADLN C[C@@H]1CN(c2[nH+]cccc2C(=O)[O-])C[C@@H]1C(=O)N1CCOCC1 ZINC001549176563 1014162950 /nfs/dbraw/zinc/16/29/50/1014162950.db2.gz NTEDKJAOFUGKMQ-YPMHNXCESA-N 0 2 319.361 0.711 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(C(=O)Cc1cn3c([nH+]1)CCCC3)C2 ZINC001549305388 1014215052 /nfs/dbraw/zinc/21/50/52/1014215052.db2.gz IMFPUDGNDINLFK-ZBEGNZNMSA-N 0 2 319.361 0.322 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nc[nH]n2)C[C@H]1O)c1cncc(O)c1 ZINC001549519433 1014286802 /nfs/dbraw/zinc/28/68/02/1014286802.db2.gz KOWPFUUOIWXZOI-HOTUBEGUSA-N 0 2 303.322 0.190 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCC[C@H](OC(F)F)C1)C(=O)[O-] ZINC001591865920 976165780 /nfs/dbraw/zinc/16/57/80/976165780.db2.gz FEVOKJAMZHXLQH-ONGXEEELSA-N 0 2 308.325 0.915 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCC[C@H](OC(F)F)C1)C(=O)[O-] ZINC001591865920 976165784 /nfs/dbraw/zinc/16/57/84/976165784.db2.gz FEVOKJAMZHXLQH-ONGXEEELSA-N 0 2 308.325 0.915 20 0 DCADLN CC(C)c1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)no1 ZINC001592019989 976708652 /nfs/dbraw/zinc/70/86/52/976708652.db2.gz NHFOZYFOABIJFQ-GWOFURMSSA-N 0 2 322.365 0.651 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nc[nH]n2)C[C@H]1O)c1ccc(F)cc1O ZINC001549715170 1014419146 /nfs/dbraw/zinc/41/91/46/1014419146.db2.gz GYAXSASSPVUYPC-HOTUBEGUSA-N 0 2 320.324 0.934 20 0 DCADLN Cc1c[nH]c(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001522957604 1014488632 /nfs/dbraw/zinc/48/86/32/1014488632.db2.gz JEWOQBYBQKCHQR-SSDOTTSWSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1c[nH]c(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001522957604 1014488640 /nfs/dbraw/zinc/48/86/40/1014488640.db2.gz JEWOQBYBQKCHQR-SSDOTTSWSA-N 0 2 310.251 0.855 20 0 DCADLN CC[C@@H](C)n1nccc1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001595028149 979149362 /nfs/dbraw/zinc/14/93/62/979149362.db2.gz GGIJDKDTHWBSBW-MNOVXSKESA-N 0 2 305.338 0.934 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC2(CC(C(=O)[O-])=NO2)CC1 ZINC001592906345 979843980 /nfs/dbraw/zinc/84/39/80/979843980.db2.gz FSIBAVIKQAHZLG-JTQLQIEISA-N 0 2 320.349 0.810 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC2(CC(C(=O)[O-])=NO2)CC1 ZINC001592906345 979843985 /nfs/dbraw/zinc/84/39/85/979843985.db2.gz FSIBAVIKQAHZLG-JTQLQIEISA-N 0 2 320.349 0.810 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)no1 ZINC001530486395 1014769558 /nfs/dbraw/zinc/76/95/58/1014769558.db2.gz YZADOTICLMTQTE-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)no1 ZINC001530486395 1014769560 /nfs/dbraw/zinc/76/95/60/1014769560.db2.gz YZADOTICLMTQTE-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN CCO[C@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530697276 1014885657 /nfs/dbraw/zinc/88/56/57/1014885657.db2.gz PIBVPESWFLOCJR-VXNVDRBHSA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@H](C)C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530697276 1014885664 /nfs/dbraw/zinc/88/56/64/1014885664.db2.gz PIBVPESWFLOCJR-VXNVDRBHSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1CN(C(=O)[C@H]2CCCCO2)C1 ZINC001530845863 1014959890 /nfs/dbraw/zinc/95/98/90/1014959890.db2.gz PFYWTXJVKICVQP-CQSZACIVSA-N 0 2 320.393 0.404 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C2CC2)no1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001596339992 983785675 /nfs/dbraw/zinc/78/56/75/983785675.db2.gz TVWJHLWUDHPHIP-QWRGUYRKSA-N 0 2 322.365 0.606 20 0 DCADLN CCN1OC[C@@H]([N@@H+]2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)C1=O ZINC001596449146 984148200 /nfs/dbraw/zinc/14/82/00/984148200.db2.gz CSWNLXRQSRJDSW-RKDXNWHRSA-N 0 2 311.363 0.793 20 0 DCADLN CCN1OC[C@@H]([N@H+]2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)C1=O ZINC001596449146 984148203 /nfs/dbraw/zinc/14/82/03/984148203.db2.gz CSWNLXRQSRJDSW-RKDXNWHRSA-N 0 2 311.363 0.793 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2cccc(C(=O)[O-])n2)C1 ZINC001596495581 984238962 /nfs/dbraw/zinc/23/89/62/984238962.db2.gz ARYOJMICAGKOAV-LLVKDONJSA-N 0 2 313.379 0.293 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cccc(C(=O)[O-])n2)C1 ZINC001596495581 984238967 /nfs/dbraw/zinc/23/89/67/984238967.db2.gz ARYOJMICAGKOAV-LLVKDONJSA-N 0 2 313.379 0.293 20 0 DCADLN C/C(=C\C(=O)Nc1ccn(CC(=O)[O-])n1)C[NH+]1CCOCC1 ZINC001588945911 984655429 /nfs/dbraw/zinc/65/54/29/984655429.db2.gz OHVXVCDSGNVGBR-DHZHZOJOSA-N 0 2 308.338 0.185 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@@H]1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001596677580 984754452 /nfs/dbraw/zinc/75/44/52/984754452.db2.gz HYUDSUDORZMENS-AXFHLTTASA-N 0 2 309.322 0.042 20 0 DCADLN O=C(CCn1cccn1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450369873 1015092613 /nfs/dbraw/zinc/09/26/13/1015092613.db2.gz DSOHTCVEQWDAMT-SNVBAGLBSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(CCn1cccn1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450369873 1015092622 /nfs/dbraw/zinc/09/26/22/1015092622.db2.gz DSOHTCVEQWDAMT-SNVBAGLBSA-N 0 2 322.262 0.501 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cncc(C(=O)[O-])c2)C[C@H](C)O1 ZINC001599929595 985285307 /nfs/dbraw/zinc/28/53/07/985285307.db2.gz GZQAANLOXPPWBR-TXEJJXNPSA-N 0 2 321.377 0.961 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)c2cncc(C(=O)[O-])c2)C[C@H](C)O1 ZINC001599929595 985285317 /nfs/dbraw/zinc/28/53/17/985285317.db2.gz GZQAANLOXPPWBR-TXEJJXNPSA-N 0 2 321.377 0.961 20 0 DCADLN CCOC[C@@H](C(=O)[O-])N(C)C(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC001596872017 985695397 /nfs/dbraw/zinc/69/53/97/985695397.db2.gz CIGGXZKDLOPEGA-SUIFULHWSA-N 0 2 314.382 0.213 20 0 DCADLN Cc1[nH]c(C[N@H+](C)C[C@H]2CCS(=O)(=O)C2)cc1C(=O)[O-] ZINC001599962654 985818573 /nfs/dbraw/zinc/81/85/73/985818573.db2.gz RLBWFVKMKCAGCR-SNVBAGLBSA-N 0 2 300.380 0.888 20 0 DCADLN Cc1[nH]c(C[N@@H+](C)C[C@H]2CCS(=O)(=O)C2)cc1C(=O)[O-] ZINC001599962654 985818591 /nfs/dbraw/zinc/81/85/91/985818591.db2.gz RLBWFVKMKCAGCR-SNVBAGLBSA-N 0 2 300.380 0.888 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)C[C@H]2CCOC2)n[nH]1 ZINC001551658743 1015166686 /nfs/dbraw/zinc/16/66/86/1015166686.db2.gz AZBKXROLDOPNAF-UPJWGTAASA-N 0 2 308.382 0.871 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2ccoc2CC(=O)[O-])[C@@H](C)CO1 ZINC001594526735 986061008 /nfs/dbraw/zinc/06/10/08/986061008.db2.gz COQJJDJVLSHQBX-QWRGUYRKSA-N 0 2 310.350 0.746 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2ccoc2CC(=O)[O-])[C@@H](C)CO1 ZINC001594526735 986061017 /nfs/dbraw/zinc/06/10/17/986061017.db2.gz COQJJDJVLSHQBX-QWRGUYRKSA-N 0 2 310.350 0.746 20 0 DCADLN C[C@@H]1C[N@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C[C@H]1O ZINC001594547145 986222313 /nfs/dbraw/zinc/22/23/13/986222313.db2.gz GSPVTXOWHLQWCX-PRHODGIISA-N 0 2 303.318 0.846 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C[C@H]1O ZINC001594547145 986222323 /nfs/dbraw/zinc/22/23/23/986222323.db2.gz GSPVTXOWHLQWCX-PRHODGIISA-N 0 2 303.318 0.846 20 0 DCADLN C[C@@H](C(=O)N[C@H](C(=O)[O-])C1CCOCC1)[NH+]1CCSCC1 ZINC001589376327 986436006 /nfs/dbraw/zinc/43/60/06/986436006.db2.gz YHXBNRBFGPYWAA-JQWIXIFHSA-N 0 2 316.423 0.420 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)CCCn1ccc(C(=O)[O-])n1 ZINC001594607291 986577041 /nfs/dbraw/zinc/57/70/41/986577041.db2.gz GXEOJMJZJSDHRC-GFCCVEGCSA-N 0 2 324.381 0.198 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)CCCn1ccc(C(=O)[O-])n1 ZINC001594607291 986577048 /nfs/dbraw/zinc/57/70/48/986577048.db2.gz GXEOJMJZJSDHRC-GFCCVEGCSA-N 0 2 324.381 0.198 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385923292 986686471 /nfs/dbraw/zinc/68/64/71/986686471.db2.gz MWSWUESKAVUHTJ-XUTVFYLZSA-N 0 2 300.252 0.685 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385923292 986686477 /nfs/dbraw/zinc/68/64/77/986686477.db2.gz MWSWUESKAVUHTJ-XUTVFYLZSA-N 0 2 300.252 0.685 20 0 DCADLN O=C(Nc1n[nH]c2ncnn12)c1noc(-c2ccc(F)cc2)n1 ZINC001450645899 1015270093 /nfs/dbraw/zinc/27/00/93/1015270093.db2.gz YTFLVICPRKPCSJ-UHFFFAOYSA-N 0 2 314.240 0.894 20 0 DCADLN CCS(=O)(=O)c1ccc(C[N@@H+]2CC[C@](O)(C(=O)[O-])C2)cc1 ZINC001597233828 987192448 /nfs/dbraw/zinc/19/24/48/987192448.db2.gz AZLADHRTFZFZGD-CQSZACIVSA-N 0 2 313.375 0.502 20 0 DCADLN CCS(=O)(=O)c1ccc(C[N@H+]2CC[C@](O)(C(=O)[O-])C2)cc1 ZINC001597233828 987192452 /nfs/dbraw/zinc/19/24/52/987192452.db2.gz AZLADHRTFZFZGD-CQSZACIVSA-N 0 2 313.375 0.502 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001387372629 987794825 /nfs/dbraw/zinc/79/48/25/987794825.db2.gz DDRCZDPWLXYCQB-AWEZNQCLSA-N 0 2 321.425 0.332 20 0 DCADLN Cc1ocnc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387744231 988109055 /nfs/dbraw/zinc/10/90/55/988109055.db2.gz CZKLGXAPTOQOQV-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1ocnc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387744231 988109061 /nfs/dbraw/zinc/10/90/61/988109061.db2.gz CZKLGXAPTOQOQV-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Nc1n[nH]c(CCNC(=O)C2=NN(c3ccccc3)CC2=O)n1 ZINC001450812210 1015370528 /nfs/dbraw/zinc/37/05/28/1015370528.db2.gz MFAKKKGYOWDKSQ-UHFFFAOYSA-N 0 2 313.321 0.251 20 0 DCADLN O=C(COCC1CC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001389235352 989013248 /nfs/dbraw/zinc/01/32/48/989013248.db2.gz SLVXJYUSGATWFM-JTQLQIEISA-N 0 2 312.263 0.640 20 0 DCADLN O=C(COCC1CC1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001389235352 989013254 /nfs/dbraw/zinc/01/32/54/989013254.db2.gz SLVXJYUSGATWFM-JTQLQIEISA-N 0 2 312.263 0.640 20 0 DCADLN CCc1nnc(C[NH2+]C2(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)s1 ZINC001597728905 989030941 /nfs/dbraw/zinc/03/09/41/989030941.db2.gz OLSPYVCIHAQHAR-BDAKNGLRSA-N 0 2 324.406 0.560 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001389383582 989184001 /nfs/dbraw/zinc/18/40/01/989184001.db2.gz SJVBITHAMKVVHJ-RCOVLWMOSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001389383582 989184005 /nfs/dbraw/zinc/18/40/05/989184005.db2.gz SJVBITHAMKVVHJ-RCOVLWMOSA-N 0 2 310.251 0.474 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H]2CCCO2)CC1)[C@H](F)C(F)(F)F ZINC001389869677 989630604 /nfs/dbraw/zinc/63/06/04/989630604.db2.gz NZVIVCQZUFQHGI-YUMQZZPRSA-N 0 2 312.263 0.831 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H]2CCCO2)CC1)C(F)C(F)(F)F ZINC001389869677 989630599 /nfs/dbraw/zinc/63/05/99/989630599.db2.gz NZVIVCQZUFQHGI-YUMQZZPRSA-N 0 2 312.263 0.831 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)Cn2cccn2)C1 ZINC001390075321 989797248 /nfs/dbraw/zinc/79/72/48/989797248.db2.gz MLLXTGPHLWPEBL-SNVBAGLBSA-N 0 2 322.262 0.453 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)Cn2cccn2)C1 ZINC001390075321 989797250 /nfs/dbraw/zinc/79/72/50/989797250.db2.gz MLLXTGPHLWPEBL-SNVBAGLBSA-N 0 2 322.262 0.453 20 0 DCADLN C[C@H]([NH2+]C[C@@H]1CCCCN1C(=O)CCc1[nH+]ccn1C)C(N)=O ZINC001390148714 989867103 /nfs/dbraw/zinc/86/71/03/989867103.db2.gz UUHJKIWJJVPZBZ-STQMWFEESA-N 0 2 321.425 0.197 20 0 DCADLN CN(C(=O)c1cc(C(=O)[O-])no1)C(C)(C)C[NH+]1CCOCC1 ZINC001598079223 990392209 /nfs/dbraw/zinc/39/22/09/990392209.db2.gz KYRFTVXCJNMUIC-UHFFFAOYSA-N 0 2 311.338 0.556 20 0 DCADLN CN(CCNC(=O)c1scnc1Cl)Cc1n[nH]c(=O)[nH]1 ZINC001390857176 990440952 /nfs/dbraw/zinc/44/09/52/990440952.db2.gz FSLOPSMTRBTESD-UHFFFAOYSA-N 0 2 316.774 0.482 20 0 DCADLN C[N@H+](CCNC(=O)c1scnc1Cl)Cc1n[nH]c(=O)[n-]1 ZINC001390857176 990440958 /nfs/dbraw/zinc/44/09/58/990440958.db2.gz FSLOPSMTRBTESD-UHFFFAOYSA-N 0 2 316.774 0.482 20 0 DCADLN C[N@@H+](CCNC(=O)c1scnc1Cl)Cc1n[nH]c(=O)[n-]1 ZINC001390857176 990440964 /nfs/dbraw/zinc/44/09/64/990440964.db2.gz FSLOPSMTRBTESD-UHFFFAOYSA-N 0 2 316.774 0.482 20 0 DCADLN CC[NH+]1CCCC[C@@H]1C(=O)NCC[N@H+]([C@H](C)C(=O)NC)C1CC1 ZINC001391194566 990657389 /nfs/dbraw/zinc/65/73/89/990657389.db2.gz BAGMXUFYLNCCBW-UKRRQHHQSA-N 0 2 324.469 0.576 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001391306655 990733187 /nfs/dbraw/zinc/73/31/87/990733187.db2.gz RMXODQNNKFHGFL-QWRGUYRKSA-N 0 2 323.397 0.000 20 0 DCADLN CNC(=O)[C@H](C)[N@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001391306655 990733191 /nfs/dbraw/zinc/73/31/91/990733191.db2.gz RMXODQNNKFHGFL-QWRGUYRKSA-N 0 2 323.397 0.000 20 0 DCADLN O=C(CCCC1CC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001391536567 990925472 /nfs/dbraw/zinc/92/54/72/990925472.db2.gz RUUXUXCCFNLGPW-RYUDHWBXSA-N 0 2 305.382 0.876 20 0 DCADLN C[C@H](CNC(=O)Cc1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001392387272 991854894 /nfs/dbraw/zinc/85/48/94/991854894.db2.gz GJELHTJJXDSQHA-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)Cc1ccn(C)n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001392387272 991854901 /nfs/dbraw/zinc/85/49/01/991854901.db2.gz GJELHTJJXDSQHA-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN CC(C)C[C@@H](CNS(=O)(=O)CCn1cc[nH+]c1)C(=O)[O-] ZINC001591162798 991926483 /nfs/dbraw/zinc/92/64/83/991926483.db2.gz LFSOYUVCVZLSHG-NSHDSACASA-N 0 2 303.384 0.549 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CCO[C@@H](C(F)F)CC1)C(=O)[O-] ZINC001591168436 991947313 /nfs/dbraw/zinc/94/73/13/991947313.db2.gz YJTQAUJHNWDQPI-GHMZBOCLSA-N 0 2 322.352 0.958 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CCO[C@@H](C(F)F)CC1)C(=O)[O-] ZINC001591168436 991947324 /nfs/dbraw/zinc/94/73/24/991947324.db2.gz YJTQAUJHNWDQPI-GHMZBOCLSA-N 0 2 322.352 0.958 20 0 DCADLN CC(C)C[C@H](NC(=O)CSCC[NH+]1CCOCC1)C(=O)[O-] ZINC001591173886 991966782 /nfs/dbraw/zinc/96/67/82/991966782.db2.gz OOEXARBFLHJMBQ-LBPRGKRZSA-N 0 2 318.439 0.667 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCCCO1 ZINC001392656129 992214442 /nfs/dbraw/zinc/21/44/42/992214442.db2.gz YPAWXRGFZRYJMD-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCCCO1 ZINC001392656129 992214453 /nfs/dbraw/zinc/21/44/53/992214453.db2.gz YPAWXRGFZRYJMD-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)C[NH+]1CCN(S(=O)(=O)c2occc2C(=O)[O-])CC1 ZINC001591366225 992375769 /nfs/dbraw/zinc/37/57/69/992375769.db2.gz OURNQSLQGYKSOB-UHFFFAOYSA-N 0 2 316.379 0.940 20 0 DCADLN CC(C)CC(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001392812268 992418465 /nfs/dbraw/zinc/41/84/65/992418465.db2.gz KPMNEWMMJRGACH-ZDUSSCGKSA-N 0 2 317.393 0.759 20 0 DCADLN CO[C@@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001393017533 992626636 /nfs/dbraw/zinc/62/66/36/992626636.db2.gz IBZZIQSXOVEZHZ-CYBMUJFWSA-N 0 2 323.397 0.358 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2cc(C(N)=O)cs2)C[C@@]1(C)C(=O)[O-] ZINC001598571509 993202296 /nfs/dbraw/zinc/20/22/96/993202296.db2.gz CXOBURHXWWAAOM-OQPBUACISA-N 0 2 312.347 0.551 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2cc(C(N)=O)cs2)C[C@@]1(C)C(=O)[O-] ZINC001598571509 993202304 /nfs/dbraw/zinc/20/23/04/993202304.db2.gz CXOBURHXWWAAOM-OQPBUACISA-N 0 2 312.347 0.551 20 0 DCADLN CNC(=O)CN1C[C@@H](NC(=O)C(F)C(F)(F)F)C(C)(C)C1 ZINC001394289030 993599968 /nfs/dbraw/zinc/59/99/68/993599968.db2.gz QMKSOWKHRMWMHX-APPZFPTMSA-N 0 2 313.295 0.459 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2cccc(CCC(=O)[O-])c2)CC1 ZINC001598604412 993621562 /nfs/dbraw/zinc/62/15/62/993621562.db2.gz SZCOTZQBGXWHGT-UHFFFAOYSA-N 0 2 319.361 0.416 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCO[C@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001399791863 993779364 /nfs/dbraw/zinc/77/93/64/993779364.db2.gz FTZIKQPMPKYADQ-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCO[C@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001399791863 993779373 /nfs/dbraw/zinc/77/93/73/993779373.db2.gz FTZIKQPMPKYADQ-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)NC[C@@H]1CCC[N@H+]1[C@@H](C)C(N)=O ZINC001399871796 993862783 /nfs/dbraw/zinc/86/27/83/993862783.db2.gz LPBIRAFOJJQQFG-JSGCOSHPSA-N 0 2 321.425 0.426 20 0 DCADLN COCCN(CCNC(=O)c1ccc(C)o1)Cc1n[nH]c(=O)[nH]1 ZINC001394832933 994197214 /nfs/dbraw/zinc/19/72/14/994197214.db2.gz QTLGPFCNOWPXTO-UHFFFAOYSA-N 0 2 323.353 0.290 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnon1)C(F)C(F)(F)F ZINC001400430748 994504865 /nfs/dbraw/zinc/50/48/65/994504865.db2.gz POTLSAAOAZABQC-FSPLSTOPSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnon1)[C@H](F)C(F)(F)F ZINC001400430748 994504875 /nfs/dbraw/zinc/50/48/75/994504875.db2.gz POTLSAAOAZABQC-FSPLSTOPSA-N 0 2 310.207 0.301 20 0 DCADLN CCOCC(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001400501242 994593112 /nfs/dbraw/zinc/59/31/12/994593112.db2.gz MQKOIONWJMAVPA-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN CCOCC(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001400501242 994593122 /nfs/dbraw/zinc/59/31/22/994593122.db2.gz MQKOIONWJMAVPA-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H]2CCCO2)CC1)C(F)C(F)(F)F ZINC001400512760 994603898 /nfs/dbraw/zinc/60/38/98/994603898.db2.gz GXJOFVYVXMPGPT-JGVFFNPUSA-N 0 2 312.263 0.831 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H]2CCCO2)CC1)[C@@H](F)C(F)(F)F ZINC001400512760 994603902 /nfs/dbraw/zinc/60/39/02/994603902.db2.gz GXJOFVYVXMPGPT-JGVFFNPUSA-N 0 2 312.263 0.831 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001395589667 994782882 /nfs/dbraw/zinc/78/28/82/994782882.db2.gz IBQGGTXBXXFBTA-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001395589667 994782893 /nfs/dbraw/zinc/78/28/93/994782893.db2.gz IBQGGTXBXXFBTA-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN CC(C)CC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395684796 994877183 /nfs/dbraw/zinc/87/71/83/994877183.db2.gz FYPKEDUGUDJGBV-VXNVDRBHSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001395684796 994877194 /nfs/dbraw/zinc/87/71/94/994877194.db2.gz FYPKEDUGUDJGBV-VXNVDRBHSA-N 0 2 302.268 0.526 20 0 DCADLN C[C@](O)(CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1ccccc1 ZINC001593381577 995092757 /nfs/dbraw/zinc/09/27/57/995092757.db2.gz LZVWJBHCPXOIPO-CJNGLKHVSA-N 0 2 317.345 0.819 20 0 DCADLN C[C@](O)(CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1ccccc1 ZINC001593381577 995092767 /nfs/dbraw/zinc/09/27/67/995092767.db2.gz LZVWJBHCPXOIPO-CJNGLKHVSA-N 0 2 317.345 0.819 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])[N@H+](CC(=O)NC(C)(C)C)C1 ZINC001598779893 995971977 /nfs/dbraw/zinc/97/19/77/995971977.db2.gz QIBQDPDKDUSEEV-VHSXEESVSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])[N@@H+](CC(=O)NC(C)(C)C)C1 ZINC001598779893 995971983 /nfs/dbraw/zinc/97/19/83/995971983.db2.gz QIBQDPDKDUSEEV-VHSXEESVSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@H]1CCC[C@H]1[NH2+]Cc1noc(CCCC(=O)[O-])n1 ZINC001598791668 996078684 /nfs/dbraw/zinc/07/86/84/996078684.db2.gz PYXKKPZWTMGJQF-VHSXEESVSA-N 0 2 311.338 0.908 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)COCC1CC1 ZINC001397944249 996271045 /nfs/dbraw/zinc/27/10/45/996271045.db2.gz XNWSDNGIJSPLMC-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)COCC1CC1 ZINC001397944249 996271049 /nfs/dbraw/zinc/27/10/49/996271049.db2.gz XNWSDNGIJSPLMC-JTQLQIEISA-N 0 2 314.279 0.888 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[NH+]1CCC(c2nnnn2C)CC1 ZINC001593725515 996278914 /nfs/dbraw/zinc/27/89/14/996278914.db2.gz RCZUMNYCVHIBAI-MRVPVSSYSA-N 0 2 306.326 0.837 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)C[C@H]1C(=O)[O-] ZINC001598818376 996296595 /nfs/dbraw/zinc/29/65/95/996296595.db2.gz QHWJHUUQKYVUKN-ZJUUUORDSA-N 0 2 317.301 0.509 20 0 DCADLN C[C@H](c1nncn1C)[NH+]1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001593737601 996337242 /nfs/dbraw/zinc/33/72/42/996337242.db2.gz JDWHTNFWJJGAQC-SECBINFHSA-N 0 2 305.342 0.503 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2nc(C3CCC3)no2)C[C@H]1C(=O)[O-] ZINC001598826595 996389380 /nfs/dbraw/zinc/38/93/80/996389380.db2.gz NRQJQDRLPFJZEL-NXEZZACHSA-N 0 2 309.322 0.643 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2nc(C3CCC3)no2)C[C@H]1C(=O)[O-] ZINC001598826595 996389385 /nfs/dbraw/zinc/38/93/85/996389385.db2.gz NRQJQDRLPFJZEL-NXEZZACHSA-N 0 2 309.322 0.643 20 0 DCADLN COC(=O)CC[C@@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)[O-] ZINC001598856862 996645245 /nfs/dbraw/zinc/64/52/45/996645245.db2.gz JKIOYDBXPPXGMB-LLVKDONJSA-N 0 2 323.349 0.285 20 0 DCADLN COc1cc(C[N@@H+]2CCCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)on1 ZINC001599407137 997332679 /nfs/dbraw/zinc/33/26/79/997332679.db2.gz HLZXFTPEVOXOQP-NEPJUHHUSA-N 0 2 323.349 0.438 20 0 DCADLN COc1cc(C[N@H+]2CCCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)on1 ZINC001599407137 997332687 /nfs/dbraw/zinc/33/26/87/997332687.db2.gz HLZXFTPEVOXOQP-NEPJUHHUSA-N 0 2 323.349 0.438 20 0 DCADLN C[C@@H](c1nncn1C)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001399487725 997431720 /nfs/dbraw/zinc/43/17/20/997431720.db2.gz YCXPQUSUQOKTPY-JGVFFNPUSA-N 0 2 311.283 0.825 20 0 DCADLN C[C@@H](c1nncn1C)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001399487725 997431713 /nfs/dbraw/zinc/43/17/13/997431713.db2.gz YCXPQUSUQOKTPY-JGVFFNPUSA-N 0 2 311.283 0.825 20 0 DCADLN COCC(=O)NCC1CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001404870740 997547426 /nfs/dbraw/zinc/54/74/26/997547426.db2.gz YLIBQVPYTFKJBA-UHFFFAOYSA-N 0 2 322.390 0.591 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[NH+]2CCC(C(C)=O)CC2)n1 ZINC001598921452 997572318 /nfs/dbraw/zinc/57/23/18/997572318.db2.gz CXDKLZKZWRTITB-UHFFFAOYSA-N 0 2 309.322 0.626 20 0 DCADLN COc1c(C(=O)[O-])ncnc1N(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC001599329655 997726244 /nfs/dbraw/zinc/72/62/44/997726244.db2.gz ANEHTSCFTUXURO-UHFFFAOYSA-N 0 2 324.381 0.730 20 0 DCADLN COc1c(C(=O)[O-])ncnc1N(C)CC[N@H+]1CCOC(C)(C)C1 ZINC001599329655 997726253 /nfs/dbraw/zinc/72/62/53/997726253.db2.gz ANEHTSCFTUXURO-UHFFFAOYSA-N 0 2 324.381 0.730 20 0 DCADLN C[C@@]1([NH2+]CCCN2C(=O)CS/C2=C\C(=O)[O-])CCOC1=O ZINC001594059659 997820651 /nfs/dbraw/zinc/82/06/51/997820651.db2.gz CMDBRXZHEZFXJI-PGJNLMOESA-N 0 2 314.363 0.173 20 0 DCADLN COCC[N@H+](C)Cc1cn(Cc2ncccc2C(=O)[O-])nn1 ZINC001599231960 997876715 /nfs/dbraw/zinc/87/67/15/997876715.db2.gz ISQCGEZPHPDPJK-UHFFFAOYSA-N 0 2 305.338 0.498 20 0 DCADLN COCC[N@@H+](C)Cc1cn(Cc2ncccc2C(=O)[O-])nn1 ZINC001599231960 997876730 /nfs/dbraw/zinc/87/67/30/997876730.db2.gz ISQCGEZPHPDPJK-UHFFFAOYSA-N 0 2 305.338 0.498 20 0 DCADLN COCC[N@H+](Cc1[nH]cc(C(=O)[O-])c1C1CC1)[C@H](C)C(N)=O ZINC001599238663 997994219 /nfs/dbraw/zinc/99/42/19/997994219.db2.gz PNACKDSHCIOFBP-SECBINFHSA-N 0 2 309.366 0.913 20 0 DCADLN COCC[N@@H+](Cc1[nH]cc(C(=O)[O-])c1C1CC1)[C@H](C)C(N)=O ZINC001599238663 997994229 /nfs/dbraw/zinc/99/42/29/997994229.db2.gz PNACKDSHCIOFBP-SECBINFHSA-N 0 2 309.366 0.913 20 0 DCADLN COc1cc(OC[C@@H](O)C[NH+]2CCOCC2)ccc1C(=O)[O-] ZINC001599456989 998204565 /nfs/dbraw/zinc/20/45/65/998204565.db2.gz RMMAAABQHHWERO-NSHDSACASA-N 0 2 311.334 0.465 20 0 DCADLN C[C@@H]1OC(=O)N[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001467900037 1016207635 /nfs/dbraw/zinc/20/76/35/1016207635.db2.gz WQTFGYNDXGJAFD-IMTBSYHQSA-N 0 2 303.278 0.613 20 0 DCADLN C[C@H]1CC2(C[N@@H+]1Cn1nc(C(=O)[O-])ccc1=O)CCOCC2 ZINC001594287375 999111801 /nfs/dbraw/zinc/11/18/01/999111801.db2.gz WKEQNINSWUYBIN-NSHDSACASA-N 0 2 307.350 0.790 20 0 DCADLN C[C@H]1CC2(C[N@H+]1Cn1nc(C(=O)[O-])ccc1=O)CCOCC2 ZINC001594287375 999111804 /nfs/dbraw/zinc/11/18/04/999111804.db2.gz WKEQNINSWUYBIN-NSHDSACASA-N 0 2 307.350 0.790 20 0 DCADLN CN(Cc1cnc[nH]1)C(=O)c1nc[nH]c(=O)c1Br ZINC001418426100 1000210448 /nfs/dbraw/zinc/21/04/48/1000210448.db2.gz DLTBTZGQWHIISP-UHFFFAOYSA-N 0 2 312.127 0.940 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1nnn(C)n1 ZINC001418550712 1000337255 /nfs/dbraw/zinc/33/72/55/1000337255.db2.gz YJACQKISUXLCJP-MRVPVSSYSA-N 0 2 312.271 0.049 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1nnn(C)n1 ZINC001418550712 1000337260 /nfs/dbraw/zinc/33/72/60/1000337260.db2.gz YJACQKISUXLCJP-MRVPVSSYSA-N 0 2 312.271 0.049 20 0 DCADLN CCc1ncncc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001418581901 1000363864 /nfs/dbraw/zinc/36/38/64/1000363864.db2.gz LTNDCSTUYLADMX-UHFFFAOYSA-N 0 2 319.369 0.115 20 0 DCADLN CCc1ncncc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001418581901 1000363871 /nfs/dbraw/zinc/36/38/71/1000363871.db2.gz LTNDCSTUYLADMX-UHFFFAOYSA-N 0 2 319.369 0.115 20 0 DCADLN O=C(CC[C@H]1CCOC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418607895 1000386867 /nfs/dbraw/zinc/38/68/67/1000386867.db2.gz GZKJIJSRKXBCQJ-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC[C@H]1CCOC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418607895 1000386872 /nfs/dbraw/zinc/38/68/72/1000386872.db2.gz GZKJIJSRKXBCQJ-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418607900 1000387666 /nfs/dbraw/zinc/38/76/66/1000387666.db2.gz GZKJIJSRKXBCQJ-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418607900 1000387669 /nfs/dbraw/zinc/38/76/69/1000387669.db2.gz GZKJIJSRKXBCQJ-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1c[nH]nc1-c1ccc(F)cc1 ZINC001470348153 1016420813 /nfs/dbraw/zinc/42/08/13/1016420813.db2.gz ICVSKEYIADCKKB-UHFFFAOYSA-N 0 2 316.296 0.756 20 0 DCADLN CCc1noc([C@@H](C)N(C)C[C@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001401752247 1000688559 /nfs/dbraw/zinc/68/85/59/1000688559.db2.gz JWONLADUSMEPGG-DTWKUNHWSA-N 0 2 307.358 0.561 20 0 DCADLN CCN(C(=O)[C@@H](C)SC)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419141209 1000811049 /nfs/dbraw/zinc/81/10/49/1000811049.db2.gz XKQWOCCXFMGQQA-ZJUUUORDSA-N 0 2 313.427 0.685 20 0 DCADLN O=C(CC[C@@H]1CCOC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402082957 1001001653 /nfs/dbraw/zinc/00/16/53/1001001653.db2.gz QIYHCIPSLRPJJG-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@@H]1CCCN1C(=O)c1cc(C)[nH]n1 ZINC001419446765 1001056629 /nfs/dbraw/zinc/05/66/29/1001056629.db2.gz XXOBYJIDAHLBOM-JTQLQIEISA-N 0 2 318.337 0.990 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1)C(F)C(F)(F)F ZINC001419471328 1001079262 /nfs/dbraw/zinc/07/92/62/1001079262.db2.gz IDUOJQXNOFCAGO-JCGDXUMPSA-N 0 2 311.239 0.148 20 0 DCADLN O=C(CCC1CCOCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402208123 1001110375 /nfs/dbraw/zinc/11/03/75/1001110375.db2.gz QWWCEIJLCJDIQJ-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CCO[C@H](C)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001419621551 1001176488 /nfs/dbraw/zinc/17/64/88/1001176488.db2.gz IBICYILTTBUJAI-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H](C)C[NH2+]Cc2ncccn2)c1[O-] ZINC001419664697 1001207215 /nfs/dbraw/zinc/20/72/15/1001207215.db2.gz WQNNWSKQCYPIBO-SECBINFHSA-N 0 2 304.354 0.464 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)CCS(C)(=O)=O ZINC001419969018 1001419273 /nfs/dbraw/zinc/41/92/73/1001419273.db2.gz ZJVZPCBECCJWHP-QMMMGPOBSA-N 0 2 322.324 0.322 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)CCS(C)(=O)=O ZINC001419969018 1001419277 /nfs/dbraw/zinc/41/92/77/1001419277.db2.gz ZJVZPCBECCJWHP-QMMMGPOBSA-N 0 2 322.324 0.322 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ncc[nH]1)C(F)C(F)(F)F ZINC001505750941 1016519068 /nfs/dbraw/zinc/51/90/68/1016519068.db2.gz VJFBFGATYMNSIO-BQBZGAKWSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1ncc[nH]1)[C@H](F)C(F)(F)F ZINC001505750941 1016519080 /nfs/dbraw/zinc/51/90/80/1016519080.db2.gz VJFBFGATYMNSIO-BQBZGAKWSA-N 0 2 308.235 0.641 20 0 DCADLN CC(C)[C@@H](F)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001403381971 1001910411 /nfs/dbraw/zinc/91/04/11/1001910411.db2.gz MDKOSNUVRHEVBS-MWLCHTKSSA-N 0 2 313.377 0.831 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)Cc2ccccc2)[nH]1 ZINC001420145455 1001938544 /nfs/dbraw/zinc/93/85/44/1001938544.db2.gz KMQFXCXNTRDXBI-UHFFFAOYSA-N 0 2 308.363 0.208 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001424712693 1001944212 /nfs/dbraw/zinc/94/42/12/1001944212.db2.gz SMOCGOQCRHQYGN-XVYDVKMFSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001424712693 1001944222 /nfs/dbraw/zinc/94/42/22/1001944222.db2.gz SMOCGOQCRHQYGN-XVYDVKMFSA-N 0 2 322.262 0.935 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](C)Cc2cnc(C)cn2)c1[O-] ZINC001403545478 1002114128 /nfs/dbraw/zinc/11/41/28/1002114128.db2.gz RSGZMPCQQVVFQI-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](C)Cc2cnc(C)cn2)c1[O-] ZINC001403545478 1002114131 /nfs/dbraw/zinc/11/41/31/1002114131.db2.gz RSGZMPCQQVVFQI-UHFFFAOYSA-N 0 2 304.354 0.384 20 0 DCADLN CC(C)c1ccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001403574863 1002160503 /nfs/dbraw/zinc/16/05/03/1002160503.db2.gz IHVPBHQBTRYMIJ-UHFFFAOYSA-N 0 2 318.381 0.891 20 0 DCADLN CC(C)c1ccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001403574863 1002160507 /nfs/dbraw/zinc/16/05/07/1002160507.db2.gz IHVPBHQBTRYMIJ-UHFFFAOYSA-N 0 2 318.381 0.891 20 0 DCADLN Cc1ccc(C(=O)N(C)C[C@H](C)[NH2+]Cc2nc(=O)n(C)[nH]2)cc1 ZINC001403624089 1002226649 /nfs/dbraw/zinc/22/66/49/1002226649.db2.gz BHWRHEIMHFFHLY-LBPRGKRZSA-N 0 2 317.393 0.667 20 0 DCADLN Cc1nsc(C)c1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425088839 1002344533 /nfs/dbraw/zinc/34/45/33/1002344533.db2.gz RVINPTZKZMLYBO-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nsc(C)c1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425088839 1002344544 /nfs/dbraw/zinc/34/45/44/1002344544.db2.gz RVINPTZKZMLYBO-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)NC(=O)C1CCCC1)c1nn(C)cc1O ZINC001420412382 1002377755 /nfs/dbraw/zinc/37/77/55/1002377755.db2.gz BMIFTYFDYXCLKL-ZJUUUORDSA-N 0 2 308.382 0.998 20 0 DCADLN C[C@@H](NC(=O)CS(=O)(=O)C(C)(C)C)c1nn(C)cc1O ZINC001420433670 1002412776 /nfs/dbraw/zinc/41/27/76/1002412776.db2.gz AZWXUVDMRDAHGH-MRVPVSSYSA-N 0 2 303.384 0.516 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)C(C)(F)F ZINC001420636270 1002721206 /nfs/dbraw/zinc/72/12/06/1002721206.db2.gz WFUXPDAWTGGLHS-YUMQZZPRSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)C(C)(F)F ZINC001420636270 1002721213 /nfs/dbraw/zinc/72/12/13/1002721213.db2.gz WFUXPDAWTGGLHS-YUMQZZPRSA-N 0 2 305.329 0.738 20 0 DCADLN Cc1ccn(C)c1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420647153 1002739510 /nfs/dbraw/zinc/73/95/10/1002739510.db2.gz LLXDQRQIYXKWLG-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccn(C)c1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420647153 1002739521 /nfs/dbraw/zinc/73/95/21/1002739521.db2.gz LLXDQRQIYXKWLG-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccc1 ZINC001420807266 1002968266 /nfs/dbraw/zinc/96/82/66/1002968266.db2.gz CDERKXFPHWQAKE-MNOVXSKESA-N 0 2 304.354 0.264 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1CC(NC(=O)[C@@H]2C[C@H]2C)C1 ZINC001404113340 1003026987 /nfs/dbraw/zinc/02/69/87/1003026987.db2.gz LTSVLKANGGINMH-SARFZWSYSA-N 0 2 304.394 0.928 20 0 DCADLN COc1ccnc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)c1 ZINC001472061816 1016717545 /nfs/dbraw/zinc/71/75/45/1016717545.db2.gz QCIQYAWRODQEBD-UHFFFAOYSA-N 0 2 318.333 0.915 20 0 DCADLN Cc1cc(CC(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)on1 ZINC001472060948 1016718489 /nfs/dbraw/zinc/71/84/89/1016718489.db2.gz HFRAMBYJSIKPEA-UHFFFAOYSA-N 0 2 306.322 0.736 20 0 DCADLN NS(=O)(=O)C1(CNC(=O)c2cc(F)cc(Cl)c2O)CC1 ZINC001421014665 1003237251 /nfs/dbraw/zinc/23/72/51/1003237251.db2.gz MWDBYSDRMOGTLJ-UHFFFAOYSA-N 0 2 322.745 0.736 20 0 DCADLN COC[C@H](O)CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001421057760 1003277875 /nfs/dbraw/zinc/27/78/75/1003277875.db2.gz KZHJQORIGAUQPW-BDAKNGLRSA-N 0 2 302.268 0.037 20 0 DCADLN C[C@@H]1CCCC[C@H]1OCC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421073960 1003293528 /nfs/dbraw/zinc/29/35/28/1003293528.db2.gz LSBGPLXSBDREJX-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN COC(=O)c1cnc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001421121894 1003344278 /nfs/dbraw/zinc/34/42/78/1003344278.db2.gz CHAILKMQSYMAAB-QMMMGPOBSA-N 0 2 304.310 0.471 20 0 DCADLN C[C@H](NC(=O)c1ccc2c(n1)OCCO2)c1nn(C)cc1O ZINC001472237838 1016768302 /nfs/dbraw/zinc/76/83/02/1016768302.db2.gz XOTRPWLRQQZGOC-QMMMGPOBSA-N 0 2 304.306 0.783 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001406169209 1003437451 /nfs/dbraw/zinc/43/74/51/1003437451.db2.gz NORIXQGIOAYSCB-UHFFFAOYSA-N 0 2 324.410 0.788 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001406169209 1003437461 /nfs/dbraw/zinc/43/74/61/1003437461.db2.gz NORIXQGIOAYSCB-UHFFFAOYSA-N 0 2 324.410 0.788 20 0 DCADLN Cc1conc1C[NH2+]C[C@@H](O)CNC(=O)[C@@H]1CCCC[N@H+]1C ZINC001406242495 1003516967 /nfs/dbraw/zinc/51/69/67/1003516967.db2.gz MIFVGARNKZGYGI-OCCSQVGLSA-N 0 2 310.398 0.034 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001421327694 1003548339 /nfs/dbraw/zinc/54/83/39/1003548339.db2.gz IXYPTSYCBJHMLY-RKDXNWHRSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001421327694 1003548343 /nfs/dbraw/zinc/54/83/43/1003548343.db2.gz IXYPTSYCBJHMLY-RKDXNWHRSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001421327694 1003548347 /nfs/dbraw/zinc/54/83/47/1003548347.db2.gz IXYPTSYCBJHMLY-RKDXNWHRSA-N 0 2 317.340 0.834 20 0 DCADLN COCCC(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428078026 1003691223 /nfs/dbraw/zinc/69/12/23/1003691223.db2.gz ZZRWQFFUOVKCKX-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001428078026 1003691230 /nfs/dbraw/zinc/69/12/30/1003691230.db2.gz ZZRWQFFUOVKCKX-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN Cn1cncc1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001428156335 1003732336 /nfs/dbraw/zinc/73/23/36/1003732336.db2.gz KNTNPVKMOUBPCU-VIFPVBQESA-N 0 2 305.342 0.333 20 0 DCADLN O=C(CCCF)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001426673944 1003957996 /nfs/dbraw/zinc/95/79/96/1003957996.db2.gz GTLCQYOKQZEGHA-BKPPORCPSA-N 0 2 318.242 0.324 20 0 DCADLN O=C(CCCF)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC001426673944 1003958007 /nfs/dbraw/zinc/95/80/07/1003958007.db2.gz GTLCQYOKQZEGHA-BKPPORCPSA-N 0 2 318.242 0.324 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001426719566 1003984105 /nfs/dbraw/zinc/98/41/05/1003984105.db2.gz SUSRJTLTYLPOLO-CBAPKCEASA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001426719566 1003984113 /nfs/dbraw/zinc/98/41/13/1003984113.db2.gz SUSRJTLTYLPOLO-CBAPKCEASA-N 0 2 300.252 0.593 20 0 DCADLN C[C@H](CNC(=O)C1=CCOCC1)NC(=O)C(F)C(F)(F)F ZINC001428603290 1004050582 /nfs/dbraw/zinc/05/05/82/1004050582.db2.gz ZBRQSHVWFGOEMQ-VXNVDRBHSA-N 0 2 312.263 0.854 20 0 DCADLN C[C@H](CNC(=O)C1=CCOCC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001428603290 1004050591 /nfs/dbraw/zinc/05/05/91/1004050591.db2.gz ZBRQSHVWFGOEMQ-VXNVDRBHSA-N 0 2 312.263 0.854 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001429304402 1004517899 /nfs/dbraw/zinc/51/78/99/1004517899.db2.gz PWEKDBMASRHBFU-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001429304402 1004517904 /nfs/dbraw/zinc/51/79/04/1004517904.db2.gz PWEKDBMASRHBFU-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN Cn1cnc(CCNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001427706843 1004540094 /nfs/dbraw/zinc/54/00/94/1004540094.db2.gz VFMUTAQEWITYJG-JTQLQIEISA-N 0 2 319.369 0.376 20 0 DCADLN CN(CCNC(=O)c1cn[nH]c1-c1cnn(C)c1)C(=O)C1CC1 ZINC001429513130 1004642391 /nfs/dbraw/zinc/64/23/91/1004642391.db2.gz YTVWAPBULZTSHO-UHFFFAOYSA-N 0 2 316.365 0.408 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001429599221 1004688893 /nfs/dbraw/zinc/68/88/93/1004688893.db2.gz YLWWRPCKXOUOHL-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001429599221 1004688895 /nfs/dbraw/zinc/68/88/95/1004688895.db2.gz YLWWRPCKXOUOHL-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN COC(=O)c1ccc(C)c(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001414328922 1005288896 /nfs/dbraw/zinc/28/88/96/1005288896.db2.gz HRJWZPWABLTSQW-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NCc1ncccn1 ZINC001414333424 1005290413 /nfs/dbraw/zinc/29/04/13/1005290413.db2.gz VVPPLRQDYSNTKH-GOSISDBHSA-N 0 2 306.413 0.707 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cn[nH]c2)C1)C(F)C(F)(F)F ZINC001414529450 1005353731 /nfs/dbraw/zinc/35/37/31/1005353731.db2.gz ZZFFXEUBVKGZBI-HTQZYQBOSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cn[nH]c2)C1)[C@@H](F)C(F)(F)F ZINC001414529450 1005353732 /nfs/dbraw/zinc/35/37/32/1005353732.db2.gz ZZFFXEUBVKGZBI-HTQZYQBOSA-N 0 2 308.235 0.641 20 0 DCADLN C[C@H]1CCN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)[C@H]1C ZINC001414731199 1005391750 /nfs/dbraw/zinc/39/17/50/1005391750.db2.gz LTLLKWBDOSFEDC-IUCAKERBSA-N 0 2 315.399 0.289 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)NC(=O)c1ccns1 ZINC001415234316 1005486144 /nfs/dbraw/zinc/48/61/44/1005486144.db2.gz WJBOHLXATOWKLK-QMMMGPOBSA-N 0 2 307.379 0.652 20 0 DCADLN C[C@H](CCNC(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001415385199 1005515253 /nfs/dbraw/zinc/51/52/53/1005515253.db2.gz BDKROOPPYASVAD-RQJHMYQMSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)c1ncc[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001415385199 1005515257 /nfs/dbraw/zinc/51/52/57/1005515257.db2.gz BDKROOPPYASVAD-RQJHMYQMSA-N 0 2 310.251 0.935 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C)C(=O)C[N@H+]1CCC[C@@H]1C ZINC001415570530 1005564869 /nfs/dbraw/zinc/56/48/69/1005564869.db2.gz OZLJPLLHTMITBQ-LBPRGKRZSA-N 0 2 321.425 0.320 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cocn1 ZINC001417147027 1005793295 /nfs/dbraw/zinc/79/32/95/1005793295.db2.gz NFDZICFACMMMSG-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1cocn1 ZINC001417147027 1005793296 /nfs/dbraw/zinc/79/32/96/1005793296.db2.gz NFDZICFACMMMSG-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN CCC[C@H](NC(=O)c1cnc(SC)[nH]c1=O)c1nn[nH]n1 ZINC001417662441 1005863265 /nfs/dbraw/zinc/86/32/65/1005863265.db2.gz AUSHIUZEVHAYBD-ZETCQYMHSA-N 0 2 309.355 0.688 20 0 DCADLN C[N@@H+](CC(=O)NCc1c[nH+]c2n1CCCC2)[C@@H]1CCC[C@H]1O ZINC001417792697 1005892000 /nfs/dbraw/zinc/89/20/00/1005892000.db2.gz WIGOSZLFIDJLLK-ZIAGYGMSSA-N 0 2 306.410 0.681 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001451423199 1006165684 /nfs/dbraw/zinc/16/56/84/1006165684.db2.gz XKLPVFVJAUVOSZ-IUCAKERBSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)C1=CCCC1 ZINC001451423199 1006165692 /nfs/dbraw/zinc/16/56/92/1006165692.db2.gz XKLPVFVJAUVOSZ-IUCAKERBSA-N 0 2 312.263 0.590 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001418206855 1006170756 /nfs/dbraw/zinc/17/07/56/1006170756.db2.gz VGBYOSMNRYUBBF-HTRCEHHLSA-N 0 2 307.358 0.319 20 0 DCADLN CCCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1C ZINC001436477567 1006209334 /nfs/dbraw/zinc/20/93/34/1006209334.db2.gz GTFDIFIVDQMYRB-MNOVXSKESA-N 0 2 323.397 0.143 20 0 DCADLN CCCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1C ZINC001436477567 1006209347 /nfs/dbraw/zinc/20/93/47/1006209347.db2.gz GTFDIFIVDQMYRB-MNOVXSKESA-N 0 2 323.397 0.143 20 0 DCADLN Cc1nc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001438936522 1006244127 /nfs/dbraw/zinc/24/41/27/1006244127.db2.gz WFVJYHXIUBVJJU-UHFFFAOYSA-N 0 2 324.410 0.788 20 0 DCADLN Cc1nc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)sc1C ZINC001438936522 1006244136 /nfs/dbraw/zinc/24/41/36/1006244136.db2.gz WFVJYHXIUBVJJU-UHFFFAOYSA-N 0 2 324.410 0.788 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001439306776 1006674780 /nfs/dbraw/zinc/67/47/80/1006674780.db2.gz DFPDURWDOJBDHV-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001439306776 1006674795 /nfs/dbraw/zinc/67/47/95/1006674795.db2.gz DFPDURWDOJBDHV-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1nccs1 ZINC001439407214 1006827023 /nfs/dbraw/zinc/82/70/23/1006827023.db2.gz NQBXLHJWFMYUDN-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1nccs1 ZINC001439407214 1006827041 /nfs/dbraw/zinc/82/70/41/1006827041.db2.gz NQBXLHJWFMYUDN-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)CNC(=O)c2cnc[nH]c2=O)c1C ZINC001452467571 1006851631 /nfs/dbraw/zinc/85/16/31/1006851631.db2.gz CZPHDQWANKCXIN-SSDOTTSWSA-N 0 2 318.337 0.070 20 0 DCADLN CCOCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001452672858 1006982014 /nfs/dbraw/zinc/98/20/14/1006982014.db2.gz QRAGXZQIHQDWOQ-NQMVMOMDSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C ZINC001452672858 1006982023 /nfs/dbraw/zinc/98/20/23/1006982023.db2.gz QRAGXZQIHQDWOQ-NQMVMOMDSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1ncncc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001452827065 1007097815 /nfs/dbraw/zinc/09/78/15/1007097815.db2.gz DFNIQJLULYFGMZ-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ncncc1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001452827065 1007097833 /nfs/dbraw/zinc/09/78/33/1007097833.db2.gz DFNIQJLULYFGMZ-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN CC[N@H+](CCNC(=O)c1n[nH]c(C)c1[O-])CCc1ccnn1C ZINC001437591357 1007157282 /nfs/dbraw/zinc/15/72/82/1007157282.db2.gz VWHIFQOIPWSIEQ-UHFFFAOYSA-N 0 2 320.397 0.452 20 0 DCADLN CC[N@@H+](CCNC(=O)c1n[nH]c(C)c1[O-])CCc1ccnn1C ZINC001437591357 1007157287 /nfs/dbraw/zinc/15/72/87/1007157287.db2.gz VWHIFQOIPWSIEQ-UHFFFAOYSA-N 0 2 320.397 0.452 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(OC)nc1)Cc1n[nH]c(=O)[n-]1 ZINC001437616980 1007180378 /nfs/dbraw/zinc/18/03/78/1007180378.db2.gz YBVAUGWLWLWBAH-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(OC)nc1)Cc1n[nH]c(=O)[n-]1 ZINC001437616980 1007180382 /nfs/dbraw/zinc/18/03/82/1007180382.db2.gz YBVAUGWLWLWBAH-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CCC[NH+]1CCC[C@@H]1C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC ZINC001437665987 1007234249 /nfs/dbraw/zinc/23/42/49/1007234249.db2.gz ZZEHTDFEUCRSKS-HUUCEWRRSA-N 0 2 324.469 0.578 20 0 DCADLN C[C@H](CNC(=O)CC[C@@H]1CCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440911096 1008107385 /nfs/dbraw/zinc/10/73/85/1008107385.db2.gz FLIVMZGWLVYTIH-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)CC[C@@H]1CCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440911096 1008107390 /nfs/dbraw/zinc/10/73/90/1008107390.db2.gz FLIVMZGWLVYTIH-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CN(C(=O)CC(C)(F)F)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001441002796 1008169145 /nfs/dbraw/zinc/16/91/45/1008169145.db2.gz AIHWNHRXZFRTAU-UHFFFAOYSA-N 0 2 317.340 0.978 20 0 DCADLN C[C@H](C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(=O)n(C)[nH]1)n1cccc1 ZINC001441519464 1008388168 /nfs/dbraw/zinc/38/81/68/1008388168.db2.gz VOUCQZWEKWCXQF-NWDGAFQWSA-N 0 2 320.397 0.108 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)Cc1[nH]cc[nH+]1 ZINC001433190608 1008589880 /nfs/dbraw/zinc/58/98/80/1008589880.db2.gz PQJFETZGNYEJCP-ZDUSSCGKSA-N 0 2 321.425 0.353 20 0 DCADLN CC(C)[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001433544191 1008923882 /nfs/dbraw/zinc/92/38/82/1008923882.db2.gz LPHNIDLBLHZSRV-STQMWFEESA-N 0 2 321.425 0.446 20 0 DCADLN C[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCN1C(=O)c1cscn1 ZINC001421851014 1009162477 /nfs/dbraw/zinc/16/24/77/1009162477.db2.gz OCJXSQBSZYPCRO-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN O=C(Cc1nc[nH]n1)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001454669514 1009254481 /nfs/dbraw/zinc/25/44/81/1009254481.db2.gz NBKHODUJCZTFPX-SNVBAGLBSA-N 0 2 313.321 0.271 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)C1=CCOCC1 ZINC001454698405 1009271282 /nfs/dbraw/zinc/27/12/82/1009271282.db2.gz HQLNUEOGPSQMQK-SNVBAGLBSA-N 0 2 306.366 0.228 20 0 DCADLN CN(CCNC(=O)c1c(F)cncc1F)Cc1n[nH]c(=O)[nH]1 ZINC001442413721 1009290455 /nfs/dbraw/zinc/29/04/55/1009290455.db2.gz XISQGGKKYZNASB-UHFFFAOYSA-N 0 2 312.280 0.045 20 0 DCADLN C[N@H+](CCNC(=O)c1c(F)cncc1F)Cc1n[nH]c(=O)[n-]1 ZINC001442413721 1009290469 /nfs/dbraw/zinc/29/04/69/1009290469.db2.gz XISQGGKKYZNASB-UHFFFAOYSA-N 0 2 312.280 0.045 20 0 DCADLN C[N@@H+](CCNC(=O)c1c(F)cncc1F)Cc1n[nH]c(=O)[n-]1 ZINC001442413721 1009290478 /nfs/dbraw/zinc/29/04/78/1009290478.db2.gz XISQGGKKYZNASB-UHFFFAOYSA-N 0 2 312.280 0.045 20 0 DCADLN CC(C)(C)CC[C@H](CO)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001433940395 1009375812 /nfs/dbraw/zinc/37/58/12/1009375812.db2.gz RLTGMELZXGGYFC-RKDXNWHRSA-N 0 2 301.412 0.846 20 0 DCADLN CC(=O)N1CCC(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001433943422 1009378471 /nfs/dbraw/zinc/37/84/71/1009378471.db2.gz NZTWYYZJOYPRAM-LBPRGKRZSA-N 0 2 321.381 0.475 20 0 DCADLN CCc1cccnc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442469272 1009381692 /nfs/dbraw/zinc/38/16/92/1009381692.db2.gz SBCWKKQCZPXTSE-UHFFFAOYSA-N 0 2 304.354 0.330 20 0 DCADLN CCc1cccnc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442469272 1009381705 /nfs/dbraw/zinc/38/17/05/1009381705.db2.gz SBCWKKQCZPXTSE-UHFFFAOYSA-N 0 2 304.354 0.330 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001434066778 1009516943 /nfs/dbraw/zinc/51/69/43/1009516943.db2.gz JRXHQHIKJHCLTP-VXNVDRBHSA-N 0 2 314.279 0.981 20 0 DCADLN CCO[C@H](C)C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001434066778 1009516954 /nfs/dbraw/zinc/51/69/54/1009516954.db2.gz JRXHQHIKJHCLTP-VXNVDRBHSA-N 0 2 314.279 0.981 20 0 DCADLN Cn1cc(CN2CCC[C@@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001442776009 1009721687 /nfs/dbraw/zinc/72/16/87/1009721687.db2.gz AQAQJRGHGRDILU-NXEZZACHSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CCC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001442776009 1009721694 /nfs/dbraw/zinc/72/16/94/1009721694.db2.gz AQAQJRGHGRDILU-NXEZZACHSA-N 0 2 323.294 0.796 20 0 DCADLN CCCCS(=O)(=O)CC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001434408375 1009916320 /nfs/dbraw/zinc/91/63/20/1009916320.db2.gz WBLKOQLAVLTVNK-VIFPVBQESA-N 0 2 303.384 0.518 20 0 DCADLN Cc1cccnc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001442993158 1010021883 /nfs/dbraw/zinc/02/18/83/1010021883.db2.gz FEGXLGUWECZNSX-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cccnc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001442993158 1010021901 /nfs/dbraw/zinc/02/19/01/1010021901.db2.gz FEGXLGUWECZNSX-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN COC(=O)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]([C@H]2CCCO2)C1 ZINC001434490658 1010027805 /nfs/dbraw/zinc/02/78/05/1010027805.db2.gz UKMUQXTZLCHGRG-OUAUKWLOSA-N 0 2 310.354 0.443 20 0 DCADLN COC(=O)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]([C@H]2CCCO2)C1 ZINC001434490658 1010027821 /nfs/dbraw/zinc/02/78/21/1010027821.db2.gz UKMUQXTZLCHGRG-OUAUKWLOSA-N 0 2 310.354 0.443 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC1CN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001456294429 1010172755 /nfs/dbraw/zinc/17/27/55/1010172755.db2.gz GHLMIOIPCZXZEB-UHFFFAOYSA-N 0 2 321.425 0.020 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ncc[nH]1 ZINC001508898921 1017093256 /nfs/dbraw/zinc/09/32/56/1017093256.db2.gz IHGUOWXOQQFIRN-RQJHMYQMSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ncc[nH]1 ZINC001508898921 1017093269 /nfs/dbraw/zinc/09/32/69/1017093269.db2.gz IHGUOWXOQQFIRN-RQJHMYQMSA-N 0 2 310.251 0.887 20 0 DCADLN COC(=O)[C@@H](CF)NS(=O)(=O)C[C@H]1CCC2(CCC2)O1 ZINC001475349852 1017096641 /nfs/dbraw/zinc/09/66/41/1017096641.db2.gz WSGJTYCKQQCPII-NXEZZACHSA-N 0 2 309.359 0.519 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001443848371 1010701512 /nfs/dbraw/zinc/70/15/12/1010701512.db2.gz PZERMMCUXJDNDW-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001443848371 1010701518 /nfs/dbraw/zinc/70/15/18/1010701518.db2.gz PZERMMCUXJDNDW-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cncc(Cl)c1 ZINC001422897873 1010717037 /nfs/dbraw/zinc/71/70/37/1010717037.db2.gz IZPHDFFBVDDTBG-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cncc(Cl)c1 ZINC001422897873 1010717045 /nfs/dbraw/zinc/71/70/45/1010717045.db2.gz IZPHDFFBVDDTBG-MRVPVSSYSA-N 0 2 324.772 0.809 20 0 DCADLN Cc1noc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001423094077 1010883770 /nfs/dbraw/zinc/88/37/70/1010883770.db2.gz MWABARDPWKIGON-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1noc(CN2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001423094077 1010883774 /nfs/dbraw/zinc/88/37/74/1010883774.db2.gz MWABARDPWKIGON-APPZFPTMSA-N 0 2 310.251 0.969 20 0 DCADLN CN(Cc1cn[nH]c1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001444200524 1010902604 /nfs/dbraw/zinc/90/26/04/1010902604.db2.gz NGEKQQVWCZTCNP-UHFFFAOYSA-N 0 2 314.305 0.262 20 0 DCADLN O=C(NC[C@@H](CO)NCC=C(Cl)Cl)c1ncccn1 ZINC001423285299 1011045917 /nfs/dbraw/zinc/04/59/17/1011045917.db2.gz IACSMYSZHWFCBQ-QMMMGPOBSA-N 0 2 305.165 0.476 20 0 DCADLN CC(C)[C@H](C(=O)NCCN(C)C(=O)Cc1c[nH+]cn1C)[NH+](C)C ZINC001431400976 1011207109 /nfs/dbraw/zinc/20/71/09/1011207109.db2.gz CTQHOBPNEUQEJR-OAHLLOKOSA-N 0 2 323.441 0.123 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCOC1 ZINC001423564246 1011274407 /nfs/dbraw/zinc/27/44/07/1011274407.db2.gz LQCAOHWZLORWDD-NSHDSACASA-N 0 2 311.386 0.216 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCOC1 ZINC001423564246 1011274421 /nfs/dbraw/zinc/27/44/21/1011274421.db2.gz LQCAOHWZLORWDD-NSHDSACASA-N 0 2 311.386 0.216 20 0 DCADLN COC[C@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001456464258 1011287503 /nfs/dbraw/zinc/28/75/03/1011287503.db2.gz INSHIKZHJCQNKG-CBAPKCEASA-N 0 2 314.279 0.744 20 0 DCADLN COC[C@H](C)C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001456464258 1011287514 /nfs/dbraw/zinc/28/75/14/1011287514.db2.gz INSHIKZHJCQNKG-CBAPKCEASA-N 0 2 314.279 0.744 20 0 DCADLN CCN1CC[C@H]([NH+](C)CCN(C)C(=O)[C@H]2CCCC[N@@H+]2C)C1=O ZINC001445008193 1011310160 /nfs/dbraw/zinc/31/01/60/1011310160.db2.gz QJGQQVJBONMMIL-CABCVRRESA-N 0 2 324.469 0.482 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCOC ZINC001456833616 1011482580 /nfs/dbraw/zinc/48/25/80/1011482580.db2.gz UUGXDGRYFJXHGW-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CCOC ZINC001456833616 1011482586 /nfs/dbraw/zinc/48/25/86/1011482586.db2.gz UUGXDGRYFJXHGW-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCN(CCNC(=O)c1cn[nH]c1-c1cnn(C)c1)C(C)=O ZINC001431716908 1011578212 /nfs/dbraw/zinc/57/82/12/1011578212.db2.gz LCUIJPKXAAFJJZ-UHFFFAOYSA-N 0 2 304.354 0.408 20 0 DCADLN O=C(C[C@@H](O)C(Cl)(Cl)Cl)NCc1n[nH]c(=O)[nH]1 ZINC001445416122 1011746895 /nfs/dbraw/zinc/74/68/95/1011746895.db2.gz SEEMMYAQQMBTNQ-GSVOUGTGSA-N 0 2 303.533 0.248 20 0 DCADLN CC[N@@H+](C)C(C)(C)C(=O)NC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001431928397 1011786065 /nfs/dbraw/zinc/78/60/65/1011786065.db2.gz YQMXKARMDPXLKO-NSHDSACASA-N 0 2 309.414 0.303 20 0 DCADLN CC1(O)CCN(c2cc(NC[C@H]3C[C@H]3C(=O)[O-])[nH+]cn2)CC1 ZINC001553346617 1011907005 /nfs/dbraw/zinc/90/70/05/1011907005.db2.gz SGCIBGSMXPZIKH-GHMZBOCLSA-N 0 2 306.366 0.960 20 0 DCADLN CC1(O)CCN(c2cc(NC[C@H]3C[C@H]3C(=O)[O-])nc[nH+]2)CC1 ZINC001553346617 1011907017 /nfs/dbraw/zinc/90/70/17/1011907017.db2.gz SGCIBGSMXPZIKH-GHMZBOCLSA-N 0 2 306.366 0.960 20 0 DCADLN CCC(CC)C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001424112245 1012020113 /nfs/dbraw/zinc/02/01/13/1012020113.db2.gz YZMVEYHSRMQJHG-NSHDSACASA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C[C@H]1CCOC1 ZINC001458528074 1012205385 /nfs/dbraw/zinc/20/53/85/1012205385.db2.gz QIBWCWNMBSZLPR-QXFUBDJGSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C[C@H]1CCOC1 ZINC001458528074 1012205403 /nfs/dbraw/zinc/20/54/03/1012205403.db2.gz QIBWCWNMBSZLPR-QXFUBDJGSA-N 0 2 314.279 0.934 20 0 DCADLN COCc1nnc(CNC(=O)c2cccc3nc[nH]c(=O)c32)[nH]1 ZINC001557387996 1012277527 /nfs/dbraw/zinc/27/75/27/1012277527.db2.gz NMDHSVNOONMZBO-UHFFFAOYSA-N 0 2 314.305 0.530 20 0 DCADLN Cc1cc(C(=O)N(C)[C@@H](C)CNC(=O)CCc2cn[nH]n2)[nH]n1 ZINC001424300258 1012329743 /nfs/dbraw/zinc/32/97/43/1012329743.db2.gz AVWBNTGYHANYPG-JTQLQIEISA-N 0 2 319.369 0.046 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)cn1 ZINC001458735012 1012332460 /nfs/dbraw/zinc/33/24/60/1012332460.db2.gz YQTWDCAADVHURE-BDAKNGLRSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001458735012 1012332470 /nfs/dbraw/zinc/33/24/70/1012332470.db2.gz YQTWDCAADVHURE-BDAKNGLRSA-N 0 2 322.262 0.651 20 0 DCADLN COC[C@@H](NC(=O)C=CCOc1ccccc1)c1nn[nH]n1 ZINC001558340023 1012347429 /nfs/dbraw/zinc/34/74/29/1012347429.db2.gz SYUORAJSMAHASA-VVEJJEBESA-N 0 2 303.322 0.639 20 0 DCADLN COC[C@@H](NC(=O)/C=C\COc1ccccc1)c1nn[nH]n1 ZINC001558340023 1012347447 /nfs/dbraw/zinc/34/74/47/1012347447.db2.gz SYUORAJSMAHASA-VVEJJEBESA-N 0 2 303.322 0.639 20 0 DCADLN COCCCCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001542493265 1012485837 /nfs/dbraw/zinc/48/58/37/1012485837.db2.gz DZWGKEZEZNFFTQ-UHFFFAOYSA-N 0 2 320.349 0.813 20 0 DCADLN O=C(NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001429931532 1012960922 /nfs/dbraw/zinc/96/09/22/1012960922.db2.gz NLNNXHHWVOHQFE-IUCAKERBSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001429931532 1012960938 /nfs/dbraw/zinc/96/09/38/1012960938.db2.gz NLNNXHHWVOHQFE-IUCAKERBSA-N 0 2 322.262 0.935 20 0 DCADLN Cc1ncc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001478797737 1017388018 /nfs/dbraw/zinc/38/80/18/1017388018.db2.gz NDJSRDIRCKISEW-VIFPVBQESA-N 0 2 306.326 0.896 20 0 DCADLN CCCCO[C@H](C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001494537578 1017768186 /nfs/dbraw/zinc/76/81/86/1017768186.db2.gz VPJQYIFZVWEOML-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](c1nncn1C)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001499673797 1018034848 /nfs/dbraw/zinc/03/48/48/1018034848.db2.gz TZENXLYUUXOYRY-APPZFPTMSA-N 0 2 323.294 0.919 20 0 DCADLN C[C@H](c1nncn1C)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001499673797 1018034859 /nfs/dbraw/zinc/03/48/59/1018034859.db2.gz TZENXLYUUXOYRY-APPZFPTMSA-N 0 2 323.294 0.919 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2nnnn2C)C1 ZINC001494826881 1018082408 /nfs/dbraw/zinc/08/24/08/1018082408.db2.gz JDTZBKNZXOBNJM-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(Cc2nnnn2C)C1 ZINC001494826881 1018082423 /nfs/dbraw/zinc/08/24/23/1018082423.db2.gz JDTZBKNZXOBNJM-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)NCCN(C(=O)Cc1c[nH+]c[nH]1)C1CC1 ZINC001497402325 1018114573 /nfs/dbraw/zinc/11/45/73/1018114573.db2.gz VYEIHHBMGDRUFV-AWEZNQCLSA-N 0 2 319.409 0.154 20 0 DCADLN O=C(Cc1ccc[nH]1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480330465 1018436090 /nfs/dbraw/zinc/43/60/90/1018436090.db2.gz HKZNPPWUCCOSMW-GFCCVEGCSA-N 0 2 318.381 0.552 20 0 DCADLN O=C(Cc1ccc[nH]1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480330465 1018436100 /nfs/dbraw/zinc/43/61/00/1018436100.db2.gz HKZNPPWUCCOSMW-GFCCVEGCSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ncccc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498370268 1019016285 /nfs/dbraw/zinc/01/62/85/1019016285.db2.gz WBENSWBYQXBSTN-VIFPVBQESA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ncccc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498370268 1019016293 /nfs/dbraw/zinc/01/62/93/1019016293.db2.gz WBENSWBYQXBSTN-VIFPVBQESA-N 0 2 304.354 0.464 20 0 DCADLN CC/C(C)=C\C(=O)N[C@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001501322823 1019050196 /nfs/dbraw/zinc/05/01/96/1019050196.db2.gz FHOWMISHRXHAKA-OCOPJHETSA-N 0 2 323.397 0.310 20 0 DCADLN CCOCCC(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001498578977 1019243025 /nfs/dbraw/zinc/24/30/25/1019243025.db2.gz VGUIPFHSDABNLV-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN CCOCCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001498578977 1019243008 /nfs/dbraw/zinc/24/30/08/1019243008.db2.gz VGUIPFHSDABNLV-SNVBAGLBSA-N 0 2 314.279 0.888 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001499133197 1019774816 /nfs/dbraw/zinc/77/48/16/1019774816.db2.gz CPWPJSBGPRYBPA-JGVFFNPUSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001499133197 1019774831 /nfs/dbraw/zinc/77/48/31/1019774831.db2.gz CPWPJSBGPRYBPA-JGVFFNPUSA-N 0 2 310.251 0.935 20 0 DCADLN CCN(CC(=O)Nc1ccccc1OC)Cc1n[nH]c(=O)[nH]1 ZINC000092616920 185329305 /nfs/dbraw/zinc/32/93/05/185329305.db2.gz WTWCEMZZEMSISC-UHFFFAOYSA-N 0 2 305.338 0.980 20 0 DCADLN Cc1ccccc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000092642933 185330706 /nfs/dbraw/zinc/33/07/06/185330706.db2.gz FQCKUYGFCZKEAJ-UHFFFAOYSA-N 0 2 315.377 0.801 20 0 DCADLN COC[C@H](CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)OC ZINC000272773047 210176542 /nfs/dbraw/zinc/17/65/42/210176542.db2.gz QLHYHDFHGAQZDP-QMMMGPOBSA-N 0 2 322.321 0.136 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](F)C[C@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000331897613 234251697 /nfs/dbraw/zinc/25/16/97/234251697.db2.gz BECASMIZSSGAPA-YUMQZZPRSA-N 0 2 322.296 0.289 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N2CCNC(=O)C2)=N1 ZINC000081557667 192292078 /nfs/dbraw/zinc/29/20/78/192292078.db2.gz NCEPEWLFPCEALS-UHFFFAOYSA-N 0 2 318.308 0.597 20 0 DCADLN Cc1nn(C)c2ncc(S(=O)(=O)Nc3nncs3)cc12 ZINC000153864358 237024696 /nfs/dbraw/zinc/02/46/96/237024696.db2.gz PLDYDZXIVKLROS-UHFFFAOYSA-N 0 2 310.364 0.929 20 0 DCADLN O=C(NCCNC(=O)c1cc(F)c(O)c(F)c1)c1ccn[nH]1 ZINC000183510784 237282147 /nfs/dbraw/zinc/28/21/47/237282147.db2.gz XVZGMJPBICYBII-UHFFFAOYSA-N 0 2 310.260 0.553 20 0 DCADLN O=S(=O)(NCc1nncn1C1CC1)c1ccc2c(c1)OCO2 ZINC000281092385 216073837 /nfs/dbraw/zinc/07/38/37/216073837.db2.gz DFVWBBFWZYEEAH-UHFFFAOYSA-N 0 2 322.346 0.820 20 0 DCADLN CCS(=O)(=O)c1cccc(C(=O)N[C@H](CO)CCOC)c1 ZINC000281140774 216106119 /nfs/dbraw/zinc/10/61/19/216106119.db2.gz AEXFQIOOQLLEKD-LBPRGKRZSA-N 0 2 315.391 0.607 20 0 DCADLN O=C([C@@H]1CCCCC(=O)N1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000566284609 291290973 /nfs/dbraw/zinc/29/09/73/291290973.db2.gz WBHWFSGEVNWUMY-ZJUUUORDSA-N 0 2 307.354 0.275 20 0 DCADLN O=C(NC[C@@]1(O)C[NH+]2CCC1CC2)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000566456255 291303709 /nfs/dbraw/zinc/30/37/09/291303709.db2.gz TTXFIUMNELEQPF-MLGOLLRUSA-N 0 2 304.394 0.403 20 0 DCADLN Cn1ncc2c1nc[nH+]c2N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC000567674569 291368214 /nfs/dbraw/zinc/36/82/14/291368214.db2.gz QKTYETZPTZSJLF-MRVPVSSYSA-N 0 2 314.309 0.104 20 0 DCADLN COc1ccc(C(F)(F)C(=O)NN2CC(=O)N(C)C2=O)cc1 ZINC000271794189 209221492 /nfs/dbraw/zinc/22/14/92/209221492.db2.gz HOUMBHQPZVWGFD-UHFFFAOYSA-N 0 2 313.260 0.712 20 0 DCADLN NC(=O)[C@@H]1CC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000567914021 291391280 /nfs/dbraw/zinc/39/12/80/291391280.db2.gz LYQCOQIJUWINPB-NXEZZACHSA-N 0 2 301.306 0.627 20 0 DCADLN O=C([O-])c1cnc(NC[C@]2([NH+]3CCOCC3)CCSC2)nc1 ZINC000567981393 291394924 /nfs/dbraw/zinc/39/49/24/291394924.db2.gz IGMYVDMPVGODBC-CQSZACIVSA-N 0 2 324.406 0.795 20 0 DCADLN CCn1cc(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000567959837 291394035 /nfs/dbraw/zinc/39/40/35/291394035.db2.gz KXQXWECEVYUEAW-NSHDSACASA-N 0 2 304.354 0.675 20 0 DCADLN COc1ccc(OC)c(NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000274957957 212041004 /nfs/dbraw/zinc/04/10/04/212041004.db2.gz HFZIKTXRUPZDRM-UHFFFAOYSA-N 0 2 310.335 0.846 20 0 DCADLN COc1ccccc1CCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274890373 212014128 /nfs/dbraw/zinc/01/41/28/212014128.db2.gz LOAKBFFGZZKXAA-UHFFFAOYSA-N 0 2 308.363 0.558 20 0 DCADLN COc1ccc(COCCNS(=O)(=O)[C@H]2CCOC2)cc1 ZINC000271679982 209121833 /nfs/dbraw/zinc/12/18/33/209121833.db2.gz MQNHDVOBIJJYCZ-AWEZNQCLSA-N 0 2 315.391 0.920 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000574571944 291703068 /nfs/dbraw/zinc/70/30/68/291703068.db2.gz RHLCDDPWEJIGLT-UKRRQHHQSA-N 0 2 320.389 0.767 20 0 DCADLN COCCOCCS(=O)(=O)Nc1ccccc1C(=O)OC ZINC000062141729 184208919 /nfs/dbraw/zinc/20/89/19/184208919.db2.gz GELKJICSBVJUPN-UHFFFAOYSA-N 0 2 317.363 0.878 20 0 DCADLN COCCC(=O)N1CCN(C(=O)c2cc(C(C)C)nn2C)CC1 ZINC000331042893 252648454 /nfs/dbraw/zinc/64/84/54/252648454.db2.gz FABSVOLYQNQEAG-UHFFFAOYSA-N 0 2 322.409 0.864 20 0 DCADLN O=C(CCCNC(=O)C1CC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000339294059 253054966 /nfs/dbraw/zinc/05/49/66/253054966.db2.gz SFLZHAPIRIDARE-LLVKDONJSA-N 0 2 321.381 0.523 20 0 DCADLN Cn1nc(C(=O)N=c2nc(-c3cccnc3)[nH]s2)ccc1=O ZINC000339600065 253111619 /nfs/dbraw/zinc/11/16/19/253111619.db2.gz YRMVELPRKHJRKA-UHFFFAOYSA-N 0 2 314.330 0.368 20 0 DCADLN O=C(Cn1ccccc1=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000339712463 253131971 /nfs/dbraw/zinc/13/19/71/253131971.db2.gz RRZOVKOMMBXSSH-UHFFFAOYSA-N 0 2 312.285 0.830 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cccc(NC(=O)CO)c1 ZINC000340965491 253328737 /nfs/dbraw/zinc/32/87/37/253328737.db2.gz RZRZARKBSZYGNM-UHFFFAOYSA-N 0 2 324.362 0.460 20 0 DCADLN C[C@H](C[C@H](C)O)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000340896641 253319730 /nfs/dbraw/zinc/31/97/30/253319730.db2.gz HKHHOOARHFYQJY-SFYZADRCSA-N 0 2 314.411 0.472 20 0 DCADLN O=C1NCCN1CCS(=O)(=O)c1ccc(C(F)(F)F)cn1 ZINC000288649742 220320791 /nfs/dbraw/zinc/32/07/91/220320791.db2.gz AOLRSBNGGPAQDM-UHFFFAOYSA-N 0 2 323.296 0.899 20 0 DCADLN CNC(=O)c1ccc(OC)c(NS(=O)(=O)c2c[nH]nc2C)c1 ZINC000347387985 254153021 /nfs/dbraw/zinc/15/30/21/254153021.db2.gz GUIZNBOGWTZIFR-UHFFFAOYSA-N 0 2 324.362 0.887 20 0 DCADLN O=C(CNC(=O)c1ccc2c(c1)CCC2)NCc1n[nH]c(=O)[nH]1 ZINC000347740896 254184331 /nfs/dbraw/zinc/18/43/31/254184331.db2.gz PXCIDNNFHJUZNX-UHFFFAOYSA-N 0 2 315.333 0.045 20 0 DCADLN O=C(NC[C@@H]1CC[C@@H](CO)O1)C1=NN(c2ccccc2)CC1=O ZINC000349670369 254292240 /nfs/dbraw/zinc/29/22/40/254292240.db2.gz RNMDHZIXNDSXON-STQMWFEESA-N 0 2 317.345 0.848 20 0 DCADLN CC(C)(NC(=O)c1cc(F)c(F)c(O)c1F)c1nn[nH]n1 ZINC000349135030 254275745 /nfs/dbraw/zinc/27/57/45/254275745.db2.gz XWSTXPPVZWPFMR-UHFFFAOYSA-N 0 2 301.228 0.988 20 0 DCADLN Cc1ccc2[nH+]c(CNC(=O)CSc3n[nH]c(=O)[n-]3)cn2c1 ZINC000351916910 254380252 /nfs/dbraw/zinc/38/02/52/254380252.db2.gz JAZOQGHQAZEOPI-UHFFFAOYSA-N 0 2 318.362 0.875 20 0 DCADLN COC(=O)CCc1nnc(NC(=O)C(N)C(F)(F)F)s1 ZINC000352962472 254437565 /nfs/dbraw/zinc/43/75/65/254437565.db2.gz RTYVYCLXBNFOGW-ZCFIWIBFSA-N 0 2 312.273 0.472 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000416126438 533368329 /nfs/dbraw/zinc/36/83/29/533368329.db2.gz BMYVLNONNXFGBK-ZETCQYMHSA-N 0 2 322.412 0.909 20 0 DCADLN O=C(N[C@H]1CCC(=O)NC1=O)c1cc(F)c(F)c(O)c1F ZINC000089754481 395714920 /nfs/dbraw/zinc/71/49/20/395714920.db2.gz VHUHTKOAIQNPPB-LURJTMIESA-N 0 2 302.208 0.345 20 0 DCADLN O=C(c1ccc(F)c(F)c1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000091518033 395725955 /nfs/dbraw/zinc/72/59/55/395725955.db2.gz XJWMZZZVKWLFKL-UHFFFAOYSA-N 0 2 323.303 0.334 20 0 DCADLN O=C(c1c(F)cccc1F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000091554783 395726005 /nfs/dbraw/zinc/72/60/05/395726005.db2.gz MJFNCSMXXCURCK-UHFFFAOYSA-N 0 2 323.303 0.334 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc2c(c1)NC(=O)CO2 ZINC000040808400 395734690 /nfs/dbraw/zinc/73/46/90/395734690.db2.gz DXLNVHPPPWOILO-UHFFFAOYSA-N 0 2 308.319 0.850 20 0 DCADLN CN(C)c1noc(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)n1 ZINC000193902520 395782108 /nfs/dbraw/zinc/78/21/08/395782108.db2.gz ILHPGHYJILZDJQ-UHFFFAOYSA-N 0 2 317.309 0.053 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnc(C3CC3)nc2)cc1C(N)=O ZINC000103060140 395772147 /nfs/dbraw/zinc/77/21/47/395772147.db2.gz ZVHJSQQQKBPXED-UHFFFAOYSA-N 0 2 321.362 0.592 20 0 DCADLN CNC(=O)CS(=O)(=O)c1n[nH]c(-c2ccc(Cl)cc2)n1 ZINC000195115847 395816774 /nfs/dbraw/zinc/81/67/74/395816774.db2.gz FNCSBORZKUHVAX-UHFFFAOYSA-N 0 2 314.754 0.645 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)n1 ZINC000050531243 395838521 /nfs/dbraw/zinc/83/85/21/395838521.db2.gz JVYKMENILSFEAM-UHFFFAOYSA-N 0 2 321.362 0.411 20 0 DCADLN COc1ccc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)cn1 ZINC000047403407 395791536 /nfs/dbraw/zinc/79/15/36/395791536.db2.gz HIOSNAIXOLHHOA-UHFFFAOYSA-N 0 2 313.273 0.680 20 0 DCADLN CC(C)NC(=O)Nc1ccc(CNC(=O)c2nc(=O)[nH][nH]2)cc1 ZINC000129559076 395920326 /nfs/dbraw/zinc/92/03/26/395920326.db2.gz XVZLGEXQULGRRZ-UHFFFAOYSA-N 0 2 318.337 0.558 20 0 DCADLN CN(C)C(=O)Cc1ccc(NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000055618317 395920463 /nfs/dbraw/zinc/92/04/63/395920463.db2.gz RTAVBNVXKVAIEZ-UHFFFAOYSA-N 0 2 322.390 0.852 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2cccc(C)c2)cn1 ZINC000055641193 395920756 /nfs/dbraw/zinc/92/07/56/395920756.db2.gz NEXTUBHNIQSGGV-UHFFFAOYSA-N 0 2 308.363 0.738 20 0 DCADLN CCCC1N=NC(=S)N1CC(=O)NOC[C@H]1CCOC1 ZINC000276381344 395991008 /nfs/dbraw/zinc/99/10/08/395991008.db2.gz DKZGYDKHEGVKQF-VIFPVBQESA-N 0 2 300.384 0.604 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3c(c2)oc(=O)n3C)n(C)n1 ZINC000066247798 396004052 /nfs/dbraw/zinc/00/40/52/396004052.db2.gz DDCZDQKPBAPQOC-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN CN(CC(=O)[O-])CC(=O)Nc1nc(C[NH+]2CCCC2)cs1 ZINC000261992593 396087558 /nfs/dbraw/zinc/08/75/58/396087558.db2.gz SXZNYHGBZPSEJG-UHFFFAOYSA-N 0 2 312.395 0.694 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000262216612 396112087 /nfs/dbraw/zinc/11/20/87/396112087.db2.gz UTKJYIJNGQRPMZ-CYBMUJFWSA-N 0 2 301.302 0.798 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(OCCOC)cc2)c1O ZINC000278262622 396115830 /nfs/dbraw/zinc/11/58/30/396115830.db2.gz UTAGUFFUYPXZBP-LBPRGKRZSA-N 0 2 322.317 0.700 20 0 DCADLN CCC[C@H](CCO)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000176171020 396150502 /nfs/dbraw/zinc/15/05/02/396150502.db2.gz PATKBQAYCXSHTD-SECBINFHSA-N 0 2 320.349 0.140 20 0 DCADLN CN(C)C(=O)CCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000177076868 396166358 /nfs/dbraw/zinc/16/63/58/396166358.db2.gz CNLYDYLOSFOHJU-UHFFFAOYSA-N 0 2 320.324 0.925 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@@H]([NH3+])C(C)C)CC1 ZINC000178887209 396212619 /nfs/dbraw/zinc/21/26/19/396212619.db2.gz JBBMJVMTEVQSSE-HNNXBMFYSA-N 0 2 307.442 0.664 20 0 DCADLN CC(C)Nc1nc(N2CC[C@@](C)(C(=O)[O-])C2)nc(NCCO)[nH+]1 ZINC000263439815 396217542 /nfs/dbraw/zinc/21/75/42/396217542.db2.gz WOXXAAWVMUTEDI-CQSZACIVSA-N 0 2 324.385 0.397 20 0 DCADLN CC(C)Nc1nc(NCCO)nc(N2CC[C@@](C)(C(=O)[O-])C2)[nH+]1 ZINC000263439815 396217548 /nfs/dbraw/zinc/21/75/48/396217548.db2.gz WOXXAAWVMUTEDI-CQSZACIVSA-N 0 2 324.385 0.397 20 0 DCADLN C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)C1 ZINC000263567467 396220859 /nfs/dbraw/zinc/22/08/59/396220859.db2.gz XOCKZYDBJZQJDC-HZSPNIEDSA-N 0 2 324.421 0.912 20 0 DCADLN C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)C1 ZINC000263567467 396220861 /nfs/dbraw/zinc/22/08/61/396220861.db2.gz XOCKZYDBJZQJDC-HZSPNIEDSA-N 0 2 324.421 0.912 20 0 DCADLN O=C(NC[C@@]1(O)CCOC1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000179083572 396221559 /nfs/dbraw/zinc/22/15/59/396221559.db2.gz LPWJEHVPMLOULP-HNNXBMFYSA-N 0 2 321.308 0.598 20 0 DCADLN CC(C)CN(C)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000083988154 396318594 /nfs/dbraw/zinc/31/85/94/396318594.db2.gz OUBRHMLHBWDJCW-UHFFFAOYSA-N 0 2 312.351 0.300 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CCn2cccc2)s1 ZINC000081002643 396290570 /nfs/dbraw/zinc/29/05/70/396290570.db2.gz LNPIJQZAAXPDOX-UHFFFAOYSA-N 0 2 315.380 0.740 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000283010026 396298382 /nfs/dbraw/zinc/29/83/82/396298382.db2.gz XXXMKKPWYPEFHE-UHFFFAOYSA-N 0 2 319.365 0.936 20 0 DCADLN Cc1cc(C)nc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)n1 ZINC000185709868 396333385 /nfs/dbraw/zinc/33/33/85/396333385.db2.gz IHDYBRCNPBCYPT-UHFFFAOYSA-N 0 2 309.351 0.332 20 0 DCADLN COC(=O)c1ccnc(NS(=O)(=O)[C@H](C)C(=O)N(C)C)c1 ZINC000285177238 396348434 /nfs/dbraw/zinc/34/84/34/396348434.db2.gz KCPPXGIUUMGMAX-MRVPVSSYSA-N 0 2 315.351 0.087 20 0 DCADLN C[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)c1c(F)cncc1F ZINC000286331977 396388601 /nfs/dbraw/zinc/38/86/01/396388601.db2.gz JDSKCEVMTACJIF-RXMQYKEDSA-N 0 2 315.305 0.741 20 0 DCADLN COCCn1ccc(C(=O)NN2Cc3ccccc3C2=O)n1 ZINC000190490017 396407025 /nfs/dbraw/zinc/40/70/25/396407025.db2.gz MQWBFVVINSCBMP-UHFFFAOYSA-N 0 2 300.318 0.830 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(N2CCCC2=O)cn1 ZINC000269351081 396409324 /nfs/dbraw/zinc/40/93/24/396409324.db2.gz FZAPSNJCUVPOJM-UHFFFAOYSA-N 0 2 321.362 0.743 20 0 DCADLN CCN(CC(N)=O)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000191810955 396425252 /nfs/dbraw/zinc/42/52/52/396425252.db2.gz GVRCLYQMMNCZQF-UHFFFAOYSA-N 0 2 306.297 0.664 20 0 DCADLN COCC[C@H](NC(=O)c1cc(F)c(O)c(F)c1)C(=O)OC ZINC000291514963 396496072 /nfs/dbraw/zinc/49/60/72/396496072.db2.gz JCPCTMMODRYVDG-JTQLQIEISA-N 0 2 303.261 0.978 20 0 DCADLN Cc1ccc(NS(=O)(=O)c2ccnn2C)cc1-n1cnnn1 ZINC000371492033 396468087 /nfs/dbraw/zinc/46/80/87/396468087.db2.gz AEPIDYNDSZVDOX-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN CN(C)S(=O)(=O)CCNC(=O)c1cc(F)c(O)c(F)c1 ZINC000291447573 396490978 /nfs/dbraw/zinc/49/09/78/396490978.db2.gz CFCNKVMAOUDIOA-UHFFFAOYSA-N 0 2 308.306 0.292 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[N@@H+](CC(C)(C)O)C[C@H]1C ZINC000581833100 396585532 /nfs/dbraw/zinc/58/55/32/396585532.db2.gz CKBSGINQMNVXBP-CHWSQXEVSA-N 0 2 308.426 0.892 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[N@H+](CC(C)(C)O)C[C@H]1C ZINC000581833100 396585535 /nfs/dbraw/zinc/58/55/35/396585535.db2.gz CKBSGINQMNVXBP-CHWSQXEVSA-N 0 2 308.426 0.892 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1cnn([C@@H]2CCOC2)c1 ZINC000561496612 396642989 /nfs/dbraw/zinc/64/29/89/396642989.db2.gz TXYSMHKRZQWCOI-LLVKDONJSA-N 0 2 311.367 0.355 20 0 DCADLN Cc1nc(C)c(CCNC(=O)N2CC[N@H+](C)C[C@H]2C[NH3+])s1 ZINC000584769493 396621424 /nfs/dbraw/zinc/62/14/24/396621424.db2.gz PPEBRFSXYRQWAX-GFCCVEGCSA-N 0 2 311.455 0.587 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnc3c(c2)COCC3)c1O ZINC000586871874 396669922 /nfs/dbraw/zinc/66/99/22/396669922.db2.gz VHMFHAWHDYWFHA-LLVKDONJSA-N 0 2 305.290 0.142 20 0 DCADLN NC(=O)c1cc(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)c[nH]1 ZINC000589117751 396705109 /nfs/dbraw/zinc/70/51/09/396705109.db2.gz KYHSPGNGVUBBHH-UHFFFAOYSA-N 0 2 313.273 0.709 20 0 DCADLN [NH3+][C@@H]1C[C@H]2C[N@H+](Cc3cnc([C@H]4CCCO4)s3)CCN2C1=O ZINC000563430422 396732887 /nfs/dbraw/zinc/73/28/87/396732887.db2.gz BHJSTISEIRBCSR-CYZMBNFOSA-N 0 2 322.434 0.738 20 0 DCADLN CCCn1nc(C)c(C[N@@H+]2CCN3C(=O)[C@H]([NH3+])C[C@H]3C2)c1C ZINC000563430945 396733092 /nfs/dbraw/zinc/73/30/92/396733092.db2.gz CPIPPSSMKUGRNI-DZGCQCFKSA-N 0 2 305.426 0.654 20 0 DCADLN CCN1CCO[C@H](C(=O)N=c2nc(C(C)(C)OC)[nH]s2)C1 ZINC000634150961 396797711 /nfs/dbraw/zinc/79/77/11/396797711.db2.gz DTLZLNXOLGMABD-VIFPVBQESA-N 0 2 314.411 0.501 20 0 DCADLN O=C([O-])CN1CCCC[C@@H]([N@@H+]2CCO[C@H](CCF)C2)C1=O ZINC000629500607 396771885 /nfs/dbraw/zinc/77/18/85/396771885.db2.gz FDXRHGWGOPNENN-VXGBXAGGSA-N 0 2 302.346 0.513 20 0 DCADLN O=C([O-])CN1CCCC[C@@H]([N@H+]2CCO[C@H](CCF)C2)C1=O ZINC000629500607 396771891 /nfs/dbraw/zinc/77/18/91/396771891.db2.gz FDXRHGWGOPNENN-VXGBXAGGSA-N 0 2 302.346 0.513 20 0 DCADLN Cc1nc(NS(=O)(=O)c2c3c(nn2C)CCCC3)nn1C ZINC000634658026 396895169 /nfs/dbraw/zinc/89/51/69/396895169.db2.gz MVALIAKQASSIEP-UHFFFAOYSA-N 0 2 310.383 0.537 20 0 DCADLN CCc1nsc(N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)n1 ZINC000376236551 396898362 /nfs/dbraw/zinc/89/83/62/396898362.db2.gz HYXUOAIXIPRDTI-SECBINFHSA-N 0 2 316.452 0.891 20 0 DCADLN Cc1cccc2[nH+]c(CNC(=O)[C@@H]3CC[C@H](C(=O)[O-])O3)cn21 ZINC000564963913 396912874 /nfs/dbraw/zinc/91/28/74/396912874.db2.gz RCJQWLUOULZCBO-NWDGAFQWSA-N 0 2 303.318 0.891 20 0 DCADLN [NH3+]Cc1cn([C@@H]2CCCN(c3cc(NC4CC4)[nH+]cn3)C2)nn1 ZINC000634811067 396921482 /nfs/dbraw/zinc/92/14/82/396921482.db2.gz RSJALCCDKSZDJF-CYBMUJFWSA-N 0 2 314.397 0.943 20 0 DCADLN CC(C)Cc1nc(=NC(=O)[C@H](C)N2CC[NH+](C)CC2)s[n-]1 ZINC000618347832 396967971 /nfs/dbraw/zinc/96/79/71/396967971.db2.gz VBLMCZQHBAYSMP-NSHDSACASA-N 0 2 311.455 0.733 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)NC[C@@H](C(=O)[O-])c1ccccc1 ZINC000630140420 396947586 /nfs/dbraw/zinc/94/75/86/396947586.db2.gz IWDSMVNHSBYEDF-ZIAGYGMSSA-N 0 2 306.362 0.692 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)NC[C@@H](C(=O)[O-])c1ccccc1 ZINC000630140420 396947594 /nfs/dbraw/zinc/94/75/94/396947594.db2.gz IWDSMVNHSBYEDF-ZIAGYGMSSA-N 0 2 306.362 0.692 20 0 DCADLN CC(C)Cc1nc(=NC(=O)[C@H]2C[N@@H+]3CCN2CCC3)s[n-]1 ZINC000618348541 396968374 /nfs/dbraw/zinc/96/83/74/396968374.db2.gz RELSFZCBILFGPC-LLVKDONJSA-N 0 2 309.439 0.487 20 0 DCADLN CC(C)Cc1nc(=NC(=O)[C@H]2C[N@H+]3CCN2CCC3)s[n-]1 ZINC000618348541 396968379 /nfs/dbraw/zinc/96/83/79/396968379.db2.gz RELSFZCBILFGPC-LLVKDONJSA-N 0 2 309.439 0.487 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCOC[C@@H]1c1ccco1 ZINC000376686551 396964633 /nfs/dbraw/zinc/96/46/33/396964633.db2.gz JPHYOSRYWGPACZ-MRVPVSSYSA-N 0 2 310.335 0.796 20 0 DCADLN COC(=O)c1sccc1NC(=O)N1CC[N@@H+](C)C[C@H]1C[NH3+] ZINC000572851420 397102763 /nfs/dbraw/zinc/10/27/63/397102763.db2.gz RRGPPGSTFQRFLH-SECBINFHSA-N 0 2 312.395 0.641 20 0 DCADLN C[C@@H]1C[N@H+](C[C@H](O)C[NH2+]Cc2ccccc2CO)C[C@H](C)O1 ZINC000573248893 397149837 /nfs/dbraw/zinc/14/98/37/397149837.db2.gz MOTLVTIUJDWGDF-JKIFEVAISA-N 0 2 308.422 0.739 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1[C@@H]2CO[C@@H]3[C@H]1CO[C@H]23 ZINC000612995092 397176114 /nfs/dbraw/zinc/17/61/14/397176114.db2.gz VMXUXPUFUCXCAA-DDHJBXDOSA-N 0 2 315.285 0.021 20 0 DCADLN COC(=O)[C@H]1C[C@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000598510027 397239240 /nfs/dbraw/zinc/23/92/40/397239240.db2.gz GQLWYTIWWFNKSF-XYPYZODXSA-N 0 2 317.301 0.711 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@]1(C)CO ZINC000620453302 397273986 /nfs/dbraw/zinc/27/39/86/397273986.db2.gz ZRBSFRZXVHPUNJ-AYVTZFPOSA-N 0 2 318.333 0.527 20 0 DCADLN C[C@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)[C@H]1CO ZINC000613834280 397332187 /nfs/dbraw/zinc/33/21/87/397332187.db2.gz JSIPCUOXSRUCNV-CABZTGNLSA-N 0 2 303.318 0.873 20 0 DCADLN C[C@@H]1C[C@@H](C)[C@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)O1 ZINC000407962890 397338995 /nfs/dbraw/zinc/33/89/95/397338995.db2.gz BHAQENVXIMWHJQ-FSDSQADBSA-N 0 2 320.396 0.662 20 0 DCADLN CC[NH+]1CCN([C@@H]2CCN(C(=O)CC(F)(F)C[NH3+])C2)CC1 ZINC000577764533 397359111 /nfs/dbraw/zinc/35/91/11/397359111.db2.gz NYHPLEKQOMHMCQ-GFCCVEGCSA-N 0 2 304.385 0.209 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC2(CCC2)[C@@H]2COC[C@@H]21 ZINC000416537792 397371413 /nfs/dbraw/zinc/37/14/13/397371413.db2.gz PKNSQFIIOYOOFW-BDAKNGLRSA-N 0 2 314.329 0.884 20 0 DCADLN C[C@H]1NC(=O)CC[C@@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614454926 397442255 /nfs/dbraw/zinc/44/22/55/397442255.db2.gz JTEIGMCHAFQLGD-KCJUWKMLSA-N 0 2 316.317 0.427 20 0 DCADLN Cn1[n-]c(C(=O)NCc2nc(C[NH+]3CCCCC3)no2)cc1=O ZINC000614469108 397445479 /nfs/dbraw/zinc/44/54/79/397445479.db2.gz YBLYTCYXQBJETL-UHFFFAOYSA-N 0 2 320.353 0.425 20 0 DCADLN CCOC(=O)Nc1scnc1C(=O)NN1CCCNC1=O ZINC000291726595 285833869 /nfs/dbraw/zinc/83/38/69/285833869.db2.gz RMISEHRSORXHJZ-UHFFFAOYSA-N 0 2 313.339 0.772 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NCc2cc[nH]n2)s[nH]1 ZINC000606020205 397676515 /nfs/dbraw/zinc/67/65/15/397676515.db2.gz MQQQYDFQGUBAEP-UHFFFAOYSA-N 0 2 308.367 0.236 20 0 DCADLN COC1CCN(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC000328812702 292163528 /nfs/dbraw/zinc/16/35/28/292163528.db2.gz FRQPVOQCOCIJRL-JTQLQIEISA-N 0 2 309.370 0.921 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC[C@]1(C)CO)c2=O ZINC000119350546 158185004 /nfs/dbraw/zinc/18/50/04/158185004.db2.gz HKZYUUPIFDDHSB-IAQYHMDHSA-N 0 2 304.350 0.955 20 0 DCADLN CC(C)C(=O)NCCN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119465721 158187766 /nfs/dbraw/zinc/18/77/66/158187766.db2.gz JAOIMFOQKIKBEW-UHFFFAOYSA-N 0 2 319.365 0.518 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1nnc(C)s1 ZINC000122163347 158218823 /nfs/dbraw/zinc/21/88/23/158218823.db2.gz OQZRYMHUIHVZMG-UHFFFAOYSA-N 0 2 317.352 0.547 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc(-c2nnnn2C)c1 ZINC000132503505 158311855 /nfs/dbraw/zinc/31/18/55/158311855.db2.gz AXMDXWNNNPDYKO-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1cnc(N(C)C)nc1 ZINC000133647190 158320472 /nfs/dbraw/zinc/32/04/72/158320472.db2.gz OFSOCEQHIHXZCM-UHFFFAOYSA-N 0 2 310.383 0.694 20 0 DCADLN CCC(=O)Nc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000137097083 158345683 /nfs/dbraw/zinc/34/56/83/158345683.db2.gz UBIIPSSJXKDCEE-UHFFFAOYSA-N 0 2 304.310 0.768 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)CCC3CC3)CC2)[nH]1 ZINC000328852215 159035135 /nfs/dbraw/zinc/03/51/35/159035135.db2.gz SGKVRPVODROEKE-UHFFFAOYSA-N 0 2 300.384 0.820 20 0 DCADLN O=C([O-])C(=O)NCc1cccc(OCC[NH+]2CCOCC2)c1 ZINC000360422463 159269312 /nfs/dbraw/zinc/26/93/12/159269312.db2.gz VRRWJZNSCLPZDU-UHFFFAOYSA-N 0 2 308.334 0.098 20 0 DCADLN CS(=O)(=O)Nc1nc2c(s1)CN(C(=O)C1CC1)CC2 ZINC000408079344 160030723 /nfs/dbraw/zinc/03/07/23/160030723.db2.gz IMMAJXYHYULFAK-UHFFFAOYSA-N 0 2 301.393 0.809 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)CCC2CCOCC2)cnn1C ZINC000408490252 160119012 /nfs/dbraw/zinc/11/90/12/160119012.db2.gz ZUVBCPDZJQJSOG-UHFFFAOYSA-N 0 2 315.395 0.740 20 0 DCADLN O=C(CCCNC(=O)c1nc(=O)[nH][nH]1)N1CCc2ccccc21 ZINC000111658773 286942645 /nfs/dbraw/zinc/94/26/45/286942645.db2.gz NZQPMBZVMYOSGG-UHFFFAOYSA-N 0 2 315.333 0.197 20 0 DCADLN CC[C@@H](C(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1)[NH+](C)C ZINC000152566345 287042813 /nfs/dbraw/zinc/04/28/13/287042813.db2.gz FQKALCKSSYAMLA-GJZGRUSLSA-N 0 2 324.469 0.482 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nnn(C)n2)c(C)c1F ZINC000634664082 412443356 /nfs/dbraw/zinc/44/33/56/412443356.db2.gz NJIFSRIUKYAXAM-UHFFFAOYSA-N 0 2 301.303 0.467 20 0 DCADLN CN(C(=O)C1=NN(c2ccccc2)CC1=O)[C@H]1COC[C@@H]1O ZINC000342344866 415143917 /nfs/dbraw/zinc/14/39/17/415143917.db2.gz KHXKYJVGGKUCGM-AAEUAGOBSA-N 0 2 303.318 0.410 20 0 DCADLN Cn1ccc(NS(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)n1 ZINC000029437762 415179973 /nfs/dbraw/zinc/17/99/73/415179973.db2.gz AOQXVGLCUJITTK-UHFFFAOYSA-N 0 2 323.378 0.785 20 0 DCADLN Cc1cc(C(=O)NC[C@H](O)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000268433941 415212914 /nfs/dbraw/zinc/21/29/14/415212914.db2.gz SFBUYDFDAOUACG-VIFPVBQESA-N 0 2 304.306 0.245 20 0 DCADLN O=C(c1cc(F)c(F)c(O)c1F)N1CCN2C(=O)NC[C@@H]2C1 ZINC000272593276 415289774 /nfs/dbraw/zinc/28/97/74/415289774.db2.gz IXBHUQPFRYZQSZ-ZCFIWIBFSA-N 0 2 315.251 0.659 20 0 DCADLN COC(=O)c1cnc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000343158455 415476658 /nfs/dbraw/zinc/47/66/58/415476658.db2.gz KVAPAXJICJMTQT-QMMMGPOBSA-N 0 2 304.310 0.471 20 0 DCADLN Cc1n[nH]c(NC(=O)CCn2c3ccccc3c(=O)[nH]c2=O)n1 ZINC000103255083 415614291 /nfs/dbraw/zinc/61/42/91/415614291.db2.gz ZMMPTMIJBQRJKI-UHFFFAOYSA-N 0 2 314.305 0.145 20 0 DCADLN O=C(CCNC(=O)CSc1n[nH]c(=O)[nH]1)Nc1ccccc1 ZINC000353760008 415647951 /nfs/dbraw/zinc/64/79/51/415647951.db2.gz PBQUTUGMVOLGSP-UHFFFAOYSA-N 0 2 321.362 0.747 20 0 DCADLN CCCCNC(=O)[C@@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000353902311 415687686 /nfs/dbraw/zinc/68/76/86/415687686.db2.gz LYCIMMKYESWHNV-MRVPVSSYSA-N 0 2 304.372 0.030 20 0 DCADLN CC[NH+](CC)CC(=O)N1CC[NH+](CCc2c[nH+]cn2C)CC1 ZINC000290189705 415775717 /nfs/dbraw/zinc/77/57/17/415775717.db2.gz ZYRXXLIPTIWPTI-UHFFFAOYSA-N 0 2 307.442 0.449 20 0 DCADLN COC[C@@H](O)CNC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000120105437 415756770 /nfs/dbraw/zinc/75/67/70/415756770.db2.gz OPWQOMNWWQDGAY-NSHDSACASA-N 0 2 323.324 0.763 20 0 DCADLN C[C@H](c1nnnn1C1CC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000344085542 415838536 /nfs/dbraw/zinc/83/85/36/415838536.db2.gz HIRJVDLYNSTQNQ-RKDXNWHRSA-N 0 2 304.358 0.772 20 0 DCADLN CC(C)CNC(=O)NC(=O)CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000344150162 415852138 /nfs/dbraw/zinc/85/21/38/415852138.db2.gz UEHLABZZFYLYNS-SNVBAGLBSA-N 0 2 324.385 0.172 20 0 DCADLN CCS(=O)(=O)C[C@@H](C)NC(=O)N[C@H]1CCN(C(C)C)C1=O ZINC000334033328 415787437 /nfs/dbraw/zinc/78/74/37/415787437.db2.gz WCAXIUCYSUBNEV-MNOVXSKESA-N 0 2 319.427 0.118 20 0 DCADLN C[C@@H](C(=O)NC(=O)NC(C)(C)C)N1CCO[C@@H](C(N)=O)C1 ZINC000334024716 415787742 /nfs/dbraw/zinc/78/77/42/415787742.db2.gz PQKZQQOFFPWOIN-DTWKUNHWSA-N 0 2 300.359 0.235 20 0 DCADLN CCCN1C[C@@H](C(=O)N2CC[C@H](C)S(=O)(=O)CC2)CC1=O ZINC000334031026 415787788 /nfs/dbraw/zinc/78/77/88/415787788.db2.gz KZNVBTFYKWHDDL-RYUDHWBXSA-N 0 2 316.423 0.281 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)N[C@@H](C)C[C@H](C)O)c(C)o1 ZINC000334035021 415788091 /nfs/dbraw/zinc/78/80/91/415788091.db2.gz BRUWYDYGPPUVPB-YUMQZZPRSA-N 0 2 304.368 0.385 20 0 DCADLN CC(C)N1C[C@@H](NC(=O)N2CCCc3c(cnn3C)C2)CC1=O ZINC000334027407 415788511 /nfs/dbraw/zinc/78/85/11/415788511.db2.gz IEPRQLFXNONJSZ-ZDUSSCGKSA-N 0 2 319.409 0.887 20 0 DCADLN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC000334032534 415789701 /nfs/dbraw/zinc/78/97/01/415789701.db2.gz JKSDYBQYORTCNS-CMPLNLGQSA-N 0 2 318.377 0.557 20 0 DCADLN C[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)[C@@H](O)c1ccccc1 ZINC000290568022 415819603 /nfs/dbraw/zinc/81/96/03/415819603.db2.gz GKJJGJQSFQBKBQ-LDYMZIIASA-N 0 2 308.363 0.428 20 0 DCADLN CCC[C@H](C)NC(=O)[C@H]1CCCN(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000126577368 415876664 /nfs/dbraw/zinc/87/66/64/415876664.db2.gz KGTDKTYMVGZAQU-UWVGGRQHSA-N 0 2 309.370 0.255 20 0 DCADLN Cc1cc(C(=O)N(CC(N)=O)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000344282503 415880642 /nfs/dbraw/zinc/88/06/42/415880642.db2.gz GXORWSGIPSAJNY-UHFFFAOYSA-N 0 2 319.321 0.080 20 0 DCADLN CC/C=C/CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000295594951 415900359 /nfs/dbraw/zinc/90/03/59/415900359.db2.gz WVLMILZKWRWDEO-ONEGZZNKSA-N 0 2 310.335 0.681 20 0 DCADLN Cn1cc(NS(=O)(=O)c2cc(Cl)cc(C(N)=O)c2)cn1 ZINC000337167603 415920595 /nfs/dbraw/zinc/92/05/95/415920595.db2.gz CMMDCHXYMZNUNF-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN CN(CCOCCO)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000337599177 415982136 /nfs/dbraw/zinc/98/21/36/415982136.db2.gz HZRFHGPOLPDXGC-UHFFFAOYSA-N 0 2 323.324 0.798 20 0 DCADLN CCOCCCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000298964115 416042254 /nfs/dbraw/zinc/04/22/54/416042254.db2.gz YFIDSBXQWSKCKW-UHFFFAOYSA-N 0 2 308.385 0.665 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCCOC(F)F ZINC000338084436 416042709 /nfs/dbraw/zinc/04/27/09/416042709.db2.gz SECVDMLPBMSWTQ-UHFFFAOYSA-N 0 2 314.292 0.619 20 0 DCADLN O=C(NCCc1[nH]cc[nH+]1)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000345155810 416054575 /nfs/dbraw/zinc/05/45/75/416054575.db2.gz DIHLCVGBKXZXSV-UHFFFAOYSA-N 0 2 300.278 0.132 20 0 DCADLN COC(=O)Cc1cccc(S(=O)(=O)Nc2cnn(C)c2)c1 ZINC000357016764 416019901 /nfs/dbraw/zinc/01/99/01/416019901.db2.gz NNGJRSKNNBPCOL-UHFFFAOYSA-N 0 2 309.347 0.936 20 0 DCADLN C[C@@H](C(=O)NCC(F)(F)F)[N@@H+]1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000298675504 416021196 /nfs/dbraw/zinc/02/11/96/416021196.db2.gz YTHLKZAMNSLAHC-YUMQZZPRSA-N 0 2 322.287 0.609 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000311748581 416105474 /nfs/dbraw/zinc/10/54/74/416105474.db2.gz CLXODUBJBHWDJG-RBSFLKMASA-N 0 2 318.373 0.724 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000311748581 416105478 /nfs/dbraw/zinc/10/54/78/416105478.db2.gz CLXODUBJBHWDJG-RBSFLKMASA-N 0 2 318.373 0.724 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)NCCCCn2cc[nH+]c2)C1 ZINC000323979308 416122400 /nfs/dbraw/zinc/12/24/00/416122400.db2.gz JSHFENQGKUUMMC-OAHLLOKOSA-N 0 2 324.381 0.796 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000178908001 416176141 /nfs/dbraw/zinc/17/61/41/416176141.db2.gz VTJODKJWZVJGAA-UHFFFAOYSA-N 0 2 309.341 0.491 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000178908001 416176146 /nfs/dbraw/zinc/17/61/46/416176146.db2.gz VTJODKJWZVJGAA-UHFFFAOYSA-N 0 2 309.341 0.491 20 0 DCADLN CCO[C@@H]1C[C@H](O)C12CCN(C(=O)[C@H]1CC(=O)N(CC)C1)CC2 ZINC000329606110 416180490 /nfs/dbraw/zinc/18/04/90/416180490.db2.gz ZQQIEZJDWYZKHK-MELADBBJSA-N 0 2 324.421 0.633 20 0 DCADLN Cc1noc(CCNC(=O)c2c[nH]c3c(cnn3C)c2=O)n1 ZINC000179462727 416189534 /nfs/dbraw/zinc/18/95/34/416189534.db2.gz WVHQCVIGLKDXGW-UHFFFAOYSA-N 0 2 302.294 0.338 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2ccc(Cl)o2)s1 ZINC000340934473 416198251 /nfs/dbraw/zinc/19/82/51/416198251.db2.gz SAJQQNCAKIOGGK-UHFFFAOYSA-N 0 2 322.755 1.408 20 0 DCADLN Cc1ccc(CCC(=O)NCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000358370043 416229382 /nfs/dbraw/zinc/22/93/82/416229382.db2.gz OZMCMUGVLMLTAO-UHFFFAOYSA-N 0 2 317.349 0.184 20 0 DCADLN CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3[nH+]ccn3c2)n1 ZINC000345861868 416159534 /nfs/dbraw/zinc/15/95/34/416159534.db2.gz JJYJMPAHTCPGQZ-UHFFFAOYSA-N 0 2 306.307 0.108 20 0 DCADLN Cc1ccc(-n2cc[nH+]c2)c(C(=O)NC[C@](C)(O)C(=O)[O-])c1 ZINC000349086714 416251121 /nfs/dbraw/zinc/25/11/21/416251121.db2.gz VSODFCKVPKBDSG-HNNXBMFYSA-N 0 2 303.318 0.746 20 0 DCADLN COc1cc(C)ccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000342041959 416272877 /nfs/dbraw/zinc/27/28/77/416272877.db2.gz CQAIQXMNDXELIM-UHFFFAOYSA-N 0 2 305.294 0.082 20 0 DCADLN O=S(=O)(NC[C@@H](O)Cc1ccccc1)NCC(F)(F)F ZINC000192021833 416297244 /nfs/dbraw/zinc/29/72/44/416297244.db2.gz SCMQVLNPSJZULO-JTQLQIEISA-N 0 2 312.313 0.576 20 0 DCADLN COC(=O)c1ccc(CS(=O)(=O)Nc2cn(C)nn2)cc1 ZINC000359464416 416347036 /nfs/dbraw/zinc/34/70/36/416347036.db2.gz CZAFTIWAZINSNC-UHFFFAOYSA-N 0 2 310.335 0.544 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H](CCO)c1ccco1 ZINC000195457097 416326388 /nfs/dbraw/zinc/32/63/88/416326388.db2.gz LDUSDAXQTPDRPT-SSDOTTSWSA-N 0 2 302.274 0.689 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000416404718 416368596 /nfs/dbraw/zinc/36/85/96/416368596.db2.gz XOWFQZLYFYCEPU-NWDGAFQWSA-N 0 2 308.382 0.985 20 0 DCADLN CCCN(CC(=O)[O-])c1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000416404718 416368597 /nfs/dbraw/zinc/36/85/97/416368597.db2.gz XOWFQZLYFYCEPU-NWDGAFQWSA-N 0 2 308.382 0.985 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(CC(N)=O)cc1 ZINC000361129734 416422012 /nfs/dbraw/zinc/42/20/12/416422012.db2.gz FBPDEXHUUOSGHD-UHFFFAOYSA-N 0 2 308.363 0.557 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(C)c2C(F)(F)F)cn1 ZINC000436163084 416549683 /nfs/dbraw/zinc/54/96/83/416549683.db2.gz HAMFLWFCTHLVMY-UHFFFAOYSA-N 0 2 309.273 0.973 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1nnc(CC(F)(F)F)s1 ZINC000361845103 416508460 /nfs/dbraw/zinc/50/84/60/416508460.db2.gz YNSBQCBCDGJUQT-UHFFFAOYSA-N 0 2 323.260 0.788 20 0 DCADLN CCOC(=O)[C@@H]1[NH2+]CC[C@@H]1NC[C@H]1[NH2+]CCc2ccccc21 ZINC000423433644 416511196 /nfs/dbraw/zinc/51/11/96/416511196.db2.gz RRSQZKMBQJBDQW-ARFHVFGLSA-N 0 2 303.406 0.757 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC1([S@@](C)=O)CCC1)c2=O ZINC000438124857 416594087 /nfs/dbraw/zinc/59/40/87/416594087.db2.gz VDPBTLQZQAMGKQ-JOCHJYFZSA-N 0 2 322.390 0.705 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2ccc(C)cc2C)n1 ZINC000437304406 416582707 /nfs/dbraw/zinc/58/27/07/416582707.db2.gz CXLUGOHNNNXNRE-UHFFFAOYSA-N 0 2 324.362 0.869 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N1CC(OC(F)F)C1 ZINC000437615981 416585971 /nfs/dbraw/zinc/58/59/71/416585971.db2.gz UGQOHAWDSHYZIQ-UHFFFAOYSA-N 0 2 312.276 0.324 20 0 DCADLN NC(=O)C[C@@H](NC(=O)c1cccc2[nH]nnc21)C1CCOCC1 ZINC000542820914 416632000 /nfs/dbraw/zinc/63/20/00/416632000.db2.gz DULGZTFWVWDDTJ-GFCCVEGCSA-N 0 2 317.349 0.358 20 0 DCADLN CC(C)C[C@H](CNC(=O)NCC[N@@H+]1CCOC[C@@H]1C)C(=O)[O-] ZINC000424403325 416639743 /nfs/dbraw/zinc/63/97/43/416639743.db2.gz FLAMXJDVFWAULP-QWHCGFSZSA-N 0 2 315.414 0.753 20 0 DCADLN CC(C)C[C@H](CNC(=O)NCC[N@H+]1CCOC[C@@H]1C)C(=O)[O-] ZINC000424403325 416639747 /nfs/dbraw/zinc/63/97/47/416639747.db2.gz FLAMXJDVFWAULP-QWHCGFSZSA-N 0 2 315.414 0.753 20 0 DCADLN Cc1cc(C[NH3+])cc(NC(=O)C(=O)N[C@@H](C)Cn2cc[nH+]c2)c1 ZINC000424440640 416645373 /nfs/dbraw/zinc/64/53/73/416645373.db2.gz RCGXVEZDDZWTEW-LBPRGKRZSA-N 0 2 315.377 0.794 20 0 DCADLN CC(C)(CCNC(=O)C(=O)NCCCn1cc[nH+]c1)C(=O)[O-] ZINC000424465997 416650695 /nfs/dbraw/zinc/65/06/95/416650695.db2.gz KGJLKSZKBXEDOY-UHFFFAOYSA-N 0 2 310.354 0.007 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc[nH+]c3ccncc32)CCS1(=O)=O ZINC000424477047 416653184 /nfs/dbraw/zinc/65/31/84/416653184.db2.gz UZOLQWJAVIDKGT-GFCCVEGCSA-N 0 2 307.331 0.318 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)C(C)(C)C(N)=O)c1 ZINC000424846094 416671329 /nfs/dbraw/zinc/67/13/29/416671329.db2.gz FZBSIVIJJUFRHO-NRFANRHFSA-N 0 2 312.391 0.525 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@H](C2CC2)O1 ZINC000369123293 416725082 /nfs/dbraw/zinc/72/50/82/416725082.db2.gz ZSUXUVVAZMGYEZ-VXNVDRBHSA-N 0 2 302.318 0.882 20 0 DCADLN COCCCOCCN(C)S(=O)(=O)NCC(F)(F)F ZINC000443046284 416728441 /nfs/dbraw/zinc/72/84/41/416728441.db2.gz MVISITAFKRMXIP-UHFFFAOYSA-N 0 2 308.322 0.368 20 0 DCADLN Cc1cccc(CCCNC(=O)N2CC[N@H+](C)C[C@@H]2C[NH3+])n1 ZINC000516634602 416731521 /nfs/dbraw/zinc/73/15/21/416731521.db2.gz TWQAMUHEUGSYOD-HNNXBMFYSA-N 0 2 305.426 0.607 20 0 DCADLN CSc1nnc(CNS(=O)(=O)NCC(F)(F)F)s1 ZINC000443208962 416736859 /nfs/dbraw/zinc/73/68/59/416736859.db2.gz DBLXLUHIANUAKZ-UHFFFAOYSA-N 0 2 322.359 0.746 20 0 DCADLN C[C@@H](C(=O)NC(C)(C)C)N(C)S(=O)(=O)NCC(F)(F)F ZINC000443212729 416737815 /nfs/dbraw/zinc/73/78/15/416737815.db2.gz MWAJQCUGKJZZGO-ZETCQYMHSA-N 0 2 319.349 0.618 20 0 DCADLN CCOc1cccc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)c1 ZINC000443405473 416757199 /nfs/dbraw/zinc/75/71/99/416757199.db2.gz JUBIKSRCKWIFJL-UHFFFAOYSA-N 0 2 324.362 0.568 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000615179193 416778329 /nfs/dbraw/zinc/77/83/29/416778329.db2.gz VWTDMINWDZSTAM-GDNZZTSVSA-N 0 2 301.302 0.340 20 0 DCADLN C[C@@H](CCCO)NC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000446989111 416956689 /nfs/dbraw/zinc/95/66/89/416956689.db2.gz BUUMBCVQAMQSII-QMMMGPOBSA-N 0 2 314.411 0.473 20 0 DCADLN COc1cc(C(=O)Nc2nc(C)n[nH]2)sc1S(N)(=O)=O ZINC000446972385 416957085 /nfs/dbraw/zinc/95/70/85/416957085.db2.gz UDHHEMGMNSZWCH-UHFFFAOYSA-N 0 2 317.352 0.083 20 0 DCADLN COc1ccc([C@@H](CN=c2nn[n-]n2C)[NH+]2CCOCC2)cc1 ZINC000519356756 416902686 /nfs/dbraw/zinc/90/26/86/416902686.db2.gz UUGIFOSCHKTFGG-CQSZACIVSA-N 0 2 318.381 0.126 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(C(=O)OCC)c2)c1O ZINC000445883010 416903242 /nfs/dbraw/zinc/90/32/42/416903242.db2.gz DQEUWBTZQDUODZ-LLVKDONJSA-N 0 2 320.301 0.851 20 0 DCADLN C[C@H]1C[C@@H](O)CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000616143431 416974047 /nfs/dbraw/zinc/97/40/47/416974047.db2.gz AECDSWXDWIMGQM-JOYOIKCWSA-N 0 2 303.318 0.873 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1cnn(C)c1 ZINC000447345118 416992165 /nfs/dbraw/zinc/99/21/65/416992165.db2.gz IIJNQGDFDBZRQR-UHFFFAOYSA-N 0 2 302.337 0.464 20 0 DCADLN Cc1ccc(-n2cc[nH+]c2)c(C(=O)NCCc2nc(=O)o[n-]2)c1 ZINC000447632831 417011974 /nfs/dbraw/zinc/01/19/74/417011974.db2.gz PRNRUJZHFXLNTR-UHFFFAOYSA-N 0 2 313.317 0.830 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](C[C@H](O)COc2ccccc2Cl)CCO1 ZINC000568066707 417076506 /nfs/dbraw/zinc/07/65/06/417076506.db2.gz ABJHWRGRCFQOCW-GXFFZTMASA-N 0 2 315.753 0.865 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](C[C@H](O)COc2ccccc2Cl)CCO1 ZINC000568066707 417076512 /nfs/dbraw/zinc/07/65/12/417076512.db2.gz ABJHWRGRCFQOCW-GXFFZTMASA-N 0 2 315.753 0.865 20 0 DCADLN O=C(N[C@@H](CO)[C@@H]1CCCO1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000448541887 417084357 /nfs/dbraw/zinc/08/43/57/417084357.db2.gz HVKVVACHWRDORH-RYUDHWBXSA-N 0 2 319.317 0.300 20 0 DCADLN CC(C)[N@H+]1CCCN(C(=O)C(=O)N(C)Cc2[nH]cc[nH+]2)CC1 ZINC000632471944 417110072 /nfs/dbraw/zinc/11/00/72/417110072.db2.gz WYQCKFRSHLCJKJ-UHFFFAOYSA-N 0 2 307.398 0.311 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)s1 ZINC000437186549 287361361 /nfs/dbraw/zinc/36/13/61/287361361.db2.gz JWFFOCYLOLQODW-UHFFFAOYSA-N 0 2 323.363 0.623 20 0 DCADLN CC[C@@H]1C[C@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CC(=O)N1 ZINC000568867326 417187572 /nfs/dbraw/zinc/18/75/72/417187572.db2.gz ILKMZCVWQSGYRA-GARJFASQSA-N 0 2 321.381 0.521 20 0 DCADLN CCN1CC[NH+](CCC(=O)N2CCN(c3[nH]cc[nH+]3)CC2)CC1 ZINC000569116247 417215591 /nfs/dbraw/zinc/21/55/91/417215591.db2.gz CFTXPNZQGLJUGP-UHFFFAOYSA-N 0 2 320.441 0.086 20 0 DCADLN CN1CC[NH+](C)[C@@H](CNC(=O)NC[C@H]2CC[N@@H+]2C2CCCC2)C1 ZINC000527742092 417276111 /nfs/dbraw/zinc/27/61/11/417276111.db2.gz AQRZPWGUWVSIRK-CVEARBPZSA-N 0 2 323.485 0.548 20 0 DCADLN Cc1ccccc1-c1nsc(NCCN2CCNC(=O)C2)n1 ZINC000527956591 417288201 /nfs/dbraw/zinc/28/82/01/417288201.db2.gz LJBDICQSXRNHOJ-UHFFFAOYSA-N 0 2 317.418 0.779 20 0 DCADLN COCCN1C(=O)N[C@@H]2C[N@@H+](CCn3cc[nH+]c3C)CC[C@@H]21 ZINC000569513208 417261385 /nfs/dbraw/zinc/26/13/85/417261385.db2.gz SYAXDYWVNIVZGS-KGLIPLIRSA-N 0 2 307.398 0.306 20 0 DCADLN Cc1nc(N2CCc3[nH]nc(C(=O)[O-])c3C2)nc(N(C)C)[nH+]1 ZINC000570519568 417362408 /nfs/dbraw/zinc/36/24/08/417362408.db2.gz IQQPSKVFPXLYNB-UHFFFAOYSA-N 0 2 303.326 0.230 20 0 DCADLN Cc1nc(N(C)C)nc(N2CCc3[nH]nc(C(=O)[O-])c3C2)[nH+]1 ZINC000570519568 417362416 /nfs/dbraw/zinc/36/24/16/417362416.db2.gz IQQPSKVFPXLYNB-UHFFFAOYSA-N 0 2 303.326 0.230 20 0 DCADLN CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)[N-]CC(F)(F)F ZINC000451931709 417417547 /nfs/dbraw/zinc/41/75/47/417417547.db2.gz SAPNBPVNTCOOAY-ZETCQYMHSA-N 0 2 300.306 0.950 20 0 DCADLN CCn1ccnc1[C@H](C)NS(=O)(=O)NCC(F)(F)F ZINC000451931709 417417551 /nfs/dbraw/zinc/41/75/51/417417551.db2.gz SAPNBPVNTCOOAY-ZETCQYMHSA-N 0 2 300.306 0.950 20 0 DCADLN O=C(c1cc(F)c[nH]c1=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000622019349 417367369 /nfs/dbraw/zinc/36/73/69/417367369.db2.gz UTEDOQKIWWSVMF-SSDOTTSWSA-N 0 2 307.285 0.770 20 0 DCADLN CC1(c2nc(=NC(=O)c3[nH]c(=O)[nH]c(=O)c3N)s[nH]2)CC1 ZINC000529697497 417489453 /nfs/dbraw/zinc/48/94/53/417489453.db2.gz KFAYDZSKDYBDLQ-UHFFFAOYSA-N 0 2 308.323 0.047 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C1CC1 ZINC000439103880 287381450 /nfs/dbraw/zinc/38/14/50/287381450.db2.gz XWEMVZCTUIXUOE-NSHDSACASA-N 0 2 317.301 0.711 20 0 DCADLN Cc1[nH]nc2ncc(C[N@H+]3CCN4C(=O)[C@@H]([NH3+])C[C@H]4C3)cc12 ZINC000575430667 417537417 /nfs/dbraw/zinc/53/74/17/417537417.db2.gz GCEXQYRCQYVKKB-AAEUAGOBSA-N 0 2 300.366 0.010 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2cc(C(F)(F)F)nn2C)o1 ZINC000530156200 417547024 /nfs/dbraw/zinc/54/70/24/417547024.db2.gz RROZTTZDUOWTRQ-UHFFFAOYSA-N 0 2 311.245 0.931 20 0 DCADLN COC(=O)[C@](C)(NC(=O)CSc1n[nH]c(=O)[nH]1)C1CC1 ZINC000580428307 417699241 /nfs/dbraw/zinc/69/92/41/417699241.db2.gz SBCRMQSNJUGKEG-LLVKDONJSA-N 0 2 300.340 0.060 20 0 DCADLN CN(C1CC1)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000645087994 417699666 /nfs/dbraw/zinc/69/96/66/417699666.db2.gz IWNCAIFDXCMBHA-MRVPVSSYSA-N 0 2 301.372 0.029 20 0 DCADLN CN(C(=O)CSc1n[nH]c(=O)[nH]1)[C@H](CO)CC(C)(C)C ZINC000456461006 417650967 /nfs/dbraw/zinc/65/09/67/417650967.db2.gz ZKASSMYJSDLEHC-QMMMGPOBSA-N 0 2 302.400 0.858 20 0 DCADLN CN(C[C@H]1C[C@@H](O)C1)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000629256356 417769073 /nfs/dbraw/zinc/76/90/73/417769073.db2.gz PARVKYFPEJDUPB-WVSHTKLVSA-N 0 2 303.318 0.873 20 0 DCADLN CNC(=O)[C@H](CO)N=c1nc(-c2cc(F)cc(F)c2)[nH]s1 ZINC000645211513 417713330 /nfs/dbraw/zinc/71/33/30/417713330.db2.gz FBJVXCXVFRDCQE-VIFPVBQESA-N 0 2 314.317 0.424 20 0 DCADLN CN1CCOC[C@@H]1CNC(=O)c1cc(F)c(F)c(O)c1F ZINC000628914162 417720578 /nfs/dbraw/zinc/72/05/78/417720578.db2.gz CMLRZIFGKFQMMN-ZETCQYMHSA-N 0 2 304.268 0.870 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2nc3n([nH]2)CCCC3)[C@@H](C)CO1 ZINC000652004342 417830695 /nfs/dbraw/zinc/83/06/95/417830695.db2.gz JSIZKDFQEUVEQZ-QWRGUYRKSA-N 0 2 321.381 0.001 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(O[C@@H]2CCOC2)cc1 ZINC000629289647 417772809 /nfs/dbraw/zinc/77/28/09/417772809.db2.gz MTRXMHPUXWUTEI-GFCCVEGCSA-N 0 2 324.362 0.784 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cc(C)nc3ncnn32)n1C ZINC000629351257 417786500 /nfs/dbraw/zinc/78/65/00/417786500.db2.gz HUHCZHBHRWGUOV-UHFFFAOYSA-N 0 2 307.339 0.275 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@H](O)C23CCCC3)[nH]1 ZINC000651822527 417790667 /nfs/dbraw/zinc/79/06/67/417790667.db2.gz AEUSXWSBJZFHNA-NSHDSACASA-N 0 2 321.381 0.125 20 0 DCADLN Cc1nc(=NC(=O)C(=O)N[C@H](C)[C@@H]2CC3CCC2CC3)[nH]n1C ZINC000652335503 417885775 /nfs/dbraw/zinc/88/57/75/417885775.db2.gz MJTFNZQKJNKACQ-QWCHVHKLSA-N 0 2 319.409 0.815 20 0 DCADLN CN(C(=O)N[C@@H]1CC[NH+](CC2CC2)C1)[C@@H]1CC[N@H+]2CCO[C@H]1C2 ZINC000652368099 417893232 /nfs/dbraw/zinc/89/32/32/417893232.db2.gz XXWREBKTGOLCPX-OAGGEKHMSA-N 0 2 322.453 0.585 20 0 DCADLN C[N@H+](CCN1CCCS1(=O)=O)[C@@H](C(=O)[O-])c1ccsc1 ZINC000652433761 417908146 /nfs/dbraw/zinc/90/81/46/417908146.db2.gz DWDGIEIONMJURV-LLVKDONJSA-N 0 2 318.420 0.841 20 0 DCADLN C[N@@H+](CCN1CCCS1(=O)=O)[C@@H](C(=O)[O-])c1ccsc1 ZINC000652433761 417908152 /nfs/dbraw/zinc/90/81/52/417908152.db2.gz DWDGIEIONMJURV-LLVKDONJSA-N 0 2 318.420 0.841 20 0 DCADLN CC(C)(C)n1ncc2c1nc(N1CCO[C@H](C(=O)[O-])C1)[nH+]c2N ZINC000662885481 417938644 /nfs/dbraw/zinc/93/86/44/417938644.db2.gz RPHUHHWLMSQKDM-VIFPVBQESA-N 0 2 320.353 0.453 20 0 DCADLN CN=c1[nH]nc(CC(=O)N[C@@H](C2CC2)C2CCOCC2)s1 ZINC000652770283 417965824 /nfs/dbraw/zinc/96/58/24/417965824.db2.gz ZKOFSXCEOPVWET-ZDUSSCGKSA-N 0 2 310.423 0.866 20 0 DCADLN C[C@@H]1C[N@@H+](CCCNC(=O)NCCCc2nc[nH]n2)C[C@H](C)O1 ZINC000663553298 418030982 /nfs/dbraw/zinc/03/09/82/418030982.db2.gz NVPGULKMMVYTOE-BETUJISGSA-N 0 2 324.429 0.536 20 0 DCADLN C[C@@H]1C[N@H+](CCCNC(=O)NCCCc2nc[nH]n2)C[C@H](C)O1 ZINC000663553298 418030984 /nfs/dbraw/zinc/03/09/84/418030984.db2.gz NVPGULKMMVYTOE-BETUJISGSA-N 0 2 324.429 0.536 20 0 DCADLN Cn1cc[nH+]c1CN1CCN(C(=O)[C@]2(C(=O)[O-])CC2(C)C)CC1 ZINC000663040768 417980771 /nfs/dbraw/zinc/98/07/71/417980771.db2.gz IMVWNLCHFBCYRL-INIZCTEOSA-N 0 2 320.393 0.565 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000663102191 417989457 /nfs/dbraw/zinc/98/94/57/417989457.db2.gz XRVFVIAJHBGBOY-AWEZNQCLSA-N 0 2 312.391 0.882 20 0 DCADLN O=C([O-])[C@H](C(=O)NCc1ccc[nH+]c1N1CCOCC1)C1CC1 ZINC000663106802 417990566 /nfs/dbraw/zinc/99/05/66/417990566.db2.gz AWUOSKZBVXAIRB-ZDUSSCGKSA-N 0 2 319.361 0.645 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C)[C@@H](C)CO1 ZINC000663127700 417993409 /nfs/dbraw/zinc/99/34/09/417993409.db2.gz ZTAGOCNEEWKFTB-TUAOUCFPSA-N 0 2 300.399 0.959 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C)[C@@H](C)CO1 ZINC000663127700 417993411 /nfs/dbraw/zinc/99/34/11/417993411.db2.gz ZTAGOCNEEWKFTB-TUAOUCFPSA-N 0 2 300.399 0.959 20 0 DCADLN COCC[N@H+](C)C[C@@H](O)C[NH+]1CCC[C@H](OC2CCC2)C1 ZINC000653812153 418110168 /nfs/dbraw/zinc/11/01/68/418110168.db2.gz CPABYSZERUTYCX-ZBFHGGJFSA-N 0 2 300.443 0.959 20 0 DCADLN CO[C@@H]1CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)[C@@H](C(=O)[O-])C1 ZINC000659221557 418070704 /nfs/dbraw/zinc/07/07/04/418070704.db2.gz MFDSHRTTWJHVPV-CHWSQXEVSA-N 0 2 321.377 0.853 20 0 DCADLN CC(CO)(CO)CNC(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000647987524 418084092 /nfs/dbraw/zinc/08/40/92/418084092.db2.gz YCFSFMMVFVVGGO-UHFFFAOYSA-N 0 2 322.390 0.739 20 0 DCADLN [NH3+]C[C@@H](C(=O)N1CC[NH+]([C@H]2CCOC2)CC1)c1ccccc1 ZINC000659358432 418084870 /nfs/dbraw/zinc/08/48/70/418084870.db2.gz QMPHPEHUCLTWAE-JKSUJKDBSA-N 0 2 303.406 0.662 20 0 DCADLN CCCn1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC000648893731 418171238 /nfs/dbraw/zinc/17/12/38/418171238.db2.gz WKJQXQTUZBVSDE-VIFPVBQESA-N 0 2 305.342 0.532 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000654687063 418176455 /nfs/dbraw/zinc/17/64/55/418176455.db2.gz NMHIVAGMBQLZMG-ZJUUUORDSA-N 0 2 302.290 0.480 20 0 DCADLN CC[C@H](C)C[C@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000649051039 418187640 /nfs/dbraw/zinc/18/76/40/418187640.db2.gz JTKGFARJEOKBQB-WCBMZHEXSA-N 0 2 320.349 0.963 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000665301970 418193363 /nfs/dbraw/zinc/19/33/63/418193363.db2.gz JJUSECUMPWRDRW-WCQYABFASA-N 0 2 313.398 0.507 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1CCCO)[C@@H]1CCc2c[nH+]cn2C1 ZINC000649225742 418212006 /nfs/dbraw/zinc/21/20/06/418212006.db2.gz FQPUOJUVROQXSO-ZIAGYGMSSA-N 0 2 306.410 0.409 20 0 DCADLN CCC[N@@H+]1CC[C@@H](NC(=O)NCC[NH+]2CCOCC2(C)C)C1 ZINC000660717515 418285242 /nfs/dbraw/zinc/28/52/42/418285242.db2.gz ZBPSXOQVKWWNSK-CQSZACIVSA-N 0 2 312.458 0.881 20 0 DCADLN CCN1C[C@@H](CNC(=O)N=c2ccc(C3CC3)n[nH]2)CC1=O ZINC000650084917 418291807 /nfs/dbraw/zinc/29/18/07/418291807.db2.gz SLOKRVJNABXCDU-SNVBAGLBSA-N 0 2 303.366 0.766 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NC1COCCOC1)c2=O ZINC000650315460 418305013 /nfs/dbraw/zinc/30/50/13/418305013.db2.gz ZTJOCFQSNYPFJX-UHFFFAOYSA-N 0 2 303.318 0.789 20 0 DCADLN Cc1ccc2[nH+]c(CNC(=O)C(=O)NC[C@@H](C)C(=O)[O-])cn2c1 ZINC000655980830 418310923 /nfs/dbraw/zinc/31/09/23/418310923.db2.gz JXICXMUZZKJZNT-SNVBAGLBSA-N 0 2 318.333 0.096 20 0 DCADLN C[C@@H](CNC(=O)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1)C(=O)[O-] ZINC000656005699 418315890 /nfs/dbraw/zinc/31/58/90/418315890.db2.gz KBVVQQBXGJFLMC-VHSXEESVSA-N 0 2 308.338 0.300 20 0 DCADLN CCS(=O)(=O)N1CCN(c2cc[nH+]c(C(=O)[O-])c2)[C@H](C)C1 ZINC000650770789 418323846 /nfs/dbraw/zinc/32/38/46/418323846.db2.gz DQQXTFOCALKZTI-SNVBAGLBSA-N 0 2 313.379 0.640 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2ncccc2OC(C)C)[nH]n1 ZINC000650817544 418326116 /nfs/dbraw/zinc/32/61/16/418326116.db2.gz GPPXGVUKAIRWAS-UHFFFAOYSA-N 0 2 315.333 0.693 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cncc(OC(C)C)c2)[nH]n1 ZINC000650818127 418326149 /nfs/dbraw/zinc/32/61/49/418326149.db2.gz YDFVHCAGWIHQEP-UHFFFAOYSA-N 0 2 315.333 0.693 20 0 DCADLN CCC(CC)[C@@H](C(=O)NC[C@H](C)C(=O)[O-])[NH+]1CCOCC1 ZINC000655562127 418276089 /nfs/dbraw/zinc/27/60/89/418276089.db2.gz SMKXFDVNGMSSJS-AAEUAGOBSA-N 0 2 300.399 0.960 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](c3ccccc3F)C2=O)[nH]1 ZINC000656831776 418377938 /nfs/dbraw/zinc/37/79/38/418377938.db2.gz IQIKTMKOJUSEMS-GFCCVEGCSA-N 0 2 319.296 0.883 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCOC2CCCC2)[nH]1 ZINC000651527322 418387406 /nfs/dbraw/zinc/38/74/06/418387406.db2.gz KVRGCAXKHSCDPM-UHFFFAOYSA-N 0 2 309.370 0.295 20 0 DCADLN C[C@@H]1COc2ccccc2C[N@@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662212156 418388748 /nfs/dbraw/zinc/38/87/48/418388748.db2.gz VMZCFIMAAGSAKR-YPMHNXCESA-N 0 2 304.346 0.955 20 0 DCADLN C[C@@H]1COc2ccccc2C[N@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662212156 418388750 /nfs/dbraw/zinc/38/87/50/418388750.db2.gz VMZCFIMAAGSAKR-YPMHNXCESA-N 0 2 304.346 0.955 20 0 DCADLN CC[N@H+](CC(=O)N1CC(=O)Nc2ccccc21)[C@H](C)C(=O)[O-] ZINC000662218134 418390432 /nfs/dbraw/zinc/39/04/32/418390432.db2.gz VHQJIWQTIAWDFQ-SNVBAGLBSA-N 0 2 305.334 0.767 20 0 DCADLN CC[N@@H+](CC(=O)N1CC(=O)Nc2ccccc21)[C@H](C)C(=O)[O-] ZINC000662218134 418390433 /nfs/dbraw/zinc/39/04/33/418390433.db2.gz VHQJIWQTIAWDFQ-SNVBAGLBSA-N 0 2 305.334 0.767 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@@H]([C@@H]3CCOC3)C2)[nH]1 ZINC000651633244 418399064 /nfs/dbraw/zinc/39/90/64/418399064.db2.gz JLNDERYATVECKG-VXGBXAGGSA-N 0 2 321.381 0.104 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@]2(C)CCCC[C@H]2O)[nH]1 ZINC000651599682 418396088 /nfs/dbraw/zinc/39/60/88/418396088.db2.gz YJVFIFPYFCXCBD-IAQYHMDHSA-N 0 2 323.397 0.277 20 0 DCADLN CCOC[C@@H](NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C(C)C ZINC000651746041 418408191 /nfs/dbraw/zinc/40/81/91/418408191.db2.gz DZSLUEPFLQXWNX-LLVKDONJSA-N 0 2 311.386 0.397 20 0 DCADLN CC[C@]1(CO)CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651683325 418403123 /nfs/dbraw/zinc/40/31/23/418403123.db2.gz XZSTXLUAMWRWIW-HNNXBMFYSA-N 0 2 323.397 0.231 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)Nc2ccc3c(c2)CCO3)[nH]1 ZINC000651694670 418404155 /nfs/dbraw/zinc/40/41/55/418404155.db2.gz MXGSIOYYSGWIFY-UHFFFAOYSA-N 0 2 315.333 0.793 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)NCC[NH+]1CCCCC1 ZINC000106512007 261108165 /nfs/dbraw/zinc/10/81/65/261108165.db2.gz POFUPKYVCROEAD-OAHLLOKOSA-N 0 2 311.474 0.407 20 0 DCADLN O=C(N[C@H]1CC[S@@](=O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354512179 261230431 /nfs/dbraw/zinc/23/04/31/261230431.db2.gz MEVRBFXREINROV-MLMJSJRWSA-N 0 2 323.349 0.968 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC1(C(N)=O)CCCC1)c2=O ZINC000355603770 261351709 /nfs/dbraw/zinc/35/17/09/261351709.db2.gz IGJIVVIFPXFTKH-UHFFFAOYSA-N 0 2 317.349 0.449 20 0 DCADLN C[C@H]1Sc2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2NC1=O ZINC000358354346 261660442 /nfs/dbraw/zinc/66/04/42/261660442.db2.gz JYXGQZUOWNXYEI-ZCFIWIBFSA-N 0 2 319.346 0.873 20 0 DCADLN O=C(NC[C@H]1COCCO1)C1=NN(c2ccccc2)CC1=O ZINC000362640854 262054854 /nfs/dbraw/zinc/05/48/54/262054854.db2.gz CMCHLVKZYNDBFV-LBPRGKRZSA-N 0 2 303.318 0.723 20 0 DCADLN NC(=O)[C@H]1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000362723652 262065578 /nfs/dbraw/zinc/06/55/78/262065578.db2.gz LJJYZFZKFWPLLV-JTQLQIEISA-N 0 2 300.318 0.525 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(Br)ccc1O ZINC000392675679 262161493 /nfs/dbraw/zinc/16/14/93/262161493.db2.gz BNGRVCCADDQLPV-UHFFFAOYSA-N 0 2 313.111 0.908 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N3C[C@H](O)[C@@H](CO)C3)c[nH]c12 ZINC000412423175 262188399 /nfs/dbraw/zinc/18/83/99/262188399.db2.gz SQDSAYCRQDHVQL-MFKMUULPSA-N 0 2 302.330 0.262 20 0 DCADLN CCC[C@H](O)[C@H](CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000412525695 262190552 /nfs/dbraw/zinc/19/05/52/262190552.db2.gz RLARHMLDLNKTLT-STQMWFEESA-N 0 2 319.361 0.830 20 0 DCADLN CC(C)NC(=O)[C@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000412861688 262195808 /nfs/dbraw/zinc/19/58/08/262195808.db2.gz YNYZCEQJTJYSIK-QMMMGPOBSA-N 0 2 305.338 0.317 20 0 DCADLN Cc1nscc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000357108693 271043226 /nfs/dbraw/zinc/04/32/26/271043226.db2.gz IRDDLIYNXXQXAH-UHFFFAOYSA-N 0 2 319.393 0.927 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccnc(-n2cccn2)c1 ZINC000359530448 271123480 /nfs/dbraw/zinc/12/34/80/271123480.db2.gz YHVBDUVLHLJVDR-UHFFFAOYSA-N 0 2 313.321 0.454 20 0 DCADLN COC(=O)NCCC(=O)NS(=O)(=O)c1cc(C)sc1C ZINC000491274215 272093523 /nfs/dbraw/zinc/09/35/23/272093523.db2.gz SVLWFDSNDVYGAG-UHFFFAOYSA-N 0 2 320.392 0.916 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)c1ccccn1 ZINC000492434220 272129535 /nfs/dbraw/zinc/12/95/35/272129535.db2.gz CXUZAHFYFACTJE-VOTSOKGWSA-N 0 2 306.347 0.816 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)N1CCCCC1 ZINC000492550251 272134425 /nfs/dbraw/zinc/13/44/25/272134425.db2.gz MJNAXWMMLMMREO-VOTSOKGWSA-N 0 2 312.395 0.763 20 0 DCADLN CCn1cc(S(=O)(=O)[N-]C(=O)/C=C/c2[nH+]ccn2CC)cn1 ZINC000492556123 272135233 /nfs/dbraw/zinc/13/52/33/272135233.db2.gz YCXWEZLHVXPHCL-AATRIKPKSA-N 0 2 323.378 0.638 20 0 DCADLN Cc1ccc(/C=C/C(=O)NS(=O)(=O)c2cnn(C)c2)cn1 ZINC000492739231 272146297 /nfs/dbraw/zinc/14/62/97/272146297.db2.gz RXBPCFSKRIKSHQ-AATRIKPKSA-N 0 2 306.347 0.642 20 0 DCADLN CNC(=O)c1ccc(/C=C/C(=O)N2CC[N@H+](C)C[C@@H]2C[NH3+])cc1 ZINC000493185557 272173950 /nfs/dbraw/zinc/17/39/50/272173950.db2.gz JADFTIGJTZYVQD-PXTSUWAFSA-N 0 2 316.405 0.161 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/c2ccc(C)cn2)cnn1C ZINC000493267117 272179102 /nfs/dbraw/zinc/17/91/02/272179102.db2.gz YMPWDFGBQIMILF-VOTSOKGWSA-N 0 2 320.374 0.950 20 0 DCADLN O=C(CCN1CCOC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000495689664 272266273 /nfs/dbraw/zinc/26/62/73/272266273.db2.gz ZIPTWRBJNUGPRW-UHFFFAOYSA-N 0 2 317.305 0.958 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)COC(F)F)s1 ZINC000507492243 272395834 /nfs/dbraw/zinc/39/58/34/272395834.db2.gz OOTHAVMACRDQTG-UHFFFAOYSA-N 0 2 302.284 0.087 20 0 DCADLN COCCc1nsc(N[C@H]2CCC[C@@H](S(C)(=O)=O)C2)n1 ZINC000530696665 287754293 /nfs/dbraw/zinc/75/42/93/287754293.db2.gz KNQXAMAKKJGIIH-VHSXEESVSA-N 0 2 319.452 0.917 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2cnn(CC)c2)[nH]n1 ZINC000545889065 288004375 /nfs/dbraw/zinc/00/43/75/288004375.db2.gz MDVJIVZGGBFCIS-UHFFFAOYSA-N 0 2 313.339 0.604 20 0 DCADLN O=C(c1cc(O)cc([N+](=O)[O-])c1)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000547485432 288051778 /nfs/dbraw/zinc/05/17/78/288051778.db2.gz AWIXUTSXLULQMU-MRVPVSSYSA-N 0 2 318.293 0.833 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000547644930 288064392 /nfs/dbraw/zinc/06/43/92/288064392.db2.gz XKIZNYRICHIJDU-NSHDSACASA-N 0 2 321.358 0.875 20 0 DCADLN Cn1cc2c(n1)CCC[C@H]2NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000554828319 288339022 /nfs/dbraw/zinc/33/90/22/288339022.db2.gz NMVDTCJAWGUIDL-MRVPVSSYSA-N 0 2 308.367 0.530 20 0 DCADLN CN1CCO[C@@H]2CN(Cc3nc(=O)c4sccc4[nH]3)C[C@@H]21 ZINC000154365147 290097450 /nfs/dbraw/zinc/09/74/50/290097450.db2.gz SQZXLDMOMXOLHW-WDEREUQCSA-N 0 2 306.391 0.499 20 0 DCADLN Cc1n[nH]c(NC(=O)CN2c3ccccc3O[C@@H](C)C2=O)n1 ZINC000103255294 293313245 /nfs/dbraw/zinc/31/32/45/293313245.db2.gz PSGKWLPWGZKLMW-QMMMGPOBSA-N 0 2 301.306 0.866 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H]1C ZINC000267627821 297067897 /nfs/dbraw/zinc/06/78/97/297067897.db2.gz MYUZBGXUZBEYST-HTQZYQBOSA-N 0 2 324.362 0.713 20 0 DCADLN C[C@@]1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC=CCC1 ZINC001652701019 1173774638 /nfs/dbraw/zinc/77/46/38/1173774638.db2.gz VTDQIMSTXZBROW-CYBMUJFWSA-N 0 2 312.395 0.712 20 0 DCADLN NS(=O)(=O)c1ccccc1S(=O)(=O)Nc1cccnc1 ZINC000580265549 333045142 /nfs/dbraw/zinc/04/51/42/333045142.db2.gz FTHWLWJAWWUQQS-UHFFFAOYSA-N 0 2 313.360 0.530 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000577061458 341882420 /nfs/dbraw/zinc/88/24/20/341882420.db2.gz PTTQRMCHNQTWCG-GXTWGEPZSA-N 0 2 306.362 0.897 20 0 DCADLN CC(C)(CNC(=O)C1=NN(c2ccccc2)CC1=O)C(N)=O ZINC000162950872 519266743 /nfs/dbraw/zinc/26/67/43/519266743.db2.gz RLSXXJVSGQHKIR-UHFFFAOYSA-N 0 2 302.334 0.819 20 0 DCADLN Cc1ccccc1S(=O)(=O)Nc1ccn(CCC(N)=O)n1 ZINC000117740417 525334763 /nfs/dbraw/zinc/33/47/63/525334763.db2.gz ZXQYKIJHAVINPH-UHFFFAOYSA-N 0 2 308.363 0.868 20 0 DCADLN CCCCc1cc(NS(=O)(=O)[C@H](C)C(=O)N(C)C)n[nH]1 ZINC000285138878 535081219 /nfs/dbraw/zinc/08/12/19/535081219.db2.gz JLRSUJXEJKPGST-SECBINFHSA-N 0 2 302.400 0.971 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCOC[C@@H](O)C2)c1 ZINC000424870853 536921566 /nfs/dbraw/zinc/92/15/66/536921566.db2.gz VKWMQUVUNNRTJE-WIUDPPPLSA-N 0 2 313.375 0.023 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCCOCCO)c1 ZINC000424760877 536923463 /nfs/dbraw/zinc/92/34/63/536923463.db2.gz MFZWJHYSPLGPDC-OAQYLSRUSA-N 0 2 315.391 0.318 20 0 DCADLN CN1CC[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)CC1=O ZINC000194203341 545931964 /nfs/dbraw/zinc/93/19/64/545931964.db2.gz DHUIUCJAMNHCJA-NSHDSACASA-N 0 2 314.345 0.929 20 0 DCADLN CCCN1C[C@@H](C(=O)N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)CC1=O ZINC000333470419 546082349 /nfs/dbraw/zinc/08/23/49/546082349.db2.gz DFLFVQABINFSRB-QWRGUYRKSA-N 0 2 321.381 0.822 20 0 DCADLN COCCOc1ccccc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000354335962 546177399 /nfs/dbraw/zinc/17/73/99/546177399.db2.gz VODPRZHHKIYODR-UHFFFAOYSA-N 0 2 307.310 0.857 20 0 DCADLN COCc1nnc(CNC(=O)N2CCc3ccc(O)cc3C2)[nH]1 ZINC000666121344 546428587 /nfs/dbraw/zinc/42/85/87/546428587.db2.gz HFIQGOMKHJDXGB-UHFFFAOYSA-N 0 2 317.349 0.925 20 0 DCADLN CCNc1nc(N2CCO[C@H](C(=O)[O-])C2)nc(NC(C)(C)C)[nH+]1 ZINC000668130481 546697827 /nfs/dbraw/zinc/69/78/27/546697827.db2.gz RFHMIZJTHBBWOG-VIFPVBQESA-N 0 2 324.385 0.226 20 0 DCADLN CCNc1nc(NC(C)(C)C)[nH+]c(N2CCO[C@H](C(=O)[O-])C2)n1 ZINC000668130481 546697836 /nfs/dbraw/zinc/69/78/36/546697836.db2.gz RFHMIZJTHBBWOG-VIFPVBQESA-N 0 2 324.385 0.226 20 0 DCADLN O=C1CC[C@H]([NH+]2CCN(Cc3c[nH+]c4n3CCC4)CC2)CCN1 ZINC000668225933 546711842 /nfs/dbraw/zinc/71/18/42/546711842.db2.gz ZNLWMMRFJSSVKW-AWEZNQCLSA-N 0 2 317.437 0.616 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ccc(-n2cncn2)cn1 ZINC000671668804 547280877 /nfs/dbraw/zinc/28/08/77/547280877.db2.gz ONZCITVSYLBSPX-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN O=C(N[C@H](c1nn[nH]n1)c1ccccc1)C(=O)c1ccc(O)cc1 ZINC000672770964 547406230 /nfs/dbraw/zinc/40/62/30/547406230.db2.gz UVEULVIZGKCXGY-ZDUSSCGKSA-N 0 2 323.312 0.994 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)C(=O)NCC2 ZINC000672904775 547420957 /nfs/dbraw/zinc/42/09/57/547420957.db2.gz ZYKBTQIMKHMEKK-UHFFFAOYSA-N 0 2 319.346 0.527 20 0 DCADLN O=C(NCc1nn[nH]n1)[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000673837565 547527895 /nfs/dbraw/zinc/52/78/95/547527895.db2.gz JAVOCTBDHYBUEL-LBPRGKRZSA-N 0 2 304.329 0.620 20 0 DCADLN CSc1ncc(Cl)c(C(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC000673948553 547545823 /nfs/dbraw/zinc/54/58/23/547545823.db2.gz AXCGMQNPNWIFJI-UHFFFAOYSA-N 0 2 300.731 0.606 20 0 DCADLN Cc1nnc(-c2cccc(C(=O)NCc3n[nH]c(=O)[nH]3)c2)o1 ZINC000674719030 547619698 /nfs/dbraw/zinc/61/96/98/547619698.db2.gz OLGYSHURTHRNMD-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N[C@@H](C)c1ccccc1OC ZINC000676230369 547747014 /nfs/dbraw/zinc/74/70/14/547747014.db2.gz BYROFGWILYBBOR-LBPRGKRZSA-N 0 2 324.377 0.905 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@H](C)c1ccccc1OC ZINC000676230369 547747016 /nfs/dbraw/zinc/74/70/16/547747016.db2.gz BYROFGWILYBBOR-LBPRGKRZSA-N 0 2 324.377 0.905 20 0 DCADLN C[C@H](C(=O)NCc1ccc(F)cc1)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676232261 547748186 /nfs/dbraw/zinc/74/81/86/547748186.db2.gz ZASZTQAYHBJZFZ-ZWNOBZJWSA-N 0 2 310.325 0.616 20 0 DCADLN C[C@H](C(=O)NCc1ccc(F)cc1)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676232261 547748188 /nfs/dbraw/zinc/74/81/88/547748188.db2.gz ZASZTQAYHBJZFZ-ZWNOBZJWSA-N 0 2 310.325 0.616 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCNC(=O)[C@@H]2c2ccccc2)c1O ZINC000676697321 547805304 /nfs/dbraw/zinc/80/53/04/547805304.db2.gz UVUJWASNVTZQIP-LBPRGKRZSA-N 0 2 300.318 0.737 20 0 DCADLN Cc1[nH]nc(C(=O)Nc2ccccc2OCCC(N)=O)c1O ZINC000676706742 547806451 /nfs/dbraw/zinc/80/64/51/547806451.db2.gz IQXVUSDAXZISQA-UHFFFAOYSA-N 0 2 304.306 0.930 20 0 DCADLN COCC(=O)N(C)CC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000677532619 547885133 /nfs/dbraw/zinc/88/51/33/547885133.db2.gz HHKXNPHPOLFXAX-UHFFFAOYSA-N 0 2 320.305 0.073 20 0 DCADLN CCN(CC)c1ccc(CNC(=O)c2n[nH]c(=O)[n-]c2=O)c[nH+]1 ZINC000677948650 547926582 /nfs/dbraw/zinc/92/65/82/547926582.db2.gz YWDIZMRCCPTOPU-UHFFFAOYSA-N 0 2 318.337 0.454 20 0 DCADLN Cc1[nH]nc(C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)c1O ZINC000678408687 547973299 /nfs/dbraw/zinc/97/32/99/547973299.db2.gz OCTWAOVGCCNWIC-UHFFFAOYSA-N 0 2 314.305 0.560 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc3c(c2)COC3)[nH]n1 ZINC000679204479 548033520 /nfs/dbraw/zinc/03/35/20/548033520.db2.gz HUJFCLDBJQSVIP-UHFFFAOYSA-N 0 2 308.319 0.340 20 0 DCADLN Cn1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)c(C(F)(F)F)n1 ZINC000682102346 548350129 /nfs/dbraw/zinc/35/01/29/548350129.db2.gz FUOBWXBUBPFWGK-UHFFFAOYSA-N 0 2 305.220 0.584 20 0 DCADLN CCOCCOC[C@H](O)CNC(=O)c1c(O)cc(F)cc1F ZINC000682993252 548446188 /nfs/dbraw/zinc/44/61/88/548446188.db2.gz SXODFNJAISUJDP-SNVBAGLBSA-N 0 2 319.304 0.814 20 0 DCADLN CS(=O)(=O)c1ccc2ncnc(NCc3n[nH]c(=O)[nH]3)c2c1 ZINC000683391643 548479173 /nfs/dbraw/zinc/47/91/73/548479173.db2.gz OCUNXFHHOGKBCL-UHFFFAOYSA-N 0 2 320.334 0.469 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[N@H+](C3CCOCC3)C[C@@H]2C)c1[O-] ZINC000683882895 548530549 /nfs/dbraw/zinc/53/05/49/548530549.db2.gz AFKMCUSQBDIAII-JTQLQIEISA-N 0 2 308.382 0.749 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[N@@H+](C3CCOCC3)C[C@@H]2C)c1[O-] ZINC000683882895 548530551 /nfs/dbraw/zinc/53/05/51/548530551.db2.gz AFKMCUSQBDIAII-JTQLQIEISA-N 0 2 308.382 0.749 20 0 DCADLN Cc1cc(-n2c(C)cn(C[NH+]3CCC(C(=O)[O-])CC3)c2=O)no1 ZINC000740055494 596914305 /nfs/dbraw/zinc/91/43/05/596914305.db2.gz SGMJZDSCMULGOQ-UHFFFAOYSA-N 0 2 320.349 0.998 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CCC[C@H](CC(=O)[O-])C2)CCO1 ZINC000818113539 597122786 /nfs/dbraw/zinc/12/27/86/597122786.db2.gz QAZYIMJYYKBUQU-QWHCGFSZSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CCC[C@H](CC(=O)[O-])C2)CCO1 ZINC000818113539 597122789 /nfs/dbraw/zinc/12/27/89/597122789.db2.gz QAZYIMJYYKBUQU-QWHCGFSZSA-N 0 2 313.398 0.603 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)[N@H+](C)CC(=O)[O-])C1 ZINC000820364706 598042098 /nfs/dbraw/zinc/04/20/98/598042098.db2.gz SKAGKASSRGRAGA-QWRGUYRKSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)[N@@H+](C)CC(=O)[O-])C1 ZINC000820364706 598042100 /nfs/dbraw/zinc/04/21/00/598042100.db2.gz SKAGKASSRGRAGA-QWRGUYRKSA-N 0 2 300.355 0.193 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)NCCCCC(=O)[O-])ccc2[nH+]1 ZINC000821136271 598172008 /nfs/dbraw/zinc/17/20/08/598172008.db2.gz QAJDFSDDQXFICE-UHFFFAOYSA-N 0 2 318.333 0.952 20 0 DCADLN Cc1ccn(CC(=O)N(C)c2ccccc2)c(=O)c1-c1nn[nH]n1 ZINC000822369544 607349691 /nfs/dbraw/zinc/34/96/91/607349691.db2.gz JZPXYSJNXMPVJQ-UHFFFAOYSA-N 0 2 324.344 1.000 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NC2(C)CCCC2)n(C)c1=O ZINC000737596408 598815811 /nfs/dbraw/zinc/81/58/11/598815811.db2.gz NGPBXKGUTBTTRP-UHFFFAOYSA-N 0 2 305.342 0.009 20 0 DCADLN CCSCCn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC000736668020 599339775 /nfs/dbraw/zinc/33/97/75/599339775.db2.gz WHWLEIATUGYKKQ-UHFFFAOYSA-N 0 2 309.351 0.568 20 0 DCADLN COCCS(=O)(=O)Nc1cc(-c2nn[nH]n2)ccc1OC ZINC000737015668 599560297 /nfs/dbraw/zinc/56/02/97/599560297.db2.gz ZDOYWWYTFPKOLP-UHFFFAOYSA-N 0 2 313.339 0.263 20 0 DCADLN O=C([O-])CC1CC[NH+]([C@@H]2CC(=O)N(c3cnccn3)C2=O)CC1 ZINC000739636855 599781817 /nfs/dbraw/zinc/78/18/17/599781817.db2.gz KFKSGGQOXUUJHA-LLVKDONJSA-N 0 2 318.333 0.295 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000736823555 599804672 /nfs/dbraw/zinc/80/46/72/599804672.db2.gz XNCYZXMMGRRWBB-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000736823555 599804674 /nfs/dbraw/zinc/80/46/74/599804674.db2.gz XNCYZXMMGRRWBB-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN O=C([O-])N1CCC(Nc2cc(N3CCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000738637454 599914398 /nfs/dbraw/zinc/91/43/98/599914398.db2.gz BLSRTPWDVJEROR-GFCCVEGCSA-N 0 2 321.381 0.992 20 0 DCADLN O=C([O-])N1CCC(Nc2cc(N3CCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000738637454 599914400 /nfs/dbraw/zinc/91/44/00/599914400.db2.gz BLSRTPWDVJEROR-GFCCVEGCSA-N 0 2 321.381 0.992 20 0 DCADLN Cc1cccc(C)c1OCC[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000738688936 599983338 /nfs/dbraw/zinc/98/33/38/599983338.db2.gz MKXURLDVIZTYIR-ZDUSSCGKSA-N 0 2 306.362 0.957 20 0 DCADLN Cc1cccc(C)c1OCC[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000738688936 599983339 /nfs/dbraw/zinc/98/33/39/599983339.db2.gz MKXURLDVIZTYIR-ZDUSSCGKSA-N 0 2 306.362 0.957 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000739544115 600062049 /nfs/dbraw/zinc/06/20/49/600062049.db2.gz FXTYKZBQXZBSDU-LSDHHAIUSA-N 0 2 318.373 0.930 20 0 DCADLN O=C([O-])c1ccc2c(c1)CN(C[C@H](O)C[NH+]1CCOCC1)C2 ZINC000320212700 600110081 /nfs/dbraw/zinc/11/00/81/600110081.db2.gz RXJNQDLEIBIYHP-OAHLLOKOSA-N 0 2 306.362 0.394 20 0 DCADLN Cc1csc([C@@H]2C[N@H+](CCC(=O)NCC(=O)[O-])CCO2)n1 ZINC000738815881 600295880 /nfs/dbraw/zinc/29/58/80/600295880.db2.gz BEKSQRYLXJPLQL-JTQLQIEISA-N 0 2 313.379 0.416 20 0 DCADLN Cc1csc([C@@H]2C[N@@H+](CCC(=O)NCC(=O)[O-])CCO2)n1 ZINC000738815881 600295881 /nfs/dbraw/zinc/29/58/81/600295881.db2.gz BEKSQRYLXJPLQL-JTQLQIEISA-N 0 2 313.379 0.416 20 0 DCADLN CN(C)c1nc(N)nc(C[NH+]2CCC3(C[C@H]3C(=O)[O-])CC2)n1 ZINC000737386218 600307725 /nfs/dbraw/zinc/30/77/25/600307725.db2.gz MLKDBOKBBOENGV-VIFPVBQESA-N 0 2 306.370 0.207 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@@H](C(F)(F)F)C1 ZINC000740057164 600311054 /nfs/dbraw/zinc/31/10/54/600311054.db2.gz RRKNQOSTAYKIPG-BBBLOLIVSA-N 0 2 324.299 0.307 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@@H](C(F)(F)F)C1 ZINC000740057164 600311058 /nfs/dbraw/zinc/31/10/58/600311058.db2.gz RRKNQOSTAYKIPG-BBBLOLIVSA-N 0 2 324.299 0.307 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)NCCCC1CCCCC1 ZINC000739292730 600311851 /nfs/dbraw/zinc/31/18/51/600311851.db2.gz CIIQTPPHOQRTNP-KGLIPLIRSA-N 0 2 312.410 0.983 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)NCCCC1CCCCC1 ZINC000739292730 600311854 /nfs/dbraw/zinc/31/18/54/600311854.db2.gz CIIQTPPHOQRTNP-KGLIPLIRSA-N 0 2 312.410 0.983 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)N(C)CC(=O)[O-])C[C@H](C(F)(F)F)O1 ZINC000828438512 600800318 /nfs/dbraw/zinc/80/03/18/600800318.db2.gz DSCFILIVLCZYNY-DTWKUNHWSA-N 0 2 312.288 0.571 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)N(C)CC(=O)[O-])C[C@H](C(F)(F)F)O1 ZINC000828438512 600800320 /nfs/dbraw/zinc/80/03/20/600800320.db2.gz DSCFILIVLCZYNY-DTWKUNHWSA-N 0 2 312.288 0.571 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000820899751 601026525 /nfs/dbraw/zinc/02/65/25/601026525.db2.gz DPZPMWDIIPXFTI-OAQYLSRUSA-N 0 2 310.419 0.881 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)Nc1nncs1)C1CCOCC1 ZINC000833247398 601048075 /nfs/dbraw/zinc/04/80/75/601048075.db2.gz HTTFNRRNBPBEOA-UHFFFAOYSA-N 0 2 300.340 0.042 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)Nc1nncs1)C1CCOCC1 ZINC000833247398 601048077 /nfs/dbraw/zinc/04/80/77/601048077.db2.gz HTTFNRRNBPBEOA-UHFFFAOYSA-N 0 2 300.340 0.042 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCN2CCCC[C@@H]2C1 ZINC000825977964 601170868 /nfs/dbraw/zinc/17/08/68/601170868.db2.gz TYKGVHWRGMMJKU-DZGCQCFKSA-N 0 2 323.437 0.868 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCN2CCCC[C@@H]2C1 ZINC000825977964 601170869 /nfs/dbraw/zinc/17/08/69/601170869.db2.gz TYKGVHWRGMMJKU-DZGCQCFKSA-N 0 2 323.437 0.868 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000036869290 601258726 /nfs/dbraw/zinc/25/87/26/601258726.db2.gz UNNNJZRPDBXWGX-LBPRGKRZSA-N 0 2 312.391 0.856 20 0 DCADLN C[C@@H]1C[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C[C@H]1C(=O)[O-] ZINC000828441322 601508750 /nfs/dbraw/zinc/50/87/50/601508750.db2.gz PBTGQDGXYFVQAB-NXEZZACHSA-N 0 2 323.374 0.661 20 0 DCADLN C[C@@H]1C[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C[C@H]1C(=O)[O-] ZINC000828441322 601508752 /nfs/dbraw/zinc/50/87/52/601508752.db2.gz PBTGQDGXYFVQAB-NXEZZACHSA-N 0 2 323.374 0.661 20 0 DCADLN C[C@@H](NC(=O)NCC(C)(C)C(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000827658739 601673891 /nfs/dbraw/zinc/67/38/91/601673891.db2.gz IVIWMUGLXVAGLJ-GHMZBOCLSA-N 0 2 301.387 0.506 20 0 DCADLN Cc1cccc2c(NCc3n[nH]c(=O)[n-]3)c(-c3nn[nH]n3)c[nH+]c12 ZINC000826304849 607521501 /nfs/dbraw/zinc/52/15/01/607521501.db2.gz AVNFWXGBCSYBKP-UHFFFAOYSA-N 0 2 323.320 0.581 20 0 DCADLN C[C@H](CS(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000827541983 601787042 /nfs/dbraw/zinc/78/70/42/601787042.db2.gz QPUORGNHXWXMGL-MNOVXSKESA-N 0 2 301.368 0.571 20 0 DCADLN CCNC(=O)CN1CC[NH+](Cc2ccsc2C(=O)[O-])CC1 ZINC000829790551 601806756 /nfs/dbraw/zinc/80/67/56/601806756.db2.gz WXVCQMNKEUYMTN-UHFFFAOYSA-N 0 2 311.407 0.700 20 0 DCADLN C[C@H](C(=O)NCC(F)(F)F)[N@@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000826004950 601919406 /nfs/dbraw/zinc/91/94/06/601919406.db2.gz OGVFRVZHWISNIZ-HTQZYQBOSA-N 0 2 314.329 0.946 20 0 DCADLN C[C@H](C(=O)NCC(F)(F)F)[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000826004950 601919409 /nfs/dbraw/zinc/91/94/09/601919409.db2.gz OGVFRVZHWISNIZ-HTQZYQBOSA-N 0 2 314.329 0.946 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)[C@@H](C)CC(=O)[O-])nc[nH+]1 ZINC000831041895 602177236 /nfs/dbraw/zinc/17/72/36/602177236.db2.gz KBNCBXIPDWQFMA-QWRGUYRKSA-N 0 2 321.381 0.714 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)[C@@H](C)CC(=O)[O-])[nH+]cn1 ZINC000831041895 602177240 /nfs/dbraw/zinc/17/72/40/602177240.db2.gz KBNCBXIPDWQFMA-QWRGUYRKSA-N 0 2 321.381 0.714 20 0 DCADLN Cc1cc[nH+]c(N2CCN(Cn3cc(C(=O)[O-])nn3)CC2)c1 ZINC000832984728 602204929 /nfs/dbraw/zinc/20/49/29/602204929.db2.gz ZFJPQELYTOWHKW-UHFFFAOYSA-N 0 2 302.338 0.459 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2Cc3ccccc3O2)[C@@H](CNC(=O)[O-])C1 ZINC000828496176 603512878 /nfs/dbraw/zinc/51/28/78/603512878.db2.gz UZHYFISAGCMNGK-JSGCOSHPSA-N 0 2 319.361 0.400 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2Cc3ccccc3O2)[C@@H](CNC(=O)[O-])C1 ZINC000828496176 603512882 /nfs/dbraw/zinc/51/28/82/603512882.db2.gz UZHYFISAGCMNGK-JSGCOSHPSA-N 0 2 319.361 0.400 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)CC[C@H]1CCOC1 ZINC000828312747 603534533 /nfs/dbraw/zinc/53/45/33/603534533.db2.gz RGQSRMSWIITIKF-ZDUSSCGKSA-N 0 2 313.398 0.557 20 0 DCADLN Cc1cc(N2CC[C@H]([N@@H+]3CCCN(C(=O)[O-])CC3)C2=O)n(C)n1 ZINC000830072703 603564291 /nfs/dbraw/zinc/56/42/91/603564291.db2.gz IRFNWECJPWLBSU-LBPRGKRZSA-N 0 2 321.381 0.520 20 0 DCADLN Cc1cc(N2CC[C@H]([N@H+]3CCCN(C(=O)[O-])CC3)C2=O)n(C)n1 ZINC000830072703 603564293 /nfs/dbraw/zinc/56/42/93/603564293.db2.gz IRFNWECJPWLBSU-LBPRGKRZSA-N 0 2 321.381 0.520 20 0 DCADLN C[C@H]([C@H](C)NC(=O)C(C)(C)CNC(=O)[O-])[NH+]1CCOCC1 ZINC000825068139 603659921 /nfs/dbraw/zinc/65/99/21/603659921.db2.gz YDZQRGATZATNQW-WDEREUQCSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@H]1CCC[N@H+](Cc2cc(=O)n3[nH]ccc3n2)[C@@H]1CNC(=O)[O-] ZINC000825925420 603714910 /nfs/dbraw/zinc/71/49/10/603714910.db2.gz PLKKNVXJOQXGMW-CMPLNLGQSA-N 0 2 319.365 0.891 20 0 DCADLN C[C@H]1CCC[N@@H+](Cc2cc(=O)n3[nH]ccc3n2)[C@@H]1CNC(=O)[O-] ZINC000825925420 603714912 /nfs/dbraw/zinc/71/49/12/603714912.db2.gz PLKKNVXJOQXGMW-CMPLNLGQSA-N 0 2 319.365 0.891 20 0 DCADLN CCN1C(=O)CN(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])C1=O ZINC000827197571 603923281 /nfs/dbraw/zinc/92/32/81/603923281.db2.gz BBOUZMSCXLIYNM-MNOVXSKESA-N 0 2 310.354 0.445 20 0 DCADLN CCN1C(=O)CN(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])C1=O ZINC000827197571 603923284 /nfs/dbraw/zinc/92/32/84/603923284.db2.gz BBOUZMSCXLIYNM-MNOVXSKESA-N 0 2 310.354 0.445 20 0 DCADLN COC(C)(C)CNC(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000828816765 604228643 /nfs/dbraw/zinc/22/86/43/604228643.db2.gz AVCKFSYWPQDLPH-UHFFFAOYSA-N 0 2 316.402 0.348 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H](O)c2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828487664 604395058 /nfs/dbraw/zinc/39/50/58/604395058.db2.gz JMEGYMLLXGSKGQ-STQMWFEESA-N 0 2 307.350 0.130 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H](O)c2ccccc2)[C@@H](CNC(=O)[O-])C1 ZINC000828487664 604395060 /nfs/dbraw/zinc/39/50/60/604395060.db2.gz JMEGYMLLXGSKGQ-STQMWFEESA-N 0 2 307.350 0.130 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])c1cccnc1 ZINC000824589699 604395338 /nfs/dbraw/zinc/39/53/38/604395338.db2.gz GPQKAORWZOWEAC-GXTWGEPZSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])c1cccnc1 ZINC000824589699 604395341 /nfs/dbraw/zinc/39/53/41/604395341.db2.gz GPQKAORWZOWEAC-GXTWGEPZSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])c1cccnc1 ZINC000824589702 604395476 /nfs/dbraw/zinc/39/54/76/604395476.db2.gz GPQKAORWZOWEAC-TZMCWYRMSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])c1cccnc1 ZINC000824589702 604395477 /nfs/dbraw/zinc/39/54/77/604395477.db2.gz GPQKAORWZOWEAC-TZMCWYRMSA-N 0 2 320.393 0.985 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2Cc3ccccc32)[C@H](CNC(=O)[O-])C1 ZINC000828496136 604401694 /nfs/dbraw/zinc/40/16/94/604401694.db2.gz UEURSMCBJGTGOR-TZMCWYRMSA-N 0 2 303.362 0.737 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2Cc3ccccc32)[C@H](CNC(=O)[O-])C1 ZINC000828496136 604401696 /nfs/dbraw/zinc/40/16/96/604401696.db2.gz UEURSMCBJGTGOR-TZMCWYRMSA-N 0 2 303.362 0.737 20 0 DCADLN C[C@H](Oc1cccnc1)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000825616524 604409165 /nfs/dbraw/zinc/40/91/65/604409165.db2.gz FOMXENIPQHCHOH-RYUDHWBXSA-N 0 2 322.365 0.259 20 0 DCADLN C[C@H](Oc1cccnc1)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000825616524 604409166 /nfs/dbraw/zinc/40/91/66/604409166.db2.gz FOMXENIPQHCHOH-RYUDHWBXSA-N 0 2 322.365 0.259 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)Cc1ccc(O)cc1 ZINC000828312486 604412425 /nfs/dbraw/zinc/41/24/25/604412425.db2.gz MYHHUZDLOPESBW-UHFFFAOYSA-N 0 2 321.377 0.689 20 0 DCADLN O=C([O-])[C@]1([NH2+]CC(=O)Nc2nnc(-c3ccco3)o2)CCOC1 ZINC000833764949 604487776 /nfs/dbraw/zinc/48/77/76/604487776.db2.gz QFAYUFXPZQRQSL-ZDUSSCGKSA-N 0 2 322.277 0.101 20 0 DCADLN O=C([O-])[C@@]1([NH2+]CC(=O)Nc2cc(F)ccc2F)CCOC1 ZINC000833764189 604488555 /nfs/dbraw/zinc/48/85/55/604488555.db2.gz AYFGKYWXRQMZMR-CYBMUJFWSA-N 0 2 300.261 0.737 20 0 DCADLN CC(=O)Nc1ccc(C[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000315937390 604517371 /nfs/dbraw/zinc/51/73/71/604517371.db2.gz SFXJWGVSKAXNSX-CYBMUJFWSA-N 0 2 305.334 0.420 20 0 DCADLN CC(=O)Nc1ccc(C[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000315937390 604517375 /nfs/dbraw/zinc/51/73/75/604517375.db2.gz SFXJWGVSKAXNSX-CYBMUJFWSA-N 0 2 305.334 0.420 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC[C@H]1CC(=O)[O-] ZINC000827460922 604529349 /nfs/dbraw/zinc/52/93/49/604529349.db2.gz GROSRFYBRSPWJA-STQMWFEESA-N 0 2 312.414 0.271 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000833631467 604552454 /nfs/dbraw/zinc/55/24/54/604552454.db2.gz OWNLKCVVTDKIJH-VHSXEESVSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000833631467 604552458 /nfs/dbraw/zinc/55/24/58/604552458.db2.gz OWNLKCVVTDKIJH-VHSXEESVSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833630497 604553218 /nfs/dbraw/zinc/55/32/18/604553218.db2.gz INVWWNAJMZMQPY-IUCAKERBSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833630497 604553222 /nfs/dbraw/zinc/55/32/22/604553222.db2.gz INVWWNAJMZMQPY-IUCAKERBSA-N 0 2 302.352 0.691 20 0 DCADLN O=C([O-])N1CC(NC(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000831846356 604620537 /nfs/dbraw/zinc/62/05/37/604620537.db2.gz AXSWQZXUUQDNAZ-UHFFFAOYSA-N 0 2 305.338 0.275 20 0 DCADLN CN(C)c1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000828514516 604632433 /nfs/dbraw/zinc/63/24/33/604632433.db2.gz ZSKZTAZRQBENAT-AWEZNQCLSA-N 0 2 320.393 0.776 20 0 DCADLN CN(C)c1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cc1 ZINC000828514516 604632435 /nfs/dbraw/zinc/63/24/35/604632435.db2.gz ZSKZTAZRQBENAT-AWEZNQCLSA-N 0 2 320.393 0.776 20 0 DCADLN O=C(C[C@H]1CN(C(=O)[O-])CCO1)N[C@H]1CCc2[nH+]ccn2C1 ZINC000831380523 604781277 /nfs/dbraw/zinc/78/12/77/604781277.db2.gz DTKCQAWUWPWZRW-QWRGUYRKSA-N 0 2 308.338 0.083 20 0 DCADLN O=C(C[C@@H]1CN(C(=O)[O-])CCO1)N[C@H]1CCc2[nH+]ccn2C1 ZINC000831380524 604781302 /nfs/dbraw/zinc/78/13/02/604781302.db2.gz DTKCQAWUWPWZRW-WDEREUQCSA-N 0 2 308.338 0.083 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)NCc2cn3c([nH+]2)CCCC3)C1 ZINC000831726228 604817023 /nfs/dbraw/zinc/81/70/23/604817023.db2.gz YWGCIJPPTNJTNY-LLVKDONJSA-N 0 2 308.338 0.214 20 0 DCADLN O=C(CO[C@H]1CCCN(C(=O)[O-])C1)NCCCCn1cc[nH+]c1 ZINC000831512789 605272770 /nfs/dbraw/zinc/27/27/70/605272770.db2.gz ILHPAUJGXHUDBK-ZDUSSCGKSA-N 0 2 324.381 0.939 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000824210092 605290861 /nfs/dbraw/zinc/29/08/61/605290861.db2.gz AVZLWXJWUXGCSA-CHWSQXEVSA-N 0 2 313.398 0.602 20 0 DCADLN Cc1cncc(/C=C\C(=O)NCC[NH+]2CCN(C(=O)[O-])CC2)c1 ZINC000830640041 605429498 /nfs/dbraw/zinc/42/94/98/605429498.db2.gz RYNUIWPJPILXMR-IHWYPQMZSA-N 0 2 318.377 0.815 20 0 DCADLN O=C([O-])N1CC[C@@H]([NH+]2CCN(C(=O)c3cccc(O)c3)CC2)C1 ZINC000834085699 605587376 /nfs/dbraw/zinc/58/73/76/605587376.db2.gz SVHOOOZOLTXGKD-CYBMUJFWSA-N 0 2 319.361 0.902 20 0 DCADLN O=C([O-])N1CC[C@H](CCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000834037636 605759089 /nfs/dbraw/zinc/75/90/89/605759089.db2.gz LVNUQSPZBWYPIK-NWDGAFQWSA-N 0 2 306.366 0.952 20 0 DCADLN Cc1occc1CNC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000833938626 605965933 /nfs/dbraw/zinc/96/59/33/605965933.db2.gz KWCQGYGVURMHNY-GFCCVEGCSA-N 0 2 310.354 0.681 20 0 DCADLN Cc1occc1CNC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000833938626 605965936 /nfs/dbraw/zinc/96/59/36/605965936.db2.gz KWCQGYGVURMHNY-GFCCVEGCSA-N 0 2 310.354 0.681 20 0 DCADLN Cc1noc([C@@H]2CCC[N@H+](CN3C[C@@H](NC(=O)[O-])CC3=O)C2)n1 ZINC000833931420 605994030 /nfs/dbraw/zinc/99/40/30/605994030.db2.gz ATQVNSNGWPZKFW-MNOVXSKESA-N 0 2 323.353 0.383 20 0 DCADLN Cc1noc([C@@H]2CCC[N@@H+](CN3C[C@@H](NC(=O)[O-])CC3=O)C2)n1 ZINC000833931420 605994032 /nfs/dbraw/zinc/99/40/32/605994032.db2.gz ATQVNSNGWPZKFW-MNOVXSKESA-N 0 2 323.353 0.383 20 0 DCADLN O=C([O-])Nc1cnc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cn1 ZINC000834275688 606058726 /nfs/dbraw/zinc/05/87/26/606058726.db2.gz FMJRLUUXVFVKPD-JTQLQIEISA-N 0 2 321.337 0.113 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@H](CNC(=O)[O-])C2CC2)C1 ZINC000833956547 606076789 /nfs/dbraw/zinc/07/67/89/606076789.db2.gz ZSLXHHKHZHNKGA-VXGBXAGGSA-N 0 2 321.381 0.965 20 0 DCADLN C[C@]1(NC(=O)[O-])CCCC[C@@H]1C(=O)NC[C@@H]1C[NH+]2CCN1CC2 ZINC000833824713 606077278 /nfs/dbraw/zinc/07/72/78/606077278.db2.gz HMNAXTGXLNSSFZ-IOASZLSFSA-N 0 2 324.425 0.319 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)C[C@H]2CN(C(=O)[O-])CCO2)C1 ZINC000833955661 606083906 /nfs/dbraw/zinc/08/39/06/606083906.db2.gz TXOUUIHUCADXEA-RYUDHWBXSA-N 0 2 322.365 0.505 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1cccc2c1OCCO2 ZINC000823657880 606177889 /nfs/dbraw/zinc/17/78/89/606177889.db2.gz WFEPLPNJVDEZHQ-UHFFFAOYSA-N 0 2 311.301 0.848 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)cc1S(=O)(=O)Nc1nncs1 ZINC000826280377 607880541 /nfs/dbraw/zinc/88/05/41/607880541.db2.gz ZLUMXUNSKOYXSR-UHFFFAOYSA-N 0 2 323.363 0.827 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1F ZINC000826322735 608057626 /nfs/dbraw/zinc/05/76/26/608057626.db2.gz ZULOOEKYVGPION-UHFFFAOYSA-N 0 2 323.313 0.838 20 0 DCADLN COc1cc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)ccn1 ZINC000826179869 608095171 /nfs/dbraw/zinc/09/51/71/608095171.db2.gz IWXHCKTYADDSGP-UHFFFAOYSA-N 0 2 313.321 0.492 20 0 DCADLN CN(C(=O)c1nc[nH]n1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646604 665406297 /nfs/dbraw/zinc/40/62/97/665406297.db2.gz IKSCWXRQCSVXBH-BQBZGAKWSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)c1nc[nH]n1)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938646604 665406299 /nfs/dbraw/zinc/40/62/99/665406299.db2.gz IKSCWXRQCSVXBH-BQBZGAKWSA-N 0 2 323.250 0.378 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@]1(F)CCOC1 ZINC000998149839 665406701 /nfs/dbraw/zinc/40/67/01/665406701.db2.gz IVWRCOHCOYXHNE-XCBNKYQSSA-N 0 2 316.226 0.342 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@]1(F)CCOC1 ZINC000998149839 665406702 /nfs/dbraw/zinc/40/67/02/665406702.db2.gz IVWRCOHCOYXHNE-XCBNKYQSSA-N 0 2 316.226 0.342 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cnon1 ZINC000978248095 660506222 /nfs/dbraw/zinc/50/62/22/660506222.db2.gz IQXMZJZCCAZHTC-XPUUQOCRSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)C(=O)c1cnon1 ZINC000978248095 660506224 /nfs/dbraw/zinc/50/62/24/660506224.db2.gz IQXMZJZCCAZHTC-XPUUQOCRSA-N 0 2 324.234 0.643 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001027726720 660661236 /nfs/dbraw/zinc/66/12/36/660661236.db2.gz ZWAXTHAUYMMFMN-NSHDSACASA-N 0 2 302.338 0.300 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001027726720 660661239 /nfs/dbraw/zinc/66/12/39/660661239.db2.gz ZWAXTHAUYMMFMN-NSHDSACASA-N 0 2 302.338 0.300 20 0 DCADLN CSC[C@H](NC(=O)NCCCCn1cc[nH+]c1)C(=O)[O-] ZINC000908862913 663772589 /nfs/dbraw/zinc/77/25/89/663772589.db2.gz URAHILAIBFVLNO-JTQLQIEISA-N 0 2 300.384 0.779 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)O[C@@H]1CCN2C(=O)OC[C@H]12 ZINC000893618682 661006824 /nfs/dbraw/zinc/00/68/24/661006824.db2.gz CVJCMAZDVNDEEC-RNFRBKRXSA-N 0 2 324.255 0.580 20 0 DCADLN O=C(CC(F)(F)F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980789979 661012497 /nfs/dbraw/zinc/01/24/97/661012497.db2.gz KCFOBROWPFZBDT-UHFFFAOYSA-N 0 2 307.276 0.497 20 0 DCADLN O=C(CC[C@H]1CCOC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980944278 661050382 /nfs/dbraw/zinc/05/03/82/661050382.db2.gz PRNCFHDKMFHPKF-LBPRGKRZSA-N 0 2 323.397 0.361 20 0 DCADLN Cc1cc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)co1 ZINC000981042276 661066475 /nfs/dbraw/zinc/06/64/75/661066475.db2.gz LFBSRJIPKYUZNJ-UHFFFAOYSA-N 0 2 305.338 0.760 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981040231 661066728 /nfs/dbraw/zinc/06/67/28/661066728.db2.gz ZLZDCNYZUYPTQJ-SNAWJCMRSA-N 0 2 316.365 0.586 20 0 DCADLN CO[C@H]1CCC[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981485641 661159260 /nfs/dbraw/zinc/15/92/60/661159260.db2.gz FZCPSLBKKWCWTJ-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CC(F)(F)CC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981896713 661243111 /nfs/dbraw/zinc/24/31/11/661243111.db2.gz YNNORXHFPJPNEG-UHFFFAOYSA-N 0 2 303.313 0.590 20 0 DCADLN Cc1ccoc1CC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981921516 661245757 /nfs/dbraw/zinc/24/57/57/661245757.db2.gz JLCVWNZMFWHMFO-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN CC(C)n1ccc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000737957224 661255967 /nfs/dbraw/zinc/25/59/67/661255967.db2.gz NCGNOWSLCGKPGN-UHFFFAOYSA-N 0 2 315.337 0.165 20 0 DCADLN Cc1nccnc1C[N@H+]1CCC[C@H]1CNC(=O)c1nnc[nH]1 ZINC001028211327 661279578 /nfs/dbraw/zinc/27/95/78/661279578.db2.gz NCULOWXFLYVHLO-NSHDSACASA-N 0 2 301.354 0.298 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)nc1 ZINC001010383428 661281413 /nfs/dbraw/zinc/28/14/13/661281413.db2.gz ABSGLEZVYNPFDS-VIFPVBQESA-N 0 2 306.301 0.049 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC000998210633 665416010 /nfs/dbraw/zinc/41/60/10/665416010.db2.gz ZQGZOQSGYWVKJW-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccncn1 ZINC000998210633 665416013 /nfs/dbraw/zinc/41/60/13/665416013.db2.gz ZQGZOQSGYWVKJW-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN Cc1ncoc1C[NH2+]C1CC(N(C)C(=O)[C@@H]2C[N@H+](C)CCO2)C1 ZINC000998585370 665484773 /nfs/dbraw/zinc/48/47/73/665484773.db2.gz JMZQOEPHJNODSV-PIMMBPRGSA-N 0 2 322.409 0.393 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)N1CC[C@@H]([NH2+]Cc2nonc2C)C1 ZINC000970801323 657915364 /nfs/dbraw/zinc/91/53/64/657915364.db2.gz XLQCIOXZLNWWKR-HIFRSBDPSA-N 0 2 321.425 0.943 20 0 DCADLN O=C([C@H]1COCC[N@@H+]1C1CCCC1)N1CC[C@@H]([NH2+]CCF)C1 ZINC000969693589 658081241 /nfs/dbraw/zinc/08/12/41/658081241.db2.gz ZCCKBUJCUQUWKG-UKRRQHHQSA-N 0 2 313.417 0.790 20 0 DCADLN C[C@H](NC(=O)C1C=CC=CC=C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969762869 658126563 /nfs/dbraw/zinc/12/65/63/658126563.db2.gz JZUILYQRSFOAAE-NSHDSACASA-N 0 2 315.377 0.745 20 0 DCADLN C[N@H+](CCn1cccn1)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC000972040223 658208757 /nfs/dbraw/zinc/20/87/57/658208757.db2.gz NOZJWLLBXBLPOK-HNNXBMFYSA-N 0 2 316.409 0.703 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H](C)C2C[NH+](Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC000969973808 658310580 /nfs/dbraw/zinc/31/05/80/658310580.db2.gz OSCKXNOVMJRFFA-JTQLQIEISA-N 0 2 318.381 0.706 20 0 DCADLN C[C@H](O)C[N@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]cc2ncnc1-2 ZINC001024452582 658624790 /nfs/dbraw/zinc/62/47/90/658624790.db2.gz NNKIKLKCCAWYEJ-NWDGAFQWSA-N 0 2 317.393 0.923 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccsn1 ZINC001024504007 658668790 /nfs/dbraw/zinc/66/87/90/658668790.db2.gz GBFLVZAWQBFILJ-VIFPVBQESA-N 0 2 322.394 0.751 20 0 DCADLN CCN(C(=O)c1ccncc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949826476 658713143 /nfs/dbraw/zinc/71/31/43/658713143.db2.gz NUZNROLNLFOREC-UHFFFAOYSA-N 0 2 320.328 0.391 20 0 DCADLN CCN(C(=O)c1oc(C)nc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949835793 658741584 /nfs/dbraw/zinc/74/15/84/658741584.db2.gz CJICNARRHFQVCH-UHFFFAOYSA-N 0 2 320.353 0.462 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@H]([NH2+]Cc2ccnn2C)C1 ZINC000970227946 658757561 /nfs/dbraw/zinc/75/75/61/658757561.db2.gz OMQZSGMWXTVDSI-CHWSQXEVSA-N 0 2 316.409 0.713 20 0 DCADLN Cn1nccc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000939670254 665621228 /nfs/dbraw/zinc/62/12/28/665621228.db2.gz OLEYARUSQHSMGW-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000939670254 665621229 /nfs/dbraw/zinc/62/12/29/665621229.db2.gz OLEYARUSQHSMGW-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C=C1CCC1 ZINC000973023613 658950180 /nfs/dbraw/zinc/95/01/80/658950180.db2.gz YMRXXJIJYRWIBZ-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C=C1CCC1 ZINC000973023613 658950184 /nfs/dbraw/zinc/95/01/84/658950184.db2.gz YMRXXJIJYRWIBZ-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCCC1 ZINC000973030228 658953417 /nfs/dbraw/zinc/95/34/17/658953417.db2.gz CTQIIQXLJBULAG-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=CCCC1 ZINC000973030228 658953423 /nfs/dbraw/zinc/95/34/23/658953423.db2.gz CTQIIQXLJBULAG-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN Cn1cc(C[NH2+]C[C@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)cn1 ZINC001025101253 659038436 /nfs/dbraw/zinc/03/84/36/659038436.db2.gz WIPLAMURPIICHX-OAHLLOKOSA-N 0 2 316.409 0.857 20 0 DCADLN CO[C@H]1CCC[C@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949935630 659283572 /nfs/dbraw/zinc/28/35/72/659283572.db2.gz TUCOPJABSNWVRN-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1noc([C@H](C)[NH2+]C2CCC(NC(=O)c3cnn[nH]3)CC2)n1 ZINC001026520997 659379485 /nfs/dbraw/zinc/37/94/85/659379485.db2.gz RKENCXCNMNBAJJ-PUSIOWJLSA-N 0 2 319.369 0.888 20 0 DCADLN COC(=O)c1ccc(CS(=O)(=O)NCC(F)(F)CN)cc1 ZINC000162637076 659420230 /nfs/dbraw/zinc/42/02/30/659420230.db2.gz XOPKPVRFDJPYFP-UHFFFAOYSA-N 0 2 322.333 0.487 20 0 DCADLN Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000940025180 665685583 /nfs/dbraw/zinc/68/55/83/665685583.db2.gz CLKRASZHMDPPAX-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC000940025180 665685584 /nfs/dbraw/zinc/68/55/84/665685584.db2.gz CLKRASZHMDPPAX-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cc1nnc([C@@H](C)N2C[C@@H](NC(=O)c3cnn[nH]3)C(C)(C)C2)[nH]1 ZINC000974788973 659670987 /nfs/dbraw/zinc/67/09/87/659670987.db2.gz XOJUIQCVVAJKSA-LDYMZIIASA-N 0 2 318.385 0.433 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000977412791 659686753 /nfs/dbraw/zinc/68/67/53/659686753.db2.gz ZSJUAWBIBNWMJZ-SECBINFHSA-N 0 2 320.353 0.447 20 0 DCADLN O=C(CCc1cn[nH]n1)N1CC[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001027074294 659786737 /nfs/dbraw/zinc/78/67/37/659786737.db2.gz WPEPQBSSBPKYMJ-JTQLQIEISA-N 0 2 321.410 0.227 20 0 DCADLN O=C(CCc1c[nH]nn1)N1CC[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001027074294 659786739 /nfs/dbraw/zinc/78/67/39/659786739.db2.gz WPEPQBSSBPKYMJ-JTQLQIEISA-N 0 2 321.410 0.227 20 0 DCADLN O=C([O-])CNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000312003469 659808958 /nfs/dbraw/zinc/80/89/58/659808958.db2.gz HHUCSYKEVXWVMO-ZDUSSCGKSA-N 0 2 307.350 0.271 20 0 DCADLN O=C([O-])CNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000312003469 659808967 /nfs/dbraw/zinc/80/89/67/659808967.db2.gz HHUCSYKEVXWVMO-ZDUSSCGKSA-N 0 2 307.350 0.271 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000940317759 665738354 /nfs/dbraw/zinc/73/83/54/665738354.db2.gz WGQIXAVOYLVPSP-RQJHMYQMSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000940317759 665738356 /nfs/dbraw/zinc/73/83/56/665738356.db2.gz WGQIXAVOYLVPSP-RQJHMYQMSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000982851458 661700565 /nfs/dbraw/zinc/70/05/65/661700565.db2.gz ZFXJKIJWOXAPAW-XPUUQOCRSA-N 0 2 324.234 0.548 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC000982851458 661700567 /nfs/dbraw/zinc/70/05/67/661700567.db2.gz ZFXJKIJWOXAPAW-XPUUQOCRSA-N 0 2 324.234 0.548 20 0 DCADLN CN(CCC(=O)OC(C)(C)C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000758847035 668197852 /nfs/dbraw/zinc/19/78/52/668197852.db2.gz CDKASALTDNJDSM-MRVPVSSYSA-N 0 2 315.395 0.733 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)O1 ZINC001000322951 665819497 /nfs/dbraw/zinc/81/94/97/665819497.db2.gz RPSUPEAASSUGFK-FTLITQJKSA-N 0 2 312.263 0.781 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)O1 ZINC001000322951 665819501 /nfs/dbraw/zinc/81/95/01/665819501.db2.gz RPSUPEAASSUGFK-FTLITQJKSA-N 0 2 312.263 0.781 20 0 DCADLN CC(C)C(=O)N1CCC(C(=O)NNC(=O)c2ccccn2)CC1 ZINC000025091902 662010220 /nfs/dbraw/zinc/01/02/20/662010220.db2.gz PMMCXWCUTREEOZ-UHFFFAOYSA-N 0 2 318.377 0.737 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001000331966 665824817 /nfs/dbraw/zinc/82/48/17/665824817.db2.gz PUMPCQXSRVXQMC-IMSYWVGJSA-N 0 2 324.274 0.781 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001000331966 665824818 /nfs/dbraw/zinc/82/48/18/665824818.db2.gz PUMPCQXSRVXQMC-IMSYWVGJSA-N 0 2 324.274 0.781 20 0 DCADLN Cc1occc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029205305 662049727 /nfs/dbraw/zinc/04/97/27/662049727.db2.gz JKKDYLHDYDDRDX-PHIMTYICSA-N 0 2 317.349 0.901 20 0 DCADLN O=C([C@@H]1CCCOC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029237815 662063249 /nfs/dbraw/zinc/06/32/49/662063249.db2.gz GPXPMIIVDATJLV-UTUOFQBUSA-N 0 2 321.381 0.112 20 0 DCADLN O=C([C@H]1CCCCO1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029272090 662074596 /nfs/dbraw/zinc/07/45/96/662074596.db2.gz DKLHSTOJWBELTM-GRYCIOLGSA-N 0 2 321.381 0.255 20 0 DCADLN Cc1c[nH]nc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029302069 662096419 /nfs/dbraw/zinc/09/64/19/662096419.db2.gz QQEAGJLTXCBZCT-AOOOYVTPSA-N 0 2 317.353 0.031 20 0 DCADLN O=C([C@H]1C[C@H]2C[C@H]2C1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029434922 662179317 /nfs/dbraw/zinc/17/93/17/662179317.db2.gz BDGGVHWBFXKZBG-PNWMVKDVSA-N 0 2 317.393 0.732 20 0 DCADLN O=C(c1nccs1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029522443 662352670 /nfs/dbraw/zinc/35/26/70/662352670.db2.gz IKPKZKGNWYGOPT-DTORHVGOSA-N 0 2 320.378 0.456 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001007010114 662357224 /nfs/dbraw/zinc/35/72/24/662357224.db2.gz NCOBYZRVCXYUSR-SNVBAGLBSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@@H]2CCC[N@@H+]([C@@H](C)C(N)=O)CC2)c1[O-] ZINC000948281145 665863292 /nfs/dbraw/zinc/86/32/92/665863292.db2.gz GGKKNOJFODNWTO-WDEREUQCSA-N 0 2 323.397 0.224 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@@H]2CCC[N@H+]([C@@H](C)C(N)=O)CC2)c1[O-] ZINC000948281145 665863294 /nfs/dbraw/zinc/86/32/94/665863294.db2.gz GGKKNOJFODNWTO-WDEREUQCSA-N 0 2 323.397 0.224 20 0 DCADLN C[N@H+](Cc1ccon1)C[C@@H]1CCN(C(=O)CCc2c[nH]nn2)C1 ZINC001029845575 662493514 /nfs/dbraw/zinc/49/35/14/662493514.db2.gz LAMURFUWZGFZGO-LBPRGKRZSA-N 0 2 318.381 0.706 20 0 DCADLN Cn1cc(C[N@@H+](C)C[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001029876051 662528603 /nfs/dbraw/zinc/52/86/03/662528603.db2.gz RKZIILUMBBOKBW-CYBMUJFWSA-N 0 2 316.409 0.666 20 0 DCADLN CCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1OC ZINC000940915048 665877012 /nfs/dbraw/zinc/87/70/12/665877012.db2.gz RONTZLIHAQGKIY-ZXFLCMHBSA-N 0 2 300.252 0.639 20 0 DCADLN CCC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1OC ZINC000940915048 665877015 /nfs/dbraw/zinc/87/70/15/665877015.db2.gz RONTZLIHAQGKIY-ZXFLCMHBSA-N 0 2 300.252 0.639 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([NH2+]Cc3nncs3)[C@H]2C)c1[O-] ZINC000986157871 662562679 /nfs/dbraw/zinc/56/26/79/662562679.db2.gz JLYHTSYNEVDZIC-BDAKNGLRSA-N 0 2 322.394 0.668 20 0 DCADLN Cc1cccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001038058694 662769422 /nfs/dbraw/zinc/76/94/22/662769422.db2.gz PYLNQQPLKKWXTF-SNVBAGLBSA-N 0 2 302.338 0.218 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000937979975 662848124 /nfs/dbraw/zinc/84/81/24/662848124.db2.gz ROLIYNJGPCFHDP-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000937979975 662848126 /nfs/dbraw/zinc/84/81/26/662848126.db2.gz ROLIYNJGPCFHDP-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)C1=COCCO1 ZINC000990141305 663009220 /nfs/dbraw/zinc/00/92/20/663009220.db2.gz ISDXUZYFXZFYKX-MRVPVSSYSA-N 0 2 312.219 0.102 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)C1=COCCO1 ZINC000990141305 663009221 /nfs/dbraw/zinc/00/92/21/663009221.db2.gz ISDXUZYFXZFYKX-MRVPVSSYSA-N 0 2 312.219 0.102 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccc(=O)[nH]n1 ZINC000990150402 663009579 /nfs/dbraw/zinc/00/95/79/663009579.db2.gz PDTXVZWAAJIUHX-MRVPVSSYSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccc(=O)[nH]n1 ZINC000990150402 663009581 /nfs/dbraw/zinc/00/95/81/663009581.db2.gz PDTXVZWAAJIUHX-MRVPVSSYSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cc[nH]c(=O)c1 ZINC000990804200 663162546 /nfs/dbraw/zinc/16/25/46/663162546.db2.gz XCUJNDYJUNHGAE-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cc[nH]c(=O)c1 ZINC000990804200 663162547 /nfs/dbraw/zinc/16/25/47/663162547.db2.gz XCUJNDYJUNHGAE-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN CN(C)c1nc(N2CCOC[C@H]2C(=O)[O-])[nH+]c2ccccc21 ZINC000900946003 663294355 /nfs/dbraw/zinc/29/43/55/663294355.db2.gz NKTVMDKUXXVWAG-LBPRGKRZSA-N 0 2 302.334 0.986 20 0 DCADLN COCC[C@H]([NH3+])C(=O)[N-]S(=O)(=O)C1=Cc2ccccc2CC1 ZINC000901014842 663301813 /nfs/dbraw/zinc/30/18/13/663301813.db2.gz WUHGIAABMDTZSL-AWEZNQCLSA-N 0 2 324.402 0.784 20 0 DCADLN CCN1C(=O)[C@H]2CN(c3ccc(C(=O)[O-])c[nH+]3)CCN2C1=O ZINC000900989774 663302072 /nfs/dbraw/zinc/30/20/72/663302072.db2.gz ZAKOTCXGHOKWTG-SNVBAGLBSA-N 0 2 304.306 0.253 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000902319102 663404244 /nfs/dbraw/zinc/40/42/44/663404244.db2.gz NLDGVFJEYHGMFY-IAQYHMDHSA-N 0 2 307.350 0.395 20 0 DCADLN CC[C@@H]1CC(=O)N(CN2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)C1 ZINC000902614461 663422188 /nfs/dbraw/zinc/42/21/88/663422188.db2.gz HULHZTKEWUKBPO-UTUOFQBUSA-N 0 2 303.428 0.059 20 0 DCADLN CCc1occc1C(=O)N1CC(NC(=O)CC2OCCCO2)C1 ZINC000991006903 663422200 /nfs/dbraw/zinc/42/22/00/663422200.db2.gz IZPFJSZWGHSVGB-UHFFFAOYSA-N 0 2 322.361 0.936 20 0 DCADLN COc1ccccc1[C@H](C)NC(=O)CON=C(N)CN(C)C ZINC000902612670 663422214 /nfs/dbraw/zinc/42/22/14/663422214.db2.gz LRHGEXOWEYFXNH-NSHDSACASA-N 0 2 308.382 0.932 20 0 DCADLN CO[C@H](Cn1c(=O)c2ccccc2c(=O)n1C)[C@@H]1CCOC1 ZINC000902612058 663422283 /nfs/dbraw/zinc/42/22/83/663422283.db2.gz NQRSDGOETLHFHL-BXUZGUMPSA-N 0 2 304.346 0.752 20 0 DCADLN CCc1noc(C(=O)N2CCN(C(=O)N3CCCCC3)CC2)n1 ZINC000902613709 663422293 /nfs/dbraw/zinc/42/22/93/663422293.db2.gz WKTSGKWNKPTCBT-UHFFFAOYSA-N 0 2 321.381 0.996 20 0 DCADLN COc1cccc(CN(C)C(=O)CON=C(N)CN(C)C)c1 ZINC000902614109 663422389 /nfs/dbraw/zinc/42/23/89/663422389.db2.gz XEHVSORXVVSBCI-UHFFFAOYSA-N 0 2 308.382 0.714 20 0 DCADLN O=C(c1cn[nH]n1)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000941291832 665965089 /nfs/dbraw/zinc/96/50/89/665965089.db2.gz XVHRXPHCRXROPB-QMMMGPOBSA-N 0 2 323.250 0.380 20 0 DCADLN O=C(c1cn[nH]n1)N1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000941291832 665965092 /nfs/dbraw/zinc/96/50/92/665965092.db2.gz XVHRXPHCRXROPB-QMMMGPOBSA-N 0 2 323.250 0.380 20 0 DCADLN C[C@H]1CO[C@H](C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000972773 665968778 /nfs/dbraw/zinc/96/87/78/665968778.db2.gz ZPGIIRMKQSXBOH-PWSUYJOCSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@H]1CO[C@H](C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000972773 665968779 /nfs/dbraw/zinc/96/87/79/665968779.db2.gz ZPGIIRMKQSXBOH-PWSUYJOCSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C1(C(=O)[O-])CCOCC1 ZINC000907919550 663724134 /nfs/dbraw/zinc/72/41/34/663724134.db2.gz UJPTUNRCPGDTQN-NEPJUHHUSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@]1(NC(=O)NCCCCn2cc[nH+]c2)CCSC1 ZINC000908861020 663772459 /nfs/dbraw/zinc/77/24/59/663772459.db2.gz CLEDWRWBRQIJOT-ZDUSSCGKSA-N 0 2 312.395 0.923 20 0 DCADLN CCOC[C@H](C(=O)[O-])N(C)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000909039858 663778993 /nfs/dbraw/zinc/77/89/93/663778993.db2.gz FLJVZJIEMFOFGF-GFCCVEGCSA-N 0 2 320.349 0.965 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)CCCOC1 ZINC000909697309 663795122 /nfs/dbraw/zinc/79/51/22/663795122.db2.gz GSHIUHHGMSEGKK-ABAIWWIYSA-N 0 2 307.350 0.586 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@@H]32)C1 ZINC000909954558 663826217 /nfs/dbraw/zinc/82/62/17/663826217.db2.gz DXRJKAPCABTOMZ-RFGFWPKPSA-N 0 2 310.394 0.809 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@@H]32)C1 ZINC000909954558 663826218 /nfs/dbraw/zinc/82/62/18/663826218.db2.gz DXRJKAPCABTOMZ-RFGFWPKPSA-N 0 2 310.394 0.809 20 0 DCADLN C[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C[C@@H](C(=O)[O-])O1 ZINC000910227218 663856331 /nfs/dbraw/zinc/85/63/31/663856331.db2.gz IOQRNZKOQLGJCP-MFKMUULPSA-N 0 2 316.317 0.581 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](C[C@H]1CCCOC1)C(=O)[O-] ZINC000910248895 663859241 /nfs/dbraw/zinc/85/92/41/663859241.db2.gz ZQRCDZSBGCXARV-LPWJVIDDSA-N 0 2 321.377 0.910 20 0 DCADLN O=C([O-])CS[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C(F)(F)F ZINC000910350227 663876376 /nfs/dbraw/zinc/87/63/76/663876376.db2.gz OGBUHYPSMIGZBC-SSDOTTSWSA-N 0 2 311.285 0.817 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C1 ZINC000910393106 663879280 /nfs/dbraw/zinc/87/92/80/663879280.db2.gz QQPRVAZKVKPLTN-IUCAKERBSA-N 0 2 305.256 0.684 20 0 DCADLN O=C([O-])C1(CNc2cc(N3CCC[C@H](CO)C3)nc[nH+]2)CC1 ZINC000910428854 663882351 /nfs/dbraw/zinc/88/23/51/663882351.db2.gz LMNUHOYLPOOBTD-NSHDSACASA-N 0 2 306.366 0.962 20 0 DCADLN O=C([O-])C1(CNc2cc(N3CCC[C@H](CO)C3)[nH+]cn2)CC1 ZINC000910428854 663882352 /nfs/dbraw/zinc/88/23/52/663882352.db2.gz LMNUHOYLPOOBTD-NSHDSACASA-N 0 2 306.366 0.962 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(NCC3(C(=O)[O-])CC3)[nH+]cn2)C1 ZINC000910429591 663882518 /nfs/dbraw/zinc/88/25/18/663882518.db2.gz KJHCVLOZYGBFBU-QWRGUYRKSA-N 0 2 306.366 0.960 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(NCC3(C(=O)[O-])CC3)nc[nH+]2)C1 ZINC000910429591 663882520 /nfs/dbraw/zinc/88/25/20/663882520.db2.gz KJHCVLOZYGBFBU-QWRGUYRKSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000910447644 663884411 /nfs/dbraw/zinc/88/44/11/663884411.db2.gz DXINAQOBGZYYAD-IAQYHMDHSA-N 0 2 324.356 0.688 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000910447644 663884414 /nfs/dbraw/zinc/88/44/14/663884414.db2.gz DXINAQOBGZYYAD-IAQYHMDHSA-N 0 2 324.356 0.688 20 0 DCADLN O=C([O-])[C@]1(F)CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000910447643 663884500 /nfs/dbraw/zinc/88/45/00/663884500.db2.gz DXINAQOBGZYYAD-ABAIWWIYSA-N 0 2 324.356 0.688 20 0 DCADLN O=C([O-])[C@]1(F)CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000910447643 663884504 /nfs/dbraw/zinc/88/45/04/663884504.db2.gz DXINAQOBGZYYAD-ABAIWWIYSA-N 0 2 324.356 0.688 20 0 DCADLN Cc1c[nH]nc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991259646 663886241 /nfs/dbraw/zinc/88/62/41/663886241.db2.gz ZFCVSNITAISVNJ-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1c[nH]nc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000991259646 663886242 /nfs/dbraw/zinc/88/62/42/663886242.db2.gz ZFCVSNITAISVNJ-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000910594578 663910933 /nfs/dbraw/zinc/91/09/33/663910933.db2.gz IFXHQOFEQNGNIH-CHWSQXEVSA-N 0 2 321.377 0.900 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@@H](Cc1ccc(F)cc1)C(=O)[O-] ZINC000910925487 663956366 /nfs/dbraw/zinc/95/63/66/663956366.db2.gz IJUQYOYSDJVROM-ZDUSSCGKSA-N 0 2 305.309 0.914 20 0 DCADLN COCCCC(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911174465 664001635 /nfs/dbraw/zinc/00/16/35/664001635.db2.gz XATAFVMNTRHLTC-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911304665 664023559 /nfs/dbraw/zinc/02/35/59/664023559.db2.gz FJTOZLMUHIESOP-CQSZACIVSA-N 0 2 321.377 0.835 20 0 DCADLN Cn1ccc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991326613 664051609 /nfs/dbraw/zinc/05/16/09/664051609.db2.gz SKICLFHVKPGBKG-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN Cn1ccc(CC(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000991326613 664051612 /nfs/dbraw/zinc/05/16/12/664051612.db2.gz SKICLFHVKPGBKG-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN CC(C)C[C@H](C(=O)N1CCC[C@H](O)[C@H]1C(=O)[O-])n1cc[nH+]c1 ZINC000911632059 664094548 /nfs/dbraw/zinc/09/45/48/664094548.db2.gz WEKHPDLLTOOPFI-AGIUHOORSA-N 0 2 309.366 0.907 20 0 DCADLN C[C@H](CC(=O)[O-])C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000911740677 664104752 /nfs/dbraw/zinc/10/47/52/664104752.db2.gz AVGRFMANFZHQQX-GFCCVEGCSA-N 0 2 319.365 0.756 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)NCC(F)(F)C(F)F ZINC000912560409 664185937 /nfs/dbraw/zinc/18/59/37/664185937.db2.gz NUEDFWPDBIKWJO-UHFFFAOYSA-N 0 2 319.236 0.375 20 0 DCADLN O=C([O-])c1csc(S(=O)(=O)N[C@@H]2CC[N@@H+](C3CC3)C2)c1 ZINC000044694622 664368206 /nfs/dbraw/zinc/36/82/06/664368206.db2.gz ILJGQAWMYFFEMJ-SECBINFHSA-N 0 2 316.404 0.961 20 0 DCADLN O=C([O-])c1csc(S(=O)(=O)N[C@@H]2CC[N@H+](C3CC3)C2)c1 ZINC000044694622 664368208 /nfs/dbraw/zinc/36/82/08/664368208.db2.gz ILJGQAWMYFFEMJ-SECBINFHSA-N 0 2 316.404 0.961 20 0 DCADLN Cc1ccnn1CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991591072 664458475 /nfs/dbraw/zinc/45/84/75/664458475.db2.gz JFLQFCVEUCEMQA-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN Cc1ccnn1CC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000991591072 664458477 /nfs/dbraw/zinc/45/84/77/664458477.db2.gz JFLQFCVEUCEMQA-SNVBAGLBSA-N 0 2 322.262 0.419 20 0 DCADLN CCCc1onc(C)c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030303842 664507332 /nfs/dbraw/zinc/50/73/32/664507332.db2.gz YQANADVIWFEVQF-UHFFFAOYSA-N 0 2 320.353 0.373 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC[C@H]1C(F)F ZINC001030440890 664546982 /nfs/dbraw/zinc/54/69/82/664546982.db2.gz STKBZHGAYNPGFB-RKDXNWHRSA-N 0 2 315.324 0.492 20 0 DCADLN Cc1cccc(C)c1OCC[NH+]1CC(NC(=O)c2cnn[n-]2)C1 ZINC001030599851 664599356 /nfs/dbraw/zinc/59/93/56/664599356.db2.gz LZJMQEKGHGUQFA-UHFFFAOYSA-N 0 2 315.377 0.915 20 0 DCADLN C[C@H](C(N)=O)[N@@H+]1CC[C@]2(NC(=O)CN3CCCC3)CCC[C@H]12 ZINC000992484004 664725601 /nfs/dbraw/zinc/72/56/01/664725601.db2.gz GYBSHGOTCFHSLU-DVOMOZLQSA-N 0 2 308.426 0.069 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ncsc1C1CC1 ZINC001030951537 664731747 /nfs/dbraw/zinc/73/17/47/664731747.db2.gz XGEVNAIPEBKXAI-UHFFFAOYSA-N 0 2 320.378 0.458 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1csc(Cl)c1 ZINC001031052216 664776992 /nfs/dbraw/zinc/77/69/92/664776992.db2.gz GPQSMOHHINBDTO-UHFFFAOYSA-N 0 2 313.770 0.839 20 0 DCADLN CCCc1nnc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000730535126 664790202 /nfs/dbraw/zinc/79/02/02/664790202.db2.gz HHXWLHBZGAVJFR-UHFFFAOYSA-N 0 2 319.350 0.514 20 0 DCADLN CC1(C)CNC(=O)[C@H]1NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000914441263 664795136 /nfs/dbraw/zinc/79/51/36/664795136.db2.gz INAGKCYRZMNZFT-CYBMUJFWSA-N 0 2 314.345 0.832 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cncs2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993092145 664806836 /nfs/dbraw/zinc/80/68/36/664806836.db2.gz PMPJNSPFWGCGPG-RKDXNWHRSA-N 0 2 322.394 0.750 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1c[nH]cc1C1CC1 ZINC001031171036 664826817 /nfs/dbraw/zinc/82/68/17/664826817.db2.gz UOACHKFHVIONHF-UHFFFAOYSA-N 0 2 302.338 0.330 20 0 DCADLN CC[C@@H](NC(=O)C(F)C(F)(F)F)C(=O)N1CCOCC1 ZINC000764616117 664874930 /nfs/dbraw/zinc/87/49/30/664874930.db2.gz ZUQCCGXMQLHAFO-HTQZYQBOSA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C(=O)N1CCOCC1 ZINC000764616117 664874933 /nfs/dbraw/zinc/87/49/33/664874933.db2.gz ZUQCCGXMQLHAFO-HTQZYQBOSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Oc1c(F)cccc1F ZINC000731960809 664895047 /nfs/dbraw/zinc/89/50/47/664895047.db2.gz FGXQILZEVNSQQT-UHFFFAOYSA-N 0 2 306.228 0.955 20 0 DCADLN CC[C@@H]1C[C@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031218542 664899806 /nfs/dbraw/zinc/89/98/06/664899806.db2.gz YPAMESCFIJDQLN-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cncnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993257636 664917427 /nfs/dbraw/zinc/91/74/27/664917427.db2.gz FLZDYWQIYZRUDW-ONGXEEELSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000993339168 664944308 /nfs/dbraw/zinc/94/43/08/664944308.db2.gz DFVUCGKZZQGMFU-VHSXEESVSA-N 0 2 319.369 0.325 20 0 DCADLN C[C@@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001031273659 664962713 /nfs/dbraw/zinc/96/27/13/664962713.db2.gz CGWNEDPWPOIOIR-SECBINFHSA-N 0 2 302.338 0.009 20 0 DCADLN COC1CC(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000994093503 665037499 /nfs/dbraw/zinc/03/74/99/665037499.db2.gz DQLUAHHWHBKJFI-DMBGQZSHSA-N 0 2 312.263 0.639 20 0 DCADLN COC1CC(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC000994093503 665037501 /nfs/dbraw/zinc/03/75/01/665037501.db2.gz DQLUAHHWHBKJFI-DMBGQZSHSA-N 0 2 312.263 0.639 20 0 DCADLN CCc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001031339366 665094212 /nfs/dbraw/zinc/09/42/12/665094212.db2.gz NKJMFMSCZDRPGD-UHFFFAOYSA-N 0 2 301.350 0.687 20 0 DCADLN CC(C)n1cccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031395583 665133762 /nfs/dbraw/zinc/13/37/62/665133762.db2.gz GCUMJNMFLHTJLG-UHFFFAOYSA-N 0 2 304.354 0.507 20 0 DCADLN CCc1ncc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031465959 665162012 /nfs/dbraw/zinc/16/20/12/665162012.db2.gz LAFQBYGBIYUNTF-UHFFFAOYSA-N 0 2 308.367 0.143 20 0 DCADLN Cc1nc(C[NH+]2CC(CNC(=O)c3[nH]nc(C)c3[O-])C2)c(C)o1 ZINC001031615683 665232496 /nfs/dbraw/zinc/23/24/96/665232496.db2.gz DIBJTGSFSZZZEQ-UHFFFAOYSA-N 0 2 319.365 0.890 20 0 DCADLN Cc1nnsc1C[NH+]1CC(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001031616398 665232528 /nfs/dbraw/zinc/23/25/28/665232528.db2.gz SNOSLLOLSFZULM-UHFFFAOYSA-N 0 2 322.394 0.445 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC[C@@H](F)C1 ZINC001031663907 665274706 /nfs/dbraw/zinc/27/47/06/665274706.db2.gz MHQIRMAAUHGDNQ-WDEREUQCSA-N 0 2 311.361 0.587 20 0 DCADLN O=C(CSc1n[n-]c(=S)s1)NCC[N@H+]1CC[C@H](O)C1 ZINC000863832199 667187152 /nfs/dbraw/zinc/18/71/52/667187152.db2.gz UYCXOPDMMVFFBE-ZETCQYMHSA-N 0 2 320.465 0.102 20 0 DCADLN O=C(CSc1n[n-]c(=S)s1)NCC[N@@H+]1CC[C@H](O)C1 ZINC000863832199 667187156 /nfs/dbraw/zinc/18/71/56/667187156.db2.gz UYCXOPDMMVFFBE-ZETCQYMHSA-N 0 2 320.465 0.102 20 0 DCADLN CC(C)(C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001032577189 665367827 /nfs/dbraw/zinc/36/78/27/665367827.db2.gz CRAKXZBGYJWVOK-QWRGUYRKSA-N 0 2 305.382 0.732 20 0 DCADLN O=C(c1ccc(F)s1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032813504 666037964 /nfs/dbraw/zinc/03/79/64/666037964.db2.gz WHGZZVAJBUSHBH-YUMQZZPRSA-N 0 2 323.353 0.810 20 0 DCADLN Cc1c[nH]cc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001444021 666058010 /nfs/dbraw/zinc/05/80/10/666058010.db2.gz YQJWBLWGCRBWBF-UHFFFAOYSA-N 0 2 316.365 0.709 20 0 DCADLN Cc1c[nH]cc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001444021 666058012 /nfs/dbraw/zinc/05/80/12/666058012.db2.gz YQJWBLWGCRBWBF-UHFFFAOYSA-N 0 2 316.365 0.709 20 0 DCADLN Cc1cccc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001002351275 666160654 /nfs/dbraw/zinc/16/06/54/666160654.db2.gz PXKXNOVNXDXJPE-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1nc(C)c(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)o1 ZINC001002537655 666186634 /nfs/dbraw/zinc/18/66/34/666186634.db2.gz ZQQUBLUKZANVSX-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1noc(C[N@@H+]2CC[C@H](NC(=O)c3n[nH]cc3F)[C@@H](C)C2)n1 ZINC000942600734 666198112 /nfs/dbraw/zinc/19/81/12/666198112.db2.gz UMXFKSDQKNQQHQ-KWQFWETISA-N 0 2 322.344 0.881 20 0 DCADLN Cc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)ns1 ZINC001002693450 666206975 /nfs/dbraw/zinc/20/69/75/666206975.db2.gz QWTGIEJSMGVLTG-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN Cc1ccc(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001016331810 666219253 /nfs/dbraw/zinc/21/92/53/666219253.db2.gz AAAZRILKLIZLFH-JTQLQIEISA-N 0 2 321.406 0.813 20 0 DCADLN C[C@H](C(N)=O)[NH+]1CCC(NC(=O)c2ccn3c[nH+]cc3c2)CC1 ZINC001003251581 666288200 /nfs/dbraw/zinc/28/82/00/666288200.db2.gz WHAZMTMODHGRBH-LLVKDONJSA-N 0 2 315.377 0.402 20 0 DCADLN Cc1cocc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003443452 666312326 /nfs/dbraw/zinc/31/23/26/666312326.db2.gz CNJWIYSJHCBFMO-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN CC(C)n1cnc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038554006 666327813 /nfs/dbraw/zinc/32/78/13/666327813.db2.gz DHKDLURRJOVHTC-JTQLQIEISA-N 0 2 319.369 0.292 20 0 DCADLN CN(C(=O)C[C@@H]1C=CCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032907232 666384310 /nfs/dbraw/zinc/38/43/10/666384310.db2.gz UVJSPBQKOASPJJ-NEPJUHHUSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)C[C@@H]1C=CCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032907232 666384313 /nfs/dbraw/zinc/38/43/13/666384313.db2.gz UVJSPBQKOASPJJ-NEPJUHHUSA-N 0 2 305.382 0.899 20 0 DCADLN C[C@@]1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCOC1 ZINC001003856471 666385935 /nfs/dbraw/zinc/38/59/35/666385935.db2.gz MDYNIVKIMKOEKP-CQSZACIVSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)[C@H]1C[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003873003 666388592 /nfs/dbraw/zinc/38/85/92/666388592.db2.gz LLMSNGSLAZVIHJ-NEPJUHHUSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1ncoc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032979548 666417480 /nfs/dbraw/zinc/41/74/80/666417480.db2.gz PMAWBBPBHOCFSU-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN CCc1n[nH]cc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033223777 666635195 /nfs/dbraw/zinc/63/51/95/666635195.db2.gz UNUGUVHGMGNJPD-SECBINFHSA-N 0 2 319.369 0.142 20 0 DCADLN CN(C(=O)[C@H]1CCCO1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005087593 666665335 /nfs/dbraw/zinc/66/53/35/666665335.db2.gz IQNCVNPBBMDXJK-LLVKDONJSA-N 0 2 309.370 0.112 20 0 DCADLN CCc1nocc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033399770 666707010 /nfs/dbraw/zinc/70/70/10/666707010.db2.gz KPJBGMRLJMBOAI-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N(C)[C@@H]2CC[N@@H+](CC(=O)N3CCC3)C2)C1 ZINC001033421495 666711342 /nfs/dbraw/zinc/71/13/42/666711342.db2.gz YOVUGRDHIRZOES-HUUCEWRRSA-N 0 2 322.453 0.093 20 0 DCADLN CCc1cc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001033593763 666766578 /nfs/dbraw/zinc/76/65/78/666766578.db2.gz QLZSNQYDUDFWHL-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN CCN(C(=O)c1cnccn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033738812 666810792 /nfs/dbraw/zinc/81/07/92/666810792.db2.gz YJYMKNNRWKAHKO-JTQLQIEISA-N 0 2 317.353 0.037 20 0 DCADLN CCN(C(=O)[C@H]1CCCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033778982 666828909 /nfs/dbraw/zinc/82/89/09/666828909.db2.gz MYCNOXOYIQJMAY-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)[C@H]1CCCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033778982 666828912 /nfs/dbraw/zinc/82/89/12/666828912.db2.gz MYCNOXOYIQJMAY-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN COC1CCC(N(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000734357721 666870502 /nfs/dbraw/zinc/87/05/02/666870502.db2.gz ZHKVQBANXHSHAJ-UHFFFAOYSA-N 0 2 319.365 0.487 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccc[nH]1 ZINC001034108201 666923654 /nfs/dbraw/zinc/92/36/54/666923654.db2.gz LYVILMYOJXIBDM-JTQLQIEISA-N 0 2 304.354 0.623 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccc[nH]1 ZINC001034108201 666923655 /nfs/dbraw/zinc/92/36/55/666923655.db2.gz LYVILMYOJXIBDM-JTQLQIEISA-N 0 2 304.354 0.623 20 0 DCADLN Cc1ccnc(CN2CCCC[C@@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001034285001 666973440 /nfs/dbraw/zinc/97/34/40/666973440.db2.gz JRIPLUIBFAXEAC-GFCCVEGCSA-N 0 2 315.381 0.688 20 0 DCADLN O=C(N[C@@H]1CC[C@H]1O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000862038706 667012465 /nfs/dbraw/zinc/01/24/65/667012465.db2.gz ADABAPCTUSQPQK-HTQZYQBOSA-N 0 2 306.240 0.711 20 0 DCADLN O=C(CSc1nnnn1CC(F)(F)F)NOCC1CC1 ZINC000817335104 667071659 /nfs/dbraw/zinc/07/16/59/667071659.db2.gz WNPYRPZESWYATJ-UHFFFAOYSA-N 0 2 311.289 0.785 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CCCC12CC2 ZINC000863777420 667180927 /nfs/dbraw/zinc/18/09/27/667180927.db2.gz GSMFHFZUAQLBEK-SNVBAGLBSA-N 0 2 301.350 0.768 20 0 DCADLN O=C(C[C@H]1CCCCO1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007081528 667456753 /nfs/dbraw/zinc/45/67/53/667456753.db2.gz YMYNURSUROLYBW-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000868336676 667557287 /nfs/dbraw/zinc/55/72/87/667557287.db2.gz DJGIJIQDKMVAQN-GFCCVEGCSA-N 0 2 322.365 0.055 20 0 DCADLN O=C(Cc1ncn[nH]1)N1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000868444119 667572643 /nfs/dbraw/zinc/57/26/43/667572643.db2.gz AQNGCJBWICHEDY-MRVPVSSYSA-N 0 2 319.287 0.264 20 0 DCADLN C[NH+](C)Cc1ccccc1S(=O)(=O)[N-]C(=O)[C@@H]1CCC(=O)C1 ZINC000870641224 667749582 /nfs/dbraw/zinc/74/95/82/667749582.db2.gz CTWCAMOAPPAYOI-LLVKDONJSA-N 0 2 324.402 0.922 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCO[C@@H](C(F)F)CC2)S1 ZINC000870645470 667749802 /nfs/dbraw/zinc/74/98/02/667749802.db2.gz GXNBRAMFXCUDKO-RNFRBKRXSA-N 0 2 307.322 0.425 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cn3ccccc3n2)S1 ZINC000742485785 667792627 /nfs/dbraw/zinc/79/26/27/667792627.db2.gz HTXFYXQSZCZOSN-SECBINFHSA-N 0 2 303.347 0.507 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCCC[C@@H]2C2OCCO2)S1 ZINC000742611690 667795133 /nfs/dbraw/zinc/79/51/33/667795133.db2.gz DBSAOTHFHMXLNU-RKDXNWHRSA-N 0 2 313.379 0.297 20 0 DCADLN CCc1nc(CCNC(=O)C[C@H]2SC(=N)NC2=O)cs1 ZINC000742617594 667795282 /nfs/dbraw/zinc/79/52/82/667795282.db2.gz MWSAJIIUZWXWSW-MRVPVSSYSA-N 0 2 312.420 0.921 20 0 DCADLN COCCCn1ccc(NC(=O)CC2SC(=N)NC2=O)n1 ZINC000742684419 667796888 /nfs/dbraw/zinc/79/68/88/667796888.db2.gz ONJCIDUEIFPGBQ-MRVPVSSYSA-N 0 2 311.367 0.415 20 0 DCADLN COC(=O)c1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)o1 ZINC000742677817 667796927 /nfs/dbraw/zinc/79/69/27/667796927.db2.gz PENWUUCJXWDJJS-MRVPVSSYSA-N 0 2 311.319 0.239 20 0 DCADLN Cc1csc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000744312547 667825044 /nfs/dbraw/zinc/82/50/44/667825044.db2.gz JHMBGYMYJYJAIY-UHFFFAOYSA-N 0 2 305.319 0.580 20 0 DCADLN CCc1cnc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)o1 ZINC000744988872 667838093 /nfs/dbraw/zinc/83/80/93/667838093.db2.gz ZCFXGJGTGQURMK-UHFFFAOYSA-N 0 2 303.278 0.365 20 0 DCADLN COc1ccc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000745415688 667847022 /nfs/dbraw/zinc/84/70/22/667847022.db2.gz UOIAKUQJUFERPP-NSHDSACASA-N 0 2 307.375 0.910 20 0 DCADLN CN(Cc1ccc2c(c1)OCO2)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000745413233 667847230 /nfs/dbraw/zinc/84/72/30/667847230.db2.gz GSMGZXYDGYBDPO-NSHDSACASA-N 0 2 321.358 0.930 20 0 DCADLN Cc1cc(F)c(S(=O)(=O)NCC[NH+]2CCC2)cc1C(=O)[O-] ZINC000871923852 667857327 /nfs/dbraw/zinc/85/73/27/667857327.db2.gz NOHSBJIXCINLTF-UHFFFAOYSA-N 0 2 316.354 0.816 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NC[C@](C)(O)C1CC1 ZINC000872452632 667898324 /nfs/dbraw/zinc/89/83/24/667898324.db2.gz BYSWUPHTMRCLSH-BBATYDOGSA-N 0 2 312.457 0.878 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NCc1ncc(Cl)s1 ZINC000872568593 667912819 /nfs/dbraw/zinc/91/28/19/667912819.db2.gz ZDWJTWYMFJYTNI-UHFFFAOYSA-N 0 2 303.818 0.859 20 0 DCADLN CCS[C@H]1CCC[C@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000748577459 667933181 /nfs/dbraw/zinc/93/31/81/667933181.db2.gz RTUQVHRVKGPZHO-MNOVXSKESA-N 0 2 321.406 0.862 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(NC3CC(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873075892 667969738 /nfs/dbraw/zinc/96/97/38/667969738.db2.gz JHOIWAISEGTCHS-JYBOHDQNSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(NC3CC(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873075892 667969741 /nfs/dbraw/zinc/96/97/41/667969741.db2.gz JHOIWAISEGTCHS-JYBOHDQNSA-N 0 2 306.366 0.959 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1C[C@H]2CCCC[C@@H]2C1 ZINC000750138000 667972387 /nfs/dbraw/zinc/97/23/87/667972387.db2.gz NEAIRYWKGTWFDV-GHMZBOCLSA-N 0 2 301.350 0.720 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2cnc(C3CC3)nc2)S1 ZINC000756085950 668078456 /nfs/dbraw/zinc/07/84/56/668078456.db2.gz YLYLBDKSCTVQJD-SNVBAGLBSA-N 0 2 319.390 0.569 20 0 DCADLN C[C@@H]([NH2+]Cc1ccc(N2CC[NH+](C)CC2)nc1)c1cnnn1C ZINC000924627582 668222712 /nfs/dbraw/zinc/22/27/12/668222712.db2.gz PRWWXLLHONDGDZ-CYBMUJFWSA-N 0 2 315.425 0.813 20 0 DCADLN C[C@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c1nncn1C ZINC000760125580 668258146 /nfs/dbraw/zinc/25/81/46/668258146.db2.gz FKANSWGIXAHTHO-LURJTMIESA-N 0 2 307.276 0.449 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000760917910 668294324 /nfs/dbraw/zinc/29/43/24/668294324.db2.gz BVIVVHOFBCFPJA-JLLWLGSASA-N 0 2 317.349 0.145 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1CCC(F)(F)CC1 ZINC000761899950 668340310 /nfs/dbraw/zinc/34/03/10/668340310.db2.gz CYZUAFCFBFUCSE-UHFFFAOYSA-N 0 2 311.292 0.765 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H](CCO)c2cccs2)S1 ZINC000761940490 668341393 /nfs/dbraw/zinc/34/13/93/668341393.db2.gz AIBIUWKGBXEYMW-VXNVDRBHSA-N 0 2 313.404 0.844 20 0 DCADLN CN(C)c1ccccc1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000762178885 668350326 /nfs/dbraw/zinc/35/03/26/668350326.db2.gz OUOZFEIKAIDUIG-LLVKDONJSA-N 0 2 306.391 0.925 20 0 DCADLN C[C@@H]1C[C@H](c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)CCO1 ZINC000762285014 668354789 /nfs/dbraw/zinc/35/47/89/668354789.db2.gz RXRBHYMCWRJQFM-RKDXNWHRSA-N 0 2 316.321 0.785 20 0 DCADLN COCCN(CCC(=O)[O-])C(=O)[C@@H]([NH3+])c1c(F)cccc1F ZINC000763076286 668396597 /nfs/dbraw/zinc/39/65/97/668396597.db2.gz VTUHTODQEXYHCK-ZDUSSCGKSA-N 0 2 316.304 0.914 20 0 DCADLN C[N@@H+]1CC[C@H](NC(=O)c2cc(=O)[nH][n-]2)[C@H]1c1ccc(F)c(F)c1 ZINC000765419932 668489570 /nfs/dbraw/zinc/48/95/70/668489570.db2.gz YXQIRXKZKUGEBM-SMDDNHRTSA-N 0 2 322.315 0.702 20 0 DCADLN C[N@H+]1CC[C@H](NC(=O)c2cc(=O)[nH][n-]2)[C@H]1c1ccc(F)c(F)c1 ZINC000765419932 668489572 /nfs/dbraw/zinc/48/95/72/668489572.db2.gz YXQIRXKZKUGEBM-SMDDNHRTSA-N 0 2 322.315 0.702 20 0 DCADLN COC[C@H]1CCCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000767247164 668565360 /nfs/dbraw/zinc/56/53/60/668565360.db2.gz AQSRGLJIPXYQFK-NSHDSACASA-N 0 2 319.365 0.346 20 0 DCADLN C[C@](O)(CNC(=O)c1cccc(Cn2cc[nH+]c2)c1)C(=O)[O-] ZINC000769758851 668660687 /nfs/dbraw/zinc/66/06/87/668660687.db2.gz XFHSURVEEFEGDC-HNNXBMFYSA-N 0 2 303.318 0.497 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)C(F)(F)C2CCOCC2)C1=O ZINC000769820286 668664893 /nfs/dbraw/zinc/66/48/93/668664893.db2.gz ZVSFXPYYBJQGGK-LBPRGKRZSA-N 0 2 319.308 0.800 20 0 DCADLN CCc1cc(C(=O)NN2C(=O)N[C@](C)(CC)C2=O)c(C)nn1 ZINC000769836402 668665678 /nfs/dbraw/zinc/66/56/78/668665678.db2.gz VLZSCCGIVJVGPQ-CQSZACIVSA-N 0 2 305.338 0.713 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](CO)c2cccc(F)c2)S1 ZINC000771096294 668730925 /nfs/dbraw/zinc/73/09/25/668730925.db2.gz IKYQHKBXTZHMAP-UWVGGRQHSA-N 0 2 311.338 0.532 20 0 DCADLN CC(C)[C@@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000772491278 668774643 /nfs/dbraw/zinc/77/46/43/668774643.db2.gz SOBOUHNDDDCJNB-PWSUYJOCSA-N 0 2 319.365 0.391 20 0 DCADLN CCOCCONC(=O)CSc1nnnn1C1CCCC1 ZINC000772696377 668781117 /nfs/dbraw/zinc/78/11/17/668781117.db2.gz DYHFOXCMIRLDHB-UHFFFAOYSA-N 0 2 315.399 0.965 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000773084841 668792370 /nfs/dbraw/zinc/79/23/70/668792370.db2.gz CHCMQAYTBLTBEB-JTQLQIEISA-N 0 2 324.406 0.666 20 0 DCADLN O=C(Cc1ccccc1)NCCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774898227 668850409 /nfs/dbraw/zinc/85/04/09/668850409.db2.gz SLGXXTQINKVEAJ-UHFFFAOYSA-N 0 2 304.306 0.303 20 0 DCADLN C[C@@H](CC(=O)OCc1n[nH]c(=O)[nH]1)NC(=O)c1ccccc1 ZINC000774896222 668850551 /nfs/dbraw/zinc/85/05/51/668850551.db2.gz KXYGZUXLVOSJPP-VIFPVBQESA-N 0 2 304.306 0.762 20 0 DCADLN CCS(=O)(=O)c1ccccc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774908052 668850956 /nfs/dbraw/zinc/85/09/56/668850956.db2.gz NAAVPXWVBNKJEG-UHFFFAOYSA-N 0 2 311.319 0.661 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1csc(-c2cnccn2)n1 ZINC000774943424 668852114 /nfs/dbraw/zinc/85/21/14/668852114.db2.gz JIJMLLPYTMEZNR-UHFFFAOYSA-N 0 2 304.291 0.781 20 0 DCADLN CC(C)C[C@H](C(=O)OCc1n[nH]c(=O)[nH]1)N1CCCCC1=O ZINC000774967812 668852898 /nfs/dbraw/zinc/85/28/98/668852898.db2.gz BVIYFXGIPBQIJV-SNVBAGLBSA-N 0 2 310.354 0.981 20 0 DCADLN Cc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)ccc1-n1cncn1 ZINC000774959982 668853004 /nfs/dbraw/zinc/85/30/04/668853004.db2.gz FIIDTQXPUVVVJC-UHFFFAOYSA-N 0 2 300.278 0.756 20 0 DCADLN CS(=O)(=O)N1CCN(C(=O)c2cccc(Cl)c2O)CC1 ZINC000775644688 668866056 /nfs/dbraw/zinc/86/60/56/668866056.db2.gz NMEBPNDJSUFZRV-UHFFFAOYSA-N 0 2 318.782 0.763 20 0 DCADLN CN(CC(=O)N1CCOCC1)C(=O)c1cccc(Cl)c1O ZINC000775646737 668866082 /nfs/dbraw/zinc/86/60/82/668866082.db2.gz NPCHYUBEJSNKED-UHFFFAOYSA-N 0 2 312.753 0.976 20 0 DCADLN Cc1sc2ncc(C(=O)OCc3n[nH]c(=O)[nH]3)c(=O)n2c1C ZINC000775948366 668869552 /nfs/dbraw/zinc/86/95/52/668869552.db2.gz IURXRUPTZPCCLM-UHFFFAOYSA-N 0 2 321.318 0.554 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@H]1CCCCO1 ZINC000780313365 668978262 /nfs/dbraw/zinc/97/82/62/668978262.db2.gz PDXOZSQBOFSKSH-SNVBAGLBSA-N 0 2 305.338 0.147 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N1CCCC[C@@H]1C(=O)[O-] ZINC000780543646 668993320 /nfs/dbraw/zinc/99/33/20/668993320.db2.gz SDVUHMYXBSTQBM-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N1CCCC[C@@H]1C(=O)[O-] ZINC000780543646 668993324 /nfs/dbraw/zinc/99/33/24/668993324.db2.gz SDVUHMYXBSTQBM-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCO[C@H](CF)C1 ZINC000839805639 669145128 /nfs/dbraw/zinc/14/51/28/669145128.db2.gz XJFWNCNEMGBUFC-SSDOTTSWSA-N 0 2 300.252 0.640 20 0 DCADLN C[N@@H+](Cc1n[nH]c(=O)[n-]1)C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000880080660 669156129 /nfs/dbraw/zinc/15/61/29/669156129.db2.gz ZPCHNOALATVROX-LLVKDONJSA-N 0 2 303.322 0.968 20 0 DCADLN C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000880080660 669156132 /nfs/dbraw/zinc/15/61/32/669156132.db2.gz ZPCHNOALATVROX-LLVKDONJSA-N 0 2 303.322 0.968 20 0 DCADLN CC1(C)CCC[C@@](O)(CNC(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000783769610 669172537 /nfs/dbraw/zinc/17/25/37/669172537.db2.gz NYKWSQWZEXFXGW-OTYXRUKQSA-N 0 2 313.423 0.990 20 0 DCADLN Cc1cccc(C[C@H](CO)NC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000787395920 669372560 /nfs/dbraw/zinc/37/25/60/669372560.db2.gz ZHAWHDWXMZIKRU-VXGBXAGGSA-N 0 2 321.402 0.571 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)c2cnns2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000947099811 669498732 /nfs/dbraw/zinc/49/87/32/669498732.db2.gz SGOUSBBKDYLKGB-YUMQZZPRSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)c2cnns2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000947099811 669498736 /nfs/dbraw/zinc/49/87/36/669498736.db2.gz SGOUSBBKDYLKGB-YUMQZZPRSA-N 0 2 323.382 0.145 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2C[C@@H](O)Cc3ccccc32)S1 ZINC000790158034 669540797 /nfs/dbraw/zinc/54/07/97/669540797.db2.gz UEVBXALZIQHZLI-ONGXEEELSA-N 0 2 305.359 0.493 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@@H](Oc3ccncc3)C2)S1 ZINC000790154747 669541171 /nfs/dbraw/zinc/54/11/71/669541171.db2.gz JXRXEXXOIHOCMX-MNOVXSKESA-N 0 2 320.374 0.618 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@H]1SC(=N)NC1=O)C1CCCCC1 ZINC000791072271 669591649 /nfs/dbraw/zinc/59/16/49/669591649.db2.gz LNROJPWZBZHQTQ-QMTHXVAHSA-N 0 2 313.423 0.990 20 0 DCADLN CS(=O)(=O)c1cc(C(=O)OCc2n[nH]c(=O)[nH]2)ccc1F ZINC000792479604 669668504 /nfs/dbraw/zinc/66/85/04/669668504.db2.gz FUBNPNIXQGOCPX-UHFFFAOYSA-N 0 2 315.282 0.410 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H](C)C(=O)NC1CCCC1 ZINC000883414388 669681223 /nfs/dbraw/zinc/68/12/23/669681223.db2.gz VQCMOVPUDGTDBT-SNVBAGLBSA-N 0 2 300.355 0.383 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)NC1CCCC1 ZINC000883414388 669681229 /nfs/dbraw/zinc/68/12/29/669681229.db2.gz VQCMOVPUDGTDBT-SNVBAGLBSA-N 0 2 300.355 0.383 20 0 DCADLN Cn1cnc(C=CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)c1 ZINC000935748770 669767095 /nfs/dbraw/zinc/76/70/95/669767095.db2.gz FTZKXAXFBXDHIW-IHWYPQMZSA-N 0 2 302.338 0.663 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnn(C3CCC3)c2)C1=O ZINC000935748619 669767309 /nfs/dbraw/zinc/76/73/09/669767309.db2.gz ARYLVELBFVGKSX-AWEZNQCLSA-N 0 2 305.338 0.973 20 0 DCADLN O=C(Cc1ccc(F)cc1)NNC(=O)c1cnn2cccnc12 ZINC000044050548 669835749 /nfs/dbraw/zinc/83/57/49/669835749.db2.gz VAQGWJRDJAUPDB-UHFFFAOYSA-N 0 2 313.292 0.872 20 0 DCADLN Cc1oc(-c2cccs2)nc1C(=O)NN1CC(=O)NC1=O ZINC000047060025 669925230 /nfs/dbraw/zinc/92/52/30/669925230.db2.gz HKMMPPIEYUNKKS-UHFFFAOYSA-N 0 2 306.303 0.908 20 0 DCADLN Cn1cccc1C(=O)NNC(=O)c1ccc(Cn2cccn2)o1 ZINC000075832885 670062431 /nfs/dbraw/zinc/06/24/31/670062431.db2.gz PQEJABAXLQQAEY-UHFFFAOYSA-N 0 2 313.317 0.938 20 0 DCADLN COCCO[C@H]1CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000801313481 670123886 /nfs/dbraw/zinc/12/38/86/670123886.db2.gz HOQZQDOHMKUYPX-VHSXEESVSA-N 0 2 315.395 0.197 20 0 DCADLN Cn1nccc1[C@@H]1CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000801518404 670133602 /nfs/dbraw/zinc/13/36/02/670133602.db2.gz HBMOJSOIVUQELJ-MWLCHTKSSA-N 0 2 321.406 0.683 20 0 DCADLN CC(C)n1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)n1 ZINC000951116744 670198681 /nfs/dbraw/zinc/19/86/81/670198681.db2.gz HAUNEHVVXLPZNA-UHFFFAOYSA-N 0 2 319.369 0.246 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCCCC[C@H]1CCO ZINC000803622619 670255617 /nfs/dbraw/zinc/25/56/17/670255617.db2.gz XRRQVYKWEKJBDR-NSHDSACASA-N 0 2 319.365 0.225 20 0 DCADLN CCN(C(=O)c1cccnc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951581016 670306763 /nfs/dbraw/zinc/30/67/63/670306763.db2.gz HOQHLJWHSHDVKG-UHFFFAOYSA-N 0 2 316.365 0.560 20 0 DCADLN CCN(C(=O)c1cccc(C)n1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949692407 670406010 /nfs/dbraw/zinc/40/60/10/670406010.db2.gz QHUZHXSSUHDEQP-UHFFFAOYSA-N 0 2 316.365 0.560 20 0 DCADLN CCN(C(=O)c1cc[nH]c1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949864067 670451240 /nfs/dbraw/zinc/45/12/40/670451240.db2.gz CHADKMNAENDPIS-UHFFFAOYSA-N 0 2 304.354 0.493 20 0 DCADLN C[C@@H]1CCC[C@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949930733 670465129 /nfs/dbraw/zinc/46/51/29/670465129.db2.gz RMVDHIHIHQRPEJ-NEPJUHHUSA-N 0 2 307.398 0.981 20 0 DCADLN CN(C1CCN(C(=O)C(F)C(F)(F)F)CC1)S(C)(=O)=O ZINC000810207670 670477805 /nfs/dbraw/zinc/47/78/05/670477805.db2.gz MVEJEIMMTIMNOA-MRVPVSSYSA-N 0 2 320.308 0.769 20 0 DCADLN CN(C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1)S(C)(=O)=O ZINC000810207670 670477812 /nfs/dbraw/zinc/47/78/12/670477812.db2.gz MVEJEIMMTIMNOA-MRVPVSSYSA-N 0 2 320.308 0.769 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCn2nncc2C1 ZINC000847160129 670522295 /nfs/dbraw/zinc/52/22/95/670522295.db2.gz GQOLFKXCVPNLPB-UHFFFAOYSA-N 0 2 305.260 0.077 20 0 DCADLN C[C@H](CO)[C@@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855464320 670715260 /nfs/dbraw/zinc/71/52/60/670715260.db2.gz PCPLEOZJUZHPBV-PWSUYJOCSA-N 0 2 319.365 0.081 20 0 DCADLN CCn1cc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)nn1 ZINC000855612781 670735976 /nfs/dbraw/zinc/73/59/76/670735976.db2.gz CIFMRGRYZIQOBS-UHFFFAOYSA-N 0 2 307.276 0.371 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2C[C@H](O)c3ccccc32)S1 ZINC000855718929 670751376 /nfs/dbraw/zinc/75/13/76/670751376.db2.gz JJYJGEXBPJCQJD-VWYCJHECSA-N 0 2 305.359 0.837 20 0 DCADLN CS(=O)(=O)[C@@H]1CSCCN1C(=O)C(F)C(F)(F)F ZINC000815679300 670755199 /nfs/dbraw/zinc/75/51/99/670755199.db2.gz FOFVZPLMSAGHJS-RITPCOANSA-N 0 2 309.306 0.833 20 0 DCADLN CS(=O)(=O)[C@@H]1CSCCN1C(=O)[C@H](F)C(F)(F)F ZINC000815679300 670755200 /nfs/dbraw/zinc/75/52/00/670755200.db2.gz FOFVZPLMSAGHJS-RITPCOANSA-N 0 2 309.306 0.833 20 0 DCADLN Cn1ncc(C2CCC2)c1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000855966473 670774319 /nfs/dbraw/zinc/77/43/19/670774319.db2.gz ZRUPMHVUFOAGPI-NSHDSACASA-N 0 2 321.406 0.860 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC2(O)CCC(F)(F)CC2)S1 ZINC000856201797 670794620 /nfs/dbraw/zinc/79/46/20/670794620.db2.gz ROJADTBZNFAIQV-ZETCQYMHSA-N 0 2 321.349 0.599 20 0 DCADLN CCn1ncn(NC(=O)c2coc(Br)c2)c1=O ZINC000816737147 670854627 /nfs/dbraw/zinc/85/46/27/670854627.db2.gz AYDJVAUBGZDSLG-UHFFFAOYSA-N 0 2 301.100 0.804 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOC[C@H](C3CCC3)C2)S1 ZINC000817042101 670890650 /nfs/dbraw/zinc/89/06/50/670890650.db2.gz SNXGSEXJLZVVAM-GHMZBOCLSA-N 0 2 311.407 0.818 20 0 DCADLN CNC(=O)CCNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858786102 671041333 /nfs/dbraw/zinc/04/13/33/671041333.db2.gz NNLXESCKPBGHEL-UHFFFAOYSA-N 0 2 321.255 0.324 20 0 DCADLN O=C([O-])C[N@@H+](CC1=NS(=O)(=O)c2ccccc21)CC1CC1 ZINC000852729914 671153466 /nfs/dbraw/zinc/15/34/66/671153466.db2.gz LTICZDQLJWQVCP-UHFFFAOYSA-N 0 2 308.359 0.975 20 0 DCADLN O=C([O-])C[N@H+](CC1=NS(=O)(=O)c2ccccc21)CC1CC1 ZINC000852729914 671153467 /nfs/dbraw/zinc/15/34/67/671153467.db2.gz LTICZDQLJWQVCP-UHFFFAOYSA-N 0 2 308.359 0.975 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)c2nnc[nH]2)co1 ZINC000853222343 671222668 /nfs/dbraw/zinc/22/26/68/671222668.db2.gz KISZKJBZACVBNQ-ZCFIWIBFSA-N 0 2 300.296 0.224 20 0 DCADLN COCCS(=O)(=O)Nc1ccc(F)cc1-c1nn[nH]n1 ZINC000826163523 671481911 /nfs/dbraw/zinc/48/19/11/671481911.db2.gz YAMSJRPILFAPMB-UHFFFAOYSA-N 0 2 301.303 0.394 20 0 DCADLN COC(=O)c1cc(F)cc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1 ZINC000826831760 671502283 /nfs/dbraw/zinc/50/22/83/671502283.db2.gz KMWVHDUERHIMAR-ZETCQYMHSA-N 0 2 323.280 0.540 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H]2CSC[C@H]2C1 ZINC000827163534 671517369 /nfs/dbraw/zinc/51/73/69/671517369.db2.gz VTYDIRWILXKIIO-NXEZZACHSA-N 0 2 319.390 0.283 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2C[C@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000832169713 671706439 /nfs/dbraw/zinc/70/64/39/671706439.db2.gz DUZFKGPLMXRLNA-GXFFZTMASA-N 0 2 320.345 0.604 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2C[C@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000832169713 671706440 /nfs/dbraw/zinc/70/64/40/671706440.db2.gz DUZFKGPLMXRLNA-GXFFZTMASA-N 0 2 320.345 0.604 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC000832762512 671740178 /nfs/dbraw/zinc/74/01/78/671740178.db2.gz VTHPUMBAIGSION-AWEZNQCLSA-N 0 2 323.368 0.974 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC000832762512 671740180 /nfs/dbraw/zinc/74/01/80/671740180.db2.gz VTHPUMBAIGSION-AWEZNQCLSA-N 0 2 323.368 0.974 20 0 DCADLN Cn1cc(S(=O)(=O)NCCNc2cccc[nH+]2)cc1C(=O)[O-] ZINC000833053523 671745578 /nfs/dbraw/zinc/74/55/78/671745578.db2.gz VMLBSUFGFLWXGK-UHFFFAOYSA-N 0 2 324.362 0.509 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)ccn1 ZINC000833296073 671747187 /nfs/dbraw/zinc/74/71/87/671747187.db2.gz OUCNKGJEINWPQB-CYBMUJFWSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@@H+]2CC[C@@H](Oc3ccccn3)C2)C1 ZINC000834157987 671752003 /nfs/dbraw/zinc/75/20/03/671752003.db2.gz NTIXQBDGSORXFJ-VXGBXAGGSA-N 0 2 320.349 0.361 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@H+]2CC[C@@H](Oc3ccccn3)C2)C1 ZINC000834157987 671752006 /nfs/dbraw/zinc/75/20/06/671752006.db2.gz NTIXQBDGSORXFJ-VXGBXAGGSA-N 0 2 320.349 0.361 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@@]1(OC)CCOC1 ZINC000867109830 671754598 /nfs/dbraw/zinc/75/45/98/671754598.db2.gz QNYFNSMMGDVIAE-JTQLQIEISA-N 0 2 314.429 0.134 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)NCCOC(F)(F)F ZINC000867171708 671821865 /nfs/dbraw/zinc/82/18/65/671821865.db2.gz GVBOMZUPBGPHFL-UHFFFAOYSA-N 0 2 317.241 0.881 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(Cl)nc2)cc1C(N)=O ZINC000103942966 671896524 /nfs/dbraw/zinc/89/65/24/671896524.db2.gz MZWOZTILUUYBBX-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN Cn1cc(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c(Cl)n1 ZINC000843878100 672126799 /nfs/dbraw/zinc/12/67/99/672126799.db2.gz LLYBGWUGTYBWNY-QMMMGPOBSA-N 0 2 324.772 0.846 20 0 DCADLN Cn1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c(Cl)n1 ZINC000843879388 672127573 /nfs/dbraw/zinc/12/75/73/672127573.db2.gz YVGVWBHGUYSTDX-ZETCQYMHSA-N 0 2 310.745 0.917 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)[N-]S(=O)(=O)c1cccnc1 ZINC000845439043 672248281 /nfs/dbraw/zinc/24/82/81/672248281.db2.gz QVXJPZQCBOFCAL-UHFFFAOYSA-N 0 2 320.374 0.662 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000845541063 672257722 /nfs/dbraw/zinc/25/77/22/672257722.db2.gz FODZILUHYAEXQV-RYUDHWBXSA-N 0 2 309.370 0.543 20 0 DCADLN Nn1c(SCc2n[nH]c(=O)[nH]2)nnc1-c1ccccc1F ZINC000091575455 685105633 /nfs/dbraw/zinc/10/56/33/685105633.db2.gz JLLGTECRLXFHRZ-UHFFFAOYSA-N 0 2 307.314 0.502 20 0 DCADLN CC(C)[C@@]1(C)C[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000956980286 686926694 /nfs/dbraw/zinc/92/66/94/686926694.db2.gz WFOZCGLZUORIAL-IAQYHMDHSA-N 0 2 307.398 0.837 20 0 DCADLN COc1ccc(CN2CC[C@@H]2CNC(=O)c2cnn[nH]2)cc1F ZINC001038434213 694079097 /nfs/dbraw/zinc/07/90/97/694079097.db2.gz HSBRWMJUDMJVOU-LLVKDONJSA-N 0 2 319.340 0.957 20 0 DCADLN O=C(CCc1cscn1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957876296 687235166 /nfs/dbraw/zinc/23/51/66/687235166.db2.gz JFVKYXXLHOYVNU-UHFFFAOYSA-N 0 2 322.394 0.244 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccoc1C1CC1 ZINC001038636453 694141644 /nfs/dbraw/zinc/14/16/44/694141644.db2.gz PGDCIPHEXKXKNE-SNVBAGLBSA-N 0 2 317.349 0.985 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c(C)[nH]1 ZINC000961916601 688768609 /nfs/dbraw/zinc/76/86/09/688768609.db2.gz YYHUIDKGCDGRBW-PJXYFTJBSA-N 0 2 316.365 0.315 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c(C)[nH]1 ZINC000961916601 688768612 /nfs/dbraw/zinc/76/86/12/688768612.db2.gz YYHUIDKGCDGRBW-PJXYFTJBSA-N 0 2 316.365 0.315 20 0 DCADLN CCC(=O)N1CC2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC000965394847 689432054 /nfs/dbraw/zinc/43/20/54/689432054.db2.gz WEWSMCYTWKFIPC-VIFPVBQESA-N 0 2 312.263 0.737 20 0 DCADLN CCC(=O)N1CC2(C1)CN(C(=O)[C@H](F)C(F)(F)F)CCO2 ZINC000965394847 689432058 /nfs/dbraw/zinc/43/20/58/689432058.db2.gz WEWSMCYTWKFIPC-VIFPVBQESA-N 0 2 312.263 0.737 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)cnn1 ZINC001007209560 690642524 /nfs/dbraw/zinc/64/25/24/690642524.db2.gz KWVREXNCXMOUDE-LLVKDONJSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)nn1 ZINC001007314321 690663500 /nfs/dbraw/zinc/66/35/00/690663500.db2.gz BPVYVJYEJOOHFH-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN C[C@@]1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)C=CCC1 ZINC001008196509 690818982 /nfs/dbraw/zinc/81/89/82/690818982.db2.gz IAOZMIGWAAKRRY-XHDPSFHLSA-N 0 2 305.382 0.947 20 0 DCADLN CCc1oncc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008718889 690914867 /nfs/dbraw/zinc/91/48/67/690914867.db2.gz GKOKTDCYBCYLEH-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN C[C@@]1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCCOC1 ZINC001008993215 690970028 /nfs/dbraw/zinc/97/00/28/690970028.db2.gz KHUSNNLEOYUQDX-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(F)c1 ZINC001009987890 691141223 /nfs/dbraw/zinc/14/12/23/691141223.db2.gz QOIUWFXNDHRWIV-LLVKDONJSA-N 0 2 305.313 0.654 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3cncc(F)c3)C2)c1[O-] ZINC001010618354 691326581 /nfs/dbraw/zinc/32/65/81/691326581.db2.gz AHGWOBDKRGOQTE-LBPRGKRZSA-N 0 2 319.340 0.962 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3cncc(F)c3)C2)c1[O-] ZINC001010618354 691326583 /nfs/dbraw/zinc/32/65/83/691326583.db2.gz AHGWOBDKRGOQTE-LBPRGKRZSA-N 0 2 319.340 0.962 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010859718 691404581 /nfs/dbraw/zinc/40/45/81/691404581.db2.gz HWXRYASHGGJZHH-SECBINFHSA-N 0 2 304.354 0.405 20 0 DCADLN O=C([C@@H]1CC12CC2)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039933266 694453494 /nfs/dbraw/zinc/45/34/94/694453494.db2.gz KXFHHQOKGZDQLA-TUAOUCFPSA-N 0 2 317.393 0.876 20 0 DCADLN CC(C)[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014454690 692024049 /nfs/dbraw/zinc/02/40/49/692024049.db2.gz WUXRRTRXMPAZEY-GVXVVHGQSA-N 0 2 323.397 0.262 20 0 DCADLN CCc1cnccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015020037 692159534 /nfs/dbraw/zinc/15/95/34/692159534.db2.gz SSIMLQVQEKOFFJ-LLVKDONJSA-N 0 2 316.365 0.472 20 0 DCADLN CC(C)c1nnc(CN2CC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001015068121 692179946 /nfs/dbraw/zinc/17/99/46/692179946.db2.gz UFGMUXGKEDBYMI-VIFPVBQESA-N 0 2 304.358 0.051 20 0 DCADLN Cc1ccc(F)cc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015440131 692298809 /nfs/dbraw/zinc/29/88/09/692298809.db2.gz GNXKQLVYNBSVEC-NSHDSACASA-N 0 2 319.340 0.962 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ccc1F ZINC001015636089 692374893 /nfs/dbraw/zinc/37/48/93/692374893.db2.gz BPXJTRWUBMVWNQ-NSHDSACASA-N 0 2 319.340 0.962 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001015700317 692402353 /nfs/dbraw/zinc/40/23/53/692402353.db2.gz ULXAKXOLXZWWAJ-UWVGGRQHSA-N 0 2 305.338 0.598 20 0 DCADLN Cc1ccc(F)c(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015757726 692429745 /nfs/dbraw/zinc/42/97/45/692429745.db2.gz LILCAJSZYPZYPF-JTQLQIEISA-N 0 2 319.340 0.962 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001016075926 692561481 /nfs/dbraw/zinc/56/14/81/692561481.db2.gz BPUGSKGJUKJLPJ-LBPRGKRZSA-N 0 2 301.350 0.823 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1C ZINC001016212360 692600685 /nfs/dbraw/zinc/60/06/85/692600685.db2.gz INVAPRPBTNIBQW-NSHDSACASA-N 0 2 318.381 0.470 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)sc1C ZINC001016286218 692636228 /nfs/dbraw/zinc/63/62/28/692636228.db2.gz HVMNEOITDMVGGP-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN Cc1nsc(C)c1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016353403 692662557 /nfs/dbraw/zinc/66/25/57/692662557.db2.gz HCVGDKZCJXUFRR-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC001018724161 693207951 /nfs/dbraw/zinc/20/79/51/693207951.db2.gz RWFLQHYCRJNAJH-WPRPVWTQSA-N 0 2 319.369 0.416 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)[C@H]1CC12CC2 ZINC001073791372 694782176 /nfs/dbraw/zinc/78/21/76/694782176.db2.gz HIZWKPIICIWBEY-WDEREUQCSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)C1CCCC1 ZINC001073510125 694733806 /nfs/dbraw/zinc/73/38/06/694733806.db2.gz FWYHJEWMURTKCJ-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CC(=O)NCC(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001075426616 694951036 /nfs/dbraw/zinc/95/10/36/694951036.db2.gz JRIGZDFNUDUCRF-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CC(=O)NCC(=O)NCC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001075426616 694951037 /nfs/dbraw/zinc/95/10/37/694951037.db2.gz JRIGZDFNUDUCRF-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN O=C(C1CCCC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075479095 694953115 /nfs/dbraw/zinc/95/31/15/694953115.db2.gz YSDLONLROJALQJ-NWDGAFQWSA-N 0 2 305.382 0.733 20 0 DCADLN O=C(C1CCCC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075479095 694953116 /nfs/dbraw/zinc/95/31/16/694953116.db2.gz YSDLONLROJALQJ-NWDGAFQWSA-N 0 2 305.382 0.733 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001570891670 1162642516 /nfs/dbraw/zinc/64/25/16/1162642516.db2.gz VGTCSQBXDSRYIQ-VIFPVBQESA-N 0 2 323.397 0.452 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)Cn1nccc1N ZINC001625901726 1157952746 /nfs/dbraw/zinc/95/27/46/1157952746.db2.gz PTBYUFSSCUQCTF-UHFFFAOYSA-N 0 2 313.321 0.903 20 0 DCADLN O=C(N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]n1 ZINC001447190925 1159598016 /nfs/dbraw/zinc/59/80/16/1159598016.db2.gz URTDJZIRHNNCES-POYBYMJQSA-N 0 2 323.250 0.330 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cccc2[nH]c(=O)[nH]c21 ZINC001448070111 1159713413 /nfs/dbraw/zinc/71/34/13/1159713413.db2.gz FEOFPGDWMWFGMS-UHFFFAOYSA-N 0 2 305.319 0.913 20 0 DCADLN O=C(c1cc2ncccn2n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001568147883 1160585738 /nfs/dbraw/zinc/58/57/38/1160585738.db2.gz XFIIFPUHCSJYEB-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCn2nccc21 ZINC001568768467 1160766180 /nfs/dbraw/zinc/76/61/80/1160766180.db2.gz SJKJPDNTAHAJPA-UHFFFAOYSA-N 0 2 312.289 0.123 20 0 DCADLN CC(=O)NC1(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCCCC1 ZINC001573427537 1163433681 /nfs/dbraw/zinc/43/36/81/1163433681.db2.gz ZQWHDXPBSXSUHV-GFCCVEGCSA-N 0 2 322.365 0.361 20 0 DCADLN CC(=O)NC1(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCCCC1 ZINC001573427537 1163433685 /nfs/dbraw/zinc/43/36/85/1163433685.db2.gz ZQWHDXPBSXSUHV-GFCCVEGCSA-N 0 2 322.365 0.361 20 0 DCADLN C[C@H](NC(=O)N1CC([C@@H](F)C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC001573560896 1163502088 /nfs/dbraw/zinc/50/20/88/1163502088.db2.gz ABOBLWAYVXNKRU-JBLDHEPKSA-N 0 2 317.361 0.160 20 0 DCADLN C[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C1(C(=O)[O-])CCOCC1 ZINC001573569052 1163502585 /nfs/dbraw/zinc/50/25/85/1163502585.db2.gz MDFCUBLIVUHUPG-HBNTYKKESA-N 0 2 307.350 0.899 20 0 DCADLN CCCC(=O)NC[C@H]1CCC[N@H+](Cc2nc(C(=O)[O-])no2)C1 ZINC001573721765 1163541502 /nfs/dbraw/zinc/54/15/02/1163541502.db2.gz INJQNFPYWXOSRC-SNVBAGLBSA-N 0 2 310.354 0.896 20 0 DCADLN CCCC(=O)NC[C@H]1CCC[N@@H+](Cc2nc(C(=O)[O-])no2)C1 ZINC001573721765 1163541505 /nfs/dbraw/zinc/54/15/05/1163541505.db2.gz INJQNFPYWXOSRC-SNVBAGLBSA-N 0 2 310.354 0.896 20 0 DCADLN CCO[C@@H]1[C@H](C)[C@@H]1C(=O)NC1CN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC001573795064 1163556399 /nfs/dbraw/zinc/55/63/99/1163556399.db2.gz DOFHRLQCDHHAQO-BIGNPOOSSA-N 0 2 319.361 0.756 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)Nc2ccn3ncc(C(=O)[O-])c3c2)C1 ZINC001573885223 1163576691 /nfs/dbraw/zinc/57/66/91/1163576691.db2.gz PEFYOJVBQKRQDB-GFCCVEGCSA-N 0 2 304.306 0.302 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)Nc2ccn3ncc(C(=O)[O-])c3c2)C1 ZINC001573885223 1163576698 /nfs/dbraw/zinc/57/66/98/1163576698.db2.gz PEFYOJVBQKRQDB-GFCCVEGCSA-N 0 2 304.306 0.302 20 0 DCADLN COC(=O)C1(C)C[NH+](Cc2cnc3c(C(=O)[O-])cnn3c2)C1 ZINC001573916028 1163585382 /nfs/dbraw/zinc/58/53/82/1163585382.db2.gz MKOXPNOCTQVLSQ-UHFFFAOYSA-N 0 2 304.306 0.422 20 0 DCADLN C[S@@](=O)C1(C(=O)[O-])CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001574059400 1163632429 /nfs/dbraw/zinc/63/24/29/1163632429.db2.gz KCAFYBPIYGSYDZ-OAQYLSRUSA-N 0 2 313.379 0.167 20 0 DCADLN C[S@@](=O)C1(C(=O)[O-])CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001574059400 1163632436 /nfs/dbraw/zinc/63/24/36/1163632436.db2.gz KCAFYBPIYGSYDZ-OAQYLSRUSA-N 0 2 313.379 0.167 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)o1)C1=NN(C)CC1=O ZINC001575331161 1163977659 /nfs/dbraw/zinc/97/76/59/1163977659.db2.gz OURQLMHCZHEQFN-ZCFIWIBFSA-N 0 2 303.282 0.390 20 0 DCADLN CC1(C)OC[C@H](Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)O1 ZINC001575752004 1164134462 /nfs/dbraw/zinc/13/44/62/1164134462.db2.gz MEASVNGKSGMIGL-JTQLQIEISA-N 0 2 317.349 0.669 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2cc(C)ns2)c1=O ZINC001578735897 1165091538 /nfs/dbraw/zinc/09/15/38/1165091538.db2.gz GYWZBVDRYIPRJE-UHFFFAOYSA-N 0 2 319.350 0.023 20 0 DCADLN COC(=O)c1coc(Cn2cc(C)cc(-c3nn[nH]n3)c2=O)c1 ZINC001579492202 1165333363 /nfs/dbraw/zinc/33/33/63/1165333363.db2.gz XWSWXKBBJZLQLY-UHFFFAOYSA-N 0 2 315.289 0.765 20 0 DCADLN COc1ccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cc1F ZINC001580032414 1165479133 /nfs/dbraw/zinc/47/91/33/1165479133.db2.gz CJYYQJLGFREHCA-UHFFFAOYSA-N 0 2 318.268 0.325 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](C)[C@H]1CCOC1 ZINC001580601297 1165651459 /nfs/dbraw/zinc/65/14/59/1165651459.db2.gz UXSLJMPUOJOGFT-IUCAKERBSA-N 0 2 318.337 0.431 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@]1(C)CCO[C@@H]1C ZINC001580602299 1165652669 /nfs/dbraw/zinc/65/26/69/1165652669.db2.gz OYRDOUWPSFSUTO-XLKFXECMSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1CC(CCO)C1 ZINC001580602677 1165653266 /nfs/dbraw/zinc/65/32/66/1165653266.db2.gz QVKKTCKMBUXIGU-UHFFFAOYSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)N(C)c2ccccc2)c1 ZINC001580609160 1165655874 /nfs/dbraw/zinc/65/58/74/1165655874.db2.gz PQPKIFUYVNNVPX-UHFFFAOYSA-N 0 2 324.344 1.000 20 0 DCADLN Cc1cc(F)c(-c2nn[nH]n2)cc1NC(=O)CCc1nn[nH]n1 ZINC001580933623 1165759005 /nfs/dbraw/zinc/75/90/05/1165759005.db2.gz ZOXUXMSXHBJJEV-UHFFFAOYSA-N 0 2 317.288 0.399 20 0 DCADLN O=C(Nc1c[nH]nn1)Nc1nc(Cl)c(-c2nn[nH]n2)s1 ZINC001582632358 1166057416 /nfs/dbraw/zinc/05/74/16/1166057416.db2.gz FKXAPVNCPRZZJB-UHFFFAOYSA-N 0 2 312.706 0.739 20 0 DCADLN CC(C)CC(=O)NC[C@@](C)(NC(=O)C(=O)NCC1CC1)C1CC1 ZINC001582656859 1166063591 /nfs/dbraw/zinc/06/35/91/1166063591.db2.gz VKZLGXZARFCZMQ-QGZVFWFLSA-N 0 2 323.437 0.960 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1Cc1nncs1)CCC2 ZINC001582805982 1166078677 /nfs/dbraw/zinc/07/86/77/1166078677.db2.gz BHSAOFSMARZSBT-UHFFFAOYSA-N 0 2 301.335 0.417 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1CCO[C@H]1CCOC1)CCC2 ZINC001582806928 1166085593 /nfs/dbraw/zinc/08/55/93/1166085593.db2.gz UPKXGMJGSGRTPC-NSHDSACASA-N 0 2 317.349 0.323 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1ncc[nH]1 ZINC001583293192 1166239896 /nfs/dbraw/zinc/23/98/96/1166239896.db2.gz HHSKYFJQGSMZSQ-XRHZSYRXSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)c1ncc[nH]1 ZINC001583293192 1166239902 /nfs/dbraw/zinc/23/99/02/1166239902.db2.gz HHSKYFJQGSMZSQ-XRHZSYRXSA-N 0 2 308.235 0.712 20 0 DCADLN C[C@H](CCC1CC1)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589805089 1166691135 /nfs/dbraw/zinc/69/11/35/1166691135.db2.gz PSDJLPUAEWNCID-SSDOTTSWSA-N 0 2 305.342 0.657 20 0 DCADLN CCCc1nc(C)c(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)o1 ZINC001590660361 1166963421 /nfs/dbraw/zinc/96/34/21/1166963421.db2.gz IVCHZHYYTUXXQF-UHFFFAOYSA-N 0 2 317.309 0.426 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCOCCC(C)C)c1=O ZINC001590949505 1167057018 /nfs/dbraw/zinc/05/70/18/1167057018.db2.gz HHSJXKOBGRWNOH-UHFFFAOYSA-N 0 2 322.369 0.273 20 0 DCADLN CN(CCOCC1CC1)Cn1cccc(-c2nn[nH]n2)c1=O ZINC001591109558 1167105493 /nfs/dbraw/zinc/10/54/93/1167105493.db2.gz PMDOCYMHRJJWIA-UHFFFAOYSA-N 0 2 304.354 0.344 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](C)CCCO ZINC001591834573 1167390889 /nfs/dbraw/zinc/39/08/89/1167390889.db2.gz DHBYMIFZAJHKRQ-QMMMGPOBSA-N 0 2 306.326 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CCNC(=O)OC(C)(C)C)c1 ZINC001591836806 1167391639 /nfs/dbraw/zinc/39/16/39/1167391639.db2.gz KWYSSBBBSXXBPX-UHFFFAOYSA-N 0 2 320.353 0.862 20 0 DCADLN Cc1ccc(Nc2c(-c3nn[nH]n3)c(=O)n(C)c(=O)n2C)cc1 ZINC001592186722 1167473070 /nfs/dbraw/zinc/47/30/70/1167473070.db2.gz YRSMXRBOVMLHPW-UHFFFAOYSA-N 0 2 313.321 0.316 20 0 DCADLN Cc1nn(C[C@@H]2CC[C@@H](C3CC3)O2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436666 1167521992 /nfs/dbraw/zinc/52/19/92/1167521992.db2.gz YERZXULFDAYNER-RYUDHWBXSA-N 0 2 316.365 0.998 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC[S@@](=O)C[C@H](C)C1 ZINC001592499501 1167539421 /nfs/dbraw/zinc/53/94/21/1167539421.db2.gz NIUOMZZKWIBLCQ-CWMRNNLJSA-N 0 2 323.378 0.609 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC001592499465 1167539537 /nfs/dbraw/zinc/53/95/37/1167539537.db2.gz NDKJTXPVVOHIOD-MRVPVSSYSA-N 0 2 316.321 0.261 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC001592497042 1167539665 /nfs/dbraw/zinc/53/96/65/1167539665.db2.gz SIETZHCOIXHPBF-SSDOTTSWSA-N 0 2 304.310 0.071 20 0 DCADLN Cn1ncc(-c2nn[nH]n2)c1NS(=O)(=O)c1ccsc1 ZINC001592597312 1167576807 /nfs/dbraw/zinc/57/68/07/1167576807.db2.gz DANTZSWEIFCGIV-UHFFFAOYSA-N 0 2 311.352 0.463 20 0 DCADLN O=S(=O)(Nc1ccc(F)nc1)c1cncc(-c2nn[nH]n2)c1 ZINC001593445537 1167845072 /nfs/dbraw/zinc/84/50/72/1167845072.db2.gz VIIVIDMHCWWPJO-UHFFFAOYSA-N 0 2 321.297 0.597 20 0 DCADLN O=c1[nH]nc(CNc2nc3ncccc3cc2-c2nn[nH]n2)[nH]1 ZINC001593489576 1167872606 /nfs/dbraw/zinc/87/26/06/1167872606.db2.gz DPEDIYHLOSNALX-UHFFFAOYSA-N 0 2 310.281 0.246 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCOc1ccccc1 ZINC001593489785 1167872906 /nfs/dbraw/zinc/87/29/06/1167872906.db2.gz ZZISTVREPVKUGX-UHFFFAOYSA-N 0 2 300.278 0.208 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cnc2ccccc2n1 ZINC001593495531 1167880298 /nfs/dbraw/zinc/88/02/98/1167880298.db2.gz HJHXDTQISUPTCZ-UHFFFAOYSA-N 0 2 306.289 0.415 20 0 DCADLN COC(=O)CNC(=O)C[N@@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC001598865645 1168051747 /nfs/dbraw/zinc/05/17/47/1168051747.db2.gz ULTOTDJNFAYJNI-UHFFFAOYSA-N 0 2 306.318 0.032 20 0 DCADLN COC(=O)CNC(=O)C[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC001598865645 1168051753 /nfs/dbraw/zinc/05/17/53/1168051753.db2.gz ULTOTDJNFAYJNI-UHFFFAOYSA-N 0 2 306.318 0.032 20 0 DCADLN Cc1c(CCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cnn1C ZINC001600012143 1168095966 /nfs/dbraw/zinc/09/59/66/1168095966.db2.gz ZCLJHLHGKYGFLI-LBPRGKRZSA-N 0 2 305.338 0.196 20 0 DCADLN Cc1c(CCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cnn1C ZINC001600012143 1168095970 /nfs/dbraw/zinc/09/59/70/1168095970.db2.gz ZCLJHLHGKYGFLI-LBPRGKRZSA-N 0 2 305.338 0.196 20 0 DCADLN Cc1cc(C)c(NC(=O)C(=O)N2CC[C@@](F)(C(=O)[O-])C2)c(C)[nH+]1 ZINC001600162730 1168129551 /nfs/dbraw/zinc/12/95/51/1168129551.db2.gz QWMQLGKEUJXPBS-HNNXBMFYSA-N 0 2 323.324 0.971 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)[NH+]1CC(C)(C(N)=O)C1 ZINC001600352908 1168172680 /nfs/dbraw/zinc/17/26/80/1168172680.db2.gz QOUBWMDMDLXYHQ-SNVBAGLBSA-N 0 2 319.361 0.827 20 0 DCADLN Cc1cccn2cc(CC(=O)N3C[C@H](O)C[C@@H]3C(=O)[O-])[nH+]c12 ZINC001600589311 1168204082 /nfs/dbraw/zinc/20/40/82/1168204082.db2.gz HDSJCXLZYFZBGE-VXGBXAGGSA-N 0 2 303.318 0.232 20 0 DCADLN Cc1ncc(S(=O)(=O)N[C@@H](C)Cn2cc[nH+]c2)cc1C(=O)[O-] ZINC001600744737 1168271961 /nfs/dbraw/zinc/27/19/61/1168271961.db2.gz DIHUXUPYMDCDPM-VIFPVBQESA-N 0 2 324.362 0.652 20 0 DCADLN Cc1nc(N(C)CCCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])cc[nH+]1 ZINC001600751001 1168276399 /nfs/dbraw/zinc/27/63/99/1168276399.db2.gz QGQKCNWSWLJPAL-VXGBXAGGSA-N 0 2 306.366 0.790 20 0 DCADLN Cn1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C2CC2)n1 ZINC001600930270 1168512892 /nfs/dbraw/zinc/51/28/92/1168512892.db2.gz QHTGIJRSDZMMDI-NSHDSACASA-N 0 2 303.322 0.446 20 0 DCADLN Cn1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C2CC2)n1 ZINC001600930270 1168512901 /nfs/dbraw/zinc/51/29/01/1168512901.db2.gz QHTGIJRSDZMMDI-NSHDSACASA-N 0 2 303.322 0.446 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)c3ccc(C(=O)[O-])o3)CC[NH2+]2)cn1 ZINC001600943979 1168523413 /nfs/dbraw/zinc/52/34/13/1168523413.db2.gz OJDXAQWALIYHKP-JTQLQIEISA-N 0 2 304.306 0.498 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ccc(C(=O)[O-])c(F)c1 ZINC001600993423 1168550130 /nfs/dbraw/zinc/55/01/30/1168550130.db2.gz NKNRFLCKXNMODE-NSHDSACASA-N 0 2 307.281 0.721 20 0 DCADLN Cn1nc(C(=O)[O-])cc1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001601003478 1168558520 /nfs/dbraw/zinc/55/85/20/1168558520.db2.gz IVNZSZDJFSFFBU-UHFFFAOYSA-N 0 2 303.322 0.832 20 0 DCADLN Cn1ncc(Cl)c1C[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001601028006 1168571147 /nfs/dbraw/zinc/57/11/47/1168571147.db2.gz VGKSHZPCHQLLHZ-MRVPVSSYSA-N 0 2 310.745 0.810 20 0 DCADLN Cn1ncc(Cl)c1C[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001601028006 1168571150 /nfs/dbraw/zinc/57/11/50/1168571150.db2.gz VGKSHZPCHQLLHZ-MRVPVSSYSA-N 0 2 310.745 0.810 20 0 DCADLN Nc1ccc(CCC(=O)O[C@@H]2CCN(CCC(=O)[O-])C2=O)c[nH+]1 ZINC001601215937 1168662366 /nfs/dbraw/zinc/66/23/66/1168662366.db2.gz LHDWSWQODCQMJS-LLVKDONJSA-N 0 2 321.333 0.215 20 0 DCADLN O=C([O-])c1ccc(CCC(=O)NC[C@@H]2C[NH+]3CCN2CC3)cc1 ZINC001601287592 1168691621 /nfs/dbraw/zinc/69/16/21/1168691621.db2.gz TZTAYJSBOSOKQP-OAHLLOKOSA-N 0 2 317.389 0.433 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)NCCn3cc[nH+]c3)C[C@H]1COCC2 ZINC001601518486 1168771774 /nfs/dbraw/zinc/77/17/74/1168771774.db2.gz WDQTVLLJERGCPK-SMDDNHRTSA-N 0 2 308.338 0.016 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(CO)c(F)c1 ZINC001601567942 1168784391 /nfs/dbraw/zinc/78/43/91/1168784391.db2.gz BHDREPNPRQSTGF-GFCCVEGCSA-N 0 2 307.281 0.467 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(CO)c(F)c1 ZINC001601567942 1168784394 /nfs/dbraw/zinc/78/43/94/1168784394.db2.gz BHDREPNPRQSTGF-GFCCVEGCSA-N 0 2 307.281 0.467 20 0 DCADLN O=C([O-])[C@@H]1CC[C@@H]1NC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC001601571335 1168786177 /nfs/dbraw/zinc/78/61/77/1168786177.db2.gz GBWOPIGYHIQKJN-OLZOCXBDSA-N 0 2 321.377 0.413 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)N1CC[NH+]([C@H]3CCOC3)CC1)C2 ZINC001601574524 1168787785 /nfs/dbraw/zinc/78/77/85/1168787785.db2.gz PMIZSEPDDPYWCW-DVZHBHJUSA-N 0 2 323.393 0.356 20 0 DCADLN O=C([O-])[C@H]([NH2+]CC(=O)N1CCOCC1)c1ccc2c(c1)CCO2 ZINC001601671223 1168811827 /nfs/dbraw/zinc/81/18/27/1168811827.db2.gz USSJKULNOYWWBR-OAHLLOKOSA-N 0 2 320.345 0.196 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@H+](CCS(=O)(=O)c2ccc(F)cc2)C1 ZINC001601722598 1168831572 /nfs/dbraw/zinc/83/15/72/1168831572.db2.gz QQRMBXIFUTUIJC-CYBMUJFWSA-N 0 2 317.338 0.121 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@@H+](CCS(=O)(=O)c2ccc(F)cc2)C1 ZINC001601722598 1168831583 /nfs/dbraw/zinc/83/15/83/1168831583.db2.gz QQRMBXIFUTUIJC-CYBMUJFWSA-N 0 2 317.338 0.121 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001601776619 1168875379 /nfs/dbraw/zinc/87/53/79/1168875379.db2.gz CEBGNFUHPVECFI-KBPBESRZSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001601776619 1168875386 /nfs/dbraw/zinc/87/53/86/1168875386.db2.gz CEBGNFUHPVECFI-KBPBESRZSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)NCC1(O)C[NH+](CC2=CCCCC2)C1 ZINC001601778740 1168882685 /nfs/dbraw/zinc/88/26/85/1168882685.db2.gz JSPPEAMFBIJAOY-OLZOCXBDSA-N 0 2 308.378 0.370 20 0 DCADLN O=C([O-])CC[C@@H]1CCCN(C(=O)C(=O)NCCn2cc[nH+]c2)C1 ZINC001601975806 1168952133 /nfs/dbraw/zinc/95/21/33/1168952133.db2.gz RWWZXGPHVMHXCP-LBPRGKRZSA-N 0 2 322.365 0.103 20 0 DCADLN O=C([O-])CCN1CCC[C@H](SCC[NH+]2CCOCC2)C1=O ZINC001602013548 1168963508 /nfs/dbraw/zinc/96/35/08/1168963508.db2.gz RTFIGKRXNNTWGV-LBPRGKRZSA-N 0 2 316.423 0.518 20 0 DCADLN O=C([O-])CNC(=O)C[NH2+]Cc1ncc(Br)s1 ZINC001602070852 1168987449 /nfs/dbraw/zinc/98/74/49/1168987449.db2.gz KESMCKOKQNUTLZ-UHFFFAOYSA-N 0 2 308.157 0.196 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CCC(O)(COCCO)CC2)c1 ZINC001602149491 1169002832 /nfs/dbraw/zinc/00/28/32/1169002832.db2.gz IMUNEMRUHJDYCB-UHFFFAOYSA-N 0 2 310.350 0.049 20 0 DCADLN O=C([O-])c1ccc2c(c1)CN(C(=O)[C@@H](O)c1c[nH+]c[nH]1)CC2 ZINC001602419168 1169074869 /nfs/dbraw/zinc/07/48/69/1169074869.db2.gz QYSKNTAHUANSOS-ZDUSSCGKSA-N 0 2 301.302 0.726 20 0 DCADLN O=C([O-])c1coc(/C=C\C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)c1 ZINC001602553994 1169115646 /nfs/dbraw/zinc/11/56/46/1169115646.db2.gz RVXQEXOYPHLDQV-UIIMQBOASA-N 0 2 316.317 0.887 20 0 DCADLN O=C([O-])c1ncn(C[N@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)n1 ZINC001602580325 1169126683 /nfs/dbraw/zinc/12/66/83/1169126683.db2.gz UMZUAFKIMMHGQT-AWEZNQCLSA-N 0 2 306.297 0.666 20 0 DCADLN O=C([O-])c1ncn(C[N@@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)n1 ZINC001602580325 1169126702 /nfs/dbraw/zinc/12/67/02/1169126702.db2.gz UMZUAFKIMMHGQT-AWEZNQCLSA-N 0 2 306.297 0.666 20 0 DCADLN CC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)N[C@H](C(=O)[O-])C(C)C ZINC001603047012 1169239700 /nfs/dbraw/zinc/23/97/00/1169239700.db2.gz UQNHNHSBYIAIFR-JSGCOSHPSA-N 0 2 313.398 0.202 20 0 DCADLN CC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)N[C@H](C(=O)[O-])C(C)C ZINC001603047012 1169239696 /nfs/dbraw/zinc/23/96/96/1169239696.db2.gz UQNHNHSBYIAIFR-JSGCOSHPSA-N 0 2 313.398 0.202 20 0 DCADLN Cc1ccn2ncc(C(=O)N[C@H](C)c3nn(C)cc3O)c2n1 ZINC001603109373 1169247310 /nfs/dbraw/zinc/24/73/10/1169247310.db2.gz HCSGZEAYZKLESV-SECBINFHSA-N 0 2 300.322 0.968 20 0 DCADLN CC(C)C[C@H](C)N(C)C(=O)C[N@@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001603767961 1169382951 /nfs/dbraw/zinc/38/29/51/1169382951.db2.gz GJOAEKPLHFYBFZ-OBJOEFQTSA-N 0 2 300.399 0.789 20 0 DCADLN CC(C)C[C@H](C)N(C)C(=O)C[N@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001603767961 1169382965 /nfs/dbraw/zinc/38/29/65/1169382965.db2.gz GJOAEKPLHFYBFZ-OBJOEFQTSA-N 0 2 300.399 0.789 20 0 DCADLN CC[C@@H](CCO)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604030388 1169475108 /nfs/dbraw/zinc/47/51/08/1169475108.db2.gz UPAHTWLTVVAGJK-QMMMGPOBSA-N 0 2 306.326 0.167 20 0 DCADLN C[C@@H](CN(C1CC1)S(=O)(=O)CCn1cc[nH+]c1)C(=O)[O-] ZINC001604159056 1169508858 /nfs/dbraw/zinc/50/88/58/1169508858.db2.gz KCVBKBDEDVYNTP-JTQLQIEISA-N 0 2 301.368 0.398 20 0 DCADLN CCCCC[C@@H](C)NC(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001604171354 1169513011 /nfs/dbraw/zinc/51/30/11/1169513011.db2.gz CJXJFNYNTQEWLX-SNVBAGLBSA-N 0 2 319.369 0.508 20 0 DCADLN CCn1ccnc1NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604612924 1169629802 /nfs/dbraw/zinc/62/98/02/1169629802.db2.gz PRGYROSOHYODDP-UHFFFAOYSA-N 0 2 314.309 0.744 20 0 DCADLN CC1CC(CS(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)C1 ZINC001604663437 1169644982 /nfs/dbraw/zinc/64/49/82/1169644982.db2.gz QNEOEDRUPHSQSO-UHFFFAOYSA-N 0 2 304.412 0.455 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(C(=O)[O-])n(C)c2)CCO1 ZINC001604795307 1169686442 /nfs/dbraw/zinc/68/64/42/1169686442.db2.gz AMJFGRBXSVDYRU-SNVBAGLBSA-N 0 2 310.354 0.566 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(C(=O)[O-])n(C)c2)CCO1 ZINC001604795307 1169686447 /nfs/dbraw/zinc/68/64/47/1169686447.db2.gz AMJFGRBXSVDYRU-SNVBAGLBSA-N 0 2 310.354 0.566 20 0 DCADLN C[C@@H]1C[N@H+](CCc2cn(CC(=O)[O-])nn2)C[C@](C)(C(F)F)O1 ZINC001604796524 1169689131 /nfs/dbraw/zinc/68/91/31/1169689131.db2.gz DARQJOQNFDFTCX-NOZJJQNGSA-N 0 2 318.324 0.650 20 0 DCADLN CCC[C@@H](C)NC(=O)[C@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001605208077 1169869518 /nfs/dbraw/zinc/86/95/18/1169869518.db2.gz FMVOXGHMRKGILC-NEPJUHHUSA-N 0 2 323.397 0.951 20 0 DCADLN CCC[C@@H](C)NC(=O)[C@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001605208077 1169869527 /nfs/dbraw/zinc/86/95/27/1169869527.db2.gz FMVOXGHMRKGILC-NEPJUHHUSA-N 0 2 323.397 0.951 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1(C2CC2)CC1 ZINC001605507176 1169941918 /nfs/dbraw/zinc/94/19/18/1169941918.db2.gz VEBVXJBOPYPSGM-UHFFFAOYSA-N 0 2 300.322 0.948 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC1CSC1 ZINC001605510402 1169943107 /nfs/dbraw/zinc/94/31/07/1169943107.db2.gz RORGYPNSIJUVBT-UHFFFAOYSA-N 0 2 306.351 0.369 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@]1(C)CCOC1 ZINC001605509744 1169943543 /nfs/dbraw/zinc/94/35/43/1169943543.db2.gz HXYRZWGTYJOOSP-AWEZNQCLSA-N 0 2 318.337 0.432 20 0 DCADLN CCc1[nH]c(=O)c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1C ZINC001605856627 1170012510 /nfs/dbraw/zinc/01/25/10/1170012510.db2.gz WEXQVCCWCHNFHC-LBPRGKRZSA-N 0 2 318.333 0.807 20 0 DCADLN CCc1[nH]c(=O)c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1C ZINC001605856627 1170012518 /nfs/dbraw/zinc/01/25/18/1170012518.db2.gz WEXQVCCWCHNFHC-LBPRGKRZSA-N 0 2 318.333 0.807 20 0 DCADLN CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001605904930 1170024198 /nfs/dbraw/zinc/02/41/98/1170024198.db2.gz MGDIDIGILNJWGQ-WRWGMCAJSA-N 0 2 323.397 0.192 20 0 DCADLN CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001605904930 1170024202 /nfs/dbraw/zinc/02/42/02/1170024202.db2.gz MGDIDIGILNJWGQ-WRWGMCAJSA-N 0 2 323.397 0.192 20 0 DCADLN Cc1ccccc1CS(=O)(=O)Nc1n[nH]cc1-c1nn[nH]n1 ZINC001605942638 1170030868 /nfs/dbraw/zinc/03/08/68/1170030868.db2.gz ZVYFDDGLOLSLAY-UHFFFAOYSA-N 0 2 319.350 0.840 20 0 DCADLN CN(C(=O)[C@H]1C[C@@H]1C(=O)[O-])C1C[NH+](CCc2ccns2)C1 ZINC001605956610 1170035674 /nfs/dbraw/zinc/03/56/74/1170035674.db2.gz KIJKSWDIPJCMKK-RYUDHWBXSA-N 0 2 309.391 0.549 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C(=O)[O-])C1CC[NH+](Cc2cnns2)CC1 ZINC001605956636 1170035816 /nfs/dbraw/zinc/03/58/16/1170035816.db2.gz KKAZRXXXKMROHU-VXGBXAGGSA-N 0 2 324.406 0.682 20 0 DCADLN CN(C(=O)[C@H]1C[C@H]1C(=O)[O-])C1CC[NH+](Cc2cnns2)CC1 ZINC001605956629 1170036126 /nfs/dbraw/zinc/03/61/26/1170036126.db2.gz KKAZRXXXKMROHU-NWDGAFQWSA-N 0 2 324.406 0.682 20 0 DCADLN CN(C(=O)CCc1[nH+]ccn1C)[C@@H](COC1CCC1)C(=O)[O-] ZINC001605961064 1170036831 /nfs/dbraw/zinc/03/68/31/1170036831.db2.gz RPOKDNBWEKEBHY-LBPRGKRZSA-N 0 2 309.366 0.833 20 0 DCADLN C[N@H+](CC(=O)Nc1cccc(C(=O)[O-])c1)[C@@]1(CO)CCOC1 ZINC001606085082 1170073157 /nfs/dbraw/zinc/07/31/57/1170073157.db2.gz GGHFMHWLYALBQF-OAHLLOKOSA-N 0 2 308.334 0.407 20 0 DCADLN C[N@@H+](CC(=O)Nc1cccc(C(=O)[O-])c1)[C@@]1(CO)CCOC1 ZINC001606085082 1170073165 /nfs/dbraw/zinc/07/31/65/1170073165.db2.gz GGHFMHWLYALBQF-OAHLLOKOSA-N 0 2 308.334 0.407 20 0 DCADLN Cc1nn(C[C@H]2CCC[C@@H](O)C2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114520 1170078389 /nfs/dbraw/zinc/07/83/89/1170078389.db2.gz TWEJBHVOEMAJOY-WDEREUQCSA-N 0 2 304.354 0.591 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)C1(c2ccccc2)CC1 ZINC001606620921 1170245377 /nfs/dbraw/zinc/24/53/77/1170245377.db2.gz VHWNJGXLRJEMIO-UHFFFAOYSA-N 0 2 322.328 0.724 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCC[N@@H+]1CC(=O)NCc1ccccn1 ZINC001606826832 1170305271 /nfs/dbraw/zinc/30/52/71/1170305271.db2.gz AEVUGTFWNVPVJK-MRXNPFEDSA-N 0 2 321.377 0.654 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCC[N@H+]1CC(=O)NCc1ccccn1 ZINC001606826832 1170305276 /nfs/dbraw/zinc/30/52/76/1170305276.db2.gz AEVUGTFWNVPVJK-MRXNPFEDSA-N 0 2 321.377 0.654 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)Cn2cc(C(=O)[O-])cn2)c1C ZINC001607021636 1170359318 /nfs/dbraw/zinc/35/93/18/1170359318.db2.gz ZGCBKPXTCFLSJQ-UHFFFAOYSA-N 0 2 318.333 0.918 20 0 DCADLN O=C1C[C@H](Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCCC3)CN1 ZINC001607039040 1170362260 /nfs/dbraw/zinc/36/22/60/1170362260.db2.gz FJBINDOCOSDLPK-VIFPVBQESA-N 0 2 314.349 0.043 20 0 DCADLN O=S(=O)(NCCC(F)(F)F)c1ccc(-c2nn[nH]n2)o1 ZINC001607062116 1170377074 /nfs/dbraw/zinc/37/70/74/1170377074.db2.gz FMXBYGXEJFVWCJ-UHFFFAOYSA-N 0 2 311.245 0.690 20 0 DCADLN C[C@H]1[C@@H](C(F)(F)F)OCC[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001607482254 1170464690 /nfs/dbraw/zinc/46/46/90/1170464690.db2.gz IFKBTVNNSQHZPV-KWQFWETISA-N 0 2 312.288 0.571 20 0 DCADLN C[C@H]1[C@@H](C(F)(F)F)OCC[N@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001607482254 1170464692 /nfs/dbraw/zinc/46/46/92/1170464692.db2.gz IFKBTVNNSQHZPV-KWQFWETISA-N 0 2 312.288 0.571 20 0 DCADLN Cc1cc(S(=O)(=O)N2CCn3c[nH+]cc3C2)oc1C(=O)[O-] ZINC001607703188 1170497801 /nfs/dbraw/zinc/49/78/01/1170497801.db2.gz VTLLOUHHTVLCOJ-UHFFFAOYSA-N 0 2 311.319 0.687 20 0 DCADLN Cc1cccc([C@@H](CNC(=O)CC(=O)[O-])[NH+]2CCOCC2)c1 ZINC001607843386 1170511729 /nfs/dbraw/zinc/51/17/29/1170511729.db2.gz YGXTWXLWMORNFP-CQSZACIVSA-N 0 2 306.362 0.959 20 0 DCADLN Cc1nnc(CN2CCN(c3cc(C(=O)[O-])cc[nH+]3)CC2)[nH]1 ZINC001607955935 1170532830 /nfs/dbraw/zinc/53/28/30/1170532830.db2.gz NXIDFNPOURXYDE-UHFFFAOYSA-N 0 2 302.338 0.529 20 0 DCADLN Cc1oc(C[N@@H+]2CCC[C@@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001608049609 1170559925 /nfs/dbraw/zinc/55/99/25/1170559925.db2.gz VGGXYWOQLWKBBB-SNVBAGLBSA-N 0 2 302.352 0.539 20 0 DCADLN Cc1oc(C[N@H+]2CCC[C@@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001608049609 1170559931 /nfs/dbraw/zinc/55/99/31/1170559931.db2.gz VGGXYWOQLWKBBB-SNVBAGLBSA-N 0 2 302.352 0.539 20 0 DCADLN Cn1c[nH+]c(CCN2C(=O)[C@H]3CC[C@@H](C(=O)[O-])CN3C2=O)c1 ZINC001608135908 1170590076 /nfs/dbraw/zinc/59/00/76/1170590076.db2.gz YCKVUMWHVKRFDA-MWLCHTKSSA-N 0 2 306.322 0.090 20 0 DCADLN Cn1[nH]c(C[NH2+][C@H](C(=O)[O-])c2ccc(Cl)c(F)c2)nc1=O ZINC001608148106 1170595397 /nfs/dbraw/zinc/59/53/97/1170595397.db2.gz IZJDLRSBRRMPBE-JTQLQIEISA-N 0 2 314.704 0.816 20 0 DCADLN NC(=O)c1ccc(NC(=O)CCC(=O)[O-])c(-n2cc[nH+]c2)c1 ZINC001608244614 1170628953 /nfs/dbraw/zinc/62/89/53/1170628953.db2.gz KXQHQTRTONSKNQ-UHFFFAOYSA-N 0 2 302.290 0.775 20 0 DCADLN O=C(/C=C\c1cc(C(=O)[O-])co1)NCC1([NH+]2CCOCC2)CC1 ZINC001608286495 1170642501 /nfs/dbraw/zinc/64/25/01/1170642501.db2.gz VQHMKSNJEAGDCZ-UPHRSURJSA-N 0 2 320.345 0.972 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)CCCn1cc[nH+]c1 ZINC001608309529 1170647703 /nfs/dbraw/zinc/64/77/03/1170647703.db2.gz RPZPLFYVMCVMBJ-CYBMUJFWSA-N 0 2 302.334 0.870 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)COc1ccc(CO)cc1 ZINC001608350819 1170657551 /nfs/dbraw/zinc/65/75/51/1170657551.db2.gz DQUIXYAQVQDVEN-ZDUSSCGKSA-N 0 2 319.317 0.093 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)COc1ccc(CO)cc1 ZINC001608350819 1170657554 /nfs/dbraw/zinc/65/75/54/1170657554.db2.gz DQUIXYAQVQDVEN-ZDUSSCGKSA-N 0 2 319.317 0.093 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc2c(c1)COC2 ZINC001608363922 1170659208 /nfs/dbraw/zinc/65/92/08/1170659208.db2.gz MSAKSQKZMJDMME-AWEZNQCLSA-N 0 2 315.329 0.725 20 0 DCADLN O=C([O-])[C@H](CNC(=O)Cc1cn2c([nH+]1)CCCC2)[C@H]1CCOC1 ZINC001608368957 1170659412 /nfs/dbraw/zinc/65/94/12/1170659412.db2.gz ISURRSAZKXNFJG-WCQYABFASA-N 0 2 321.377 0.615 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)Cc1cnn2ccccc12 ZINC001608369606 1170660563 /nfs/dbraw/zinc/66/05/63/1170660563.db2.gz CEZSNTPZKXFOOV-LBPRGKRZSA-N 0 2 313.317 0.343 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc2n[nH]cc2c1 ZINC001608486646 1170688700 /nfs/dbraw/zinc/68/87/00/1170688700.db2.gz DMYGZKIHOFEICO-SNVBAGLBSA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc2n[nH]cc2c1 ZINC001608486646 1170688702 /nfs/dbraw/zinc/68/87/02/1170688702.db2.gz DMYGZKIHOFEICO-SNVBAGLBSA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])CCN(C(=O)c1n[nH]c2c1C[NH2+]CC2)C1CCOCC1 ZINC001608720577 1170743778 /nfs/dbraw/zinc/74/37/78/1170743778.db2.gz AIVAPFJUINAWMT-UHFFFAOYSA-N 0 2 322.365 0.151 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)[nH]1 ZINC001608872576 1170767348 /nfs/dbraw/zinc/76/73/48/1170767348.db2.gz VFOFADJXBDKCBS-LLVKDONJSA-N 0 2 307.350 0.650 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCCC(C)=O)c1 ZINC001609301800 1170925044 /nfs/dbraw/zinc/92/50/44/1170925044.db2.gz BWHUREMOLAMEGN-UHFFFAOYSA-N 0 2 305.294 0.184 20 0 DCADLN CO[C@H](Cc1ccccc1)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001609311425 1170926197 /nfs/dbraw/zinc/92/61/97/1170926197.db2.gz UGNFMRJTJVYMCZ-GFCCVEGCSA-N 0 2 312.333 0.681 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)N[C@@H](C)C(C)C)c1 ZINC001609386812 1170959116 /nfs/dbraw/zinc/95/91/16/1170959116.db2.gz XHOBPUKCGSMKRG-JTQLQIEISA-N 0 2 304.354 0.498 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC2(CS(C)(=O)=O)CC2)c1 ZINC001609386196 1170959719 /nfs/dbraw/zinc/95/97/19/1170959719.db2.gz CEVGCESCIRWAHH-UHFFFAOYSA-N 0 2 323.378 0.162 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2nsc(N(C)C)n2)c1 ZINC001609386672 1170960251 /nfs/dbraw/zinc/96/02/51/1170960251.db2.gz UMPXXPYZBFEZMQ-UHFFFAOYSA-N 0 2 318.366 0.303 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC(=O)N[C@H](C)C(C)C)c1 ZINC001609386813 1170960733 /nfs/dbraw/zinc/96/07/33/1170960733.db2.gz XHOBPUKCGSMKRG-SNVBAGLBSA-N 0 2 304.354 0.498 20 0 DCADLN Fc1cc(F)c(C[NH2+]CCn2cnc(-c3nn[n-]n3)n2)cc1F ZINC001609489617 1170997317 /nfs/dbraw/zinc/99/73/17/1170997317.db2.gz SLRBPZRLVNGUNL-UHFFFAOYSA-N 0 2 324.270 0.665 20 0 DCADLN CC(C)C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NCC(=O)NC(C)(C)C ZINC001609701684 1171070804 /nfs/dbraw/zinc/07/08/04/1171070804.db2.gz DFOBJCZVVTYTRZ-NSHDSACASA-N 0 2 315.414 0.448 20 0 DCADLN CC(C)C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NCC(=O)NC(C)(C)C ZINC001609701684 1171070809 /nfs/dbraw/zinc/07/08/09/1171070809.db2.gz DFOBJCZVVTYTRZ-NSHDSACASA-N 0 2 315.414 0.448 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCSC[C@@H]1CCO)C(=O)[O-] ZINC001609716378 1171072566 /nfs/dbraw/zinc/07/25/66/1171072566.db2.gz GKNJTCBUBSCLJI-JQWIXIFHSA-N 0 2 304.412 0.012 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCSC[C@@H]1CCO)C(=O)[O-] ZINC001609716378 1171072574 /nfs/dbraw/zinc/07/25/74/1171072574.db2.gz GKNJTCBUBSCLJI-JQWIXIFHSA-N 0 2 304.412 0.012 20 0 DCADLN C[C@@H](CS(=O)(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001609749226 1171077855 /nfs/dbraw/zinc/07/78/55/1171077855.db2.gz FQFYIKCJPSBUTE-GXFFZTMASA-N 0 2 312.391 0.813 20 0 DCADLN C[C@@H](CS(=O)(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001609749226 1171077859 /nfs/dbraw/zinc/07/78/59/1171077859.db2.gz FQFYIKCJPSBUTE-GXFFZTMASA-N 0 2 312.391 0.813 20 0 DCADLN CCOC(=O)[C@H]1C[NH2+]CCN1C(=O)Cc1cccc(C(=O)[O-])c1 ZINC001610014423 1171140035 /nfs/dbraw/zinc/14/00/35/1171140035.db2.gz NGGGFNWEXWTMJQ-CYBMUJFWSA-N 0 2 320.345 0.291 20 0 DCADLN COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)CC(C)(C)CC(=O)[O-] ZINC001610156103 1171181053 /nfs/dbraw/zinc/18/10/53/1171181053.db2.gz VWBMYHBSYKLODE-JTQLQIEISA-N 0 2 311.338 0.432 20 0 DCADLN COc1ccc(CC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001610328236 1171220910 /nfs/dbraw/zinc/22/09/10/1171220910.db2.gz UBWYNRGWLBANPE-ZDUSSCGKSA-N 0 2 303.318 0.704 20 0 DCADLN Cc1cc(C)n(CCC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001610456291 1171237268 /nfs/dbraw/zinc/23/72/68/1171237268.db2.gz WSACLYPSDOPPJC-GFCCVEGCSA-N 0 2 305.338 0.356 20 0 DCADLN Cc1ccnc(C[N@@H+]2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610536264 1171249396 /nfs/dbraw/zinc/24/93/96/1171249396.db2.gz KUOHPMAZLDWLLK-NSHDSACASA-N 0 2 302.338 0.912 20 0 DCADLN Cc1ccnc(C[N@H+]2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610536264 1171249399 /nfs/dbraw/zinc/24/93/99/1171249399.db2.gz KUOHPMAZLDWLLK-NSHDSACASA-N 0 2 302.338 0.912 20 0 DCADLN Cc1noc([C@@H]2CCC[N@@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C2)n1 ZINC001610577500 1171258730 /nfs/dbraw/zinc/25/87/30/1171258730.db2.gz MOXKEBFILVFRHP-YPMHNXCESA-N 0 2 324.381 0.783 20 0 DCADLN Cc1noc([C@@H]2CCC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C2)n1 ZINC001610577500 1171258735 /nfs/dbraw/zinc/25/87/35/1171258735.db2.gz MOXKEBFILVFRHP-YPMHNXCESA-N 0 2 324.381 0.783 20 0 DCADLN O=C([O-])c1sccc1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC001610695795 1171293855 /nfs/dbraw/zinc/29/38/55/1171293855.db2.gz QEVAGZIEZBFOFW-UHFFFAOYSA-N 0 2 308.319 0.398 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1noc2c1CCCC2 ZINC001610701898 1171294962 /nfs/dbraw/zinc/29/49/62/1171294962.db2.gz VRLRVLAFYAGFGB-JTQLQIEISA-N 0 2 304.306 0.702 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1noc2c1CCCC2 ZINC001610701898 1171294964 /nfs/dbraw/zinc/29/49/64/1171294964.db2.gz VRLRVLAFYAGFGB-JTQLQIEISA-N 0 2 304.306 0.702 20 0 DCADLN O=C([O-])c1cnoc1C[N@@H+]1CCCC[C@H]1C(=O)N1CCOCC1 ZINC001610865039 1171344804 /nfs/dbraw/zinc/34/48/04/1171344804.db2.gz VAFIZPFDJPWBJU-LBPRGKRZSA-N 0 2 323.349 0.586 20 0 DCADLN O=C([O-])c1cnoc1C[N@H+]1CCCC[C@H]1C(=O)N1CCOCC1 ZINC001610865039 1171344810 /nfs/dbraw/zinc/34/48/10/1171344810.db2.gz VAFIZPFDJPWBJU-LBPRGKRZSA-N 0 2 323.349 0.586 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001617080192 1171385226 /nfs/dbraw/zinc/38/52/26/1171385226.db2.gz NZMHKAONBUBTKI-UHFFFAOYSA-N 0 2 305.319 0.913 20 0 DCADLN CN1C(=O)C[C@H](C(=O)NNC(=O)c2ccccn2)c2ccccc21 ZINC000029505451 1171417916 /nfs/dbraw/zinc/41/79/16/1171417916.db2.gz RGSPVVRFYFXJHX-LBPRGKRZSA-N 0 2 324.340 0.993 20 0 DCADLN Cn1cc[nH+]c1CCNS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000040639454 1171494496 /nfs/dbraw/zinc/49/44/96/1171494496.db2.gz GBYYJANADNKRCG-UHFFFAOYSA-N 0 2 309.347 0.639 20 0 DCADLN O=C(CCCc1nn[nH]n1)NCc1nnc(-c2ccccc2)[nH]1 ZINC001632212615 1171613173 /nfs/dbraw/zinc/61/31/73/1171613173.db2.gz MEDICDNMZMWLEH-UHFFFAOYSA-N 0 2 312.337 0.624 20 0 DCADLN O=C(Nc1ccc(-n2nn[n-]c2=O)cc1)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001632992329 1171629763 /nfs/dbraw/zinc/62/97/63/1171629763.db2.gz CGHINNZFOZPCJF-GHMZBOCLSA-N 0 2 311.305 0.833 20 0 DCADLN O=C(Nc1ccc(-n2nn[n-]c2=O)cc1)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001632992329 1171629767 /nfs/dbraw/zinc/62/97/67/1171629767.db2.gz CGHINNZFOZPCJF-GHMZBOCLSA-N 0 2 311.305 0.833 20 0 DCADLN Cn1nncc1C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635456056 1171703184 /nfs/dbraw/zinc/70/31/84/1171703184.db2.gz MZFMCIYOMZWIGK-SNVBAGLBSA-N 0 2 313.321 0.353 20 0 DCADLN O=C(Cc1n[nH]c(C2CC2)n1)Nc1cccc(Cc2nn[nH]n2)c1 ZINC001638554960 1171800382 /nfs/dbraw/zinc/80/03/82/1171800382.db2.gz YXZSTVDLCARSTP-UHFFFAOYSA-N 0 2 324.348 0.967 20 0 DCADLN O=c1[nH]nc(CCCNc2cc(C(F)F)nc3ncnn32)[nH]1 ZINC001639529799 1171840829 /nfs/dbraw/zinc/84/08/29/1171840829.db2.gz CJKYLSYJTVHKAX-UHFFFAOYSA-N 0 2 310.268 0.930 20 0 DCADLN C[C@H](NC(=O)c1cncc2ncn(C)c21)c1nn(C)cc1O ZINC001640030546 1171863427 /nfs/dbraw/zinc/86/34/27/1171863427.db2.gz JAHCODPPAKJINU-QMMMGPOBSA-N 0 2 300.322 0.898 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1CCNC1=O ZINC001643473512 1171978795 /nfs/dbraw/zinc/97/87/95/1171978795.db2.gz JMEXRYOUFGGCNM-WDEREUQCSA-N 0 2 315.333 0.046 20 0 DCADLN CC(C)c1cc(C(=O)Nc2nc(CS(C)(=O)=O)no2)on1 ZINC001645653383 1172089243 /nfs/dbraw/zinc/08/92/43/1172089243.db2.gz AYPVRKGCMJYVBF-UHFFFAOYSA-N 0 2 314.323 0.978 20 0 DCADLN Cc1n[nH]c([C@H]2CC[N@@H+]([C@H](C)c3nc(-c4nc[nH]n4)no3)C2)n1 ZINC001646221783 1172293939 /nfs/dbraw/zinc/29/39/39/1172293939.db2.gz TWJMPRKFXJSKOG-APPZFPTMSA-N 0 2 315.341 0.832 20 0 DCADLN Cc1n[nH]c([C@H]2CC[N@H+]([C@H](C)c3nc(-c4nc[nH]n4)no3)C2)n1 ZINC001646221783 1172293943 /nfs/dbraw/zinc/29/39/43/1172293943.db2.gz TWJMPRKFXJSKOG-APPZFPTMSA-N 0 2 315.341 0.832 20 0 DCADLN CN(Cc1nnc2c(=O)n(C)ccn12)C(=O)C(F)C(F)(F)F ZINC001646993988 1172632175 /nfs/dbraw/zinc/63/21/75/1172632175.db2.gz RBWPAAHFIVQEIF-ZETCQYMHSA-N 0 2 321.234 0.287 20 0 DCADLN CN(Cc1nnc2c(=O)n(C)ccn12)C(=O)[C@H](F)C(F)(F)F ZINC001646993988 1172632177 /nfs/dbraw/zinc/63/21/77/1172632177.db2.gz RBWPAAHFIVQEIF-ZETCQYMHSA-N 0 2 321.234 0.287 20 0 DCADLN CCc1[nH]c(=O)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1C ZINC001648597792 1173078063 /nfs/dbraw/zinc/07/80/63/1173078063.db2.gz PHPDZOVZIQFGJC-UHFFFAOYSA-N 0 2 305.338 0.844 20 0 DCADLN O=C(NCc1n[nH]c(=O)[n-]1)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001649713177 1173178983 /nfs/dbraw/zinc/17/89/83/1173178983.db2.gz MYUACQRDRYFDNL-GFCCVEGCSA-N 0 2 316.365 0.576 20 0 DCADLN O=C(NCc1n[nH]c(=O)[n-]1)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001649713177 1173178988 /nfs/dbraw/zinc/17/89/88/1173178988.db2.gz MYUACQRDRYFDNL-GFCCVEGCSA-N 0 2 316.365 0.576 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])C[N@@H+]1Cc1nnn(CC(F)(F)F)n1 ZINC001649881670 1173280442 /nfs/dbraw/zinc/28/04/42/1173280442.db2.gz CNHAXCPMUAXWTJ-SFYZADRCSA-N 0 2 307.276 0.921 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cc1nnn(CC(F)(F)F)n1 ZINC001649881670 1173280446 /nfs/dbraw/zinc/28/04/46/1173280446.db2.gz CNHAXCPMUAXWTJ-SFYZADRCSA-N 0 2 307.276 0.921 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CCCC(C)(F)F)[nH]1 ZINC001650028932 1173391283 /nfs/dbraw/zinc/39/12/83/1173391283.db2.gz BARYHUGGMLKOQH-UHFFFAOYSA-N 0 2 312.342 0.806 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(Cn2ccnn2)o1 ZINC001654366195 1173803474 /nfs/dbraw/zinc/80/34/74/1173803474.db2.gz RGXBWZIHUDMCQO-UHFFFAOYSA-N 0 2 317.309 0.106 20 0 DCADLN COCCOc1ccc(C[NH2+]CC(=O)N2CC[NH+](C)CC2)cc1 ZINC001656984430 1173899416 /nfs/dbraw/zinc/89/94/16/1173899416.db2.gz HJNCGQGMZZJTFB-UHFFFAOYSA-N 0 2 321.421 0.575 20 0 DCADLN CCc1nnc(C[NH2+]CCN(C)C(=O)Cn2cc[nH+]c2C)s1 ZINC001664370029 1174426707 /nfs/dbraw/zinc/42/67/07/1174426707.db2.gz UMANGDHOCZNHGG-UHFFFAOYSA-N 0 2 322.438 0.854 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnnn1-c1ccccc1 ZINC001672579409 1175128125 /nfs/dbraw/zinc/12/81/25/1175128125.db2.gz CXDXMCDUQWBNSR-UHFFFAOYSA-N 0 2 300.282 0.413 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CCOCC[NH2+]Cc2ccon2)c1[O-] ZINC001677485006 1175569022 /nfs/dbraw/zinc/56/90/22/1175569022.db2.gz LPXLKIUUDORLOF-UHFFFAOYSA-N 0 2 323.353 0.290 20 0 DCADLN C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001682397027 1175936441 /nfs/dbraw/zinc/93/64/41/1175936441.db2.gz KHWAYDBTPSVWGY-IZDQUALLSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001682397027 1175936448 /nfs/dbraw/zinc/93/64/48/1175936448.db2.gz KHWAYDBTPSVWGY-IZDQUALLSA-N 0 2 312.263 0.541 20 0 DCADLN Cn1nccc1CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001686680686 1176191099 /nfs/dbraw/zinc/19/10/99/1176191099.db2.gz UPGOWFNSPRJWKX-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN Cn1nccc1CCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001686680686 1176191101 /nfs/dbraw/zinc/19/11/01/1176191101.db2.gz UPGOWFNSPRJWKX-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1csnn1 ZINC001687051747 1176269328 /nfs/dbraw/zinc/26/93/28/1176269328.db2.gz ROWCFHRZOKGVPY-ZCFIWIBFSA-N 0 2 314.264 0.675 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1csnn1 ZINC001687051747 1176269330 /nfs/dbraw/zinc/26/93/30/1176269330.db2.gz ROWCFHRZOKGVPY-ZCFIWIBFSA-N 0 2 314.264 0.675 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)Nc2n[nH]c(C(C)C)n2)co1 ZINC000128275553 1177344324 /nfs/dbraw/zinc/34/43/24/1177344324.db2.gz RZYVGQVGOZFZKG-UHFFFAOYSA-N 0 2 313.339 0.682 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)c1ncccc1F ZINC001703412818 1179529249 /nfs/dbraw/zinc/52/92/49/1179529249.db2.gz SFKPFOHIQWBMKJ-UHFFFAOYSA-N 0 2 319.340 0.731 20 0 DCADLN CC(C)[C@@H](CCNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001735132777 1186805504 /nfs/dbraw/zinc/80/55/04/1186805504.db2.gz AWUOMIOXQCIHMN-RNFRBKRXSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@@H](CCNC(=O)C(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001735132777 1186805508 /nfs/dbraw/zinc/80/55/08/1186805508.db2.gz AWUOMIOXQCIHMN-RNFRBKRXSA-N 0 2 315.267 0.019 20 0 DCADLN Cc1n[nH]c(C(=O)NCCCNC(=O)C[N@H+]2CCC[C@H]2C)c1C ZINC001736902881 1187169416 /nfs/dbraw/zinc/16/94/16/1187169416.db2.gz RNYVGERNWOHFPK-LLVKDONJSA-N 0 2 321.425 0.747 20 0 DCADLN CN(CCCNC(=O)[C@@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001737108908 1187245725 /nfs/dbraw/zinc/24/57/25/1187245725.db2.gz MCUVNBYHOGBQIO-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCCNC(=O)[C@@H]1CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001737108908 1187245728 /nfs/dbraw/zinc/24/57/28/1187245728.db2.gz MCUVNBYHOGBQIO-RKDXNWHRSA-N 0 2 314.279 0.888 20 0 DCADLN CCCOCC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001737738084 1187396876 /nfs/dbraw/zinc/39/68/76/1187396876.db2.gz UCTJPGAFDLQTAV-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCCOCC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001737738084 1187396877 /nfs/dbraw/zinc/39/68/77/1187396877.db2.gz UCTJPGAFDLQTAV-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCn1ccc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001742473319 1187991375 /nfs/dbraw/zinc/99/13/75/1187991375.db2.gz RQDIITVCEQSPCQ-SNVBAGLBSA-N 0 2 324.278 0.578 20 0 DCADLN CCn1ccc(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001742473319 1187991386 /nfs/dbraw/zinc/99/13/86/1187991386.db2.gz RQDIITVCEQSPCQ-SNVBAGLBSA-N 0 2 324.278 0.578 20 0 DCADLN C[C@@H]1CCN(C(=O)CSc2n[nH]c(=O)[n-]2)C[C@H]1n1cc[nH+]c1 ZINC000288284527 1188226753 /nfs/dbraw/zinc/22/67/53/1188226753.db2.gz GYRICXFCGZLZFM-NXEZZACHSA-N 0 2 322.394 0.496 20 0 DCADLN O=C(Cc1cnoc1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001747245333 1188255651 /nfs/dbraw/zinc/25/56/51/1188255651.db2.gz WVAFNOKTFZQXFW-TXXBHVLJSA-N 0 2 323.246 0.906 20 0 DCADLN O=C(Cc1cnoc1)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001747245333 1188255654 /nfs/dbraw/zinc/25/56/54/1188255654.db2.gz WVAFNOKTFZQXFW-TXXBHVLJSA-N 0 2 323.246 0.906 20 0 DCADLN CCn1nncc1C[NH2+]CCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001754411188 1188848300 /nfs/dbraw/zinc/84/83/00/1188848300.db2.gz LGBHDCCIZIZSRF-UHFFFAOYSA-N 0 2 319.413 0.510 20 0 DCADLN C[C@H](CNC(=O)C=Cc1ccc[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001755296720 1189071791 /nfs/dbraw/zinc/07/17/91/1189071791.db2.gz PZOZRSQKWIOUTP-WWQCOOJYSA-N 0 2 304.354 0.488 20 0 DCADLN C[C@H](CNC(=O)C=Cc1ccc[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001755296720 1189071793 /nfs/dbraw/zinc/07/17/93/1189071793.db2.gz PZOZRSQKWIOUTP-WWQCOOJYSA-N 0 2 304.354 0.488 20 0 DCADLN CNC(=O)CC(=O)N[C@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001758866151 1190020341 /nfs/dbraw/zinc/02/03/41/1190020341.db2.gz LOCRYANIDXVLRN-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN CNC(=O)CC(=O)N[C@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001758866151 1190020346 /nfs/dbraw/zinc/02/03/46/1190020346.db2.gz LOCRYANIDXVLRN-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN Cc1nonc1C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001759718992 1190278988 /nfs/dbraw/zinc/27/89/88/1190278988.db2.gz SIYFXOJRFJRRPU-KZUAUGPASA-N 0 2 324.234 0.681 20 0 DCADLN Cc1nonc1C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001759718992 1190278992 /nfs/dbraw/zinc/27/89/92/1190278992.db2.gz SIYFXOJRFJRRPU-KZUAUGPASA-N 0 2 324.234 0.681 20 0 DCADLN CC(=O)N1Cc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc2C1 ZINC001771623399 1190453831 /nfs/dbraw/zinc/45/38/31/1190453831.db2.gz KBWSMGLFRDLUAO-UHFFFAOYSA-N 0 2 316.321 0.694 20 0 DCADLN COC[C@H](NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1)C(=O)[O-] ZINC001771920614 1190556165 /nfs/dbraw/zinc/55/61/65/1190556165.db2.gz AWRAOPGBRQVOGC-UONOGXRCSA-N 0 2 321.377 0.652 20 0 DCADLN COC[C@H](NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1)C(=O)[O-] ZINC001771920614 1190556169 /nfs/dbraw/zinc/55/61/69/1190556169.db2.gz AWRAOPGBRQVOGC-UONOGXRCSA-N 0 2 321.377 0.652 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C1CCOCC1 ZINC001771919698 1190556595 /nfs/dbraw/zinc/55/65/95/1190556595.db2.gz VALSNEQOKYAZKD-AAEUAGOBSA-N 0 2 307.350 0.442 20 0 DCADLN C[C@@H]1[C@@H](CO)CCCN1c1nnc(-c2n[nH]c(Cl)n2)n1C ZINC001772150676 1190615294 /nfs/dbraw/zinc/61/52/94/1190615294.db2.gz HVXCILFFDCDWGR-HTQZYQBOSA-N 0 2 311.777 0.851 20 0 DCADLN Cc1cncc(C[N@H+]2C[C@@H](NC(=O)c3n[nH]cc3F)[C@@H](O)C2)c1 ZINC001083758746 751411554 /nfs/dbraw/zinc/41/15/54/751411554.db2.gz QOKWIFVCQCLLHJ-OLZOCXBDSA-N 0 2 319.340 0.227 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)[C@H]1C[C@@H](c2ccccc2)OC1=O ZINC001167491681 747302832 /nfs/dbraw/zinc/30/28/32/747302832.db2.gz DUFFGXXOVURZJS-MNOVXSKESA-N 0 2 316.317 0.218 20 0 DCADLN C[NH+](C)Cc1nc(CSCCNC(=O)C(=O)[O-])cs1 ZINC001143423050 747336440 /nfs/dbraw/zinc/33/64/40/747336440.db2.gz WTEWOIUYNAXEHK-UHFFFAOYSA-N 0 2 303.409 0.639 20 0 DCADLN CN(C(=O)C[C@@H]1CC(C)(C)CO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043317289 752176898 /nfs/dbraw/zinc/17/68/98/752176898.db2.gz IDLUZBLXTHUILG-LLVKDONJSA-N 0 2 323.397 0.358 20 0 DCADLN CCc1noc([C@H](C)[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001125746134 747545774 /nfs/dbraw/zinc/54/57/74/747545774.db2.gz ZPIHWDOLNGYICC-QMMMGPOBSA-N 0 2 308.342 0.450 20 0 DCADLN CC(C)(F)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043374521 752201988 /nfs/dbraw/zinc/20/19/88/752201988.db2.gz GNSXYHIQBIFIHE-LURJTMIESA-N 0 2 318.242 0.324 20 0 DCADLN CC(C)(F)C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043374521 752201993 /nfs/dbraw/zinc/20/19/93/752201993.db2.gz GNSXYHIQBIFIHE-LURJTMIESA-N 0 2 318.242 0.324 20 0 DCADLN COCC(=O)N1CC[C@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001059027436 748590903 /nfs/dbraw/zinc/59/09/03/748590903.db2.gz CFQCAMZINPLYGJ-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CC[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001059027436 748590909 /nfs/dbraw/zinc/59/09/09/748590909.db2.gz CFQCAMZINPLYGJ-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071475743 761890975 /nfs/dbraw/zinc/89/09/75/761890975.db2.gz BEVVVMXXFADZGM-WDEREUQCSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071475743 761890984 /nfs/dbraw/zinc/89/09/84/761890984.db2.gz BEVVVMXXFADZGM-WDEREUQCSA-N 0 2 318.381 0.930 20 0 DCADLN COCCN(CCNC(=O)C(F)C(F)(F)F)c1ncccn1 ZINC001126555786 749098401 /nfs/dbraw/zinc/09/84/01/749098401.db2.gz SDTNIAZDGUXLCR-SECBINFHSA-N 0 2 324.278 0.946 20 0 DCADLN COCCN(CCNC(=O)[C@@H](F)C(F)(F)F)c1ncccn1 ZINC001126555786 749098410 /nfs/dbraw/zinc/09/84/10/749098410.db2.gz SDTNIAZDGUXLCR-SECBINFHSA-N 0 2 324.278 0.946 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccns2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071545573 761947274 /nfs/dbraw/zinc/94/72/74/761947274.db2.gz BGMCFEAQTPLMNY-IUCAKERBSA-N 0 2 322.394 0.750 20 0 DCADLN CC/C=C(\C)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001211967904 749698983 /nfs/dbraw/zinc/69/89/83/749698983.db2.gz USFRVOPKSLBXHK-UJQHZETGSA-N 0 2 309.370 0.182 20 0 DCADLN Cc1ncc(CC(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)o1 ZINC001088619454 749800173 /nfs/dbraw/zinc/80/01/73/749800173.db2.gz MVDMFXIJGBSNRI-KCJUWKMLSA-N 0 2 320.353 0.128 20 0 DCADLN Cc1ncc(CC(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)o1 ZINC001088619454 749800181 /nfs/dbraw/zinc/80/01/81/749800181.db2.gz MVDMFXIJGBSNRI-KCJUWKMLSA-N 0 2 320.353 0.128 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(CCOCCO)CC1 ZINC001112867520 761984050 /nfs/dbraw/zinc/98/40/50/761984050.db2.gz UKZIKDDQNVBSIN-VIFPVBQESA-N 0 2 302.268 0.040 20 0 DCADLN Cc1nsc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142385 750978820 /nfs/dbraw/zinc/97/88/20/750978820.db2.gz OVPWMVVFKWSDLR-PHDIDXHHSA-N 0 2 316.280 0.636 20 0 DCADLN Cc1nsc(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001106142385 750978822 /nfs/dbraw/zinc/97/88/22/750978822.db2.gz OVPWMVVFKWSDLR-PHDIDXHHSA-N 0 2 316.280 0.636 20 0 DCADLN CN(C(=O)c1cc[nH]c1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043662218 752340324 /nfs/dbraw/zinc/34/03/24/752340324.db2.gz DHZXONSNDORTQS-UHFFFAOYSA-N 0 2 316.365 0.672 20 0 DCADLN C[C@]1(CNC(=O)C2CC=CC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107833596 752394639 /nfs/dbraw/zinc/39/46/39/752394639.db2.gz KPPPQCGGCSQLGU-HNNXBMFYSA-N 0 2 321.381 0.184 20 0 DCADLN CCn1cccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044300557 752678490 /nfs/dbraw/zinc/67/84/90/752678490.db2.gz OBIFOBDLVIWXPJ-UHFFFAOYSA-N 0 2 304.354 0.288 20 0 DCADLN CC[C@@H](F)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001044815645 752888494 /nfs/dbraw/zinc/88/84/94/752888494.db2.gz GEKKMVBJUNLFEK-RNFRBKRXSA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@@H](F)C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001044815645 752888498 /nfs/dbraw/zinc/88/84/98/752888498.db2.gz GEKKMVBJUNLFEK-RNFRBKRXSA-N 0 2 318.242 0.324 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001044898641 752920890 /nfs/dbraw/zinc/92/08/90/752920890.db2.gz OUPDLCMQKWCKIO-FXQIFTODSA-N 0 2 312.263 0.232 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001044898641 752920897 /nfs/dbraw/zinc/92/08/97/752920897.db2.gz OUPDLCMQKWCKIO-FXQIFTODSA-N 0 2 312.263 0.232 20 0 DCADLN Cc1nnc(C[NH2+]C[C@@H]2CCCN2C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001044996721 752984216 /nfs/dbraw/zinc/98/42/16/752984216.db2.gz CEGATAAFUIQMBJ-JTQLQIEISA-N 0 2 319.369 0.245 20 0 DCADLN O=C(c1cn[nH]c1)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045721085 753301999 /nfs/dbraw/zinc/30/19/99/753301999.db2.gz PLDFUEBYLHWEOK-MNOVXSKESA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1cn[nH]c1)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045721085 753302002 /nfs/dbraw/zinc/30/20/02/753302002.db2.gz PLDFUEBYLHWEOK-MNOVXSKESA-N 0 2 317.353 0.113 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2ccon2)C12CCC2)c1cnn[nH]1 ZINC001078665554 753332705 /nfs/dbraw/zinc/33/27/05/753332705.db2.gz JQGOBKJVYJNEQQ-NEPJUHHUSA-N 0 2 302.338 0.624 20 0 DCADLN C[C@]1(NC(=O)c2cccc(=O)[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046221508 753568995 /nfs/dbraw/zinc/56/89/95/753568995.db2.gz NFHKZKOVSPZABS-AWEZNQCLSA-N 0 2 318.337 0.005 20 0 DCADLN C[C@]1(NC(=O)c2cccc(=O)[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046221508 753569001 /nfs/dbraw/zinc/56/90/01/753569001.db2.gz NFHKZKOVSPZABS-AWEZNQCLSA-N 0 2 318.337 0.005 20 0 DCADLN C[C@@]1(NC(=O)c2ccc(F)cn2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046258302 753594534 /nfs/dbraw/zinc/59/45/34/753594534.db2.gz SICKIAATGKOKJI-CQSZACIVSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1coc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001046556072 753788494 /nfs/dbraw/zinc/78/84/94/753788494.db2.gz CQRXAEKAZMCOBN-CQSZACIVSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1conc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046761392 753931043 /nfs/dbraw/zinc/93/10/43/753931043.db2.gz YMRMJDNMELMQPM-CYBMUJFWSA-N 0 2 306.326 0.201 20 0 DCADLN CC(C)=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001047346581 754202901 /nfs/dbraw/zinc/20/29/01/754202901.db2.gz SOCBDWWDXOJQPL-RYUDHWBXSA-N 0 2 308.382 0.507 20 0 DCADLN CC(C)=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001047346581 754202908 /nfs/dbraw/zinc/20/29/08/754202908.db2.gz SOCBDWWDXOJQPL-RYUDHWBXSA-N 0 2 308.382 0.507 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096272122 754576618 /nfs/dbraw/zinc/57/66/18/754576618.db2.gz KTEGAABMJJENPS-UTLUCORTSA-N 0 2 318.337 0.342 20 0 DCADLN CCCC(=O)N(C)[C@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001048066170 754598979 /nfs/dbraw/zinc/59/89/79/754598979.db2.gz ZTLBFCVCEJSWFI-NRPADANISA-N 0 2 314.279 0.717 20 0 DCADLN CCCC(=O)N(C)[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC001048066170 754598981 /nfs/dbraw/zinc/59/89/81/754598981.db2.gz ZTLBFCVCEJSWFI-NRPADANISA-N 0 2 314.279 0.717 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CCCC1 ZINC001096714613 755505883 /nfs/dbraw/zinc/50/58/83/755505883.db2.gz PPHASUZIRKOSGQ-UTUOFQBUSA-N 0 2 305.382 0.922 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096921047 755550394 /nfs/dbraw/zinc/55/03/94/755550394.db2.gz QBABYHNNZGWUKU-BBBLOLIVSA-N 0 2 318.337 0.342 20 0 DCADLN Cc1noc([C@H](C)N2C[C@@H](C)[C@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001080023906 756078403 /nfs/dbraw/zinc/07/84/03/756078403.db2.gz DMAKVNYTRNTZHM-VHSKPIJISA-N 0 2 305.342 0.307 20 0 DCADLN Cc1noc([C@H](C)[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001080023906 756078409 /nfs/dbraw/zinc/07/84/09/756078409.db2.gz DMAKVNYTRNTZHM-VHSKPIJISA-N 0 2 305.342 0.307 20 0 DCADLN Cc1noc([C@H](C)[N@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001080023906 756078412 /nfs/dbraw/zinc/07/84/12/756078412.db2.gz DMAKVNYTRNTZHM-VHSKPIJISA-N 0 2 305.342 0.307 20 0 DCADLN Cc1nnc([C@H](C)N2C[C@@H](C)[C@H](NC(=O)c3cnn[nH]3)C2)o1 ZINC001080029659 756080591 /nfs/dbraw/zinc/08/05/91/756080591.db2.gz KHFUSHUTAUYRFL-VHSKPIJISA-N 0 2 305.342 0.307 20 0 DCADLN O=C(N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1)C1=CCCC1 ZINC001053773110 756195581 /nfs/dbraw/zinc/19/55/81/756195581.db2.gz DDNHLWXOPCVGQP-NSHDSACASA-N 0 2 319.365 0.080 20 0 DCADLN CCC(=O)N1CCC(CO)(NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001080930357 756388761 /nfs/dbraw/zinc/38/87/61/756388761.db2.gz TXHVIVZLBDOLHK-UHFFFAOYSA-N 0 2 308.382 0.140 20 0 DCADLN COCC[N@@H+]1C[C@@H](NC(=O)CN2CCC(C)CC2)[C@H](OC)C1 ZINC001082271541 756990531 /nfs/dbraw/zinc/99/05/31/756990531.db2.gz GPWOWYSMLFTWPK-HUUCEWRRSA-N 0 2 313.442 0.180 20 0 DCADLN Cc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001085471638 758919860 /nfs/dbraw/zinc/91/98/60/758919860.db2.gz UHWNSBTXNJLKNB-SNVBAGLBSA-N 0 2 305.338 0.758 20 0 DCADLN CCc1ocnc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085522642 758997695 /nfs/dbraw/zinc/99/76/95/758997695.db2.gz RUHBJCJSJMIFJF-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1CC(C)(C)C1 ZINC001085581360 759102359 /nfs/dbraw/zinc/10/23/59/759102359.db2.gz JGHGCOKOOUENPY-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001085867111 759404523 /nfs/dbraw/zinc/40/45/23/759404523.db2.gz CGJLWQFATMVBIU-RWMBFGLXSA-N 0 2 319.409 0.979 20 0 DCADLN Cc1c[nH]cc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085970809 759529708 /nfs/dbraw/zinc/52/97/08/759529708.db2.gz LJLIGSPSSNWBEL-SNVBAGLBSA-N 0 2 304.354 0.493 20 0 DCADLN CCn1cccc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085974011 759532288 /nfs/dbraw/zinc/53/22/88/759532288.db2.gz LCODHIGPPVRNSG-NSHDSACASA-N 0 2 318.381 0.678 20 0 DCADLN O=C(NC[C@H](CO)Nc1cnc(F)cn1)C(F)C(F)(F)F ZINC001122761364 767870491 /nfs/dbraw/zinc/87/04/91/767870491.db2.gz RFDBJRBGDRYPSY-SVGQVSJJSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](CO)Nc1cnc(F)cn1)[C@@H](F)C(F)(F)F ZINC001122761364 767870499 /nfs/dbraw/zinc/87/04/99/767870499.db2.gz RFDBJRBGDRYPSY-SVGQVSJJSA-N 0 2 314.214 0.405 20 0 DCADLN CC(C)(C)C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001108358550 760430658 /nfs/dbraw/zinc/43/06/58/760430658.db2.gz PHDUNIBAMUKJQN-AWEZNQCLSA-N 0 2 311.386 0.264 20 0 DCADLN CCC[NH+](C)CC(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001148647016 768023962 /nfs/dbraw/zinc/02/39/62/768023962.db2.gz KIVSCIZDDOSYMU-UHFFFAOYSA-N 0 2 317.437 0.853 20 0 DCADLN CNC(=O)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001109310559 761358171 /nfs/dbraw/zinc/35/81/71/761358171.db2.gz LVEYIJGAOJRSJS-CHIQAWFVSA-N 0 2 311.279 0.354 20 0 DCADLN COC[C@H](O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1c[nH+]c[nH]1)C2 ZINC001109386092 761389495 /nfs/dbraw/zinc/38/94/95/761389495.db2.gz LSBFZIIKGLGFJQ-KBXIAJHMSA-N 0 2 322.409 0.071 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cn[nH]c2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071361319 761795614 /nfs/dbraw/zinc/79/56/14/761795614.db2.gz OOTPWPFQWPTOHD-PSASIEDQSA-N 0 2 305.342 0.016 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cnsn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071371811 761802550 /nfs/dbraw/zinc/80/25/50/761802550.db2.gz UKJPRESTXVKXBX-YUMQZZPRSA-N 0 2 323.382 0.145 20 0 DCADLN CCCCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099676031 762957827 /nfs/dbraw/zinc/95/78/27/762957827.db2.gz ULVMFRZVJHWBSF-MNOVXSKESA-N 0 2 311.386 0.142 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]CCNC(=O)c2ccn3c[nH+]cc3c2)[nH]1 ZINC001130874849 763548207 /nfs/dbraw/zinc/54/82/07/763548207.db2.gz QGTYMFBVTWSFKX-JTQLQIEISA-N 0 2 313.365 0.841 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001131802052 764037552 /nfs/dbraw/zinc/03/75/52/764037552.db2.gz DZLSSESHCPAPBB-JQWIXIFHSA-N 0 2 323.397 0.739 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)CC(F)(F)F)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131892152 764105451 /nfs/dbraw/zinc/10/54/51/764105451.db2.gz LCGXCVYBAFTXGP-JGVFFNPUSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)CC(F)(F)F)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131892152 764105458 /nfs/dbraw/zinc/10/54/58/764105458.db2.gz LCGXCVYBAFTXGP-JGVFFNPUSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)CC(F)(F)F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001131892152 764105460 /nfs/dbraw/zinc/10/54/60/764105460.db2.gz LCGXCVYBAFTXGP-JGVFFNPUSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)C(=O)C(C)(C)C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001131935008 764141223 /nfs/dbraw/zinc/14/12/23/764141223.db2.gz QNVWRMAQKWAZAN-UWVGGRQHSA-N 0 2 323.397 0.595 20 0 DCADLN Cc1noc([C@@H](C)[NH2+][C@@H]2CC[C@H](CNC(=O)c3nnc[nH]3)C2)n1 ZINC001086832573 766272228 /nfs/dbraw/zinc/27/22/28/766272228.db2.gz XXQJRSSYJXYUBL-DVVUODLYSA-N 0 2 319.369 0.745 20 0 DCADLN Cc1noc([C@@H](C)[NH2+][C@@H]2CC[C@H](CNC(=O)c3ncn[nH]3)C2)n1 ZINC001086832573 766272233 /nfs/dbraw/zinc/27/22/33/766272233.db2.gz XXQJRSSYJXYUBL-DVVUODLYSA-N 0 2 319.369 0.745 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc2oc(=O)ccc2c1 ZINC001151000160 769234771 /nfs/dbraw/zinc/23/47/71/769234771.db2.gz VBTRXFCEXXZHOC-GFCCVEGCSA-N 0 2 304.283 0.832 20 0 DCADLN Cn1cnc(C(=O)N[C@@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)c1 ZINC001151369946 769325473 /nfs/dbraw/zinc/32/54/73/769325473.db2.gz KUSMTNMWWGOYRQ-NSHDSACASA-N 0 2 312.333 0.958 20 0 DCADLN CC[C@@H](SC)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233695645 769429259 /nfs/dbraw/zinc/42/92/59/769429259.db2.gz SSJRQKRYPYIURF-NXEZZACHSA-N 0 2 313.427 0.685 20 0 DCADLN CS[C@@H](C)CC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233771002 769447339 /nfs/dbraw/zinc/44/73/39/769447339.db2.gz NVZHSOKDDUTMDX-UWVGGRQHSA-N 0 2 313.427 0.685 20 0 DCADLN O=C(C[C@H](c1[nH]cc[nH+]1)c1ccccc1)NCc1nc(=O)o[n-]1 ZINC001151978182 769453594 /nfs/dbraw/zinc/45/35/94/769453594.db2.gz RUHSDDCEPCWAAE-NSHDSACASA-N 0 2 313.317 0.924 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[NH2+][C@H]1CCC[C@H](C(=O)OC)C1 ZINC001172595017 769485558 /nfs/dbraw/zinc/48/55/58/769485558.db2.gz QEPCTOLIILKPKT-DCAQKATOSA-N 0 2 301.339 0.714 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CCCC1 ZINC001095090263 769578932 /nfs/dbraw/zinc/57/89/32/769578932.db2.gz PPHASUZIRKOSGQ-WOPDTQHZSA-N 0 2 305.382 0.922 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccc[nH]1 ZINC001095169701 769630634 /nfs/dbraw/zinc/63/06/34/769630634.db2.gz TWVCNHKDLZTEEZ-MIMYLULJSA-N 0 2 302.338 0.374 20 0 DCADLN CC[N@H+](Cc1n[nH]c(=O)[n-]1)[C@H](C)CNC(=O)Cc1cc(C)[nH]n1 ZINC001152756013 769634386 /nfs/dbraw/zinc/63/43/86/769634386.db2.gz KBCSQYQEMMIFHU-SNVBAGLBSA-N 0 2 321.385 0.111 20 0 DCADLN CC[N@@H+](Cc1n[nH]c(=O)[n-]1)[C@H](C)CNC(=O)Cc1cc(C)[nH]n1 ZINC001152756013 769634392 /nfs/dbraw/zinc/63/43/92/769634392.db2.gz KBCSQYQEMMIFHU-SNVBAGLBSA-N 0 2 321.385 0.111 20 0 DCADLN CC[N@H+](Cc1n[nH]c(=O)[n-]1)[C@H](C)CNC(=O)Cc1cc(C)n[nH]1 ZINC001152756013 769634397 /nfs/dbraw/zinc/63/43/97/769634397.db2.gz KBCSQYQEMMIFHU-SNVBAGLBSA-N 0 2 321.385 0.111 20 0 DCADLN CC[N@@H+](Cc1n[nH]c(=O)[n-]1)[C@H](C)CNC(=O)Cc1cc(C)n[nH]1 ZINC001152756013 769634398 /nfs/dbraw/zinc/63/43/98/769634398.db2.gz KBCSQYQEMMIFHU-SNVBAGLBSA-N 0 2 321.385 0.111 20 0 DCADLN CCNC(=O)CN1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234423164 769652478 /nfs/dbraw/zinc/65/24/78/769652478.db2.gz DAAMCPJNUGXTLA-WPRPVWTQSA-N 0 2 313.295 0.556 20 0 DCADLN CCNC(=O)CN1CC[C@H]1CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001234423164 769652482 /nfs/dbraw/zinc/65/24/82/769652482.db2.gz DAAMCPJNUGXTLA-WPRPVWTQSA-N 0 2 313.295 0.556 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)c1cc(F)cc2n[nH]nc21 ZINC001153812344 769948348 /nfs/dbraw/zinc/94/83/48/769948348.db2.gz VMWZEUJYTJZDJZ-UHFFFAOYSA-N 0 2 318.316 0.909 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CC(N2CC(F)C2)C1 ZINC001153854333 769963197 /nfs/dbraw/zinc/96/31/97/769963197.db2.gz WOGMEFUPHUYXMG-UHFFFAOYSA-N 0 2 302.309 0.401 20 0 DCADLN CC1(C)C(=O)NC[C@H]1NC(=O)c1c[nH]c2cccnc2c1=O ZINC001153863490 769971456 /nfs/dbraw/zinc/97/14/56/769971456.db2.gz PNFNSQWNSIKTCO-SNVBAGLBSA-N 0 2 300.318 0.178 20 0 DCADLN CCN(C)C(=O)C[N@H+](CC)[C@H](C)CNC(=O)Cn1cncc1C ZINC001154345366 770149887 /nfs/dbraw/zinc/14/98/87/770149887.db2.gz ZWUCGZRGXRDGPV-CQSZACIVSA-N 0 2 323.441 0.496 20 0 DCADLN Cc1cnc2n1CCC21CCN(C(=O)Cc2nn[nH]n2)CC1 ZINC001176840246 770186636 /nfs/dbraw/zinc/18/66/36/770186636.db2.gz MUEKJDIKZAHSIN-UHFFFAOYSA-N 0 2 301.354 0.211 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnc2cccc(O)c2c1 ZINC001155543662 770539610 /nfs/dbraw/zinc/53/96/10/770539610.db2.gz LXZRKWVGPNCHGC-LBPRGKRZSA-N 0 2 303.299 0.979 20 0 DCADLN C[C@@H]([NH2+]CCCNC(=O)CCc1nc[nH]n1)c1ncccn1 ZINC001156336766 770788873 /nfs/dbraw/zinc/78/88/73/770788873.db2.gz RUOQPUMLHSGCFH-LLVKDONJSA-N 0 2 303.370 0.384 20 0 DCADLN CSCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110277819 771455581 /nfs/dbraw/zinc/45/55/81/771455581.db2.gz PIIIJQCSNCPAAP-BBBLOLIVSA-N 0 2 311.411 0.485 20 0 DCADLN CSCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110277819 771455584 /nfs/dbraw/zinc/45/55/84/771455584.db2.gz PIIIJQCSNCPAAP-BBBLOLIVSA-N 0 2 311.411 0.485 20 0 DCADLN COC[C@@H](C)Oc1cc(NC(=O)C(CO)C(F)(F)F)[nH]n1 ZINC001183278673 771626220 /nfs/dbraw/zinc/62/62/20/771626220.db2.gz HYLHRVIOUNQCAU-RQJHMYQMSA-N 0 2 311.260 0.933 20 0 DCADLN COC[C@@H](C)Oc1cc(NC(=O)[C@H](CO)C(F)(F)F)[nH]n1 ZINC001183278673 771626222 /nfs/dbraw/zinc/62/62/22/771626222.db2.gz HYLHRVIOUNQCAU-RQJHMYQMSA-N 0 2 311.260 0.933 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@H]1CCc2ccccc2[C@H]1O ZINC001183382497 771647431 /nfs/dbraw/zinc/64/74/31/771647431.db2.gz FXSSAFUYGUAVAP-OPQQBVKSSA-N 0 2 306.343 0.708 20 0 DCADLN O=S(=O)(Nc1ccnn1C1COC1)c1cnc(Cl)nc1 ZINC001185005315 771850681 /nfs/dbraw/zinc/85/06/81/771850681.db2.gz DABQFTIJROKOPJ-UHFFFAOYSA-N 0 2 315.742 0.699 20 0 DCADLN CSc1ncc(O)c(C(=O)Nc2ncnc3n[nH]nc32)n1 ZINC001185161233 771871128 /nfs/dbraw/zinc/87/11/28/771871128.db2.gz MEGCXDOEZYBHLA-UHFFFAOYSA-N 0 2 304.295 0.218 20 0 DCADLN COc1cnc(Cl)nc1Nc1c(O)[nH]c(=O)[nH]c1=S ZINC001160847443 772121802 /nfs/dbraw/zinc/12/18/02/772121802.db2.gz JVGGRPRFCHDUNE-BYPYZUCNSA-N 0 2 301.715 0.086 20 0 DCADLN O=C(Cc1cnn2c1CCCC2)NCCCc1n[nH]c(=O)[nH]1 ZINC001160879677 772131444 /nfs/dbraw/zinc/13/14/44/772131444.db2.gz QVLKOYRCYICDRM-UHFFFAOYSA-N 0 2 304.354 0.335 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)c1nccs1)c1ccccn1 ZINC001187908067 772240780 /nfs/dbraw/zinc/24/07/80/772240780.db2.gz GYXPWAKXZCXVBS-SECBINFHSA-N 0 2 313.360 0.731 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cncc(Br)n1 ZINC001188352481 772297757 /nfs/dbraw/zinc/29/77/57/772297757.db2.gz AOFMZDCUNKUZPV-UHFFFAOYSA-N 0 2 324.156 0.544 20 0 DCADLN COC(=O)c1onc(C)c1NC(=O)c1cc(OC)nnc1OC ZINC001189062412 772410410 /nfs/dbraw/zinc/41/04/10/772410410.db2.gz RVHDKZKBNTXGJZ-UHFFFAOYSA-N 0 2 322.277 0.829 20 0 DCADLN NC(=O)c1nc(Cl)nc(N[C@@H]2SC(=O)NC2=O)c1F ZINC001163662286 772555073 /nfs/dbraw/zinc/55/50/73/772555073.db2.gz MPQKPVAJBJPFFH-ZCFIWIBFSA-N 0 2 305.678 0.089 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccccc1-n1ccnn1 ZINC001190396005 772622467 /nfs/dbraw/zinc/62/24/67/772622467.db2.gz COZZAHXFSYEMCW-LLVKDONJSA-N 0 2 303.303 0.306 20 0 DCADLN O=S(=O)([O-])CCCCN1CC[NH+](Cc2ccncc2)CC1 ZINC001204082258 772936886 /nfs/dbraw/zinc/93/68/86/772936886.db2.gz RMZITKFAFLGDRM-UHFFFAOYSA-N 0 2 313.423 0.867 20 0 DCADLN COC(=O)CN1CCN(C(=O)c2ccc(F)c(F)c2O)CC1 ZINC001192796032 772961023 /nfs/dbraw/zinc/96/10/23/772961023.db2.gz LEBVVIDZESSOSN-UHFFFAOYSA-N 0 2 314.288 0.601 20 0 DCADLN C[C@@]1(CO)CN(C(=O)c2ccc(F)c(F)c2O)CC[C@H]1O ZINC001192796612 772961081 /nfs/dbraw/zinc/96/10/81/772961081.db2.gz DFWFQYLJBDTNPF-YGRLFVJLSA-N 0 2 301.289 0.876 20 0 DCADLN O=C(c1ccc(F)c(F)c1O)N1CCC2(CNC(=O)N2)CC1 ZINC001192807739 772962811 /nfs/dbraw/zinc/96/28/11/772962811.db2.gz WWGVPSGCLFTIDV-UHFFFAOYSA-N 0 2 311.288 0.958 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1NC(=O)CCc1nc[nH]n1 ZINC001206797999 773108368 /nfs/dbraw/zinc/10/83/68/773108368.db2.gz HXGXTMXIEOUZIF-TZMCWYRMSA-N 0 2 314.393 0.769 20 0 DCADLN CCCCOCC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cn2cncc2C)C1 ZINC001194729297 773236903 /nfs/dbraw/zinc/23/69/03/773236903.db2.gz RKIORLREGVZEHS-HUUCEWRRSA-N 0 2 324.425 0.170 20 0 DCADLN Cc1nn(C)c(NS(=O)(=O)C2CCC(=O)CC2)c1C(N)=O ZINC001194757158 773257789 /nfs/dbraw/zinc/25/77/89/773257789.db2.gz KDQZVQNNDATJJS-UHFFFAOYSA-N 0 2 314.367 0.081 20 0 DCADLN C=C/C(C)=C/CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208353889 773440787 /nfs/dbraw/zinc/44/07/87/773440787.db2.gz PKXQVIIGUFEHKO-VDUSXYPOSA-N 0 2 305.382 0.969 20 0 DCADLN C=C/C(C)=C/CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001208353889 773440788 /nfs/dbraw/zinc/44/07/88/773440788.db2.gz PKXQVIIGUFEHKO-VDUSXYPOSA-N 0 2 305.382 0.969 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C)C(C)(F)F ZINC001208721451 773521682 /nfs/dbraw/zinc/52/16/82/773521682.db2.gz BLTJTHJHQWQCCH-IWSPIJDZSA-N 0 2 317.340 0.738 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(C)(F)F ZINC001208721451 773521685 /nfs/dbraw/zinc/52/16/85/773521685.db2.gz BLTJTHJHQWQCCH-IWSPIJDZSA-N 0 2 317.340 0.738 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(C)(F)F ZINC001208721451 773521689 /nfs/dbraw/zinc/52/16/89/773521689.db2.gz BLTJTHJHQWQCCH-IWSPIJDZSA-N 0 2 317.340 0.738 20 0 DCADLN CCC[C@H](OC)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001196742210 773643464 /nfs/dbraw/zinc/64/34/64/773643464.db2.gz BPHXGVBJRFRRJC-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN COc1ncnc(OC)c1NS(=O)(=O)Cc1cccnc1 ZINC001197421810 773745426 /nfs/dbraw/zinc/74/54/26/773745426.db2.gz LXVPPMNYTRGLSS-UHFFFAOYSA-N 0 2 310.335 0.831 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnn(Cc2cccnc2)c1 ZINC001197498400 773760912 /nfs/dbraw/zinc/76/09/12/773760912.db2.gz LTYUXDGUUWRWJT-GFCCVEGCSA-N 0 2 317.330 0.365 20 0 DCADLN CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@](C)(c2ccccc2)[NH+](C)C)C1 ZINC001197689133 773783751 /nfs/dbraw/zinc/78/37/51/773783751.db2.gz FDCDIGKUTZBLBD-BFYDXBDKSA-N 0 2 305.422 0.645 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(-c2ccc(=O)[nH]n2)cc1 ZINC001198351001 773914451 /nfs/dbraw/zinc/91/44/51/773914451.db2.gz HONFHWDMJPGASG-UHFFFAOYSA-N 0 2 312.289 0.603 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccc(C(N)=O)c(F)c2)n1C ZINC001198406941 773918253 /nfs/dbraw/zinc/91/82/53/773918253.db2.gz RKDBPSKIKJQOLL-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN CCC[C@H](C)C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217572598 774185162 /nfs/dbraw/zinc/18/51/62/774185162.db2.gz BCYJSNSJTNUEDJ-SDDRHHMPSA-N 0 2 323.397 0.358 20 0 DCADLN CCOCC[N@@H+]1C[C@H]2OCCN(C(=O)Cn3c[nH+]cc3C)[C@H]2C1 ZINC001218306586 774219810 /nfs/dbraw/zinc/21/98/10/774219810.db2.gz OEYVYHHORWFPOW-LSDHHAIUSA-N 0 2 322.409 0.140 20 0 DCADLN O=C(CCC(F)F)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110823151 774792869 /nfs/dbraw/zinc/79/28/69/774792869.db2.gz JQSMOZMNCVRESU-HLTSFMKQSA-N 0 2 315.324 0.777 20 0 DCADLN O=C(CCC(F)F)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110823151 774792873 /nfs/dbraw/zinc/79/28/73/774792873.db2.gz JQSMOZMNCVRESU-HLTSFMKQSA-N 0 2 315.324 0.777 20 0 DCADLN CC/C=C/CC[N@H+]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](O)C1 ZINC001220852631 774935512 /nfs/dbraw/zinc/93/55/12/774935512.db2.gz PYVYUCIQSBDDDV-YJQVQVLHSA-N 0 2 306.410 0.778 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[N@H+](CCOCC(C)C)C[C@@H]1O ZINC001220852655 774935677 /nfs/dbraw/zinc/93/56/77/774935677.db2.gz RDAHSCSLZJWFQL-CABCVRRESA-N 0 2 324.425 0.095 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1Cc2cc(F)ccc2NC1=O ZINC001137239981 775016810 /nfs/dbraw/zinc/01/68/10/775016810.db2.gz NWIMFISRIPXEFY-QMMMGPOBSA-N 0 2 305.269 0.077 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCc1ccsc1 ZINC001223339185 775483804 /nfs/dbraw/zinc/48/38/04/775483804.db2.gz SFRMFJNEHXOFNN-LBPRGKRZSA-N 0 2 321.420 0.853 20 0 DCADLN Cc1ccc(O[C@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])cn1 ZINC001225277534 775661861 /nfs/dbraw/zinc/66/18/61/775661861.db2.gz SJKKIELLFIURKN-CYBMUJFWSA-N 0 2 316.379 0.357 20 0 DCADLN CC(C)[N@@H+]1CCC[C@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226886946 775891514 /nfs/dbraw/zinc/89/15/14/775891514.db2.gz WNMPSRDSKFZQFZ-QMMMGPOBSA-N 0 2 321.337 0.788 20 0 DCADLN CC(C)[N@H+]1CCC[C@H](Oc2[nH]c(=O)nc3c(=O)[n-]c(=O)[nH]c32)C1 ZINC001226886946 775891522 /nfs/dbraw/zinc/89/15/22/775891522.db2.gz WNMPSRDSKFZQFZ-QMMMGPOBSA-N 0 2 321.337 0.788 20 0 DCADLN C[C@@](O)(CC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001226934507 775899726 /nfs/dbraw/zinc/89/97/26/775899726.db2.gz RLXWNBGZOBIUFW-OAHLLOKOSA-N 0 2 323.397 0.142 20 0 DCADLN COC(=O)CC(CC(=O)OC)Oc1[nH]c(=O)nc2cccnc21 ZINC001228006972 776035679 /nfs/dbraw/zinc/03/56/79/776035679.db2.gz GPPXVCXWEITTGR-UHFFFAOYSA-N 0 2 321.289 0.604 20 0 DCADLN C[C@@H](NC(=O)CC(C)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409951514 945095489 /nfs/dbraw/zinc/09/54/89/945095489.db2.gz UZWRKUNEOJCJLS-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN CN(CCNC(=O)c1ccnn1C)C(=O)C(F)C(F)(F)F ZINC001408535241 939157537 /nfs/dbraw/zinc/15/75/37/939157537.db2.gz NKSQXWZHLYLADL-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)c1ccnn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001408535241 939157539 /nfs/dbraw/zinc/15/75/39/939157539.db2.gz NKSQXWZHLYLADL-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CCN(C(=O)[C@H](F)C(C)C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481773055 940132797 /nfs/dbraw/zinc/13/27/97/940132797.db2.gz NXTZWCDSJUFNTM-ZYHUDNBSSA-N 0 2 313.377 0.927 20 0 DCADLN Cc1conc1C[NH2+]CC=CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001268529684 940219102 /nfs/dbraw/zinc/21/91/02/940219102.db2.gz ULNYYYZZSBVMHJ-ONEGZZNKSA-N 0 2 305.338 0.796 20 0 DCADLN CCNC(=O)CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001284256630 940339620 /nfs/dbraw/zinc/33/96/20/940339620.db2.gz OVANWOADAMBZCC-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN CCNC(=O)CC(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001284256630 940339622 /nfs/dbraw/zinc/33/96/22/940339622.db2.gz OVANWOADAMBZCC-RCOVLWMOSA-N 0 2 315.267 0.034 20 0 DCADLN C[C@@]1(NC(=O)CCOCC2CC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001270581950 940930822 /nfs/dbraw/zinc/93/08/22/940930822.db2.gz CHFKGEVBSYYPCD-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(NC(=O)CCOCC2CC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001270581950 940930824 /nfs/dbraw/zinc/93/08/24/940930824.db2.gz CHFKGEVBSYYPCD-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN Cn1cccc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060255 941300388 /nfs/dbraw/zinc/30/03/88/941300388.db2.gz GRNDKXSMIUQVBI-SECBINFHSA-N 0 2 307.247 0.866 20 0 DCADLN Cn1cccc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409060255 941300391 /nfs/dbraw/zinc/30/03/91/941300391.db2.gz GRNDKXSMIUQVBI-SECBINFHSA-N 0 2 307.247 0.866 20 0 DCADLN O=C(CCc1ncc[nH]1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060905 941300949 /nfs/dbraw/zinc/30/09/49/941300949.db2.gz WBFGDDONCSKPGM-SNVBAGLBSA-N 0 2 322.262 0.570 20 0 DCADLN CO[C@@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001482617140 941595937 /nfs/dbraw/zinc/59/59/37/941595937.db2.gz VKCAJESGEJWSFF-GFCCVEGCSA-N 0 2 311.386 0.216 20 0 DCADLN CO[C@@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001482617140 941595940 /nfs/dbraw/zinc/59/59/40/941595940.db2.gz VKCAJESGEJWSFF-GFCCVEGCSA-N 0 2 311.386 0.216 20 0 DCADLN COc1c(C)c[nH+]c(CN(C)C(=O)C(=O)NCCC(=O)[O-])c1C ZINC001611024125 970855577 /nfs/dbraw/zinc/85/55/77/970855577.db2.gz XKFCGQFJHXIQBO-UHFFFAOYSA-N 0 2 323.349 0.256 20 0 DCADLN C[C@@H]1[C@@H](CO)CCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412894313 942128265 /nfs/dbraw/zinc/12/82/65/942128265.db2.gz SWOKZSCDOPCOFV-MWLCHTKSSA-N 0 2 318.333 0.109 20 0 DCADLN C[C@@H](CN(C)CCS(C)(=O)=O)NC(=O)C(F)C(F)(F)F ZINC001483340016 942282827 /nfs/dbraw/zinc/28/28/27/942282827.db2.gz NSSCMCZQAMQQED-YUMQZZPRSA-N 0 2 322.324 0.368 20 0 DCADLN C[C@@H](CN(C)CCS(C)(=O)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001483340016 942282829 /nfs/dbraw/zinc/28/28/29/942282829.db2.gz NSSCMCZQAMQQED-YUMQZZPRSA-N 0 2 322.324 0.368 20 0 DCADLN CC(C)n1cc(C(C)(C)NC(=O)C[C@@H]2SC(=N)NC2=O)nn1 ZINC001413132068 942523509 /nfs/dbraw/zinc/52/35/09/942523509.db2.gz MXFFSUHXJDRACO-QMMMGPOBSA-N 0 2 324.410 0.767 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC001413350151 942917879 /nfs/dbraw/zinc/91/78/79/942917879.db2.gz FKEIUYQXWHIZCQ-VIFPVBQESA-N 0 2 324.366 0.177 20 0 DCADLN C[C@H](CC(F)(F)F)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001483872627 943001791 /nfs/dbraw/zinc/00/17/91/943001791.db2.gz GGCMDRZCCKQVKN-SSDOTTSWSA-N 0 2 321.303 0.741 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cc(O)c(Cl)cc1F ZINC001260591233 943015324 /nfs/dbraw/zinc/01/53/24/943015324.db2.gz ZZOHFHSLIJYLLQ-UHFFFAOYSA-N 0 2 317.747 0.929 20 0 DCADLN CC(C)(CNS(N)(=O)=O)NC(=O)c1cccc(Cl)c1O ZINC001413510085 943033901 /nfs/dbraw/zinc/03/39/01/943033901.db2.gz ZAVKSOYJPZLOTD-UHFFFAOYSA-N 0 2 321.786 0.347 20 0 DCADLN C[C@]1(NC(=O)Cn2cc[nH+]c2)CC[N@H+](CCOCC2CC2)C1 ZINC001484072871 943133398 /nfs/dbraw/zinc/13/33/98/943133398.db2.gz CVRKTEATSHNDJR-INIZCTEOSA-N 0 2 306.410 0.890 20 0 DCADLN CC[C@H](F)C[NH2+]C1(CNC(=O)Cn2cc[nH+]c2)CCOCC1 ZINC001484192878 943185856 /nfs/dbraw/zinc/18/58/56/943185856.db2.gz SXIRMKSTWCXSJX-ZDUSSCGKSA-N 0 2 312.389 0.886 20 0 DCADLN CCn1ccnc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001413662770 943556565 /nfs/dbraw/zinc/55/65/65/943556565.db2.gz JVOXUSWQRVDIFA-UHFFFAOYSA-N 0 2 305.338 0.727 20 0 DCADLN CCC[N@@H+]1CCCC[C@@H]1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)OC ZINC001413676616 943565985 /nfs/dbraw/zinc/56/59/85/943565985.db2.gz TWXCYIVKDLVLKS-ZIAGYGMSSA-N 0 2 322.409 0.875 20 0 DCADLN C[C@@H](CNC(=O)c1cccc(F)c1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485271702 943789700 /nfs/dbraw/zinc/78/97/00/943789700.db2.gz XRFJRRVUCLHVDK-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN C[C@@H](CNC(=O)c1cccc(F)c1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485271702 943789703 /nfs/dbraw/zinc/78/97/03/943789703.db2.gz XRFJRRVUCLHVDK-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN CC(C)[C@H](F)C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001491221400 943838155 /nfs/dbraw/zinc/83/81/55/943838155.db2.gz GQCDIHHOKZGHIJ-JQWIXIFHSA-N 0 2 313.377 0.975 20 0 DCADLN C[C@H](CNC(=O)c1nnc[nH]1)[N@@H+](C)[C@@H](C)C(=O)NC1CCCC1 ZINC001485366180 943880069 /nfs/dbraw/zinc/88/00/69/943880069.db2.gz RACPZJTUZGPOCN-MNOVXSKESA-N 0 2 322.413 0.302 20 0 DCADLN C[C@H](CNC(=O)c1ncn[nH]1)[N@H+](C)[C@@H](C)C(=O)NC1CCCC1 ZINC001485366180 943880073 /nfs/dbraw/zinc/88/00/73/943880073.db2.gz RACPZJTUZGPOCN-MNOVXSKESA-N 0 2 322.413 0.302 20 0 DCADLN C[C@H](CNC(=O)c1ncn[nH]1)[N@@H+](C)[C@@H](C)C(=O)NC1CCCC1 ZINC001485366180 943880077 /nfs/dbraw/zinc/88/00/77/943880077.db2.gz RACPZJTUZGPOCN-MNOVXSKESA-N 0 2 322.413 0.302 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CCc2[nH+]ccn2C1)[NH2+]Cc1ccnn1C ZINC001491508522 944052637 /nfs/dbraw/zinc/05/26/37/944052637.db2.gz UPBQLFVWZUOIRL-QWHCGFSZSA-N 0 2 316.409 0.474 20 0 DCADLN NC(=O)c1cnc2n1CCN(C(=O)c1ccc(F)c(F)c1O)C2 ZINC001276858972 944330811 /nfs/dbraw/zinc/33/08/11/944330811.db2.gz TWHAJVORMJWECQ-UHFFFAOYSA-N 0 2 322.271 0.622 20 0 DCADLN Cn1cncc1C[NH2+]C[C@H](NC(=O)Cn1cc[nH+]c1)C(C)(C)C ZINC001486423702 944870497 /nfs/dbraw/zinc/87/04/97/944870497.db2.gz VQTWHJQXOMSFGZ-AWEZNQCLSA-N 0 2 318.425 0.937 20 0 DCADLN C[C@H](NC(=O)Cc1c[nH]c[nH+]1)C1CN(C(=O)[C@H]2CCC[N@H+]2C)C1 ZINC001487228237 945608139 /nfs/dbraw/zinc/60/81/39/945608139.db2.gz PFUSOHWDVVOQKA-SMDDNHRTSA-N 0 2 319.409 0.010 20 0 DCADLN CC(C)OCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001410341873 946218746 /nfs/dbraw/zinc/21/87/46/946218746.db2.gz QZWMBVCTDLLAIC-IONNQARKSA-N 0 2 302.268 0.933 20 0 DCADLN CC(C)OCC(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001410341873 946218756 /nfs/dbraw/zinc/21/87/56/946218756.db2.gz QZWMBVCTDLLAIC-IONNQARKSA-N 0 2 302.268 0.933 20 0 DCADLN O=C(NCCNS(=O)(=O)c1cccc(F)c1)C(F)(F)F ZINC001255666737 946539492 /nfs/dbraw/zinc/53/94/92/946539492.db2.gz UYHRQRAEFXEDAP-UHFFFAOYSA-N 0 2 314.260 0.783 20 0 DCADLN O=C(Cc1cc[nH]n1)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001410567390 946570625 /nfs/dbraw/zinc/57/06/25/946570625.db2.gz SPIRKWFJFYSOLB-PSASIEDQSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001410567390 946570630 /nfs/dbraw/zinc/57/06/30/946570630.db2.gz SPIRKWFJFYSOLB-PSASIEDQSA-N 0 2 322.262 0.570 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N1CC[C@H]1CNC(=O)Cc1c[nH+]cn1C ZINC001410617330 946662456 /nfs/dbraw/zinc/66/24/56/946662456.db2.gz MKKHXPINKCYZLV-ZDUSSCGKSA-N 0 2 321.425 0.020 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)Nc1cnc2c(c1)CC(=O)N2 ZINC001258530593 946848484 /nfs/dbraw/zinc/84/84/84/946848484.db2.gz HEWUQLKHHVVOJS-UHFFFAOYSA-N 0 2 308.319 0.982 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1ccc(F)c(F)c1 ZINC001259084455 946910900 /nfs/dbraw/zinc/91/09/00/946910900.db2.gz AMEGFCBMOPCPCT-UHFFFAOYSA-N 0 2 303.246 0.967 20 0 DCADLN O=S(=O)(Nc1ccc(N2CC[C@H](O)C2)nc1)c1ccccn1 ZINC001259282693 946938355 /nfs/dbraw/zinc/93/83/55/946938355.db2.gz GCFZANHWFBLTCI-LBPRGKRZSA-N 0 2 320.374 0.848 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc(OC)c(C(N)=O)c2)cn1 ZINC001259838081 946996309 /nfs/dbraw/zinc/99/63/09/946996309.db2.gz UPLMLJLELMUYOD-UHFFFAOYSA-N 0 2 324.362 0.811 20 0 DCADLN CN1Cc2c(c(NS(N)(=O)=O)ccc2Br)C1=O ZINC001260081809 947062790 /nfs/dbraw/zinc/06/27/90/947062790.db2.gz SHCQDTGCPMIKSA-UHFFFAOYSA-N 0 2 320.168 0.650 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC001260225956 947085383 /nfs/dbraw/zinc/08/53/83/947085383.db2.gz ZPBCKLZLEAHSAN-UHFFFAOYSA-N 0 2 307.331 0.786 20 0 DCADLN Cn1ncc2c1cccc2NS(=O)(=O)CS(C)(=O)=O ZINC001260590920 947119396 /nfs/dbraw/zinc/11/93/96/947119396.db2.gz SSFWPDGUHAQVQU-UHFFFAOYSA-N 0 2 303.365 0.317 20 0 DCADLN CC(=O)Nc1ncc(S(=O)(=O)Nc2nnc(C)o2)s1 ZINC001260700059 947139723 /nfs/dbraw/zinc/13/97/23/947139723.db2.gz UBWVISWPQHIOLJ-UHFFFAOYSA-N 0 2 303.325 0.594 20 0 DCADLN O=C(NCCOCCCNC(=O)C(F)C(F)(F)F)C(F)F ZINC001487615952 948146851 /nfs/dbraw/zinc/14/68/51/948146851.db2.gz LAAPYYFLDBSKAQ-LURJTMIESA-N 0 2 324.221 0.791 20 0 DCADLN O=C(NCCOCCCNC(=O)[C@H](F)C(F)(F)F)C(F)F ZINC001487615952 948146856 /nfs/dbraw/zinc/14/68/56/948146856.db2.gz LAAPYYFLDBSKAQ-LURJTMIESA-N 0 2 324.221 0.791 20 0 DCADLN CC(=O)NCCN(C(=O)CCCn1cc[nH+]c1)[C@@H](C)C(=O)[O-] ZINC001602755655 971464907 /nfs/dbraw/zinc/46/49/07/971464907.db2.gz GLFZFWWGHPAAPF-NSHDSACASA-N 0 2 310.354 0.101 20 0 DCADLN COc1cccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)c1C ZINC001570920483 948599226 /nfs/dbraw/zinc/59/92/26/948599226.db2.gz PNMRXQVPQGNKGC-UHFFFAOYSA-N 0 2 314.305 0.494 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCC1CSC1 ZINC001570921909 948630103 /nfs/dbraw/zinc/63/01/03/948630103.db2.gz CKMPFGXJQIDQEY-UHFFFAOYSA-N 0 2 320.378 0.759 20 0 DCADLN Nc1nc2nc(CN3CCCC[C@@H]3c3cc[nH]n3)cc(=O)n2[nH]1 ZINC001328483578 948918218 /nfs/dbraw/zinc/91/82/18/948918218.db2.gz ZOGAZIRKXXEIEJ-LLVKDONJSA-N 0 2 314.353 0.450 20 0 DCADLN COc1cnc([C@H]2CCCN2C(=O)CCc2nn[nH]n2)[nH]c1=O ZINC001364414941 949231646 /nfs/dbraw/zinc/23/16/46/949231646.db2.gz FGVVDMIFHIZSCZ-MRVPVSSYSA-N 0 2 319.325 0.000 20 0 DCADLN CC[C@@]1(C(=O)[O-])CCCN(S(=O)(=O)CCn2cc[nH+]c2)C1 ZINC001589606150 949269933 /nfs/dbraw/zinc/26/99/33/949269933.db2.gz ASVNFOFWZRQQEF-CYBMUJFWSA-N 0 2 315.395 0.790 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)C1(C(=O)[O-])CCOCC1 ZINC001589627001 949496471 /nfs/dbraw/zinc/49/64/71/949496471.db2.gz UZRBGKYIPGNQSM-LBPRGKRZSA-N 0 2 314.382 0.095 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)C1(C(=O)[O-])CCOCC1 ZINC001589627001 949496480 /nfs/dbraw/zinc/49/64/80/949496480.db2.gz UZRBGKYIPGNQSM-LBPRGKRZSA-N 0 2 314.382 0.095 20 0 DCADLN O=C([O-])c1cnc(C(=O)OCC2CN(c3cccc[nH+]3)C2)cn1 ZINC001595268439 949793201 /nfs/dbraw/zinc/79/32/01/949793201.db2.gz APHRVTYMOBROLV-UHFFFAOYSA-N 0 2 314.301 0.863 20 0 DCADLN COCC(=O)N1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001489750878 949983699 /nfs/dbraw/zinc/98/36/99/949983699.db2.gz IJACVTBIJDXEDR-APPZFPTMSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N1CC[C@@H]1CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001489750878 949983709 /nfs/dbraw/zinc/98/37/09/949983709.db2.gz IJACVTBIJDXEDR-APPZFPTMSA-N 0 2 300.252 0.593 20 0 DCADLN COC(=O)c1ccc2c(c1)OCCN(Cc1n[nH]c(=O)[nH]1)C2 ZINC001364838159 950047664 /nfs/dbraw/zinc/04/76/64/950047664.db2.gz PGZXKWLOQVJXBI-UHFFFAOYSA-N 0 2 304.306 0.692 20 0 DCADLN Cc1nnc([C@H](C)NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)[nH]1 ZINC001364854749 950080708 /nfs/dbraw/zinc/08/07/08/950080708.db2.gz DULOIRKECDENNW-IIYDVTGLSA-N 0 2 323.444 0.905 20 0 DCADLN Cc1nc([C@H](C)NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)n[nH]1 ZINC001364854749 950080728 /nfs/dbraw/zinc/08/07/28/950080728.db2.gz DULOIRKECDENNW-IIYDVTGLSA-N 0 2 323.444 0.905 20 0 DCADLN CCn1nc(C)c(CNS(=O)(=O)N=S(=O)(CC)CC)n1 ZINC001364874338 950114970 /nfs/dbraw/zinc/11/49/70/950114970.db2.gz UYMAVHUTTOACOS-UHFFFAOYSA-N 0 2 323.444 0.449 20 0 DCADLN Cn1cc(CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)nn1 ZINC001364904526 950164144 /nfs/dbraw/zinc/16/41/44/950164144.db2.gz LKKKHEGIFDUKKM-SFHVURJKSA-N 0 2 309.417 0.046 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CC1CCOCC1 ZINC001364964091 950266579 /nfs/dbraw/zinc/26/65/79/950266579.db2.gz BVARADAVPYQDCT-UHFFFAOYSA-N 0 2 301.311 0.141 20 0 DCADLN CS[C@H](C)CCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364997964 950326905 /nfs/dbraw/zinc/32/69/05/950326905.db2.gz PQWZGOOURGBVIE-MRVPVSSYSA-N 0 2 320.440 0.497 20 0 DCADLN Cc1ccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c(C)c1 ZINC001364997197 950328026 /nfs/dbraw/zinc/32/80/26/950328026.db2.gz INRSNBGUVIMZOW-UHFFFAOYSA-N 0 2 322.390 0.896 20 0 DCADLN CC[N@H+](CC(=O)NCC(C)(C)N1CCOCC1)[C@@H](C)C(=O)[O-] ZINC001589719180 950400041 /nfs/dbraw/zinc/40/00/41/950400041.db2.gz ZZFQZJJPBANVAE-LBPRGKRZSA-N 0 2 315.414 0.008 20 0 DCADLN CC[N@@H+](CC(=O)NCC(C)(C)N1CCOCC1)[C@@H](C)C(=O)[O-] ZINC001589719180 950400045 /nfs/dbraw/zinc/40/00/45/950400045.db2.gz ZZFQZJJPBANVAE-LBPRGKRZSA-N 0 2 315.414 0.008 20 0 DCADLN Cc1cccnc1NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365165410 950644540 /nfs/dbraw/zinc/64/45/40/950644540.db2.gz AFPRCPXYMDDPTL-UHFFFAOYSA-N 0 2 324.366 0.374 20 0 DCADLN C[C@@H]1[C@H](O)CCC[N@@H+]1CN1C[C@]2(C[NH+]3CCC2CC3)OC1=O ZINC001331073224 950878632 /nfs/dbraw/zinc/87/86/32/950878632.db2.gz TWEULLRKXWPORC-XPKDYRNWSA-N 0 2 309.410 0.706 20 0 DCADLN Cc1conc1C[NH2+][C@H](CNC(=O)CCc1nnc[nH]1)C1CC1 ZINC001490668969 950972341 /nfs/dbraw/zinc/97/23/41/950972341.db2.gz SLCQRTDKGFXAFW-CYBMUJFWSA-N 0 2 318.381 0.718 20 0 DCADLN O=C([O-])C[C@H]1CCCN(S(=O)(=O)CCn2cc[nH+]c2)C1 ZINC001594993430 951060161 /nfs/dbraw/zinc/06/01/61/951060161.db2.gz KWJMTUZUBIVDOL-LLVKDONJSA-N 0 2 301.368 0.400 20 0 DCADLN CCn1cc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC001365413327 951096303 /nfs/dbraw/zinc/09/63/03/951096303.db2.gz CZOCJDZRIZUYOL-SECBINFHSA-N 0 2 320.357 0.211 20 0 DCADLN Cn1cc(CCNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001365547878 951247974 /nfs/dbraw/zinc/24/79/74/951247974.db2.gz XQOBUGUSTAKABV-NSHDSACASA-N 0 2 319.369 0.376 20 0 DCADLN N#Cc1ccc(S(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)cc1 ZINC000386238547 951295411 /nfs/dbraw/zinc/29/54/11/951295411.db2.gz SBWLXPJHSHLSLR-UHFFFAOYSA-N 0 2 323.374 0.339 20 0 DCADLN O=C(NCCc1cn[nH]c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365638909 951362570 /nfs/dbraw/zinc/36/25/70/951362570.db2.gz FUMIRSDXPQJIGO-JTQLQIEISA-N 0 2 305.342 0.365 20 0 DCADLN CC(C)(C)c1coc(C[NH2+]CCN2C[C@@H](C(=O)[O-])CC2=O)n1 ZINC001332017723 951437970 /nfs/dbraw/zinc/43/79/70/951437970.db2.gz QNKIFDVABGJFLW-JTQLQIEISA-N 0 2 309.366 0.995 20 0 DCADLN COc1ccc(C[NH+]2CC3(C2)COCC(=O)N3C)cc1C(=O)[O-] ZINC001274191351 951472316 /nfs/dbraw/zinc/47/23/16/951472316.db2.gz ZXWBMJDSWJXHPK-UHFFFAOYSA-N 0 2 320.345 0.436 20 0 DCADLN C/C(=C\C(=O)N1C2CCC1(C(=O)[O-])CC2)C[NH+]1CCOCC1 ZINC001332638314 951737439 /nfs/dbraw/zinc/73/74/39/951737439.db2.gz UZOSTQKKECANGC-ZRDIBKRKSA-N 0 2 308.378 0.873 20 0 DCADLN Cc1ccc(O)c(C(=O)N2Cc3n[nH]c(C(=O)N(C)C)c3C2)n1 ZINC001274973920 951914052 /nfs/dbraw/zinc/91/40/52/951914052.db2.gz FUOTUNJFOWWWGO-UHFFFAOYSA-N 0 2 315.333 0.677 20 0 DCADLN CCOCCOCCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001335163540 952475247 /nfs/dbraw/zinc/47/52/47/952475247.db2.gz ZADCNXPRWOIKCO-UHFFFAOYSA-N 0 2 322.365 0.043 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001411886444 952512783 /nfs/dbraw/zinc/51/27/83/952512783.db2.gz PEORBWSTIIFOFA-QMMMGPOBSA-N 0 2 319.296 0.319 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cc[nH]n2)C1 ZINC001411961579 952571766 /nfs/dbraw/zinc/57/17/66/952571766.db2.gz SIIXDRAEJSEYDU-RCOVLWMOSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001411961579 952571770 /nfs/dbraw/zinc/57/17/70/952571770.db2.gz SIIXDRAEJSEYDU-RCOVLWMOSA-N 0 2 322.262 0.887 20 0 DCADLN COCC(=O)N1C[C@@H]2[C@@H](CNC(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001412092283 952642017 /nfs/dbraw/zinc/64/20/17/952642017.db2.gz GZPBLSLADJNWPL-OORONAJNSA-N 0 2 312.263 0.354 20 0 DCADLN COCC(=O)N1C[C@@H]2[C@@H](CNC(=O)[C@H](F)C(F)(F)F)[C@@H]2C1 ZINC001412092283 952642022 /nfs/dbraw/zinc/64/20/22/952642022.db2.gz GZPBLSLADJNWPL-OORONAJNSA-N 0 2 312.263 0.354 20 0 DCADLN Cc1cc(CCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001412062159 952626124 /nfs/dbraw/zinc/62/61/24/952626124.db2.gz BRBJCWSYGVMGSM-JTQLQIEISA-N 0 2 304.354 0.881 20 0 DCADLN COc1nccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001412070155 952630968 /nfs/dbraw/zinc/63/09/68/952630968.db2.gz WKWYLDWTBSOXCS-QMMMGPOBSA-N 0 2 304.310 0.329 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1cc2n(n1)CCCC2 ZINC001412295762 952734685 /nfs/dbraw/zinc/73/46/85/952734685.db2.gz FMSSMOGYGRDZOG-KCJUWKMLSA-N 0 2 321.406 0.953 20 0 DCADLN O=C(CCc1nn[n-]n1)N1CC[NH2+][C@@H](Cc2ccc(F)cc2)C1 ZINC001412414791 952784830 /nfs/dbraw/zinc/78/48/30/952784830.db2.gz NSJIBPICOMZTBS-ZDUSSCGKSA-N 0 2 318.356 0.315 20 0 DCADLN Cn1cc(C(F)F)c(CNC(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC001412469825 952825875 /nfs/dbraw/zinc/82/58/75/952825875.db2.gz OHENTVJPRNHYEG-SSDOTTSWSA-N 0 2 317.321 0.530 20 0 DCADLN O=C(NC1CCN([C@@H]2CCOC2=O)CC1)C(F)C(F)(F)F ZINC001412725286 953023415 /nfs/dbraw/zinc/02/34/15/953023415.db2.gz ILCCWDDKIACGKD-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1cc(O)cc(=O)n1CCC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001412758293 953075889 /nfs/dbraw/zinc/07/58/89/953075889.db2.gz SUEMETWUFWAEAR-JTQLQIEISA-N 0 2 320.349 0.569 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H]2[C@@H](C1)[C@H]2C(=O)[O-])C[NH+]1CCOCC1 ZINC001339570210 953102885 /nfs/dbraw/zinc/10/28/85/953102885.db2.gz ZETFDCWUCBMICZ-CXWMUOTGSA-N 0 2 308.378 0.444 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])C[N@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589280312 953495584 /nfs/dbraw/zinc/49/55/84/953495584.db2.gz CQMKGYISOVVYIN-BDAKNGLRSA-N 0 2 304.306 0.073 20 0 DCADLN C[C@@H]1C[C@H](C(=O)[O-])C[N@@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589280312 953495589 /nfs/dbraw/zinc/49/55/89/953495589.db2.gz CQMKGYISOVVYIN-BDAKNGLRSA-N 0 2 304.306 0.073 20 0 DCADLN C[C@@H]1C[C@H](c2cccnc2)[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001589295496 953643209 /nfs/dbraw/zinc/64/32/09/953643209.db2.gz SKRMLVZPOVJTKI-DGCLKSJQSA-N 0 2 312.391 0.964 20 0 DCADLN C[C@@H]1C[C@H](c2cccnc2)[N@@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001589295496 953643217 /nfs/dbraw/zinc/64/32/17/953643217.db2.gz SKRMLVZPOVJTKI-DGCLKSJQSA-N 0 2 312.391 0.964 20 0 DCADLN CCn1c(C)nn(C[N@@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c1=S ZINC001593661191 953803189 /nfs/dbraw/zinc/80/31/89/953803189.db2.gz TYIOUOSOBAQOLZ-ZWNOBZJWSA-N 0 2 312.395 0.733 20 0 DCADLN CCn1c(C)nn(C[N@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c1=S ZINC001593661191 953803196 /nfs/dbraw/zinc/80/31/96/953803196.db2.gz TYIOUOSOBAQOLZ-ZWNOBZJWSA-N 0 2 312.395 0.733 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ccncc1F ZINC001282954363 953823695 /nfs/dbraw/zinc/82/36/95/953823695.db2.gz CIUFDCUFLAJEHN-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1ccncc1F ZINC001282954363 953823699 /nfs/dbraw/zinc/82/36/99/953823699.db2.gz CIUFDCUFLAJEHN-QMMMGPOBSA-N 0 2 311.210 0.967 20 0 DCADLN C[C@H](CNC(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001346520047 953907305 /nfs/dbraw/zinc/90/73/05/953907305.db2.gz ZTHGGQMRWKQFBY-ZWNOBZJWSA-N 0 2 319.361 0.126 20 0 DCADLN C[C@H](CNC(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001346520047 953907316 /nfs/dbraw/zinc/90/73/16/953907316.db2.gz ZTHGGQMRWKQFBY-ZWNOBZJWSA-N 0 2 319.361 0.126 20 0 DCADLN CCC[N@@H+](C)CC(=O)NCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001283132705 954044406 /nfs/dbraw/zinc/04/44/06/954044406.db2.gz LMJAZVLEYCBWKK-CHWSQXEVSA-N 0 2 321.425 0.098 20 0 DCADLN COC[C@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001283203416 954134982 /nfs/dbraw/zinc/13/49/82/954134982.db2.gz DTVYZXGSYMJGMX-YUMQZZPRSA-N 0 2 302.268 0.792 20 0 DCADLN COC[C@H](C)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001283203416 954134987 /nfs/dbraw/zinc/13/49/87/954134987.db2.gz DTVYZXGSYMJGMX-YUMQZZPRSA-N 0 2 302.268 0.792 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[N@H+](C)CCN1CCOC(C)(C)C1 ZINC001593752159 954427451 /nfs/dbraw/zinc/42/74/51/954427451.db2.gz VDXGDKOUWKZUGZ-UHFFFAOYSA-N 0 2 310.398 0.661 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[N@@H+](C)CCN1CCOC(C)(C)C1 ZINC001593752159 954427457 /nfs/dbraw/zinc/42/74/57/954427457.db2.gz VDXGDKOUWKZUGZ-UHFFFAOYSA-N 0 2 310.398 0.661 20 0 DCADLN CN(CCNC(=O)c1cccc(F)c1F)Cc1n[nH]c(=O)[nH]1 ZINC001366567951 954435808 /nfs/dbraw/zinc/43/58/08/954435808.db2.gz ZGCGTWKCXDHGQU-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[N@H+](CCNC(=O)c1cccc(F)c1F)Cc1n[nH]c(=O)[n-]1 ZINC001366567951 954435815 /nfs/dbraw/zinc/43/58/15/954435815.db2.gz ZGCGTWKCXDHGQU-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[N@@H+](CCNC(=O)c1cccc(F)c1F)Cc1n[nH]c(=O)[n-]1 ZINC001366567951 954435823 /nfs/dbraw/zinc/43/58/23/954435823.db2.gz ZGCGTWKCXDHGQU-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN CC(C)Cc1c[nH]c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001366583537 954461306 /nfs/dbraw/zinc/46/13/06/954461306.db2.gz MRHVSZNQHLEJDJ-UHFFFAOYSA-N 0 2 320.397 0.899 20 0 DCADLN CC(C)Cc1c[nH]c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001366583537 954461312 /nfs/dbraw/zinc/46/13/12/954461312.db2.gz MRHVSZNQHLEJDJ-UHFFFAOYSA-N 0 2 320.397 0.899 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1CC2CCC1CC2)Cc1n[nH]c(=O)[n-]1 ZINC001366597018 954483573 /nfs/dbraw/zinc/48/35/73/954483573.db2.gz ULLHOBPLDPZKGT-HTAVTVPLSA-N 0 2 307.398 0.885 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1CC2CCC1CC2)Cc1n[nH]c(=O)[n-]1 ZINC001366597018 954483581 /nfs/dbraw/zinc/48/35/81/954483581.db2.gz ULLHOBPLDPZKGT-HTAVTVPLSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001589408531 954490783 /nfs/dbraw/zinc/49/07/83/954490783.db2.gz HNVQSAAAQJKWAJ-UPJWGTAASA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)[C@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001589408531 954490794 /nfs/dbraw/zinc/49/07/94/954490794.db2.gz HNVQSAAAQJKWAJ-UPJWGTAASA-N 0 2 314.382 0.093 20 0 DCADLN O=C(C[C@@H]1CCCCO1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366734873 954739527 /nfs/dbraw/zinc/73/95/27/954739527.db2.gz RTDPWJXWTUGOLG-LBPRGKRZSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@@H]1CCCCO1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366734873 954739536 /nfs/dbraw/zinc/73/95/36/954739536.db2.gz RTDPWJXWTUGOLG-LBPRGKRZSA-N 0 2 323.397 0.550 20 0 DCADLN CS(=O)(=O)c1cc(C(=O)NCCc2n[nH]c(=S)o2)co1 ZINC001349564874 954797165 /nfs/dbraw/zinc/79/71/65/954797165.db2.gz VSXQCVXCNLHAKM-UHFFFAOYSA-N 0 2 317.348 0.327 20 0 DCADLN CCCN1C(=O)N(Cc2nnc(COC)[nH]2)C(=O)[C@@H]1COC ZINC001350005352 954963476 /nfs/dbraw/zinc/96/34/76/954963476.db2.gz BUDHKPGNHSWUJQ-VIFPVBQESA-N 0 2 311.342 0.140 20 0 DCADLN O=C(NCCCNC(=O)[C@]1(F)CCOC1)C(F)C(F)(F)F ZINC001350152768 954999624 /nfs/dbraw/zinc/99/96/24/954999624.db2.gz YIDLRDXMIKSPBS-XCBNKYQSSA-N 0 2 318.242 0.638 20 0 DCADLN O=C(NCCCNC(=O)[C@]1(F)CCOC1)[C@@H](F)C(F)(F)F ZINC001350152768 954999641 /nfs/dbraw/zinc/99/96/41/954999641.db2.gz YIDLRDXMIKSPBS-XCBNKYQSSA-N 0 2 318.242 0.638 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001593860759 955537324 /nfs/dbraw/zinc/53/73/24/955537324.db2.gz ZIEWDKSVVMQIDR-IQJOONFLSA-N 0 2 309.322 0.111 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001593860759 955537332 /nfs/dbraw/zinc/53/73/32/955537332.db2.gz ZIEWDKSVVMQIDR-IQJOONFLSA-N 0 2 309.322 0.111 20 0 DCADLN C[C@H](CNC(=O)Cc1ccccc1C(=O)[O-])[NH+]1CCN(C)CC1 ZINC001589045678 955625383 /nfs/dbraw/zinc/62/53/83/955625383.db2.gz DCIVOCQTXBWKOA-CYBMUJFWSA-N 0 2 319.405 0.679 20 0 DCADLN C[C@H](CNC(=O)c1cccn(CC(=O)[O-])c1=O)Cn1cc[nH+]c1 ZINC001589062995 955730230 /nfs/dbraw/zinc/73/02/30/955730230.db2.gz DRIVIYUMABIXSV-LLVKDONJSA-N 0 2 318.333 0.196 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[N@@H+]1CCC[C@H](S(C)(=O)=O)CC1 ZINC001594581032 955968295 /nfs/dbraw/zinc/96/82/95/955968295.db2.gz KLJDZZNNELZSMM-JTQLQIEISA-N 0 2 315.395 0.517 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[N@H+]1CCC[C@H](S(C)(=O)=O)CC1 ZINC001594581032 955968309 /nfs/dbraw/zinc/96/83/09/955968309.db2.gz KLJDZZNNELZSMM-JTQLQIEISA-N 0 2 315.395 0.517 20 0 DCADLN C[C@H](NC(=O)C[NH2+][C@@](C)(C(=O)[O-])c1ccccc1)C(=O)N(C)C ZINC001589096467 955989227 /nfs/dbraw/zinc/98/92/27/955989227.db2.gz YJDXUXSSGSINMP-MEDUHNTESA-N 0 2 321.377 0.169 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)Nc1cc(C[NH+]2CCOCC2)ccc1C ZINC001593913279 955993386 /nfs/dbraw/zinc/99/33/86/955993386.db2.gz VJOBUAQWGBMUSD-AWEZNQCLSA-N 0 2 322.361 0.865 20 0 DCADLN C[C@H]1CCN(C(=O)NCc2n[nH]c(=O)n2C2CC2)C[C@H]1CO ZINC001413923445 956204716 /nfs/dbraw/zinc/20/47/16/956204716.db2.gz VYPLALOCSNLSPC-UWVGGRQHSA-N 0 2 309.370 0.479 20 0 DCADLN Cc1c(F)cccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001472959426 956630470 /nfs/dbraw/zinc/63/04/70/956630470.db2.gz BPSOEHZWLJQLHG-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN O=C([O-])[C@H](Cc1ccc(O)cc1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001594687791 956720053 /nfs/dbraw/zinc/72/00/53/956720053.db2.gz KMNJOMQOYJWABP-ZDUSSCGKSA-N 0 2 303.318 0.860 20 0 DCADLN O=C([O-])[C@H](Cc1ccc(O)cc1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001594687791 956720063 /nfs/dbraw/zinc/72/00/63/956720063.db2.gz KMNJOMQOYJWABP-ZDUSSCGKSA-N 0 2 303.318 0.860 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2cn3ccnc3s2)CCO1 ZINC001593983384 956728986 /nfs/dbraw/zinc/72/89/86/956728986.db2.gz HDLXRONNXNGURR-ZDUSSCGKSA-N 0 2 311.363 0.698 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2cn3ccnc3s2)CCO1 ZINC001593983384 956728996 /nfs/dbraw/zinc/72/89/96/956728996.db2.gz HDLXRONNXNGURR-ZDUSSCGKSA-N 0 2 311.363 0.698 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+]([C@H](C)C(=O)N(C)C(C)C)CCO1 ZINC001593984039 956742318 /nfs/dbraw/zinc/74/23/18/956742318.db2.gz SUICLUMXZCJENA-BXUZGUMPSA-N 0 2 302.371 0.044 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+]([C@H](C)C(=O)N(C)C(C)C)CCO1 ZINC001593984039 956742329 /nfs/dbraw/zinc/74/23/29/956742329.db2.gz SUICLUMXZCJENA-BXUZGUMPSA-N 0 2 302.371 0.044 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2cnn3ccccc23)CCO1 ZINC001593984287 956747158 /nfs/dbraw/zinc/74/71/58/956747158.db2.gz PEFVGRCRIKYUIM-HNNXBMFYSA-N 0 2 305.334 0.636 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2cnn3ccccc23)CCO1 ZINC001593984287 956747165 /nfs/dbraw/zinc/74/71/65/956747165.db2.gz PEFVGRCRIKYUIM-HNNXBMFYSA-N 0 2 305.334 0.636 20 0 DCADLN COC(=O)c1ccnc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001413894529 957403193 /nfs/dbraw/zinc/40/31/93/957403193.db2.gz OFXZTBLAAGDNFA-QMMMGPOBSA-N 0 2 304.310 0.471 20 0 DCADLN Cc1oc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc1C1CC1 ZINC001361547802 958072939 /nfs/dbraw/zinc/07/29/39/958072939.db2.gz XLZHQNXEGQNTMJ-UHFFFAOYSA-N 0 2 314.305 0.884 20 0 DCADLN COc1ccc(-c2noc(C[NH2+][C@H](C(=O)[O-])C(C)C)n2)nn1 ZINC001594073839 958197679 /nfs/dbraw/zinc/19/76/79/958197679.db2.gz IBLIAQMSDUUCEQ-NSHDSACASA-N 0 2 307.310 0.734 20 0 DCADLN C[C@@H]1CC(=O)NN1C(=O)c1ncc(Br)cc1O ZINC001361765902 958305167 /nfs/dbraw/zinc/30/51/67/958305167.db2.gz NMPGXLUPGIMLKH-RXMQYKEDSA-N 0 2 300.112 0.815 20 0 DCADLN COC[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)C ZINC001361787851 958332638 /nfs/dbraw/zinc/33/26/38/958332638.db2.gz MLPDPMZARFVSQK-SNVBAGLBSA-N 0 2 307.354 0.106 20 0 DCADLN C[N@H+](CC(=O)Nc1n[nH]c(-c2ccccn2)n1)[C@@H]1CCC[C@H]1O ZINC001361964663 958548262 /nfs/dbraw/zinc/54/82/62/958548262.db2.gz QKUQIOXTZJMVRE-VXGBXAGGSA-N 0 2 316.365 0.650 20 0 DCADLN C[N@@H+](CC(=O)Nc1n[nH]c(-c2ccccn2)n1)[C@@H]1CCC[C@H]1O ZINC001361964663 958548281 /nfs/dbraw/zinc/54/82/81/958548281.db2.gz QKUQIOXTZJMVRE-VXGBXAGGSA-N 0 2 316.365 0.650 20 0 DCADLN CC(C)(C)[C@H](Cn1cc[nH+]c1)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001362088982 958716854 /nfs/dbraw/zinc/71/68/54/958716854.db2.gz VCVIUYSOPOWTQO-VIFPVBQESA-N 0 2 308.342 0.117 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cncs1 ZINC001367864555 958738967 /nfs/dbraw/zinc/73/89/67/958738967.db2.gz GLUKISMETRYTAJ-QMMMGPOBSA-N 0 2 310.383 0.146 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cncs1 ZINC001367864555 958738980 /nfs/dbraw/zinc/73/89/80/958738980.db2.gz GLUKISMETRYTAJ-QMMMGPOBSA-N 0 2 310.383 0.146 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnsn1 ZINC001376778009 958815718 /nfs/dbraw/zinc/81/57/18/958815718.db2.gz IXACQYNCUVPBOB-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnsn1 ZINC001376778009 958815744 /nfs/dbraw/zinc/81/57/44/958815744.db2.gz IXACQYNCUVPBOB-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN CC(C)CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001367965851 958969669 /nfs/dbraw/zinc/96/96/69/958969669.db2.gz ZRGRVJZHLPWMCJ-NEPJUHHUSA-N 0 2 322.413 0.160 20 0 DCADLN CC(C)CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001367965851 958969684 /nfs/dbraw/zinc/96/96/84/958969684.db2.gz ZRGRVJZHLPWMCJ-NEPJUHHUSA-N 0 2 322.413 0.160 20 0 DCADLN CO[C@@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCCC1 ZINC001367971273 958984152 /nfs/dbraw/zinc/98/41/52/958984152.db2.gz CHZNUPLKUBJQRV-DGCLKSJQSA-N 0 2 323.397 0.406 20 0 DCADLN CCC[C@@](C)(CO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362246389 958998435 /nfs/dbraw/zinc/99/84/35/958998435.db2.gz RACWRPOPXHQINC-HNNXBMFYSA-N 0 2 320.349 0.547 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(C)(C)O ZINC001376905257 959107195 /nfs/dbraw/zinc/10/71/95/959107195.db2.gz TYBRFWUKOVKGSL-HTRCEHHLSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CC(C)(C)O ZINC001376905257 959107203 /nfs/dbraw/zinc/10/72/03/959107203.db2.gz TYBRFWUKOVKGSL-HTRCEHHLSA-N 0 2 302.268 0.669 20 0 DCADLN COC[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362323189 959142454 /nfs/dbraw/zinc/14/24/54/959142454.db2.gz BPRDLGWXVFDPLV-JTQLQIEISA-N 0 2 318.333 0.375 20 0 DCADLN CC(C)(O)C1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362362516 959216192 /nfs/dbraw/zinc/21/61/92/959216192.db2.gz WTKUMYKPRJOBSZ-UHFFFAOYSA-N 0 2 318.333 0.109 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368150449 959334201 /nfs/dbraw/zinc/33/42/01/959334201.db2.gz CLPNVRXHTOSBDH-ZUZCIYMTSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368150449 959334215 /nfs/dbraw/zinc/33/42/15/959334215.db2.gz CLPNVRXHTOSBDH-ZUZCIYMTSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H](O)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362431043 959338543 /nfs/dbraw/zinc/33/85/43/959338543.db2.gz VNGDVIIPKJTGOW-LLVKDONJSA-N 0 2 306.322 0.157 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H]1C[C@H](C)Cc2cn[nH]c21)c1nn[nH]n1 ZINC001362449522 959376923 /nfs/dbraw/zinc/37/69/23/959376923.db2.gz CBMZSNPDUMWCMJ-WCABBAIRSA-N 0 2 303.370 0.851 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)NC(=O)c2cnc[nH]c2=O)c1C ZINC001377073682 959430997 /nfs/dbraw/zinc/43/09/97/959430997.db2.gz FHJAFTULGKDKCO-SSDOTTSWSA-N 0 2 318.337 0.070 20 0 DCADLN Cc1nnc(C[NH+]2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)o1 ZINC001594491388 959713922 /nfs/dbraw/zinc/71/39/22/959713922.db2.gz WQNGHQLOYUBPCU-SNVBAGLBSA-N 0 2 308.338 0.276 20 0 DCADLN C[N@H+](CCNC(=O)CC[C@@H]1CCCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001377229028 959743443 /nfs/dbraw/zinc/74/34/43/959743443.db2.gz CXEGHBSSHSUUFN-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN C[N@@H+](CCNC(=O)CC[C@@H]1CCCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001377229028 959743455 /nfs/dbraw/zinc/74/34/55/959743455.db2.gz CXEGHBSSHSUUFN-NSHDSACASA-N 0 2 311.386 0.408 20 0 DCADLN O=C(C[C@@H]1CCNC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001362638950 959745946 /nfs/dbraw/zinc/74/59/46/959745946.db2.gz KWXVHYASNGTWEZ-VIFPVBQESA-N 0 2 301.306 0.642 20 0 DCADLN CC1(C)[C@H](O)CCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362643492 959752517 /nfs/dbraw/zinc/75/25/17/959752517.db2.gz XZWDWOUUBOSIHW-LLVKDONJSA-N 0 2 318.333 0.252 20 0 DCADLN Cc1nc(C)c(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001492348211 959914611 /nfs/dbraw/zinc/91/46/11/959914611.db2.gz FKDCJRDWZBQUOJ-UHFFFAOYSA-N 0 2 324.410 0.374 20 0 DCADLN Cc1nc(C)c(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001492348211 959914618 /nfs/dbraw/zinc/91/46/18/959914618.db2.gz FKDCJRDWZBQUOJ-UHFFFAOYSA-N 0 2 324.410 0.374 20 0 DCADLN O=C(CCc1ccco1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377383470 960003822 /nfs/dbraw/zinc/00/38/22/960003822.db2.gz XMVAYJJPQQIBAO-LLVKDONJSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(CCc1ccco1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377383470 960003833 /nfs/dbraw/zinc/00/38/33/960003833.db2.gz XMVAYJJPQQIBAO-LLVKDONJSA-N 0 2 319.365 0.817 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]([C@@H](CO)C2CC2)C2CC2)S1 ZINC001362894997 960144484 /nfs/dbraw/zinc/14/44/84/960144484.db2.gz SEMLPIGATSNNAU-NHCYSSNCSA-N 0 2 311.407 0.456 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)NCC[N@@H+](C/C=C\Cl)C1CC1 ZINC001377573158 960298471 /nfs/dbraw/zinc/29/84/71/960298471.db2.gz XMSYQMREVDWROG-RJRFIUFISA-N 0 2 315.761 0.022 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)NCC[N@H+](C/C=C\Cl)C1CC1 ZINC001377573158 960298478 /nfs/dbraw/zinc/29/84/78/960298478.db2.gz XMSYQMREVDWROG-RJRFIUFISA-N 0 2 315.761 0.022 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001378381708 960675057 /nfs/dbraw/zinc/67/50/57/960675057.db2.gz WVLWBBMFXJOZQQ-RNFRBKRXSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001378381708 960675068 /nfs/dbraw/zinc/67/50/68/960675068.db2.gz WVLWBBMFXJOZQQ-RNFRBKRXSA-N 0 2 323.250 0.046 20 0 DCADLN Cc1nn(C)c(N2CCC2)c1NC(=O)CC1SC(=N)NC1=O ZINC001363348841 960953194 /nfs/dbraw/zinc/95/31/94/960953194.db2.gz VSEAIKPUTGWRRZ-QMMMGPOBSA-N 0 2 322.394 0.434 20 0 DCADLN Cc1nc(C(C)(C)C)[nH]c(=O)c1C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001363430069 961136781 /nfs/dbraw/zinc/13/67/81/961136781.db2.gz FYUFJWUCKOGICP-SNVBAGLBSA-N 0 2 319.365 0.975 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001430197323 1013234447 /nfs/dbraw/zinc/23/44/47/1013234447.db2.gz ISWARHNNIOIZRR-GJMOJQLCSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001430197323 1013234453 /nfs/dbraw/zinc/23/44/53/1013234453.db2.gz ISWARHNNIOIZRR-GJMOJQLCSA-N 0 2 308.235 0.687 20 0 DCADLN O=C([O-])[C@@H](CCC1OCCO1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001571102780 961247372 /nfs/dbraw/zinc/24/73/72/961247372.db2.gz QXGQRNYLQZLZRJ-OPRDCNLKSA-N 0 2 309.322 0.236 20 0 DCADLN O=C([O-])[C@@H](CCC1OCCO1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001571102780 961247387 /nfs/dbraw/zinc/24/73/87/961247387.db2.gz QXGQRNYLQZLZRJ-OPRDCNLKSA-N 0 2 309.322 0.236 20 0 DCADLN CN=[S@@](C)(=O)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001363495569 961277918 /nfs/dbraw/zinc/27/79/18/961277918.db2.gz FAPLVOOMSGKOBO-NRFANRHFSA-N 0 2 310.383 0.268 20 0 DCADLN CCc1[nH]nc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1C ZINC001363517241 961338057 /nfs/dbraw/zinc/33/80/57/961338057.db2.gz VPAJYXBAQZYRDG-UHFFFAOYSA-N 0 2 301.310 0.304 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@@H+]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001571111955 961342417 /nfs/dbraw/zinc/34/24/17/961342417.db2.gz TWHSEWYFXAVPFJ-RDBSUJKOSA-N 0 2 311.334 0.257 20 0 DCADLN COc1cc(OC)cc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001571111955 961342435 /nfs/dbraw/zinc/34/24/35/961342435.db2.gz TWHSEWYFXAVPFJ-RDBSUJKOSA-N 0 2 311.334 0.257 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001363557670 961436832 /nfs/dbraw/zinc/43/68/32/961436832.db2.gz HPZGEYXIRCOYDT-VXGBXAGGSA-N 0 2 304.350 0.927 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378126959 961481533 /nfs/dbraw/zinc/48/15/33/961481533.db2.gz OCXPTOPMMGKKTK-WDEREUQCSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@@H](CNC(=O)[C@H](C)C(C)(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378132284 961488834 /nfs/dbraw/zinc/48/88/34/961488834.db2.gz UJVAAJDLBBWCEG-YUMQZZPRSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@@H](CNC(=O)[C@H](C)C(C)(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378132284 961488853 /nfs/dbraw/zinc/48/88/53/961488853.db2.gz UJVAAJDLBBWCEG-YUMQZZPRSA-N 0 2 305.329 0.738 20 0 DCADLN CCn1nc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1C1CC1 ZINC001571133222 961585179 /nfs/dbraw/zinc/58/51/79/961585179.db2.gz JZCYNWDPMFHSDW-LBPRGKRZSA-N 0 2 317.349 0.929 20 0 DCADLN CCn1nc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1C1CC1 ZINC001571133222 961585196 /nfs/dbraw/zinc/58/51/96/961585196.db2.gz JZCYNWDPMFHSDW-LBPRGKRZSA-N 0 2 317.349 0.929 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccnn1CC1CCC1 ZINC001571133267 961586037 /nfs/dbraw/zinc/58/60/37/961586037.db2.gz LCPSJLVSZNXJOL-LBPRGKRZSA-N 0 2 317.349 0.832 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccnn1CC1CCC1 ZINC001571133267 961586058 /nfs/dbraw/zinc/58/60/58/961586058.db2.gz LCPSJLVSZNXJOL-LBPRGKRZSA-N 0 2 317.349 0.832 20 0 DCADLN C[C@@H](CNC(=O)c1cc(Cl)no1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378185396 961619613 /nfs/dbraw/zinc/61/96/13/961619613.db2.gz BPMFOGQAFMEJNC-LURJTMIESA-N 0 2 314.733 0.402 20 0 DCADLN C[C@@H](CNC(=O)c1cc(Cl)no1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378185396 961619627 /nfs/dbraw/zinc/61/96/27/961619627.db2.gz BPMFOGQAFMEJNC-LURJTMIESA-N 0 2 314.733 0.402 20 0 DCADLN C[C@@H](NC(=O)COc1ccc(CO)cc1)c1nn(C)cc1O ZINC001363666824 961656998 /nfs/dbraw/zinc/65/69/98/961656998.db2.gz NNDBADAIJFACTI-SNVBAGLBSA-N 0 2 305.334 0.874 20 0 DCADLN C[C@@H](NC(=O)C[C@H]1CCCS1(=O)=O)c1nn(C)cc1O ZINC001363666979 961660446 /nfs/dbraw/zinc/66/04/46/961660446.db2.gz OHPMLFOFCCOZST-RKDXNWHRSA-N 0 2 301.368 0.270 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNCc2ncc(Cl)s2)[nH]1 ZINC001363686927 961697933 /nfs/dbraw/zinc/69/79/33/961697933.db2.gz XYAHBHOKNIVWFW-UHFFFAOYSA-N 0 2 321.815 0.749 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@H](C)C(=O)[O-] ZINC001588843241 961840561 /nfs/dbraw/zinc/84/05/61/961840561.db2.gz GRQOEGVKFBJZAT-JQWIXIFHSA-N 0 2 324.381 0.320 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@H](C)C(=O)[O-] ZINC001588843241 961840568 /nfs/dbraw/zinc/84/05/68/961840568.db2.gz GRQOEGVKFBJZAT-JQWIXIFHSA-N 0 2 324.381 0.320 20 0 DCADLN Cc1ncsc1CC[NH+]1CC(N(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])C1 ZINC001571161500 961938701 /nfs/dbraw/zinc/93/87/01/961938701.db2.gz SNWGYSDBYXACIX-RYUDHWBXSA-N 0 2 323.418 0.857 20 0 DCADLN CCO[C@@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001379046945 961969594 /nfs/dbraw/zinc/96/95/94/961969594.db2.gz XCWKBTPLXYSYAU-GFCCVEGCSA-N 0 2 313.402 0.462 20 0 DCADLN CCO[C@@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001379046945 961969601 /nfs/dbraw/zinc/96/96/01/961969601.db2.gz XCWKBTPLXYSYAU-GFCCVEGCSA-N 0 2 313.402 0.462 20 0 DCADLN Cc1ccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001379143856 962192607 /nfs/dbraw/zinc/19/26/07/962192607.db2.gz HSULQMLYALXMNI-UHFFFAOYSA-N 0 2 320.353 0.123 20 0 DCADLN Cc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001379143856 962192624 /nfs/dbraw/zinc/19/26/24/962192624.db2.gz HSULQMLYALXMNI-UHFFFAOYSA-N 0 2 320.353 0.123 20 0 DCADLN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)C(F)C(F)(F)F ZINC001364053139 962375854 /nfs/dbraw/zinc/37/58/54/962375854.db2.gz CSRNPIXKCUNROY-ZETCQYMHSA-N 0 2 323.250 0.223 20 0 DCADLN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)[C@H](F)C(F)(F)F ZINC001364053139 962375876 /nfs/dbraw/zinc/37/58/76/962375876.db2.gz CSRNPIXKCUNROY-ZETCQYMHSA-N 0 2 323.250 0.223 20 0 DCADLN COC1(CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001379952720 962376070 /nfs/dbraw/zinc/37/60/70/962376070.db2.gz NRMWWMDHRZZPID-JTQLQIEISA-N 0 2 309.370 0.160 20 0 DCADLN CC(=O)Nc1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1F ZINC001364065044 962402000 /nfs/dbraw/zinc/40/20/00/962402000.db2.gz FGVJNYBLIZASAQ-UHFFFAOYSA-N 0 2 314.302 0.108 20 0 DCADLN CCn1ccc(C[NH2+][C@H](C)CN(C)C(=O)CCc2cn[nH]n2)n1 ZINC001379231526 962420377 /nfs/dbraw/zinc/42/03/77/962420377.db2.gz VBVINHHYSDAZOQ-GFCCVEGCSA-N 0 2 319.413 0.590 20 0 DCADLN CCn1ccc(C[NH2+][C@H](C)CN(C)C(=O)CCc2c[nH]nn2)n1 ZINC001379231526 962420390 /nfs/dbraw/zinc/42/03/90/962420390.db2.gz VBVINHHYSDAZOQ-GFCCVEGCSA-N 0 2 319.413 0.590 20 0 DCADLN CC[C@@H](F)C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001380024102 962520046 /nfs/dbraw/zinc/52/00/46/962520046.db2.gz WBPBHMPMHDIAQH-NWDGAFQWSA-N 0 2 321.356 0.461 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)C1 ZINC001364244565 962742526 /nfs/dbraw/zinc/74/25/26/962742526.db2.gz IMIYPWBFEWGFJQ-AOOOYVTPSA-N 0 2 323.349 0.396 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)[nH]1 ZINC001364248967 962748014 /nfs/dbraw/zinc/74/80/14/962748014.db2.gz YGPGNUNJPIKGKC-UHFFFAOYSA-N 0 2 305.338 0.851 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)[nH]1 ZINC001364248967 962748024 /nfs/dbraw/zinc/74/80/24/962748024.db2.gz YGPGNUNJPIKGKC-UHFFFAOYSA-N 0 2 305.338 0.851 20 0 DCADLN Cc1sccc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364285732 962818264 /nfs/dbraw/zinc/81/82/64/962818264.db2.gz PVODLTRLSANRCF-UHFFFAOYSA-N 0 2 314.392 0.649 20 0 DCADLN C[C@@H]1OC(=O)N[C@@H]1C(=O)Nc1nccc(Br)c1O ZINC001364310004 962872401 /nfs/dbraw/zinc/87/24/01/962872401.db2.gz ZROQNYVJCXHZAN-NJGYIYPDSA-N 0 2 316.111 0.985 20 0 DCADLN CCC[C@H](C)N(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364346327 962934659 /nfs/dbraw/zinc/93/46/59/962934659.db2.gz MOWLFPYIVNNLJU-VIFPVBQESA-N 0 2 317.415 0.679 20 0 DCADLN CC(C)[C@H](CCN(C)C(=O)c1ccn[nH]1)NC(=O)c1cn[nH]n1 ZINC001381024168 963770811 /nfs/dbraw/zinc/77/08/11/963770811.db2.gz JBTLUQABWPHASR-JTQLQIEISA-N 0 2 319.369 0.445 20 0 DCADLN CC(C)[C@H](CCN(C)C(=O)c1cc[nH]n1)NC(=O)c1cnn[nH]1 ZINC001381024168 963770816 /nfs/dbraw/zinc/77/08/16/963770816.db2.gz JBTLUQABWPHASR-JTQLQIEISA-N 0 2 319.369 0.445 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCC[NH+]2CCCCCC2)on1 ZINC000315997162 963962441 /nfs/dbraw/zinc/96/24/41/963962441.db2.gz QJTJWTXXKRDKKS-UHFFFAOYSA-N 0 2 317.367 0.527 20 0 DCADLN CC[N@@H+]1CCC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC000316287738 963998806 /nfs/dbraw/zinc/99/88/06/963998806.db2.gz UREWUHGZSXJAMQ-MRVPVSSYSA-N 0 2 303.340 0.135 20 0 DCADLN CC[N@H+]1CCC[C@@H]1CNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC000316287738 963998825 /nfs/dbraw/zinc/99/88/25/963998825.db2.gz UREWUHGZSXJAMQ-MRVPVSSYSA-N 0 2 303.340 0.135 20 0 DCADLN COCC(C)(C)CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368973753 964220240 /nfs/dbraw/zinc/22/02/40/964220240.db2.gz UWPONACQUWZOEJ-SNVBAGLBSA-N 0 2 313.402 0.510 20 0 DCADLN COCC(C)(C)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368973753 964220247 /nfs/dbraw/zinc/22/02/47/964220247.db2.gz UWPONACQUWZOEJ-SNVBAGLBSA-N 0 2 313.402 0.510 20 0 DCADLN O=C(NC[C@@H](CO)NCc1csnn1)C(F)C(F)(F)F ZINC001369327972 964753472 /nfs/dbraw/zinc/75/34/72/964753472.db2.gz UPDZQIINNCTVHE-CAHLUQPWSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@@H](CO)NCc1csnn1)[C@@H](F)C(F)(F)F ZINC001369327972 964753478 /nfs/dbraw/zinc/75/34/78/964753478.db2.gz UPDZQIINNCTVHE-CAHLUQPWSA-N 0 2 316.280 0.005 20 0 DCADLN C[C@@H](CNC(=O)Cc1ncc[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001375728647 964767454 /nfs/dbraw/zinc/76/74/54/964767454.db2.gz ZRULHHBERAWZRG-OIBJUYFYSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@@H](CNC(=O)Cc1ncc[nH]1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001375728647 964767461 /nfs/dbraw/zinc/76/74/61/964767461.db2.gz ZRULHHBERAWZRG-OIBJUYFYSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@]1(NC(=O)CC[C@@H]2CCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375818755 964867749 /nfs/dbraw/zinc/86/77/49/964867749.db2.gz GKZUUMQLAZROPU-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(NC(=O)CC[C@@H]2CCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375818755 964867754 /nfs/dbraw/zinc/86/77/54/964867754.db2.gz GKZUUMQLAZROPU-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN Cn1ncc(CN2CC[C@](C)(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001375829823 964882702 /nfs/dbraw/zinc/88/27/02/964882702.db2.gz ZDLILXPAOMDEPX-ONGXEEELSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CC[C@](C)(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001375829823 964882716 /nfs/dbraw/zinc/88/27/16/964882716.db2.gz ZDLILXPAOMDEPX-ONGXEEELSA-N 0 2 323.294 0.796 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H](C)C[NH2+]Cc2nncs2)c1[O-] ZINC001374218157 965146770 /nfs/dbraw/zinc/14/67/70/965146770.db2.gz KDUZRQCTNUQHBO-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1cccnc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001374266080 965201370 /nfs/dbraw/zinc/20/13/70/965201370.db2.gz DVZAHIHFRLAGMO-UHFFFAOYSA-N 0 2 302.338 0.172 20 0 DCADLN CS[C@H](C)C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001369757894 965361585 /nfs/dbraw/zinc/36/15/85/965361585.db2.gz XFSSIKXAGGJBIL-SECBINFHSA-N 0 2 313.427 0.685 20 0 DCADLN CCCC(=O)NC1(CCO)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001369971658 965633003 /nfs/dbraw/zinc/63/30/03/965633003.db2.gz ATHRFASJCHBBPA-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)NC1(CCO)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001369971658 965633011 /nfs/dbraw/zinc/63/30/11/965633011.db2.gz ATHRFASJCHBBPA-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CCO[C@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001369982863 965652959 /nfs/dbraw/zinc/65/29/59/965652959.db2.gz FGYNBUGACMJMIF-PWSUYJOCSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001374666781 965728961 /nfs/dbraw/zinc/72/89/61/965728961.db2.gz ZNJROCUUADZNBR-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374666781 965728968 /nfs/dbraw/zinc/72/89/68/965728968.db2.gz ZNJROCUUADZNBR-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN COCC(=O)N[C@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001370083540 965755766 /nfs/dbraw/zinc/75/57/66/965755766.db2.gz ZGMBQCHYKONELA-MUWHJKNJSA-N 0 2 300.252 0.496 20 0 DCADLN COCC(=O)N[C@H](C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001370083540 965755775 /nfs/dbraw/zinc/75/57/75/965755775.db2.gz ZGMBQCHYKONELA-MUWHJKNJSA-N 0 2 300.252 0.496 20 0 DCADLN O=C(NC[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)C(F)F ZINC001370178345 965859343 /nfs/dbraw/zinc/85/93/43/965859343.db2.gz COQQGBJOINMGAP-MVIOUDGNSA-N 0 2 318.217 0.973 20 0 DCADLN O=C(NC[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)C(F)F ZINC001370178345 965859353 /nfs/dbraw/zinc/85/93/53/965859353.db2.gz COQQGBJOINMGAP-MVIOUDGNSA-N 0 2 318.217 0.973 20 0 DCADLN Cc1ncn(C)c1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001430583557 1013606160 /nfs/dbraw/zinc/60/61/60/1013606160.db2.gz MJAVBMWWTPHUDL-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncn(C)c1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001430583557 1013606167 /nfs/dbraw/zinc/60/61/67/1013606167.db2.gz MJAVBMWWTPHUDL-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC1(O)CCC1 ZINC001430589600 1013609264 /nfs/dbraw/zinc/60/92/64/1013609264.db2.gz RZMZZMLBAPVOQE-IONNQARKSA-N 0 2 314.279 0.813 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CC1(O)CCC1 ZINC001430589600 1013609266 /nfs/dbraw/zinc/60/92/66/1013609266.db2.gz RZMZZMLBAPVOQE-IONNQARKSA-N 0 2 314.279 0.813 20 0 DCADLN O=C(NCC1CN(C(=O)c2cn[nH]c2)C1)C(F)C(F)(F)F ZINC001374847240 966026236 /nfs/dbraw/zinc/02/62/36/966026236.db2.gz NIJNYZVEQBMMAN-QMMMGPOBSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)c2cn[nH]c2)C1)[C@H](F)C(F)(F)F ZINC001374847240 966026247 /nfs/dbraw/zinc/02/62/47/966026247.db2.gz NIJNYZVEQBMMAN-QMMMGPOBSA-N 0 2 308.235 0.498 20 0 DCADLN CC(C)(F)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370634233 966306717 /nfs/dbraw/zinc/30/67/17/966306717.db2.gz GQGGNYUXCXVVJY-RITPCOANSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001370634233 966306723 /nfs/dbraw/zinc/30/67/23/966306723.db2.gz GQGGNYUXCXVVJY-RITPCOANSA-N 0 2 306.231 0.228 20 0 DCADLN CC1(CC(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001370764321 966453081 /nfs/dbraw/zinc/45/30/81/966453081.db2.gz YCKHXIRMHYWGHT-APPZFPTMSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001370764321 966453076 /nfs/dbraw/zinc/45/30/76/966453076.db2.gz YCKHXIRMHYWGHT-APPZFPTMSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001371764458 967319664 /nfs/dbraw/zinc/31/96/64/967319664.db2.gz JOWDBRRTEUCOBV-CAHLUQPWSA-N 0 2 313.255 0.395 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccn(C)c1 ZINC001382492314 967441979 /nfs/dbraw/zinc/44/19/79/967441979.db2.gz WEAXHEFYDCYJEN-UHFFFAOYSA-N 0 2 320.397 0.831 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccn(C)c1 ZINC001382492314 967441984 /nfs/dbraw/zinc/44/19/84/967441984.db2.gz WEAXHEFYDCYJEN-UHFFFAOYSA-N 0 2 320.397 0.831 20 0 DCADLN COCCN(CCNC(=O)c1c[nH]cc1C)Cc1n[nH]c(=O)[nH]1 ZINC001382556273 967492967 /nfs/dbraw/zinc/49/29/67/967492967.db2.gz VMPOZPCGAWOEIL-UHFFFAOYSA-N 0 2 322.369 0.025 20 0 DCADLN C[N@H+](CCNC(=O)c1snnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001371999902 967534554 /nfs/dbraw/zinc/53/45/54/967534554.db2.gz NDDLHYXRFIIHOS-UHFFFAOYSA-N 0 2 323.382 0.101 20 0 DCADLN C[N@@H+](CCNC(=O)c1snnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001371999902 967534560 /nfs/dbraw/zinc/53/45/60/967534560.db2.gz NDDLHYXRFIIHOS-UHFFFAOYSA-N 0 2 323.382 0.101 20 0 DCADLN CCC1(C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001382602760 967535101 /nfs/dbraw/zinc/53/51/01/967535101.db2.gz GBBVJSSMAFOWDK-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001382602760 967535110 /nfs/dbraw/zinc/53/51/10/967535110.db2.gz GBBVJSSMAFOWDK-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ncc[nH]2)C1)C(F)C(F)(F)F ZINC001372017938 967566487 /nfs/dbraw/zinc/56/64/87/967566487.db2.gz XEGUZPONQBZJKX-RQJHMYQMSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ncc[nH]2)C1)[C@H](F)C(F)(F)F ZINC001372017938 967566496 /nfs/dbraw/zinc/56/64/96/967566496.db2.gz XEGUZPONQBZJKX-RQJHMYQMSA-N 0 2 308.235 0.641 20 0 DCADLN C[N@H+](CCNC(=O)C[C@@H]1CC[C@@H](C2CC2)O1)Cc1n[nH]c(=O)[n-]1 ZINC001372052091 967603911 /nfs/dbraw/zinc/60/39/11/967603911.db2.gz RNKGTLXMIHZOBX-RYUDHWBXSA-N 0 2 323.397 0.406 20 0 DCADLN C[N@@H+](CCNC(=O)C[C@@H]1CC[C@@H](C2CC2)O1)Cc1n[nH]c(=O)[n-]1 ZINC001372052091 967603918 /nfs/dbraw/zinc/60/39/18/967603918.db2.gz RNKGTLXMIHZOBX-RYUDHWBXSA-N 0 2 323.397 0.406 20 0 DCADLN C[N@H+](CCNC(=O)C[C@H]1CC[C@@H](C2CC2)O1)Cc1n[nH]c(=O)[n-]1 ZINC001372052074 967605007 /nfs/dbraw/zinc/60/50/07/967605007.db2.gz RNKGTLXMIHZOBX-NEPJUHHUSA-N 0 2 323.397 0.406 20 0 DCADLN C[N@@H+](CCNC(=O)C[C@H]1CC[C@@H](C2CC2)O1)Cc1n[nH]c(=O)[n-]1 ZINC001372052074 967605010 /nfs/dbraw/zinc/60/50/10/967605010.db2.gz RNKGTLXMIHZOBX-NEPJUHHUSA-N 0 2 323.397 0.406 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1nnc[nH]1)[C@@H](C)C(=O)N(C)C(C)C ZINC001372452785 968001706 /nfs/dbraw/zinc/00/17/06/968001706.db2.gz ORSSBUVJBVXKLH-LBPRGKRZSA-N 0 2 324.429 0.041 20 0 DCADLN Cn1nnnc1CN1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001372619956 968184728 /nfs/dbraw/zinc/18/47/28/968184728.db2.gz XYURARJZWPBCHV-CBAPKCEASA-N 0 2 324.282 0.049 20 0 DCADLN C[C@H](CCNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001431041297 1013881734 /nfs/dbraw/zinc/88/17/34/1013881734.db2.gz BIWYQJLWZOXKJZ-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1cncn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001431041297 1013881740 /nfs/dbraw/zinc/88/17/40/1013881740.db2.gz BIWYQJLWZOXKJZ-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H]1CCCO1 ZINC001431305038 1013988482 /nfs/dbraw/zinc/98/84/82/1013988482.db2.gz QZFMUGQVXGRMML-SFYZADRCSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@H]1CCCO1 ZINC001431305038 1013988485 /nfs/dbraw/zinc/98/84/85/1013988485.db2.gz QZFMUGQVXGRMML-SFYZADRCSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H](CN(C)C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC001609902107 970593280 /nfs/dbraw/zinc/59/32/80/970593280.db2.gz NBOQWNPIWQOTQH-GRYCIOLGSA-N 0 2 301.387 0.458 20 0 DCADLN COc1ccc(OC)c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000320719077 970704002 /nfs/dbraw/zinc/70/40/02/970704002.db2.gz VOCSWINIVCGIQZ-LBPRGKRZSA-N 0 2 319.317 0.853 20 0 DCADLN COc1ccc(OC)c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000320719077 970704009 /nfs/dbraw/zinc/70/40/09/970704009.db2.gz VOCSWINIVCGIQZ-LBPRGKRZSA-N 0 2 319.317 0.853 20 0 DCADLN Cc1cc(C[NH+]2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)no1 ZINC001605165353 972861906 /nfs/dbraw/zinc/86/19/06/972861906.db2.gz HFZLJWRJFKFVIA-LLVKDONJSA-N 0 2 307.350 0.881 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N1CC[NH2+][C@H](c2ccc(F)cc2)C1 ZINC001604454672 973285463 /nfs/dbraw/zinc/28/54/63/973285463.db2.gz AHEJJYMGFIJWQG-SWLSCSKDSA-N 0 2 310.325 0.788 20 0 DCADLN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1cccc(C(=O)OC)n1 ZINC001603498376 973454781 /nfs/dbraw/zinc/45/47/81/973454781.db2.gz AKUVBZWZWCQZJY-SNVBAGLBSA-N 0 2 309.322 0.602 20 0 DCADLN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1cccc(C(=O)OC)n1 ZINC001603498376 973454788 /nfs/dbraw/zinc/45/47/88/973454788.db2.gz AKUVBZWZWCQZJY-SNVBAGLBSA-N 0 2 309.322 0.602 20 0 DCADLN CC[C@@H]1C[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CCN1CC(F)F ZINC001603625528 973936732 /nfs/dbraw/zinc/93/67/32/973936732.db2.gz YEKSXQHMMCYMFP-MNOVXSKESA-N 0 2 319.352 0.333 20 0 DCADLN CC[C@@H]1C[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CCN1CC(F)F ZINC001603625528 973936738 /nfs/dbraw/zinc/93/67/38/973936738.db2.gz YEKSXQHMMCYMFP-MNOVXSKESA-N 0 2 319.352 0.333 20 0 DCADLN O=C([O-])c1cccc(CN2C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C2=O)c1 ZINC001606509524 974002332 /nfs/dbraw/zinc/00/23/32/974002332.db2.gz KYBXPIFGLVOYQK-LBPRGKRZSA-N 0 2 314.301 0.771 20 0 DCADLN O=C([O-])c1cccc(CN2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)c1 ZINC001606509524 974002343 /nfs/dbraw/zinc/00/23/43/974002343.db2.gz KYBXPIFGLVOYQK-LBPRGKRZSA-N 0 2 314.301 0.771 20 0 DCADLN CCC[N@@H+]([C@H](C)C(=O)OCC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001603655290 974030768 /nfs/dbraw/zinc/03/07/68/974030768.db2.gz ZSKKZIRXIJKVDF-GHMZBOCLSA-N 0 2 300.355 0.336 20 0 DCADLN CCC[N@H+]([C@H](C)C(=O)OCC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001603655290 974030757 /nfs/dbraw/zinc/03/07/57/974030757.db2.gz ZSKKZIRXIJKVDF-GHMZBOCLSA-N 0 2 300.355 0.336 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001522526709 1014163853 /nfs/dbraw/zinc/16/38/53/1014163853.db2.gz XOQROHNLSLQBSO-YUMQZZPRSA-N 0 2 310.251 0.577 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1cccn1 ZINC001522526709 1014163858 /nfs/dbraw/zinc/16/38/58/1014163858.db2.gz XOQROHNLSLQBSO-YUMQZZPRSA-N 0 2 310.251 0.577 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3C[C@H]4COC[C@@]4(C(=O)[O-])C3)ccn12 ZINC001549306912 1014214248 /nfs/dbraw/zinc/21/42/48/1014214248.db2.gz WJGRMTBFSGRVMR-LRDDRELGSA-N 0 2 315.329 0.816 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(C(=O)[C@@H]1CCc3[nH+]ccn3C1)C2 ZINC001549306942 1014215025 /nfs/dbraw/zinc/21/50/25/1014215025.db2.gz XCJZSBPZJXQKOY-ZETOZRRWSA-N 0 2 305.334 0.005 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)C[N@H+]2[C@H](C)CC[C@@H]2C)c1[O-] ZINC001522589429 1014221042 /nfs/dbraw/zinc/22/10/42/1014221042.db2.gz HBFGGBJKQHRIOY-AOOOYVTPSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)C[N@@H+]2[C@H](C)CC[C@@H]2C)c1[O-] ZINC001522589429 1014221062 /nfs/dbraw/zinc/22/10/62/1014221062.db2.gz HBFGGBJKQHRIOY-AOOOYVTPSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1nonc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001528249034 1014237245 /nfs/dbraw/zinc/23/72/45/1014237245.db2.gz RCCYPLYJMBNNQO-ZETCQYMHSA-N 0 2 312.223 0.467 20 0 DCADLN Cc1nonc1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001528249034 1014237259 /nfs/dbraw/zinc/23/72/59/1014237259.db2.gz RCCYPLYJMBNNQO-ZETCQYMHSA-N 0 2 312.223 0.467 20 0 DCADLN CC(C)S(=O)(=O)CCC[N@@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001591820667 976066128 /nfs/dbraw/zinc/06/61/28/976066128.db2.gz PHICXPWERFDANT-CYBMUJFWSA-N 0 2 318.395 0.505 20 0 DCADLN CC(C)S(=O)(=O)CCC[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001591820667 976066140 /nfs/dbraw/zinc/06/61/40/976066140.db2.gz PHICXPWERFDANT-CYBMUJFWSA-N 0 2 318.395 0.505 20 0 DCADLN C[C@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H](c2nc(C3CC3)no2)O1 ZINC001549606249 1014344790 /nfs/dbraw/zinc/34/47/90/1014344790.db2.gz YFAUTQMUASSZQO-IONNQARKSA-N 0 2 306.326 0.733 20 0 DCADLN CC(C)c1nnc(CCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])o1 ZINC001592050426 976789688 /nfs/dbraw/zinc/78/96/88/976789688.db2.gz SDXPETPGCLSMTQ-JTQLQIEISA-N 0 2 321.337 0.661 20 0 DCADLN CC(C)c1nnc(CCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])o1 ZINC001592050426 976789693 /nfs/dbraw/zinc/78/96/93/976789693.db2.gz SDXPETPGCLSMTQ-JTQLQIEISA-N 0 2 321.337 0.661 20 0 DCADLN O=C([O-])c1ncsc1S(=O)(=O)N1CC[NH+]2CCC1CC2 ZINC000722784502 978166772 /nfs/dbraw/zinc/16/67/72/978166772.db2.gz ZXLJGMDJOSHBFN-UHFFFAOYSA-N 0 2 317.392 0.310 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001595131644 979556216 /nfs/dbraw/zinc/55/62/16/979556216.db2.gz ZMHFURDRYZRGCA-RWMBFGLXSA-N 0 2 313.398 0.650 20 0 DCADLN CC[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ncc(C(=O)[O-])cn1 ZINC001595145786 979615947 /nfs/dbraw/zinc/61/59/47/979615947.db2.gz WGJVIGKZPSFFJR-JTQLQIEISA-N 0 2 318.337 0.447 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)ncn1 ZINC001530483855 1014766689 /nfs/dbraw/zinc/76/66/89/1014766689.db2.gz GDAGUFWIYLTXJW-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)ncn1 ZINC001530483855 1014766697 /nfs/dbraw/zinc/76/66/97/1014766697.db2.gz GDAGUFWIYLTXJW-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+]1CC[C@H](NC(=O)OC)C1)C(=O)[O-] ZINC001594893884 982312079 /nfs/dbraw/zinc/31/20/79/982312079.db2.gz LLMKJABOTWSBRW-JFGNBEQYSA-N 0 2 315.370 0.032 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+]1CC[C@H](NC(=O)OC)C1)C(=O)[O-] ZINC001594893884 982312083 /nfs/dbraw/zinc/31/20/83/982312083.db2.gz LLMKJABOTWSBRW-JFGNBEQYSA-N 0 2 315.370 0.032 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CC[C@@](O)(C(F)F)C1)C(=O)[O-] ZINC001594893943 982313117 /nfs/dbraw/zinc/31/31/17/982313117.db2.gz XUIHJWVOBRXXMJ-PLMOITTCSA-N 0 2 308.325 0.304 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CC[C@@](O)(C(F)F)C1)C(=O)[O-] ZINC001594893943 982313126 /nfs/dbraw/zinc/31/31/26/982313126.db2.gz XUIHJWVOBRXXMJ-PLMOITTCSA-N 0 2 308.325 0.304 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+]1CCCN(C(C)=O)CC1)C(=O)[O-] ZINC001594894528 982323288 /nfs/dbraw/zinc/32/32/88/982323288.db2.gz UCMBFAGTUOZYKW-RISCZKNCSA-N 0 2 313.398 0.156 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+]1CCCN(C(C)=O)CC1)C(=O)[O-] ZINC001594894528 982323296 /nfs/dbraw/zinc/32/32/96/982323296.db2.gz UCMBFAGTUOZYKW-RISCZKNCSA-N 0 2 313.398 0.156 20 0 DCADLN CSCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001524213545 1014895249 /nfs/dbraw/zinc/89/52/49/1014895249.db2.gz WWBSDXFPXAUAFE-NSHDSACASA-N 0 2 310.423 0.731 20 0 DCADLN CO[C@H](C)CC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530713566 1014898896 /nfs/dbraw/zinc/89/88/96/1014898896.db2.gz DKZANKGNPUJYQG-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@H](C)CC(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001530713566 1014898909 /nfs/dbraw/zinc/89/89/09/1014898909.db2.gz DKZANKGNPUJYQG-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN CC(=O)N1CCN(CCN(C)C(=O)C(F)C(F)(F)F)CC1 ZINC001450098018 1014907439 /nfs/dbraw/zinc/90/74/39/1014907439.db2.gz QYYWXDUGOQRFMW-JTQLQIEISA-N 0 2 313.295 0.509 20 0 DCADLN Cc1[nH]c(C(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)c(C)c1C(=O)[O-] ZINC001574111764 983170570 /nfs/dbraw/zinc/17/05/70/983170570.db2.gz UJHYADIPMSPMMX-JTQLQIEISA-N 0 2 306.322 0.386 20 0 DCADLN Cc1[nH]c(C(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)c(C)c1C(=O)[O-] ZINC001574111764 983170573 /nfs/dbraw/zinc/17/05/73/983170573.db2.gz UJHYADIPMSPMMX-JTQLQIEISA-N 0 2 306.322 0.386 20 0 DCADLN O=C(NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]n1 ZINC001524458190 1014982184 /nfs/dbraw/zinc/98/21/84/1014982184.db2.gz CYKMHXVSYFIXHR-SVRRBLITSA-N 0 2 323.250 0.330 20 0 DCADLN CCN1C(=O)[C@H]2CN(c3cc(CC(=O)[O-])cc[nH+]3)CCN2C1=O ZINC001596390612 983924524 /nfs/dbraw/zinc/92/45/24/983924524.db2.gz WFKYAVONPYLOHR-LLVKDONJSA-N 0 2 318.333 0.181 20 0 DCADLN CNC(=O)[C@]12CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@@H]1COCC2 ZINC001551415719 1015054029 /nfs/dbraw/zinc/05/40/29/1015054029.db2.gz ZCBZJMVVRCDNNM-IAQYHMDHSA-N 0 2 305.334 0.369 20 0 DCADLN CC(C)C(=O)N1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001383166192 984708150 /nfs/dbraw/zinc/70/81/50/984708150.db2.gz NUXXPBOUSUCVLG-QMMMGPOBSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)N1CC(CCO)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001383166192 984708151 /nfs/dbraw/zinc/70/81/51/984708151.db2.gz NUXXPBOUSUCVLG-QMMMGPOBSA-N 0 2 314.279 0.622 20 0 DCADLN COCCN(CCNC(=O)C(F)C(F)(F)F)C(=O)C1CC1 ZINC001383320101 984770522 /nfs/dbraw/zinc/77/05/22/984770522.db2.gz FSTHUMOCGDLWBD-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN COCCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)C1CC1 ZINC001383320101 984770528 /nfs/dbraw/zinc/77/05/28/984770528.db2.gz FSTHUMOCGDLWBD-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001450363488 1015087470 /nfs/dbraw/zinc/08/74/70/1015087470.db2.gz QFJDKYXXLPUYAY-IYSWYEEDSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001450363488 1015087478 /nfs/dbraw/zinc/08/74/78/1015087478.db2.gz QFJDKYXXLPUYAY-IYSWYEEDSA-N 0 2 323.250 0.282 20 0 DCADLN COC[C@@H](C)CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450368162 1015092820 /nfs/dbraw/zinc/09/28/20/1015092820.db2.gz AHHRRKSAMACVFN-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN COC[C@@H](C)CC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001450368162 1015092833 /nfs/dbraw/zinc/09/28/33/1015092833.db2.gz AHHRRKSAMACVFN-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@]23C[C@H]2COC3)C1)C(F)C(F)(F)F ZINC001525070933 1015096551 /nfs/dbraw/zinc/09/65/51/1015096551.db2.gz CLQXBCHBFJBXPQ-GITXYZAUSA-N 0 2 324.274 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@]23C[C@H]2COC3)C1)[C@@H](F)C(F)(F)F ZINC001525070933 1015096557 /nfs/dbraw/zinc/09/65/57/1015096557.db2.gz CLQXBCHBFJBXPQ-GITXYZAUSA-N 0 2 324.274 0.687 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001383846512 985133864 /nfs/dbraw/zinc/13/38/64/985133864.db2.gz COMVOEIJLLFHAB-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccncc1 ZINC001383846512 985133869 /nfs/dbraw/zinc/13/38/69/985133869.db2.gz COMVOEIJLLFHAB-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN CC(C)(F)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001383992128 985258490 /nfs/dbraw/zinc/25/84/90/985258490.db2.gz FNBRFOKQVMVVEE-PHDIDXHHSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001383992128 985258501 /nfs/dbraw/zinc/25/85/01/985258501.db2.gz FNBRFOKQVMVVEE-PHDIDXHHSA-N 0 2 306.231 0.228 20 0 DCADLN CC(=O)N[C@@H]1CC[N@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001589121071 985392560 /nfs/dbraw/zinc/39/25/60/985392560.db2.gz JHUIAKBKYRSZRS-LLVKDONJSA-N 0 2 302.334 0.972 20 0 DCADLN CC(=O)N[C@@H]1CC[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001589121071 985392567 /nfs/dbraw/zinc/39/25/67/985392567.db2.gz JHUIAKBKYRSZRS-LLVKDONJSA-N 0 2 302.334 0.972 20 0 DCADLN COCCC(=O)N1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001384379450 985530175 /nfs/dbraw/zinc/53/01/75/985530175.db2.gz RNEOTAKRBMUDPR-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N1CC[C@H]1CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001384379450 985530181 /nfs/dbraw/zinc/53/01/81/985530181.db2.gz RNEOTAKRBMUDPR-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2C[C@@H]3C(=O)OC[C@@H]3C2)c(C)c1C(=O)[O-] ZINC001599946738 985539780 /nfs/dbraw/zinc/53/97/80/985539780.db2.gz GOYDKNBKMYQJPR-UWVGGRQHSA-N 0 2 306.318 0.617 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2C[C@@H]3C(=O)OC[C@@H]3C2)c(C)c1C(=O)[O-] ZINC001599946738 985539784 /nfs/dbraw/zinc/53/97/84/985539784.db2.gz GOYDKNBKMYQJPR-UWVGGRQHSA-N 0 2 306.318 0.617 20 0 DCADLN Cc1[nH]c(C(=O)C[NH2+]C2(C(N)=O)CCOCC2)c(C)c1C(=O)[O-] ZINC001599947351 985551011 /nfs/dbraw/zinc/55/10/11/985551011.db2.gz OGJPGINHCXTGOQ-UHFFFAOYSA-N 0 2 323.349 0.137 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@]2(F)CCOC2)n[nH]1 ZINC001551660379 1015166221 /nfs/dbraw/zinc/16/62/21/1015166221.db2.gz PCPKNHNPHIBEGU-SUNKGSAMSA-N 0 2 312.345 0.573 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)Cc2cnn(C)c2)n[nH]1 ZINC001551666849 1015171147 /nfs/dbraw/zinc/17/11/47/1015171147.db2.gz OKNHGQSHZVPPEW-OLZOCXBDSA-N 0 2 318.381 0.420 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cccnc1 ZINC001594525577 986036004 /nfs/dbraw/zinc/03/60/04/986036004.db2.gz MRJCJNJPTBRNQX-NSHDSACASA-N 0 2 313.379 0.251 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cccnc1 ZINC001594525577 986036014 /nfs/dbraw/zinc/03/60/14/986036014.db2.gz MRJCJNJPTBRNQX-NSHDSACASA-N 0 2 313.379 0.251 20 0 DCADLN CCOCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001385053351 986046218 /nfs/dbraw/zinc/04/62/18/986046218.db2.gz ONNHSFGMKQTCDE-VIFPVBQESA-N 0 2 300.252 0.594 20 0 DCADLN CCOCC(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001385053351 986046232 /nfs/dbraw/zinc/04/62/32/986046232.db2.gz ONNHSFGMKQTCDE-VIFPVBQESA-N 0 2 300.252 0.594 20 0 DCADLN CC(=O)c1nc(CC[N@@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)[nH]c1C ZINC001589322617 986134634 /nfs/dbraw/zinc/13/46/34/986134634.db2.gz IUIAOWHRXLBJTL-UHFFFAOYSA-N 0 2 317.349 0.943 20 0 DCADLN CC(=O)c1nc(CC[N@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)[nH]c1C ZINC001589322617 986134637 /nfs/dbraw/zinc/13/46/37/986134637.db2.gz IUIAOWHRXLBJTL-UHFFFAOYSA-N 0 2 317.349 0.943 20 0 DCADLN CC(=O)c1[nH]c(CC[N@@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)nc1C ZINC001589322617 986134641 /nfs/dbraw/zinc/13/46/41/986134641.db2.gz IUIAOWHRXLBJTL-UHFFFAOYSA-N 0 2 317.349 0.943 20 0 DCADLN CC(=O)c1[nH]c(CC[N@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)nc1C ZINC001589322617 986134644 /nfs/dbraw/zinc/13/46/44/986134644.db2.gz IUIAOWHRXLBJTL-UHFFFAOYSA-N 0 2 317.349 0.943 20 0 DCADLN C[C@H]1C[N@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C[C@H]1O ZINC001594547146 986221813 /nfs/dbraw/zinc/22/18/13/986221813.db2.gz GSPVTXOWHLQWCX-QPUJVOFHSA-N 0 2 303.318 0.846 20 0 DCADLN C[C@H]1C[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C[C@H]1O ZINC001594547146 986221823 /nfs/dbraw/zinc/22/18/23/986221823.db2.gz GSPVTXOWHLQWCX-QPUJVOFHSA-N 0 2 303.318 0.846 20 0 DCADLN C[C@H]1C[N@H+](Cc2cnc(C(=O)[O-])cn2)C[C@@]2(CCCOC2)O1 ZINC001594548078 986235408 /nfs/dbraw/zinc/23/54/08/986235408.db2.gz QGEGMTAHHOPICG-XHDPSFHLSA-N 0 2 307.350 0.945 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cnc(C(=O)[O-])cn2)C[C@@]2(CCCOC2)O1 ZINC001594548078 986235422 /nfs/dbraw/zinc/23/54/22/986235422.db2.gz QGEGMTAHHOPICG-XHDPSFHLSA-N 0 2 307.350 0.945 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001385500692 986390798 /nfs/dbraw/zinc/39/07/98/986390798.db2.gz HJWFEXMPZGQIPU-BQBZGAKWSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2nc[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001385500692 986390809 /nfs/dbraw/zinc/39/08/09/986390809.db2.gz HJWFEXMPZGQIPU-BQBZGAKWSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC(=O)[O-])C1 ZINC001594608019 986588958 /nfs/dbraw/zinc/58/89/58/986588958.db2.gz QQWTWOUFIANPNK-UPJWGTAASA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC(=O)[O-])C1 ZINC001594608019 986588961 /nfs/dbraw/zinc/58/89/61/986588961.db2.gz QQWTWOUFIANPNK-UPJWGTAASA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCOC[C@H]2C)CC[C@H]1C(=O)[O-] ZINC001594608293 986591227 /nfs/dbraw/zinc/59/12/27/986591227.db2.gz WXHRZXWEFVKFAW-YNEHKIRRSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCOC[C@H]2C)CC[C@H]1C(=O)[O-] ZINC001594608293 986591236 /nfs/dbraw/zinc/59/12/36/986591236.db2.gz WXHRZXWEFVKFAW-YNEHKIRRSA-N 0 2 313.398 0.459 20 0 DCADLN CN(CCNC(=O)Cc1ccon1)C(=O)C(F)C(F)(F)F ZINC001386835638 987440427 /nfs/dbraw/zinc/44/04/27/987440427.db2.gz KJUWIGKIFUISRU-SECBINFHSA-N 0 2 311.235 0.692 20 0 DCADLN CN(CCNC(=O)Cc1ccon1)C(=O)[C@@H](F)C(F)(F)F ZINC001386835638 987440429 /nfs/dbraw/zinc/44/04/29/987440429.db2.gz KJUWIGKIFUISRU-SECBINFHSA-N 0 2 311.235 0.692 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001387491170 987868462 /nfs/dbraw/zinc/86/84/62/987868462.db2.gz YUQDONOFKFYZEY-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001387491170 987868470 /nfs/dbraw/zinc/86/84/70/987868470.db2.gz YUQDONOFKFYZEY-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)COC2CCCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001388790722 988596785 /nfs/dbraw/zinc/59/67/85/988596785.db2.gz DPBNMRJYZXNLBN-PWSUYJOCSA-N 0 2 323.397 0.549 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)COC2CCCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001388790722 988596794 /nfs/dbraw/zinc/59/67/94/988596794.db2.gz DPBNMRJYZXNLBN-PWSUYJOCSA-N 0 2 323.397 0.549 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001389006968 988731209 /nfs/dbraw/zinc/73/12/09/988731209.db2.gz VFIUNMGXSPQLKW-ZCFIWIBFSA-N 0 2 311.239 0.236 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001389006968 988731211 /nfs/dbraw/zinc/73/12/11/988731211.db2.gz VFIUNMGXSPQLKW-ZCFIWIBFSA-N 0 2 311.239 0.236 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccn(C)n1 ZINC001389173148 988947303 /nfs/dbraw/zinc/94/73/03/988947303.db2.gz HJVZYPHSRHXUGX-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1ccn(C)n1 ZINC001389173148 988947313 /nfs/dbraw/zinc/94/73/13/988947313.db2.gz HJVZYPHSRHXUGX-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN CCc1nnc(C[NH2+]C/C=C/CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])s1 ZINC001597729700 989039744 /nfs/dbraw/zinc/03/97/44/989039744.db2.gz WSUCJADEGZNAOC-OKWQPMOJSA-N 0 2 324.406 0.583 20 0 DCADLN COCC(=O)N(C)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001527369232 1015456393 /nfs/dbraw/zinc/45/63/93/1015456393.db2.gz IZDDMYZMTBFWGW-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N(C)[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001527369232 1015456400 /nfs/dbraw/zinc/45/64/00/1015456400.db2.gz IZDDMYZMTBFWGW-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN COCC1(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001389449921 989265289 /nfs/dbraw/zinc/26/52/89/989265289.db2.gz KAYIVUNUBJRDHC-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ccc3c(c2)oc(=O)n3C)C[C@@H](C(=O)[O-])O1 ZINC001599866418 989268561 /nfs/dbraw/zinc/26/85/61/989268561.db2.gz NVQRSSIEYVPOTB-RNCFNFMXSA-N 0 2 306.318 0.805 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2ccc3c(c2)oc(=O)n3C)C[C@@H](C(=O)[O-])O1 ZINC001599866418 989268572 /nfs/dbraw/zinc/26/85/72/989268572.db2.gz NVQRSSIEYVPOTB-RNCFNFMXSA-N 0 2 306.318 0.805 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)c1 ZINC001389673585 989481290 /nfs/dbraw/zinc/48/12/90/989481290.db2.gz POWCZAHPWDAHDI-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001389673585 989481292 /nfs/dbraw/zinc/48/12/92/989481292.db2.gz POWCZAHPWDAHDI-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001390073540 989795621 /nfs/dbraw/zinc/79/56/21/989795621.db2.gz JKSWQBHVOVHWJM-SSDOTTSWSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001390073540 989795627 /nfs/dbraw/zinc/79/56/27/989795627.db2.gz JKSWQBHVOVHWJM-SSDOTTSWSA-N 0 2 308.235 0.593 20 0 DCADLN C[C@@H]1CC[C@H](C)[N@H+]1CC(=O)NCC[NH+](C)CC(=O)NC1CC1 ZINC001390857478 990440000 /nfs/dbraw/zinc/44/00/00/990440000.db2.gz KZRVGAWDOKEUEW-BETUJISGSA-N 0 2 310.442 0.186 20 0 DCADLN O=C(C[C@H]1CCCO1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391031972 990528263 /nfs/dbraw/zinc/52/82/63/990528263.db2.gz UQAOEEDGTNVIAD-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(C[C@H]1CCCO1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391031972 990528267 /nfs/dbraw/zinc/52/82/67/990528267.db2.gz UQAOEEDGTNVIAD-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN CCCN(C(=O)[C@@H]1CCCO1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391267284 990708461 /nfs/dbraw/zinc/70/84/61/990708461.db2.gz IQSRIZOAQLSKMS-NEPJUHHUSA-N 0 2 323.397 0.502 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1CCOCC1 ZINC001392176229 991576465 /nfs/dbraw/zinc/57/64/65/991576465.db2.gz KRLDXZQGEXSGBK-VXNVDRBHSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C1CCOCC1 ZINC001392176229 991576475 /nfs/dbraw/zinc/57/64/75/991576475.db2.gz KRLDXZQGEXSGBK-VXNVDRBHSA-N 0 2 314.279 0.934 20 0 DCADLN C[N@H+](CC(=O)NCC(F)(F)F)Cc1ccc(C(=O)[O-])cn1 ZINC001598392071 991639249 /nfs/dbraw/zinc/63/92/49/991639249.db2.gz ILGZDGGYPCXFGA-UHFFFAOYSA-N 0 2 305.256 0.890 20 0 DCADLN C[N@@H+](CC(=O)NCC(F)(F)F)Cc1ccc(C(=O)[O-])cn1 ZINC001598392071 991639254 /nfs/dbraw/zinc/63/92/54/991639254.db2.gz ILGZDGGYPCXFGA-UHFFFAOYSA-N 0 2 305.256 0.890 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](O)C[NH2+]Cc1cnccc1C ZINC001392417425 991900912 /nfs/dbraw/zinc/90/09/12/991900912.db2.gz JCTASIYQFHHZGY-AWEZNQCLSA-N 0 2 317.393 0.231 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001598485177 992196321 /nfs/dbraw/zinc/19/63/21/992196321.db2.gz CSWAKZVLKDDBQH-UHFFFAOYSA-N 0 2 324.362 0.687 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)CN1CCC[C@H](C(=O)[O-])C1=O ZINC001598485987 992209057 /nfs/dbraw/zinc/20/90/57/992209057.db2.gz ZTXCIQKBAWVVKB-LBPRGKRZSA-N 0 2 322.365 0.055 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])CC(=O)Nc1ccccc1 ZINC001598489025 992255275 /nfs/dbraw/zinc/25/52/75/992255275.db2.gz JKPQBQGLPARDCI-CHWSQXEVSA-N 0 2 319.361 0.394 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])CC(=O)Nc1ccccc1 ZINC001598489025 992255285 /nfs/dbraw/zinc/25/52/85/992255285.db2.gz JKPQBQGLPARDCI-CHWSQXEVSA-N 0 2 319.361 0.394 20 0 DCADLN CC(C)(O)CC(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001393619622 993130849 /nfs/dbraw/zinc/13/08/49/993130849.db2.gz STBJZCDEMFHMBY-QMMMGPOBSA-N 0 2 314.279 0.813 20 0 DCADLN CC(C)(O)CC(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001393619622 993130851 /nfs/dbraw/zinc/13/08/51/993130851.db2.gz STBJZCDEMFHMBY-QMMMGPOBSA-N 0 2 314.279 0.813 20 0 DCADLN COC[C@H](C)C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001393676469 993173037 /nfs/dbraw/zinc/17/30/37/993173037.db2.gz DIMYZEYOPTZOCE-YUMQZZPRSA-N 0 2 314.279 0.934 20 0 DCADLN COC[C@H](C)C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001393676469 993173042 /nfs/dbraw/zinc/17/30/42/993173042.db2.gz DIMYZEYOPTZOCE-YUMQZZPRSA-N 0 2 314.279 0.934 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2cc(C(N)=O)cs2)C[C@@]1(C)C(=O)[O-] ZINC001598571510 993202647 /nfs/dbraw/zinc/20/26/47/993202647.db2.gz CXOBURHXWWAAOM-SDBXPKJASA-N 0 2 312.347 0.551 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2cc(C(N)=O)cs2)C[C@@]1(C)C(=O)[O-] ZINC001598571510 993202657 /nfs/dbraw/zinc/20/26/57/993202657.db2.gz CXOBURHXWWAAOM-SDBXPKJASA-N 0 2 312.347 0.551 20 0 DCADLN CN1CC[N@H+](C)[C@@H](CNC(=O)Cc2cccc(C(=O)[O-])c2)C1 ZINC001598612170 993797944 /nfs/dbraw/zinc/79/79/44/993797944.db2.gz PMSVOUYASRISNZ-AWEZNQCLSA-N 0 2 305.378 0.289 20 0 DCADLN CN1CC[N@@H+](C)[C@@H](CNC(=O)Cc2cccc(C(=O)[O-])c2)C1 ZINC001598612170 993797950 /nfs/dbraw/zinc/79/79/50/993797950.db2.gz PMSVOUYASRISNZ-AWEZNQCLSA-N 0 2 305.378 0.289 20 0 DCADLN CNC(=O)C[NH2+][C@@H]1C[C@H](C)N(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001394675512 994008285 /nfs/dbraw/zinc/00/82/85/994008285.db2.gz WZFHKBRYDMKAOG-GXSJLCMTSA-N 0 2 305.382 0.226 20 0 DCADLN C[C@@H]([NH2+][C@@H](C)C(=O)NCC(=O)[O-])c1cn(-c2ccccc2)nn1 ZINC001593203928 994193384 /nfs/dbraw/zinc/19/33/84/994193384.db2.gz JSLJERBLXPURRZ-MNOVXSKESA-N 0 2 317.349 0.507 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1 ZINC001598655747 994519021 /nfs/dbraw/zinc/51/90/21/994519021.db2.gz MFGSGHVFUAXJLZ-SCVCMEIPSA-N 0 2 322.365 0.074 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1 ZINC001598655747 994519030 /nfs/dbraw/zinc/51/90/30/994519030.db2.gz MFGSGHVFUAXJLZ-SCVCMEIPSA-N 0 2 322.365 0.074 20 0 DCADLN C[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccs1 ZINC001400532387 994621058 /nfs/dbraw/zinc/62/10/58/994621058.db2.gz OQRJONBUGIQUQM-SECBINFHSA-N 0 2 321.406 0.924 20 0 DCADLN COC1(CC(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001400690215 994801296 /nfs/dbraw/zinc/80/12/96/994801296.db2.gz HUEKOOAQXIHCEA-NSHDSACASA-N 0 2 323.397 0.502 20 0 DCADLN COC1(CC(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001400690215 994801306 /nfs/dbraw/zinc/80/13/06/994801306.db2.gz HUEKOOAQXIHCEA-NSHDSACASA-N 0 2 323.397 0.502 20 0 DCADLN CC(C)N(C)C(=O)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCC[NH+]2C)C1 ZINC001400706220 994821903 /nfs/dbraw/zinc/82/19/03/994821903.db2.gz WGRAANJCBVWHJF-CABCVRRESA-N 0 2 324.469 0.480 20 0 DCADLN CC(C)[NH+](C)CC(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001400741089 994866009 /nfs/dbraw/zinc/86/60/09/994866009.db2.gz NOSIZZQSNLMPBU-OAHLLOKOSA-N 0 2 324.469 0.386 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@]23C[C@H]2COC3)C1 ZINC001400746684 994873950 /nfs/dbraw/zinc/87/39/50/994873950.db2.gz JMBSQCNAJNOVTQ-VMAXQDLPSA-N 0 2 324.274 0.593 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)[C@]23C[C@H]2COC3)C1 ZINC001400746684 994873954 /nfs/dbraw/zinc/87/39/54/994873954.db2.gz JMBSQCNAJNOVTQ-VMAXQDLPSA-N 0 2 324.274 0.593 20 0 DCADLN O=C(CCC(F)F)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395737549 994950379 /nfs/dbraw/zinc/95/03/79/994950379.db2.gz AUEBSFPBEGQZNU-YLWLKBPMSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001395737549 994950386 /nfs/dbraw/zinc/95/03/86/994950386.db2.gz AUEBSFPBEGQZNU-YLWLKBPMSA-N 0 2 324.221 0.525 20 0 DCADLN C[C@@H](NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1nnnn1C ZINC001464738770 1015938448 /nfs/dbraw/zinc/93/84/48/1015938448.db2.gz LJTGQNZITIQHEF-XJZHNMMOSA-N 0 2 324.432 0.002 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccco2)C[C@@H]1O)C(F)C(F)(F)F ZINC001396426669 995387530 /nfs/dbraw/zinc/38/75/30/995387530.db2.gz AMGAJSOERSMVIV-FJXKBIBVSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccco2)C[C@@H]1O)[C@H](F)C(F)(F)F ZINC001396426669 995387534 /nfs/dbraw/zinc/38/75/34/995387534.db2.gz AMGAJSOERSMVIV-FJXKBIBVSA-N 0 2 324.230 0.482 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001396930792 995689040 /nfs/dbraw/zinc/68/90/40/995689040.db2.gz PSRHFDZYQLHUGM-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC001396930792 995689044 /nfs/dbraw/zinc/68/90/44/995689044.db2.gz PSRHFDZYQLHUGM-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN CCOCC(=O)N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001397007730 995739372 /nfs/dbraw/zinc/73/93/72/995739372.db2.gz CLTJSQOKRHKOJA-PSASIEDQSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)N[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001397007730 995739379 /nfs/dbraw/zinc/73/93/79/995739379.db2.gz CLTJSQOKRHKOJA-PSASIEDQSA-N 0 2 314.279 0.934 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@@H](C(=O)[O-])C1 ZINC001598795397 996107020 /nfs/dbraw/zinc/10/70/20/996107020.db2.gz YGRCTBGHKIQGNH-MWLCHTKSSA-N 0 2 309.322 0.125 20 0 DCADLN CC[C@H](OC)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1CC ZINC001397740857 996151935 /nfs/dbraw/zinc/15/19/35/996151935.db2.gz YQBXYNJCZWXVNV-AXFHLTTASA-N 0 2 311.386 0.404 20 0 DCADLN CC[C@H](OC)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1CC ZINC001397740857 996151937 /nfs/dbraw/zinc/15/19/37/996151937.db2.gz YQBXYNJCZWXVNV-AXFHLTTASA-N 0 2 311.386 0.404 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2c(F)ccc(O)c2F)C[C@@H]1C(=O)[O-] ZINC001598826043 996383318 /nfs/dbraw/zinc/38/33/18/996383318.db2.gz FPJOIYQSNXSRDL-JGVFFNPUSA-N 0 2 315.272 0.976 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2c(F)ccc(O)c2F)C[C@@H]1C(=O)[O-] ZINC001598826043 996383323 /nfs/dbraw/zinc/38/33/23/996383323.db2.gz FPJOIYQSNXSRDL-JGVFFNPUSA-N 0 2 315.272 0.976 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2nc(C3CCC3)no2)C[C@@H]1C(=O)[O-] ZINC001598826599 996389097 /nfs/dbraw/zinc/38/90/97/996389097.db2.gz NRQJQDRLPFJZEL-VHSXEESVSA-N 0 2 309.322 0.643 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2nc(C3CCC3)no2)C[C@@H]1C(=O)[O-] ZINC001598826599 996389107 /nfs/dbraw/zinc/38/91/07/996389107.db2.gz NRQJQDRLPFJZEL-VHSXEESVSA-N 0 2 309.322 0.643 20 0 DCADLN O=C(Cn1cccn1)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398717126 996655035 /nfs/dbraw/zinc/65/50/35/996655035.db2.gz WCKKYQHBRCHOTN-JTQLQIEISA-N 0 2 322.262 0.358 20 0 DCADLN O=C(Cn1cccn1)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001398717126 996655040 /nfs/dbraw/zinc/65/50/40/996655040.db2.gz WCKKYQHBRCHOTN-JTQLQIEISA-N 0 2 322.262 0.358 20 0 DCADLN CC(C)n1ncnc1C[NH2+][C@H](C)CNC(=O)CCc1cn[nH]n1 ZINC001399014945 996834570 /nfs/dbraw/zinc/83/45/70/996834570.db2.gz GHAHUJXIXMPLAK-LLVKDONJSA-N 0 2 320.401 0.204 20 0 DCADLN COc1ccc(C(=O)[O-])c(NC(=O)C(=O)N2CC[NH+](C)CC2)c1 ZINC001599487369 996874859 /nfs/dbraw/zinc/87/48/59/996874859.db2.gz FBJLFAJHTYDJOK-UHFFFAOYSA-N 0 2 321.333 0.106 20 0 DCADLN CC1(C)C[N@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C[C@]2(CCOC2)O1 ZINC001593942027 996959794 /nfs/dbraw/zinc/95/97/94/996959794.db2.gz ABRLCEFESZLGEX-NHYWBVRUSA-N 0 2 312.366 0.147 20 0 DCADLN CC1(C)C[N@@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C[C@]2(CCOC2)O1 ZINC001593942027 996959802 /nfs/dbraw/zinc/95/98/02/996959802.db2.gz ABRLCEFESZLGEX-NHYWBVRUSA-N 0 2 312.366 0.147 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)N(C)C(=O)C(F)C(F)(F)F ZINC001404466998 997143191 /nfs/dbraw/zinc/14/31/91/997143191.db2.gz YHFIUTLQCFGYQM-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001404466998 997143199 /nfs/dbraw/zinc/14/31/99/997143199.db2.gz YHFIUTLQCFGYQM-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[C@@H]2CC[N@@H+](CC(=O)N(C)C)C2)c1C ZINC001404493601 997167290 /nfs/dbraw/zinc/16/72/90/997167290.db2.gz XPSMWGVMTBSGJS-CYBMUJFWSA-N 0 2 321.425 0.557 20 0 DCADLN COC[C@]1(C)C[N@@H+]([C@@H]2CCCN(CCC(=O)[O-])C2=O)CCO1 ZINC001599195151 997201123 /nfs/dbraw/zinc/20/11/23/997201123.db2.gz MWVIABLXBDFMQV-DOMZBBRYSA-N 0 2 314.382 0.189 20 0 DCADLN COC[C@]1(C)C[N@H+]([C@@H]2CCCN(CCC(=O)[O-])C2=O)CCO1 ZINC001599195151 997201128 /nfs/dbraw/zinc/20/11/28/997201128.db2.gz MWVIABLXBDFMQV-DOMZBBRYSA-N 0 2 314.382 0.189 20 0 DCADLN COC(=O)c1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c(C)o1 ZINC001598919799 997542876 /nfs/dbraw/zinc/54/28/76/997542876.db2.gz HBPIGVIUDXOFRO-JTQLQIEISA-N 0 2 321.289 0.454 20 0 DCADLN CO[C@@H]1C[N@H+](CC(=O)c2c[nH]c3ccc(C(=O)[O-])cc32)C[C@H]1O ZINC001599323562 997623444 /nfs/dbraw/zinc/62/34/44/997623444.db2.gz ZVNPMLCWNAKKRU-HUUCEWRRSA-N 0 2 318.329 0.740 20 0 DCADLN CO[C@@H]1C[N@@H+](CC(=O)c2c[nH]c3ccc(C(=O)[O-])cc32)C[C@H]1O ZINC001599323562 997623450 /nfs/dbraw/zinc/62/34/50/997623450.db2.gz ZVNPMLCWNAKKRU-HUUCEWRRSA-N 0 2 318.329 0.740 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@H]2COCC[C@H]21 ZINC001598926986 997665330 /nfs/dbraw/zinc/66/53/30/997665330.db2.gz ZEGGUUNTYYOAPW-CMPLNLGQSA-N 0 2 323.349 0.826 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@H]2COCC[C@H]21 ZINC001598926986 997665338 /nfs/dbraw/zinc/66/53/38/997665338.db2.gz ZEGGUUNTYYOAPW-CMPLNLGQSA-N 0 2 323.349 0.826 20 0 DCADLN CO[C@@H]1CC[N@H+](CC(=O)NC(C2CC2)C2CC2)[C@@H](C(=O)[O-])C1 ZINC001599122528 997765061 /nfs/dbraw/zinc/76/50/61/997765061.db2.gz LPNBOSMIHJESQM-CHWSQXEVSA-N 0 2 310.394 0.855 20 0 DCADLN CO[C@@H]1CC[N@@H+](CC(=O)NC(C2CC2)C2CC2)[C@@H](C(=O)[O-])C1 ZINC001599122528 997765071 /nfs/dbraw/zinc/76/50/71/997765071.db2.gz LPNBOSMIHJESQM-CHWSQXEVSA-N 0 2 310.394 0.855 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cnc(C2CC2)[nH]c1=O ZINC001467315433 1016156225 /nfs/dbraw/zinc/15/62/25/1016156225.db2.gz ZVSFWMDNKSZIIZ-UHFFFAOYSA-N 0 2 307.335 0.704 20 0 DCADLN COC[C@H](C[N@@H+]1CCO[C@@H](C)C1)OC(=O)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001599163904 998507251 /nfs/dbraw/zinc/50/72/51/998507251.db2.gz XOFNTKRTETUYJW-RVMXOQNASA-N 0 2 315.366 0.376 20 0 DCADLN COC[C@H](C[N@H+]1CCO[C@@H](C)C1)OC(=O)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001599163904 998507262 /nfs/dbraw/zinc/50/72/62/998507262.db2.gz XOFNTKRTETUYJW-RVMXOQNASA-N 0 2 315.366 0.376 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2cccnc2C(=O)[O-])CC[N@H+]1C ZINC001594180405 998700103 /nfs/dbraw/zinc/70/01/03/998700103.db2.gz ZIADKNILKHOSSQ-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2cccnc2C(=O)[O-])CC[N@@H+]1C ZINC001594180405 998700105 /nfs/dbraw/zinc/70/01/05/998700105.db2.gz ZIADKNILKHOSSQ-ZJUUUORDSA-N 0 2 313.379 0.493 20 0 DCADLN O=C(CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)N1CCCC1 ZINC001468947004 1016293139 /nfs/dbraw/zinc/29/31/39/1016293139.db2.gz QWFRUXCPJUMVBN-JTQLQIEISA-N 0 2 322.369 0.022 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2nc(C3CC3)c[nH]2)c1 ZINC001469578532 1016348452 /nfs/dbraw/zinc/34/84/52/1016348452.db2.gz DODPIMZUPWFUPE-UHFFFAOYSA-N 0 2 322.346 0.892 20 0 DCADLN CCC(CC)NC(=O)C[NH+](C)CCNC(=O)[C@H]1CCC[N@@H+]1C ZINC001418448127 1000228355 /nfs/dbraw/zinc/22/83/55/1000228355.db2.gz KGROMTIPQMWOJG-CQSZACIVSA-N 0 2 312.458 0.433 20 0 DCADLN CCC[C@H](OC)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001418582694 1000363801 /nfs/dbraw/zinc/36/38/01/1000363801.db2.gz QFLQNUWHSRKZMF-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1cscn1 ZINC001418596815 1000377303 /nfs/dbraw/zinc/37/73/03/1000377303.db2.gz KZQQFWSBHOMQSS-JTQLQIEISA-N 0 2 322.394 0.242 20 0 DCADLN C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001418615271 1000393084 /nfs/dbraw/zinc/39/30/84/1000393084.db2.gz BWWJJSCBQATAMI-RTHLEPHNSA-N 0 2 317.301 0.733 20 0 DCADLN Cn1cccc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418741163 1000485169 /nfs/dbraw/zinc/48/51/69/1000485169.db2.gz UPPXIJBXBXRYFA-UHFFFAOYSA-N 0 2 304.354 0.243 20 0 DCADLN Cn1cccc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418741163 1000485173 /nfs/dbraw/zinc/48/51/73/1000485173.db2.gz UPPXIJBXBXRYFA-UHFFFAOYSA-N 0 2 304.354 0.243 20 0 DCADLN Cn1ccnc1C[N@H+]1CC[C@H](CCNC(=O)c2ncn[nH]2)C1 ZINC001418908704 1000607843 /nfs/dbraw/zinc/60/78/43/1000607843.db2.gz OABLHIKWUCOALN-NSHDSACASA-N 0 2 303.370 0.180 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1cccnc1N1CCCC1 ZINC001470348032 1016421544 /nfs/dbraw/zinc/42/15/44/1016421544.db2.gz GJEIPDVPKBKYAN-UHFFFAOYSA-N 0 2 302.338 0.222 20 0 DCADLN Cn1nccc1CCN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001418972180 1000657313 /nfs/dbraw/zinc/65/73/13/1000657313.db2.gz PJQRUUKGRSUOPO-SNVBAGLBSA-N 0 2 308.279 0.663 20 0 DCADLN Cn1ncc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001419059097 1000731940 /nfs/dbraw/zinc/73/19/40/1000731940.db2.gz MKKGDKCZKPRPCL-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncc(CN2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001419059097 1000731948 /nfs/dbraw/zinc/73/19/48/1000731948.db2.gz MKKGDKCZKPRPCL-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1(CCF)CC1 ZINC001419100071 1000769804 /nfs/dbraw/zinc/76/98/04/1000769804.db2.gz ZHAWQIVKTFEOLJ-SNVBAGLBSA-N 0 2 311.361 0.731 20 0 DCADLN CN(C(=O)CC[C@H]1CCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419104100 1000776076 /nfs/dbraw/zinc/77/60/76/1000776076.db2.gz JVPAPRZOZKIWIX-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)CC[C@H]1CCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419104100 1000776082 /nfs/dbraw/zinc/77/60/82/1000776082.db2.gz JVPAPRZOZKIWIX-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(C[C@H]1CCCCO1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401892657 1000826798 /nfs/dbraw/zinc/82/67/98/1000826798.db2.gz NZORVNNWDXBQKP-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN CC(C)NC(=O)CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001402027613 1000956902 /nfs/dbraw/zinc/95/69/02/1000956902.db2.gz SHFFTJNSKMIXDD-JTQLQIEISA-N 0 2 313.295 0.554 20 0 DCADLN CC(C)NC(=O)CN1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001402027613 1000956909 /nfs/dbraw/zinc/95/69/09/1000956909.db2.gz SHFFTJNSKMIXDD-JTQLQIEISA-N 0 2 313.295 0.554 20 0 DCADLN Cc1noc2nc(C)cc(C(=O)NCc3nc(O)cc(=O)[nH]3)c12 ZINC001470583443 1016462298 /nfs/dbraw/zinc/46/22/98/1016462298.db2.gz ZGUONYNANXXZTI-UHFFFAOYSA-N 0 2 315.289 0.971 20 0 DCADLN COCCC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001402175962 1001087496 /nfs/dbraw/zinc/08/74/96/1001087496.db2.gz UBKZSYYOEWPXHV-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN COC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)[C@@H]1CCCOC1 ZINC001419726550 1001255099 /nfs/dbraw/zinc/25/50/99/1001255099.db2.gz HKOCBKXVCZBRDH-UTLUCORTSA-N 0 2 315.395 0.101 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1O2)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001470692933 1016481588 /nfs/dbraw/zinc/48/15/88/1016481588.db2.gz UVHKQMCZZQWFOF-DBIOUOCHSA-N 0 2 307.354 0.719 20 0 DCADLN CCc1noc(C[NH2+][C@@H](C)[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001402969968 1001609443 /nfs/dbraw/zinc/60/94/43/1001609443.db2.gz PIMNPLFRHAZVLH-YUMQZZPRSA-N 0 2 322.369 0.666 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)[C@@H](C)O1 ZINC001471058084 1016517515 /nfs/dbraw/zinc/51/75/15/1016517515.db2.gz JBPYASYMWWDYLK-DBIOUOCHSA-N 0 2 309.370 0.965 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001403299112 1001788790 /nfs/dbraw/zinc/78/87/90/1001788790.db2.gz JGUOVBZIYATWFC-XVKPBYJWSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001403299112 1001788799 /nfs/dbraw/zinc/78/87/99/1001788799.db2.gz JGUOVBZIYATWFC-XVKPBYJWSA-N 0 2 323.294 0.652 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C)C1CC1 ZINC001403365886 1001887849 /nfs/dbraw/zinc/88/78/49/1001887849.db2.gz ZJWRQCQCUNXXBF-CMPLNLGQSA-N 0 2 323.397 0.262 20 0 DCADLN C[N@H+](CCNC(=O)CCc1ccccn1)Cc1n[nH]c(=O)[n-]1 ZINC001420284329 1002164822 /nfs/dbraw/zinc/16/48/22/1002164822.db2.gz AELRELZSELTMOO-UHFFFAOYSA-N 0 2 304.354 0.086 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1ccccn1)Cc1n[nH]c(=O)[n-]1 ZINC001420284329 1002164828 /nfs/dbraw/zinc/16/48/28/1002164828.db2.gz AELRELZSELTMOO-UHFFFAOYSA-N 0 2 304.354 0.086 20 0 DCADLN COCCC1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001420465861 1002457281 /nfs/dbraw/zinc/45/72/81/1002457281.db2.gz CTIVIDHCBRDZOS-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN COCCC1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001420465861 1002457283 /nfs/dbraw/zinc/45/72/83/1002457283.db2.gz CTIVIDHCBRDZOS-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CO[C@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420492677 1002495885 /nfs/dbraw/zinc/49/58/85/1002495885.db2.gz ZMDQBKKORUUNFP-JQWIXIFHSA-N 0 2 309.370 0.016 20 0 DCADLN CO[C@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420492677 1002495892 /nfs/dbraw/zinc/49/58/92/1002495892.db2.gz ZMDQBKKORUUNFP-JQWIXIFHSA-N 0 2 309.370 0.016 20 0 DCADLN COCC[N@H+](CCNC(C)=O)Cc1nc(=O)c2sccc2[n-]1 ZINC001403962717 1002807510 /nfs/dbraw/zinc/80/75/10/1002807510.db2.gz XUSYLYMMOHGOBL-UHFFFAOYSA-N 0 2 324.406 0.981 20 0 DCADLN COCC[N@@H+](CCNC(C)=O)Cc1nc(=O)c2sccc2[n-]1 ZINC001403962717 1002807523 /nfs/dbraw/zinc/80/75/23/1002807523.db2.gz XUSYLYMMOHGOBL-UHFFFAOYSA-N 0 2 324.406 0.981 20 0 DCADLN COCCN(CCNC(C)=O)Cc1nc(=O)c2sccc2[nH]1 ZINC001403962717 1002807537 /nfs/dbraw/zinc/80/75/37/1002807537.db2.gz XUSYLYMMOHGOBL-UHFFFAOYSA-N 0 2 324.406 0.981 20 0 DCADLN O=C(C[C@H]1CCCCO1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403971802 1002830360 /nfs/dbraw/zinc/83/03/60/1002830360.db2.gz AOXWAVRWIXYVBM-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@H]1CCCCO1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403971802 1002830376 /nfs/dbraw/zinc/83/03/76/1002830376.db2.gz AOXWAVRWIXYVBM-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1cc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)cc(C)n1 ZINC001472085798 1016726188 /nfs/dbraw/zinc/72/61/88/1016726188.db2.gz XFTJWUUJCKQVJZ-UHFFFAOYSA-N 0 2 323.378 0.291 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420910487 1003120548 /nfs/dbraw/zinc/12/05/48/1003120548.db2.gz ZELIFMGYOYNGCK-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN COc1ccc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001421031250 1003249597 /nfs/dbraw/zinc/24/95/97/1003249597.db2.gz RCPOQDJPMRLYKJ-SNVBAGLBSA-N 0 2 322.262 0.686 20 0 DCADLN COc1ccc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001421031250 1003249603 /nfs/dbraw/zinc/24/96/03/1003249603.db2.gz RCPOQDJPMRLYKJ-SNVBAGLBSA-N 0 2 322.262 0.686 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001421133733 1003367054 /nfs/dbraw/zinc/36/70/54/1003367054.db2.gz OEWPGBIIAPKMKD-CBAPKCEASA-N 0 2 323.294 0.825 20 0 DCADLN C[C@@H](c1nncn1C)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001421133733 1003367060 /nfs/dbraw/zinc/36/70/60/1003367060.db2.gz OEWPGBIIAPKMKD-CBAPKCEASA-N 0 2 323.294 0.825 20 0 DCADLN O=C(COC1CCCCC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421138813 1003371907 /nfs/dbraw/zinc/37/19/07/1003371907.db2.gz SAYAOFOSXMGYHG-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1nc(Cl)cc(NCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001421276587 1003495851 /nfs/dbraw/zinc/49/58/51/1003495851.db2.gz BAGLTWJKTLWKBQ-UHFFFAOYSA-N 0 2 316.774 0.713 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)CC1CC1 ZINC001428141400 1003722437 /nfs/dbraw/zinc/72/24/37/1003722437.db2.gz GCRGENFHJOYWKO-SCZZXKLOSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)CC1CC1 ZINC001428141400 1003722441 /nfs/dbraw/zinc/72/24/41/1003722441.db2.gz GCRGENFHJOYWKO-SCZZXKLOSA-N 0 2 314.279 0.622 20 0 DCADLN COCCC(=O)NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001426809099 1004054206 /nfs/dbraw/zinc/05/42/06/1004054206.db2.gz KRRJEJFYQUSFGV-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001426809099 1004054217 /nfs/dbraw/zinc/05/42/17/1004054217.db2.gz KRRJEJFYQUSFGV-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCCC(N)=O ZINC001428655033 1004092495 /nfs/dbraw/zinc/09/24/95/1004092495.db2.gz PGPAFSXBWLAJKM-MUWHJKNJSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CCCC(N)=O ZINC001428655033 1004092505 /nfs/dbraw/zinc/09/25/05/1004092505.db2.gz PGPAFSXBWLAJKM-MUWHJKNJSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001429305723 1004519901 /nfs/dbraw/zinc/51/99/01/1004519901.db2.gz WNPOHRVOUVGMCK-DTWKUNHWSA-N 0 2 324.278 0.919 20 0 DCADLN C[C@@H](C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)n1cccn1 ZINC001429305723 1004519910 /nfs/dbraw/zinc/51/99/10/1004519910.db2.gz WNPOHRVOUVGMCK-DTWKUNHWSA-N 0 2 324.278 0.919 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cncnc1 ZINC001429597919 1004688706 /nfs/dbraw/zinc/68/87/06/1004688706.db2.gz QMPHVJVHPVWJMB-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cncnc1 ZINC001429597919 1004688710 /nfs/dbraw/zinc/68/87/10/1004688710.db2.gz QMPHVJVHPVWJMB-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CCNC(=O)c1cnsn1 ZINC001408446539 1005203624 /nfs/dbraw/zinc/20/36/24/1005203624.db2.gz WTBIJUFZQPESPR-MRVPVSSYSA-N 0 2 322.394 0.437 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]1CNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414727184 1005391455 /nfs/dbraw/zinc/39/14/55/1005391455.db2.gz YVJZLRQMIHHWCM-IVZWLZJFSA-N 0 2 323.353 0.208 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414779158 1005400808 /nfs/dbraw/zinc/40/08/08/1005400808.db2.gz BKPDHHBWAVNBDR-XVKPBYJWSA-N 0 2 322.262 0.570 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)NC(=O)C(F)C(F)(F)F ZINC001415249974 1005489520 /nfs/dbraw/zinc/48/95/20/1005489520.db2.gz CDQQYISVALGCGI-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)NC(=O)[C@H](F)C(F)(F)F ZINC001415249974 1005489522 /nfs/dbraw/zinc/48/95/22/1005489522.db2.gz CDQQYISVALGCGI-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN CC(C)(O)CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416026628 1005651314 /nfs/dbraw/zinc/65/13/14/1005651314.db2.gz CVBDUMSNWJOSDG-MRVPVSSYSA-N 0 2 300.252 0.375 20 0 DCADLN CC(C)(O)CC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416026628 1005651315 /nfs/dbraw/zinc/65/13/15/1005651315.db2.gz CVBDUMSNWJOSDG-MRVPVSSYSA-N 0 2 300.252 0.375 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)CNC(=O)C(F)C(F)(F)F ZINC001416463190 1005701949 /nfs/dbraw/zinc/70/19/49/1005701949.db2.gz DFVGULAIJDBQBY-VXNVDRBHSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001416463190 1005701952 /nfs/dbraw/zinc/70/19/52/1005701952.db2.gz DFVGULAIJDBQBY-VXNVDRBHSA-N 0 2 324.278 0.803 20 0 DCADLN CC(F)(F)CC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001416768164 1005733995 /nfs/dbraw/zinc/73/39/95/1005733995.db2.gz WTHWMUMPMURJLS-FSPLSTOPSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001416768164 1005733996 /nfs/dbraw/zinc/73/39/96/1005733996.db2.gz WTHWMUMPMURJLS-FSPLSTOPSA-N 0 2 324.221 0.525 20 0 DCADLN Cc1csc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC001417453635 1005836644 /nfs/dbraw/zinc/83/66/44/1005836644.db2.gz JQPKHRRBRAMYSI-UHFFFAOYSA-N 0 2 303.347 0.758 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CSC(c2ccccc2O)=N1 ZINC001417663165 1005862923 /nfs/dbraw/zinc/86/29/23/1005862923.db2.gz BAJKRWMXCVCNFT-QMMMGPOBSA-N 0 2 319.346 0.394 20 0 DCADLN C[C@@H]1OCCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@H]1C ZINC001417687012 1005868314 /nfs/dbraw/zinc/86/83/14/1005868314.db2.gz AZGZWKOQGUYHSB-UWVGGRQHSA-N 0 2 318.333 0.516 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3[nH]c(=O)[nH]c32)S1 ZINC001417886269 1005915447 /nfs/dbraw/zinc/91/54/47/1005915447.db2.gz NVTINYSEOBTVEK-SSDOTTSWSA-N 0 2 305.319 0.763 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@H](O)[C@@H](CO)C1 ZINC001417934827 1005931107 /nfs/dbraw/zinc/93/11/07/1005931107.db2.gz VJBGSACIDBZQIE-SKDRFNHKSA-N 0 2 321.308 0.142 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[NH+](C[C@@H]3CC(C)(C)CO3)CC2)c1[O-] ZINC001434859164 1006056217 /nfs/dbraw/zinc/05/62/17/1006056217.db2.gz MWIQBAIUVPSAIR-LBPRGKRZSA-N 0 2 322.409 0.997 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@]1(C)CCCOC1 ZINC001436332962 1006123663 /nfs/dbraw/zinc/12/36/63/1006123663.db2.gz ZLPGDHZIHGINRZ-ABAIWWIYSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001451411906 1006156717 /nfs/dbraw/zinc/15/67/17/1006156717.db2.gz DTSQLMOTZXIGEE-SFYZADRCSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccoc1 ZINC001451411906 1006156727 /nfs/dbraw/zinc/15/67/27/1006156727.db2.gz DTSQLMOTZXIGEE-SFYZADRCSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(CCC(F)F)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451455434 1006184611 /nfs/dbraw/zinc/18/46/11/1006184611.db2.gz HUBRKGKKPXXFOC-XRGYYRRGSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001451455434 1006184613 /nfs/dbraw/zinc/18/46/13/1006184613.db2.gz HUBRKGKKPXXFOC-XRGYYRRGSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc2cc[nH]c2cn1 ZINC001437205997 1006705238 /nfs/dbraw/zinc/70/52/38/1006705238.db2.gz FVVZVLGKEMWWSN-UHFFFAOYSA-N 0 2 309.289 0.586 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001439346449 1006736248 /nfs/dbraw/zinc/73/62/48/1006736248.db2.gz JIALWQGATRZYHQ-MPPDQPJWSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001439346449 1006736256 /nfs/dbraw/zinc/73/62/56/1006736256.db2.gz JIALWQGATRZYHQ-MPPDQPJWSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(COCC1CCCC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001439537998 1006978406 /nfs/dbraw/zinc/97/84/06/1006978406.db2.gz WGGWSNKBYVBLSL-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)(C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)F ZINC001439543695 1006984674 /nfs/dbraw/zinc/98/46/74/1006984674.db2.gz CRCFBPYNQITQDS-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN CCC[NH+]1CCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC ZINC001437665985 1007236997 /nfs/dbraw/zinc/23/69/97/1007236997.db2.gz ZZEHTDFEUCRSKS-GJZGRUSLSA-N 0 2 324.469 0.578 20 0 DCADLN O=C(CCn1cc[nH+]c1)NC[C@H]1CCC[N@H+]1CCn1cccn1 ZINC001437667288 1007237256 /nfs/dbraw/zinc/23/72/56/1007237256.db2.gz QKQLGYNZSIMMKQ-OAHLLOKOSA-N 0 2 316.409 0.751 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@@H]1CC[N@H+](Cc2nc(C)no2)C1 ZINC001437743015 1007342328 /nfs/dbraw/zinc/34/23/28/1007342328.db2.gz SSGDNPKJQDGQGF-ZDUSSCGKSA-N 0 2 309.414 0.658 20 0 DCADLN C[C@H]1C[C@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCO1 ZINC001437811254 1007426462 /nfs/dbraw/zinc/42/64/62/1007426462.db2.gz MSOSJMUVMJCROG-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1C[C@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCO1 ZINC001437811254 1007426468 /nfs/dbraw/zinc/42/64/68/1007426468.db2.gz MSOSJMUVMJCROG-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1n[nH]cc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001453414112 1007459822 /nfs/dbraw/zinc/45/98/22/1007459822.db2.gz BTXMRVHIYZQAQF-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1n[nH]cc1C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001453414112 1007459828 /nfs/dbraw/zinc/45/98/28/1007459828.db2.gz BTXMRVHIYZQAQF-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN CCn1cc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001438052893 1007605484 /nfs/dbraw/zinc/60/54/84/1007605484.db2.gz NRXOFHWLCAOJEA-SECBINFHSA-N 0 2 309.267 0.499 20 0 DCADLN CCn1cc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001438052893 1007605486 /nfs/dbraw/zinc/60/54/86/1007605486.db2.gz NRXOFHWLCAOJEA-SECBINFHSA-N 0 2 309.267 0.499 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CCO[C@H]1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440944364 1008132953 /nfs/dbraw/zinc/13/29/53/1008132953.db2.gz LUMDAPHVKIPZER-NDMJEZRESA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CCO[C@H]1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440944364 1008132955 /nfs/dbraw/zinc/13/29/55/1008132955.db2.gz LUMDAPHVKIPZER-NDMJEZRESA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)[N@H+](C)CCN1CCCC1=O ZINC001440984120 1008158041 /nfs/dbraw/zinc/15/80/41/1008158041.db2.gz VNBHGOPDMQQUFM-LBPRGKRZSA-N 0 2 307.398 0.011 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1C[C@H]1C1CCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440986287 1008159543 /nfs/dbraw/zinc/15/95/43/1008159543.db2.gz YOXUVWPZRDJADL-ADEWGFFLSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](CNC(=O)[C@@H]1C[C@H]1C1CCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440986287 1008159547 /nfs/dbraw/zinc/15/95/47/1008159547.db2.gz YOXUVWPZRDJADL-ADEWGFFLSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001440994012 1008164585 /nfs/dbraw/zinc/16/45/85/1008164585.db2.gz SUNPIHVLNOWBLB-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001440994012 1008164589 /nfs/dbraw/zinc/16/45/89/1008164589.db2.gz SUNPIHVLNOWBLB-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN CN(CCN(C)C(=O)[C@H]1CCCO1)C(=O)C(F)C(F)(F)F ZINC001433145609 1008546632 /nfs/dbraw/zinc/54/66/32/1008546632.db2.gz MCUBXSHODWTWFX-BDAKNGLRSA-N 0 2 314.279 0.983 20 0 DCADLN CN(CCN(C)C(=O)[C@H]1CCCO1)C(=O)[C@H](F)C(F)(F)F ZINC001433145609 1008546642 /nfs/dbraw/zinc/54/66/42/1008546642.db2.gz MCUBXSHODWTWFX-BDAKNGLRSA-N 0 2 314.279 0.983 20 0 DCADLN CO[C@H](C)CC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001453624920 1008621666 /nfs/dbraw/zinc/62/16/66/1008621666.db2.gz GDALYJNSZGLGOH-XCBNKYQSSA-N 0 2 314.279 0.981 20 0 DCADLN CO[C@H](C)CC(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001453624920 1008621671 /nfs/dbraw/zinc/62/16/71/1008621671.db2.gz GDALYJNSZGLGOH-XCBNKYQSSA-N 0 2 314.279 0.981 20 0 DCADLN CCC1(C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001433296936 1008678760 /nfs/dbraw/zinc/67/87/60/1008678760.db2.gz CTMLMAUBCPTQTL-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001433296936 1008678774 /nfs/dbraw/zinc/67/87/74/1008678774.db2.gz CTMLMAUBCPTQTL-SFYZADRCSA-N 0 2 314.279 0.670 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)nn1C ZINC001433552184 1008931764 /nfs/dbraw/zinc/93/17/64/1008931764.db2.gz UGGHGJHQSGHUCW-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)nn1C ZINC001433552184 1008931773 /nfs/dbraw/zinc/93/17/73/1008931773.db2.gz UGGHGJHQSGHUCW-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cnco1 ZINC001442110119 1008976263 /nfs/dbraw/zinc/97/62/63/1008976263.db2.gz GNVNSKMHIARDCB-UHFFFAOYSA-N 0 2 308.342 0.481 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cnco1 ZINC001442110119 1008976275 /nfs/dbraw/zinc/97/62/75/1008976275.db2.gz GNVNSKMHIARDCB-UHFFFAOYSA-N 0 2 308.342 0.481 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnn(-c2ccccc2F)c1 ZINC001454275448 1009035460 /nfs/dbraw/zinc/03/54/60/1009035460.db2.gz ZNBANXJHCQCRDB-UHFFFAOYSA-N 0 2 315.264 0.658 20 0 DCADLN CC[C@H](C)CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001421779978 1009079792 /nfs/dbraw/zinc/07/97/92/1009079792.db2.gz SJRGMKPXZCQBCR-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CN(CCNC(=O)c1ccc(F)cc1F)Cc1n[nH]c(=O)[nH]1 ZINC001442591485 1009499374 /nfs/dbraw/zinc/49/93/74/1009499374.db2.gz VAPOZGFSBMJDJB-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc(F)cc1F)Cc1n[nH]c(=O)[n-]1 ZINC001442591485 1009499388 /nfs/dbraw/zinc/49/93/88/1009499388.db2.gz VAPOZGFSBMJDJB-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc(F)cc1F)Cc1n[nH]c(=O)[n-]1 ZINC001442591485 1009499395 /nfs/dbraw/zinc/49/93/95/1009499395.db2.gz VAPOZGFSBMJDJB-UHFFFAOYSA-N 0 2 311.292 0.650 20 0 DCADLN Cc1nc(C(C)C)[nH]c(=O)c1C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001455136019 1009513176 /nfs/dbraw/zinc/51/31/76/1009513176.db2.gz WKUQDUCGOFVPKU-VIFPVBQESA-N 0 2 317.353 0.757 20 0 DCADLN CC[N@H+](CCNC(=O)c1[nH]c(C)nc1C)Cc1n[nH]c(=O)[n-]1 ZINC001442720317 1009666669 /nfs/dbraw/zinc/66/66/69/1009666669.db2.gz HGONNQGDYNYMKF-UHFFFAOYSA-N 0 2 307.358 0.102 20 0 DCADLN CC[N@@H+](CCNC(=O)c1[nH]c(C)nc1C)Cc1n[nH]c(=O)[n-]1 ZINC001442720317 1009666676 /nfs/dbraw/zinc/66/66/76/1009666676.db2.gz HGONNQGDYNYMKF-UHFFFAOYSA-N 0 2 307.358 0.102 20 0 DCADLN COc1nnc(NC(=O)c2ccc(S(C)(=O)=O)o2)s1 ZINC001434252832 1009698219 /nfs/dbraw/zinc/69/82/19/1009698219.db2.gz YGWSZPPXQMXAAZ-UHFFFAOYSA-N 0 2 303.321 0.796 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnn2c1CCCC2 ZINC001434283745 1009725165 /nfs/dbraw/zinc/72/51/65/1009725165.db2.gz PQRPIUKPSJHFMO-UHFFFAOYSA-N 0 2 313.321 0.243 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2ccc(O)c(CO)c2)S1 ZINC001434326989 1009772077 /nfs/dbraw/zinc/77/20/77/1009772077.db2.gz JUXQALLBSRTWFY-LLVKDONJSA-N 0 2 323.374 0.100 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)N(C)C(=O)C(F)C(F)(F)F ZINC001442910191 1009924646 /nfs/dbraw/zinc/92/46/46/1009924646.db2.gz RDBUWLHYCSSPLQ-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001442910191 1009924654 /nfs/dbraw/zinc/92/46/54/1009924654.db2.gz RDBUWLHYCSSPLQ-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)[NH2+]Cc1ncnn1C(C)(C)C ZINC001422370574 1009967967 /nfs/dbraw/zinc/96/79/67/1009967967.db2.gz CVMJHZOVAQKSNG-UWVGGRQHSA-N 0 2 320.401 0.448 20 0 DCADLN Cc1nsc(C)c1C[NH2+]C[C@@H](O)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001422370819 1009968423 /nfs/dbraw/zinc/96/84/23/1009968423.db2.gz OKMZIQYIXNERID-GFCCVEGCSA-N 0 2 323.422 0.292 20 0 DCADLN CCC[N@H+](CCNC(=O)c1nc[nH]n1)Cc1cncc(F)c1 ZINC001442952810 1009969328 /nfs/dbraw/zinc/96/93/28/1009969328.db2.gz IQNFZAFGFVDNAS-UHFFFAOYSA-N 0 2 306.345 0.981 20 0 DCADLN CCC[N@@H+](CCNC(=O)c1nc[nH]n1)Cc1cncc(F)c1 ZINC001442952810 1009969343 /nfs/dbraw/zinc/96/93/43/1009969343.db2.gz IQNFZAFGFVDNAS-UHFFFAOYSA-N 0 2 306.345 0.981 20 0 DCADLN CC(C)[C@@H]1C[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443044964 1010087772 /nfs/dbraw/zinc/08/77/72/1010087772.db2.gz KRAMAEXSVSVREA-NWDGAFQWSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)[C@@H]1C[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443044964 1010087790 /nfs/dbraw/zinc/08/77/90/1010087790.db2.gz KRAMAEXSVSVREA-NWDGAFQWSA-N 0 2 307.398 0.883 20 0 DCADLN CCn1cnc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001456282981 1010168807 /nfs/dbraw/zinc/16/88/07/1010168807.db2.gz AHBXTHFCRVLZGG-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CCn1cnc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC001456282981 1010168815 /nfs/dbraw/zinc/16/88/15/1010168815.db2.gz AHBXTHFCRVLZGG-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN Cc1nnc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)o1 ZINC001456289563 1010170397 /nfs/dbraw/zinc/17/03/97/1010170397.db2.gz XGSQKLMBGMWPCL-VIFPVBQESA-N 0 2 324.234 0.148 20 0 DCADLN Cc1nnc(CC(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)o1 ZINC001456289563 1010170409 /nfs/dbraw/zinc/17/04/09/1010170409.db2.gz XGSQKLMBGMWPCL-VIFPVBQESA-N 0 2 324.234 0.148 20 0 DCADLN CC(=CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)c1ccco1 ZINC001456308807 1010185986 /nfs/dbraw/zinc/18/59/86/1010185986.db2.gz TUYBCKMRPMBTCW-RMKNXTFCSA-N 0 2 324.362 0.662 20 0 DCADLN COCc1nnc(CNC(=O)Nc2c(OC)ccnc2OC)[nH]1 ZINC001434745990 1010229979 /nfs/dbraw/zinc/22/99/79/1010229979.db2.gz IPBHQQUQOQDJBP-UHFFFAOYSA-N 0 2 322.325 0.685 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001422537476 1010259284 /nfs/dbraw/zinc/25/92/84/1010259284.db2.gz NLYLTUMPVVMXJN-JTQLQIEISA-N 0 2 318.381 0.772 20 0 DCADLN Cc1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nc1C ZINC001422537476 1010259295 /nfs/dbraw/zinc/25/92/95/1010259295.db2.gz NLYLTUMPVVMXJN-JTQLQIEISA-N 0 2 318.381 0.772 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cncn1C ZINC001508898935 1017093209 /nfs/dbraw/zinc/09/32/09/1017093209.db2.gz IPJHPNBHHPQTBJ-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cncn1C ZINC001508898935 1017093223 /nfs/dbraw/zinc/09/32/23/1017093223.db2.gz IPJHPNBHHPQTBJ-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)Cc1nnc(C(C)(C)C)[nH]1)NC(=O)c1cnn[nH]1 ZINC001422844563 1010666654 /nfs/dbraw/zinc/66/66/54/1010666654.db2.gz CGKFRAQKRKKQAC-VIFPVBQESA-N 0 2 320.401 0.471 20 0 DCADLN C[C@@H](CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001423106992 1010893202 /nfs/dbraw/zinc/89/32/02/1010893202.db2.gz FAPQCVYEMBSEBU-JGVFFNPUSA-N 0 2 321.303 0.789 20 0 DCADLN CC(C)CC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001444208137 1010905692 /nfs/dbraw/zinc/90/56/92/1010905692.db2.gz GJVFUQBJGWZKJG-CBAPKCEASA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)CC(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001444208137 1010905695 /nfs/dbraw/zinc/90/56/95/1010905695.db2.gz GJVFUQBJGWZKJG-CBAPKCEASA-N 0 2 302.268 0.526 20 0 DCADLN C[C@H]1[C@@H](NC(=O)CC2(O)CCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423377942 1011110466 /nfs/dbraw/zinc/11/04/66/1011110466.db2.gz XNSIERFYUIPCHE-QWRGUYRKSA-N 0 2 323.397 0.285 20 0 DCADLN C[C@H]1[C@@H](NC(=O)CC2(O)CCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001423377942 1011110471 /nfs/dbraw/zinc/11/04/71/1011110471.db2.gz XNSIERFYUIPCHE-QWRGUYRKSA-N 0 2 323.397 0.285 20 0 DCADLN C[C@@]1(NC(=O)Cc2cccs2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423550662 1011250481 /nfs/dbraw/zinc/25/04/81/1011250481.db2.gz JPUPYCXOTLTUGW-CQSZACIVSA-N 0 2 321.406 0.895 20 0 DCADLN C[C@@]1(NC(=O)Cc2cccs2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423550662 1011250493 /nfs/dbraw/zinc/25/04/93/1011250493.db2.gz JPUPYCXOTLTUGW-CQSZACIVSA-N 0 2 321.406 0.895 20 0 DCADLN C[C@@]1(NC(=O)CC2CCOCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423562167 1011266682 /nfs/dbraw/zinc/26/66/82/1011266682.db2.gz DAVHKCWYPTYFPK-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(NC(=O)CC2CCOCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423562167 1011266695 /nfs/dbraw/zinc/26/66/95/1011266695.db2.gz DAVHKCWYPTYFPK-OAHLLOKOSA-N 0 2 323.397 0.408 20 0 DCADLN CN(CC[N@H+](C)CCCO)C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001444986007 1011285834 /nfs/dbraw/zinc/28/58/34/1011285834.db2.gz FBFDWVIFSPWMQO-UHFFFAOYSA-N 0 2 308.426 0.535 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)[C@@H]1CCC[N@H+]1C ZINC001431680061 1011537407 /nfs/dbraw/zinc/53/74/07/1011537407.db2.gz NLCYEONDKVEQOV-AWEZNQCLSA-N 0 2 321.425 0.320 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)CNC(=O)C[N@H+]2CC[C@H](C)C2)c1C ZINC001431783170 1011645379 /nfs/dbraw/zinc/64/53/79/1011645379.db2.gz CIDNRILUDJFRQI-QWRGUYRKSA-N 0 2 321.425 0.603 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)c1C ZINC001431783335 1011645453 /nfs/dbraw/zinc/64/54/53/1011645453.db2.gz KEPXSYGWDOINDG-QMMMGPOBSA-N 0 2 304.354 0.227 20 0 DCADLN Cc1c(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)cnn1C ZINC001431834587 1011692449 /nfs/dbraw/zinc/69/24/49/1011692449.db2.gz CVDXRYUGRRVNLJ-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1c(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)cnn1C ZINC001431834587 1011692459 /nfs/dbraw/zinc/69/24/59/1011692459.db2.gz CVDXRYUGRRVNLJ-IMTBSYHQSA-N 0 2 324.278 0.863 20 0 DCADLN CCN(CC(C)(C)O)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001445415976 1011748304 /nfs/dbraw/zinc/74/83/04/1011748304.db2.gz RKVPPZRBTKPMBI-UHFFFAOYSA-N 0 2 320.349 0.499 20 0 DCADLN O=C(C[C@H](O)C(Cl)(Cl)Cl)NCc1n[nH]c(=O)[nH]1 ZINC001445416155 1011748653 /nfs/dbraw/zinc/74/86/53/1011748653.db2.gz SEEMMYAQQMBTNQ-VKHMYHEASA-N 0 2 303.533 0.248 20 0 DCADLN COCCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001536258763 1012037444 /nfs/dbraw/zinc/03/74/44/1012037444.db2.gz VJGUUANKVSRHMW-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN COCCC(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001536258763 1012037460 /nfs/dbraw/zinc/03/74/60/1012037460.db2.gz VJGUUANKVSRHMW-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN Cc1cnn(C)c1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001458260895 1012121251 /nfs/dbraw/zinc/12/12/51/1012121251.db2.gz LLHAHBGYVZZRKN-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cnn(C)c1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001458260895 1012121259 /nfs/dbraw/zinc/12/12/59/1012121259.db2.gz LLHAHBGYVZZRKN-VXNVDRBHSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=S)c1C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC001556103489 1012182500 /nfs/dbraw/zinc/18/25/00/1012182500.db2.gz UNBKQPCECFLUDU-YFKPBYRVSA-N 0 2 309.355 0.168 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC001556207898 1012190161 /nfs/dbraw/zinc/19/01/61/1012190161.db2.gz QMDXVADIFZRCAS-VIFPVBQESA-N 0 2 313.321 0.908 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC001556207898 1012190172 /nfs/dbraw/zinc/19/01/72/1012190172.db2.gz QMDXVADIFZRCAS-VIFPVBQESA-N 0 2 313.321 0.908 20 0 DCADLN CCC(CC)(NC(=O)CCCCc1c[nH]nn1)c1nn[nH]n1 ZINC001556495237 1012210424 /nfs/dbraw/zinc/21/04/24/1012210424.db2.gz XUEOUFZJUSPUFD-UHFFFAOYSA-N 0 2 306.374 0.862 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001432260198 1012214958 /nfs/dbraw/zinc/21/49/58/1012214958.db2.gz LIVOGQCVTTVSPO-SSDOTTSWSA-N 0 2 324.234 0.265 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432260198 1012214972 /nfs/dbraw/zinc/21/49/72/1012214972.db2.gz LIVOGQCVTTVSPO-SSDOTTSWSA-N 0 2 324.234 0.265 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccc(F)cn1 ZINC001445768013 1012235616 /nfs/dbraw/zinc/23/56/16/1012235616.db2.gz RYZSFMCUWHRDGH-SECBINFHSA-N 0 2 322.344 0.224 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccc(F)cn1 ZINC001445768013 1012235632 /nfs/dbraw/zinc/23/56/32/1012235632.db2.gz RYZSFMCUWHRDGH-SECBINFHSA-N 0 2 322.344 0.224 20 0 DCADLN COC[C@H](NC(=O)C=CCOc1ccccc1)c1nn[nH]n1 ZINC001558340024 1012348730 /nfs/dbraw/zinc/34/87/30/1012348730.db2.gz SYUORAJSMAHASA-ZCRIDZFUSA-N 0 2 303.322 0.639 20 0 DCADLN COC[C@H](NC(=O)/C=C/COc1ccccc1)c1nn[nH]n1 ZINC001558340024 1012348746 /nfs/dbraw/zinc/34/87/46/1012348746.db2.gz SYUORAJSMAHASA-ZCRIDZFUSA-N 0 2 303.322 0.639 20 0 DCADLN C[C@@H](NC(=O)c1ccc2ncn(C)c2n1)c1nn(C)cc1O ZINC001558683588 1012377609 /nfs/dbraw/zinc/37/76/09/1012377609.db2.gz IONJNABBWLYRDZ-MRVPVSSYSA-N 0 2 300.322 0.898 20 0 DCADLN C[C@@H](NC(=O)c1cccn2c(=O)[nH]nc12)c1nn(C)cc1O ZINC001558682546 1012378784 /nfs/dbraw/zinc/37/87/84/1012378784.db2.gz GARKRYYKRDLEGY-SSDOTTSWSA-N 0 2 302.294 0.365 20 0 DCADLN CC[C@@H](OC)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432379891 1012402532 /nfs/dbraw/zinc/40/25/32/1012402532.db2.gz OBTUFSLUEQSXCQ-RKDXNWHRSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@@H](OC)C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432379891 1012402539 /nfs/dbraw/zinc/40/25/39/1012402539.db2.gz OBTUFSLUEQSXCQ-RKDXNWHRSA-N 0 2 314.279 0.981 20 0 DCADLN CC(=O)NCc1cc(=O)[nH]c(CNC(=O)C(F)C(F)(F)F)n1 ZINC001446054115 1012562850 /nfs/dbraw/zinc/56/28/50/1012562850.db2.gz LGQUKDKWBBJYOJ-VIFPVBQESA-N 0 2 324.234 0.335 20 0 DCADLN CC(=O)NCc1cc(=O)[nH]c(CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001446054115 1012562861 /nfs/dbraw/zinc/56/28/61/1012562861.db2.gz LGQUKDKWBBJYOJ-VIFPVBQESA-N 0 2 324.234 0.335 20 0 DCADLN CC[C@H](CNC(=O)CCOC)NC(=O)[C@@H](F)C(F)(F)F ZINC001432569520 1012632149 /nfs/dbraw/zinc/63/21/49/1012632149.db2.gz OMIVSDGIUDEPDZ-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](CNC(=O)CCOC)NC(=O)C(F)C(F)(F)F ZINC001432569520 1012632142 /nfs/dbraw/zinc/63/21/42/1012632142.db2.gz OMIVSDGIUDEPDZ-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CCN(C)C(=O)CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001446359642 1012776092 /nfs/dbraw/zinc/77/60/92/1012776092.db2.gz DMRFBBODBCPXEX-JTQLQIEISA-N 0 2 313.295 0.508 20 0 DCADLN CCN(C)C(=O)CN1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001446359642 1012776104 /nfs/dbraw/zinc/77/61/04/1012776104.db2.gz DMRFBBODBCPXEX-JTQLQIEISA-N 0 2 313.295 0.508 20 0 DCADLN COC(=O)c1cnoc1CN1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC001460038076 1012844238 /nfs/dbraw/zinc/84/42/38/1012844238.db2.gz ZZONXKXZZDDCRZ-MRVPVSSYSA-N 0 2 308.294 0.929 20 0 DCADLN Cc1ccc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc1C ZINC001460756226 1012963195 /nfs/dbraw/zinc/96/31/95/1012963195.db2.gz AKWQBOFDKULEOJ-UHFFFAOYSA-N 0 2 312.333 0.399 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc3[nH]c(=O)sc3c2)n1 ZINC001475644445 1017129886 /nfs/dbraw/zinc/12/98/86/1017129886.db2.gz LDRNXZLQKCZEKZ-UHFFFAOYSA-N 0 2 312.336 0.326 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1[nH]ncc1Br ZINC001521335189 1017348005 /nfs/dbraw/zinc/34/80/05/1017348005.db2.gz OEXXSVRBDHVFJR-UHFFFAOYSA-N 0 2 318.156 0.816 20 0 DCADLN O=C(NCc1cccnn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478531582 1017352121 /nfs/dbraw/zinc/35/21/21/1017352121.db2.gz AXBJUXPGOBOUOH-VIFPVBQESA-N 0 2 303.326 0.390 20 0 DCADLN CCOCCCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506594656 1017387902 /nfs/dbraw/zinc/38/79/02/1017387902.db2.gz YCMWXADBBCXJST-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506595597 1017391028 /nfs/dbraw/zinc/39/10/28/1017391028.db2.gz UFHCZAWIHIXSEV-UWVGGRQHSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001506778623 1017540028 /nfs/dbraw/zinc/54/00/28/1017540028.db2.gz UENXAQYDOVSZAG-LDYMZIIASA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)C1)C1CC1 ZINC001506778623 1017540032 /nfs/dbraw/zinc/54/00/32/1017540032.db2.gz UENXAQYDOVSZAG-LDYMZIIASA-N 0 2 312.263 0.376 20 0 DCADLN O=C(CC[C@@H]1CCCO1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001479899095 1017945600 /nfs/dbraw/zinc/94/56/00/1017945600.db2.gz SNPMVLGTJWTTCH-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]n1)CNC(=O)C(F)C(F)(F)F ZINC001500255351 1018349533 /nfs/dbraw/zinc/34/95/33/1018349533.db2.gz JFEHWCOQOJPTES-CAHLUQPWSA-N 0 2 311.239 0.187 20 0 DCADLN CC(C)CCC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495829104 1018731478 /nfs/dbraw/zinc/73/14/78/1018731478.db2.gz XTSUXNWKEVRDMI-NSHDSACASA-N 0 2 311.386 0.264 20 0 DCADLN CN(CCNC(=O)[C@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001495894474 1018835367 /nfs/dbraw/zinc/83/53/67/1018835367.db2.gz QOMNLNJLUGYDNU-YUMQZZPRSA-N 0 2 300.252 0.498 20 0 DCADLN CN(CCNC(=O)[C@H]1CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001495894474 1018835396 /nfs/dbraw/zinc/83/53/96/1018835396.db2.gz QOMNLNJLUGYDNU-YUMQZZPRSA-N 0 2 300.252 0.498 20 0 DCADLN O=C(CCOCC1CC1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493099479 1019100563 /nfs/dbraw/zinc/10/05/63/1019100563.db2.gz UTHLTZNERFACDA-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CCOCC1CC1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493099479 1019100569 /nfs/dbraw/zinc/10/05/69/1019100569.db2.gz UTHLTZNERFACDA-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)CC1 ZINC001496274816 1019210543 /nfs/dbraw/zinc/21/05/43/1019210543.db2.gz MGDPKXRFHVIZLX-QMMMGPOBSA-N 0 2 314.279 0.888 20 0 DCADLN COCC1(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001496274816 1019210555 /nfs/dbraw/zinc/21/05/55/1019210555.db2.gz MGDPKXRFHVIZLX-QMMMGPOBSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)[C@H]1CCC1(F)F ZINC001493382406 1019382998 /nfs/dbraw/zinc/38/29/98/1019382998.db2.gz ZVMZEWHFTHQIOV-SECBINFHSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCC1(F)F ZINC001493382406 1019383018 /nfs/dbraw/zinc/38/30/18/1019383018.db2.gz ZVMZEWHFTHQIOV-SECBINFHSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCC1(F)F ZINC001493382406 1019383042 /nfs/dbraw/zinc/38/30/42/1019383042.db2.gz ZVMZEWHFTHQIOV-SECBINFHSA-N 0 2 315.324 0.636 20 0 DCADLN CC[C@@H](C)CC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001501772835 1019463710 /nfs/dbraw/zinc/46/37/10/1019463710.db2.gz IHCSWKGQFLVART-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CCCNC(=O)CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001498979672 1019609155 /nfs/dbraw/zinc/60/91/55/1019609155.db2.gz RBXAXFJVZPBMBR-WCBMZHEXSA-N 0 2 313.295 0.604 20 0 DCADLN CCCNC(=O)CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001498979672 1019609167 /nfs/dbraw/zinc/60/91/67/1019609167.db2.gz RBXAXFJVZPBMBR-WCBMZHEXSA-N 0 2 313.295 0.604 20 0 DCADLN CCCNC(=O)CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001498979667 1019609674 /nfs/dbraw/zinc/60/96/74/1019609674.db2.gz RBXAXFJVZPBMBR-PSASIEDQSA-N 0 2 313.295 0.604 20 0 DCADLN CCCNC(=O)CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001498979667 1019609682 /nfs/dbraw/zinc/60/96/82/1019609682.db2.gz RBXAXFJVZPBMBR-PSASIEDQSA-N 0 2 313.295 0.604 20 0 DCADLN O=C(CCCc1ccccn1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001494488197 1020247768 /nfs/dbraw/zinc/24/77/68/1020247768.db2.gz OUUVWHPZKKKGSJ-UHFFFAOYSA-N 0 2 316.365 0.229 20 0 DCADLN COC[C@@](C)(CC(=O)[O-])[NH2+]Cc1nnn(CC(F)(F)F)n1 ZINC001606786399 1170290153 /nfs/dbraw/zinc/29/01/53/1170290153.db2.gz XNCZJQVLHUQLMW-SECBINFHSA-N 0 2 311.264 0.205 20 0 DCADLN CCS(=O)(=O)NCCC[N@@H+]1CCCC[C@H]1c1n[nH]c(=O)[n-]1 ZINC000331302300 233003435 /nfs/dbraw/zinc/00/34/35/233003435.db2.gz FDLAODXXKOWWQO-JTQLQIEISA-N 0 2 317.415 0.367 20 0 DCADLN CCS(=O)(=O)NCCC[N@H+]1CCCC[C@H]1c1n[nH]c(=O)[n-]1 ZINC000331302300 233003444 /nfs/dbraw/zinc/00/34/44/233003444.db2.gz FDLAODXXKOWWQO-JTQLQIEISA-N 0 2 317.415 0.367 20 0 DCADLN Cc1cc(CC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)[nH]n1 ZINC000331304077 233012267 /nfs/dbraw/zinc/01/22/67/233012267.db2.gz UDTCYNCUSQJGBM-LLVKDONJSA-N 0 2 314.411 0.048 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NCC(=O)N(C)C)=N1 ZINC000080445144 192156932 /nfs/dbraw/zinc/15/69/32/192156932.db2.gz SECMWPVPYGSNCF-UHFFFAOYSA-N 0 2 320.324 0.843 20 0 DCADLN COCCOCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000175700704 186189724 /nfs/dbraw/zinc/18/97/24/186189724.db2.gz QMRLBRPWDYJALZ-UHFFFAOYSA-N 0 2 305.334 0.971 20 0 DCADLN COc1c(C)cc(C(=O)NCCNS(C)(=O)=O)cc1C ZINC000179702339 186207478 /nfs/dbraw/zinc/20/74/78/186207478.db2.gz MUYFNQAXKFZVLX-UHFFFAOYSA-N 0 2 300.380 0.591 20 0 DCADLN CN(C)C(=O)Cn1cnc(NS(=O)(=O)c2cccs2)n1 ZINC000174008763 198280273 /nfs/dbraw/zinc/28/02/73/198280273.db2.gz XIRYHDNQCKFEGF-UHFFFAOYSA-N 0 2 315.380 0.229 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2noc(C3CC3)n2)cc1C(N)=O ZINC000180784758 199208507 /nfs/dbraw/zinc/20/85/07/199208507.db2.gz ARZFLSKYEXWGHH-UHFFFAOYSA-N 0 2 311.323 0.185 20 0 DCADLN O=C(NCc1ncccc1F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000157524035 291228780 /nfs/dbraw/zinc/22/87/80/291228780.db2.gz FGJQUWBHSVMIOX-UHFFFAOYSA-N 0 2 315.264 0.076 20 0 DCADLN CN1C(=O)CN(NC(=O)c2ccc(C(F)(F)F)cn2)C1=O ZINC000271872052 209285093 /nfs/dbraw/zinc/28/50/93/209285093.db2.gz YAQHZSPDPUUOIN-UHFFFAOYSA-N 0 2 302.212 0.639 20 0 DCADLN CCC[C@](C)(NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000566174012 291276924 /nfs/dbraw/zinc/27/69/24/291276924.db2.gz NGEBVWURVRMGAP-ZDUSSCGKSA-N 0 2 322.427 0.272 20 0 DCADLN CO[C@H]1C[C@H](C(=O)[O-])[N@H+](Cc2cc(-c3cnn(C)c3)no2)C1 ZINC000566361656 291297022 /nfs/dbraw/zinc/29/70/22/291297022.db2.gz JPJOBBSRMVXZHI-GXFFZTMASA-N 0 2 306.322 0.749 20 0 DCADLN CO[C@H]1C[C@H](C(=O)[O-])[N@@H+](Cc2cc(-c3cnn(C)c3)no2)C1 ZINC000566361656 291297023 /nfs/dbraw/zinc/29/70/23/291297023.db2.gz JPJOBBSRMVXZHI-GXFFZTMASA-N 0 2 306.322 0.749 20 0 DCADLN NS(=O)(=O)c1ccccc1S(=O)(=O)Nc1nccs1 ZINC000566603785 291314314 /nfs/dbraw/zinc/31/43/14/291314314.db2.gz CCPRKNLPYSLDJZ-UHFFFAOYSA-N 0 2 319.389 0.591 20 0 DCADLN COC(=O)N(C)CCCC(=O)NS(=O)(=O)c1cccnc1 ZINC000491245281 241111168 /nfs/dbraw/zinc/11/11/68/241111168.db2.gz OKBAVSXSOUCCTK-UHFFFAOYSA-N 0 2 315.351 0.365 20 0 DCADLN C[C@@H](CO[N-]C(=O)[C@@H]1COCC[N@@H+]1C)NC(=O)OC(C)(C)C ZINC000495366643 241215442 /nfs/dbraw/zinc/21/54/42/241215442.db2.gz GNYLEVLDDFITGR-QWRGUYRKSA-N 0 2 317.386 0.278 20 0 DCADLN C[C@@H](CO[N-]C(=O)[C@@H]1COCC[N@H+]1C)NC(=O)OC(C)(C)C ZINC000495366643 241215445 /nfs/dbraw/zinc/21/54/45/241215445.db2.gz GNYLEVLDDFITGR-QWRGUYRKSA-N 0 2 317.386 0.278 20 0 DCADLN CS(=O)(=O)c1ccc(NC(=O)c2c(=O)[nH][nH]c2C2CC2)cc1 ZINC000567623382 291365587 /nfs/dbraw/zinc/36/55/87/291365587.db2.gz ZHSAFFPRLBTSMA-NSHDSACASA-N 0 2 321.358 0.541 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cccnc2OCC(F)F)C1=O ZINC000271768560 209198409 /nfs/dbraw/zinc/19/84/09/209198409.db2.gz BASZJEYNUMAROT-UHFFFAOYSA-N 0 2 314.248 0.264 20 0 DCADLN CCCCn1nnnc1CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000568676818 291441023 /nfs/dbraw/zinc/44/10/23/291441023.db2.gz GMPCCQFNXWNDCO-SNVBAGLBSA-N 0 2 306.374 0.677 20 0 DCADLN O=S1(=O)CCO[C@@H](CNc2nc(C3CCCC3)ns2)C1 ZINC000568983876 291456316 /nfs/dbraw/zinc/45/63/16/291456316.db2.gz AIQPXYGWQUVRRT-JTQLQIEISA-N 0 2 317.436 0.843 20 0 DCADLN Cc1cccc2c1[nH]cc(C(=O)N1CCO[C@H](C(N)=O)C1)c2=O ZINC000274971922 212051191 /nfs/dbraw/zinc/05/11/91/212051191.db2.gz TVVMKNHIOLOSBR-LBPRGKRZSA-N 0 2 315.329 0.575 20 0 DCADLN CN(CCCO)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000282450451 217004667 /nfs/dbraw/zinc/00/46/67/217004667.db2.gz OXHYZZSKOALMTQ-UHFFFAOYSA-N 0 2 319.365 0.908 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000571759545 291531021 /nfs/dbraw/zinc/53/10/21/291531021.db2.gz WTBWSVAYQBCCLQ-UPJWGTAASA-N 0 2 313.398 0.459 20 0 DCADLN Cn1cc(N2CC[C@@H](NC(=O)NCCOCC3CC3)C2=O)cn1 ZINC000265439058 204613855 /nfs/dbraw/zinc/61/38/55/204613855.db2.gz UJCRGUPHKNLHKY-CYBMUJFWSA-N 0 2 321.381 0.251 20 0 DCADLN COC(=O)c1ccccc1NC(=O)C(=O)NCCC(=O)N(C)C ZINC000271680555 209121752 /nfs/dbraw/zinc/12/17/52/209121752.db2.gz GIUCSLAETVXJEU-UHFFFAOYSA-N 0 2 321.333 0.006 20 0 DCADLN C[C@@H]1C(=O)NCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000175927136 296262144 /nfs/dbraw/zinc/26/21/44/296262144.db2.gz JUSVLGBYQSQOLY-SNVBAGLBSA-N 0 2 300.318 0.538 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccnn2C)cc1C(N)=O ZINC000340041892 253190376 /nfs/dbraw/zinc/19/03/76/253190376.db2.gz CBAMAYJSZNAORA-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC000340077468 253196553 /nfs/dbraw/zinc/19/65/53/253196553.db2.gz GVCOQZHTDGWTRP-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc(OCC(N)=O)c2)cn1 ZINC000340076785 253196907 /nfs/dbraw/zinc/19/69/07/253196907.db2.gz GXJVGTZHAOUFGE-UHFFFAOYSA-N 0 2 324.362 0.568 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(-n2cncn2)nc1 ZINC000340076646 253196962 /nfs/dbraw/zinc/19/69/62/253196962.db2.gz NLFLDJWQHFCVJW-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN COc1ccnc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)c1 ZINC000340585073 253280393 /nfs/dbraw/zinc/28/03/93/253280393.db2.gz YSHWBFOXUJDMCH-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN Cc1noc(C(C)(C)NS(=O)(=O)NCC(F)(F)F)n1 ZINC000340770989 253300949 /nfs/dbraw/zinc/30/09/49/253300949.db2.gz NXWKISBYVQQDMG-UHFFFAOYSA-N 0 2 302.278 0.599 20 0 DCADLN CCc1ccc(N2CC[NH+](CC(=O)NCC(=O)[O-])CC2)cc1 ZINC000272992399 210373376 /nfs/dbraw/zinc/37/33/76/210373376.db2.gz QOYAQEDINPZDMX-UHFFFAOYSA-N 0 2 305.378 0.572 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)c2ccnn2C)oc1C ZINC000288624761 220299249 /nfs/dbraw/zinc/29/92/49/220299249.db2.gz QXVYDIGFCYJMQZ-UHFFFAOYSA-N 0 2 314.323 0.694 20 0 DCADLN CCN(C[C@H](C)O)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000341095670 253343218 /nfs/dbraw/zinc/34/32/18/253343218.db2.gz HDFDQCQXSNKMJQ-QMMMGPOBSA-N 0 2 306.322 0.587 20 0 DCADLN C[C@H]1CS(=O)(=O)C[C@H]1NCc1cnn(-c2ccccc2)n1 ZINC000288649071 220322018 /nfs/dbraw/zinc/32/20/18/220322018.db2.gz UZFJWXFSNACBIG-SMDDNHRTSA-N 0 2 306.391 0.790 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@@H]1Cn1ccnn1 ZINC000343176985 253612244 /nfs/dbraw/zinc/61/22/44/253612244.db2.gz PNBMBHLDSAYGKZ-MRVPVSSYSA-N 0 2 313.305 0.139 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccn(-c3ccccc3)n2)c1O ZINC000348590568 254246777 /nfs/dbraw/zinc/24/67/77/254246777.db2.gz ULWVNGIPKPPTNG-LBPRGKRZSA-N 0 2 314.301 0.860 20 0 DCADLN C[C@H](C(=O)N=c1nc(C(C)(C)C)[n-]s1)N1CC[NH+](C)CC1 ZINC000348882552 254262686 /nfs/dbraw/zinc/26/26/86/254262686.db2.gz YWGDPLSOZVWROZ-SNVBAGLBSA-N 0 2 311.455 0.832 20 0 DCADLN Cc1ccccc1-n1ncc(C(=O)NCc2n[nH]c(=O)[nH]2)c1N ZINC000350864105 254327673 /nfs/dbraw/zinc/32/76/73/254327673.db2.gz IDFYUVNRLIWRBY-UHFFFAOYSA-N 0 2 313.321 0.517 20 0 DCADLN Cc1nnc(CCNS(=O)(=O)NCC(F)(F)F)s1 ZINC000349813546 254298920 /nfs/dbraw/zinc/29/89/20/254298920.db2.gz RDMPIBKSWHDDJT-UHFFFAOYSA-N 0 2 304.319 0.375 20 0 DCADLN CCOC(=O)C[C@@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000351946679 254382930 /nfs/dbraw/zinc/38/29/30/254382930.db2.gz HAKJLDLQPGEMBU-SSDOTTSWSA-N 0 2 320.305 0.508 20 0 DCADLN COC(=O)COCC(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000351962633 254384767 /nfs/dbraw/zinc/38/47/67/254384767.db2.gz AJFDNWALHDKRJP-UHFFFAOYSA-N 0 2 307.331 0.755 20 0 DCADLN CC(=O)Nc1ccncc1NS(=O)(=O)c1c(C)cnn1C ZINC000285085808 131136492 /nfs/dbraw/zinc/13/64/92/131136492.db2.gz MKHDCEFYXBGCGD-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN COCCOCCS(=O)(=O)Nc1cc2n(n1)CCCC2 ZINC000285108625 131138880 /nfs/dbraw/zinc/13/88/80/131138880.db2.gz OMSKNIXQBDEVQE-UHFFFAOYSA-N 0 2 303.384 0.624 20 0 DCADLN O=C([O-])NCC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000285573419 131182658 /nfs/dbraw/zinc/18/26/58/131182658.db2.gz GSPFKKJNMQSLBN-CYBMUJFWSA-N 0 2 307.350 0.271 20 0 DCADLN O=C([O-])NCC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000285573419 131182660 /nfs/dbraw/zinc/18/26/60/131182660.db2.gz GSPFKKJNMQSLBN-CYBMUJFWSA-N 0 2 307.350 0.271 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2noc(C)n2)cnc1C ZINC000291880204 131607019 /nfs/dbraw/zinc/60/70/19/131607019.db2.gz MXPFKJQUYAADAW-UHFFFAOYSA-N 0 2 312.307 0.669 20 0 DCADLN COc1ccccc1-n1cnnc1SCc1n[nH]c(=O)[nH]1 ZINC000091576280 395725774 /nfs/dbraw/zinc/72/57/74/395725774.db2.gz JAYVIWMYSUMCIK-UHFFFAOYSA-N 0 2 304.335 0.980 20 0 DCADLN COC(=O)c1ccccc1S(=O)(=O)NCc1cn[nH]c1C ZINC000038012272 395730505 /nfs/dbraw/zinc/73/05/05/395730505.db2.gz KOEKMBRBRQHQNV-UHFFFAOYSA-N 0 2 309.347 0.983 20 0 DCADLN COC(=O)N(C)CC(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000041689601 395739003 /nfs/dbraw/zinc/73/90/03/395739003.db2.gz WFTNBXAPCNTTJQ-UHFFFAOYSA-N 0 2 324.362 0.641 20 0 DCADLN CN(C)C(=O)CS(=O)(=O)c1n[nH]c(-c2ccccc2F)n1 ZINC000195127146 395816661 /nfs/dbraw/zinc/81/66/61/395816661.db2.gz KINKDFOEWSIAPI-UHFFFAOYSA-N 0 2 312.326 0.473 20 0 DCADLN CCCN1CCCN(S(=O)(=O)NCC(F)(F)F)CC1=O ZINC000195468593 395827955 /nfs/dbraw/zinc/82/79/55/395827955.db2.gz VLZSHTGUUQGYEJ-UHFFFAOYSA-N 0 2 317.333 0.327 20 0 DCADLN CCCS(=O)(=O)Nc1ncc2c(n1)CCC1(C2)OCCO1 ZINC000273522530 395927462 /nfs/dbraw/zinc/92/74/62/395927462.db2.gz YXKWMWHSRRRIHH-UHFFFAOYSA-N 0 2 313.379 0.860 20 0 DCADLN COC(=O)[C@@H](NC(=O)c1cc(F)cc(Cl)c1O)[C@@H](C)O ZINC000274564508 395959259 /nfs/dbraw/zinc/95/92/59/395959259.db2.gz KVKWZVIOHSKBHK-ANLVUFKYSA-N 0 2 305.689 0.837 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1ccc2c(c1)COC2 ZINC000068076258 396044365 /nfs/dbraw/zinc/04/43/65/396044365.db2.gz PFZBELLHLQZCPK-UHFFFAOYSA-N 0 2 309.347 0.706 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)OCCO3)c1O ZINC000277200829 396054995 /nfs/dbraw/zinc/05/49/95/396054995.db2.gz DRCOFWQCXZCZDV-LLVKDONJSA-N 0 2 306.274 0.446 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(OC(F)(F)F)c1 ZINC000159185329 396066488 /nfs/dbraw/zinc/06/64/88/396066488.db2.gz VLFITNJFYHAPPE-UHFFFAOYSA-N 0 2 302.212 0.927 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H]1CCOc2ccccc21 ZINC000276624625 396015900 /nfs/dbraw/zinc/01/59/00/396015900.db2.gz ONYCASBDQRUKPQ-VIFPVBQESA-N 0 2 306.347 0.830 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(F)c(NC(C)=O)c2)c1O ZINC000277875175 396092354 /nfs/dbraw/zinc/09/23/54/396092354.db2.gz AAWQPGWIZXYURO-LLVKDONJSA-N 0 2 323.280 0.772 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(OC3COC3)cc2)c1O ZINC000277900806 396093607 /nfs/dbraw/zinc/09/36/07/396093607.db2.gz CUMLBBWWGNYNKJ-LBPRGKRZSA-N 0 2 320.301 0.452 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)NC(=O)CC3)c1O ZINC000278057563 396103615 /nfs/dbraw/zinc/10/36/15/396103615.db2.gz IRVBFINFALPBJF-GFCCVEGCSA-N 0 2 317.301 0.559 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(C(=O)OC)c2)c1O ZINC000278064329 396104314 /nfs/dbraw/zinc/10/43/14/396104314.db2.gz MVESMASSIBFPTN-JTQLQIEISA-N 0 2 306.274 0.461 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000262216615 396112310 /nfs/dbraw/zinc/11/23/10/396112310.db2.gz UTKJYIJNGQRPMZ-ZDUSSCGKSA-N 0 2 301.302 0.798 20 0 DCADLN C[C@H]1C[NH+](C(C)(C)CNC(=O)CCc2nc[nH]n2)C[C@H](C)O1 ZINC000176790960 396160964 /nfs/dbraw/zinc/16/09/64/396160964.db2.gz PHJTYOQOLMFNQJ-RYUDHWBXSA-N 0 2 309.414 0.741 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)NCCCN1CCCCCC1=O ZINC000262627764 396164634 /nfs/dbraw/zinc/16/46/34/396164634.db2.gz GKTNJFWTDCBAFY-GFCCVEGCSA-N 0 2 313.398 0.300 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NCCCN1CCCCCC1=O ZINC000262627764 396164639 /nfs/dbraw/zinc/16/46/39/396164639.db2.gz GKTNJFWTDCBAFY-GFCCVEGCSA-N 0 2 313.398 0.300 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])c1 ZINC000262659724 396169049 /nfs/dbraw/zinc/16/90/49/396169049.db2.gz NIZFCTBNEJRTCQ-JTQLQIEISA-N 0 2 307.350 0.780 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])c1 ZINC000262659724 396169053 /nfs/dbraw/zinc/16/90/53/396169053.db2.gz NIZFCTBNEJRTCQ-JTQLQIEISA-N 0 2 307.350 0.780 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)C1CC1 ZINC000263118741 396202007 /nfs/dbraw/zinc/20/20/07/396202007.db2.gz LSIVZJXMNNTRHN-SNVBAGLBSA-N 0 2 320.345 0.969 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)C1CC1 ZINC000263118741 396202011 /nfs/dbraw/zinc/20/20/11/396202011.db2.gz LSIVZJXMNNTRHN-SNVBAGLBSA-N 0 2 320.345 0.969 20 0 DCADLN Cc1ccc([N-]S(C)(=O)=O)c(C(=O)NCCn2cc[nH+]c2)c1 ZINC000079772301 396269929 /nfs/dbraw/zinc/26/99/29/396269929.db2.gz KDHTUCYQGSYXBL-UHFFFAOYSA-N 0 2 322.390 0.993 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H](C)S1 ZINC000080948470 396289098 /nfs/dbraw/zinc/28/90/98/396289098.db2.gz DZWGRYIJKWROSR-HTQZYQBOSA-N 0 2 320.374 0.990 20 0 DCADLN CCOC(=O)c1ccc(NS(=O)(=O)CCOC)c(O)c1 ZINC000265843580 396346056 /nfs/dbraw/zinc/34/60/56/396346056.db2.gz DUJOOVPJKAOYOV-UHFFFAOYSA-N 0 2 303.336 0.957 20 0 DCADLN CCOC(=O)CN(C)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266893993 396353647 /nfs/dbraw/zinc/35/36/47/396353647.db2.gz XDDAQPBEJFKULR-UHFFFAOYSA-N 0 2 320.305 0.380 20 0 DCADLN O=C(Cn1cccn1)NCc1nnc(COc2ccccc2)[nH]1 ZINC000186627822 396354088 /nfs/dbraw/zinc/35/40/88/396354088.db2.gz VFGZBASISCUFMN-UHFFFAOYSA-N 0 2 312.333 0.897 20 0 DCADLN O=C([O-])NCC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000285793234 396354360 /nfs/dbraw/zinc/35/43/60/396354360.db2.gz VJOOPBZHZNKURG-CYBMUJFWSA-N 0 2 307.350 0.444 20 0 DCADLN O=C([O-])NCC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000285797656 396354474 /nfs/dbraw/zinc/35/44/74/396354474.db2.gz VQYKPEBMSLMIJH-JTQLQIEISA-N 0 2 313.379 0.505 20 0 DCADLN CS[C@H](CO)[C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000269132600 396387800 /nfs/dbraw/zinc/38/78/00/396387800.db2.gz HVCYWSZHAVXJLQ-IMTBSYHQSA-N 0 2 324.362 0.278 20 0 DCADLN O=C(CNC(=O)c1cc2ccccc2[nH]1)NOC[C@@H]1CCOC1 ZINC000187879421 396375899 /nfs/dbraw/zinc/37/58/99/396375899.db2.gz PURBEORVMZJNKR-LLVKDONJSA-N 0 2 317.345 0.982 20 0 DCADLN O=C(CSCC(=O)N1CCOCC1)NOC/C=C/Cl ZINC000291777629 396512386 /nfs/dbraw/zinc/51/23/86/396512386.db2.gz JGDBFQASBZXMEI-OWOJBTEDSA-N 0 2 308.787 0.379 20 0 DCADLN COC(=O)CCCONC(=O)CN(C)C(=O)c1cccs1 ZINC000290633960 396468148 /nfs/dbraw/zinc/46/81/48/396468148.db2.gz MKPISMIEZQOLCN-UHFFFAOYSA-N 0 2 314.363 0.821 20 0 DCADLN COC[C@@H](NS(=O)(=O)c1c(N)noc1C)c1ccco1 ZINC000290680982 396469788 /nfs/dbraw/zinc/46/97/88/396469788.db2.gz HCLRKPPBRGGICO-MRVPVSSYSA-N 0 2 301.324 0.824 20 0 DCADLN CC(C)ONC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000497045619 396487060 /nfs/dbraw/zinc/48/70/60/396487060.db2.gz LFJLJQCMNWKRLD-UHFFFAOYSA-N 0 2 315.370 0.522 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nc(C)n(C)n2)c(C)o1 ZINC000292585867 396557677 /nfs/dbraw/zinc/55/76/77/396557677.db2.gz VRWBUPSDWGPOKZ-UHFFFAOYSA-N 0 2 314.323 0.612 20 0 DCADLN COCC[N@H+](C)CCNC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000373046611 396561538 /nfs/dbraw/zinc/56/15/38/396561538.db2.gz OKUPLUANIIOPFF-CQSZACIVSA-N 0 2 323.441 0.887 20 0 DCADLN O=C(c1ccc(F)cc1O)N1CCC[C@H](c2nc(=O)[nH][nH]2)C1 ZINC000294183061 396658837 /nfs/dbraw/zinc/65/88/37/396658837.db2.gz JTZCYKQEFGLLSL-QMMMGPOBSA-N 0 2 306.297 0.963 20 0 DCADLN O=C(COC[C@@H]1CCCO1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000584723781 396618798 /nfs/dbraw/zinc/61/87/98/396618798.db2.gz UQVNNDKAMJDOIT-QWRGUYRKSA-N 0 2 310.354 0.412 20 0 DCADLN COC(=O)c1oc(S(=O)(=O)Nc2ncn(C)n2)cc1C ZINC000596041039 396711373 /nfs/dbraw/zinc/71/13/73/396711373.db2.gz HZUUWVFFODHWLZ-UHFFFAOYSA-N 0 2 300.296 0.304 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C(C)(C)CNC(C)=O)s[nH]1 ZINC000634148437 396796133 /nfs/dbraw/zinc/79/61/33/396796133.db2.gz BLSQSXAVMWAXSA-UHFFFAOYSA-N 0 2 314.411 0.942 20 0 DCADLN COC(=O)[C@H](C)c1cccc(NS(=O)(=O)c2cnnn2C)c1 ZINC000600654543 396907012 /nfs/dbraw/zinc/90/70/12/396907012.db2.gz ZKLRURNZRUTBIP-SECBINFHSA-N 0 2 324.362 0.892 20 0 DCADLN COCC[C@@](C)(O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000611683315 396908172 /nfs/dbraw/zinc/90/81/72/396908172.db2.gz DBVTUVFRUQEZMZ-OAHLLOKOSA-N 0 2 321.333 0.547 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)C[C@H](CC)OC)c[nH]1 ZINC000591497731 396927746 /nfs/dbraw/zinc/92/77/46/396927746.db2.gz XFDSAHBBVUDXFW-QMMMGPOBSA-N 0 2 305.356 0.753 20 0 DCADLN CCOC(=O)c1ncc(NS(=O)(=O)C[C@H](CC)OC)[nH]1 ZINC000591497731 396927752 /nfs/dbraw/zinc/92/77/52/396927752.db2.gz XFDSAHBBVUDXFW-QMMMGPOBSA-N 0 2 305.356 0.753 20 0 DCADLN C[C@H]1C[N@H+](Cc2ccsc2C(=O)[O-])CCN1S(C)(=O)=O ZINC000569981939 396933328 /nfs/dbraw/zinc/93/33/28/396933328.db2.gz ZEXRNCAXXCLGHW-VIFPVBQESA-N 0 2 318.420 0.912 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccsc2C(=O)[O-])CCN1S(C)(=O)=O ZINC000569981939 396933335 /nfs/dbraw/zinc/93/33/35/396933335.db2.gz ZEXRNCAXXCLGHW-VIFPVBQESA-N 0 2 318.420 0.912 20 0 DCADLN O=C(C[C@H](n1cccn1)C(F)(F)F)NCc1n[nH]c(=O)[nH]1 ZINC000611862621 396940448 /nfs/dbraw/zinc/94/04/48/396940448.db2.gz OYDZAOUKYDJQDT-LURJTMIESA-N 0 2 304.232 0.517 20 0 DCADLN CS[C@H](CO)[C@@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597394345 396962001 /nfs/dbraw/zinc/96/20/01/396962001.db2.gz NGVSHCHRCFNOSO-LDYMZIIASA-N 0 2 323.374 0.872 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@H]2CCCNC2=O)c1 ZINC000591762953 396998813 /nfs/dbraw/zinc/99/88/13/396998813.db2.gz NWFZLADUSLYXDR-KPWVOAKYSA-N 0 2 324.402 0.445 20 0 DCADLN COC(=O)c1cn([C@H]2CCN(c3[nH+]cccc3C(=O)[O-])C2)nn1 ZINC000592427233 397174228 /nfs/dbraw/zinc/17/42/28/397174228.db2.gz PGEDJKFEZQOEIO-VIFPVBQESA-N 0 2 317.305 0.609 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000613353668 397247993 /nfs/dbraw/zinc/24/79/93/397247993.db2.gz GYTXBKWEJPVYFO-BXKDBHETSA-N 0 2 303.318 0.873 20 0 DCADLN Cc1[nH]nc(C(=O)Nc2cccc(C(=O)NC(N)=O)c2)c1O ZINC000619824678 397197188 /nfs/dbraw/zinc/19/71/88/397197188.db2.gz XFYHATHAEWBCHI-UHFFFAOYSA-N 0 2 303.278 0.485 20 0 DCADLN CN(C(=O)N[C@@H]1C[NH2+]CCC1(F)F)[C@@H]1CC[N@H+]2CCO[C@H]1C2 ZINC000577269624 397317655 /nfs/dbraw/zinc/31/76/55/397317655.db2.gz FPXBNDUUYFCJBR-GRYCIOLGSA-N 0 2 318.368 0.098 20 0 DCADLN C[C@@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)[C@@H]1CO ZINC000613834279 397332500 /nfs/dbraw/zinc/33/25/00/397332500.db2.gz JSIPCUOXSRUCNV-BXKDBHETSA-N 0 2 303.318 0.873 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@H](CO)[C@@H]2CCOC2)cn1 ZINC000577561073 397340695 /nfs/dbraw/zinc/34/06/95/397340695.db2.gz MDIARUPUGASUDF-ZYHUDNBSSA-N 0 2 319.365 0.185 20 0 DCADLN COCCS(=O)(=O)Nc1cccc(F)c1-c1nnc[nH]1 ZINC000365842249 397324326 /nfs/dbraw/zinc/32/43/26/397324326.db2.gz WQOLPQWVWFTVGC-UHFFFAOYSA-N 0 2 300.315 0.999 20 0 DCADLN C[C@@H]1NC(=O)CC[C@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614454923 397442262 /nfs/dbraw/zinc/44/22/62/397442262.db2.gz JTEIGMCHAFQLGD-GZMMTYOYSA-N 0 2 316.317 0.427 20 0 DCADLN C[C@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(=O)NCCF ZINC000614479435 397447290 /nfs/dbraw/zinc/44/72/90/397447290.db2.gz JMHQVHTZNRNGLD-QMMMGPOBSA-N 0 2 322.296 0.234 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)N(C(N)=O)CC2 ZINC000487341362 397470811 /nfs/dbraw/zinc/47/08/11/397470811.db2.gz RHIFRCSVWJQPQZ-UHFFFAOYSA-N 0 2 321.362 0.662 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C/c2cscn2)cn1 ZINC000492097249 397511523 /nfs/dbraw/zinc/51/15/23/397511523.db2.gz VBBQSGATTSBVJJ-ONEGZZNKSA-N 0 2 312.376 0.878 20 0 DCADLN COCC1(S(=O)(=O)Nc2csnc2C(=O)OC)CC1 ZINC000603420028 397610155 /nfs/dbraw/zinc/61/01/55/397610155.db2.gz XZPXTLSVAFIXPQ-UHFFFAOYSA-N 0 2 306.365 0.850 20 0 DCADLN O=C(NCCCOCC1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000047477568 158002918 /nfs/dbraw/zinc/00/29/18/158002918.db2.gz VISORMZOOZJSRF-UHFFFAOYSA-N 0 2 318.333 0.570 20 0 DCADLN CN(C)C(=O)c1cccc(S(=O)(=O)Nc2ccn(C)n2)c1 ZINC000055575070 158023284 /nfs/dbraw/zinc/02/32/84/158023284.db2.gz NPGVCEABZJOMSW-UHFFFAOYSA-N 0 2 308.363 0.923 20 0 DCADLN O=c1[nH]nc(CSc2n[nH]c(=O)n2CCc2ccccc2)[nH]1 ZINC000092870049 158116935 /nfs/dbraw/zinc/11/69/35/158116935.db2.gz NKGHNDYPESEEKQ-UHFFFAOYSA-N 0 2 318.362 0.518 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cnc(-c2ccccc2)nc1 ZINC000127718448 158275111 /nfs/dbraw/zinc/27/51/11/158275111.db2.gz YABXYPJMXGSFTA-UHFFFAOYSA-N 0 2 311.305 0.877 20 0 DCADLN C[NH+](C)CCOc1cc(C(=O)NC[C@H]2C[N@H+](C)CCO2)ccn1 ZINC000136737861 158343589 /nfs/dbraw/zinc/34/35/89/158343589.db2.gz APJXFFGXPKLOBW-AWEZNQCLSA-N 0 2 322.409 0.082 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)NC3CCCC3)C2)[nH]1 ZINC000328724218 159024284 /nfs/dbraw/zinc/02/42/84/159024284.db2.gz AUBODCSYLHJGEH-SECBINFHSA-N 0 2 315.399 0.467 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)N3CCCC3)C2)[nH]1 ZINC000328829083 159033567 /nfs/dbraw/zinc/03/35/67/159033567.db2.gz KBQDCIPHVFIHHH-VIFPVBQESA-N 0 2 301.372 0.030 20 0 DCADLN Cc1nc(-c2ccc(C(=O)NC[C@@H]3C[N@H+](C)CCO3)cc2)n[nH]1 ZINC000329786240 159119464 /nfs/dbraw/zinc/11/94/64/159119464.db2.gz XSKQKUFUKFAKFJ-CQSZACIVSA-N 0 2 315.377 0.841 20 0 DCADLN CCCN(C)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000408191598 160056965 /nfs/dbraw/zinc/05/69/65/160056965.db2.gz HBQBZLKMHFSKSS-VIFPVBQESA-N 0 2 303.388 0.276 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)CC[C@H]1CC[C@@H](C)O1 ZINC000408446341 160109538 /nfs/dbraw/zinc/10/95/38/160109538.db2.gz JZXBBDREWIYMPP-MWLCHTKSSA-N 0 2 315.395 0.881 20 0 DCADLN Cn1ncc2c1C[C@H](C(=O)NS(=O)(=O)c1cccnc1)CC2 ZINC000408475946 160116023 /nfs/dbraw/zinc/11/60/23/160116023.db2.gz IMWRJONCEQYJDX-SNVBAGLBSA-N 0 2 320.374 0.425 20 0 DCADLN COC(=O)[C@@H](C)[C@@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccccc1 ZINC000152479417 287041840 /nfs/dbraw/zinc/04/18/40/287041840.db2.gz CRKHRXNXUAYWGW-WCBMZHEXSA-N 0 2 304.306 0.378 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc(-c3nn[nH]n3)c2)cn1 ZINC000152777805 287044209 /nfs/dbraw/zinc/04/42/09/287044209.db2.gz CPDLOPHSIHKMTH-UHFFFAOYSA-N 0 2 319.350 0.884 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1=O ZINC000358718426 287212152 /nfs/dbraw/zinc/21/21/52/287212152.db2.gz YCKDEXBNBZGTDP-YPMHNXCESA-N 0 2 322.365 0.100 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NC2(CCO)CC2)s[nH]1 ZINC000342573326 415215413 /nfs/dbraw/zinc/21/54/13/415215413.db2.gz HGCOEMCOVAZAQG-UHFFFAOYSA-N 0 2 312.395 0.227 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2cn(C)cn2)cn1C ZINC000342761453 415286931 /nfs/dbraw/zinc/28/69/31/415286931.db2.gz FHJBWNFJHQHQQE-UHFFFAOYSA-N 0 2 312.351 0.736 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@@]3(O)CCO[C@H]3C)c[nH]c2n1 ZINC000332191996 415291373 /nfs/dbraw/zinc/29/13/73/415291373.db2.gz WZGGSXALTAFXMC-QFYYESIMSA-N 0 2 317.345 0.914 20 0 DCADLN C[C@](O)(CNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000274935304 415365348 /nfs/dbraw/zinc/36/53/48/415365348.db2.gz CLHQAHVJVDHLNJ-ZDUSSCGKSA-N 0 2 308.363 0.214 20 0 DCADLN C[C@@]1(CNC(=O)CSc2n[nH]c(=O)[nH]2)CCCC[C@H]1O ZINC000275077149 415372711 /nfs/dbraw/zinc/37/27/11/415372711.db2.gz CVLXKSINBATWDP-PELKAZGASA-N 0 2 300.384 0.248 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cnc(C)nc2)cc1 ZINC000343259934 415520854 /nfs/dbraw/zinc/52/08/54/415520854.db2.gz CQWHLYDLLNJBIJ-UHFFFAOYSA-N 0 2 306.347 0.945 20 0 DCADLN CO[C@@H](C[NH3+])C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000353438082 415540113 /nfs/dbraw/zinc/54/01/13/415540113.db2.gz YVBGSCXKGRBJEE-SCRDCRAPSA-N 0 2 322.409 0.285 20 0 DCADLN O=C(Nc1nc(-c2ccccc2F)n[nH]1)c1ccc(=O)[nH]n1 ZINC000102823794 415602841 /nfs/dbraw/zinc/60/28/41/415602841.db2.gz QJNLOZQJBNTYKI-UHFFFAOYSA-N 0 2 300.253 0.946 20 0 DCADLN COC(=O)[C@H]1CN(Cc2nc(=O)c3sccc3[nH]2)CCO1 ZINC000103149217 415609417 /nfs/dbraw/zinc/60/94/17/415609417.db2.gz SNAWHXYNARQDEY-SECBINFHSA-N 0 2 309.347 0.358 20 0 DCADLN CCOCCN(CC)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000107608942 415668526 /nfs/dbraw/zinc/66/85/26/415668526.db2.gz AEYRTQDSLPZDNV-UHFFFAOYSA-N 0 2 306.322 0.110 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000353897228 415688052 /nfs/dbraw/zinc/68/80/52/415688052.db2.gz YXKKPTYGWBDUIY-MRVPVSSYSA-N 0 2 318.399 0.418 20 0 DCADLN C[N@@H+]1CC[C@@H]2CN(c3cc(N4CCC[C@@H]4CO)[nH+]cn3)C[C@@H]21 ZINC000333708055 415721087 /nfs/dbraw/zinc/72/10/87/415721087.db2.gz PHPGXJOZLHJGOH-MCIONIFRSA-N 0 2 303.410 0.578 20 0 DCADLN O=C(Nc1nc(SCCO)n[nH]1)c1nc2cccnc2s1 ZINC000354927753 415830304 /nfs/dbraw/zinc/83/03/04/415830304.db2.gz CXBXXVGDXIKUHQ-UHFFFAOYSA-N 0 2 322.375 1.146 20 0 DCADLN CC(=O)N1CCN(C(=O)[C@@H](C)[N@@H+]2CCO[C@@H](C3CCC3)C2)CC1 ZINC000334028558 415787227 /nfs/dbraw/zinc/78/72/27/415787227.db2.gz JGYYMNSCARALMA-CZUORRHYSA-N 0 2 323.437 0.567 20 0 DCADLN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCO[C@@H](C3CCC3)C2)CC1 ZINC000334028558 415787247 /nfs/dbraw/zinc/78/72/47/415787247.db2.gz JGYYMNSCARALMA-CZUORRHYSA-N 0 2 323.437 0.567 20 0 DCADLN C[C@@H](C(=O)NC(=O)NC(C)(C)C)[N@@H+]1CCC[C@@H](OCCO)C1 ZINC000334034378 415788053 /nfs/dbraw/zinc/78/80/53/415788053.db2.gz XQUWFUDAEKFGBJ-NWDGAFQWSA-N 0 2 315.414 0.473 20 0 DCADLN O=C(NC[C@@H]1CNC(=O)C1)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2 ZINC000334027804 415788120 /nfs/dbraw/zinc/78/81/20/415788120.db2.gz IOIZWPHGDHJUDF-VTPLQMEGSA-N 0 2 307.394 0.769 20 0 DCADLN Cc1ncc(CN2CCN(C(=O)[C@]3(O)CCOC3)CC2)s1 ZINC000334024740 415789069 /nfs/dbraw/zinc/78/90/69/415789069.db2.gz GJHSGFNDKAIOQV-AWEZNQCLSA-N 0 2 311.407 0.247 20 0 DCADLN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N[C@@H](C)C[C@@H](C)O ZINC000334036343 415789352 /nfs/dbraw/zinc/78/93/52/415789352.db2.gz MIGWXBRMWQUKKO-DTWKUNHWSA-N 0 2 318.395 0.728 20 0 DCADLN Cc1nc(N2CCC[C@@H](NC(=O)N(C)C)C2)cc2nncn21 ZINC000334024007 415789829 /nfs/dbraw/zinc/78/98/29/415789829.db2.gz FWAXBZNOQDAAFH-LLVKDONJSA-N 0 2 303.370 0.673 20 0 DCADLN CSCCO[N-]C(=O)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000290533909 415818086 /nfs/dbraw/zinc/81/80/86/415818086.db2.gz OEYADWURSBJFFM-NSHDSACASA-N 0 2 324.406 0.187 20 0 DCADLN O=C(CCCNC(=O)[C@@H]1CC=CCC1)NCc1n[nH]c(=O)[nH]1 ZINC000337051795 415898862 /nfs/dbraw/zinc/89/88/62/415898862.db2.gz NRSOICPSCUTCGL-SNVBAGLBSA-N 0 2 307.354 0.379 20 0 DCADLN CN1CCOC[C@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000344666956 415967589 /nfs/dbraw/zinc/96/75/89/415967589.db2.gz QKLCRKHKVDANIO-NSHDSACASA-N 0 2 304.306 0.299 20 0 DCADLN Cn1nc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1OC(F)F ZINC000345230848 416064735 /nfs/dbraw/zinc/06/47/35/416064735.db2.gz IXRIALKOEKXIQQ-UHFFFAOYSA-N 0 2 316.268 0.208 20 0 DCADLN CCS(=O)(=O)N1CC(CNc2nc([C@H](C)OC)ns2)C1 ZINC000337946876 416025792 /nfs/dbraw/zinc/02/57/92/416025792.db2.gz WALHRQNVCXZRBM-QMMMGPOBSA-N 0 2 320.440 0.361 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000170775692 416032674 /nfs/dbraw/zinc/03/26/74/416032674.db2.gz JNFPPPDGNXYCII-VHSXEESVSA-N 0 2 303.274 0.778 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cccc2c1OCCO2 ZINC000298864063 416035541 /nfs/dbraw/zinc/03/55/41/416035541.db2.gz QECOPBWGUHWOKZ-UHFFFAOYSA-N 0 2 301.320 0.763 20 0 DCADLN Cn1ccc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)c1 ZINC000357464161 416083753 /nfs/dbraw/zinc/08/37/53/416083753.db2.gz ZYOOYFCRIJLLLD-UHFFFAOYSA-N 0 2 301.353 0.500 20 0 DCADLN C[C@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(=O)N(C)C ZINC000175416120 416105736 /nfs/dbraw/zinc/10/57/36/416105736.db2.gz NBEOAOYMDCSTFG-JTQLQIEISA-N 0 2 302.334 0.784 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@H](C)C1=CC[NH+](C)CC1 ZINC000357914987 416151182 /nfs/dbraw/zinc/15/11/82/416151182.db2.gz XUTCARWRKLOMMG-CYBMUJFWSA-N 0 2 310.442 0.209 20 0 DCADLN Cc1cnn([C@H]2CCN(C(=O)[C@H]3CCc4n[nH]nc4C3)C2)c1 ZINC000329608234 416180067 /nfs/dbraw/zinc/18/00/67/416180067.db2.gz CPOBHLOKSTTWPJ-RYUDHWBXSA-N 0 2 300.366 0.888 20 0 DCADLN Cc1cnn([C@H]2CCN(C(=O)[C@H]3CCc4[nH]nnc4C3)C2)c1 ZINC000329608234 416180074 /nfs/dbraw/zinc/18/00/74/416180074.db2.gz CPOBHLOKSTTWPJ-RYUDHWBXSA-N 0 2 300.366 0.888 20 0 DCADLN Cc1cnn([C@H]2CCN(C(=O)[C@H]3CCc4nn[nH]c4C3)C2)c1 ZINC000329608234 416180076 /nfs/dbraw/zinc/18/00/76/416180076.db2.gz CPOBHLOKSTTWPJ-RYUDHWBXSA-N 0 2 300.366 0.888 20 0 DCADLN CC[C@@H](C)NC(=O)CCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179444477 416187278 /nfs/dbraw/zinc/18/72/78/416187278.db2.gz MCZJONGCOXYCCZ-SECBINFHSA-N 0 2 319.365 0.709 20 0 DCADLN COC(=O)[C@H]1CCCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358269786 416218929 /nfs/dbraw/zinc/21/89/29/416218929.db2.gz DGPNRVXTSAGWCK-LLVKDONJSA-N 0 2 318.333 0.842 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1nncn1-c1ccccc1 ZINC000358331871 416223414 /nfs/dbraw/zinc/22/34/14/416223414.db2.gz MOJRAVPBBOPJNX-UHFFFAOYSA-N 0 2 317.334 0.822 20 0 DCADLN CC(=O)N[C@@H](CC(=O)NCc1n[nH]c(=O)[nH]1)c1ccc(C)cc1 ZINC000358367679 416229838 /nfs/dbraw/zinc/22/98/38/416229838.db2.gz KLEGYSMWBVUGDE-LBPRGKRZSA-N 0 2 317.349 0.702 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)CC[S@@]1=O ZINC000358374698 416230818 /nfs/dbraw/zinc/23/08/18/416230818.db2.gz UBSNISQAYHDCRD-PPRQPISWSA-N 0 2 308.363 0.267 20 0 DCADLN C[C@@H](O)C1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)CC1 ZINC000358374705 416231278 /nfs/dbraw/zinc/23/12/78/416231278.db2.gz UCABIQFCGPFOIN-SECBINFHSA-N 0 2 304.350 0.907 20 0 DCADLN CCO[C@H]1C[C@@H](N(C)C(=O)CSc2n[nH]c(=O)[nH]2)C1(C)C ZINC000185716656 416253787 /nfs/dbraw/zinc/25/37/87/416253787.db2.gz NWURYFLVEMBVLI-BDAKNGLRSA-N 0 2 314.411 0.852 20 0 DCADLN CSc1ccccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000342048005 416272192 /nfs/dbraw/zinc/27/21/92/416272192.db2.gz KWRYIWNYJZTZFQ-UHFFFAOYSA-N 0 2 307.335 0.487 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cc(F)c(F)cc1F ZINC000342068193 416277120 /nfs/dbraw/zinc/27/71/20/416277120.db2.gz MFERTGCBGMWAAW-UHFFFAOYSA-N 0 2 315.211 0.183 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(C(C)(C)C(N)=O)cc1 ZINC000352212592 416306391 /nfs/dbraw/zinc/30/63/91/416306391.db2.gz ANWAGWCJZRYJQY-UHFFFAOYSA-N 0 2 322.390 0.984 20 0 DCADLN COCC[N@@H+]1CCN(S(=O)(=O)[N-]CC(F)(F)F)C[C@@H]1C ZINC000195264672 416325763 /nfs/dbraw/zinc/32/57/63/416325763.db2.gz KGYFBIWAXYDNRQ-VIFPVBQESA-N 0 2 319.349 0.036 20 0 DCADLN COCC[N@H+]1CCN(S(=O)(=O)[N-]CC(F)(F)F)C[C@@H]1C ZINC000195264672 416325764 /nfs/dbraw/zinc/32/57/64/416325764.db2.gz KGYFBIWAXYDNRQ-VIFPVBQESA-N 0 2 319.349 0.036 20 0 DCADLN COC(=O)[C@H]1CCN(S(=O)(=O)NCC(F)(F)F)C[C@@H]1C ZINC000195469405 416326616 /nfs/dbraw/zinc/32/66/16/416326616.db2.gz ZDBVVXLSBSUWNP-YUMQZZPRSA-N 0 2 318.317 0.514 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H](CCO)c1ccco1 ZINC000195457102 416326697 /nfs/dbraw/zinc/32/66/97/416326697.db2.gz LDUSDAXQTPDRPT-ZETCQYMHSA-N 0 2 302.274 0.689 20 0 DCADLN C[C@@H]1CCC[C@]1(O)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000359808178 416359367 /nfs/dbraw/zinc/35/93/67/416359367.db2.gz QHFSPQKTBDBBFL-PSLIRLAXSA-N 0 2 304.350 0.955 20 0 DCADLN COCCn1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c(C)n1 ZINC000360881249 416415874 /nfs/dbraw/zinc/41/58/74/416415874.db2.gz ODHNMIDVGHNDNP-UHFFFAOYSA-N 0 2 308.342 0.024 20 0 DCADLN CC(C)c1cc(NC[C@@H]2CN(C)CC[N@H+]2C)nc(N(C)C)[nH+]1 ZINC000361025874 416419200 /nfs/dbraw/zinc/41/92/00/416419200.db2.gz PJUPEPFTPMPBCV-CYBMUJFWSA-N 0 2 306.458 1.324 20 0 DCADLN COC(=O)[C@H](C)N(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000435941340 416541514 /nfs/dbraw/zinc/54/15/14/416541514.db2.gz LIZFDKWNFIXTDW-LURJTMIESA-N 0 2 306.278 0.070 20 0 DCADLN C[C@H]1CC[NH2+][C@H](C(=O)N2CC[NH+](Cc3cnn(C)c3)CC2)C1 ZINC000423433765 416511688 /nfs/dbraw/zinc/51/16/88/416511688.db2.gz PMMOBXQRCNEEPV-ZFWWWQNUSA-N 0 2 305.426 0.452 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1C[C@@H]3CC[C@@H](O)C[C@H]3C1)c2=O ZINC000425053535 416681989 /nfs/dbraw/zinc/68/19/89/416681989.db2.gz XYPHFNSIEGPQAR-GARJFASQSA-N 0 2 316.361 0.907 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)c2ccccc2)n1 ZINC000424222428 416629754 /nfs/dbraw/zinc/62/97/54/416629754.db2.gz MPWXSQMPTAVTMR-UHFFFAOYSA-N 0 2 310.335 0.642 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCC(=O)NC2CC2)c1 ZINC000424545733 416662831 /nfs/dbraw/zinc/66/28/31/416662831.db2.gz RFISJDZUZVBQMN-NRFANRHFSA-N 0 2 310.375 0.198 20 0 DCADLN CN(C)C(=O)c1ccc(S(=O)(=O)Nc2cccnn2)cc1 ZINC000442628200 416699459 /nfs/dbraw/zinc/69/94/59/416699459.db2.gz ZYSBKYUWCDZIJY-UHFFFAOYSA-N 0 2 306.347 0.979 20 0 DCADLN Cc1nc(CCNS(=O)(=O)NCC(F)(F)F)cs1 ZINC000442895500 416718718 /nfs/dbraw/zinc/71/87/18/416718718.db2.gz FFZIKWLZRQRJNQ-UHFFFAOYSA-N 0 2 303.331 0.980 20 0 DCADLN O=S(=O)(NCC[C@@H](O)C(F)(F)F)NCC(F)(F)F ZINC000442953785 416722952 /nfs/dbraw/zinc/72/29/52/416722952.db2.gz PHPBTLFVDPWNNZ-SCSAIBSYSA-N 0 2 304.212 0.286 20 0 DCADLN COC(=O)[C@H]1C[C@H](C)CCN1S(=O)(=O)NCC(F)(F)F ZINC000443209407 416735650 /nfs/dbraw/zinc/73/56/50/416735650.db2.gz FUDZYMDIIRBXAZ-HTQZYQBOSA-N 0 2 318.317 0.657 20 0 DCADLN CC(=O)N1CCC[C@H](CNS(=O)(=O)NCC(F)(F)F)C1 ZINC000443217215 416736388 /nfs/dbraw/zinc/73/63/88/416736388.db2.gz CHDIYSQEUADKAG-SECBINFHSA-N 0 2 317.333 0.231 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H](CO)[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000427818095 416848907 /nfs/dbraw/zinc/84/89/07/416848907.db2.gz HDRBQYSMDUWRRM-IUCAKERBSA-N 0 2 318.333 0.587 20 0 DCADLN Cn1cc(C[C@H](CO)CNc2nc(C(F)(F)F)ns2)cn1 ZINC000641646128 416959873 /nfs/dbraw/zinc/95/98/73/416959873.db2.gz GKDILUNMPNRKJY-QMMMGPOBSA-N 0 2 321.328 0.975 20 0 DCADLN COCCS(=O)(=O)Nc1ccn(-c2ncccc2F)n1 ZINC000371154551 416908752 /nfs/dbraw/zinc/90/87/52/416908752.db2.gz KUFHKYYQTPJDOX-UHFFFAOYSA-N 0 2 300.315 0.795 20 0 DCADLN Cc1cnn([C@H]2CCN(C(=O)CSc3n[nH]c(=O)[nH]3)C2)c1 ZINC000565748954 417015095 /nfs/dbraw/zinc/01/50/95/417015095.db2.gz MRPWYSUVQSCFHA-VIFPVBQESA-N 0 2 308.367 0.581 20 0 DCADLN NS(=O)(=O)c1ccc(S(=O)(=O)Nc2nccs2)cc1 ZINC000524297682 417103159 /nfs/dbraw/zinc/10/31/59/417103159.db2.gz RKLUMHIQUALAKW-UHFFFAOYSA-N 0 2 319.389 0.591 20 0 DCADLN CCN1C(=O)[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)CCN2C1=O ZINC000378006855 417072547 /nfs/dbraw/zinc/07/25/47/417072547.db2.gz UWVBTPQEAYHMOQ-RQJHMYQMSA-N 0 2 311.235 0.382 20 0 DCADLN CCN1C(=O)[C@H]2CN(C(=O)C(F)C(F)(F)F)CCN2C1=O ZINC000378006855 417072555 /nfs/dbraw/zinc/07/25/55/417072555.db2.gz UWVBTPQEAYHMOQ-RQJHMYQMSA-N 0 2 311.235 0.382 20 0 DCADLN Cn1cc(NS(=O)(=O)CCOc2ccc(F)cc2)nn1 ZINC000642892468 417109235 /nfs/dbraw/zinc/10/92/35/417109235.db2.gz URLZDYHJDJGIRR-UHFFFAOYSA-N 0 2 300.315 0.775 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000408408982 417159422 /nfs/dbraw/zinc/15/94/22/417159422.db2.gz SFPHMGPANKFEDN-SECBINFHSA-N 0 2 311.244 0.047 20 0 DCADLN O=C1CCC[C@@H]1[C@H]1COCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000526135036 417188438 /nfs/dbraw/zinc/18/84/38/417188438.db2.gz ZAUFOXJQSGZGAF-CHWSQXEVSA-N 0 2 316.361 0.593 20 0 DCADLN Cc1nnc2n1C[C@@H](CNC(=O)c1cccc3[nH]nnc31)CC2 ZINC000527108545 417214319 /nfs/dbraw/zinc/21/43/19/417214319.db2.gz OUPFEMKKROOWQK-SNVBAGLBSA-N 0 2 311.349 0.850 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CC[C@@H](O)C(C)(C)C1)c2=O ZINC000438527027 287373023 /nfs/dbraw/zinc/37/30/23/287373023.db2.gz KVFYVIIILARWSU-LLVKDONJSA-N 0 2 304.350 0.907 20 0 DCADLN NC(=O)[C@H]1CC[C@H]1C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000621933019 417348196 /nfs/dbraw/zinc/34/81/96/417348196.db2.gz SNXBXFFGXOURFG-DTWKUNHWSA-N 0 2 303.347 0.472 20 0 DCADLN C[C@H]1[C@@H](CO)CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000622108278 417398468 /nfs/dbraw/zinc/39/84/68/417398468.db2.gz QTZGCYQCHOXKHB-DTWKUNHWSA-N 0 2 318.333 0.669 20 0 DCADLN CN(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NC[C@H]2CCCO2)C1 ZINC000451998524 417426868 /nfs/dbraw/zinc/42/68/68/417426868.db2.gz SGPPHVXTBWKSCV-QWHCGFSZSA-N 0 2 312.414 0.017 20 0 DCADLN O=C([O-])c1cnc(NCc2cc[nH+]c(N3CCOCC3)c2)nc1 ZINC000571687539 417452699 /nfs/dbraw/zinc/45/26/99/417452699.db2.gz IYKWJCUXSGRCAU-UHFFFAOYSA-N 0 2 315.333 1.019 20 0 DCADLN Cc1ccc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)nc1 ZINC000622503174 417453894 /nfs/dbraw/zinc/45/38/94/417453894.db2.gz ZSAMGMBNLKBIGL-UHFFFAOYSA-N 0 2 307.331 0.995 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2cnn(CC[NH+](C)C)c2)[C@@H](C)CO1 ZINC000633615987 417463643 /nfs/dbraw/zinc/46/36/43/417463643.db2.gz ANCKDAPYZIIKIC-KBPBESRZSA-N 0 2 323.441 0.284 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@@H](CO)[C@H](CO)C1 ZINC000529688410 417485374 /nfs/dbraw/zinc/48/53/74/417485374.db2.gz KWDFAOIXYYCTLW-RYUDHWBXSA-N 0 2 317.345 0.251 20 0 DCADLN COc1cc(NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)[nH]n1 ZINC000627795280 417507622 /nfs/dbraw/zinc/50/76/22/417507622.db2.gz QHCQNNBOKQYXQI-UHFFFAOYSA-N 0 2 324.366 0.566 20 0 DCADLN C[C@@H]1CN(CC[N@H+](C)Cc2cc(C(=O)[O-])nn2C)C[C@H](C)O1 ZINC000635314380 417634442 /nfs/dbraw/zinc/63/44/42/417634442.db2.gz WARWICBEBRVBFD-TXEJJXNPSA-N 0 2 310.398 0.659 20 0 DCADLN C[C@@H]1CN(CC[N@@H+](C)Cc2cc(C(=O)[O-])nn2C)C[C@H](C)O1 ZINC000635314380 417634446 /nfs/dbraw/zinc/63/44/46/417634446.db2.gz WARWICBEBRVBFD-TXEJJXNPSA-N 0 2 310.398 0.659 20 0 DCADLN CC[C@H]1C[N@H+](CC)CCN1C(=O)CC[NH+]1CCN(CC)CC1 ZINC000456386233 417646915 /nfs/dbraw/zinc/64/69/15/417646915.db2.gz RICAEWWZNGMIEF-INIZCTEOSA-N 0 2 310.486 0.957 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)cn1 ZINC000576942976 417649128 /nfs/dbraw/zinc/64/91/28/417649128.db2.gz UQVHQBCAKBSJRG-UHFFFAOYSA-N 0 2 301.306 0.918 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)cn1 ZINC000576942976 417649132 /nfs/dbraw/zinc/64/91/32/417649132.db2.gz UQVHQBCAKBSJRG-UHFFFAOYSA-N 0 2 301.306 0.918 20 0 DCADLN C[N@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccc2c(c1)OCCO2 ZINC000577118293 417665577 /nfs/dbraw/zinc/66/55/77/417665577.db2.gz WULFJZXXKBDXNH-UHFFFAOYSA-N 0 2 318.333 0.766 20 0 DCADLN C[N@@H+](Cc1cn(CC(=O)[O-])nn1)Cc1ccc2c(c1)OCCO2 ZINC000577118293 417665582 /nfs/dbraw/zinc/66/55/82/417665582.db2.gz WULFJZXXKBDXNH-UHFFFAOYSA-N 0 2 318.333 0.766 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N[C@@H]2C(=O)NCC2(C)C)s[nH]1 ZINC000639639048 417708485 /nfs/dbraw/zinc/70/84/85/417708485.db2.gz PUQIXVMHSPMOCX-SSDOTTSWSA-N 0 2 311.411 0.904 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CC(F)(F)C(F)(F)C1 ZINC000457920953 417708971 /nfs/dbraw/zinc/70/89/71/417708971.db2.gz FVXKZDSCGPXQJB-UHFFFAOYSA-N 0 2 300.237 0.715 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2cc(C)c(C)n[nH]2)[C@@H](C)CO1 ZINC000652005359 417829799 /nfs/dbraw/zinc/82/97/99/417829799.db2.gz YPMFWRNDFUJOHS-JQWIXIFHSA-N 0 2 306.366 0.480 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000645692384 417778200 /nfs/dbraw/zinc/77/82/00/417778200.db2.gz JSRLYOLCURIIRO-NSHDSACASA-N 0 2 315.333 0.570 20 0 DCADLN COc1cc(NS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)[nH]n1 ZINC000629314889 417779348 /nfs/dbraw/zinc/77/93/48/417779348.db2.gz SLQJLBPSMFNVSO-MRVPVSSYSA-N 0 2 313.339 0.377 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC[C@H](C3CC3)C2)[nH]1 ZINC000651806700 417788010 /nfs/dbraw/zinc/78/80/10/417788010.db2.gz WKIIMOKEMKVLBQ-LBPRGKRZSA-N 0 2 305.382 0.868 20 0 DCADLN CO[C@H](C)c1nc(=NC(=O)N[C@H]2Cc3c[nH+]cn3C2)s[n-]1 ZINC000640138191 417789038 /nfs/dbraw/zinc/78/90/38/417789038.db2.gz CDTURHMCKVXKMM-SFYZADRCSA-N 0 2 308.367 0.610 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@H]2CCCC2(F)F)[nH]1 ZINC000651836029 417793153 /nfs/dbraw/zinc/79/31/53/417793153.db2.gz FXRYCCAVBRRXMO-VIFPVBQESA-N 0 2 315.324 0.771 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCOC[C@@H]2C2CCC2)[nH]1 ZINC000651869294 417804102 /nfs/dbraw/zinc/80/41/02/417804102.db2.gz PSMAFOTZBNNNRV-GFCCVEGCSA-N 0 2 321.381 0.247 20 0 DCADLN CC[C@H](C)C[C@H](CO)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651869203 417805351 /nfs/dbraw/zinc/80/53/51/417805351.db2.gz MNOCRHNTLMQEDD-WDEREUQCSA-N 0 2 311.386 0.133 20 0 DCADLN COCc1nc(CNS(=O)(=O)c2c(F)cccc2F)n[nH]1 ZINC000657105029 417811818 /nfs/dbraw/zinc/81/18/18/417811818.db2.gz XJZGCUHWBXKPNE-UHFFFAOYSA-N 0 2 318.305 0.708 20 0 DCADLN CCc1ncc(S(=O)(=O)NCc2nnc(COC)[nH]2)s1 ZINC000657105012 417812198 /nfs/dbraw/zinc/81/21/98/417812198.db2.gz WUPYQTMQHPSUFO-UHFFFAOYSA-N 0 2 317.396 0.449 20 0 DCADLN Cc1[nH+]cc(C[NH2+]CCN2CCN(C(=O)C3CCC3)CC2)n1C ZINC000657359651 417850360 /nfs/dbraw/zinc/85/03/60/417850360.db2.gz YQYROKKUROHCDZ-UHFFFAOYSA-N 0 2 319.453 0.762 20 0 DCADLN CC(C)(C)n1cnc(=NC(=O)C(=O)NC2(C3CCC3)CC2)[nH]1 ZINC000652089838 417845892 /nfs/dbraw/zinc/84/58/92/417845892.db2.gz MVWOVXDOSXDKAH-UHFFFAOYSA-N 0 2 305.382 0.842 20 0 DCADLN O=C([O-])[C@@H]1CN(c2nc(NCCO)c3ccccc3[nH+]2)CCO1 ZINC000662882663 417937232 /nfs/dbraw/zinc/93/72/32/417937232.db2.gz FVWRZYYQVFMFDS-LBPRGKRZSA-N 0 2 318.333 0.324 20 0 DCADLN CO[C@@H]1CS(=O)(=O)[C@H]2CN(c3cccc(C(=O)[O-])[nH+]3)C[C@H]21 ZINC000662887062 417938805 /nfs/dbraw/zinc/93/88/05/417938805.db2.gz SGPQAXJEMAHOME-GDPRMGEGSA-N 0 2 312.347 0.028 20 0 DCADLN CO[C@@H]1CS(=O)(=O)[C@H]2CN(c3cc[nH+]c(C(=O)[O-])c3)C[C@H]21 ZINC000662887325 417939556 /nfs/dbraw/zinc/93/95/56/417939556.db2.gz XDOAHGZSARHTSE-WCQGTBRESA-N 0 2 312.347 0.028 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC[C@]2(O)CCOC2)cn1 ZINC000652802417 417971590 /nfs/dbraw/zinc/97/15/90/417971590.db2.gz IGTVNADPBUNFMK-HNNXBMFYSA-N 0 2 319.365 0.564 20 0 DCADLN O=C([O-])c1ccc(N2CCC[C@H](C(=O)N3CCOCC3)C2)[nH+]c1 ZINC000647448651 418010638 /nfs/dbraw/zinc/01/06/38/418010638.db2.gz VBLGMMQDSQGZQG-ZDUSSCGKSA-N 0 2 319.361 0.855 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@]3(C(=O)[O-])CC3(C)C)CC2)cc[nH+]1 ZINC000663043707 417982007 /nfs/dbraw/zinc/98/20/07/417982007.db2.gz IAFASSXVFYSZDG-INIZCTEOSA-N 0 2 318.377 0.935 20 0 DCADLN C[C@H](CO)C1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000652855954 417983143 /nfs/dbraw/zinc/98/31/43/417983143.db2.gz VIRUYCMCBNLHGY-MRVPVSSYSA-N 0 2 300.384 0.469 20 0 DCADLN C[C@@H](COCC1CC1)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000652907246 417996999 /nfs/dbraw/zinc/99/69/99/417996999.db2.gz GVLXINZYMHUHIE-QMMMGPOBSA-N 0 2 318.333 0.981 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@@H]2CC[NH+](C3CC3)C2)[C@H](C)CO1 ZINC000664130866 418089278 /nfs/dbraw/zinc/08/92/78/418089278.db2.gz XJUSZZSDZNOVMI-MGPQQGTHSA-N 0 2 310.442 0.632 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000664240719 418101251 /nfs/dbraw/zinc/10/12/51/418101251.db2.gz QCXUZWRWZZJIHQ-KGLIPLIRSA-N 0 2 316.409 0.914 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000664240719 418101254 /nfs/dbraw/zinc/10/12/54/418101254.db2.gz QCXUZWRWZZJIHQ-KGLIPLIRSA-N 0 2 316.409 0.914 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCO[C@]2(CCSC2)C1 ZINC000648956160 418180128 /nfs/dbraw/zinc/18/01/28/418180128.db2.gz GCEMDJGFMUJEKM-LLVKDONJSA-N 0 2 316.408 0.337 20 0 DCADLN CO[C@@](C)(CO)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000655213768 418239850 /nfs/dbraw/zinc/23/98/50/418239850.db2.gz NBUPPYZFQQCODL-OAHLLOKOSA-N 0 2 323.324 0.844 20 0 DCADLN CC(C)(C)c1cc(N2CCC[C@@](O)(CO)C2)nc(C(=O)[O-])[nH+]1 ZINC000649397601 418250072 /nfs/dbraw/zinc/25/00/72/418250072.db2.gz PMCVHJVEIQADDK-HNNXBMFYSA-N 0 2 309.366 0.796 20 0 DCADLN C[C@H](CC(=O)NCc1n[nH]c(=O)[nH]1)N1CCc2ccccc21 ZINC000654853697 418195285 /nfs/dbraw/zinc/19/52/85/418195285.db2.gz HQQIWILBNJESSZ-SNVBAGLBSA-N 0 2 301.350 0.968 20 0 DCADLN CCNC(=O)[C@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665448834 418207553 /nfs/dbraw/zinc/20/75/53/418207553.db2.gz OGCFWWCWEHVUGB-QMMMGPOBSA-N 0 2 304.306 0.284 20 0 DCADLN Cc1noc([C@H](C)N(C)S(=O)(=O)NCC(F)(F)F)n1 ZINC000655661027 418286134 /nfs/dbraw/zinc/28/61/34/418286134.db2.gz STSAOBRXPAUOFY-YFKPBYRVSA-N 0 2 302.278 0.768 20 0 DCADLN NC(=O)c1[nH]nnc1NC(=O)[C@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000650025577 418288686 /nfs/dbraw/zinc/28/86/86/418288686.db2.gz YZZGMCNEMMLZJD-WDSKDSINSA-N 0 2 321.259 0.590 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)C(=O)[O-] ZINC000655897019 418298025 /nfs/dbraw/zinc/29/80/25/418298025.db2.gz QHWRUOIFGFDXSZ-FRRDWIJNSA-N 0 2 313.398 0.506 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)C(=O)[O-] ZINC000655897019 418298027 /nfs/dbraw/zinc/29/80/27/418298027.db2.gz QHWRUOIFGFDXSZ-FRRDWIJNSA-N 0 2 313.398 0.506 20 0 DCADLN Cc1nc(=NC(=O)N2CCn3c(CC4CC4)nnc3C2)[nH]n1C ZINC000650215794 418298080 /nfs/dbraw/zinc/29/80/80/418298080.db2.gz XLNZQAHKVYLEKH-UHFFFAOYSA-N 0 2 316.369 0.137 20 0 DCADLN C[C@H](CNC(=O)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1)C(=O)[O-] ZINC000656005697 418315980 /nfs/dbraw/zinc/31/59/80/418315980.db2.gz KBVVQQBXGJFLMC-NXEZZACHSA-N 0 2 308.338 0.300 20 0 DCADLN CN(C)S(=O)(=O)[C@H]1CCCN(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000650770425 418323147 /nfs/dbraw/zinc/32/31/47/418323147.db2.gz WBTAJDOZCFXLPU-NSHDSACASA-N 0 2 313.379 0.640 20 0 DCADLN Cc1nc(N2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)cc[nH+]1 ZINC000650775203 418323411 /nfs/dbraw/zinc/32/34/11/418323411.db2.gz PDVAPCNBCMGDQV-NSHDSACASA-N 0 2 304.350 0.687 20 0 DCADLN O=C([O-])c1cc(N2CCC[C@](O)(C(=O)N3CCCC3)C2)cc[nH+]1 ZINC000650772138 418323759 /nfs/dbraw/zinc/32/37/59/418323759.db2.gz PQJJRRXTCHANDD-MRXNPFEDSA-N 0 2 319.361 0.734 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000650778320 418324126 /nfs/dbraw/zinc/32/41/26/418324126.db2.gz LJOZUJPAPVGHTC-QJPTWQEYSA-N 0 2 308.382 0.983 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000650778320 418324127 /nfs/dbraw/zinc/32/41/27/418324127.db2.gz LJOZUJPAPVGHTC-QJPTWQEYSA-N 0 2 308.382 0.983 20 0 DCADLN O=C([O-])CN(C(=O)c1ccc(-n2cc[nH+]c2)nn1)C1CCC1 ZINC000655590534 418278850 /nfs/dbraw/zinc/27/88/50/418278850.db2.gz YGAYJCBZWAQHCA-UHFFFAOYSA-N 0 2 301.306 0.742 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H]2CCC[C@@H]3OCC[C@@H]32)[nH]1 ZINC000651622391 418397926 /nfs/dbraw/zinc/39/79/26/418397926.db2.gz XRPCKLMSYIXCBZ-UTUOFQBUSA-N 0 2 321.381 0.293 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)CCN(C)C2=O ZINC000656635186 418367546 /nfs/dbraw/zinc/36/75/46/418367546.db2.gz RJVIZFDGFIHYIF-UHFFFAOYSA-N 0 2 320.374 0.849 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC(C(F)F)CC2)[nH]1 ZINC000651627091 418398541 /nfs/dbraw/zinc/39/85/41/418398541.db2.gz LPIYRLBMNXCSPP-UHFFFAOYSA-N 0 2 315.324 0.723 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc3c(n2)OCCO3)cnn1C ZINC000656741598 418375501 /nfs/dbraw/zinc/37/55/01/418375501.db2.gz RTYABMKQKOPAJN-UHFFFAOYSA-N 0 2 310.335 0.696 20 0 DCADLN C[C@H]1CCCN(C(=O)C(=O)N=c2nc3n([nH]2)CCCC3)CC1 ZINC000651622482 418398690 /nfs/dbraw/zinc/39/86/90/418398690.db2.gz GIHMDERGSWGGDE-NSHDSACASA-N 0 2 305.382 0.623 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCc2ccccc2)[nH]1 ZINC000651491907 418384108 /nfs/dbraw/zinc/38/41/08/418384108.db2.gz WAFVWIFMBYATQW-UHFFFAOYSA-N 0 2 301.350 0.578 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCc2ccc(F)cc2)[nH]1 ZINC000651493373 418384476 /nfs/dbraw/zinc/38/44/76/418384476.db2.gz YMFXPDIVZPYFMA-UHFFFAOYSA-N 0 2 305.313 0.675 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCc3sccc3C2)[nH]1 ZINC000651517273 418386625 /nfs/dbraw/zinc/38/66/25/418386625.db2.gz DYABKSJQADCGMG-UHFFFAOYSA-N 0 2 319.390 0.866 20 0 DCADLN Cc1ccc(NC(=O)C[N@@H+](C)[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662202115 418387750 /nfs/dbraw/zinc/38/77/50/418387750.db2.gz WJQYADSQVNFYNE-ZDUSSCGKSA-N 0 2 319.361 0.551 20 0 DCADLN Cc1ccc(NC(=O)C[N@H+](C)[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662202115 418387752 /nfs/dbraw/zinc/38/77/52/418387752.db2.gz WJQYADSQVNFYNE-ZDUSSCGKSA-N 0 2 319.361 0.551 20 0 DCADLN CC[N@H+](CC1=Nc2ccccc2S(=O)(=O)N1)[C@@H](C)C(=O)[O-] ZINC000662213901 418389632 /nfs/dbraw/zinc/38/96/32/418389632.db2.gz AYCGJXJYVPPKJK-VIFPVBQESA-N 0 2 311.363 0.804 20 0 DCADLN CC[N@@H+](CC1=Nc2ccccc2S(=O)(=O)N1)[C@@H](C)C(=O)[O-] ZINC000662213901 418389634 /nfs/dbraw/zinc/38/96/34/418389634.db2.gz AYCGJXJYVPPKJK-VIFPVBQESA-N 0 2 311.363 0.804 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCS[C@@H](C)[C@H]2C)[nH]1 ZINC000651576723 418393214 /nfs/dbraw/zinc/39/32/14/418393214.db2.gz PEQBZHWEVPBNCY-ZJUUUORDSA-N 0 2 311.411 0.572 20 0 DCADLN COc1c(N)[nH+]cnc1N1CCN([C@@H]2CCC[N@H+](C)C2)CC1 ZINC000656126605 418329549 /nfs/dbraw/zinc/32/95/49/418329549.db2.gz NPJBIVDFSUGKAA-GFCCVEGCSA-N 0 2 306.414 0.284 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)c1cnccn1 ZINC000492501832 287576731 /nfs/dbraw/zinc/57/67/31/287576731.db2.gz KTNAIBRBJNSMNX-ARJAWSKDSA-N 0 2 307.335 0.211 20 0 DCADLN Cc1nn(C)c2ncc(S(=O)(=O)Nc3ccn(C)n3)cc12 ZINC000299488489 229147440 /nfs/dbraw/zinc/14/74/40/229147440.db2.gz BUQWZKDLYNQPFQ-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cccnn2)ccc1O ZINC000227889203 261153337 /nfs/dbraw/zinc/15/33/37/261153337.db2.gz BIXLOZQCNBCEJI-UHFFFAOYSA-N 0 2 309.303 0.770 20 0 DCADLN CS(=O)(=O)c1ccccc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000354316325 261204062 /nfs/dbraw/zinc/20/40/62/261204062.db2.gz GWWPZHAHMSSLNS-UHFFFAOYSA-N 0 2 311.323 0.236 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc(=O)[nH]c(C2CC2)c1 ZINC000354610671 261245173 /nfs/dbraw/zinc/24/51/73/261245173.db2.gz ZIIHZJPDVASMDZ-UHFFFAOYSA-N 0 2 303.322 0.851 20 0 DCADLN C[C@@H]1CN(c2cncc(C(N)=O)n2)CC[N@@H+]1C1CC[NH+](C)CC1 ZINC000354705636 261262417 /nfs/dbraw/zinc/26/24/17/261262417.db2.gz LPCUSAOKBYYGRR-GFCCVEGCSA-N 0 2 318.425 0.180 20 0 DCADLN COc1ncc(NC(=O)c2ccc3[nH]nnc3c2)cc1C(N)=O ZINC000355583723 261350019 /nfs/dbraw/zinc/35/00/19/261350019.db2.gz NDYRHXQSKWPTSP-UHFFFAOYSA-N 0 2 312.289 0.713 20 0 DCADLN COC(=O)C1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)CC1 ZINC000355701450 261357453 /nfs/dbraw/zinc/35/74/53/261357453.db2.gz MCIPJSFDNRWWHL-UHFFFAOYSA-N 0 2 318.333 0.699 20 0 DCADLN COc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)c(OC)c1OC ZINC000358369915 261663057 /nfs/dbraw/zinc/66/30/57/261663057.db2.gz ODHIWCRJTIAWRM-UHFFFAOYSA-N 0 2 308.294 0.466 20 0 DCADLN COC(=O)[C@@](C)(O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000362422455 262033295 /nfs/dbraw/zinc/03/32/95/262033295.db2.gz XRSSEHAKUGRYIJ-HNNXBMFYSA-N 0 2 319.317 0.232 20 0 DCADLN COC(=O)c1cc(C[NH2+]C[C@H]2C[N@@H+]3CCC[C@@H]3CO2)cn1C ZINC000368017257 262144578 /nfs/dbraw/zinc/14/45/78/262144578.db2.gz HMHNKKGYVVHXNV-KGLIPLIRSA-N 0 2 307.394 0.765 20 0 DCADLN CCn1[nH]c(C)nc1=NC(=O)c1cc(NC(C)=O)ccc1O ZINC000412859066 262195539 /nfs/dbraw/zinc/19/55/39/262195539.db2.gz IGDIBXPGZBSVIK-UHFFFAOYSA-N 0 2 303.322 0.945 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC[C@H](CO)C1)c2=O ZINC000412938888 262197349 /nfs/dbraw/zinc/19/73/49/262197349.db2.gz PUYYDRLIPDZJLU-VHSXEESVSA-N 0 2 304.350 0.955 20 0 DCADLN CCOC(=O)[C@@](C)(O)CNC(=O)c1cc(F)c(O)c(F)c1 ZINC000436821924 271609880 /nfs/dbraw/zinc/60/98/80/271609880.db2.gz QZXZIRVFIIGOAL-ZDUSSCGKSA-N 0 2 303.261 0.714 20 0 DCADLN CCCN(CC(N)=O)c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000488779315 272050578 /nfs/dbraw/zinc/05/05/78/272050578.db2.gz FYNSXPLLXMYSLT-LBPRGKRZSA-N 0 2 307.398 0.387 20 0 DCADLN C=CC(=O)N1CCC(C(=O)NOCCO)(c2ccccc2)CC1 ZINC000490755524 272081600 /nfs/dbraw/zinc/08/16/00/272081600.db2.gz DTXRUGJYLVKGRD-UHFFFAOYSA-N 0 2 318.373 0.773 20 0 DCADLN Cc1c(C=CC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)cnn1C ZINC000492317054 272122754 /nfs/dbraw/zinc/12/27/54/272122754.db2.gz CVVRPQXSTJCIDN-ONEGZZNKSA-N 0 2 316.365 0.972 20 0 DCADLN Cn1nccc1S(=O)(=O)NC(=O)/C=C\c1cncc(F)c1 ZINC000492588812 272136263 /nfs/dbraw/zinc/13/62/63/272136263.db2.gz IVRGJNXGMSZWFB-IHWYPQMZSA-N 0 2 310.310 0.473 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)c1c(C)cnn1C ZINC000493317513 272180485 /nfs/dbraw/zinc/18/04/85/272180485.db2.gz GOWAVBGSFUDISJ-AATRIKPKSA-N 0 2 323.378 0.463 20 0 DCADLN CC1(C)CC[C@H]1NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000286577951 281138760 /nfs/dbraw/zinc/13/87/60/281138760.db2.gz FNJKQAYNJPJQFI-SECBINFHSA-N 0 2 324.362 0.903 20 0 DCADLN COc1cncnc1NS(=O)(=O)c1ccc(N(C)C)nc1 ZINC000558630286 288451112 /nfs/dbraw/zinc/45/11/12/288451112.db2.gz CGRNVHXKVMKZSM-UHFFFAOYSA-N 0 2 309.351 0.747 20 0 DCADLN CC(=O)NCCN(C(=O)C[C@@H](C)n1cc[nH+]c1)[C@H](C)C(=O)[O-] ZINC000563350326 288660961 /nfs/dbraw/zinc/66/09/61/288660961.db2.gz NDJVOFZYQCFGBN-GHMZBOCLSA-N 0 2 310.354 0.272 20 0 DCADLN C[C@@H]1COCC[C@@H]1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000286815530 297134947 /nfs/dbraw/zinc/13/49/47/297134947.db2.gz LLJUNSFIKAQNGJ-RKDXNWHRSA-N 0 2 318.333 0.838 20 0 DCADLN O=c1nc(CN2CCOC[C@]23CCOC3)[nH]c2ccsc21 ZINC000193725463 304814119 /nfs/dbraw/zinc/81/41/19/304814119.db2.gz RMLINYIKDOLQGB-CQSZACIVSA-N 0 2 307.375 0.976 20 0 DCADLN C[C@H](CN(C)c1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1)C(=O)[O-] ZINC000582847377 337209824 /nfs/dbraw/zinc/20/98/24/337209824.db2.gz MCGCXYMELDMTJN-WOPDTQHZSA-N 0 2 308.382 0.841 20 0 DCADLN C[C@H](CN(C)c1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1)C(=O)[O-] ZINC000582847377 337209825 /nfs/dbraw/zinc/20/98/25/337209825.db2.gz MCGCXYMELDMTJN-WOPDTQHZSA-N 0 2 308.382 0.841 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](Cc2ccc(-n3cccn3)cc2)CC1 ZINC000396651969 337241762 /nfs/dbraw/zinc/24/17/62/337241762.db2.gz OMLWYGOREXFEES-UHFFFAOYSA-N 0 2 314.345 0.601 20 0 DCADLN Cc1cc(C(=O)NCc2ccccn2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000154470239 524107923 /nfs/dbraw/zinc/10/79/23/524107923.db2.gz IEMKSDBPTULHSK-UHFFFAOYSA-N 0 2 311.301 0.657 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)[C@@H]2CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266902716 524120453 /nfs/dbraw/zinc/12/04/53/524120453.db2.gz LXHFJVOCYQKGFE-DTWKUNHWSA-N 0 2 318.333 0.899 20 0 DCADLN CC(C)c1nc2c([nH]1)C[C@H](C(=O)N[C@H]1CCN(C)C1=O)CC2 ZINC000299743737 528323555 /nfs/dbraw/zinc/32/35/55/528323555.db2.gz LZLFIUIPNDRLLY-PWSUYJOCSA-N 0 2 304.394 0.985 20 0 DCADLN CC(C)c1nc2c([nH]1)CC[C@@H](C(=O)N[C@H]1CCN(C)C1=O)C2 ZINC000299743737 528323559 /nfs/dbraw/zinc/32/35/59/528323559.db2.gz LZLFIUIPNDRLLY-PWSUYJOCSA-N 0 2 304.394 0.985 20 0 DCADLN C[C@@H](NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CCC1 ZINC000157553914 545852301 /nfs/dbraw/zinc/85/23/01/545852301.db2.gz RHRXMXXAYRBMPL-SSDOTTSWSA-N 0 2 324.362 0.078 20 0 DCADLN Cc1cc(S(=O)(=O)NCc2c[nH+]cn2C)sc1C(=O)[O-] ZINC000290429844 546007646 /nfs/dbraw/zinc/00/76/46/546007646.db2.gz AYKHCCRIOZHANB-UHFFFAOYSA-N 0 2 315.376 0.967 20 0 DCADLN COCCCNC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000314873496 546025660 /nfs/dbraw/zinc/02/56/60/546025660.db2.gz XXNOIMZCNXAAIS-OAHLLOKOSA-N 0 2 306.362 0.823 20 0 DCADLN COCCCNC(=O)C[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000314873496 546025663 /nfs/dbraw/zinc/02/56/63/546025663.db2.gz XXNOIMZCNXAAIS-OAHLLOKOSA-N 0 2 306.362 0.823 20 0 DCADLN CCCN1C[C@H](C(=O)N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)CC1=O ZINC000333470417 546082148 /nfs/dbraw/zinc/08/21/48/546082148.db2.gz DFLFVQABINFSRB-MNOVXSKESA-N 0 2 321.381 0.822 20 0 DCADLN Cc1cccc2[nH+]c(CNC(=O)N3CCO[C@@H](C(=O)[O-])C3)cn21 ZINC000666540208 546482597 /nfs/dbraw/zinc/48/25/97/546482597.db2.gz JHWKHPRWFYJALQ-GFCCVEGCSA-N 0 2 318.333 0.638 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)N[C@H](C(=O)[O-])C1CCOCC1 ZINC000668305057 546724666 /nfs/dbraw/zinc/72/46/66/546724666.db2.gz DAUUYGKPGMSHQB-HNNXBMFYSA-N 0 2 321.377 0.758 20 0 DCADLN C[C@H](C[C@H]1CCOC1)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000675352934 547677731 /nfs/dbraw/zinc/67/77/31/547677731.db2.gz NBGUFIIRZKLXNF-RKDXNWHRSA-N 0 2 318.333 0.981 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H](C(=O)N3CCCC3)C2)c1O ZINC000676698821 547804673 /nfs/dbraw/zinc/80/46/73/547804673.db2.gz SEDCXFOYCYUSHU-NSHDSACASA-N 0 2 306.366 0.898 20 0 DCADLN COc1ccc2c(c1)OC[C@@H]2CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000677665753 547896305 /nfs/dbraw/zinc/89/63/05/547896305.db2.gz IFVUECADOMSGFY-QMMMGPOBSA-N 0 2 304.306 0.701 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)NCCc1nnnn1C)c2=O ZINC000678581234 547992555 /nfs/dbraw/zinc/99/25/55/547992555.db2.gz OPKWBIVBHNCIJG-UHFFFAOYSA-N 0 2 313.321 0.140 20 0 DCADLN COc1ccccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000678714889 547999732 /nfs/dbraw/zinc/99/97/32/547999732.db2.gz XFSHMCRIHYQWRC-UHFFFAOYSA-N 0 2 317.349 0.477 20 0 DCADLN Cc1cc(F)c(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)cc1F ZINC000679202380 548033664 /nfs/dbraw/zinc/03/36/64/548033664.db2.gz HPYQFTLYPNYVEZ-UHFFFAOYSA-N 0 2 316.289 0.896 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C2CCN(c3cnccn3)CC2)c1O ZINC000684073888 548562523 /nfs/dbraw/zinc/56/25/23/548562523.db2.gz JMVUNLZZQIGLDR-UHFFFAOYSA-N 0 2 316.365 0.955 20 0 DCADLN CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000684759996 548645575 /nfs/dbraw/zinc/64/55/75/548645575.db2.gz SHADDNXVQGPDIO-VIFPVBQESA-N 0 2 318.337 0.867 20 0 DCADLN CCc1nn(CC2(C)COC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706138 599166866 /nfs/dbraw/zinc/16/68/66/599166866.db2.gz ULLRHBNYVSNCKH-UHFFFAOYSA-N 0 2 304.354 0.585 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](C(=O)[O-])C2)c(N(C)C)[nH+]1 ZINC000821087773 597370378 /nfs/dbraw/zinc/37/03/78/597370378.db2.gz DXBHSZYQCBQNNA-SNVBAGLBSA-N 0 2 320.349 0.328 20 0 DCADLN COC(=O)c1nn(C[N@@H+]2CC[C@H](C(=O)[O-])C2)c(C)c1Cl ZINC000818528177 597538127 /nfs/dbraw/zinc/53/81/27/597538127.db2.gz MHWMULZJOIARIG-QMMMGPOBSA-N 0 2 301.730 0.996 20 0 DCADLN COC(=O)c1nn(C[N@H+]2CC[C@H](C(=O)[O-])C2)c(C)c1Cl ZINC000818528177 597538129 /nfs/dbraw/zinc/53/81/29/597538129.db2.gz MHWMULZJOIARIG-QMMMGPOBSA-N 0 2 301.730 0.996 20 0 DCADLN Cc1cccc(C)c1NC(=O)CNC(=O)[C@H](C)[N@H+](C)CC(=O)[O-] ZINC000821112250 598041315 /nfs/dbraw/zinc/04/13/15/598041315.db2.gz MDUMOHAQXFNDOR-LBPRGKRZSA-N 0 2 321.377 0.763 20 0 DCADLN Cc1cccc(C)c1NC(=O)CNC(=O)[C@H](C)[N@@H+](C)CC(=O)[O-] ZINC000821112250 598041318 /nfs/dbraw/zinc/04/13/18/598041318.db2.gz MDUMOHAQXFNDOR-LBPRGKRZSA-N 0 2 321.377 0.763 20 0 DCADLN C[C@H](C(=O)N(C)C[C@H]1COc2ccccc2O1)[N@H+](C)CC(=O)[O-] ZINC000819883046 598042280 /nfs/dbraw/zinc/04/22/80/598042280.db2.gz LHQVEOGPMPGXIJ-NEPJUHHUSA-N 0 2 322.361 0.690 20 0 DCADLN C[C@H](C(=O)N(C)C[C@H]1COc2ccccc2O1)[N@@H+](C)CC(=O)[O-] ZINC000819883046 598042282 /nfs/dbraw/zinc/04/22/82/598042282.db2.gz LHQVEOGPMPGXIJ-NEPJUHHUSA-N 0 2 322.361 0.690 20 0 DCADLN C[C@@H](C(=O)NCCc1ccc2c(c1)OCCO2)[N@H+](C)CC(=O)[O-] ZINC000819883408 598044151 /nfs/dbraw/zinc/04/41/51/598044151.db2.gz WHOAFWBJRAEPBV-NSHDSACASA-N 0 2 322.361 0.521 20 0 DCADLN C[C@@H](C(=O)NCCc1ccc2c(c1)OCCO2)[N@@H+](C)CC(=O)[O-] ZINC000819883408 598044155 /nfs/dbraw/zinc/04/41/55/598044155.db2.gz WHOAFWBJRAEPBV-NSHDSACASA-N 0 2 322.361 0.521 20 0 DCADLN CCc1nn(Cc2ccncc2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736706418 598642426 /nfs/dbraw/zinc/64/24/26/598642426.db2.gz LEZYCBCZSDGYDO-UHFFFAOYSA-N 0 2 311.349 0.992 20 0 DCADLN COc1ccc(OCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000378699539 599778403 /nfs/dbraw/zinc/77/84/03/599778403.db2.gz IJWNSKWIMWPWTK-ZDUSSCGKSA-N 0 2 319.317 0.609 20 0 DCADLN COc1ccc(OCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000378699539 599778405 /nfs/dbraw/zinc/77/84/05/599778405.db2.gz IJWNSKWIMWPWTK-ZDUSSCGKSA-N 0 2 319.317 0.609 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC000703006999 599846900 /nfs/dbraw/zinc/84/69/00/599846900.db2.gz CWEZBQWSRBYANC-JTQLQIEISA-N 0 2 302.352 0.491 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[N@@H+](Cc2cc(C(=O)[O-])co2)C1 ZINC000703006999 599846904 /nfs/dbraw/zinc/84/69/04/599846904.db2.gz CWEZBQWSRBYANC-JTQLQIEISA-N 0 2 302.352 0.491 20 0 DCADLN CCOC(=O)N(C)C1CC[NH+](Cn2cc(C(=O)[O-])nn2)CC1 ZINC000737145484 599992708 /nfs/dbraw/zinc/99/27/08/599992708.db2.gz YHWYSPCNTHBBOQ-UHFFFAOYSA-N 0 2 311.342 0.487 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)c2cncc(F)c2)CC1 ZINC000044260661 599995474 /nfs/dbraw/zinc/99/54/74/599995474.db2.gz BNMJVFSJOLBYAY-UHFFFAOYSA-N 0 2 317.342 0.002 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000737104419 600141747 /nfs/dbraw/zinc/14/17/47/600141747.db2.gz SWOVYSDVENIKTL-KGLIPLIRSA-N 0 2 324.352 0.694 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000737104419 600141748 /nfs/dbraw/zinc/14/17/48/600141748.db2.gz SWOVYSDVENIKTL-KGLIPLIRSA-N 0 2 324.352 0.694 20 0 DCADLN O=C([O-])Cc1nc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)n[nH]1 ZINC000739911100 600179784 /nfs/dbraw/zinc/17/97/84/600179784.db2.gz VJOCUFFPBQBOAS-UHFFFAOYSA-N 0 2 312.289 0.870 20 0 DCADLN CCCCCC[C@H](C)NC(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736982833 600230727 /nfs/dbraw/zinc/23/07/27/600230727.db2.gz CWSHNRYQAQLNIN-XQQFMLRXSA-N 0 2 300.399 0.981 20 0 DCADLN CCCCCC[C@H](C)NC(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000736982833 600230729 /nfs/dbraw/zinc/23/07/29/600230729.db2.gz CWSHNRYQAQLNIN-XQQFMLRXSA-N 0 2 300.399 0.981 20 0 DCADLN CNC(=O)[C@@H](NC(=O)C[N@H+](C)C(C)(C)C(=O)[O-])c1ccccc1 ZINC000737536421 600309307 /nfs/dbraw/zinc/30/93/07/600309307.db2.gz XXHSBRVBKGVTHE-ZDUSSCGKSA-N 0 2 321.377 0.385 20 0 DCADLN CNC(=O)[C@@H](NC(=O)C[N@@H+](C)C(C)(C)C(=O)[O-])c1ccccc1 ZINC000737536421 600309311 /nfs/dbraw/zinc/30/93/11/600309311.db2.gz XXHSBRVBKGVTHE-ZDUSSCGKSA-N 0 2 321.377 0.385 20 0 DCADLN O=C([O-])c1cn(C[NH+]2CCC(Nc3cccnn3)CC2)nn1 ZINC000740254741 600706592 /nfs/dbraw/zinc/70/65/92/600706592.db2.gz QOVNACOYJICGTD-UHFFFAOYSA-N 0 2 303.326 0.300 20 0 DCADLN Nc1cccc2c1C(=O)N(C[N@@H+]1CCC[C@H](C(=O)[O-])C1)C2=O ZINC000739202632 600715256 /nfs/dbraw/zinc/71/52/56/600715256.db2.gz JMQWMVDJWIWAEU-VIFPVBQESA-N 0 2 303.318 0.619 20 0 DCADLN Nc1cccc2c1C(=O)N(C[N@H+]1CCC[C@H](C(=O)[O-])C1)C2=O ZINC000739202632 600715258 /nfs/dbraw/zinc/71/52/58/600715258.db2.gz JMQWMVDJWIWAEU-VIFPVBQESA-N 0 2 303.318 0.619 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CC(=O)NCC(C)(C)N1CCOCC1 ZINC000737013190 600739595 /nfs/dbraw/zinc/73/95/95/600739595.db2.gz AEHXSXZDQNQLCM-UHFFFAOYSA-N 0 2 315.414 0.010 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CC(=O)NCC(C)(C)N1CCOCC1 ZINC000737013190 600739597 /nfs/dbraw/zinc/73/95/97/600739597.db2.gz AEHXSXZDQNQLCM-UHFFFAOYSA-N 0 2 315.414 0.010 20 0 DCADLN Cc1ccc(S(=O)(=O)NCCn2cc[nH+]c2)cc1C(=O)[O-] ZINC000041063223 600766419 /nfs/dbraw/zinc/76/64/19/600766419.db2.gz AFILMJIABMRGIF-UHFFFAOYSA-N 0 2 309.347 0.868 20 0 DCADLN C[C@@H](c1cccnc1)[NH+]1CCN(CC(=O)NCCC(=O)[O-])CC1 ZINC000736727375 600956396 /nfs/dbraw/zinc/95/63/96/600956396.db2.gz ZJUTYVRDOFQXCN-ZDUSSCGKSA-N 0 2 320.393 0.351 20 0 DCADLN O=C([O-])Cn1ccc(NC(=O)NCCCCn2cc[nH+]c2)n1 ZINC000833276141 601066620 /nfs/dbraw/zinc/06/66/20/601066620.db2.gz NYEGELKXGZJRLS-UHFFFAOYSA-N 0 2 306.326 0.766 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000826000432 601171067 /nfs/dbraw/zinc/17/10/67/601171067.db2.gz PEUZTTLRCOPCAE-LBPRGKRZSA-N 0 2 321.377 0.099 20 0 DCADLN CN1CC[C@@H]([N@H+](C)Cn2nc(C(=O)[O-])c3ccccc32)C1=O ZINC000830923997 601401806 /nfs/dbraw/zinc/40/18/06/601401806.db2.gz YHAKXQFAUCHVAZ-GFCCVEGCSA-N 0 2 302.334 0.855 20 0 DCADLN CN1CC[C@@H]([N@@H+](C)Cn2nc(C(=O)[O-])c3ccccc32)C1=O ZINC000830923997 601401807 /nfs/dbraw/zinc/40/18/07/601401807.db2.gz YHAKXQFAUCHVAZ-GFCCVEGCSA-N 0 2 302.334 0.855 20 0 DCADLN CC[C@@H]1CN(S(=O)(=O)C[C@@H](C)C(=O)[O-])CC[N@@H+]1CCOC ZINC000829152642 601792619 /nfs/dbraw/zinc/79/26/19/601792619.db2.gz YCCIQMLVAXHQGS-VXGBXAGGSA-N 0 2 322.427 0.080 20 0 DCADLN CC[C@@H]1CN(S(=O)(=O)C[C@@H](C)C(=O)[O-])CC[N@H+]1CCOC ZINC000829152642 601792620 /nfs/dbraw/zinc/79/26/20/601792620.db2.gz YCCIQMLVAXHQGS-VXGBXAGGSA-N 0 2 322.427 0.080 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(S(=O)(=O)C[C@@H](C)C(=O)[O-])C2 ZINC000833007906 601794062 /nfs/dbraw/zinc/79/40/62/601794062.db2.gz USWOPHSCMQVQRE-MRVPVSSYSA-N 0 2 301.368 0.366 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)N2CCC(CCC(=O)[O-])CC2)C1 ZINC000316892102 601826430 /nfs/dbraw/zinc/82/64/30/601826430.db2.gz WMUFXYRQRWWIEI-ZDUSSCGKSA-N 0 2 313.398 0.603 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)N2CCC(CCC(=O)[O-])CC2)C1 ZINC000316892102 601826432 /nfs/dbraw/zinc/82/64/32/601826432.db2.gz WMUFXYRQRWWIEI-ZDUSSCGKSA-N 0 2 313.398 0.603 20 0 DCADLN CC(C)(C(N)=O)N1CC[NH+](Cc2ccc(C(=O)[O-])s2)CC1 ZINC000826126473 601961188 /nfs/dbraw/zinc/96/11/88/601961188.db2.gz CORKEDNVNFOSNZ-UHFFFAOYSA-N 0 2 311.407 0.828 20 0 DCADLN O=C([O-])Cn1ccc(NC(=O)NCc2ccn3cc[nH+]c3c2)n1 ZINC000833277569 602365971 /nfs/dbraw/zinc/36/59/71/602365971.db2.gz SUTICMADDXCBCB-UHFFFAOYSA-N 0 2 314.305 0.937 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)Nc2ccc(C(=O)[O-])c(F)c2)C1 ZINC000318850778 602379975 /nfs/dbraw/zinc/37/99/75/602379975.db2.gz CQQYFRRZNWQJDP-JTQLQIEISA-N 0 2 311.313 0.976 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)Nc2ccc(C(=O)[O-])c(F)c2)C1 ZINC000318850778 602379979 /nfs/dbraw/zinc/37/99/79/602379979.db2.gz CQQYFRRZNWQJDP-JTQLQIEISA-N 0 2 311.313 0.976 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)C[C@H]1CCCN1C(=O)[O-] ZINC000825734126 602841150 /nfs/dbraw/zinc/84/11/50/602841150.db2.gz KLMAOWUJUYAKRU-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)C[C@H]1CCCN1C(=O)[O-] ZINC000825734126 602841151 /nfs/dbraw/zinc/84/11/51/602841151.db2.gz KLMAOWUJUYAKRU-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@H](NC(=O)CN(CC1CC1)C(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000825115202 602863156 /nfs/dbraw/zinc/86/31/56/602863156.db2.gz JKCIJKDSXMQDJI-RYUDHWBXSA-N 0 2 313.398 0.602 20 0 DCADLN CC(C)(CNC(=O)C[C@H]1CCCN1C(=O)[O-])[NH+]1CCOCC1 ZINC000823906465 603232522 /nfs/dbraw/zinc/23/25/22/603232522.db2.gz CYFDUSYGIFSLQB-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@H](CNC(=O)N[C@@H]1CCCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000736594735 603249539 /nfs/dbraw/zinc/24/95/39/603249539.db2.gz CIIWWMXVPKYTLW-NWDGAFQWSA-N 0 2 314.386 0.149 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)NCc1cccn1C ZINC000828315791 603442751 /nfs/dbraw/zinc/44/27/51/603442751.db2.gz FFFIACAVDDNDKB-UHFFFAOYSA-N 0 2 323.397 0.462 20 0 DCADLN O=C([O-])NCC[NH+]1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000832642406 603453489 /nfs/dbraw/zinc/45/34/89/603453489.db2.gz YIXJPAPHRUHHBN-UHFFFAOYSA-N 0 2 312.414 0.274 20 0 DCADLN C[N@H+](CC(=O)Nc1ccccc1C(N)=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000828120241 603538016 /nfs/dbraw/zinc/53/80/16/603538016.db2.gz HECLSFKAWDDWSF-JTQLQIEISA-N 0 2 320.349 0.408 20 0 DCADLN C[N@@H+](CC(=O)Nc1ccccc1C(N)=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000828120241 603538019 /nfs/dbraw/zinc/53/80/19/603538019.db2.gz HECLSFKAWDDWSF-JTQLQIEISA-N 0 2 320.349 0.408 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)[C@H]2CC[C@H](NC(=O)[O-])C2)CCO1 ZINC000825733408 603545622 /nfs/dbraw/zinc/54/56/22/603545622.db2.gz BBYOBTNYHMHSDI-RYUDHWBXSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)[C@H]2CC[C@H](NC(=O)[O-])C2)CCO1 ZINC000825733408 603545627 /nfs/dbraw/zinc/54/56/27/603545627.db2.gz BBYOBTNYHMHSDI-RYUDHWBXSA-N 0 2 313.398 0.650 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)NCc1ccn(C)c1 ZINC000828316091 603706428 /nfs/dbraw/zinc/70/64/28/603706428.db2.gz JNVOMPSBBXUANH-UHFFFAOYSA-N 0 2 323.397 0.462 20 0 DCADLN COCC(C)(C)NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000828886895 603760829 /nfs/dbraw/zinc/76/08/29/603760829.db2.gz XZBZINYUAQCMJS-UHFFFAOYSA-N 0 2 302.375 0.006 20 0 DCADLN CNC(=O)Cc1nc(C[N@@H+]2CC[C@@H](N(C)C(=O)[O-])C2)cs1 ZINC000828547029 603799485 /nfs/dbraw/zinc/79/94/85/603799485.db2.gz JJEVWMZTGRRZDP-SNVBAGLBSA-N 0 2 312.395 0.616 20 0 DCADLN CNC(=O)Cc1nc(C[N@H+]2CC[C@@H](N(C)C(=O)[O-])C2)cs1 ZINC000828547029 603799492 /nfs/dbraw/zinc/79/94/92/603799492.db2.gz JJEVWMZTGRRZDP-SNVBAGLBSA-N 0 2 312.395 0.616 20 0 DCADLN C[C@H]([C@H](C)NC(=O)[C@]1(F)CCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000825077082 603882039 /nfs/dbraw/zinc/88/20/39/603882039.db2.gz VRJTWOXVMYJUGS-WDMOLILDSA-N 0 2 317.361 0.304 20 0 DCADLN CCOCC[NH+]1CCN(C(=O)[C@@]2(F)CCN(C(=O)[O-])C2)CC1 ZINC000827399297 603890383 /nfs/dbraw/zinc/89/03/83/603890383.db2.gz CVPAMJAWPALFJY-CQSZACIVSA-N 0 2 317.361 0.259 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CCCN(C(=O)NCCn2cc[nH+]c2)C1 ZINC000825229023 604038302 /nfs/dbraw/zinc/03/83/02/604038302.db2.gz OSZUCCUNHJLWAH-NWDGAFQWSA-N 0 2 309.370 0.961 20 0 DCADLN O=C([O-])N1CCO[C@@H](CC(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000831388986 604305844 /nfs/dbraw/zinc/30/58/44/604305844.db2.gz YCZKLTNTYPADMH-LBPRGKRZSA-N 0 2 318.333 0.719 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3n[nH]cc3c2)[C@H](CNC(=O)[O-])C1 ZINC000828513231 604395114 /nfs/dbraw/zinc/39/51/14/604395114.db2.gz HOGAZTGGUAJMHL-GFCCVEGCSA-N 0 2 317.349 0.587 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3n[nH]cc3c2)[C@H](CNC(=O)[O-])C1 ZINC000828513231 604395116 /nfs/dbraw/zinc/39/51/16/604395116.db2.gz HOGAZTGGUAJMHL-GFCCVEGCSA-N 0 2 317.349 0.587 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2CC23CCOCC3)[C@@H](CNC(=O)[O-])C1 ZINC000828496411 604407579 /nfs/dbraw/zinc/40/75/79/604407579.db2.gz VNPRIOIDSFHSAX-NWDGAFQWSA-N 0 2 311.382 0.213 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2CC23CCOCC3)[C@@H](CNC(=O)[O-])C1 ZINC000828496411 604407580 /nfs/dbraw/zinc/40/75/80/604407580.db2.gz VNPRIOIDSFHSAX-NWDGAFQWSA-N 0 2 311.382 0.213 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[NH+]1CCC([C@H](O)C(F)(F)F)CC1 ZINC000826001174 604498924 /nfs/dbraw/zinc/49/89/24/604498924.db2.gz SZXOMJVSBJMDKU-XCBNKYQSSA-N 0 2 312.288 0.211 20 0 DCADLN O=C([O-])N1CC(NC(=O)N2CC[NH+](Cc3cccs3)CC2)C1 ZINC000831847241 604606191 /nfs/dbraw/zinc/60/61/91/604606191.db2.gz QGTRNEPHHYMMAE-UHFFFAOYSA-N 0 2 324.406 0.938 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC000831688705 604754267 /nfs/dbraw/zinc/75/42/67/604754267.db2.gz FMSSURRZUMWKGR-CQSZACIVSA-N 0 2 319.361 0.497 20 0 DCADLN O=C(C[C@@H]1CN(C(=O)[O-])CCO1)N[C@@H]1CCc2[nH+]ccn2C1 ZINC000831380521 604780836 /nfs/dbraw/zinc/78/08/36/604780836.db2.gz DTKCQAWUWPWZRW-GHMZBOCLSA-N 0 2 308.338 0.083 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833588486 604801585 /nfs/dbraw/zinc/80/15/85/604801585.db2.gz PUKNDLZADIVIIZ-IUCAKERBSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])co1 ZINC000833588486 604801590 /nfs/dbraw/zinc/80/15/90/604801590.db2.gz PUKNDLZADIVIIZ-IUCAKERBSA-N 0 2 302.352 0.691 20 0 DCADLN CC(C)(C(=O)[O-])[C@@H]1CCC[N@H+](Cn2nc3cnccn3c2=O)C1 ZINC000833419107 604862880 /nfs/dbraw/zinc/86/28/80/604862880.db2.gz FUFJPECTYLDSAY-LLVKDONJSA-N 0 2 319.365 0.671 20 0 DCADLN CC(C)(C(=O)[O-])[C@@H]1CCC[N@@H+](Cn2nc3cnccn3c2=O)C1 ZINC000833419107 604862881 /nfs/dbraw/zinc/86/28/81/604862881.db2.gz FUFJPECTYLDSAY-LLVKDONJSA-N 0 2 319.365 0.671 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000238130221 604895143 /nfs/dbraw/zinc/89/51/43/604895143.db2.gz CTNVRRRUYGZBDB-VIFPVBQESA-N 0 2 312.326 0.046 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000238130221 604895147 /nfs/dbraw/zinc/89/51/47/604895147.db2.gz CTNVRRRUYGZBDB-VIFPVBQESA-N 0 2 312.326 0.046 20 0 DCADLN CC(C)(C)N1C[C@@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1=O ZINC000833431297 605080347 /nfs/dbraw/zinc/08/03/47/605080347.db2.gz LLNRFXPZQHLULB-ONGXEEELSA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)(C)N1C[C@@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1=O ZINC000833431297 605080352 /nfs/dbraw/zinc/08/03/52/605080352.db2.gz LLNRFXPZQHLULB-ONGXEEELSA-N 0 2 322.365 0.169 20 0 DCADLN CCN(CC)C(=O)C[NH+]1CCN(Cc2ccc(C(=O)[O-])[nH]2)CC1 ZINC000833654019 605104512 /nfs/dbraw/zinc/10/45/12/605104512.db2.gz VSXMNEFAUWGBCF-UHFFFAOYSA-N 0 2 322.409 0.699 20 0 DCADLN C[C@@H]1[C@H](C)OCCN1C(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000826127825 605166734 /nfs/dbraw/zinc/16/67/34/605166734.db2.gz XYSUHCUUBNMKBM-NEPJUHHUSA-N 0 2 313.398 0.696 20 0 DCADLN O=C(C[C@H]1CN(C(=O)[O-])CCO1)NCCNc1cccc[nH+]1 ZINC000831384076 605255429 /nfs/dbraw/zinc/25/54/29/605255429.db2.gz ZXHHKXVWXCFBLM-NSHDSACASA-N 0 2 308.338 0.379 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000824210094 605290704 /nfs/dbraw/zinc/29/07/04/605290704.db2.gz AVZLWXJWUXGCSA-QWHCGFSZSA-N 0 2 313.398 0.602 20 0 DCADLN Cc1ccncc1/C=C\C(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000833909631 605649200 /nfs/dbraw/zinc/64/92/00/605649200.db2.gz FBMAENJMVYUNBB-IHWYPQMZSA-N 0 2 318.377 0.815 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000736413917 605751302 /nfs/dbraw/zinc/75/13/02/605751302.db2.gz DWVCWVBVGKISSH-STQMWFEESA-N 0 2 313.398 0.602 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)C1 ZINC000834068403 605770275 /nfs/dbraw/zinc/77/02/75/605770275.db2.gz VENRZWHTBKKEQS-LBPRGKRZSA-N 0 2 307.354 0.839 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@H](C)NC(=O)[O-])C2)o1 ZINC000830624419 605812564 /nfs/dbraw/zinc/81/25/64/605812564.db2.gz ILQJGZORBWWTJQ-WDEREUQCSA-N 0 2 310.354 0.672 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@H](C)NC(=O)[O-])C2)o1 ZINC000830624419 605812567 /nfs/dbraw/zinc/81/25/67/605812567.db2.gz ILQJGZORBWWTJQ-WDEREUQCSA-N 0 2 310.354 0.672 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN(Cc2cc(C(=O)[O-])ccc2F)CC1 ZINC000833418433 605837566 /nfs/dbraw/zinc/83/75/66/605837566.db2.gz HDITUGIVKJBSNO-UHFFFAOYSA-N 0 2 323.368 0.905 20 0 DCADLN O=C([O-])N1CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)CC1 ZINC000834056346 605928710 /nfs/dbraw/zinc/92/87/10/605928710.db2.gz NAFCIQNGRAYSGQ-UHFFFAOYSA-N 0 2 317.305 0.095 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](CC2CCS(=O)(=O)CC2)CCN1C(=O)[O-] ZINC000833825519 606035514 /nfs/dbraw/zinc/03/55/14/606035514.db2.gz BTKSNRPUEBSPEK-QWRGUYRKSA-N 0 2 304.412 0.884 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](CC2CCS(=O)(=O)CC2)CCN1C(=O)[O-] ZINC000833825519 606035519 /nfs/dbraw/zinc/03/55/19/606035519.db2.gz BTKSNRPUEBSPEK-QWRGUYRKSA-N 0 2 304.412 0.884 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](CCn2nc3ccccn3c2=O)CCN1C(=O)[O-] ZINC000833826905 606040361 /nfs/dbraw/zinc/04/03/61/606040361.db2.gz IYNGYTJQIQTKNA-NWDGAFQWSA-N 0 2 319.365 0.569 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](CCn2nc3ccccn3c2=O)CCN1C(=O)[O-] ZINC000833826905 606040364 /nfs/dbraw/zinc/04/03/64/606040364.db2.gz IYNGYTJQIQTKNA-NWDGAFQWSA-N 0 2 319.365 0.569 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc(-c2nn[nH]n2)cs1 ZINC000823196316 606585924 /nfs/dbraw/zinc/58/59/24/606585924.db2.gz DWIOTNGQBBHOEK-UHFFFAOYSA-N 0 2 320.338 0.115 20 0 DCADLN Cc1csc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)n1 ZINC000822414843 606706902 /nfs/dbraw/zinc/70/69/02/606706902.db2.gz WSSYATOTUZFVGU-UHFFFAOYSA-N 0 2 303.351 0.853 20 0 DCADLN CCC[C@H](NC(=O)c1ccc(-c2nn[nH]n2)s1)c1nn[nH]n1 ZINC000821023134 606912385 /nfs/dbraw/zinc/91/23/85/606912385.db2.gz XJNHETGCRDCOQP-LURJTMIESA-N 0 2 319.354 0.713 20 0 DCADLN O=c1nc(Cn2ccnc2-c2nn[nH]n2)[nH]c2ccsc21 ZINC000826500749 608013901 /nfs/dbraw/zinc/01/39/01/608013901.db2.gz KUGIAMYXNITILX-UHFFFAOYSA-N 0 2 300.307 0.822 20 0 DCADLN O=C([O-])[C@]1(NC(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CCOC1 ZINC000909378514 660415782 /nfs/dbraw/zinc/41/57/82/660415782.db2.gz GIISYVXJOKLEIK-INIZCTEOSA-N 0 2 315.329 0.775 20 0 DCADLN Cn1cccc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027760455 660701914 /nfs/dbraw/zinc/70/19/14/660701914.db2.gz WWOVLMQOMWLKLC-SNVBAGLBSA-N 0 2 304.354 0.243 20 0 DCADLN Cn1cccc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027760455 660701916 /nfs/dbraw/zinc/70/19/16/660701916.db2.gz WWOVLMQOMWLKLC-SNVBAGLBSA-N 0 2 304.354 0.243 20 0 DCADLN C[C@@H]1CC[C@@H](CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000950023481 660787474 /nfs/dbraw/zinc/78/74/74/660787474.db2.gz SNHOUSTXHUCOFU-MNOVXSKESA-N 0 2 309.370 0.112 20 0 DCADLN Cc1nc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001027841957 660823537 /nfs/dbraw/zinc/82/35/37/660823537.db2.gz AIVGOJXIBXOFDT-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOCC1 ZINC001027852746 660842911 /nfs/dbraw/zinc/84/29/11/660842911.db2.gz NDXHPTFGWONLLM-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOCC1 ZINC001027852746 660842913 /nfs/dbraw/zinc/84/29/13/660842913.db2.gz NDXHPTFGWONLLM-VXGBXAGGSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001027973575 661006174 /nfs/dbraw/zinc/00/61/74/661006174.db2.gz UIJMOBONGOHSLL-NSHDSACASA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001027973575 661006175 /nfs/dbraw/zinc/00/61/75/661006175.db2.gz UIJMOBONGOHSLL-NSHDSACASA-N 0 2 318.381 0.850 20 0 DCADLN Cc1noc([C@H](C)N2CCC[C@@H]2CNC(=O)c2cnn[nH]2)n1 ZINC001027990905 661025306 /nfs/dbraw/zinc/02/53/06/661025306.db2.gz RQVUEJBBXGZRLS-WCBMZHEXSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@H](C)[N@@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990905 661025308 /nfs/dbraw/zinc/02/53/08/661025308.db2.gz RQVUEJBBXGZRLS-WCBMZHEXSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@H](C)[N@H+]2CCC[C@@H]2CNC(=O)c2cnn[n-]2)n1 ZINC001027990905 661025309 /nfs/dbraw/zinc/02/53/09/661025309.db2.gz RQVUEJBBXGZRLS-WCBMZHEXSA-N 0 2 305.342 0.452 20 0 DCADLN Cc1[nH]ccc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980932986 661047374 /nfs/dbraw/zinc/04/73/74/661047374.db2.gz QLEUXOMKJBSNMG-UHFFFAOYSA-N 0 2 304.354 0.495 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCCN([C@H]2CCNC2=O)CC1 ZINC000981209468 661103562 /nfs/dbraw/zinc/10/35/62/661103562.db2.gz IGRSHJQOYKUGQX-DTWKUNHWSA-N 0 2 311.279 0.310 20 0 DCADLN Cc1cocc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028080242 661130388 /nfs/dbraw/zinc/13/03/88/661130388.db2.gz UWPAYMYCDBRGBE-JTQLQIEISA-N 0 2 305.338 0.806 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCN(c3cccc[nH+]3)CC2)no1 ZINC000317856757 661140878 /nfs/dbraw/zinc/14/08/78/661140878.db2.gz PQSSMGLFVGQAFO-UHFFFAOYSA-N 0 2 302.290 0.730 20 0 DCADLN CNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](C(C)C)[NH+](C)C)CC1 ZINC000981390540 661144690 /nfs/dbraw/zinc/14/46/90/661144690.db2.gz IKDCATYJTZDABV-KBPBESRZSA-N 0 2 312.458 0.241 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001028090606 661145857 /nfs/dbraw/zinc/14/58/57/661145857.db2.gz CGZQKODRMSEDKD-QCNOEVLYSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001028090606 661145859 /nfs/dbraw/zinc/14/58/59/661145859.db2.gz CGZQKODRMSEDKD-QCNOEVLYSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(CCc1ccon1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981618113 661181086 /nfs/dbraw/zinc/18/10/86/661181086.db2.gz ZQCGQPDSPJFAGD-UHFFFAOYSA-N 0 2 320.353 0.165 20 0 DCADLN CC[C@@H](C)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981627061 661183091 /nfs/dbraw/zinc/18/30/91/661183091.db2.gz BEYDPWAWHHJXDS-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN CC[C@@H](C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981627061 661183095 /nfs/dbraw/zinc/18/30/95/661183095.db2.gz BEYDPWAWHHJXDS-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN C[C@H]1OCC[C@@]1(C)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981677593 661192141 /nfs/dbraw/zinc/19/21/41/661192141.db2.gz YWRFHLUWIDNKPV-IAQYHMDHSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@@H]1CCCO1 ZINC000981914085 661246429 /nfs/dbraw/zinc/24/64/29/661246429.db2.gz YWVXYJYTVHOAHU-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1ncsc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038077591 665450370 /nfs/dbraw/zinc/45/03/70/665450370.db2.gz PQNNWZHCLHVVNZ-MRVPVSSYSA-N 0 2 308.367 0.280 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@H]1C[C@@H](NCc2ncccn2)C1 ZINC001023091434 657497850 /nfs/dbraw/zinc/49/78/50/657497850.db2.gz ZYYPQKRBUXXINP-BETUJISGSA-N 0 2 300.366 0.419 20 0 DCADLN O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)C(F)C(F)(F)F ZINC000890535737 657610762 /nfs/dbraw/zinc/61/07/62/657610762.db2.gz UKHANFRNGFYXND-RCOVLWMOSA-N 0 2 308.235 0.778 20 0 DCADLN O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)[C@H](F)C(F)(F)F ZINC000890535737 657610764 /nfs/dbraw/zinc/61/07/64/657610764.db2.gz UKHANFRNGFYXND-RCOVLWMOSA-N 0 2 308.235 0.778 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)N=c1nn[n-]n1Cc1ccccc1 ZINC000892402171 658261839 /nfs/dbraw/zinc/26/18/39/658261839.db2.gz MXHMTBDGDNTTHN-AWEZNQCLSA-N 0 2 315.425 0.191 20 0 DCADLN O=C(Cn1cccn1)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000939432593 665577887 /nfs/dbraw/zinc/57/78/87/665577887.db2.gz NFRULXQPGYOOCV-PSASIEDQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000939432593 665577889 /nfs/dbraw/zinc/57/78/89/665577889.db2.gz NFRULXQPGYOOCV-PSASIEDQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(N[C@H]1C[C@H]([NH2+]Cc2csc(Cl)n2)C1)c1ncn[nH]1 ZINC001020773636 658454628 /nfs/dbraw/zinc/45/46/28/658454628.db2.gz ILEPXQLWILAZSI-LJGSYFOKSA-N 0 2 312.786 0.965 20 0 DCADLN O=C(N[C@H]1C[C@H]([NH2+]Cc2csc(Cl)n2)C1)c1nc[nH]n1 ZINC001020773636 658454632 /nfs/dbraw/zinc/45/46/32/658454632.db2.gz ILEPXQLWILAZSI-LJGSYFOKSA-N 0 2 312.786 0.965 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCCO1 ZINC001024314645 658566664 /nfs/dbraw/zinc/56/66/64/658566664.db2.gz KVGSHYYEEHOTDS-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN CC[C@@H](OC)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010612805 658626099 /nfs/dbraw/zinc/62/60/99/658626099.db2.gz NWVHXGZFTQCGGB-RKDXNWHRSA-N 0 2 314.279 0.983 20 0 DCADLN CC[C@@H](OC)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010612805 658626102 /nfs/dbraw/zinc/62/61/02/658626102.db2.gz NWVHXGZFTQCGGB-RKDXNWHRSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1CCCO)[C@@H]1CCc2[nH+]ccn2C1 ZINC001024515605 658674636 /nfs/dbraw/zinc/67/46/36/658674636.db2.gz WTOIUGTZSYIBQF-HUUCEWRRSA-N 0 2 320.437 0.799 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970185462 658709208 /nfs/dbraw/zinc/70/92/08/658709208.db2.gz RHTIVPQLHKPWCS-WCQGTBRESA-N 0 2 323.397 0.262 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CC[C@H]3CO)=N2)cc1 ZINC000889140485 658790168 /nfs/dbraw/zinc/79/01/68/658790168.db2.gz OFFRIABQLXEURI-NSHDSACASA-N 0 2 303.318 0.793 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@@H](NC(=O)c2cn[nH]c2)C1 ZINC000973903886 659022969 /nfs/dbraw/zinc/02/29/69/659022969.db2.gz LDRRNLOBVITFOZ-PHIMTYICSA-N 0 2 302.338 0.061 20 0 DCADLN Cc1cc(C[NH2+]C[C@@H]2CCN(C(=O)Cc3c[nH+]cn3C)C2)on1 ZINC001027170897 659057373 /nfs/dbraw/zinc/05/73/73/659057373.db2.gz QEKZUIVPCJSCLX-ZDUSSCGKSA-N 0 2 317.393 0.897 20 0 DCADLN O=C(N[C@@H]1CC[C@@H](C[NH2+]Cc2csnn2)C1)c1cn[nH]n1 ZINC000995002172 665631631 /nfs/dbraw/zinc/63/16/31/665631631.db2.gz BRWLGFAJNIQSPB-RKDXNWHRSA-N 0 2 307.383 0.345 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H]([N@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001027318882 659229547 /nfs/dbraw/zinc/22/95/47/659229547.db2.gz YWCSQNNSQFIBSO-SNVBAGLBSA-N 0 2 319.369 0.278 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H]([N@@H+](C)Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001027318882 659229548 /nfs/dbraw/zinc/22/95/48/659229548.db2.gz YWCSQNNSQFIBSO-SNVBAGLBSA-N 0 2 319.369 0.278 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H]([N@H+](C)Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001027318882 659229551 /nfs/dbraw/zinc/22/95/51/659229551.db2.gz YWCSQNNSQFIBSO-SNVBAGLBSA-N 0 2 319.369 0.278 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H]([N@@H+](C)Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001027318882 659229552 /nfs/dbraw/zinc/22/95/52/659229552.db2.gz YWCSQNNSQFIBSO-SNVBAGLBSA-N 0 2 319.369 0.278 20 0 DCADLN Cc1ncc(C(=O)N2CCC[C@H]([N@H+](C)[C@H]3CCNC3=O)C2)[nH]1 ZINC001027334366 659272225 /nfs/dbraw/zinc/27/22/25/659272225.db2.gz MUEVGSSOAFIRQE-AAEUAGOBSA-N 0 2 305.382 0.143 20 0 DCADLN Cc1nc(CN(C)[C@@H]2CCCN(C(=O)[C@@H]3CCC[N@@H+]3C)C2)n[nH]1 ZINC001027334494 659273420 /nfs/dbraw/zinc/27/34/20/659273420.db2.gz OWBJEOZYKVYGKG-KGLIPLIRSA-N 0 2 320.441 0.630 20 0 DCADLN CCN(C(=O)c1coc(C)n1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949948700 659333771 /nfs/dbraw/zinc/33/37/71/659333771.db2.gz VRQYEOFHIDWUBN-UHFFFAOYSA-N 0 2 306.326 0.153 20 0 DCADLN CCN(C(=O)[C@H]1CCCO[C@H]1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949965794 659416250 /nfs/dbraw/zinc/41/62/50/659416250.db2.gz CJSFHNGIMIRKSW-JQWIXIFHSA-N 0 2 323.397 0.358 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@H]1CC1(F)F ZINC000974555483 659570875 /nfs/dbraw/zinc/57/08/75/659570875.db2.gz JSWBHEBVURYTGO-JGVFFNPUSA-N 0 2 315.324 0.492 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001031869698 665701418 /nfs/dbraw/zinc/70/14/18/665701418.db2.gz YCENNTHPNUQAPB-XYYAHUGASA-N 0 2 319.409 0.885 20 0 DCADLN Cn1cc(Cl)cc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949196358 661376609 /nfs/dbraw/zinc/37/66/09/661376609.db2.gz ZUHHRDIUWSJIOE-UHFFFAOYSA-N 0 2 324.772 0.460 20 0 DCADLN Cc1noc(C[N@@H+]2CC[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)n1 ZINC001028485670 661555052 /nfs/dbraw/zinc/55/50/52/661555052.db2.gz UCVYOUYNEFSJFP-JTQLQIEISA-N 0 2 320.353 0.367 20 0 DCADLN Cc1noc(C[N@H+]2CC[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)n1 ZINC001028485670 661555055 /nfs/dbraw/zinc/55/50/55/661555055.db2.gz UCVYOUYNEFSJFP-JTQLQIEISA-N 0 2 320.353 0.367 20 0 DCADLN Cn1c(Cl)cnc1C[N@@H+]1CC[C@@H](CNC(=O)c2cnn[n-]2)C1 ZINC001028650015 661721375 /nfs/dbraw/zinc/72/13/75/661721375.db2.gz PUXJIBAWSFETAV-VIFPVBQESA-N 0 2 323.788 0.444 20 0 DCADLN Cn1c(Cl)cnc1C[N@H+]1CC[C@@H](CNC(=O)c2cnn[n-]2)C1 ZINC001028650015 661721377 /nfs/dbraw/zinc/72/13/77/661721377.db2.gz PUXJIBAWSFETAV-VIFPVBQESA-N 0 2 323.788 0.444 20 0 DCADLN Cn1ccc([C@@H]2CCCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)n1 ZINC000928194957 661842087 /nfs/dbraw/zinc/84/20/87/661842087.db2.gz ZVODBTDJOZLRIE-KOLCDFICSA-N 0 2 321.406 0.683 20 0 DCADLN Cc1[nH]ccc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029263981 662072570 /nfs/dbraw/zinc/07/25/70/662072570.db2.gz MLUWDWSIRDRSOU-PHIMTYICSA-N 0 2 316.365 0.636 20 0 DCADLN CO[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001029457398 662220326 /nfs/dbraw/zinc/22/03/26/662220326.db2.gz KMOPNKIXQDUDPS-LOWVWBTDSA-N 0 2 323.397 0.357 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N(C)[C@H]1CCC[N@H+](C)C1 ZINC000896633312 662301349 /nfs/dbraw/zinc/30/13/49/662301349.db2.gz SPQVQUNSWJMLBZ-CABCVRRESA-N 0 2 312.458 0.833 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)[C@H]1CCCOC1 ZINC000909539327 662330070 /nfs/dbraw/zinc/33/00/70/662330070.db2.gz PNPWIIWOFXNZMM-MDZLAQPJSA-N 0 2 307.350 0.442 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000485650 665858079 /nfs/dbraw/zinc/85/80/79/665858079.db2.gz ZVXJTPMAWIXESB-RYUDHWBXSA-N 0 2 321.381 0.184 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000485650 665858080 /nfs/dbraw/zinc/85/80/80/665858080.db2.gz ZVXJTPMAWIXESB-RYUDHWBXSA-N 0 2 321.381 0.184 20 0 DCADLN Cc1nc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(C)s1 ZINC000751713581 662480141 /nfs/dbraw/zinc/48/01/41/662480141.db2.gz MBNLGPWQYGBIPQ-UHFFFAOYSA-N 0 2 319.346 0.888 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([NH2+]Cc3nncs3)[C@@H]2C)c1[O-] ZINC000986157876 662562620 /nfs/dbraw/zinc/56/26/20/662562620.db2.gz JLYHTSYNEVDZIC-IUCAKERBSA-N 0 2 322.394 0.668 20 0 DCADLN CC1(C)C[C@@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000592308 665881735 /nfs/dbraw/zinc/88/17/35/665881735.db2.gz KUVCORKNDIGXCT-LLVKDONJSA-N 0 2 305.382 0.805 20 0 DCADLN CC1(C)C[C@@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000592308 665881736 /nfs/dbraw/zinc/88/17/36/665881736.db2.gz KUVCORKNDIGXCT-LLVKDONJSA-N 0 2 305.382 0.805 20 0 DCADLN O=C([O-])[C@@H](CC(F)(F)F)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000909669206 662642714 /nfs/dbraw/zinc/64/27/14/662642714.db2.gz PXOOKHPANCXJKW-HTQZYQBOSA-N 0 2 305.256 0.967 20 0 DCADLN Cc1nc(C[NH2+][C@@H]2C[C@H](C)N(C(=O)CCc3c[nH]nn3)C2)co1 ZINC000989461143 662946484 /nfs/dbraw/zinc/94/64/84/662946484.db2.gz JEKPYQQCGQEARP-GXFFZTMASA-N 0 2 318.381 0.813 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2CCC([NH2+]Cc3cscn3)CC2)C1 ZINC000990572148 663067756 /nfs/dbraw/zinc/06/77/56/663067756.db2.gz YRSOVAPEUBVBOJ-AWEZNQCLSA-N 0 2 324.450 0.554 20 0 DCADLN O=C(Cc1cc[nH]n1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990596806 663071184 /nfs/dbraw/zinc/07/11/84/663071184.db2.gz IKXSNFYCGJLRQJ-VIFPVBQESA-N 0 2 308.235 0.180 20 0 DCADLN O=C(Cc1cc[nH]n1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990596806 663071185 /nfs/dbraw/zinc/07/11/85/663071185.db2.gz IKXSNFYCGJLRQJ-VIFPVBQESA-N 0 2 308.235 0.180 20 0 DCADLN COCc1nc(C)c(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)s1 ZINC000899033111 663119666 /nfs/dbraw/zinc/11/96/66/663119666.db2.gz MELNCYNYTFZCMX-SSDOTTSWSA-N 0 2 312.351 0.525 20 0 DCADLN CCCCn1cc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c(C)n1 ZINC000899035923 663119911 /nfs/dbraw/zinc/11/99/11/663119911.db2.gz PSMDRMGUKZVNHX-SNVBAGLBSA-N 0 2 307.354 0.919 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2nc3cccnc3s2)C(=O)N1C ZINC000899035395 663119944 /nfs/dbraw/zinc/11/99/44/663119944.db2.gz LLTJGTOSFYWWPF-ZCFIWIBFSA-N 0 2 305.319 0.619 20 0 DCADLN CC(C)N(C)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000346550315 663208103 /nfs/dbraw/zinc/20/81/03/663208103.db2.gz GCFPHWOQWCUJMY-VIFPVBQESA-N 0 2 303.388 0.275 20 0 DCADLN C[C@H](CNC(=O)[C@H]1CO[C@@H](CCC(=O)[O-])C1)Cn1cc[nH+]c1 ZINC000901876690 663371689 /nfs/dbraw/zinc/37/16/89/663371689.db2.gz VJIWIBIUTUQZDM-UPJWGTAASA-N 0 2 309.366 0.905 20 0 DCADLN CCn1nccc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991003556 663413931 /nfs/dbraw/zinc/41/39/31/663413931.db2.gz BEQIFKFQDSMQSS-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1nccc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000991003556 663413932 /nfs/dbraw/zinc/41/39/32/663413932.db2.gz BEQIFKFQDSMQSS-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCN1C(=O)CN(CN2CCOC[C@H]2C[C@H]2CCCO2)C1=O ZINC000902612317 663422151 /nfs/dbraw/zinc/42/21/51/663422151.db2.gz YNYGZJSBNDIZOE-CHWSQXEVSA-N 0 2 311.382 0.498 20 0 DCADLN NC(=NOC[C@H]1CNC(=O)C1)[C@@H]1CCC(=O)N(CC2CCC2)C1 ZINC000902613427 663422162 /nfs/dbraw/zinc/42/21/62/663422162.db2.gz NSTKCNWABKZSOF-CHWSQXEVSA-N 0 2 322.409 0.660 20 0 DCADLN O=C([O-])COCC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000902713126 663429145 /nfs/dbraw/zinc/42/91/45/663429145.db2.gz UCZZGVCNUJNGAP-ZDUSSCGKSA-N 0 2 303.318 0.842 20 0 DCADLN Cc1c(S(=O)(=O)Nc2c3nncn3ccc2C)cnn1C ZINC000903129204 663447405 /nfs/dbraw/zinc/44/74/05/663447405.db2.gz SARSDSOWPDOCJO-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN O=C([O-])c1cccc(S(=O)(=O)NCC[NH+]2CCC2)c1F ZINC000906904739 663650081 /nfs/dbraw/zinc/65/00/81/663650081.db2.gz WWFZTPLRYRBGSD-UHFFFAOYSA-N 0 2 302.327 0.508 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000908773153 663768089 /nfs/dbraw/zinc/76/80/89/663768089.db2.gz ITIAFFKHBCPNFN-LLVKDONJSA-N 0 2 310.329 0.904 20 0 DCADLN CSC[C@@H](NC(=O)NCCCCn1cc[nH+]c1)C(=O)[O-] ZINC000908862914 663772555 /nfs/dbraw/zinc/77/25/55/663772555.db2.gz URAHILAIBFVLNO-SNVBAGLBSA-N 0 2 300.384 0.779 20 0 DCADLN C/C=C/C[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936432 663775149 /nfs/dbraw/zinc/77/51/49/663775149.db2.gz LBHGRPUQIFADDT-ITKZLYELSA-N 0 2 313.398 0.816 20 0 DCADLN C/C=C/C[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936432 663775150 /nfs/dbraw/zinc/77/51/50/663775150.db2.gz LBHGRPUQIFADDT-ITKZLYELSA-N 0 2 313.398 0.816 20 0 DCADLN O=C([O-])[C@@H](NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)C1CC1 ZINC000909082716 663780556 /nfs/dbraw/zinc/78/05/56/663780556.db2.gz PGHAOICRQCJSHX-YPMHNXCESA-N 0 2 304.350 0.823 20 0 DCADLN CO[C@@H]1CCC[C@@H]([C@@H](NC(=O)Cc2c[nH+]cn2C)C(=O)[O-])C1 ZINC000909910341 663820316 /nfs/dbraw/zinc/82/03/16/663820316.db2.gz YOIFHIUGZNYVHS-MPKXVKKWSA-N 0 2 309.366 0.737 20 0 DCADLN O=C([O-])[C@@H](NC(=O)c1cccc2[nH+]ccn21)C1CCOCC1 ZINC000910015573 663835923 /nfs/dbraw/zinc/83/59/23/663835923.db2.gz LUEKXEDFWZFNTD-ZDUSSCGKSA-N 0 2 303.318 0.944 20 0 DCADLN O=C([O-])CC1(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)CCOCC1 ZINC000910119544 663847471 /nfs/dbraw/zinc/84/74/71/663847471.db2.gz BVEYOIIGVKPDPQ-LBPRGKRZSA-N 0 2 321.377 0.833 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CC4CC3(C(=O)[O-])C4)nc[nH+]2)C1 ZINC000910463089 663887371 /nfs/dbraw/zinc/88/73/71/663887371.db2.gz MXOQQJYUKXUVRV-QZCIIZHISA-N 0 2 318.377 0.737 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N3CC4CC3(C(=O)[O-])C4)[nH+]cn2)C1 ZINC000910463089 663887373 /nfs/dbraw/zinc/88/73/73/663887373.db2.gz MXOQQJYUKXUVRV-QZCIIZHISA-N 0 2 318.377 0.737 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)s1 ZINC000910817140 663941365 /nfs/dbraw/zinc/94/13/65/663941365.db2.gz SQDONXIDQQYSGG-QMMMGPOBSA-N 0 2 306.347 0.917 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2CCc3ncsc3C2)S1 ZINC000910833441 663943080 /nfs/dbraw/zinc/94/30/80/663943080.db2.gz TVXARDMQWWVANS-RCOVLWMOSA-N 0 2 310.404 0.673 20 0 DCADLN C[C@@H]1C[N@H+](CCO)[C@H](C)CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000910931294 663953986 /nfs/dbraw/zinc/95/39/86/663953986.db2.gz ODZYPVTVWOJIHZ-GHMZBOCLSA-N 0 2 303.366 0.485 20 0 DCADLN C[C@@H]1C[N@H+](CCO)[C@@H](C)CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000910931297 663954212 /nfs/dbraw/zinc/95/42/12/663954212.db2.gz ODZYPVTVWOJIHZ-WDEREUQCSA-N 0 2 303.366 0.485 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)cn1 ZINC000910980172 663964558 /nfs/dbraw/zinc/96/45/58/663964558.db2.gz QFTUIKDRONTPHS-NSHDSACASA-N 0 2 300.318 0.855 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)cn1 ZINC000910980171 663964696 /nfs/dbraw/zinc/96/46/96/663964696.db2.gz QFTUIKDRONTPHS-LLVKDONJSA-N 0 2 300.318 0.855 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cncc(C(=O)[O-])c1 ZINC000910987353 663965732 /nfs/dbraw/zinc/96/57/32/663965732.db2.gz LFKOQZPYOWSDHY-CYBMUJFWSA-N 0 2 307.350 0.620 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)Cn3cccn3)CC2)[nH+]c1 ZINC000911182116 664002896 /nfs/dbraw/zinc/00/28/96/664002896.db2.gz XIRFCDMFWQMEDP-UHFFFAOYSA-N 0 2 315.333 0.325 20 0 DCADLN COC1(C(=O)N2CCCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CC1 ZINC000911309286 664025419 /nfs/dbraw/zinc/02/54/19/664025419.db2.gz XAKJGZHJNQCOEH-UHFFFAOYSA-N 0 2 319.361 0.998 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)Cc1ccc(C(=O)[O-])cc1 ZINC000911334875 664030264 /nfs/dbraw/zinc/03/02/64/664030264.db2.gz LREJUJRYDFPVKJ-UHFFFAOYSA-N 0 2 306.362 0.718 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cccc(C(=O)[O-])n2)C[C@H](C)O1 ZINC000911399764 664048169 /nfs/dbraw/zinc/04/81/69/664048169.db2.gz VKMWVIONGWLUEB-TXEJJXNPSA-N 0 2 321.377 0.961 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)c2cccc(C(=O)[O-])n2)C[C@H](C)O1 ZINC000911399764 664048173 /nfs/dbraw/zinc/04/81/73/664048173.db2.gz VKMWVIONGWLUEB-TXEJJXNPSA-N 0 2 321.377 0.961 20 0 DCADLN CC[C@H](C(=O)N(C)Cc1nc(=O)o[n-]1)[N@@H+]1CCO[C@@H](CC)C1 ZINC000911452263 664059469 /nfs/dbraw/zinc/05/94/69/664059469.db2.gz UHNVEHUIXYFUIE-WDEREUQCSA-N 0 2 312.370 0.211 20 0 DCADLN CC[C@H](C(=O)N(C)Cc1nc(=O)o[n-]1)[N@H+]1CCO[C@@H](CC)C1 ZINC000911452263 664059472 /nfs/dbraw/zinc/05/94/72/664059472.db2.gz UHNVEHUIXYFUIE-WDEREUQCSA-N 0 2 312.370 0.211 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(C(=O)c2cc(C(=O)[O-])nn2C)C1 ZINC000911590402 664089524 /nfs/dbraw/zinc/08/95/24/664089524.db2.gz VYBBVAQKEGXNRX-JTQLQIEISA-N 0 2 317.349 0.872 20 0 DCADLN CCCn1nc(C)c(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1C ZINC000912823996 664233492 /nfs/dbraw/zinc/23/34/92/664233492.db2.gz KYGKVEQXIMBZRR-JTQLQIEISA-N 0 2 307.354 0.837 20 0 DCADLN O=C(CN1CCOC1=O)Nc1nccc(Br)c1O ZINC000913027759 664256312 /nfs/dbraw/zinc/25/63/12/664256312.db2.gz VOCBWVZWDGWEHS-UHFFFAOYSA-N 0 2 316.111 0.940 20 0 DCADLN Cc1cccc(OCC[NH+]2CC(NC(=O)c3cnn[n-]3)C2)c1 ZINC001030599586 664599186 /nfs/dbraw/zinc/59/91/86/664599186.db2.gz IBYXCPXLULDXSR-UHFFFAOYSA-N 0 2 301.350 0.606 20 0 DCADLN C[C@H]1CCCC[C@@H]1OCCN1CC(NC(=O)c2cnn[nH]2)C1 ZINC001030600210 664599772 /nfs/dbraw/zinc/59/97/72/664599772.db2.gz SWGRAQGGSIHEBA-FZMZJTMJSA-N 0 2 307.398 0.814 20 0 DCADLN C[C@H]1CCCC[C@@H]1OCC[NH+]1CC(NC(=O)c2cnn[n-]2)C1 ZINC001030600210 664599774 /nfs/dbraw/zinc/59/97/74/664599774.db2.gz SWGRAQGGSIHEBA-FZMZJTMJSA-N 0 2 307.398 0.814 20 0 DCADLN COc1ccc(C)cc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030631624 664612296 /nfs/dbraw/zinc/61/22/96/664612296.db2.gz XTKNAUKHCQHQKP-UHFFFAOYSA-N 0 2 317.349 0.442 20 0 DCADLN O=C(N[C@@]12CCC[C@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cnco1 ZINC000992054055 664654146 /nfs/dbraw/zinc/65/41/46/664654146.db2.gz XLEXLZBYEYPLLF-QMTHXVAHSA-N 0 2 318.337 0.425 20 0 DCADLN O=C(N[C@@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2)c1cnco1 ZINC000992054055 664654147 /nfs/dbraw/zinc/65/41/47/664654147.db2.gz XLEXLZBYEYPLLF-QMTHXVAHSA-N 0 2 318.337 0.425 20 0 DCADLN CN(C(=O)c1cncnc1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954122027 664703946 /nfs/dbraw/zinc/70/39/46/664703946.db2.gz YZGBMLUPYPRBKP-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1cncnc1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954122027 664703947 /nfs/dbraw/zinc/70/39/47/664703947.db2.gz YZGBMLUPYPRBKP-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC(F)(F)CC1 ZINC001031008237 664755254 /nfs/dbraw/zinc/75/52/54/664755254.db2.gz SDULKTVXCKQGIP-UHFFFAOYSA-N 0 2 315.324 0.636 20 0 DCADLN CCc1ccc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001031027366 664758946 /nfs/dbraw/zinc/75/89/46/664758946.db2.gz BSNWJWPSEVMLAY-UHFFFAOYSA-N 0 2 315.377 0.616 20 0 DCADLN C[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccccc1 ZINC000730517236 664784283 /nfs/dbraw/zinc/78/42/83/664784283.db2.gz JQJZIFREHWNUQV-NSHDSACASA-N 0 2 311.345 0.991 20 0 DCADLN COCCN(CC(C)C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730523477 664785086 /nfs/dbraw/zinc/78/50/86/664785086.db2.gz BULKKXURCPYRHS-UHFFFAOYSA-N 0 2 307.354 0.202 20 0 DCADLN CCN(CC(F)(F)F)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730542859 664791477 /nfs/dbraw/zinc/79/14/77/664791477.db2.gz YXJDHLGAGJRXLJ-UHFFFAOYSA-N 0 2 303.244 0.482 20 0 DCADLN CC(C)n1nccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730588417 664795749 /nfs/dbraw/zinc/79/57/49/664795749.db2.gz YFLLMZRBRBHVKV-UHFFFAOYSA-N 0 2 301.310 0.487 20 0 DCADLN CC(=O)c1ccc(OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cc1 ZINC000730687591 664800594 /nfs/dbraw/zinc/80/05/94/664800594.db2.gz YADFEDQIQKYDAB-UHFFFAOYSA-N 0 2 312.285 0.879 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C2=COCCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993105118 664809420 /nfs/dbraw/zinc/80/94/20/664809420.db2.gz BKRMMPUPRBZUQR-JQWIXIFHSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C2=COCCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993105118 664809422 /nfs/dbraw/zinc/80/94/22/664809422.db2.gz BKRMMPUPRBZUQR-JQWIXIFHSA-N 0 2 321.381 0.674 20 0 DCADLN CCC[C@H]1C[C@@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031159506 664812735 /nfs/dbraw/zinc/81/27/35/664812735.db2.gz WOWWPPKFXIWQJD-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCOCC(F)(F)F ZINC000731776560 664883827 /nfs/dbraw/zinc/88/38/27/664883827.db2.gz BKXLANAUZRDBAT-UHFFFAOYSA-N 0 2 320.227 0.193 20 0 DCADLN CC(C)(C)c1n[nH]cc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031235330 664925120 /nfs/dbraw/zinc/92/51/20/664925120.db2.gz RWBMPAMILKAMDO-UHFFFAOYSA-N 0 2 319.369 0.145 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)cn1 ZINC001031287388 664967157 /nfs/dbraw/zinc/96/71/57/664967157.db2.gz PZSUNGFOUSXHSC-UHFFFAOYSA-N 0 2 308.729 0.173 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)cc1 ZINC001031294161 664968983 /nfs/dbraw/zinc/96/89/83/664968983.db2.gz JFJLUYUNZDTJSS-UHFFFAOYSA-N 0 2 307.741 0.778 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)[nH]1 ZINC001015668550 664970202 /nfs/dbraw/zinc/97/02/02/664970202.db2.gz KQQQHGILDHMGNZ-SSDOTTSWSA-N 0 2 310.745 0.496 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)n1 ZINC000993964685 665020652 /nfs/dbraw/zinc/02/06/52/665020652.db2.gz PYSGUXVFNDNUGE-ZJUUUORDSA-N 0 2 319.369 0.325 20 0 DCADLN Cc1cc(C)cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031308724 665050832 /nfs/dbraw/zinc/05/08/32/665050832.db2.gz NNKNTJQOCYFGFO-UHFFFAOYSA-N 0 2 301.350 0.741 20 0 DCADLN O=C(Cc1ccc(F)cc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031336032 665093015 /nfs/dbraw/zinc/09/30/15/665093015.db2.gz AGNLDXBHWOFUEO-UHFFFAOYSA-N 0 2 305.313 0.193 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)s1 ZINC001031348671 665101821 /nfs/dbraw/zinc/10/18/21/665101821.db2.gz WMSUPIIJDXQYGG-UHFFFAOYSA-N 0 2 313.770 0.839 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)o1 ZINC001031550886 665194982 /nfs/dbraw/zinc/19/49/82/665194982.db2.gz IRFRXLMBAKARAC-UHFFFAOYSA-N 0 2 311.729 0.619 20 0 DCADLN Cc1nscc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031623874 665242514 /nfs/dbraw/zinc/24/25/14/665242514.db2.gz ITHNENITUWZSCX-UHFFFAOYSA-N 0 2 308.367 0.137 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC[C@H](F)C1 ZINC001031663906 665274618 /nfs/dbraw/zinc/27/46/18/665274618.db2.gz MHQIRMAAUHGDNQ-QWRGUYRKSA-N 0 2 311.361 0.587 20 0 DCADLN O=C(NCCn1cccc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000921368863 665297864 /nfs/dbraw/zinc/29/78/64/665297864.db2.gz BSTUXBSAJGAQND-NSHDSACASA-N 0 2 304.354 0.901 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC(F)(F)C1 ZINC001031720209 665326771 /nfs/dbraw/zinc/32/67/71/665326771.db2.gz SOHPQOKHWOEDQV-VIFPVBQESA-N 0 2 315.324 0.494 20 0 DCADLN CC[C@@H]1CCC[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031953341 666005714 /nfs/dbraw/zinc/00/57/14/666005714.db2.gz IUPMQEBWPIUZBC-NEPJUHHUSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@H]1OCC[C@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001304769 666032787 /nfs/dbraw/zinc/03/27/87/666032787.db2.gz KAOFBOPXZWKPGF-ZYHUDNBSSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@H]1OCC[C@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001304769 666032789 /nfs/dbraw/zinc/03/27/89/666032789.db2.gz KAOFBOPXZWKPGF-ZYHUDNBSSA-N 0 2 321.381 0.184 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3cnn[nH]3)[C@H](C)C2)nn1C ZINC000942215727 666131784 /nfs/dbraw/zinc/13/17/84/666131784.db2.gz HFTDELMVTFPDMI-ZWNOBZJWSA-N 0 2 317.397 0.487 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC000942264795 666142086 /nfs/dbraw/zinc/14/20/86/666142086.db2.gz INSBBLZBJHNKSU-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cccnn1 ZINC000942264795 666142087 /nfs/dbraw/zinc/14/20/87/666142087.db2.gz INSBBLZBJHNKSU-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)C1=NO[C@H](c2ccccc2)C1 ZINC000080974016 666159647 /nfs/dbraw/zinc/15/96/47/666159647.db2.gz GPRUEJQIJLQBQQ-LBPRGKRZSA-N 0 2 315.333 0.664 20 0 DCADLN C[C@@H]1C[N@H+](Cc2nncn2C)CC[C@H]1NC(=O)c1n[nH]cc1F ZINC000942599932 666198145 /nfs/dbraw/zinc/19/81/45/666198145.db2.gz HXHFHKAVHVGQGK-MWLCHTKSSA-N 0 2 321.360 0.318 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccns1 ZINC001002935489 666248584 /nfs/dbraw/zinc/24/85/84/666248584.db2.gz WSSSSNJTYLTJBS-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@H]1C1CCCC1 ZINC001032083646 666286564 /nfs/dbraw/zinc/28/65/64/666286564.db2.gz AKQVZTSUZVTBBY-STQMWFEESA-N 0 2 319.409 0.885 20 0 DCADLN C[C@H]1OCC[C@@]1(C)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003376216 666301158 /nfs/dbraw/zinc/30/11/58/666301158.db2.gz XOHCOHCOCYQVHF-MEBBXXQBSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1onc(C)c1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032179097 666383978 /nfs/dbraw/zinc/38/39/78/666383978.db2.gz IIEOSJPATJOEKH-UHFFFAOYSA-N 0 2 320.353 0.231 20 0 DCADLN CN(C(=O)c1cncc(F)c1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033000808 666421320 /nfs/dbraw/zinc/42/13/20/666421320.db2.gz DUDIKOFLVIYKDK-LLVKDONJSA-N 0 2 320.328 0.391 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001032218608 666522422 /nfs/dbraw/zinc/52/24/22/666522422.db2.gz VXSVDWYCSNSTIH-SWLJZFRDSA-N 0 2 317.393 0.350 20 0 DCADLN CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(=O)n2[nH]ccc2n1 ZINC001032237962 666575277 /nfs/dbraw/zinc/57/52/77/666575277.db2.gz ZZSSRXXZRIUDIP-RYUDHWBXSA-N 0 2 301.350 0.218 20 0 DCADLN O=C(CCc1ccco1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032275949 666650905 /nfs/dbraw/zinc/65/09/05/666650905.db2.gz UBJLPYZUXXARRP-QWRGUYRKSA-N 0 2 317.349 0.521 20 0 DCADLN Cc1coc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001033351356 666686878 /nfs/dbraw/zinc/68/68/78/666686878.db2.gz ZUEBJBJIMNWTLM-JTQLQIEISA-N 0 2 305.338 0.758 20 0 DCADLN Cc1c(C(=O)N(C)[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1C ZINC001033396206 666699256 /nfs/dbraw/zinc/69/92/56/666699256.db2.gz PMYIGBCXHWHKID-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN Cc1c(C(=O)N(C)[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1C ZINC001033396206 666699258 /nfs/dbraw/zinc/69/92/58/666699258.db2.gz PMYIGBCXHWHKID-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN CN(C(=O)c1cc[nH]c1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005431629 666857307 /nfs/dbraw/zinc/85/73/07/666857307.db2.gz DJHANPXTSOPWKY-UHFFFAOYSA-N 0 2 304.354 0.575 20 0 DCADLN CCN(C(=O)c1c[nH]nc1C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033916894 666867753 /nfs/dbraw/zinc/86/77/53/666867753.db2.gz VTZGJXLMJIZQDI-JTQLQIEISA-N 0 2 319.369 0.278 20 0 DCADLN CCc1ocnc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032324237 666897594 /nfs/dbraw/zinc/89/75/94/666897594.db2.gz ASPYBOGXBKSVFX-IUCAKERBSA-N 0 2 318.337 0.160 20 0 DCADLN Cn1cccc1C(=O)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034103256 666921841 /nfs/dbraw/zinc/92/18/41/666921841.db2.gz CNEWYCABWMFGGW-LLVKDONJSA-N 0 2 318.381 0.633 20 0 DCADLN Cn1cccc1C(=O)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034103256 666921842 /nfs/dbraw/zinc/92/18/42/666921842.db2.gz CNEWYCABWMFGGW-LLVKDONJSA-N 0 2 318.381 0.633 20 0 DCADLN Cc1cc(C)c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)o1 ZINC001032341333 666982424 /nfs/dbraw/zinc/98/24/24/666982424.db2.gz SCVYSCDOFDRUQX-QWRGUYRKSA-N 0 2 317.349 0.819 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1csnn1 ZINC001034336094 666990941 /nfs/dbraw/zinc/99/09/41/666990941.db2.gz ZMOGXORTPWBVTL-MRVPVSSYSA-N 0 2 323.382 0.146 20 0 DCADLN CC[C@@H](O)CNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000862196210 667028932 /nfs/dbraw/zinc/02/89/32/667028932.db2.gz GUZSAJOIRDEYMK-SSDOTTSWSA-N 0 2 308.256 0.959 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034475928 667038069 /nfs/dbraw/zinc/03/80/69/667038069.db2.gz YKDSBJMBLBJDFU-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CCCC1 ZINC001035264024 667278374 /nfs/dbraw/zinc/27/83/74/667278374.db2.gz XSXSMDMXUGSSGL-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)c1ccsc1 ZINC001035279178 667286433 /nfs/dbraw/zinc/28/64/33/667286433.db2.gz INYALBPXRBVRBC-SNVBAGLBSA-N 0 2 323.378 0.203 20 0 DCADLN CC(C)(C)[C@@H]1C[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032515354 667348486 /nfs/dbraw/zinc/34/84/86/667348486.db2.gz UDYXTDZBIYMMNG-NNYUYHANSA-N 0 2 319.409 0.978 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000738167372 667659047 /nfs/dbraw/zinc/65/90/47/667659047.db2.gz YZERDMKZFOENHI-SECBINFHSA-N 0 2 309.366 0.780 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000738167372 667659050 /nfs/dbraw/zinc/65/90/50/667659050.db2.gz YZERDMKZFOENHI-SECBINFHSA-N 0 2 309.366 0.780 20 0 DCADLN CN(C)c1cncc(NS(=O)(=O)c2cnn3c2OCCC3)c1 ZINC000886419681 667765355 /nfs/dbraw/zinc/76/53/55/667765355.db2.gz GNZRUYUVZKMFPB-UHFFFAOYSA-N 0 2 323.378 0.927 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCOc2ccc(F)cc2)S1 ZINC000742527808 667792975 /nfs/dbraw/zinc/79/29/75/667792975.db2.gz NLNUTXFGFTXMAS-SNVBAGLBSA-N 0 2 311.338 0.877 20 0 DCADLN CCNC(=O)c1ccccc1NC(=O)CC1SC(=N)NC1=O ZINC000742537579 667793226 /nfs/dbraw/zinc/79/32/26/667793226.db2.gz YUVSWUCWSFWLFB-SNVBAGLBSA-N 0 2 320.374 0.931 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOc3ccccc3C2)S1 ZINC000742564994 667793814 /nfs/dbraw/zinc/79/38/14/667793814.db2.gz OKFVVTKNFDTHKG-LLVKDONJSA-N 0 2 305.359 0.964 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCn2cnc3ccccc32)S1 ZINC000742596379 667794365 /nfs/dbraw/zinc/79/43/65/667794365.db2.gz WTGBXVQSCGAPRS-NSHDSACASA-N 0 2 317.374 0.709 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2nnc(C(F)F)s2)S1 ZINC000742584785 667794628 /nfs/dbraw/zinc/79/46/28/667794628.db2.gz ZGUMRYQZOZJHKD-UWTATZPHSA-N 0 2 307.307 0.971 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](C3OCCO3)C2)S1 ZINC000742617409 667794867 /nfs/dbraw/zinc/79/48/67/667794867.db2.gz RCCDFOOBNSDMKY-BDAKNGLRSA-N 0 2 313.379 0.154 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCCC[C@H]2C2OCCO2)S1 ZINC000742611688 667794945 /nfs/dbraw/zinc/79/49/45/667794945.db2.gz DBSAOTHFHMXLNU-DTWKUNHWSA-N 0 2 313.379 0.297 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](c3ncc[nH]3)C2)S1 ZINC000742628555 667795785 /nfs/dbraw/zinc/79/57/85/667795785.db2.gz WNDSXHQITFMLET-DTWKUNHWSA-N 0 2 307.379 0.672 20 0 DCADLN Cc1cccn2cc(CNC(=O)C[C@H]3SC(=N)NC3=O)nc12 ZINC000742651144 667796116 /nfs/dbraw/zinc/79/61/16/667796116.db2.gz XKVNLOVCNNOMEV-SNVBAGLBSA-N 0 2 317.374 0.815 20 0 DCADLN CC1(C)[C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)[C@@H]2CCCO[C@H]21 ZINC000742707797 667798082 /nfs/dbraw/zinc/79/80/82/667798082.db2.gz POVUIUUFFFRUTP-OINDSLJFSA-N 0 2 311.407 0.863 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnn(CC2CC2)c1 ZINC000742957930 667801417 /nfs/dbraw/zinc/80/14/17/667801417.db2.gz CKXQKQCRNGMQFP-UHFFFAOYSA-N 0 2 313.321 0.316 20 0 DCADLN CCCC(O)(CCC)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000743751441 667815309 /nfs/dbraw/zinc/81/53/09/667815309.db2.gz HDMRNSDCDSLYNI-SECBINFHSA-N 0 2 301.412 0.990 20 0 DCADLN CC(C)CC[C@](C)(O)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000743775456 667816596 /nfs/dbraw/zinc/81/65/96/667816596.db2.gz MSEAXTMUEBOHMY-RNCFNFMXSA-N 0 2 301.412 0.846 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2COc3ccccc3O2)S1 ZINC000745413472 667847179 /nfs/dbraw/zinc/84/71/79/667847179.db2.gz ITRADINCSUDBCZ-GZMMTYOYSA-N 0 2 321.358 0.499 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(NC(N)=O)c2)S1 ZINC000745421443 667847302 /nfs/dbraw/zinc/84/73/02/667847302.db2.gz NKRIGONKDMNGIH-QMMMGPOBSA-N 0 2 307.335 0.672 20 0 DCADLN COC1(CS(=O)(=O)Nc2cc3n(n2)CCN(C)C3)CCC1 ZINC000872411185 667893922 /nfs/dbraw/zinc/89/39/22/667893922.db2.gz UNWPGTIEKYVGIN-UHFFFAOYSA-N 0 2 314.411 0.639 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(N2CCOC2=O)c1 ZINC000747873846 667914109 /nfs/dbraw/zinc/91/41/09/667914109.db2.gz WSCSJTSEMNSOOO-UHFFFAOYSA-N 0 2 318.293 0.789 20 0 DCADLN C[C@](O)(CNC(=O)C[C@@H]1SC(=N)NC1=O)c1cccs1 ZINC000748556212 667932611 /nfs/dbraw/zinc/93/26/11/667932611.db2.gz RPYWMBVZNYHLSK-MADCSZMMSA-N 0 2 313.404 0.628 20 0 DCADLN CC(C)(C)OC(=O)N1CC(CC(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000750245960 667974616 /nfs/dbraw/zinc/97/46/16/667974616.db2.gz FPCCKFZLOLGMTH-UHFFFAOYSA-N 0 2 311.342 0.384 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](Cn3ccnn3)C2)S1 ZINC000752252833 668014641 /nfs/dbraw/zinc/01/46/41/668014641.db2.gz FWLTXMSGPFHGNT-UWVGGRQHSA-N 0 2 322.394 0.073 20 0 DCADLN C[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1nccs1 ZINC000754737499 668050097 /nfs/dbraw/zinc/05/00/97/668050097.db2.gz NHWJBVFYBJSZPP-QMMMGPOBSA-N 0 2 318.362 0.448 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccnc(O[C@H]2CCOC2)c1 ZINC000755032819 668054955 /nfs/dbraw/zinc/05/49/55/668054955.db2.gz JCJVQEFCJKMOIM-VIFPVBQESA-N 0 2 306.278 0.430 20 0 DCADLN C[C@@]1(CCCO)CCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000755740941 668065299 /nfs/dbraw/zinc/06/52/99/668065299.db2.gz KISGWYHYMJYWAL-HNNXBMFYSA-N 0 2 319.365 0.225 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H]2COCC[C@@H]21 ZINC000757026376 668110621 /nfs/dbraw/zinc/11/06/21/668110621.db2.gz VIKWMHKTGGTGLF-PWSUYJOCSA-N 0 2 317.349 0.099 20 0 DCADLN O=C(NCCc1cn2c([nH+]1)CCCC2)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC000874385504 668178557 /nfs/dbraw/zinc/17/85/57/668178557.db2.gz IIFNASPKBYTCSK-UMSPYCQHSA-N 0 2 306.366 0.924 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)Oc1ccc(C)nc1 ZINC000758546781 668182664 /nfs/dbraw/zinc/18/26/64/668182664.db2.gz YJNWZPISTLFLIU-UHFFFAOYSA-N 0 2 311.319 0.976 20 0 DCADLN O=C([O-])[C@H]1C[C@H](NC(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000874424766 668184717 /nfs/dbraw/zinc/18/47/17/668184717.db2.gz FLXDHEJULRPWNW-HAQNSBGRSA-N 0 2 304.350 0.776 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCCCn1ccnn1 ZINC000759107019 668207213 /nfs/dbraw/zinc/20/72/13/668207213.db2.gz YZIXVRJYTOOKKT-UHFFFAOYSA-N 0 2 307.276 0.242 20 0 DCADLN O=C(NC1CCN(C(=O)C(F)C(F)(F)F)CC1)c1cnon1 ZINC000946998642 668282544 /nfs/dbraw/zinc/28/25/44/668282544.db2.gz MCEKSFKBSYMMBI-MRVPVSSYSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1)c1cnon1 ZINC000946998642 668282548 /nfs/dbraw/zinc/28/25/48/668282548.db2.gz MCEKSFKBSYMMBI-MRVPVSSYSA-N 0 2 324.234 0.691 20 0 DCADLN Cc1cccc(-c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)n1 ZINC000761777510 668336282 /nfs/dbraw/zinc/33/62/82/668336282.db2.gz XKYQNCPQLDZNOL-UHFFFAOYSA-N 0 2 309.289 0.873 20 0 DCADLN CCCC[C@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(=O)OC ZINC000762820161 668380643 /nfs/dbraw/zinc/38/06/43/668380643.db2.gz OWYSNTXFXKPVGH-JTQLQIEISA-N 0 2 322.321 0.346 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CN(C(=O)OC[C@H]2CCCO2)C[C@H]1C(=O)[O-] ZINC000926487188 668409071 /nfs/dbraw/zinc/40/90/71/668409071.db2.gz SZBMAXAINGDISR-IJLUTSLNSA-N 0 2 323.349 0.836 20 0 DCADLN Cc1cc(F)cc(S(=O)(=O)N2CC[NH+](CC(=O)[O-])CC2)c1 ZINC000926582292 668413997 /nfs/dbraw/zinc/41/39/97/668413997.db2.gz LJRBJGXRRUFJLV-UHFFFAOYSA-N 0 2 316.354 0.525 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC/C(Cl)=C\Cl ZINC000763972529 668428142 /nfs/dbraw/zinc/42/81/42/668428142.db2.gz YZJRRAMHKLHPQA-LZCJLJQNSA-N 0 2 303.105 0.933 20 0 DCADLN C[C@@H]([NH2+][C@@H]1CCCN(CC[NH+]2CCOCC2)C1)c1cn(C)cn1 ZINC000926863229 668437278 /nfs/dbraw/zinc/43/72/78/668437278.db2.gz BAQGFJWRALONGY-HZPDHXFCSA-N 0 2 321.469 0.867 20 0 DCADLN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(F)C(F)(F)F ZINC000764610771 668454985 /nfs/dbraw/zinc/45/49/85/668454985.db2.gz HNOMFNABQQCTTN-BDAKNGLRSA-N 0 2 302.268 0.038 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1ccc(OCC(F)(F)F)cn1 ZINC000765236208 668482702 /nfs/dbraw/zinc/48/27/02/668482702.db2.gz XNESRMDVVJWKTH-UHFFFAOYSA-N 0 2 318.211 0.219 20 0 DCADLN COc1ccc(N(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc1 ZINC000766842089 668548089 /nfs/dbraw/zinc/54/80/89/668548089.db2.gz AJSADHAJMDKINW-UHFFFAOYSA-N 0 2 314.305 0.138 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)C(F)(F)C2(O)CCC2)C1=O ZINC000769855644 668667205 /nfs/dbraw/zinc/66/72/05/668667205.db2.gz QUGBEEVUKFFQML-SNVBAGLBSA-N 0 2 305.281 0.288 20 0 DCADLN COc1ccccc1[C@@H](CO)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000771138708 668732509 /nfs/dbraw/zinc/73/25/09/668732509.db2.gz YQIULXSILMGCCT-MWLCHTKSSA-N 0 2 323.374 0.401 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc3c(c2)COC3)S1 ZINC000771738702 668749506 /nfs/dbraw/zinc/74/95/06/668749506.db2.gz DYMIABGQMLFGSU-NSHDSACASA-N 0 2 305.359 0.889 20 0 DCADLN Cn1nnc2cc(C(=O)NCCc3n[nH]c(=S)o3)ccc21 ZINC000773098306 668792737 /nfs/dbraw/zinc/79/27/37/668792737.db2.gz UDMIYCOYAMJPFN-UHFFFAOYSA-N 0 2 304.335 0.613 20 0 DCADLN CCN(CC)C(=O)CCC(=O)NCCc1n[nH]c(=S)o1 ZINC000773088769 668793096 /nfs/dbraw/zinc/79/30/96/668793096.db2.gz SEKQORBROGADDG-UHFFFAOYSA-N 0 2 300.384 0.666 20 0 DCADLN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2Cc1n[nH]c(=O)[nH]1 ZINC000774663641 668842423 /nfs/dbraw/zinc/84/24/23/668842423.db2.gz NDFRHNPYOGTFSX-UHFFFAOYSA-N 0 2 323.378 0.323 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000774874266 668849354 /nfs/dbraw/zinc/84/93/54/668849354.db2.gz WAMIIPCVOIHYRT-NSHDSACASA-N 0 2 316.317 0.602 20 0 DCADLN COc1cc(OC)c(C(=O)OCc2n[nH]c(=O)[nH]2)cc1OC ZINC000774891018 668849754 /nfs/dbraw/zinc/84/97/54/668849754.db2.gz VYIOVCJVGPJIQO-UHFFFAOYSA-N 0 2 309.278 0.893 20 0 DCADLN COc1cc(CC(=O)OCc2n[nH]c(=O)[nH]2)cc(OC)c1OC ZINC000774884718 668849954 /nfs/dbraw/zinc/84/99/54/668849954.db2.gz CELGYKGOHMIBMS-UHFFFAOYSA-N 0 2 323.305 0.822 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CCCN(CC(F)(F)F)C1 ZINC000774925025 668851553 /nfs/dbraw/zinc/85/15/53/668851553.db2.gz GOMZLSOGNMLRIF-ZETCQYMHSA-N 0 2 308.260 0.828 20 0 DCADLN O=C(Cn1ccc(=O)c2ccccc21)OCc1n[nH]c(=O)[nH]1 ZINC000774926479 668851635 /nfs/dbraw/zinc/85/16/35/668851635.db2.gz NZIXBHUZQLIGDH-UHFFFAOYSA-N 0 2 300.274 0.569 20 0 DCADLN CC(=O)N(CCC(=O)OCc1n[nH]c(=O)[nH]1)Cc1ccccc1 ZINC000774941461 668851841 /nfs/dbraw/zinc/85/18/41/668851841.db2.gz RLTZZTOVRXSNTJ-UHFFFAOYSA-N 0 2 318.333 0.992 20 0 DCADLN COC(=O)C[C@@H](NC(=O)c1cccc(Cl)c1O)C(=O)OC ZINC000775652608 668866430 /nfs/dbraw/zinc/86/64/30/668866430.db2.gz NWECGCMVTHFZCM-SECBINFHSA-N 0 2 315.709 0.880 20 0 DCADLN Cc1oc2ncn(C)c(=O)c2c1C(=O)Nn1ccccc1=O ZINC000777787386 668891598 /nfs/dbraw/zinc/89/15/98/668891598.db2.gz YGKSNZNYMBPKPC-UHFFFAOYSA-N 0 2 300.274 0.381 20 0 DCADLN CCN1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)C(C)(C)C1=O ZINC000779250319 668908888 /nfs/dbraw/zinc/90/88/88/668908888.db2.gz YLBXPDKYFYGROH-QMMMGPOBSA-N 0 2 312.395 0.012 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2CCCC[C@@H]2C(=O)[O-])CCO1 ZINC000780511662 668990794 /nfs/dbraw/zinc/99/07/94/668990794.db2.gz RCZLBMRLPOJTJR-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2CCCC[C@@H]2C(=O)[O-])CCO1 ZINC000780511662 668990797 /nfs/dbraw/zinc/99/07/97/668990797.db2.gz RCZLBMRLPOJTJR-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CCCOC(=O)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000780626488 669001417 /nfs/dbraw/zinc/00/14/17/669001417.db2.gz SELCMWSLTFPEKJ-UHFFFAOYSA-N 0 2 305.338 0.883 20 0 DCADLN Cc1ocnc1C(=O)OCCOCCNC(=O)C(F)(F)F ZINC000782645783 669115124 /nfs/dbraw/zinc/11/51/24/669115124.db2.gz FBWDHMMMCAXOOA-UHFFFAOYSA-N 0 2 310.228 0.835 20 0 DCADLN CN(C1=NC(=O)C(CC(=O)Nc2cnccn2)S1)C1CC1 ZINC000783681990 669168596 /nfs/dbraw/zinc/16/85/96/669168596.db2.gz OFMQRDUWYLQUCX-SECBINFHSA-N 0 2 305.363 0.897 20 0 DCADLN C[C@]1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO[C@@H]1C1CC1 ZINC000784742308 669219699 /nfs/dbraw/zinc/21/96/99/669219699.db2.gz AGGUHMBEXJOCAN-DOMZBBRYSA-N 0 2 317.349 0.145 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1cnn(C)n1 ZINC000785765616 669271058 /nfs/dbraw/zinc/27/10/58/669271058.db2.gz MVALGCJCUPAMMG-UHFFFAOYSA-N 0 2 304.335 0.798 20 0 DCADLN CC1(C)OC[C@H](CCn2cc(CNC(=O)C(F)(F)F)nn2)O1 ZINC000881394528 669334495 /nfs/dbraw/zinc/33/44/95/669334495.db2.gz PMPHNCUKXJAXHS-VIFPVBQESA-N 0 2 322.287 0.998 20 0 DCADLN Cc1ccc(-n2cnnc2SCC(=O)NOCCO)cc1 ZINC000787292737 669365218 /nfs/dbraw/zinc/36/52/18/669365218.db2.gz GNXQYTDQEJPNGV-UHFFFAOYSA-N 0 2 308.363 0.708 20 0 DCADLN CCOCCOCCN(C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000787508299 669376546 /nfs/dbraw/zinc/37/65/46/669376546.db2.gz NJYAFDNPBZPQGZ-SECBINFHSA-N 0 2 303.384 0.054 20 0 DCADLN C[C@@H]1C[C@@H](C)[C@H](C)N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000789687473 669505121 /nfs/dbraw/zinc/50/51/21/669505121.db2.gz BFNDDOAEOPIGQT-MXWKQRLJSA-N 0 2 303.366 0.964 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000790232582 669543857 /nfs/dbraw/zinc/54/38/57/669543857.db2.gz ACFIIGUHAPJJFY-CQSZACIVSA-N 0 2 323.736 0.860 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CCCN(C2CC2)C1=O ZINC000935446884 669724113 /nfs/dbraw/zinc/72/41/13/669724113.db2.gz BOKOKDMWILXGFT-SECBINFHSA-N 0 2 310.379 0.418 20 0 DCADLN Cc1cccc2c1OC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C2 ZINC000794170015 669803343 /nfs/dbraw/zinc/80/33/43/669803343.db2.gz PTWKMRGQMQSNCM-MNOVXSKESA-N 0 2 319.386 0.971 20 0 DCADLN CCN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@@H](C)C(F)(F)F ZINC000794207168 669807250 /nfs/dbraw/zinc/80/72/50/669807250.db2.gz DWUAIHVEOURKEO-ZETCQYMHSA-N 0 2 317.271 0.871 20 0 DCADLN CC(C)OCCONC(=O)CS[C@H]1CCS(=O)(=O)C1 ZINC000795068485 669873259 /nfs/dbraw/zinc/87/32/59/669873259.db2.gz GTYOSLQMRYVLFR-JTQLQIEISA-N 0 2 311.425 0.380 20 0 DCADLN CCc1ccoc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950066620 669924897 /nfs/dbraw/zinc/92/48/97/669924897.db2.gz MXZFMBMCBZNCIF-UHFFFAOYSA-N 0 2 305.338 0.624 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CCC(F)(F)C1 ZINC000797556072 669971233 /nfs/dbraw/zinc/97/12/33/669971233.db2.gz MCIMIOSKWBLAFH-MRVPVSSYSA-N 0 2 311.292 0.623 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H](CO)CC2CCCC2)S1 ZINC000799051935 670034822 /nfs/dbraw/zinc/03/48/22/670034822.db2.gz CRDCIADFXLFDPU-WDEREUQCSA-N 0 2 313.423 0.848 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CC[C@@H](c3ccccn3)C2)S1 ZINC000799064600 670035368 /nfs/dbraw/zinc/03/53/68/670035368.db2.gz YJBBRZOXGDQKIL-MWLCHTKSSA-N 0 2 304.375 0.954 20 0 DCADLN CCN(C(=O)[C@@H]1CCC[C@@H]1OC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950666076 670088253 /nfs/dbraw/zinc/08/82/53/670088253.db2.gz DWWWBSJHKIZBPZ-NEPJUHHUSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c(F)c1 ZINC000950702926 670100093 /nfs/dbraw/zinc/10/00/93/670100093.db2.gz BXTKBTMJCNTWBQ-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN CCC[C@@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(=O)OCC ZINC000801838226 670142739 /nfs/dbraw/zinc/14/27/39/670142739.db2.gz RXLLJMOVVBGMDO-SNVBAGLBSA-N 0 2 322.321 0.346 20 0 DCADLN CC(C)(C)OC(=O)c1cnc(C(=O)OCc2n[nH]c(=O)[nH]2)cn1 ZINC000801894404 670144965 /nfs/dbraw/zinc/14/49/65/670144965.db2.gz UFFIJIINGSDOFW-UHFFFAOYSA-N 0 2 321.293 0.613 20 0 DCADLN Cc1cc(C)nc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000949026266 670240277 /nfs/dbraw/zinc/24/02/77/670240277.db2.gz WEIWYGXVXXVJLU-UHFFFAOYSA-N 0 2 316.365 0.480 20 0 DCADLN CCCc1n[nH]cc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949049783 670246738 /nfs/dbraw/zinc/24/67/38/670246738.db2.gz RVTJIFSFBVDYBI-UHFFFAOYSA-N 0 2 319.369 0.144 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951426112 670270097 /nfs/dbraw/zinc/27/00/97/670270097.db2.gz GRFOVQPAMUHZBI-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000951426112 670270102 /nfs/dbraw/zinc/27/01/02/670270102.db2.gz GRFOVQPAMUHZBI-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2occ3c2CCOC3)C1=O ZINC000806309171 670279844 /nfs/dbraw/zinc/27/98/44/670279844.db2.gz OVDVOPQTHFZBFU-CQSZACIVSA-N 0 2 307.306 0.718 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)C(F)(F)C2(O)CCCC2)C1=O ZINC000806308464 670306861 /nfs/dbraw/zinc/30/68/61/670306861.db2.gz BAYKYOSQRUFEBY-LLVKDONJSA-N 0 2 319.308 0.679 20 0 DCADLN CC[N@@H+]1CCO[C@@H](C(=O)N[C@@H](c2nnn[n-]2)c2ccccc2)C1 ZINC000806545722 670320933 /nfs/dbraw/zinc/32/09/33/670320933.db2.gz DIEODMRBWRGQNH-CHWSQXEVSA-N 0 2 316.365 0.126 20 0 DCADLN CC[N@H+]1CCO[C@@H](C(=O)N[C@@H](c2nnn[n-]2)c2ccccc2)C1 ZINC000806545722 670320938 /nfs/dbraw/zinc/32/09/38/670320938.db2.gz DIEODMRBWRGQNH-CHWSQXEVSA-N 0 2 316.365 0.126 20 0 DCADLN CCN(C(=O)[C@@H]1CCOC[C@@H]1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951703994 670343170 /nfs/dbraw/zinc/34/31/70/670343170.db2.gz FDBHOTNTFMYBCR-CMPLNLGQSA-N 0 2 323.397 0.216 20 0 DCADLN CCOC1CC(N(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000807820348 670377242 /nfs/dbraw/zinc/37/72/42/670377242.db2.gz SRQITJNOEQYVFB-UHFFFAOYSA-N 0 2 305.338 0.097 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1cnn(CCF)c1 ZINC000807923745 670381533 /nfs/dbraw/zinc/38/15/33/670381533.db2.gz IIGBKJWKQNFDOB-UHFFFAOYSA-N 0 2 302.265 0.794 20 0 DCADLN CC(C)[N@H+]1CCO[C@H](C(=O)N2CCN(CC[C@H](C)F)CC2)C1 ZINC000949841264 670445926 /nfs/dbraw/zinc/44/59/26/670445926.db2.gz XRTTXZZYOMOUEU-GJZGRUSLSA-N 0 2 315.433 0.988 20 0 DCADLN CCC(=O)N1CC[C@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000952194510 670457511 /nfs/dbraw/zinc/45/75/11/670457511.db2.gz VWBJKECJCWIFAK-NSHDSACASA-N 0 2 316.365 0.551 20 0 DCADLN CCN(C(=O)c1csnc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949926592 670464667 /nfs/dbraw/zinc/46/46/67/670464667.db2.gz LLJBJKURAXHPHE-UHFFFAOYSA-N 0 2 322.394 0.622 20 0 DCADLN CCN(C(=O)c1ccc(F)cn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949938301 670466653 /nfs/dbraw/zinc/46/66/53/670466653.db2.gz UYMOGCMGDJJFRP-UHFFFAOYSA-N 0 2 320.328 0.391 20 0 DCADLN CCS(=O)(=O)N1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC000810036116 670472649 /nfs/dbraw/zinc/47/26/49/670472649.db2.gz QYCNKOFXBPXRIH-QMMMGPOBSA-N 0 2 320.308 0.817 20 0 DCADLN CCS(=O)(=O)N1CCC(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC000810036116 670472652 /nfs/dbraw/zinc/47/26/52/670472652.db2.gz QYCNKOFXBPXRIH-QMMMGPOBSA-N 0 2 320.308 0.817 20 0 DCADLN Cn1ccnc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810356114 670481159 /nfs/dbraw/zinc/48/11/59/670481159.db2.gz NPIRGMOZIXKJEP-SNVBAGLBSA-N 0 2 308.279 0.965 20 0 DCADLN Cn1ccnc1CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000810356114 670481162 /nfs/dbraw/zinc/48/11/62/670481162.db2.gz NPIRGMOZIXKJEP-SNVBAGLBSA-N 0 2 308.279 0.965 20 0 DCADLN CCN(C(=O)c1cc(C)[nH]c1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952372672 670483636 /nfs/dbraw/zinc/48/36/36/670483636.db2.gz SPYBHDICNAORLE-UHFFFAOYSA-N 0 2 318.381 0.802 20 0 DCADLN COC[C@H](CC(C)(C)C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000847201123 670525443 /nfs/dbraw/zinc/52/54/43/670525443.db2.gz YAPSGCZKURNFIK-JTQLQIEISA-N 0 2 321.381 0.639 20 0 DCADLN CC(C)(CO)ONC(=O)[C@@H](CS(C)(=O)=O)c1ccccc1 ZINC000854754994 670624192 /nfs/dbraw/zinc/62/41/92/670624192.db2.gz SRHXNNVVBCXXNG-LBPRGKRZSA-N 0 2 315.391 0.634 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NC/C=C/CO)cc2[nH]c1=S ZINC000855404537 670705475 /nfs/dbraw/zinc/70/54/75/670705475.db2.gz WMEPCMNRIXWTDG-ONEGZZNKSA-N 0 2 319.386 0.983 20 0 DCADLN COCC1(C2CCC2)CN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000855531074 670729245 /nfs/dbraw/zinc/72/92/45/670729245.db2.gz QSPJWOJUAGXAFX-JTQLQIEISA-N 0 2 311.407 0.818 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(n2)OCCO3)S1 ZINC000855571458 670730451 /nfs/dbraw/zinc/73/04/51/670730451.db2.gz DALHNVQMGUKCEE-SSDOTTSWSA-N 0 2 308.319 0.348 20 0 DCADLN Cn1ncc(C2CC2)c1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000855790017 670756220 /nfs/dbraw/zinc/75/62/20/670756220.db2.gz HMFUTIDQEBSWRC-JTQLQIEISA-N 0 2 307.379 0.470 20 0 DCADLN COC(=O)N(C)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000855942832 670772134 /nfs/dbraw/zinc/77/21/34/670772134.db2.gz MVQXZDAOQZUWIA-UHFFFAOYSA-N 0 2 306.326 0.238 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)C[C@H]2SC(=N)NC2=O)C[C@@H]1C ZINC000856083900 670784174 /nfs/dbraw/zinc/78/41/74/670784174.db2.gz OYFWVASRNLJGJY-DJLDLDEBSA-N 0 2 313.379 0.200 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOc3ccc(O)cc3C2)S1 ZINC000856203515 670794543 /nfs/dbraw/zinc/79/45/43/670794543.db2.gz BEGYQFDLVXKZJV-NSHDSACASA-N 0 2 321.358 0.670 20 0 DCADLN CC1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000856245119 670797203 /nfs/dbraw/zinc/79/72/03/670797203.db2.gz SUGKPNDHQPYAMF-FGWVZKOKSA-N 0 2 301.350 0.861 20 0 DCADLN C[C@H](c1ccccc1)[C@H](O)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000856289134 670800684 /nfs/dbraw/zinc/80/06/84/670800684.db2.gz LGWZHABOXJXLLA-YUSALJHKSA-N 0 2 321.402 0.824 20 0 DCADLN C[C@@H]1CCN(C(=O)c2cnc[nH]2)C[C@@H]1NC(=O)C(F)(F)F ZINC000856418078 670817261 /nfs/dbraw/zinc/81/72/61/670817261.db2.gz BSDYNVMEKLSWCH-APPZFPTMSA-N 0 2 304.272 0.939 20 0 DCADLN O=C(c1cncc(Cl)n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000816541235 670820648 /nfs/dbraw/zinc/82/06/48/670820648.db2.gz GZIMSSFLSWBZIY-ZETCQYMHSA-N 0 2 308.729 0.974 20 0 DCADLN O=C(Nn1c(=O)[n-][nH]c1=O)[C@@H]1CCC[N@@H+]1Cc1ccccc1 ZINC000816733165 670851820 /nfs/dbraw/zinc/85/18/20/670851820.db2.gz LQJSEXYRHVEGFK-NSHDSACASA-N 0 2 303.322 0.424 20 0 DCADLN O=C(Nn1c(=O)[n-][nH]c1=O)[C@@H]1CCC[N@H+]1Cc1ccccc1 ZINC000816733165 670851824 /nfs/dbraw/zinc/85/18/24/670851824.db2.gz LQJSEXYRHVEGFK-NSHDSACASA-N 0 2 303.322 0.424 20 0 DCADLN Cc1nn(CC(=O)C2(NC(=O)C(F)(F)F)CCCC2)c(=O)o1 ZINC000849986478 670870707 /nfs/dbraw/zinc/87/07/07/670870707.db2.gz WBTYTAWKJNXNKU-UHFFFAOYSA-N 0 2 321.255 0.705 20 0 DCADLN CC[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](CC)O1 ZINC000817637265 670927623 /nfs/dbraw/zinc/92/76/23/670927623.db2.gz ZAUNXPGEUGOKDM-QWRGUYRKSA-N 0 2 319.365 0.487 20 0 DCADLN CCN(CCO)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858389011 670999162 /nfs/dbraw/zinc/99/91/62/670999162.db2.gz OLIYIVUXRQCCSC-UHFFFAOYSA-N 0 2 308.256 0.913 20 0 DCADLN CC(C)(C)OC(=O)NCC12CC(C1)CN2Cc1n[nH]c(=O)[nH]1 ZINC000852527292 671136430 /nfs/dbraw/zinc/13/64/30/671136430.db2.gz LLJVDMPFFOMBMY-UHFFFAOYSA-N 0 2 309.370 0.999 20 0 DCADLN CCN(C(=O)OC(C)(C)C)[C@@H](C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000859831779 671148537 /nfs/dbraw/zinc/14/85/37/671148537.db2.gz CINCAQRJTMXWSR-QMMMGPOBSA-N 0 2 313.358 0.772 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CCN(C(=O)c2ccccc2)C1 ZINC000859943378 671161462 /nfs/dbraw/zinc/16/14/62/671161462.db2.gz ZJVWEUDWCGZYTR-NSHDSACASA-N 0 2 316.317 0.716 20 0 DCADLN C[C@H](OC(=O)c1ccc(CNC(=O)C(F)(F)F)o1)C(N)=O ZINC000860308577 671198330 /nfs/dbraw/zinc/19/83/30/671198330.db2.gz TYPJKDFUIGGTLY-YFKPBYRVSA-N 0 2 308.212 0.489 20 0 DCADLN CC1(C)Cc2n[nH]cc2CN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000852981952 671200733 /nfs/dbraw/zinc/20/07/33/671200733.db2.gz GZFGQWFORVQNKT-JTQLQIEISA-N 0 2 321.406 0.877 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1nnc(C2CC2)n1C1CC1 ZINC000826501145 671489857 /nfs/dbraw/zinc/48/98/57/671489857.db2.gz BKAZOENFNWAYGQ-UHFFFAOYSA-N 0 2 324.348 0.881 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cnc(F)c(Cl)c2)C(=O)N1C ZINC000826831534 671502114 /nfs/dbraw/zinc/50/21/14/671502114.db2.gz JFTRIPMXBNPIHF-RXMQYKEDSA-N 0 2 300.677 0.801 20 0 DCADLN CN(C)c1ccc([C@@H]2C[C@H]2C(=O)NCc2nnc(CO)[nH]2)cc1 ZINC000826959788 671508204 /nfs/dbraw/zinc/50/82/04/671508204.db2.gz DNRGRGGQRGOYET-QWHCGFSZSA-N 0 2 315.377 0.783 20 0 DCADLN O=S(=O)(Nc1nc2n(n1)CCCC2)c1cccc2nonc21 ZINC000830172823 671617364 /nfs/dbraw/zinc/61/73/64/671617364.db2.gz KMRNCKLAEASRHW-UHFFFAOYSA-N 0 2 320.334 0.951 20 0 DCADLN O=C(NCCOCCOC(=O)c1ccsn1)C(F)(F)F ZINC000835278435 671792377 /nfs/dbraw/zinc/79/23/77/671792377.db2.gz DDXQMGXJYQCVCZ-UHFFFAOYSA-N 0 2 312.269 0.995 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@@]1(O)CCC[C@@H]1C ZINC000867312576 672048219 /nfs/dbraw/zinc/04/82/19/672048219.db2.gz GRLRZWHZPGXTHQ-QWRGUYRKSA-N 0 2 312.457 0.880 20 0 DCADLN C[C@@H]1CCC[C@]1(O)CNS(=O)(=O)N=S1(=O)CCCC1 ZINC000867313579 672050663 /nfs/dbraw/zinc/05/06/63/672050663.db2.gz PLCCFSWWTWFZAW-MNOVXSKESA-N 0 2 310.441 0.634 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCO[C@]3(CCSC3)C2)S1 ZINC000843946234 672130305 /nfs/dbraw/zinc/13/03/05/672130305.db2.gz MJGCGWJMSGNAII-QPUJVOFHSA-N 0 2 315.420 0.277 20 0 DCADLN CCc1cc(NS(=O)(=O)CC2(OC)CCOCC2)nn1C ZINC000844664624 672192091 /nfs/dbraw/zinc/19/20/91/672192091.db2.gz PDLQWLKTWDKHIA-UHFFFAOYSA-N 0 2 317.411 0.920 20 0 DCADLN COCCCCS(=O)(=O)[N-]C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845517937 672254864 /nfs/dbraw/zinc/25/48/64/672254864.db2.gz XGDPSALPJSUKPW-NSHDSACASA-N 0 2 303.384 0.707 20 0 DCADLN CO[C@H](C)CS(=O)(=O)[N-]C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845531090 672255737 /nfs/dbraw/zinc/25/57/37/672255737.db2.gz AUYQWKXWDMTFCK-SNVBAGLBSA-N 0 2 315.395 0.243 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000845542268 672258227 /nfs/dbraw/zinc/25/82/27/672258227.db2.gz VCEJGSVQNQKTTK-NSHDSACASA-N 0 2 307.354 0.027 20 0 DCADLN CCO[N-]C(=O)CNC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000845549823 672259732 /nfs/dbraw/zinc/25/97/32/672259732.db2.gz DJMDLFFMNFRZAM-UHFFFAOYSA-N 0 2 317.349 0.739 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)c1ccc(F)cn1)n1cc[nH+]c1 ZINC000845595969 672265652 /nfs/dbraw/zinc/26/56/52/672265652.db2.gz XTXKFINDBSQKQU-VIFPVBQESA-N 0 2 312.326 0.873 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)[C@H](C)N2CCN(C)CC2)n1 ZINC000846649831 672333272 /nfs/dbraw/zinc/33/32/72/672333272.db2.gz ASTBKPQMNGBJGX-JTQLQIEISA-N 0 2 309.370 0.161 20 0 DCADLN COc1ccccc1C/C(C)=C/C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000085382400 685088524 /nfs/dbraw/zinc/08/85/24/685088524.db2.gz TVQRUDCVIPGNEQ-CSKARUKUSA-N 0 2 302.334 0.912 20 0 DCADLN CS(=O)(=O)Nc1cc(F)ccc1NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000091161166 685104572 /nfs/dbraw/zinc/10/45/72/685104572.db2.gz UCSVBXUAIQWDFH-GMSGAONNSA-N 0 2 317.298 0.801 20 0 DCADLN CS(=O)(=O)Nc1cc(F)ccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000091161166 685104579 /nfs/dbraw/zinc/10/45/79/685104579.db2.gz UCSVBXUAIQWDFH-GMSGAONNSA-N 0 2 317.298 0.801 20 0 DCADLN CN(C(=O)c1cnon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955090237 686263489 /nfs/dbraw/zinc/26/34/89/686263489.db2.gz FWVJINQQSNWNKZ-SSDOTTSWSA-N 0 2 310.207 0.253 20 0 DCADLN CN(C(=O)c1cnon1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000955090237 686263493 /nfs/dbraw/zinc/26/34/93/686263493.db2.gz FWVJINQQSNWNKZ-SSDOTTSWSA-N 0 2 310.207 0.253 20 0 DCADLN CC(=O)N1CCC[C@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000955838441 686518756 /nfs/dbraw/zinc/51/87/56/686518756.db2.gz HBODCACPOWTGIB-LBPRGKRZSA-N 0 2 316.365 0.551 20 0 DCADLN CCc1oc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cc1C ZINC000957304619 687022040 /nfs/dbraw/zinc/02/20/40/687022040.db2.gz YNLLDNYELYFPQW-UHFFFAOYSA-N 0 2 319.365 0.932 20 0 DCADLN C[C@H]1CC[C@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CC1 ZINC000957398253 687051162 /nfs/dbraw/zinc/05/11/62/687051162.db2.gz OOODXYROJPSTMF-HAQNSBGRSA-N 0 2 307.398 0.981 20 0 DCADLN CC(=O)NC[C@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H]1O ZINC000957789584 687189162 /nfs/dbraw/zinc/18/91/62/687189162.db2.gz WDPFIQQOARMEEV-ONGXEEELSA-N 0 2 322.390 0.326 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ocnc1C1CC1 ZINC001038696924 694165161 /nfs/dbraw/zinc/16/51/61/694165161.db2.gz JCKFUBXRHFLZDI-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN COc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038836809 694209673 /nfs/dbraw/zinc/20/96/73/694209673.db2.gz OHNXQTLKMPPEAU-MRVPVSSYSA-N 0 2 307.310 0.116 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)c1ccoc1 ZINC000961568692 688656113 /nfs/dbraw/zinc/65/61/13/688656113.db2.gz RIMCKEDFAHUAEO-HRDYMLBCSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O)c1ccoc1 ZINC000961568692 688656119 /nfs/dbraw/zinc/65/61/19/688656119.db2.gz RIMCKEDFAHUAEO-HRDYMLBCSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ncoc1C1CC1 ZINC001038883436 694229729 /nfs/dbraw/zinc/22/97/29/694229729.db2.gz XBPJQUBFKVOCLV-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN COc1cccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038954194 694252292 /nfs/dbraw/zinc/25/22/92/694252292.db2.gz BGTFAALOIKVQHE-LLVKDONJSA-N 0 2 317.349 0.523 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccncc1 ZINC000964636725 689276360 /nfs/dbraw/zinc/27/63/60/689276360.db2.gz PLCFPUIYNVQAFR-PWSUYJOCSA-N 0 2 316.365 0.546 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@@H]2C)on1 ZINC000964996391 689334226 /nfs/dbraw/zinc/33/42/26/689334226.db2.gz HBKNDDYLZFLQCF-WPRPVWTQSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC[C@H]1C ZINC000965857470 689567989 /nfs/dbraw/zinc/56/79/89/689567989.db2.gz AIAILZKGQWTOKG-BXKDBHETSA-N 0 2 318.381 0.787 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC[C@H]1C ZINC000965857470 689567992 /nfs/dbraw/zinc/56/79/92/689567992.db2.gz AIAILZKGQWTOKG-BXKDBHETSA-N 0 2 318.381 0.787 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cc(F)c[nH]1 ZINC000967407868 690002463 /nfs/dbraw/zinc/00/24/63/690002463.db2.gz HPOZDZTUDGVLGE-KWQFWETISA-N 0 2 322.344 0.618 20 0 DCADLN Cc1nnc(CN2CCCCC[C@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC000968931123 690428098 /nfs/dbraw/zinc/42/80/98/690428098.db2.gz GULSZKKAMYSGMP-NSHDSACASA-N 0 2 318.385 0.406 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000973001680 690505384 /nfs/dbraw/zinc/50/53/84/690505384.db2.gz GUGLUCUUNMAPLB-SECBINFHSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1ccoc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006761700 690582209 /nfs/dbraw/zinc/58/22/09/690582209.db2.gz RVXLGBOHACABAW-SNVBAGLBSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1noc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001007568245 690705748 /nfs/dbraw/zinc/70/57/48/690705748.db2.gz FCAIDDZXYIBSQT-JTQLQIEISA-N 0 2 320.353 0.510 20 0 DCADLN Cc1nnc([C@@H](C)N2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001007763434 690743943 /nfs/dbraw/zinc/74/39/43/690743943.db2.gz YINZYKCLBQSFPJ-PSASIEDQSA-N 0 2 304.358 0.187 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001010148355 691176190 /nfs/dbraw/zinc/17/61/90/691176190.db2.gz YZXXRYXYYVQBHM-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN O=C(Cn1cccn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010347865 691233434 /nfs/dbraw/zinc/23/34/34/691233434.db2.gz QZQDEMPFPKGLRH-SNVBAGLBSA-N 0 2 322.262 0.454 20 0 DCADLN O=C(Cn1cccn1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010347865 691233436 /nfs/dbraw/zinc/23/34/36/691233436.db2.gz QZQDEMPFPKGLRH-SNVBAGLBSA-N 0 2 322.262 0.454 20 0 DCADLN CCc1cc(C(=O)N2CC[C@H](NC(=O)c3cnn[nH]3)[C@@H]2C)[nH]n1 ZINC001011522478 691557824 /nfs/dbraw/zinc/55/78/24/691557824.db2.gz OUMFKEPADJNTQQ-WPRPVWTQSA-N 0 2 317.353 0.123 20 0 DCADLN O=C(N[C@]12CCC[C@H]1N(C(=O)c1ccn[nH]1)CC2)c1cn[nH]n1 ZINC001014333789 691994010 /nfs/dbraw/zinc/99/40/10/691994010.db2.gz SENFCMNLPWXEQL-RISCZKNCSA-N 0 2 315.337 0.095 20 0 DCADLN Cc1ccc(F)c(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015757727 692429635 /nfs/dbraw/zinc/42/96/35/692429635.db2.gz LILCAJSZYPZYPF-SNVBAGLBSA-N 0 2 319.340 0.962 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cnoc1C1CC1 ZINC001015932845 692510948 /nfs/dbraw/zinc/51/09/48/692510948.db2.gz BHRUOWHMNGNMOU-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(Cl)cn1 ZINC001016039095 692556005 /nfs/dbraw/zinc/55/60/05/692556005.db2.gz CWWZHDGTEZJKHF-VIFPVBQESA-N 0 2 322.756 0.563 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](NC(=O)c3cn[nH]n3)CC[C@H]2C)n[nH]1 ZINC001018250065 693070186 /nfs/dbraw/zinc/07/01/86/693070186.db2.gz JRMYSRGZEZCBCV-ZJUUUORDSA-N 0 2 317.353 0.259 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)no1 ZINC001018722459 693206664 /nfs/dbraw/zinc/20/66/64/693206664.db2.gz UCXVUQDAVSAEAO-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN C/C=C(/C)C(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074205164 694839859 /nfs/dbraw/zinc/83/98/59/694839859.db2.gz NVAKGJXZQXXTNV-GOUGKVJDSA-N 0 2 321.381 0.278 20 0 DCADLN CC(=O)NCC1(O)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001074613262 694912275 /nfs/dbraw/zinc/91/22/75/694912275.db2.gz MWXWOZCZWXEAAV-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)NCC1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001074613262 694912276 /nfs/dbraw/zinc/91/22/76/694912276.db2.gz MWXWOZCZWXEAAV-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CO[C@@H](C)C(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001075802327 694977771 /nfs/dbraw/zinc/97/77/71/694977771.db2.gz YQTURWIFNXGJTQ-BIIVOSGPSA-N 0 2 302.268 0.933 20 0 DCADLN CO[C@@H](C)C(=O)NCC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001075802327 694977772 /nfs/dbraw/zinc/97/77/72/694977772.db2.gz YQTURWIFNXGJTQ-BIIVOSGPSA-N 0 2 302.268 0.933 20 0 DCADLN O=C(N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1(F)CCCC1 ZINC001075971533 694990407 /nfs/dbraw/zinc/99/04/07/694990407.db2.gz AKSBDDPETCHOEJ-WDEREUQCSA-N 0 2 323.372 0.825 20 0 DCADLN COCCC(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076856687 695077895 /nfs/dbraw/zinc/07/78/95/695077895.db2.gz BLCUKWAIZYBSML-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN COCCC(=O)N[C@@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001076856687 695077900 /nfs/dbraw/zinc/07/79/00/695077900.db2.gz BLCUKWAIZYBSML-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O)C1=CCCCCC1 ZINC001076925037 695100490 /nfs/dbraw/zinc/10/04/90/695100490.db2.gz QLPABXVLSGHRJU-VXGBXAGGSA-N 0 2 321.381 0.062 20 0 DCADLN COCCn1ccc(-n2c(O)c(CC(F)(F)F)[nH]c2=O)n1 ZINC000348721803 1158903389 /nfs/dbraw/zinc/90/33/89/1158903389.db2.gz SJWRDIIIMQKXOM-SSDOTTSWSA-N 0 2 306.244 0.907 20 0 DCADLN O=C(c1cncc2nc[nH]c21)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001455745270 1159760857 /nfs/dbraw/zinc/76/08/57/1159760857.db2.gz QZOBKJUZPXCHJK-VIFPVBQESA-N 0 2 312.337 0.566 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cnc(-c3ccccn3)nc2)C1=O ZINC001566470299 1159997304 /nfs/dbraw/zinc/99/73/04/1159997304.db2.gz QNKCMYGRFAZAFJ-UHFFFAOYSA-N 0 2 312.289 0.077 20 0 DCADLN CCC[N@H+](C)CC(=O)NCC1(O)C[NH+](CC2=CCCCC2)C1 ZINC001569788925 1161248377 /nfs/dbraw/zinc/24/83/77/1161248377.db2.gz XVKPXGMCSMUTAC-UHFFFAOYSA-N 0 2 309.454 0.992 20 0 DCADLN CC(C)Cn1cccc(C(=O)NCc2[nH+]c[nH]c2C(=O)[O-])c1=O ZINC001573519228 1163456897 /nfs/dbraw/zinc/45/68/97/1163456897.db2.gz GDSSZQVSTDSMCC-UHFFFAOYSA-N 0 2 318.333 0.856 20 0 DCADLN CN(C)[C@@H](C(=O)Nc1ccc(C(=O)[O-])nc1)c1c[nH+]cn1C ZINC001573858648 1163570307 /nfs/dbraw/zinc/57/03/07/1163570307.db2.gz GISDLZSZLOPKMZ-GFCCVEGCSA-N 0 2 303.322 0.755 20 0 DCADLN C[S@](=O)C1(C(=O)[O-])CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001574060155 1163632929 /nfs/dbraw/zinc/63/29/29/1163632929.db2.gz ZECDEZMVIPYRHZ-NRFANRHFSA-N 0 2 313.379 0.167 20 0 DCADLN NS(=O)(=O)c1cccc(C[NH2+]Cc2cc(C(=O)[O-])no2)c1 ZINC001574280979 1163682052 /nfs/dbraw/zinc/68/20/52/1163682052.db2.gz SLNTVMSCUYJUAJ-UHFFFAOYSA-N 0 2 311.319 0.310 20 0 DCADLN O=C([O-])c1ccc(N2CC(NC(=O)N[C@@H]3CCC[C@H]3O)C2)[nH+]c1 ZINC001574311306 1163695486 /nfs/dbraw/zinc/69/54/86/1163695486.db2.gz GOQAXZLTBZJPNE-VXGBXAGGSA-N 0 2 320.349 0.181 20 0 DCADLN CO[C@@H](C)CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001575750552 1164133739 /nfs/dbraw/zinc/13/37/39/1164133739.db2.gz PTXYGYKJJRRRKQ-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CO[C@@H](C)CC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001575750552 1164133743 /nfs/dbraw/zinc/13/37/43/1164133743.db2.gz PTXYGYKJJRRRKQ-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCCCN(CCO)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001577512447 1164709979 /nfs/dbraw/zinc/70/99/79/1164709979.db2.gz NORCVWPIXWDXEG-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@H]2CN(Cc3cn[nH]n3)C[C@H]21 ZINC001577964759 1164864696 /nfs/dbraw/zinc/86/46/96/1164864696.db2.gz FGATUHPSRUBWOO-WCQYABFASA-N 0 2 302.338 0.068 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@H]2CN(Cc3c[nH]nn3)C[C@H]21 ZINC001577964759 1164864703 /nfs/dbraw/zinc/86/47/03/1164864703.db2.gz FGATUHPSRUBWOO-WCQYABFASA-N 0 2 302.338 0.068 20 0 DCADLN O=S(=O)(N[C@@H](Cc1cn[nH]n1)c1ccccc1)c1ncc[nH]1 ZINC001578047058 1164907745 /nfs/dbraw/zinc/90/77/45/1164907745.db2.gz DLCYUWORDPNPPM-LBPRGKRZSA-N 0 2 318.362 0.790 20 0 DCADLN O=S(=O)(N[C@@H](Cc1c[nH]nn1)c1ccccc1)c1ncc[nH]1 ZINC001578047058 1164907752 /nfs/dbraw/zinc/90/77/52/1164907752.db2.gz DLCYUWORDPNPPM-LBPRGKRZSA-N 0 2 318.362 0.790 20 0 DCADLN COC(=O)c1nc[nH]c1NC(=O)c1ccc(-c2nn[nH]n2)nc1 ZINC001579491099 1165331251 /nfs/dbraw/zinc/33/12/51/1165331251.db2.gz ACVMLPYVMWJSMR-UHFFFAOYSA-N 0 2 314.265 0.024 20 0 DCADLN CO[C@H]1CC[C@H](NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001579613358 1165359738 /nfs/dbraw/zinc/35/97/38/1165359738.db2.gz UCTOCNLHEOJOJK-IUCAKERBSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1(C)CCOCC1 ZINC001580602171 1165653428 /nfs/dbraw/zinc/65/34/28/1165653428.db2.gz NPAVPJZUJWPMAW-UHFFFAOYSA-N 0 2 318.337 0.575 20 0 DCADLN Cc1nnc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)s1 ZINC001581611002 1165848884 /nfs/dbraw/zinc/84/88/84/1165848884.db2.gz BEBKGWNRYHCUQL-UHFFFAOYSA-N 0 2 315.362 0.725 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cc(-c2nn[nH]n2)ccc1F ZINC001582558467 1166045441 /nfs/dbraw/zinc/04/54/41/1166045441.db2.gz YPKFUQWDBDFVCC-UHFFFAOYSA-N 0 2 319.260 0.151 20 0 DCADLN CC(C)(C(=O)NC[C@](C)(NC(=O)C(N)=O)C1CC1)C1CCC1 ZINC001582659154 1166062763 /nfs/dbraw/zinc/06/27/63/1166062763.db2.gz NHWDHVKHRQACFY-INIZCTEOSA-N 0 2 309.410 0.699 20 0 DCADLN O=S(=O)(NCc1cnc[nH]1)c1ccc(-c2nn[nH]n2)c(F)c1 ZINC001582796509 1166083584 /nfs/dbraw/zinc/08/35/84/1166083584.db2.gz VOCCFRICTVJYIQ-UHFFFAOYSA-N 0 2 323.313 0.207 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1c(F)cccc1Cl ZINC001582807120 1166089418 /nfs/dbraw/zinc/08/94/18/1166089418.db2.gz WFLSMYXANNTIQC-UHFFFAOYSA-N 0 2 322.687 0.970 20 0 DCADLN C[C@@H](CN(C)C(=O)c1sccc1-c1nn[nH]n1)c1nn[nH]n1 ZINC001589842493 1166696445 /nfs/dbraw/zinc/69/64/45/1166696445.db2.gz XVCICFYLVDCCSN-LURJTMIESA-N 0 2 319.354 0.317 20 0 DCADLN C[C@H](CO)Cn1cc(Br)cc(-c2nn[nH]n2)c1=O ZINC001589882880 1166702568 /nfs/dbraw/zinc/70/25/68/1166702568.db2.gz UKSPAMMIDQDGPW-LURJTMIESA-N 0 2 314.143 0.419 20 0 DCADLN C[C@@](O)(CC(=O)NOc1cccc(-c2nn[nH]n2)c1)C1CC1 ZINC001589989693 1166738865 /nfs/dbraw/zinc/73/88/65/1166738865.db2.gz HODGZIRGUDQECZ-CQSZACIVSA-N 0 2 303.322 0.828 20 0 DCADLN C[C@@H]1CCc2n[nH]c(C(=O)n3ncc(-c4nn[nH]n4)c3N)c2C1 ZINC001590280708 1166840934 /nfs/dbraw/zinc/84/09/34/1166840934.db2.gz DLZJVYGATBSDQS-ZCFIWIBFSA-N 0 2 313.325 0.182 20 0 DCADLN CC[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)[C@H]1CCCO1 ZINC001590472597 1166918197 /nfs/dbraw/zinc/91/81/97/1166918197.db2.gz UTPYZTWGRKTWSL-JGVFFNPUSA-N 0 2 321.341 0.036 20 0 DCADLN CC[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@@H](CC)CO1 ZINC001590524250 1166934842 /nfs/dbraw/zinc/93/48/42/1166934842.db2.gz RAOBQQJIUIWLGP-NWDGAFQWSA-N 0 2 318.381 0.875 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n([C@@H]2CCC(C)(C)C2=O)c1=O ZINC001590950160 1167056227 /nfs/dbraw/zinc/05/62/27/1167056227.db2.gz TYAHYPMXDJCSJL-SECBINFHSA-N 0 2 318.337 0.140 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCC(F)(F)Cl)c1=O ZINC001590949649 1167057033 /nfs/dbraw/zinc/05/70/33/1167057033.db2.gz JGOURKDSYIMULY-UHFFFAOYSA-N 0 2 320.687 0.432 20 0 DCADLN COC(=O)/C=C(\C)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001591257962 1167181528 /nfs/dbraw/zinc/18/15/28/1167181528.db2.gz ZJPJTUPQEWTAIN-RMKNXTFCSA-N 0 2 315.333 0.636 20 0 DCADLN CO[C@@H](C)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2=O ZINC001591324943 1167214423 /nfs/dbraw/zinc/21/44/23/1167214423.db2.gz UBOGVCBUGYHYKV-QMMMGPOBSA-N 0 2 303.322 0.582 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](C)C(C)(C)O ZINC001591835636 1167391577 /nfs/dbraw/zinc/39/15/77/1167391577.db2.gz NPJRBOBMOXLGOV-ZETCQYMHSA-N 0 2 306.326 0.165 20 0 DCADLN Cc1n[nH]c(C2CN(c3cc(-c4nn[nH]n4)nc(C4CC4)n3)C2)n1 ZINC001592357659 1167505972 /nfs/dbraw/zinc/50/59/72/1167505972.db2.gz CGFMYPBRDOIRLO-UHFFFAOYSA-N 0 2 324.352 0.564 20 0 DCADLN Cc1nc(C2CN(c3cc(-c4nn[nH]n4)nc(C4CC4)n3)C2)n[nH]1 ZINC001592357659 1167505975 /nfs/dbraw/zinc/50/59/75/1167505975.db2.gz CGFMYPBRDOIRLO-UHFFFAOYSA-N 0 2 324.352 0.564 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)C[C@@H](O)C(F)(F)F ZINC001592495781 1167539717 /nfs/dbraw/zinc/53/97/17/1167539717.db2.gz QXZQKQYUNFWRPA-MRVPVSSYSA-N 0 2 319.243 0.763 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCC(C(N)=O)CC1 ZINC001592497028 1167539744 /nfs/dbraw/zinc/53/97/44/1167539744.db2.gz RUOJGGBUWRJSMX-UHFFFAOYSA-N 0 2 304.310 0.106 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H](C)c1cnn(C)c1 ZINC001592498834 1167539811 /nfs/dbraw/zinc/53/98/11/1167539811.db2.gz FXHCHKJXWKYXOP-SSDOTTSWSA-N 0 2 301.310 0.993 20 0 DCADLN O=C(c1cc(-c2nn[nH]n2)cs1)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001593326450 1167773167 /nfs/dbraw/zinc/77/31/67/1167773167.db2.gz ARQNQKRSGDJLDD-ZCFIWIBFSA-N 0 2 317.338 0.071 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1nc2ccccc2o1 ZINC001593489426 1167871125 /nfs/dbraw/zinc/87/11/25/1167871125.db2.gz MMKXQRZHFXRZDL-UHFFFAOYSA-N 0 2 311.261 0.319 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCO[C@H](C2CCC2)C1 ZINC001593493102 1167875542 /nfs/dbraw/zinc/87/55/42/1167875542.db2.gz YFYRRCVTZBSNFN-ZDUSSCGKSA-N 0 2 316.365 0.487 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@H](O)c1ccc(F)cc1 ZINC001593493837 1167876058 /nfs/dbraw/zinc/87/60/58/1167876058.db2.gz FXPHVIRAXWTGAF-NSHDSACASA-N 0 2 302.269 0.296 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3[nH]c(=O)oc3c2)n(C)n1 ZINC000002202992 1168023598 /nfs/dbraw/zinc/02/35/98/1168023598.db2.gz CXQDROFUOGMCKN-UHFFFAOYSA-N 0 2 308.319 0.964 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N2CCC[C@H]2CC(=O)[O-])cc(C)[nH+]1 ZINC001600197360 1168137086 /nfs/dbraw/zinc/13/70/86/1168137086.db2.gz JULODWGXJLSRGC-ZDUSSCGKSA-N 0 2 319.361 0.780 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](CC(N)=O)C2)cc1C(=O)[O-] ZINC001600336532 1168169145 /nfs/dbraw/zinc/16/91/45/1168169145.db2.gz KYRCVYBZIXXKBH-GFCCVEGCSA-N 0 2 319.361 0.373 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](CC(N)=O)C2)cc1C(=O)[O-] ZINC001600336532 1168169150 /nfs/dbraw/zinc/16/91/50/1168169150.db2.gz KYRCVYBZIXXKBH-GFCCVEGCSA-N 0 2 319.361 0.373 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N1CC[NH2+]CC1=O ZINC001600353100 1168172197 /nfs/dbraw/zinc/17/21/97/1168172197.db2.gz UFWQNYJLIDWHAW-JTQLQIEISA-N 0 2 305.334 0.452 20 0 DCADLN Cc1cccc(C(=O)C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001600510460 1168193156 /nfs/dbraw/zinc/19/31/56/1168193156.db2.gz KBXZPDMPWIMZHI-LBPRGKRZSA-N 0 2 301.302 0.713 20 0 DCADLN Cc1cccc(C(=O)C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001600510460 1168193162 /nfs/dbraw/zinc/19/31/62/1168193162.db2.gz KBXZPDMPWIMZHI-LBPRGKRZSA-N 0 2 301.302 0.713 20 0 DCADLN Cc1cncc(-c2nc(C[N@@H+]3C[C@H](O)C[C@H](C(=O)[O-])C3)no2)c1 ZINC001600626705 1168219169 /nfs/dbraw/zinc/21/91/69/1168219169.db2.gz BTUYVFSVJBYFFB-NWDGAFQWSA-N 0 2 318.333 0.707 20 0 DCADLN Cc1cncc(-c2nc(C[N@H+]3C[C@H](O)C[C@H](C(=O)[O-])C3)no2)c1 ZINC001600626705 1168219176 /nfs/dbraw/zinc/21/91/76/1168219176.db2.gz BTUYVFSVJBYFFB-NWDGAFQWSA-N 0 2 318.333 0.707 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)NC[C@H]3CCO[C@H]3C(=O)[O-])ccn12 ZINC001600627463 1168219972 /nfs/dbraw/zinc/21/99/72/1168219972.db2.gz YPTUOUKGEFOKNR-DGCLKSJQSA-N 0 2 303.318 0.862 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)c(C)c(C)[nH+]1 ZINC001600691574 1168253065 /nfs/dbraw/zinc/25/30/65/1168253065.db2.gz ZCTCXKAIUUDVML-OLZOCXBDSA-N 0 2 318.377 0.771 20 0 DCADLN Cc1nc(CN2CC[NH+](Cc3ccc(C(=O)[O-])n3C)CC2)no1 ZINC001600701454 1168259006 /nfs/dbraw/zinc/25/90/06/1168259006.db2.gz VJBDAWVMMVVZIZ-UHFFFAOYSA-N 0 2 319.365 0.733 20 0 DCADLN Cc1nnc(N2CC[NH+](Cc3cncc(C(=O)[O-])c3)CC2)n1C ZINC001600797175 1168299008 /nfs/dbraw/zinc/29/90/08/1168299008.db2.gz BCXHUWRIAYUQDE-UHFFFAOYSA-N 0 2 316.365 0.539 20 0 DCADLN Cn1cc(C(=O)[O-])c(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)n1 ZINC001600935945 1168519919 /nfs/dbraw/zinc/51/99/19/1168519919.db2.gz VHBVGJGZYPLXDR-SNVBAGLBSA-N 0 2 323.353 0.057 20 0 DCADLN Cn1cc(CN2CC[NH+](CC[S@](C)=O)CC2)cc1C(=O)[O-] ZINC001600953154 1168530784 /nfs/dbraw/zinc/53/07/84/1168530784.db2.gz OKMMZFWVSRFMHG-NRFANRHFSA-N 0 2 313.423 0.219 20 0 DCADLN Cn1c[nH+]cc1C1CCN(C(=O)Cn2cc(C(=O)[O-])cn2)CC1 ZINC001600993130 1168551305 /nfs/dbraw/zinc/55/13/05/1168551305.db2.gz IIZSFSUBGJVDHM-UHFFFAOYSA-N 0 2 317.349 0.721 20 0 DCADLN Cn1ncc2cc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cnc21 ZINC001601032691 1168573311 /nfs/dbraw/zinc/57/33/11/1168573311.db2.gz LCGSQDDCLXDQGB-LLVKDONJSA-N 0 2 314.305 0.117 20 0 DCADLN Cn1ncc2cc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cnc21 ZINC001601032691 1168573314 /nfs/dbraw/zinc/57/33/14/1168573314.db2.gz LCGSQDDCLXDQGB-LLVKDONJSA-N 0 2 314.305 0.117 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCC[N@@H+]1Cc1cc(C(=O)[O-])no1 ZINC001601193952 1168651851 /nfs/dbraw/zinc/65/18/51/1168651851.db2.gz LGVWSOAULSYKBL-QMMMGPOBSA-N 0 2 303.340 0.016 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCC[N@H+]1Cc1cc(C(=O)[O-])no1 ZINC001601193952 1168651860 /nfs/dbraw/zinc/65/18/60/1168651860.db2.gz LGVWSOAULSYKBL-QMMMGPOBSA-N 0 2 303.340 0.016 20 0 DCADLN O=C([O-])c1cccc(CC(=O)NC[C@H]2C[NH+]3CCN2CC3)c1 ZINC001601363984 1168720344 /nfs/dbraw/zinc/72/03/44/1168720344.db2.gz SUBMXKGMXCNBAM-AWEZNQCLSA-N 0 2 303.362 0.043 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2nonc2c1 ZINC001601425560 1168742021 /nfs/dbraw/zinc/74/20/21/1168742021.db2.gz DBUUQQXYAIDJBW-LLVKDONJSA-N 0 2 301.262 0.303 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1nc2cccnc2s1 ZINC001601425613 1168742153 /nfs/dbraw/zinc/74/21/53/1168742153.db2.gz FKGPCINCZGVEBO-VIFPVBQESA-N 0 2 317.330 0.771 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc2ncccc2o1 ZINC001601425487 1168742466 /nfs/dbraw/zinc/74/24/66/1168742466.db2.gz BMFURVMTFYEBIX-SNVBAGLBSA-N 0 2 300.274 0.908 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)c1ccc(O)cc1 ZINC001601426464 1168742531 /nfs/dbraw/zinc/74/25/31/1168742531.db2.gz ZMOHHEWPZUEHFU-NSHDSACASA-N 0 2 303.274 0.041 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cnc2ccccc2n1 ZINC001601426242 1168743044 /nfs/dbraw/zinc/74/30/44/1168743044.db2.gz VNTINQQDQXLIOT-CYBMUJFWSA-N 0 2 311.301 0.710 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](Cc2ncnn2-c2ccccc2)C1 ZINC001601767389 1168865047 /nfs/dbraw/zinc/86/50/47/1168865047.db2.gz DOVLVXWYEFNZOS-YPMHNXCESA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](Cc2ncnn2-c2ccccc2)C1 ZINC001601767389 1168865057 /nfs/dbraw/zinc/86/50/57/1168865057.db2.gz DOVLVXWYEFNZOS-YPMHNXCESA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001601775893 1168874128 /nfs/dbraw/zinc/87/41/28/1168874128.db2.gz NHSQBJRDCRPSDM-NEPJUHHUSA-N 0 2 316.361 0.232 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001601775893 1168874138 /nfs/dbraw/zinc/87/41/38/1168874138.db2.gz NHSQBJRDCRPSDM-NEPJUHHUSA-N 0 2 316.361 0.232 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001601776620 1168876196 /nfs/dbraw/zinc/87/61/96/1168876196.db2.gz CEBGNFUHPVECFI-KGLIPLIRSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001601776620 1168876209 /nfs/dbraw/zinc/87/62/09/1168876209.db2.gz CEBGNFUHPVECFI-KGLIPLIRSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@@H]1Cc2ccccc2CN1C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC001601864857 1168919907 /nfs/dbraw/zinc/91/99/07/1168919907.db2.gz TXRQKWXRIPKPMH-QWHCGFSZSA-N 0 2 301.302 0.481 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)c2cc(F)cc(F)c2O)CC1 ZINC001602062670 1168980947 /nfs/dbraw/zinc/98/09/47/1168980947.db2.gz XHKOIEZNSDPNOP-UHFFFAOYSA-N 0 2 300.261 0.513 20 0 DCADLN O=C([O-])COCC(=O)OCc1cccc(C[NH+]2CCOCC2)c1 ZINC001602077657 1168988693 /nfs/dbraw/zinc/98/86/93/1168988693.db2.gz PBOSKAGTHMLHDQ-UHFFFAOYSA-N 0 2 323.345 0.663 20 0 DCADLN O=C([O-])Cn1cc(CN2CC[NH+](Cc3cccnc3)CC2)nn1 ZINC001602162386 1169009578 /nfs/dbraw/zinc/00/95/78/1169009578.db2.gz AXKCUELBWUBUCL-UHFFFAOYSA-N 0 2 316.365 0.076 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(C(=O)NCCCNc3cccc[nH+]3)C[C@@H]21 ZINC001602205853 1169030195 /nfs/dbraw/zinc/03/01/95/1169030195.db2.gz XCXBQQNTVOIVEX-PJXYFTJBSA-N 0 2 304.350 0.856 20 0 DCADLN O=C([O-])c1ccc(Nc2cnn(CC[NH+]3CCOCC3)c2)nn1 ZINC001602385294 1169065929 /nfs/dbraw/zinc/06/59/29/1169065929.db2.gz DKGKFCDLUFYDMT-UHFFFAOYSA-N 0 2 318.337 0.447 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@@H+]3CC[C@H](O)[C@H](CO)C3)nc2c1 ZINC001602407267 1169073113 /nfs/dbraw/zinc/07/31/13/1169073113.db2.gz PYDCWAQWKPTMTC-GWCFXTLKSA-N 0 2 305.334 0.436 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@H+]3CC[C@H](O)[C@H](CO)C3)nc2c1 ZINC001602407267 1169073121 /nfs/dbraw/zinc/07/31/21/1169073121.db2.gz PYDCWAQWKPTMTC-GWCFXTLKSA-N 0 2 305.334 0.436 20 0 DCADLN O=C([O-])c1ccc2c(c1)CN(C(=O)[C@H](O)c1c[nH+]c[nH]1)CC2 ZINC001602419167 1169076128 /nfs/dbraw/zinc/07/61/28/1169076128.db2.gz QYSKNTAHUANSOS-CYBMUJFWSA-N 0 2 301.302 0.726 20 0 DCADLN O=C([O-])c1nccnc1NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC001602580640 1169126086 /nfs/dbraw/zinc/12/60/86/1169126086.db2.gz GMQWXQCVACJSGX-CQSZACIVSA-N 0 2 324.406 0.795 20 0 DCADLN C/C(=C/C[N@@H+]1CCc2c(cccc2S(N)(=O)=O)C1)C(=O)[O-] ZINC001602963534 1169221133 /nfs/dbraw/zinc/22/11/33/1169221133.db2.gz FSXQHNNSEQJHNM-YHYXMXQVSA-N 0 2 310.375 0.723 20 0 DCADLN C/C(=C/C[N@H+]1CCc2c(cccc2S(N)(=O)=O)C1)C(=O)[O-] ZINC001602963534 1169221143 /nfs/dbraw/zinc/22/11/43/1169221143.db2.gz FSXQHNNSEQJHNM-YHYXMXQVSA-N 0 2 310.375 0.723 20 0 DCADLN CC(C)(C)c1nc(Cn2cncc(-c3nn[nH]n3)c2=O)no1 ZINC001603124145 1169248951 /nfs/dbraw/zinc/24/89/51/1169248951.db2.gz NLKVJAYDAPQKJW-UHFFFAOYSA-N 0 2 302.298 0.152 20 0 DCADLN CC(C)(C(=O)[O-])[NH+]1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC001603262488 1169285362 /nfs/dbraw/zinc/28/53/62/1169285362.db2.gz KVSKCVIVOSCHBA-UHFFFAOYSA-N 0 2 317.349 0.579 20 0 DCADLN CC(C)(C)N1CC[C@H]([N@@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)C1=O ZINC001603344070 1169297691 /nfs/dbraw/zinc/29/76/91/1169297691.db2.gz DYRPLJZWVCOIIA-NSHDSACASA-N 0 2 306.366 0.865 20 0 DCADLN CC(C)(C)N1CC[C@H]([N@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)C1=O ZINC001603344070 1169297697 /nfs/dbraw/zinc/29/76/97/1169297697.db2.gz DYRPLJZWVCOIIA-NSHDSACASA-N 0 2 306.366 0.865 20 0 DCADLN CC(C)(C)OC(=O)N1CCC[C@@H]1C[NH+]1CC(O)(C(=O)[O-])C1 ZINC001603416300 1169302847 /nfs/dbraw/zinc/30/28/47/1169302847.db2.gz SICBVQNPCSERJZ-SNVBAGLBSA-N 0 2 300.355 0.517 20 0 DCADLN CC(C)([NH2+]CC(=O)NCC(F)(F)F)c1nocc1C(=O)[O-] ZINC001603681026 1169350807 /nfs/dbraw/zinc/35/08/07/1169350807.db2.gz SJIFFFJJKFOCDS-UHFFFAOYSA-N 0 2 309.244 0.876 20 0 DCADLN C[C@H]1CCCC[C@@H]1NC(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001603890904 1169422639 /nfs/dbraw/zinc/42/26/39/1169422639.db2.gz OEXSJUVULGLXTP-ONGXEEELSA-N 0 2 317.353 0.118 20 0 DCADLN CC(C)c1nnc2n1CC[N@@H+](CCC(=O)N(C)CC(=O)[O-])[C@@H]2C ZINC001604027058 1169474258 /nfs/dbraw/zinc/47/42/58/1169474258.db2.gz ZJRZMZFLMCELQH-LLVKDONJSA-N 0 2 323.397 0.711 20 0 DCADLN CC(C)c1nnc2n1CC[N@H+](CCC(=O)N(C)CC(=O)[O-])[C@@H]2C ZINC001604027058 1169474261 /nfs/dbraw/zinc/47/42/61/1169474261.db2.gz ZJRZMZFLMCELQH-LLVKDONJSA-N 0 2 323.397 0.711 20 0 DCADLN C[C@@H](CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1cnn(C)c1 ZINC001604068128 1169486410 /nfs/dbraw/zinc/48/64/10/1169486410.db2.gz LDSRREZJHXNJSV-CABZTGNLSA-N 0 2 305.338 0.449 20 0 DCADLN C[C@@H](CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1cnn(C)c1 ZINC001604068128 1169486412 /nfs/dbraw/zinc/48/64/12/1169486412.db2.gz LDSRREZJHXNJSV-CABZTGNLSA-N 0 2 305.338 0.449 20 0 DCADLN CCC[C@H]1CCCN1c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001604152464 1169507394 /nfs/dbraw/zinc/50/73/94/1169507394.db2.gz LJBQNPGULRCDLA-VIFPVBQESA-N 0 2 319.369 0.033 20 0 DCADLN C[C@]([NH2+]CC(=O)NC(=O)NC1CC1)(C(=O)[O-])c1ccccc1 ZINC001604327723 1169554705 /nfs/dbraw/zinc/55/47/05/1169554705.db2.gz AUNAMQBHFGYQBH-OAHLLOKOSA-N 0 2 305.334 0.564 20 0 DCADLN C[C@H](Oc1ccccc1C[NH+]1CCN(CC(N)=O)CC1)C(=O)[O-] ZINC001604442991 1169593870 /nfs/dbraw/zinc/59/38/70/1169593870.db2.gz KSZLUJSNZIVEQC-LBPRGKRZSA-N 0 2 321.377 0.141 20 0 DCADLN C[C@@]1(CO)C[C@H](O)C[N@@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001604585000 1169623977 /nfs/dbraw/zinc/62/39/77/1169623977.db2.gz SKVFAMMMRVGEDJ-SDBXPKJASA-N 0 2 314.363 0.202 20 0 DCADLN C[C@@]1(CO)C[C@H](O)C[N@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001604585000 1169623979 /nfs/dbraw/zinc/62/39/79/1169623979.db2.gz SKVFAMMMRVGEDJ-SDBXPKJASA-N 0 2 314.363 0.202 20 0 DCADLN CC1(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC[NH+](Cc2ccon2)CC1 ZINC001604589609 1169625005 /nfs/dbraw/zinc/62/50/05/1169625005.db2.gz UTYBWBWNBRIEOH-NEPJUHHUSA-N 0 2 307.350 0.866 20 0 DCADLN CCc1onc(C)c1Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001604592962 1169627277 /nfs/dbraw/zinc/62/72/77/1169627277.db2.gz MWWHBTINHNGIIM-UHFFFAOYSA-N 0 2 315.337 0.947 20 0 DCADLN CN(C)C(=O)O[C@H]1CCN(Cc2ccc(-c3nn[nH]n3)o2)C1 ZINC001604671595 1169648041 /nfs/dbraw/zinc/64/80/41/1169648041.db2.gz YWQPSELSLVUYOH-JTQLQIEISA-N 0 2 306.326 0.732 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC(=O)[C@H]2C[C@H]2C)c1 ZINC001604963826 1169764579 /nfs/dbraw/zinc/76/45/79/1169764579.db2.gz ADEQOGXPNPGOLI-APPZFPTMSA-N 0 2 317.305 0.040 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC2=CCCC2)c1 ZINC001604964490 1169765245 /nfs/dbraw/zinc/76/52/45/1169765245.db2.gz RQYOOSLLOULQML-UHFFFAOYSA-N 0 2 301.306 0.925 20 0 DCADLN CO[C@@H](Cn1cc(C)cc(-c2nn[nH]n2)c1=O)[C@@H]1CCOC1 ZINC001605012652 1169778458 /nfs/dbraw/zinc/77/84/58/1169778458.db2.gz QAHJKRJXYSQQMP-PWSUYJOCSA-N 0 2 305.338 0.388 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=S)NCCCC(=O)[O-] ZINC001605025145 1169784571 /nfs/dbraw/zinc/78/45/71/1169784571.db2.gz RNTKXOOBTQXLIF-LLVKDONJSA-N 0 2 303.428 0.426 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=S)NCCCC(=O)[O-] ZINC001605025146 1169785014 /nfs/dbraw/zinc/78/50/14/1169785014.db2.gz RNTKXOOBTQXLIF-NSHDSACASA-N 0 2 303.428 0.426 20 0 DCADLN CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC001605172355 1169857412 /nfs/dbraw/zinc/85/74/12/1169857412.db2.gz FNVRIBUGJXYKAR-JTQLQIEISA-N 0 2 313.379 0.495 20 0 DCADLN CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC001605172355 1169857416 /nfs/dbraw/zinc/85/74/16/1169857416.db2.gz FNVRIBUGJXYKAR-JTQLQIEISA-N 0 2 313.379 0.495 20 0 DCADLN COc1cc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)sn1 ZINC001605222981 1169877601 /nfs/dbraw/zinc/87/76/01/1169877601.db2.gz HIWVRPZOCBWSLE-UHFFFAOYSA-N 0 2 319.350 0.554 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C[C@H](C)CC(C)C)c(=O)n1C ZINC001605462514 1169929428 /nfs/dbraw/zinc/92/94/28/1169929428.db2.gz FUWAEFPVDORDIY-SECBINFHSA-N 0 2 306.370 0.718 20 0 DCADLN CCN1OC[C@@H]([NH2+][C@@H](Cc2cccc(OC)c2)C(=O)[O-])C1=O ZINC001605487344 1169937472 /nfs/dbraw/zinc/93/74/72/1169937472.db2.gz JGWWFJBNIBUXNF-QWHCGFSZSA-N 0 2 308.334 0.443 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[NH2+][C@H](C(=O)[O-])c1cccc(OC)c1 ZINC001605494691 1169939241 /nfs/dbraw/zinc/93/92/41/1169939241.db2.gz GDYGQXUKVGRVOM-CABZTGNLSA-N 0 2 323.349 0.645 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2noc(C(C)C)n2)c1 ZINC001605510821 1169945059 /nfs/dbraw/zinc/94/50/59/1169945059.db2.gz JIJQMIPLEKQFLI-UHFFFAOYSA-N 0 2 301.310 0.892 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)Nc1nncs1 ZINC001605510785 1169945133 /nfs/dbraw/zinc/94/51/33/1169945133.db2.gz HZLMTNBGTMXBMB-UHFFFAOYSA-N 0 2 304.295 0.380 20 0 DCADLN CCOC(=O)C[N@H+](CCC(=O)NCC(=O)[O-])Cc1ccccc1 ZINC001605539654 1169954115 /nfs/dbraw/zinc/95/41/15/1169954115.db2.gz WJZABYBPQPXDJN-UHFFFAOYSA-N 0 2 322.361 0.643 20 0 DCADLN CCOC(=O)C[N@@H+](CCC(=O)NCC(=O)[O-])Cc1ccccc1 ZINC001605539654 1169954118 /nfs/dbraw/zinc/95/41/18/1169954118.db2.gz WJZABYBPQPXDJN-UHFFFAOYSA-N 0 2 322.361 0.643 20 0 DCADLN CCOC(=O)c1c(C)onc1C[NH2+][C@]1(CC(=O)[O-])CCOC1 ZINC001605564466 1169961522 /nfs/dbraw/zinc/96/15/22/1169961522.db2.gz XBAHVGDZFOCBDZ-AWEZNQCLSA-N 0 2 312.322 0.883 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@H+](C)Cc1cc(-c2cnn(C)c2)no1 ZINC001605625116 1169976575 /nfs/dbraw/zinc/97/65/75/1169976575.db2.gz QQNLSBCGMODDDF-ZDUSSCGKSA-N 0 2 308.338 0.997 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@@H+](C)Cc1cc(-c2cnn(C)c2)no1 ZINC001605625116 1169976580 /nfs/dbraw/zinc/97/65/80/1169976580.db2.gz QQNLSBCGMODDDF-ZDUSSCGKSA-N 0 2 308.338 0.997 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)Nc2ccn(CC(=O)[O-])n2)co1 ZINC001606036891 1170062568 /nfs/dbraw/zinc/06/25/68/1170062568.db2.gz GDYFLZJSQPKPQI-UHFFFAOYSA-N 0 2 322.325 0.339 20 0 DCADLN CN(C)c1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])ccn1 ZINC001606047583 1170063348 /nfs/dbraw/zinc/06/33/48/1170063348.db2.gz XEIKPUSVQPRVQA-NSHDSACASA-N 0 2 303.322 0.227 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC001606080909 1170071011 /nfs/dbraw/zinc/07/10/11/1170071011.db2.gz XPMMTFDDXCCICO-LBPRGKRZSA-N 0 2 321.333 0.144 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC001606080909 1170071017 /nfs/dbraw/zinc/07/10/17/1170071017.db2.gz XPMMTFDDXCCICO-LBPRGKRZSA-N 0 2 321.333 0.144 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2C[C@H]2C(F)(F)F)c(-c2nn[nH]n2)c1=O ZINC001606206498 1170112989 /nfs/dbraw/zinc/11/29/89/1170112989.db2.gz YTJGDBNWMPGJTG-QWWZWVQMSA-N 0 2 317.231 0.029 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NC2CCCCCC2)n(C)c1=O ZINC001606217549 1170117984 /nfs/dbraw/zinc/11/79/84/1170117984.db2.gz MQUSPBSDFABFDY-UHFFFAOYSA-N 0 2 319.369 0.399 20 0 DCADLN CNC(=O)[C@H]1CCC[C@@H]1[NH2+]Cc1noc(CCCC(=O)[O-])n1 ZINC001606262004 1170139815 /nfs/dbraw/zinc/13/98/15/1170139815.db2.gz JHUXDCSXKDSAEZ-UWVGGRQHSA-N 0 2 310.354 0.481 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1CCC[C@H](NC(=O)N(C)C)C1 ZINC001606330511 1170161224 /nfs/dbraw/zinc/16/12/24/1170161224.db2.gz JUXJDMHNCNYTIF-WDEREUQCSA-N 0 2 315.370 0.128 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1CCC[C@H](NC(=O)N(C)C)C1 ZINC001606330511 1170161227 /nfs/dbraw/zinc/16/12/27/1170161227.db2.gz JUXJDMHNCNYTIF-WDEREUQCSA-N 0 2 315.370 0.128 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])[N@H+](Cc2cccc(N(C)C)n2)C1 ZINC001606393963 1170182297 /nfs/dbraw/zinc/18/22/97/1170182297.db2.gz KOBOKUXTZMCXGD-WCQYABFASA-N 0 2 321.377 0.986 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])[N@@H+](Cc2cccc(N(C)C)n2)C1 ZINC001606393963 1170182298 /nfs/dbraw/zinc/18/22/98/1170182298.db2.gz KOBOKUXTZMCXGD-WCQYABFASA-N 0 2 321.377 0.986 20 0 DCADLN COC(=O)c1cccc(C[N@H+]2C[C@H](OC)C[C@@]2(C)C(=O)[O-])n1 ZINC001606616412 1170243010 /nfs/dbraw/zinc/24/30/10/1170243010.db2.gz JOIDWTMKNBEMEA-ABAIWWIYSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc(C[N@@H+]2C[C@H](OC)C[C@@]2(C)C(=O)[O-])n1 ZINC001606616412 1170243018 /nfs/dbraw/zinc/24/30/18/1170243018.db2.gz JOIDWTMKNBEMEA-ABAIWWIYSA-N 0 2 308.334 0.932 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC001606699433 1170266326 /nfs/dbraw/zinc/26/63/26/1170266326.db2.gz WFKTVVHMQAYZBQ-HNNXBMFYSA-N 0 2 318.333 0.719 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1cc(Br)no1 ZINC001607089962 1170386556 /nfs/dbraw/zinc/38/65/56/1170386556.db2.gz SUQWGYIEIHLEIX-UHFFFAOYSA-N 0 2 324.098 0.222 20 0 DCADLN O=c1c(-c2nn[nH]n2)ccc(C(F)(F)F)n1Cc1cc[nH]n1 ZINC001607091347 1170388807 /nfs/dbraw/zinc/38/88/07/1170388807.db2.gz DRYSZNIYXZURAB-UHFFFAOYSA-N 0 2 311.227 0.819 20 0 DCADLN COc1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c(OC)c1 ZINC001607204680 1170413776 /nfs/dbraw/zinc/41/37/76/1170413776.db2.gz DUYUAVYMAQRWRN-GFCCVEGCSA-N 0 2 319.317 0.784 20 0 DCADLN Cc1c(CCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cnn1C ZINC001607528111 1170474428 /nfs/dbraw/zinc/47/44/28/1170474428.db2.gz ZCLJHLHGKYGFLI-GFCCVEGCSA-N 0 2 305.338 0.196 20 0 DCADLN Cc1c(CCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cnn1C ZINC001607528111 1170474431 /nfs/dbraw/zinc/47/44/31/1170474431.db2.gz ZCLJHLHGKYGFLI-GFCCVEGCSA-N 0 2 305.338 0.196 20 0 DCADLN Cc1cc(C[NH+]2CCN(c3cc(C(=O)[O-])ncn3)CC2)on1 ZINC001607641850 1170488197 /nfs/dbraw/zinc/48/81/97/1170488197.db2.gz MMIMREJLDZAOJQ-UHFFFAOYSA-N 0 2 303.322 0.793 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@@H](O)[C@@H](O)C1 ZINC001607741644 1170502054 /nfs/dbraw/zinc/50/20/54/1170502054.db2.gz PDSGLDUBDIZLNY-OLZOCXBDSA-N 0 2 308.334 0.059 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1CC[C@@H](O)[C@@H](O)C1 ZINC001607741644 1170502057 /nfs/dbraw/zinc/50/20/57/1170502057.db2.gz PDSGLDUBDIZLNY-OLZOCXBDSA-N 0 2 308.334 0.059 20 0 DCADLN Cc1ccnc(C2CC[NH+](Cn3cc(C(=O)[O-])nn3)CC2)n1 ZINC001607894143 1170517942 /nfs/dbraw/zinc/51/79/42/1170517942.db2.gz URLXOSWCELFDGW-UHFFFAOYSA-N 0 2 302.338 0.912 20 0 DCADLN CC1SC(C(=O)N2CC[N@H+](CCC(=O)[O-])[C@@H](C)C2)=NC1=O ZINC001608059789 1170562378 /nfs/dbraw/zinc/56/23/78/1170562378.db2.gz UEVJKULWJKJANE-QMMMGPOBSA-N 0 2 313.379 0.778 20 0 DCADLN CC1SC(C(=O)N2CC[N@@H+](CCC(=O)[O-])[C@@H](C)C2)=NC1=O ZINC001608059789 1170562384 /nfs/dbraw/zinc/56/23/84/1170562384.db2.gz UEVJKULWJKJANE-QMMMGPOBSA-N 0 2 313.379 0.778 20 0 DCADLN Cn1cc(C(=O)[O-])cc1S(=O)(=O)N1CCc2c[nH+]ccc21 ZINC001608102135 1170572854 /nfs/dbraw/zinc/57/28/54/1170572854.db2.gz IYNCFLKBVWVAEQ-UHFFFAOYSA-N 0 2 307.331 0.870 20 0 DCADLN Cn1ccnc1N1CC[NH+](Cc2cc(C(=O)[O-])n(C)c2)CC1 ZINC001608111543 1170576075 /nfs/dbraw/zinc/57/60/75/1170576075.db2.gz HNBGIIAASBDKMU-UHFFFAOYSA-N 0 2 303.366 0.779 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCC1(CC(=O)[O-])CCOCC1 ZINC001608136975 1170589806 /nfs/dbraw/zinc/58/98/06/1170589806.db2.gz LNGDUOKLRXWITJ-VXGBXAGGSA-N 0 2 321.377 0.911 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cn2ccnc2s1 ZINC001608486192 1170689036 /nfs/dbraw/zinc/68/90/36/1170689036.db2.gz YEYLRYPLQHUKOG-QMMMGPOBSA-N 0 2 305.319 0.545 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cn2ccnc2s1 ZINC001608486192 1170689037 /nfs/dbraw/zinc/68/90/37/1170689037.db2.gz YEYLRYPLQHUKOG-QMMMGPOBSA-N 0 2 305.319 0.545 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1=Cc2ccccc2OC1 ZINC001608487415 1170689097 /nfs/dbraw/zinc/68/90/97/1170689097.db2.gz UXRNZMRRGHYCHL-CYBMUJFWSA-N 0 2 313.313 0.998 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1=Cc2ccccc2OC1 ZINC001608487415 1170689100 /nfs/dbraw/zinc/68/91/00/1170689100.db2.gz UXRNZMRRGHYCHL-CYBMUJFWSA-N 0 2 313.313 0.998 20 0 DCADLN O=C([O-])[C@@H](c1ccccc1Cl)[N@@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001608564407 1170703063 /nfs/dbraw/zinc/70/30/63/1170703063.db2.gz XIZIQYIPTIQYGW-WDEREUQCSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@@H](c1ccccc1Cl)[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001608564407 1170703069 /nfs/dbraw/zinc/70/30/69/1170703069.db2.gz XIZIQYIPTIQYGW-WDEREUQCSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])C[C@H](O)CNC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC001608675407 1170735107 /nfs/dbraw/zinc/73/51/07/1170735107.db2.gz GBCIBCRXWIODHY-STQMWFEESA-N 0 2 317.345 0.884 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)/C=C\C[NH+]2CCOCC2)CCCOC1 ZINC001608682804 1170736277 /nfs/dbraw/zinc/73/62/77/1170736277.db2.gz LHAOFKMATKRNTK-PEXHTFQZSA-N 0 2 312.366 0.015 20 0 DCADLN O=C([O-])CCN1CCC[C@@H](N2CC[N@@H+]3C[C@@H](F)C[C@H]3C2)C1=O ZINC001608726004 1170745073 /nfs/dbraw/zinc/74/50/73/1170745073.db2.gz YQBGHEUTPIYRFW-RWMBFGLXSA-N 0 2 313.373 0.180 20 0 DCADLN O=C([O-])CCN1CCC[C@@H](N2CC[N@H+]3C[C@@H](F)C[C@H]3C2)C1=O ZINC001608726004 1170745076 /nfs/dbraw/zinc/74/50/76/1170745076.db2.gz YQBGHEUTPIYRFW-RWMBFGLXSA-N 0 2 313.373 0.180 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1CNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC001608811408 1170758928 /nfs/dbraw/zinc/75/89/28/1170758928.db2.gz NNQQNCBHSILPBM-JHJVBQTASA-N 0 2 311.382 0.213 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2C[N@@H+](CN3C(=O)c4ccc(F)cc4C3=O)C[C@@H]21 ZINC001608817070 1170760291 /nfs/dbraw/zinc/76/02/91/1170760291.db2.gz XDJRDPSDCDCZQZ-GDNZZTSVSA-N 0 2 304.277 0.642 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2C[N@H+](CN3C(=O)c4ccc(F)cc4C3=O)C[C@@H]21 ZINC001608817070 1170760295 /nfs/dbraw/zinc/76/02/95/1170760295.db2.gz XDJRDPSDCDCZQZ-GDNZZTSVSA-N 0 2 304.277 0.642 20 0 DCADLN O=C([O-])c1ccc(OC[C@@H](O)C[N@@H+]2CCOCC23CC3)cc1 ZINC001608907038 1170770130 /nfs/dbraw/zinc/77/01/30/1170770130.db2.gz UZXRPLQWLGPTIY-ZDUSSCGKSA-N 0 2 307.346 0.989 20 0 DCADLN O=C([O-])c1ccc(OC[C@@H](O)C[N@H+]2CCOCC23CC3)cc1 ZINC001608907038 1170770135 /nfs/dbraw/zinc/77/01/35/1170770135.db2.gz UZXRPLQWLGPTIY-ZDUSSCGKSA-N 0 2 307.346 0.989 20 0 DCADLN O=Cc1cc(F)c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1F ZINC001609048581 1170792388 /nfs/dbraw/zinc/79/23/88/1170792388.db2.gz FWZZSMBVFYFNPI-LBPRGKRZSA-N 0 2 323.255 0.926 20 0 DCADLN O=Cc1cc(F)c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1F ZINC001609048581 1170792390 /nfs/dbraw/zinc/79/23/90/1170792390.db2.gz FWZZSMBVFYFNPI-LBPRGKRZSA-N 0 2 323.255 0.926 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)cc1NS(=O)(=O)c1cnn(C)c1 ZINC001609416547 1170972153 /nfs/dbraw/zinc/97/21/53/1170972153.db2.gz SJEJFMUFHCHUSA-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)c2ccc(-c3nn[nH]n3)s2)n[nH]1 ZINC001609460309 1170984650 /nfs/dbraw/zinc/98/46/50/1170984650.db2.gz NTXJODDXTORKKE-RXMQYKEDSA-N 0 2 304.339 0.846 20 0 DCADLN Cn1nccc1[C@@H]([NH2+]Cc1cc(-c2nn[nH]n2)cs1)C(=O)[O-] ZINC001609482898 1170993407 /nfs/dbraw/zinc/99/34/07/1170993407.db2.gz YMMYAKIEAFJAAH-SNVBAGLBSA-N 0 2 319.350 0.577 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccc2c(c1)CCC2 ZINC001609537303 1171013430 /nfs/dbraw/zinc/01/34/30/1171013430.db2.gz GOJDDALZAZLMOR-UHFFFAOYSA-N 0 2 322.328 0.795 20 0 DCADLN CC(C)(CC[N@@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1)C(=O)[O-] ZINC001609674580 1171063658 /nfs/dbraw/zinc/06/36/58/1171063658.db2.gz QADYTQWAUGZEKS-CYBMUJFWSA-N 0 2 305.396 0.377 20 0 DCADLN CC(C)(CC[N@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1)C(=O)[O-] ZINC001609674580 1171063664 /nfs/dbraw/zinc/06/36/64/1171063664.db2.gz QADYTQWAUGZEKS-CYBMUJFWSA-N 0 2 305.396 0.377 20 0 DCADLN CC(C)[C@H](NC(=O)COC(=O)CCc1ccc(N)[nH+]c1)C(=O)[O-] ZINC001609716758 1171072363 /nfs/dbraw/zinc/07/23/63/1171072363.db2.gz SXWWFNRDLGFWPL-AWEZNQCLSA-N 0 2 323.349 0.365 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)N1CCSC[C@@H](C(=O)[O-])C1 ZINC001609752154 1171078631 /nfs/dbraw/zinc/07/86/31/1171078631.db2.gz UVQNMFVSSURGCX-QWRGUYRKSA-N 0 2 312.395 0.731 20 0 DCADLN CC[C@H](C(=O)N[C@H](CCOC)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001609946165 1171124668 /nfs/dbraw/zinc/12/46/68/1171124668.db2.gz GWPZEZZJEXRCED-JHJVBQTASA-N 0 2 316.398 0.482 20 0 DCADLN CC[C@H](C(=O)N[C@H](CCOC)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001609946165 1171124674 /nfs/dbraw/zinc/12/46/74/1171124674.db2.gz GWPZEZZJEXRCED-JHJVBQTASA-N 0 2 316.398 0.482 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)NCCOc1ccc2c(c1)OCO2 ZINC001609992682 1171132576 /nfs/dbraw/zinc/13/25/76/1171132576.db2.gz PBNOZJYNHVGBSQ-UHFFFAOYSA-N 0 2 324.333 0.317 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)NCCOc1ccc2c(c1)OCO2 ZINC001609992682 1171132578 /nfs/dbraw/zinc/13/25/78/1171132578.db2.gz PBNOZJYNHVGBSQ-UHFFFAOYSA-N 0 2 324.333 0.317 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1C[C@@H](O)CC(F)(F)F ZINC001610170805 1171185008 /nfs/dbraw/zinc/18/50/08/1171185008.db2.gz XYLBDGONMCUUPC-CIUDSAMLSA-N 0 2 313.272 0.638 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1C[C@@H](O)CC(F)(F)F ZINC001610170805 1171185011 /nfs/dbraw/zinc/18/50/11/1171185011.db2.gz XYLBDGONMCUUPC-CIUDSAMLSA-N 0 2 313.272 0.638 20 0 DCADLN CO[C@@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-])c1ccc(O)cc1 ZINC001610222620 1171199561 /nfs/dbraw/zinc/19/95/61/1171199561.db2.gz XHBIKKMRZZHQQR-CHWSQXEVSA-N 0 2 319.317 0.546 20 0 DCADLN COC(=O)c1occc1C[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001610220816 1171200238 /nfs/dbraw/zinc/20/02/38/1171200238.db2.gz PUDBJBDYQKBQDI-SNVBAGLBSA-N 0 2 320.305 0.803 20 0 DCADLN COC(=O)c1occc1C[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001610220816 1171200243 /nfs/dbraw/zinc/20/02/43/1171200243.db2.gz PUDBJBDYQKBQDI-SNVBAGLBSA-N 0 2 320.305 0.803 20 0 DCADLN Cc1ccc(C[S@](=O)CCc2cn(CC(=O)[O-])nn2)c(C)[nH+]1 ZINC001610501406 1171244581 /nfs/dbraw/zinc/24/45/81/1171244581.db2.gz ACJKCMWYIWRUBT-JOCHJYFZSA-N 0 2 322.390 0.866 20 0 DCADLN Cc1nn(CC(=O)[O-])c(C)c1CNC(=O)[C@@H](C)n1cc[nH+]c1 ZINC001610571547 1171257631 /nfs/dbraw/zinc/25/76/31/1171257631.db2.gz PXOZGRQIUAIFOG-LLVKDONJSA-N 0 2 305.338 0.658 20 0 DCADLN O=C([O-])c1ccc2[nH]cc(C(=O)C[N@@H+](CCO)CCCO)c2c1 ZINC001610842329 1171337028 /nfs/dbraw/zinc/33/70/28/1171337028.db2.gz JTHLDKJKFAWREW-UHFFFAOYSA-N 0 2 320.345 0.726 20 0 DCADLN O=C([O-])c1ccc2[nH]cc(C(=O)C[N@H+](CCO)CCCO)c2c1 ZINC001610842329 1171337040 /nfs/dbraw/zinc/33/70/40/1171337040.db2.gz JTHLDKJKFAWREW-UHFFFAOYSA-N 0 2 320.345 0.726 20 0 DCADLN COC(=O)c1ccc(C=CC(=O)NCc2nc(O)cc(=O)[nH]2)o1 ZINC001630646453 1171577820 /nfs/dbraw/zinc/57/78/20/1171577820.db2.gz LBQHRQUGXBALIG-HYXAFXHYSA-N 0 2 319.273 0.597 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cc(-c2cccc(O)c2)on1 ZINC001630862972 1171583896 /nfs/dbraw/zinc/58/38/96/1171583896.db2.gz VVZIBKIWMWAXKF-UHFFFAOYSA-N 0 2 314.257 0.693 20 0 DCADLN O=C(NOCC1CCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001640879593 1171896644 /nfs/dbraw/zinc/89/66/44/1171896644.db2.gz KZDBMLNFTQQOFE-UHFFFAOYSA-N 0 2 304.306 0.728 20 0 DCADLN CCOC(=O)c1cc(NCCCc2n[nH]c(=O)[nH]2)nc(C)n1 ZINC001642317139 1171941872 /nfs/dbraw/zinc/94/18/72/1171941872.db2.gz MCEJAEAVHMZJRU-UHFFFAOYSA-N 0 2 306.326 0.830 20 0 DCADLN COc1ccc(C(=O)NCC2=NC(=O)CC(=O)N2)nc1Cl ZINC001642460440 1171946920 /nfs/dbraw/zinc/94/69/20/1171946920.db2.gz ZCIRVLXCTZGLSV-UHFFFAOYSA-N 0 2 310.697 0.875 20 0 DCADLN CCS(=O)(=O)c1cccc(CC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC001645680674 1172100339 /nfs/dbraw/zinc/10/03/39/1172100339.db2.gz HMCFXHSEUYBRDI-UHFFFAOYSA-N 0 2 324.362 0.163 20 0 DCADLN O=C([C@@H]1CCn2c[nH+]cc2C1)N1CC[C@H]([N@H+]2CC[C@H](O)C2)C1 ZINC001646040128 1172226941 /nfs/dbraw/zinc/22/69/41/1172226941.db2.gz VUIGCABWJWWHHV-IPYPFGDCSA-N 0 2 304.394 0.113 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@@H+]1CC[C@H](NC(=O)NC2CC2)C1 ZINC001646090136 1172247572 /nfs/dbraw/zinc/24/75/72/1172247572.db2.gz PJPDZNSSSDGOPO-JTQLQIEISA-N 0 2 307.354 0.154 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@H+]1CC[C@H](NC(=O)NC2CC2)C1 ZINC001646090136 1172247578 /nfs/dbraw/zinc/24/75/78/1172247578.db2.gz PJPDZNSSSDGOPO-JTQLQIEISA-N 0 2 307.354 0.154 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)[C@@H]1CC[C@H](C(=O)[O-])O1 ZINC001646262660 1172311230 /nfs/dbraw/zinc/31/12/30/1172311230.db2.gz HAJXUCGDVJYNQH-NWDGAFQWSA-N 0 2 314.382 0.188 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)[C@@H]1CC[C@H](C(=O)[O-])O1 ZINC001646262660 1172311237 /nfs/dbraw/zinc/31/12/37/1172311237.db2.gz HAJXUCGDVJYNQH-NWDGAFQWSA-N 0 2 314.382 0.188 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1c[nH]nc1-c1ccncc1 ZINC001647868483 1173060040 /nfs/dbraw/zinc/06/00/40/1173060040.db2.gz QKAGDJQHMZCMSG-UHFFFAOYSA-N 0 2 312.289 0.603 20 0 DCADLN CCOCc1nnc(NS(=O)(=O)c2ncn(C)c2Cl)o1 ZINC001649776188 1173217526 /nfs/dbraw/zinc/21/75/26/1173217526.db2.gz VBNGYLIAFWZFGG-UHFFFAOYSA-N 0 2 321.746 0.794 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001649853480 1173261527 /nfs/dbraw/zinc/26/15/27/1173261527.db2.gz KMSPVPNJZFEFDI-IONNQARKSA-N 0 2 319.276 0.303 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001649853480 1173261530 /nfs/dbraw/zinc/26/15/30/1173261530.db2.gz KMSPVPNJZFEFDI-IONNQARKSA-N 0 2 319.276 0.303 20 0 DCADLN O=S(=O)(Nc1cnn(Cc2cccnc2)c1)c1cn[nH]c1 ZINC000067890753 1173779806 /nfs/dbraw/zinc/77/98/06/1173779806.db2.gz UDYPOQFFPLYPDX-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN C[C@H](NC(=O)c1cccc(-n2cnnn2)c1)c1nn(C)cc1O ZINC001653244646 1173784978 /nfs/dbraw/zinc/78/49/78/1173784978.db2.gz GRCSOVOLSDCEJV-VIFPVBQESA-N 0 2 313.321 0.592 20 0 DCADLN CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1C)[C@H]1CCNC1=O ZINC001660502207 1174000826 /nfs/dbraw/zinc/00/08/26/1174000826.db2.gz OKLFAGWCIIHWKH-LBPRGKRZSA-N 0 2 307.398 0.357 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2cnccc2o1)Cc1n[nH]c(=O)[n-]1 ZINC001663222560 1174278688 /nfs/dbraw/zinc/27/86/88/1174278688.db2.gz NRMSNIXXWJQOJV-UHFFFAOYSA-N 0 2 316.321 0.513 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2cnccc2o1)Cc1n[nH]c(=O)[n-]1 ZINC001663222560 1174278694 /nfs/dbraw/zinc/27/86/94/1174278694.db2.gz NRMSNIXXWJQOJV-UHFFFAOYSA-N 0 2 316.321 0.513 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCCO1)C(F)C(F)(F)F ZINC001686876172 1176239519 /nfs/dbraw/zinc/23/95/19/1176239519.db2.gz ALRYIEHJCMJFIQ-JGVFFNPUSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCCO1)[C@@H](F)C(F)(F)F ZINC001686876172 1176239523 /nfs/dbraw/zinc/23/95/23/1176239523.db2.gz ALRYIEHJCMJFIQ-JGVFFNPUSA-N 0 2 300.252 0.688 20 0 DCADLN Cc1nn[nH]c1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001687051572 1176269205 /nfs/dbraw/zinc/26/92/05/1176269205.db2.gz LPYJWDCVFPCPGT-SSDOTTSWSA-N 0 2 311.239 0.250 20 0 DCADLN Cc1nn[nH]c1C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001687051572 1176269207 /nfs/dbraw/zinc/26/92/07/1176269207.db2.gz LPYJWDCVFPCPGT-SSDOTTSWSA-N 0 2 311.239 0.250 20 0 DCADLN CC(C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)=C1CCC1 ZINC001698786071 1177655390 /nfs/dbraw/zinc/65/53/90/1177655390.db2.gz DZAIGFVLBZXUQC-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1ccc(F)nc1 ZINC001703132427 1179325457 /nfs/dbraw/zinc/32/54/57/1179325457.db2.gz OTFQIVSOLSPHJK-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1ccc(F)nc1 ZINC001703132427 1179325464 /nfs/dbraw/zinc/32/54/64/1179325464.db2.gz OTFQIVSOLSPHJK-MRVPVSSYSA-N 0 2 311.210 0.967 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001703593487 1179608067 /nfs/dbraw/zinc/60/80/67/1179608067.db2.gz SXYNZFVQGNUQBJ-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001703593487 1179608074 /nfs/dbraw/zinc/60/80/74/1179608074.db2.gz SXYNZFVQGNUQBJ-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCCCNC(=O)C[NH+]1CC(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001713895475 1181133927 /nfs/dbraw/zinc/13/39/27/1181133927.db2.gz SKRTWCOUDJFUBO-UHFFFAOYSA-N 0 2 323.397 0.002 20 0 DCADLN CCN(CC[NH2+]Cc1cnc(C)nc1)C(=O)Cc1[nH]c[nH+]c1C ZINC001715775476 1181990265 /nfs/dbraw/zinc/99/02/65/1181990265.db2.gz VSFAUWURWKYANU-UHFFFAOYSA-N 0 2 316.409 0.997 20 0 DCADLN O=C(C=C1CCC1)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721754922 1184021008 /nfs/dbraw/zinc/02/10/08/1184021008.db2.gz ZBDXTHDPYFCOEQ-WPRPVWTQSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001721754922 1184021016 /nfs/dbraw/zinc/02/10/16/1184021016.db2.gz ZBDXTHDPYFCOEQ-WPRPVWTQSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C[C@@H]1C=CCCC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001723435206 1184306116 /nfs/dbraw/zinc/30/61/16/1184306116.db2.gz UZUAWJWFHNQGDO-NEPJUHHUSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1nnc([C@@H](C)N(C)CCCNC(=O)c2cnn(C)n2)o1 ZINC001731271716 1185232834 /nfs/dbraw/zinc/23/28/34/1185232834.db2.gz PHYSSKPWFOQIQG-SECBINFHSA-N 0 2 307.358 0.319 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001732843502 1186005723 /nfs/dbraw/zinc/00/57/23/1186005723.db2.gz ZUCHOIGUXJHCCR-WBSSQXGSSA-N 0 2 319.369 0.487 20 0 DCADLN Cn1ccc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)n1 ZINC001738514916 1187580326 /nfs/dbraw/zinc/58/03/26/1187580326.db2.gz ZSGSLDCIBURNTD-GKQMSVHHSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1ccc(C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001738514916 1187580331 /nfs/dbraw/zinc/58/03/31/1187580331.db2.gz ZSGSLDCIBURNTD-GKQMSVHHSA-N 0 2 322.262 0.723 20 0 DCADLN O=C(Cc1nc[nH]n1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001738526641 1187583832 /nfs/dbraw/zinc/58/38/32/1187583832.db2.gz UOVBRGHMYQVBEK-VWCDRPFISA-N 0 2 323.250 0.036 20 0 DCADLN O=C(CCc1cnccn1)NCCNC(=O)C(F)C(F)(F)F ZINC001758028116 1189687320 /nfs/dbraw/zinc/68/73/20/1189687320.db2.gz VREZZXVMRHCUDP-JTQLQIEISA-N 0 2 322.262 0.542 20 0 DCADLN O=C(CCc1cnccn1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001758028116 1189687324 /nfs/dbraw/zinc/68/73/24/1189687324.db2.gz VREZZXVMRHCUDP-JTQLQIEISA-N 0 2 322.262 0.542 20 0 DCADLN CC(C)[C@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001771665376 1190432305 /nfs/dbraw/zinc/43/23/05/1190432305.db2.gz RAIZJTYLOXFJGO-NSHDSACASA-N 0 2 303.366 0.966 20 0 DCADLN CC(=O)N1CC[NH+](CCNc2nc(C)c(C(=O)[O-])s2)CC1 ZINC001771985625 1190580127 /nfs/dbraw/zinc/58/01/27/1190580127.db2.gz VYGVWUWAIJIYNJ-UHFFFAOYSA-N 0 2 312.395 0.726 20 0 DCADLN CC[C@H](C)C[C@H]([NH3+])C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001772224233 1190634742 /nfs/dbraw/zinc/63/47/42/1190634742.db2.gz KOPXRRQEBMYBNS-ZFWWWQNUSA-N 0 2 323.441 0.917 20 0 DCADLN Cc1sc(C(=O)[O-])cc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC000392245700 1190701243 /nfs/dbraw/zinc/70/12/43/1190701243.db2.gz QXRAYKJZOAFDIU-UHFFFAOYSA-N 0 2 316.404 0.905 20 0 DCADLN CCCOCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001575746218 1164131242 /nfs/dbraw/zinc/13/12/42/1164131242.db2.gz NHSYQHDHABZOTI-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN CCCOCC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001575746218 1164131245 /nfs/dbraw/zinc/13/12/45/1164131245.db2.gz NHSYQHDHABZOTI-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN CC[C@@H]1OCCC[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042883803 751965097 /nfs/dbraw/zinc/96/50/97/751965097.db2.gz CVIJTWFJODJMIC-NEPJUHHUSA-N 0 2 323.397 0.358 20 0 DCADLN CN(C(=O)[C@@H]1CC[C@H]2C[C@H]2C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043160768 752108117 /nfs/dbraw/zinc/10/81/17/752108117.db2.gz JJGCJJKETWTZFJ-AXFHLTTASA-N 0 2 305.382 0.589 20 0 DCADLN COCC[N@@H+]1CCC[C@H]1C(=O)[N-]S(=O)(=O)c1cccs1 ZINC001209211133 746986080 /nfs/dbraw/zinc/98/60/80/746986080.db2.gz KVMCQRDKGIGIKA-JTQLQIEISA-N 0 2 318.420 0.664 20 0 DCADLN COCC[N@H+]1CCC[C@H]1C(=O)[N-]S(=O)(=O)c1cccs1 ZINC001209211133 746986084 /nfs/dbraw/zinc/98/60/84/746986084.db2.gz KVMCQRDKGIGIKA-JTQLQIEISA-N 0 2 318.420 0.664 20 0 DCADLN C[C@@H]1CN(Cc2cnnn2C)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207023337 747103029 /nfs/dbraw/zinc/10/30/29/747103029.db2.gz UYWBTALDHMKUIW-SZEHBUNVSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043374568 752201571 /nfs/dbraw/zinc/20/15/71/752201571.db2.gz GXBLCPNPABSRGF-BIIVOSGPSA-N 0 2 312.263 0.232 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043374568 752201580 /nfs/dbraw/zinc/20/15/80/752201580.db2.gz GXBLCPNPABSRGF-BIIVOSGPSA-N 0 2 312.263 0.232 20 0 DCADLN CC(F)(F)C(=O)N[C@]12CCC[C@H]1CN(Cc1n[nH]c(=O)[nH]1)C2 ZINC001098696383 748816874 /nfs/dbraw/zinc/81/68/74/748816874.db2.gz OSDJZODTMZZHQU-SDBXPKJASA-N 0 2 315.324 0.636 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2csnn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087676259 749015087 /nfs/dbraw/zinc/01/50/87/749015087.db2.gz CYEPRIDXGRZGMV-IONNQARKSA-N 0 2 323.382 0.145 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2csnn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087676259 749015093 /nfs/dbraw/zinc/01/50/93/749015093.db2.gz CYEPRIDXGRZGMV-IONNQARKSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cc[nH]c2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071525613 761928412 /nfs/dbraw/zinc/92/84/12/761928412.db2.gz NZFPZSQQJDLGPL-MWLCHTKSSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cc[nH]c2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071525613 761928421 /nfs/dbraw/zinc/92/84/21/761928421.db2.gz NZFPZSQQJDLGPL-MWLCHTKSSA-N 0 2 304.354 0.621 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(CCOCCO)CC1 ZINC001112867519 761984125 /nfs/dbraw/zinc/98/41/25/761984125.db2.gz UKZIKDDQNVBSIN-SECBINFHSA-N 0 2 302.268 0.040 20 0 DCADLN CC(C)[NH+]1C[C@@H](NC(=O)c2cc([C@@H]3CCC[N@H+]3C)n[nH]2)[C@@H](O)C1 ZINC001083477459 749990768 /nfs/dbraw/zinc/99/07/68/749990768.db2.gz PXSUBVOGZMNKHS-ILXRZTDVSA-N 0 2 321.425 0.360 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088833414 750136938 /nfs/dbraw/zinc/13/69/38/750136938.db2.gz QSOQZEFEDYAMDE-SCZZXKLOSA-N 0 2 306.326 0.200 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[N@@H+]2CC[C@H](C)C2)CC[NH+]1Cc1cnnn1C ZINC001088859814 750660440 /nfs/dbraw/zinc/66/04/40/750660440.db2.gz NFZOWWIXIXYMKM-GUTXKFCHSA-N 0 2 320.441 0.236 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(C)CCOCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088922339 750729576 /nfs/dbraw/zinc/72/95/76/750729576.db2.gz FYYPFSVYXHPRRS-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(C)CCOCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088922339 750729577 /nfs/dbraw/zinc/72/95/77/750729577.db2.gz FYYPFSVYXHPRRS-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cc(F)c[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071693060 762064753 /nfs/dbraw/zinc/06/47/53/762064753.db2.gz LLFAZBIFSHESHL-SCZZXKLOSA-N 0 2 322.344 0.760 20 0 DCADLN C[C@H](C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001107849363 752421512 /nfs/dbraw/zinc/42/15/12/752421512.db2.gz XSVRLLBADDNTFN-ZUZCIYMTSA-N 0 2 323.397 0.264 20 0 DCADLN CN(C(=O)c1cncc(Cl)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044049181 752534505 /nfs/dbraw/zinc/53/45/05/752534505.db2.gz QTJGUYPDXJCGMX-UHFFFAOYSA-N 0 2 322.756 0.515 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107925754 752545529 /nfs/dbraw/zinc/54/55/29/752545529.db2.gz VESBTLMRKUTCAE-OCOPJHETSA-N 0 2 323.397 0.574 20 0 DCADLN CC(C)[C@@]1(C)C[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044122504 752579101 /nfs/dbraw/zinc/57/91/01/752579101.db2.gz YWQSKAQOZSQVCH-XHDPSFHLSA-N 0 2 307.398 0.835 20 0 DCADLN CN(C(=O)C1(F)CCCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044139115 752590597 /nfs/dbraw/zinc/59/05/97/752590597.db2.gz VFTVHEWQZIVIMK-UHFFFAOYSA-N 0 2 311.361 0.825 20 0 DCADLN Cc1cc(F)ccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044212349 752631723 /nfs/dbraw/zinc/63/17/23/752631723.db2.gz BKBWWIDULSLFKB-UHFFFAOYSA-N 0 2 319.340 0.914 20 0 DCADLN CN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1C[NH+](Cc2ccccc2)C1 ZINC001044237215 752640008 /nfs/dbraw/zinc/64/00/08/752640008.db2.gz YHEPVSHLQAGOFH-UHFFFAOYSA-N 0 2 317.349 0.032 20 0 DCADLN CSCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045707265 753298866 /nfs/dbraw/zinc/29/88/66/753298866.db2.gz ZBVFLNFMYORINP-ZJUUUORDSA-N 0 2 311.411 0.439 20 0 DCADLN CSCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045707265 753298868 /nfs/dbraw/zinc/29/88/68/753298868.db2.gz ZBVFLNFMYORINP-ZJUUUORDSA-N 0 2 311.411 0.439 20 0 DCADLN C[C@@]1(NC(=O)c2ccccc2O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046150448 753509944 /nfs/dbraw/zinc/50/99/44/753509944.db2.gz WHNLBPOULRDVQT-OAHLLOKOSA-N 0 2 317.349 0.610 20 0 DCADLN C[C@@]1(NC(=O)c2ccccc2O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046150448 753509947 /nfs/dbraw/zinc/50/99/47/753509947.db2.gz WHNLBPOULRDVQT-OAHLLOKOSA-N 0 2 317.349 0.610 20 0 DCADLN Cc1ncncc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046169666 753524668 /nfs/dbraw/zinc/52/46/68/753524668.db2.gz UWTUUFSFYHHHEB-AWEZNQCLSA-N 0 2 317.353 0.003 20 0 DCADLN CCc1ocnc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046208809 753559775 /nfs/dbraw/zinc/55/97/75/753559775.db2.gz OSOIWTBFMMVOQM-AWEZNQCLSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1ncoc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046217289 753565584 /nfs/dbraw/zinc/56/55/84/753565584.db2.gz NVRXAPUOTFZNLR-CYBMUJFWSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CC23CCC3)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046660739 753855596 /nfs/dbraw/zinc/85/55/96/753855596.db2.gz BXOOXRRAEZICMW-YGRLFVJLSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CC23CCC3)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046660739 753855603 /nfs/dbraw/zinc/85/56/03/753855603.db2.gz BXOOXRRAEZICMW-YGRLFVJLSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)Cc2ccc[nH]2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001072091887 762349784 /nfs/dbraw/zinc/34/97/84/762349784.db2.gz JQRDJLRDDFXJBG-CMPLNLGQSA-N 0 2 318.381 0.550 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)Cc2ccc[nH]2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001072091887 762349791 /nfs/dbraw/zinc/34/97/91/762349791.db2.gz JQRDJLRDDFXJBG-CMPLNLGQSA-N 0 2 318.381 0.550 20 0 DCADLN O=C(c1cocn1)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049369315 755238533 /nfs/dbraw/zinc/23/85/33/755238533.db2.gz MROOASMVGFTBKK-QWRGUYRKSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cocn1)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049369315 755238535 /nfs/dbraw/zinc/23/85/35/755238535.db2.gz MROOASMVGFTBKK-QWRGUYRKSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1ncc[nH]1)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049461820 755273219 /nfs/dbraw/zinc/27/32/19/755273219.db2.gz XCINOPUMRQQRPE-NXEZZACHSA-N 0 2 317.353 0.113 20 0 DCADLN O=C(c1ncc[nH]1)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049461820 755273222 /nfs/dbraw/zinc/27/32/22/755273222.db2.gz XCINOPUMRQQRPE-NXEZZACHSA-N 0 2 317.353 0.113 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096539162 755282993 /nfs/dbraw/zinc/28/29/93/755282993.db2.gz AOKLFZDBHBAYCS-USWWRNFRSA-N 0 2 316.365 0.682 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1=CCOCC1 ZINC001096994401 755570902 /nfs/dbraw/zinc/57/09/02/755570902.db2.gz QXXBOCDZFLYAKQ-UTUOFQBUSA-N 0 2 319.365 0.079 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001097770737 755745026 /nfs/dbraw/zinc/74/50/26/755745026.db2.gz YHSWKGCJJRDSJP-IEBDPFPHSA-N 0 2 318.337 0.342 20 0 DCADLN CC(C)[C@H](C)C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053456864 756050658 /nfs/dbraw/zinc/05/06/58/756050658.db2.gz SELHJGOPNOJEQF-NSHDSACASA-N 0 2 323.397 0.216 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2COC3(C[NH+](CCCF)C3)C2)c1[O-] ZINC001053774589 756196357 /nfs/dbraw/zinc/19/63/57/756196357.db2.gz GJSLQCBVFXWNEG-SNVBAGLBSA-N 0 2 312.345 0.356 20 0 DCADLN CC(C)=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053775332 756197112 /nfs/dbraw/zinc/19/71/12/756197112.db2.gz UUEWSOZMDCTQQK-GFCCVEGCSA-N 0 2 320.393 0.963 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080403165 756199769 /nfs/dbraw/zinc/19/97/69/756199769.db2.gz ASBRPRGOHWDWTB-DDHJBXDOSA-N 0 2 307.398 0.883 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080403165 756199774 /nfs/dbraw/zinc/19/97/74/756199774.db2.gz ASBRPRGOHWDWTB-DDHJBXDOSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ncccc1F ZINC001080669907 756304619 /nfs/dbraw/zinc/30/46/19/756304619.db2.gz RNEYPDWHTCWAGO-PSASIEDQSA-N 0 2 320.328 0.295 20 0 DCADLN CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc3oc(=O)nc-3[n-]1)CO2 ZINC001053983420 756315626 /nfs/dbraw/zinc/31/56/26/756315626.db2.gz XSUSVCJNXWXIBS-SECBINFHSA-N 0 2 318.333 0.521 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cocc1C ZINC001082031613 756910629 /nfs/dbraw/zinc/91/06/29/756910629.db2.gz CZLLZKVYABXVIN-GHMZBOCLSA-N 0 2 321.337 0.041 20 0 DCADLN C[C@H](C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1CCC1 ZINC001084443938 757863137 /nfs/dbraw/zinc/86/31/37/757863137.db2.gz DIWKKFAIZAWUFA-CYZMBNFOSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(C1CC2(CC2)C1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084651267 757949098 /nfs/dbraw/zinc/94/90/98/757949098.db2.gz HBQRODDOHBPNJC-ZYHUDNBSSA-N 0 2 317.393 0.733 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001085777304 759306471 /nfs/dbraw/zinc/30/64/71/759306471.db2.gz PWSJDHRLOPWYIU-NOOOWODRSA-N 0 2 305.382 0.589 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccn1 ZINC001085883368 759432667 /nfs/dbraw/zinc/43/26/67/759432667.db2.gz BNOJSAJHCJTLQZ-GFCCVEGCSA-N 0 2 316.365 0.560 20 0 DCADLN O=C(CCCF)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057833963 759576297 /nfs/dbraw/zinc/57/62/97/759576297.db2.gz YFHHEHKXJUYTNL-SECBINFHSA-N 0 2 320.258 0.574 20 0 DCADLN O=C(CCCF)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057833963 759576300 /nfs/dbraw/zinc/57/63/00/759576300.db2.gz YFHHEHKXJUYTNL-SECBINFHSA-N 0 2 320.258 0.574 20 0 DCADLN CC[C@@H](F)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057833952 759575848 /nfs/dbraw/zinc/57/58/48/759575848.db2.gz XYJFLZDYBQDYBM-SFYZADRCSA-N 0 2 320.258 0.572 20 0 DCADLN CC[C@@H](F)C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057833952 759575853 /nfs/dbraw/zinc/57/58/53/759575853.db2.gz XYJFLZDYBQDYBM-SFYZADRCSA-N 0 2 320.258 0.572 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2C[C@@H]([NH2+]Cc3cnnn3C)C2)c1C ZINC001086049523 759636247 /nfs/dbraw/zinc/63/62/47/759636247.db2.gz BINKEKOFSSQPIJ-TXEJJXNPSA-N 0 2 317.397 0.453 20 0 DCADLN CC(=O)N1CC2(C1)CC[C@@H](CNC(=O)C(F)C(F)(F)F)O2 ZINC001068583671 760424771 /nfs/dbraw/zinc/42/47/71/760424771.db2.gz SYVUVSPMOVAIBF-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN CC(=O)N1CC2(C1)CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)O2 ZINC001068583671 760424776 /nfs/dbraw/zinc/42/47/76/760424776.db2.gz SYVUVSPMOVAIBF-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN COc1cccc2c1n[nH]c2C(=O)NCc1nnc(CO)[nH]1 ZINC001148340487 767966363 /nfs/dbraw/zinc/96/63/63/767966363.db2.gz SERWICQFVNZNQH-UHFFFAOYSA-N 0 2 302.294 0.112 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109012504 761198586 /nfs/dbraw/zinc/19/85/86/761198586.db2.gz YOIAJRIHGOXZST-RHYQMDGZSA-N 0 2 323.397 0.547 20 0 DCADLN O=C(/C=C/C1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109122432 761260410 /nfs/dbraw/zinc/26/04/10/761260410.db2.gz DXVHBFBRBKCZPC-YUABZIMYSA-N 0 2 303.366 0.698 20 0 DCADLN O=C(/C=C/C1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109122432 761260417 /nfs/dbraw/zinc/26/04/17/761260417.db2.gz DXVHBFBRBKCZPC-YUABZIMYSA-N 0 2 303.366 0.698 20 0 DCADLN O=C(/C=C\C1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109122431 761260706 /nfs/dbraw/zinc/26/07/06/761260706.db2.gz DXVHBFBRBKCZPC-JTXCWEEJSA-N 0 2 303.366 0.698 20 0 DCADLN O=C(/C=C\C1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109122431 761260709 /nfs/dbraw/zinc/26/07/09/761260709.db2.gz DXVHBFBRBKCZPC-JTXCWEEJSA-N 0 2 303.366 0.698 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001109227263 761312293 /nfs/dbraw/zinc/31/22/93/761312293.db2.gz IYVWKYXOJRZMNR-MPPDQPJWSA-N 0 2 321.381 0.157 20 0 DCADLN C[NH+]1CC2(CN(C(=O)c3cc(S(=O)(=O)[O-])ccc3O)C2)C1 ZINC001148925962 768061411 /nfs/dbraw/zinc/06/14/11/768061411.db2.gz FQPGKTNQHBBSJB-UHFFFAOYSA-N 0 2 312.347 0.027 20 0 DCADLN CC1(CCC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CC1 ZINC001100060209 763267599 /nfs/dbraw/zinc/26/75/99/763267599.db2.gz ONKXNUDMRXGKQT-GHMZBOCLSA-N 0 2 323.397 0.142 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131881515 764110276 /nfs/dbraw/zinc/11/02/76/764110276.db2.gz PQHIKFPDXHQTMS-GHMZBOCLSA-N 0 2 311.386 0.404 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131881515 764110286 /nfs/dbraw/zinc/11/02/86/764110286.db2.gz PQHIKFPDXHQTMS-GHMZBOCLSA-N 0 2 311.386 0.404 20 0 DCADLN CC[C@@H](F)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001111837607 765402868 /nfs/dbraw/zinc/40/28/68/765402868.db2.gz VASAFWIPYIOXEZ-RBZYPMLTSA-N 0 2 311.361 0.729 20 0 DCADLN CC[C@@H](F)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001111837607 765402877 /nfs/dbraw/zinc/40/28/77/765402877.db2.gz VASAFWIPYIOXEZ-RBZYPMLTSA-N 0 2 311.361 0.729 20 0 DCADLN CC(C)[C@H](C(=O)NCCNc1[nH+]cnc2c1cnn2C)[NH+](C)C ZINC000106917939 765403252 /nfs/dbraw/zinc/40/32/52/765403252.db2.gz UEWSMKUKYBKQBY-GFCCVEGCSA-N 0 2 319.413 0.478 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)C[C@H](C)Nc1nccn2nnnc12 ZINC001115612870 765768660 /nfs/dbraw/zinc/76/86/60/765768660.db2.gz MVPYIFIHJFSPTF-UWVGGRQHSA-N 0 2 307.358 0.203 20 0 DCADLN CCn1cc(-c2nn(C)cc2C(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC001116672822 765895746 /nfs/dbraw/zinc/89/57/46/765895746.db2.gz GENBLCPUVFOATN-UHFFFAOYSA-N 0 2 316.325 0.057 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001118334062 766292802 /nfs/dbraw/zinc/29/28/02/766292802.db2.gz NARRKRRAQHZRKX-CQSZACIVSA-N 0 2 320.393 0.620 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001118334062 766292804 /nfs/dbraw/zinc/29/28/04/766292804.db2.gz NARRKRRAQHZRKX-CQSZACIVSA-N 0 2 320.393 0.620 20 0 DCADLN Cc1nccc(N2C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001090545342 766307044 /nfs/dbraw/zinc/30/70/44/766307044.db2.gz CODFDPLUZPTCLD-NQMVMOMDSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1nccc(N2C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001090545342 766307052 /nfs/dbraw/zinc/30/70/52/766307052.db2.gz CODFDPLUZPTCLD-NQMVMOMDSA-N 0 2 322.262 0.351 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2ccnc(Cl)c2)n[nH]1 ZINC001118511637 766341126 /nfs/dbraw/zinc/34/11/26/766341126.db2.gz SFPHFHUUERUYGW-UHFFFAOYSA-N 0 2 302.743 0.973 20 0 DCADLN Cc1cc(C2CCN(C(=O)CC[C@H]3NC(=O)NC3=O)CC2)[nH]n1 ZINC001137850020 768392437 /nfs/dbraw/zinc/39/24/37/768392437.db2.gz POUYLNIRFDFXLA-LLVKDONJSA-N 0 2 319.365 0.412 20 0 DCADLN CC(=O)Nc1ccc(C(=O)NCCc2n[nH]c(=S)o2)nc1 ZINC001138524606 768423157 /nfs/dbraw/zinc/42/31/57/768423157.db2.gz FFPXZTXBRBYNGU-UHFFFAOYSA-N 0 2 307.335 0.684 20 0 DCADLN O=C([O-])COc1ccc(C[N@H+]2CC(=O)N3CCC[C@@H]3C2)cc1 ZINC001138927289 768446411 /nfs/dbraw/zinc/44/64/11/768446411.db2.gz DYAPZJRLUIXGIT-CYBMUJFWSA-N 0 2 304.346 0.957 20 0 DCADLN O=C([O-])COc1ccc(C[N@@H+]2CC(=O)N3CCC[C@@H]3C2)cc1 ZINC001138927289 768446415 /nfs/dbraw/zinc/44/64/15/768446415.db2.gz DYAPZJRLUIXGIT-CYBMUJFWSA-N 0 2 304.346 0.957 20 0 DCADLN O=C(Nc1ncc(Br)[nH]c1=O)C(=O)c1ccco1 ZINC001142287682 768633666 /nfs/dbraw/zinc/63/36/66/768633666.db2.gz POMVEFIKDVZGTK-UHFFFAOYSA-N 0 2 312.079 0.947 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@H]1C=CCC1 ZINC001230743600 768825216 /nfs/dbraw/zinc/82/52/16/768825216.db2.gz QRRLTJBNKBYKAK-VXGBXAGGSA-N 0 2 305.382 0.899 20 0 DCADLN CC1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCCO2)CC1 ZINC001149754057 768847414 /nfs/dbraw/zinc/84/74/14/768847414.db2.gz ZPNASSQUTKBPMS-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC[C@H]1CCCO1 ZINC001230836914 768878429 /nfs/dbraw/zinc/87/84/29/768878429.db2.gz ANQQPQCVAIIZBW-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN C[N@@H+]1CCCC[C@H]1C(=O)NCCCNc1ncnc2[nH]cnc21 ZINC001094724821 769226899 /nfs/dbraw/zinc/22/68/99/769226899.db2.gz IHQRFGOPNFQWHN-NSHDSACASA-N 0 2 317.397 0.707 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)NCCCNc1ncnc2[nH]cnc21 ZINC001094724821 769226904 /nfs/dbraw/zinc/22/69/04/769226904.db2.gz IHQRFGOPNFQWHN-NSHDSACASA-N 0 2 317.397 0.707 20 0 DCADLN CS[C@H](C)CC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233771004 769447352 /nfs/dbraw/zinc/44/73/52/769447352.db2.gz NVZHSOKDDUTMDX-ZJUUUORDSA-N 0 2 313.427 0.685 20 0 DCADLN CN(C)[C@@H](C(=O)NCC[NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001152529578 769592856 /nfs/dbraw/zinc/59/28/56/769592856.db2.gz RHGPEEMXTUZUNG-CYBMUJFWSA-N 0 2 318.381 0.019 20 0 DCADLN CN(C[C@H]1CCN1Cc1cn(C)nn1)C(=O)C(F)C(F)(F)F ZINC001234424572 769652624 /nfs/dbraw/zinc/65/26/24/769652624.db2.gz ITHCEGXDMNGXSF-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@H]1CCN1Cc1cn(C)nn1)C(=O)[C@H](F)C(F)(F)F ZINC001234424572 769652630 /nfs/dbraw/zinc/65/26/30/769652630.db2.gz ITHCEGXDMNGXSF-ZJUUUORDSA-N 0 2 323.294 0.748 20 0 DCADLN Nc1c2c(=O)nccc-2[nH]n1[C@@H]1CCC[C@@H](n2ncnn2)C1 ZINC001173741144 769706511 /nfs/dbraw/zinc/70/65/11/769706511.db2.gz BBBUGBPQJJSHBV-RKDXNWHRSA-N 0 2 300.326 0.601 20 0 DCADLN CCC(CC)NC(=O)C[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153355633 769796111 /nfs/dbraw/zinc/79/61/11/769796111.db2.gz AMWNBTVRXVXOMZ-UHFFFAOYSA-N 0 2 323.441 0.674 20 0 DCADLN CC[C@H](C)NC(=O)[C@@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153355639 769796952 /nfs/dbraw/zinc/79/69/52/769796952.db2.gz ARBZARHDFFIIRN-UONOGXRCSA-N 0 2 323.441 0.672 20 0 DCADLN CN(C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001235322026 769810377 /nfs/dbraw/zinc/81/03/77/769810377.db2.gz WNXICEVLBSGFFV-CYBMUJFWSA-N 0 2 310.442 0.092 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc2[nH]c(=O)ccc2c1 ZINC001153838822 769958149 /nfs/dbraw/zinc/95/81/49/769958149.db2.gz OWWKJUUMXINGJI-GFCCVEGCSA-N 0 2 303.299 0.567 20 0 DCADLN COC(=O)[C@@H]1C[C@H]1CNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153861806 769969041 /nfs/dbraw/zinc/96/90/41/769969041.db2.gz JSMNQNMODPKSHD-DTWKUNHWSA-N 0 2 301.302 0.462 20 0 DCADLN O=C(N[C@H](CO)C(F)(F)F)c1c[nH]c2cccnc2c1=O ZINC001153862544 769971167 /nfs/dbraw/zinc/97/11/67/769971167.db2.gz YLLNDKSDNNVZQD-MRVPVSSYSA-N 0 2 301.224 0.576 20 0 DCADLN COC(=O)[C@@H]1C[NH2+]CCN1C(=S)Nc1ccc(C(=O)[O-])cc1 ZINC001239443996 770222257 /nfs/dbraw/zinc/22/22/57/770222257.db2.gz RNIRJZCVSIHAPZ-NSHDSACASA-N 0 2 323.374 0.528 20 0 DCADLN COC(=O)[C@H]1CCC[C@@H](C(=O)NCCc2n[nH]c(=S)o2)C1 ZINC001154901300 770331637 /nfs/dbraw/zinc/33/16/37/770331637.db2.gz XPEVDVKLOWWZPV-BDAKNGLRSA-N 0 2 313.379 0.996 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)C1CCN(c2ncccn2)CC1 ZINC001177130204 770336504 /nfs/dbraw/zinc/33/65/04/770336504.db2.gz TXYPWDJPQJXUNF-NSHDSACASA-N 0 2 321.362 0.118 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)[C@H]1CCc2nc[nH]c2C1 ZINC001177184248 770376836 /nfs/dbraw/zinc/37/68/36/770376836.db2.gz JGKULWGODVANKL-YFKPBYRVSA-N 0 2 315.293 0.182 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)[C@H]1CCc2[nH]cnc2C1 ZINC001177184248 770376847 /nfs/dbraw/zinc/37/68/47/770376847.db2.gz JGKULWGODVANKL-YFKPBYRVSA-N 0 2 315.293 0.182 20 0 DCADLN O=C(CCCCc1c[nH]nn1)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001177867091 770555645 /nfs/dbraw/zinc/55/56/45/770555645.db2.gz WLPCCHCOHXYMPI-NSHDSACASA-N 0 2 318.385 0.512 20 0 DCADLN O=C(CCCCc1cn[nH]n1)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001177867091 770555653 /nfs/dbraw/zinc/55/56/53/770555653.db2.gz WLPCCHCOHXYMPI-NSHDSACASA-N 0 2 318.385 0.512 20 0 DCADLN CC(C)[NH+]1CCN(CC(=O)NCc2ccc3[nH]nnc3c2)CC1 ZINC001180350969 771102221 /nfs/dbraw/zinc/10/22/21/771102221.db2.gz KXHULCOAQNCHIL-UHFFFAOYSA-N 0 2 316.409 0.600 20 0 DCADLN Cc1nc(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)c2c([nH+]1)CCC2 ZINC001157072439 771527972 /nfs/dbraw/zinc/52/79/72/771527972.db2.gz FLRISTPEXKQRBJ-WKEGUHRASA-N 0 2 312.351 0.088 20 0 DCADLN O=C(Nc1ccccc1-c1nn[nH]n1)C(CO)C(F)(F)F ZINC001183284549 771636788 /nfs/dbraw/zinc/63/67/88/771636788.db2.gz MRTOHUQFGKTSCR-SSDOTTSWSA-N 0 2 301.228 0.976 20 0 DCADLN O=C(Nc1ccccc1-c1nn[nH]n1)[C@@H](CO)C(F)(F)F ZINC001183284549 771636791 /nfs/dbraw/zinc/63/67/91/771636791.db2.gz MRTOHUQFGKTSCR-SSDOTTSWSA-N 0 2 301.228 0.976 20 0 DCADLN Cc1ccc(S(=O)(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C)cc1C(=O)[O-] ZINC001184687962 771819008 /nfs/dbraw/zinc/81/90/08/771819008.db2.gz HGDCATSWXLMTFJ-QWRGUYRKSA-N 0 2 310.375 0.770 20 0 DCADLN Cc1ccc(S(=O)(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C)cc1C(=O)[O-] ZINC001184687962 771819013 /nfs/dbraw/zinc/81/90/13/771819013.db2.gz HGDCATSWXLMTFJ-QWRGUYRKSA-N 0 2 310.375 0.770 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc(Cn2cncn2)cc1 ZINC001187077228 772133855 /nfs/dbraw/zinc/13/38/55/772133855.db2.gz CTTVZQNJPFGZNZ-GFCCVEGCSA-N 0 2 317.330 0.365 20 0 DCADLN CC/C(C)=C/C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187814233 772229183 /nfs/dbraw/zinc/22/91/83/772229183.db2.gz XLOCSEJHTRJNFG-RBWIOGKGSA-N 0 2 305.382 0.899 20 0 DCADLN CC/C(C)=C/C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187814233 772229185 /nfs/dbraw/zinc/22/91/85/772229185.db2.gz XLOCSEJHTRJNFG-RBWIOGKGSA-N 0 2 305.382 0.899 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccnc(Br)c1 ZINC001188620599 772334517 /nfs/dbraw/zinc/33/45/17/772334517.db2.gz HYFHBEIHNWSKPN-QMMMGPOBSA-N 0 2 316.136 0.883 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(N[C@@H]2CCO[C@@]3(CC[NH2+]C3)C2)cc1 ZINC001163333199 772507980 /nfs/dbraw/zinc/50/79/80/772507980.db2.gz PWOLSKDLVNJRLD-OCCSQVGLSA-N 0 2 312.306 0.813 20 0 DCADLN O=[P@]([O-])(O)c1ccc(N[C@@H]2CCO[C@@]3(CC[NH2+]C3)C2)cc1 ZINC001163333199 772507983 /nfs/dbraw/zinc/50/79/83/772507983.db2.gz PWOLSKDLVNJRLD-OCCSQVGLSA-N 0 2 312.306 0.813 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)Cc2cc(F)ccc2F)S1 ZINC001189699363 772519582 /nfs/dbraw/zinc/51/95/82/772519582.db2.gz BCCYGGUYKPBPHI-SECBINFHSA-N 0 2 322.314 0.693 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccccc1-n1ccnc1 ZINC001190100947 772582876 /nfs/dbraw/zinc/58/28/76/772582876.db2.gz YSDFHXWPNADIQV-GFCCVEGCSA-N 0 2 302.315 0.911 20 0 DCADLN CCCNC(=O)C[NH+](C)[C@@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190948480 772696309 /nfs/dbraw/zinc/69/63/09/772696309.db2.gz VKQCBEOJPQTEBJ-CABCVRRESA-N 0 2 324.469 0.530 20 0 DCADLN CN(C)C(=O)C[NH+](C)[C@@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190946661 772696674 /nfs/dbraw/zinc/69/66/74/772696674.db2.gz LHUZFTGISAXRLQ-ZIAGYGMSSA-N 0 2 310.442 0.092 20 0 DCADLN COC(=O)[C@H]1CN(C)CCN1C(=O)c1c(F)ccc(F)c1O ZINC001192706778 772951720 /nfs/dbraw/zinc/95/17/20/772951720.db2.gz NJUHZRYHCYYEKS-SNVBAGLBSA-N 0 2 314.288 0.600 20 0 DCADLN C[C@@]1(CO)CCN(C(=O)c2ccc(O)c(F)c2F)C[C@@H]1O ZINC001192831058 772966023 /nfs/dbraw/zinc/96/60/23/772966023.db2.gz AASPWDLUKYJHLK-HZMBPMFUSA-N 0 2 301.289 0.876 20 0 DCADLN O=C(c1ccc(O)c(F)c1F)N1CCN(c2ncncn2)CC1 ZINC001192839132 772968822 /nfs/dbraw/zinc/96/88/22/772968822.db2.gz DILLWGRCCNPSFI-UHFFFAOYSA-N 0 2 321.287 0.818 20 0 DCADLN CN(C)S(=O)(=O)CCNC(=O)c1ccc(O)c(F)c1F ZINC001192843586 772969053 /nfs/dbraw/zinc/96/90/53/772969053.db2.gz ZEWWDNIHVJQRQC-UHFFFAOYSA-N 0 2 308.306 0.292 20 0 DCADLN C[C@@]1(CO)CCN(C(=O)c2cc(F)c(O)cc2F)C[C@H]1O ZINC001192860329 772971118 /nfs/dbraw/zinc/97/11/18/772971118.db2.gz RROOZADBFDOBMC-OCCSQVGLSA-N 0 2 301.289 0.876 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=Cc1ccc[nH]1 ZINC001206906643 773129583 /nfs/dbraw/zinc/12/95/83/773129583.db2.gz WEBZFVNNRBWABN-DJVCXFJCSA-N 0 2 316.365 0.488 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=Cc1ccc[nH]1 ZINC001206906643 773129585 /nfs/dbraw/zinc/12/95/85/773129585.db2.gz WEBZFVNNRBWABN-DJVCXFJCSA-N 0 2 316.365 0.488 20 0 DCADLN C[C@@H]1CN(Cc2cn(C)nn2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207022132 773163579 /nfs/dbraw/zinc/16/35/79/773163579.db2.gz DVNFTZXOBKFMMA-SZEHBUNVSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H]1CN(Cc2cn(C)nn2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001207022132 773163580 /nfs/dbraw/zinc/16/35/80/773163580.db2.gz DVNFTZXOBKFMMA-SZEHBUNVSA-N 0 2 323.294 0.652 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cccc(O)c2C(=O)OC)[nH]n1 ZINC001194288416 773177934 /nfs/dbraw/zinc/17/79/34/773177934.db2.gz BKEVTTRAKAPBSV-UHFFFAOYSA-N 0 2 319.273 0.941 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cccc(O)c2C(=O)OC)n[nH]1 ZINC001194288416 773177936 /nfs/dbraw/zinc/17/79/36/773177936.db2.gz BKEVTTRAKAPBSV-UHFFFAOYSA-N 0 2 319.273 0.941 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1ccnn1C1COC1 ZINC001194679297 773230856 /nfs/dbraw/zinc/23/08/56/773230856.db2.gz NIGGVHIYUHHOPG-UHFFFAOYSA-N 0 2 310.335 0.659 20 0 DCADLN CC(C)SCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001194897844 773276980 /nfs/dbraw/zinc/27/69/80/773276980.db2.gz NNYANORHEUHXLN-UHFFFAOYSA-N 0 2 313.427 0.686 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3cnsn3)C[C@H]21)C(F)C(F)(F)F ZINC001114309612 773492968 /nfs/dbraw/zinc/49/29/68/773492968.db2.gz ZFMGEXAPXMJUEJ-RYPBNFRJSA-N 0 2 324.303 0.985 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3cnsn3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001114309612 773492972 /nfs/dbraw/zinc/49/29/72/773492972.db2.gz ZFMGEXAPXMJUEJ-RYPBNFRJSA-N 0 2 324.303 0.985 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2nnsc2c1 ZINC001195977479 773510205 /nfs/dbraw/zinc/51/02/05/773510205.db2.gz VZSLUWCKMWYTNP-UHFFFAOYSA-N 0 2 301.349 0.996 20 0 DCADLN CC1(COc2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2)COC1 ZINC001196043735 773532668 /nfs/dbraw/zinc/53/26/68/773532668.db2.gz HBUBMSLQVJHXJZ-UHFFFAOYSA-N 0 2 318.333 0.856 20 0 DCADLN COC(=O)C1CCN(c2ncc(Nc3nnco3)cn2)CC1 ZINC001210697152 773731699 /nfs/dbraw/zinc/73/16/99/773731699.db2.gz JGSZKAVUIXBNNH-UHFFFAOYSA-N 0 2 304.310 0.993 20 0 DCADLN Cn1ncc2c1ncnc2NS(=O)(=O)Cc1ccccn1 ZINC001197782629 773799850 /nfs/dbraw/zinc/79/98/50/773799850.db2.gz LIUUNWQJLHDMGY-UHFFFAOYSA-N 0 2 304.335 0.700 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cccc(Cl)n2)ccn1 ZINC001198197191 773881064 /nfs/dbraw/zinc/88/10/64/773881064.db2.gz INGQFVPLGSFPBK-UHFFFAOYSA-N 0 2 312.738 0.452 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1nccn1Cc1ccccn1 ZINC001198285100 773892080 /nfs/dbraw/zinc/89/20/80/773892080.db2.gz ABBWVPACUHXHBJ-LBPRGKRZSA-N 0 2 317.330 0.365 20 0 DCADLN COc1nc(C)ccc1-c1noc(-c2c[nH]c(=O)c(=O)[nH]2)n1 ZINC001213928521 773902082 /nfs/dbraw/zinc/90/20/82/773902082.db2.gz ZGKRHYWDBQJUHY-UHFFFAOYSA-N 0 2 301.262 0.492 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc(Cn2cncn2)cc1 ZINC001218837243 774313328 /nfs/dbraw/zinc/31/33/28/774313328.db2.gz VOFXTXYNETYVGQ-AWEZNQCLSA-N 0 2 311.349 0.558 20 0 DCADLN Cc1cccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)c1F ZINC001200677083 774388427 /nfs/dbraw/zinc/38/84/27/774388427.db2.gz WZECGHRQGCOENQ-UHFFFAOYSA-N 0 2 310.310 0.824 20 0 DCADLN O=C(N[C@@H]1CN(C/C=C/Cl)C[C@@H]1O)C(F)C(F)(F)F ZINC001219670676 774575777 /nfs/dbraw/zinc/57/57/77/774575777.db2.gz XJCMMHFXRZMVPP-HRMDOYOZSA-N 0 2 304.671 0.801 20 0 DCADLN COC(=O)CCCS(=O)(=O)Nc1ncc(C)nc1OC ZINC001201905580 774576316 /nfs/dbraw/zinc/57/63/16/774576316.db2.gz XNFDNZBXTGDDHF-UHFFFAOYSA-N 0 2 303.340 0.489 20 0 DCADLN CCCC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CCC1 ZINC001220182560 774723917 /nfs/dbraw/zinc/72/39/17/774723917.db2.gz GHCYCUPSTLDTCD-MNOVXSKESA-N 0 2 323.397 0.142 20 0 DCADLN N=C(NC(=O)[C@H](N)C(F)(F)F)c1cnc2ccc(F)cn21 ZINC001221323030 775068978 /nfs/dbraw/zinc/06/89/78/775068978.db2.gz RRMWODFWWHWNJA-QMMMGPOBSA-N 0 2 303.219 0.805 20 0 DCADLN CCOCC[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC001221481723 775120070 /nfs/dbraw/zinc/12/00/70/775120070.db2.gz GGWQXDFGHQCUPU-VXGBXAGGSA-N 0 2 308.382 0.607 20 0 DCADLN CCOCC[N@H+]1CC[C@@H]2CN(C(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC001221481723 775120077 /nfs/dbraw/zinc/12/00/77/775120077.db2.gz GGWQXDFGHQCUPU-VXGBXAGGSA-N 0 2 308.382 0.607 20 0 DCADLN O=C(Cc1c[nH]c[nH+]1)NCC1CC[NH+]([C@H]2CCCNC2=O)CC1 ZINC001224665571 775595594 /nfs/dbraw/zinc/59/55/94/775595594.db2.gz KJPKPSCSSAEYFA-AWEZNQCLSA-N 0 2 319.409 0.059 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[NH+]2Cc1ccnn1C ZINC001111308931 775727228 /nfs/dbraw/zinc/72/72/28/775727228.db2.gz DVYGJOZQLALPGM-BMFZPTHFSA-N 0 2 319.453 0.983 20 0 DCADLN C[C@H](Oc1[nH]c(=O)nc2c(=O)[nH]c(=O)[nH]c21)c1ncccn1 ZINC001226889128 775892971 /nfs/dbraw/zinc/89/29/71/775892971.db2.gz YKAYNYFOEDVKGU-YFKPBYRVSA-N 0 2 302.250 0.467 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cc(C(=O)[O-])cn2C)c(C)c[nH+]1 ZINC001600282547 1168155143 /nfs/dbraw/zinc/15/51/43/1168155143.db2.gz AXNSLWOBUFPGMO-UHFFFAOYSA-N 0 2 309.347 0.958 20 0 DCADLN COc1ccc(C[NH2+]CCN(C)C(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001317643342 945138818 /nfs/dbraw/zinc/13/88/18/945138818.db2.gz YJBPFRXZRFIUSF-UHFFFAOYSA-N 0 2 303.366 0.604 20 0 DCADLN CN(CCNC(=O)[C@H]1CCCOC1)C(=O)C(F)C(F)(F)F ZINC001408536872 939173143 /nfs/dbraw/zinc/17/31/43/939173143.db2.gz GGPWDRGGSSFOJA-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@H]1CCCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001408536872 939173146 /nfs/dbraw/zinc/17/31/46/939173146.db2.gz GGPWDRGGSSFOJA-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN COCCOCCN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001318025855 945245077 /nfs/dbraw/zinc/24/50/77/945245077.db2.gz QCTWJEVLXSEPKI-VIFPVBQESA-N 0 2 302.268 0.350 20 0 DCADLN COCCOCCN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001318025855 945245084 /nfs/dbraw/zinc/24/50/84/945245084.db2.gz QCTWJEVLXSEPKI-VIFPVBQESA-N 0 2 302.268 0.350 20 0 DCADLN CN(CCNC(=O)c1cn[nH]c(=O)c1)C(=O)C(F)C(F)(F)F ZINC001408597786 939435235 /nfs/dbraw/zinc/43/52/35/939435235.db2.gz HANUVLCCJRVODJ-MRVPVSSYSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)c1cn[nH]c(=O)c1)C(=O)[C@@H](F)C(F)(F)F ZINC001408597786 939435236 /nfs/dbraw/zinc/43/52/36/939435236.db2.gz HANUVLCCJRVODJ-MRVPVSSYSA-N 0 2 324.234 0.271 20 0 DCADLN CCc1noc(C)c1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001480946616 939466395 /nfs/dbraw/zinc/46/63/95/939466395.db2.gz VRDBHEZYDITDID-UHFFFAOYSA-N 0 2 308.342 0.231 20 0 DCADLN CCc1noc(C)c1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001480946616 939466397 /nfs/dbraw/zinc/46/63/97/939466397.db2.gz VRDBHEZYDITDID-UHFFFAOYSA-N 0 2 308.342 0.231 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001480972392 939506964 /nfs/dbraw/zinc/50/69/64/939506964.db2.gz MBTBTDICMZDAHM-NSHDSACASA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001480972392 939506965 /nfs/dbraw/zinc/50/69/65/939506965.db2.gz MBTBTDICMZDAHM-NSHDSACASA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@H+](CCNC(=O)C[NH+]1[C@H](C)CC[C@H]1C)Cc1cnnn1C ZINC001481018080 939524394 /nfs/dbraw/zinc/52/43/94/939524394.db2.gz DGMFXIVYDNLRSV-ZIAGYGMSSA-N 0 2 322.457 0.626 20 0 DCADLN CCc1nnc([C@@H](C)N(CCNC(=O)c2cnn[nH]2)C2CC2)[nH]1 ZINC001481178447 939597723 /nfs/dbraw/zinc/59/77/23/939597723.db2.gz VNBVRGCBEYLOMY-SECBINFHSA-N 0 2 318.385 0.441 20 0 DCADLN O=C(CCC(F)F)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001481381143 939765204 /nfs/dbraw/zinc/76/52/04/939765204.db2.gz AUOSIYHPHCXNGN-DTORHVGOSA-N 0 2 315.324 0.731 20 0 DCADLN O=C(CN1CC(NC(=O)C(F)C(F)(F)F)C1)NCC1CC1 ZINC001481561093 939938295 /nfs/dbraw/zinc/93/82/95/939938295.db2.gz GSPLNPSYPBNWLC-SNVBAGLBSA-N 0 2 311.279 0.213 20 0 DCADLN O=C(CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)NCC1CC1 ZINC001481561093 939938299 /nfs/dbraw/zinc/93/82/99/939938299.db2.gz GSPLNPSYPBNWLC-SNVBAGLBSA-N 0 2 311.279 0.213 20 0 DCADLN CC(C)(CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001481598334 939963728 /nfs/dbraw/zinc/96/37/28/939963728.db2.gz SEKCWFMLUBBYKZ-UHFFFAOYSA-N 0 2 321.303 0.789 20 0 DCADLN CCC(C)(C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001482198231 940408677 /nfs/dbraw/zinc/40/86/77/940408677.db2.gz JJWUCXBVZDQFSM-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CCN(C(=O)C(=O)C(C)(C)C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001269319292 940680997 /nfs/dbraw/zinc/68/09/97/940680997.db2.gz VUSMSSLYMJDXMH-JTQLQIEISA-N 0 2 323.397 0.548 20 0 DCADLN C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001270200056 940854996 /nfs/dbraw/zinc/85/49/96/940854996.db2.gz JOIGESKABQGHKL-DTORHVGOSA-N 0 2 312.347 0.167 20 0 DCADLN C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001270200056 940854998 /nfs/dbraw/zinc/85/49/98/940854998.db2.gz JOIGESKABQGHKL-DTORHVGOSA-N 0 2 312.347 0.167 20 0 DCADLN O=C(CCc1ncc[nH]1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001409060904 941300836 /nfs/dbraw/zinc/30/08/36/941300836.db2.gz WBFGDDONCSKPGM-JTQLQIEISA-N 0 2 322.262 0.570 20 0 DCADLN CCc1noc(C[NH2+]C[C@@H](C)NC(=O)C[N@@H+]2CC[C@@H](C)C2)n1 ZINC001483167106 941919632 /nfs/dbraw/zinc/91/96/32/941919632.db2.gz TYVGFJMYFRIYHQ-VXGBXAGGSA-N 0 2 309.414 0.568 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001409562849 942056541 /nfs/dbraw/zinc/05/65/41/942056541.db2.gz BLLCUPGUMCMCSF-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc(C)n1 ZINC001409562849 942056548 /nfs/dbraw/zinc/05/65/48/942056548.db2.gz BLLCUPGUMCMCSF-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN CC(C)(C)CC[C@H](CO)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001412892591 942127365 /nfs/dbraw/zinc/12/73/65/942127365.db2.gz VOICVDCDTXLUSI-SNVBAGLBSA-N 0 2 321.381 0.375 20 0 DCADLN COC1(CC(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001483331979 942274550 /nfs/dbraw/zinc/27/45/50/942274550.db2.gz VUNIWUWGLDYURY-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN COC1(CC(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001483331979 942274555 /nfs/dbraw/zinc/27/45/55/942274555.db2.gz VUNIWUWGLDYURY-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NC(=O)NCc2ccco2)[C@H](C(=O)[O-])C1 ZINC000322461532 970889804 /nfs/dbraw/zinc/88/98/04/970889804.db2.gz JDRJKZCHIQKOFG-JQWIXIFHSA-N 0 2 323.349 0.791 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)NC(=O)NCc2ccco2)[C@H](C(=O)[O-])C1 ZINC000322461532 970889809 /nfs/dbraw/zinc/88/98/09/970889809.db2.gz JDRJKZCHIQKOFG-JQWIXIFHSA-N 0 2 323.349 0.791 20 0 DCADLN O=C(NC[C@@H](O)C1CCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001413111120 942511955 /nfs/dbraw/zinc/51/19/55/942511955.db2.gz BOVRAHRUKIOULN-GFCCVEGCSA-N 0 2 318.333 0.157 20 0 DCADLN Cc1cc(CCC(=O)NCc2n[nH]c([C@@H]3CCCO3)n2)[nH]n1 ZINC001413206139 942558850 /nfs/dbraw/zinc/55/88/50/942558850.db2.gz ZMRXCBXVWPIZDL-NSHDSACASA-N 0 2 304.354 0.937 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cnn(CC3CCC3)c2)S1 ZINC001413718939 943605742 /nfs/dbraw/zinc/60/57/42/943605742.db2.gz WKNOEPLCDOSXQZ-NSHDSACASA-N 0 2 321.406 0.856 20 0 DCADLN C[C@H](NC(=O)c1ncc(C(N)=O)cc1Cl)c1nn(C)cc1O ZINC001413762539 943671017 /nfs/dbraw/zinc/67/10/17/943671017.db2.gz MHKBQCISGNYMHP-LURJTMIESA-N 0 2 323.740 0.764 20 0 DCADLN C[C@H](NC(=O)CS(=O)(=O)C1CCCC1)c1nn(C)cc1O ZINC001413770943 943677644 /nfs/dbraw/zinc/67/76/44/943677644.db2.gz ZTVOKYMWQRIMEV-VIFPVBQESA-N 0 2 315.395 0.660 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc2cnsn2)c1[O-] ZINC001485140701 943726108 /nfs/dbraw/zinc/72/61/08/943726108.db2.gz UHZGPWMAACMSDU-RQJHMYQMSA-N 0 2 310.383 0.572 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1C[C@H]1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409933997 944202151 /nfs/dbraw/zinc/20/21/51/944202151.db2.gz RCDGCLKPAMEFSO-CDMKHQONSA-N 0 2 319.409 0.883 20 0 DCADLN NC(=O)c1noc2c1CN(C(=O)c1c(F)ccc(F)c1O)CC2 ZINC001276833458 944319968 /nfs/dbraw/zinc/31/99/68/944319968.db2.gz NYYINWZKSODPDE-UHFFFAOYSA-N 0 2 323.255 0.956 20 0 DCADLN COCCN(CCNC(=O)[C@@H]1CC=CCC1)Cc1n[nH]c(=O)[nH]1 ZINC001486426566 944873622 /nfs/dbraw/zinc/87/36/22/944873622.db2.gz RHYSIUGVKDKHKO-GFCCVEGCSA-N 0 2 323.397 0.431 20 0 DCADLN Cn1c(=O)ccc2c1CC[C@H](NS(=O)(=O)CC(F)(F)F)C2 ZINC001253210685 946096518 /nfs/dbraw/zinc/09/65/18/946096518.db2.gz UTQLLKHANXFLRM-VIFPVBQESA-N 0 2 324.324 0.724 20 0 DCADLN CC[N@@H+](C)C(C)(C)C(=O)NC[C@H](C)NC(=O)c1[nH]nc(C)c1C ZINC001410349541 946229513 /nfs/dbraw/zinc/22/95/13/946229513.db2.gz TWGXAAMIGJRIPI-JTQLQIEISA-N 0 2 323.441 0.991 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(=O)n(C)[nH]1)C1CCC1 ZINC001410401804 946309690 /nfs/dbraw/zinc/30/96/90/946309690.db2.gz YDWBYPGXEXGTHT-WDEREUQCSA-N 0 2 309.414 0.481 20 0 DCADLN NC(=O)C[C@H]1C[C@@H]2CN(C(=O)C=C(O)c3ccccc3)C[C@@H]2O1 ZINC001273306717 946460906 /nfs/dbraw/zinc/46/09/06/946460906.db2.gz MVFVUQYFCSXPCN-NFAWXSAZSA-N 0 2 316.357 0.751 20 0 DCADLN NC(=O)c1ncc(NS(=O)(=O)c2ccc(F)cc2F)cn1 ZINC001255997958 946569995 /nfs/dbraw/zinc/56/99/95/946569995.db2.gz HNWYGRBHARLOCF-UHFFFAOYSA-N 0 2 314.273 0.655 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N1CC[C@@H]1CNC(=O)Cc1c[nH+]cn1C ZINC001410617308 946662798 /nfs/dbraw/zinc/66/27/98/946662798.db2.gz MKKHXPINKCYZLV-CYBMUJFWSA-N 0 2 321.425 0.020 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1cccc(Cl)c1)C(=O)OC ZINC001256824768 946665102 /nfs/dbraw/zinc/66/51/02/946665102.db2.gz LRSRKYWGTHBNAQ-UHFFFAOYSA-N 0 2 321.738 0.333 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)c(C)c1 ZINC001259095768 946914203 /nfs/dbraw/zinc/91/42/03/946914203.db2.gz BXCBFVOMBOMUOD-UHFFFAOYSA-N 0 2 306.347 0.993 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)Cc2ccc(F)cc2)S1 ZINC001259255044 946932830 /nfs/dbraw/zinc/93/28/30/946932830.db2.gz WZTUFQBLHLKXBH-SECBINFHSA-N 0 2 304.324 0.554 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)cc1F ZINC001259474706 946961488 /nfs/dbraw/zinc/96/14/88/946961488.db2.gz KVDXOSRWNZVSHX-UHFFFAOYSA-N 0 2 310.310 0.824 20 0 DCADLN CCn1nnc(-c2ccccc2NS(=O)(=O)CCOC)n1 ZINC001259972851 947045109 /nfs/dbraw/zinc/04/51/09/947045109.db2.gz CSGCGCCZTNJUFE-UHFFFAOYSA-N 0 2 311.367 0.748 20 0 DCADLN C[NH+]1CC2(CN(S(=O)(=O)c3cc(C(=O)[O-])ccc3F)C2)C1 ZINC001260214816 947083558 /nfs/dbraw/zinc/08/35/58/947083558.db2.gz OARUEAGXLJLJIS-UHFFFAOYSA-N 0 2 314.338 0.460 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CC12CCOCC2)Cc1n[nH]c(=O)[n-]1 ZINC001493067483 947339993 /nfs/dbraw/zinc/33/99/93/947339993.db2.gz KRCTYENTBHPUMA-NSHDSACASA-N 0 2 323.397 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CC12CCOCC2)Cc1n[nH]c(=O)[n-]1 ZINC001493067483 947340006 /nfs/dbraw/zinc/34/00/06/947340006.db2.gz KRCTYENTBHPUMA-NSHDSACASA-N 0 2 323.397 0.265 20 0 DCADLN C[C@H]1CN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C[C@H]1C ZINC001413950218 947548391 /nfs/dbraw/zinc/54/83/91/947548391.db2.gz UXPYSNRKQWMZFT-DTORHVGOSA-N 0 2 315.399 0.147 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc2cnccc21 ZINC001325067068 947628895 /nfs/dbraw/zinc/62/88/95/947628895.db2.gz WGDMHNUQHMNEBK-UHFFFAOYSA-N 0 2 312.289 0.313 20 0 DCADLN CC(C)(C)/C=C\C(=O)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325069916 947634304 /nfs/dbraw/zinc/63/43/04/947634304.db2.gz JWBRUFJMDMNHGM-FOSCPCJNSA-N 0 2 323.397 0.166 20 0 DCADLN CC(C)(C)/C=C\C(=O)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325069916 947634306 /nfs/dbraw/zinc/63/43/06/947634306.db2.gz JWBRUFJMDMNHGM-FOSCPCJNSA-N 0 2 323.397 0.166 20 0 DCADLN CCCCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325070494 947634808 /nfs/dbraw/zinc/63/48/08/947634808.db2.gz YXDYCQOJYHOGOS-AWEZNQCLSA-N 0 2 311.386 0.144 20 0 DCADLN CCCCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001325070494 947634814 /nfs/dbraw/zinc/63/48/14/947634814.db2.gz YXDYCQOJYHOGOS-AWEZNQCLSA-N 0 2 311.386 0.144 20 0 DCADLN O=C(C=C1CCC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095298493 947826088 /nfs/dbraw/zinc/82/60/88/947826088.db2.gz AZJBCGNTSGHKEP-WOPDTQHZSA-N 0 2 303.366 0.842 20 0 DCADLN O=C(C=C1CCC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001095298493 947826091 /nfs/dbraw/zinc/82/60/91/947826091.db2.gz AZJBCGNTSGHKEP-WOPDTQHZSA-N 0 2 303.366 0.842 20 0 DCADLN O=C(CCO)Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12 ZINC001179576822 947865265 /nfs/dbraw/zinc/86/52/65/947865265.db2.gz ZIUWGLDCOVGNBW-UHFFFAOYSA-N 0 2 301.262 0.294 20 0 DCADLN CC(C)=C(C)CC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001326647189 948230407 /nfs/dbraw/zinc/23/04/07/948230407.db2.gz IFXZCNJDIMEPJZ-LBPRGKRZSA-N 0 2 323.397 0.574 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H]1CCCC1=O ZINC001570917876 948566043 /nfs/dbraw/zinc/56/60/43/948566043.db2.gz HGVOLMJTVCCXLW-QMMMGPOBSA-N 0 2 316.321 0.375 20 0 DCADLN CO[C@H]1C[C@H](CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001570922068 948633695 /nfs/dbraw/zinc/63/36/95/948633695.db2.gz UQWYRKWNPNIARQ-KYZUINATSA-N 0 2 318.337 0.431 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(C)CC=CC1 ZINC001263809894 948755379 /nfs/dbraw/zinc/75/53/79/948755379.db2.gz QHZYEWIIEQUSPD-LLVKDONJSA-N 0 2 305.382 0.899 20 0 DCADLN CC[C@@]1(C(=O)[O-])CCCN(S(=O)(=O)N2CC[NH+](C)CC2)C1 ZINC001589607366 949299229 /nfs/dbraw/zinc/29/92/29/949299229.db2.gz FJCMXVUCCOTRBP-CYBMUJFWSA-N 0 2 319.427 0.055 20 0 DCADLN O=C([O-])c1ccc(NCCNS(=O)(=O)c2cccnc2)[nH+]c1 ZINC001595238584 949431051 /nfs/dbraw/zinc/43/10/51/949431051.db2.gz WSNNIKDTLSZTGC-UHFFFAOYSA-N 0 2 322.346 0.565 20 0 DCADLN COCC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1cccnc1 ZINC001364669613 949729652 /nfs/dbraw/zinc/72/96/52/949729652.db2.gz PCYGWIGIGSQFQF-WDEREUQCSA-N 0 2 322.390 0.832 20 0 DCADLN C[C@@H](O)[C@H]1CCCN(C(=O)NCc2n[nH]c(=O)n2C2CC2)C1 ZINC001364719590 949807332 /nfs/dbraw/zinc/80/73/32/949807332.db2.gz BLGYIRDQWABWIS-ZJUUUORDSA-N 0 2 309.370 0.621 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N2CC[C@@H]2C2CC2)[nH]1 ZINC001364752589 949876374 /nfs/dbraw/zinc/87/63/74/949876374.db2.gz CLHBAYSIQVBNEC-SECBINFHSA-N 0 2 313.383 0.043 20 0 DCADLN COC[C@@H](CCO)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001364835153 950040022 /nfs/dbraw/zinc/04/00/22/950040022.db2.gz FCUKGPKNNWLRPA-MRVPVSSYSA-N 0 2 324.786 0.881 20 0 DCADLN C[C@H](NC(=O)[C@@]1(C)C=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001330037982 950079237 /nfs/dbraw/zinc/07/92/37/950079237.db2.gz IUKRUNUJOCIUFI-BONVTDFDSA-N 0 2 305.382 0.803 20 0 DCADLN Cc1cc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC001365026100 950373809 /nfs/dbraw/zinc/37/38/09/950373809.db2.gz FIAHOPUXRVVMKA-VIFPVBQESA-N 0 2 305.342 0.964 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2n[nH]cc2C(=O)[O-])C1 ZINC001590209524 950897219 /nfs/dbraw/zinc/89/72/19/950897219.db2.gz WFIVJDZMPCLWSY-VIFPVBQESA-N 0 2 324.337 0.322 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2n[nH]cc2C(=O)[O-])C1 ZINC001590209524 950897245 /nfs/dbraw/zinc/89/72/45/950897245.db2.gz WFIVJDZMPCLWSY-VIFPVBQESA-N 0 2 324.337 0.322 20 0 DCADLN CC(C)C[C@H](C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365359836 951021072 /nfs/dbraw/zinc/02/10/72/951021072.db2.gz QRFWWGMNRPCXSO-VIFPVBQESA-N 0 2 317.415 0.583 20 0 DCADLN CC[C@@H]1OCC[C@H]1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365403369 951078323 /nfs/dbraw/zinc/07/83/23/951078323.db2.gz LLEGNUIJZIKHOQ-AXFHLTTASA-N 0 2 309.370 0.967 20 0 DCADLN CS(=O)(=O)N1C[C@H](O)C[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC001365471653 951167108 /nfs/dbraw/zinc/16/71/08/951167108.db2.gz UGVVRZBUVLJPJY-MNOVXSKESA-N 0 2 308.363 0.539 20 0 DCADLN O=C(CCc1ccoc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001365690319 951437592 /nfs/dbraw/zinc/43/75/92/951437592.db2.gz WIWVTUWFHLUKCF-UHFFFAOYSA-N 0 2 305.338 0.380 20 0 DCADLN O=C(CCCOC1CCOCC1)NCCc1n[nH]c(=S)o1 ZINC001332018261 951438947 /nfs/dbraw/zinc/43/89/47/951438947.db2.gz DUCUQWAYGUVIQY-UHFFFAOYSA-N 0 2 315.395 0.993 20 0 DCADLN O=C([O-])COCCNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC001595113482 951925845 /nfs/dbraw/zinc/92/58/45/951925845.db2.gz ARXJHAAMRKZABS-LLVKDONJSA-N 0 2 308.338 0.061 20 0 DCADLN O=C(NCC[NH+]1CC=CC1)c1cccc(-c2nc(=O)o[n-]2)c1 ZINC001334144872 952246604 /nfs/dbraw/zinc/24/66/04/952246604.db2.gz HBQPJKWCWZIPKO-UHFFFAOYSA-N 0 2 300.318 0.632 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn(C)cn1 ZINC001411564614 952277699 /nfs/dbraw/zinc/27/76/99/952277699.db2.gz BADPDVMHCNVIIY-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cn(C)cn1 ZINC001411564614 952277705 /nfs/dbraw/zinc/27/77/05/952277705.db2.gz BADPDVMHCNVIIY-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN CN1C[C@@H]2C[N@@H+](Cc3ccc(OCC(=O)[O-])cc3)C[C@H](C1)O2 ZINC001275682751 952379088 /nfs/dbraw/zinc/37/90/88/952379088.db2.gz SDHINNSAJIAOIZ-GASCZTMLSA-N 0 2 306.362 0.665 20 0 DCADLN CN1C[C@@H]2C[N@H+](Cc3ccc(OCC(=O)[O-])cc3)C[C@H](C1)O2 ZINC001275682751 952379094 /nfs/dbraw/zinc/37/90/94/952379094.db2.gz SDHINNSAJIAOIZ-GASCZTMLSA-N 0 2 306.362 0.665 20 0 DCADLN O=C(C=CCOC1CCOCC1)NCCc1n[nH]c(=S)o1 ZINC001335572681 952558142 /nfs/dbraw/zinc/55/81/42/952558142.db2.gz KJJKYTQEMYHKLD-UPHRSURJSA-N 0 2 313.379 0.769 20 0 DCADLN O=C(NC[C@@H]1CCCOC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412091428 952641038 /nfs/dbraw/zinc/64/10/38/952641038.db2.gz STIABJPWFSCICK-JTQLQIEISA-N 0 2 318.333 0.423 20 0 DCADLN Cc1cc(=O)[nH]c(N2CCC[C@H](NC(=O)c3[nH]ncc3F)C2)n1 ZINC001412612122 952937153 /nfs/dbraw/zinc/93/71/53/952937153.db2.gz ZCQGTQYYGOLICN-VIFPVBQESA-N 0 2 320.328 0.752 20 0 DCADLN CC(C)C1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001412673109 952983749 /nfs/dbraw/zinc/98/37/49/952983749.db2.gz DXDDSRUILXIFSQ-UHFFFAOYSA-N 0 2 302.334 0.994 20 0 DCADLN CCCCC(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001412755877 953073074 /nfs/dbraw/zinc/07/30/74/953073074.db2.gz AKIIGSDOEZLKLX-VXNVDRBHSA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001412755877 953073076 /nfs/dbraw/zinc/07/30/76/953073076.db2.gz AKIIGSDOEZLKLX-VXNVDRBHSA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001412755847 953072587 /nfs/dbraw/zinc/07/25/87/953072587.db2.gz AKIIGSDOEZLKLX-CBAPKCEASA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001412755847 953072589 /nfs/dbraw/zinc/07/25/89/953072589.db2.gz AKIIGSDOEZLKLX-CBAPKCEASA-N 0 2 302.268 0.670 20 0 DCADLN C[C@H](NC(=O)CCC(=O)c1cnn(C)c1)c1nn(C)cc1O ZINC001412760968 953077611 /nfs/dbraw/zinc/07/76/11/953077611.db2.gz FSWAXBXEFYIJNA-VIFPVBQESA-N 0 2 305.338 0.700 20 0 DCADLN O=C([O-])[C@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC001339191814 953079813 /nfs/dbraw/zinc/07/98/13/953079813.db2.gz DJLCFSQIHIWPSF-DJYNDVHFSA-N 0 2 322.336 0.956 20 0 DCADLN C[C@@H]1OCC[C@@]1(C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412817430 953110459 /nfs/dbraw/zinc/11/04/59/953110459.db2.gz QYZNJDDBKBBYDH-BJOHPYRUSA-N 0 2 318.333 0.564 20 0 DCADLN C[C@H](O)CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C1=NC(=O)N(C)C1 ZINC001479244066 953279747 /nfs/dbraw/zinc/27/97/47/953279747.db2.gz SHURORHIOBJPIC-RYUDHWBXSA-N 0 2 310.398 0.433 20 0 DCADLN CCn1ccnc1C[NH+]1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001593667288 953856217 /nfs/dbraw/zinc/85/62/17/953856217.db2.gz BCSZOBBNOITSDL-LBPRGKRZSA-N 0 2 320.393 0.801 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](CCOc2ccc3c(c2)OCO3)CC1 ZINC001594828332 953956868 /nfs/dbraw/zinc/95/68/68/953956868.db2.gz VTVDFNQALJAMMR-UHFFFAOYSA-N 0 2 322.317 0.023 20 0 DCADLN COC1CC(C(=O)NCCCNC(=O)C(F)C(F)(F)F)C1 ZINC001283272739 954206090 /nfs/dbraw/zinc/20/60/90/954206090.db2.gz BWIPUTFGSLNWSI-AMDVSUOASA-N 0 2 314.279 0.934 20 0 DCADLN COC1CC(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001283272739 954206099 /nfs/dbraw/zinc/20/60/99/954206099.db2.gz BWIPUTFGSLNWSI-AMDVSUOASA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)[C@@H](C)CO1 ZINC001589399009 954397182 /nfs/dbraw/zinc/39/71/82/954397182.db2.gz BLOJRIHNKGBYLC-QNWHQSFQSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)[C@@H](C)CO1 ZINC001589399009 954397193 /nfs/dbraw/zinc/39/71/93/954397193.db2.gz BLOJRIHNKGBYLC-QNWHQSFQSA-N 0 2 313.398 0.648 20 0 DCADLN Cc1ncncc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366736150 954744365 /nfs/dbraw/zinc/74/43/65/954744365.db2.gz YUXACXIJCGXWAM-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1ncncc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366736150 954744377 /nfs/dbraw/zinc/74/43/77/954744377.db2.gz YUXACXIJCGXWAM-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)CCO1 ZINC001594948051 954934967 /nfs/dbraw/zinc/93/49/67/954934967.db2.gz LEAKAADCVMBMAO-CYBMUJFWSA-N 0 2 317.301 0.504 20 0 DCADLN O=C([O-])CC1(C(=O)N2CC(Oc3cc[nH+]cc3)C2)CCOCC1 ZINC001594970380 955105974 /nfs/dbraw/zinc/10/59/74/955105974.db2.gz IRDAZKVNBBUMRS-UHFFFAOYSA-N 0 2 320.345 0.943 20 0 DCADLN COC(=O)CC[C@H](NC(=O)c1cccc2[nH+]ccn21)C(=O)[O-] ZINC001593848932 955441393 /nfs/dbraw/zinc/44/13/93/955441393.db2.gz YYSOWYOYAMNWFT-VIFPVBQESA-N 0 2 305.290 0.471 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-])C(C)C ZINC001593860766 955537594 /nfs/dbraw/zinc/53/75/94/955537594.db2.gz BZOOIMIZSBMMIJ-FZMZJTMJSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-])C(C)C ZINC001593860766 955537613 /nfs/dbraw/zinc/53/76/13/955537613.db2.gz BZOOIMIZSBMMIJ-FZMZJTMJSA-N 0 2 300.355 0.239 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc(C(=O)[O-])nc1 ZINC001589038572 955570528 /nfs/dbraw/zinc/57/05/28/955570528.db2.gz DNVCCLZOIIOJDG-LLVKDONJSA-N 0 2 307.350 0.573 20 0 DCADLN Cn1cc([C@H]2C[C@@H](C(=O)[O-])C[N@H+](Cc3cnsn3)C2)cn1 ZINC001594538579 955605606 /nfs/dbraw/zinc/60/56/06/955605606.db2.gz RYDQHPMJZPSDKQ-VHSXEESVSA-N 0 2 307.379 0.962 20 0 DCADLN Cn1cc([C@H]2C[C@@H](C(=O)[O-])C[N@@H+](Cc3cnsn3)C2)cn1 ZINC001594538579 955605613 /nfs/dbraw/zinc/60/56/13/955605613.db2.gz RYDQHPMJZPSDKQ-VHSXEESVSA-N 0 2 307.379 0.962 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC001594557741 955779037 /nfs/dbraw/zinc/77/90/37/955779037.db2.gz WFFJBDQUTLMTIP-RXNUUUNCSA-N 0 2 305.290 0.574 20 0 DCADLN CSC[C@H](NC(=O)/C=C(\C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001354072658 956273674 /nfs/dbraw/zinc/27/36/74/956273674.db2.gz OOYMNWUYJDYGCX-HUYFXPKMSA-N 0 2 302.396 0.197 20 0 DCADLN C[C@@](O)(CNC(=O)c1ccccc1C[NH+]1CCOCC1)C(=O)[O-] ZINC001589144391 956277283 /nfs/dbraw/zinc/27/72/83/956277283.db2.gz KAEVMSHUEGRMKB-MRXNPFEDSA-N 0 2 322.361 0.084 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)Cc1cccc(C(=O)[O-])c1 ZINC000388556941 972176761 /nfs/dbraw/zinc/17/67/61/972176761.db2.gz APFKLDWDBSGNFH-UHFFFAOYSA-N 0 2 309.347 0.738 20 0 DCADLN O=C(C=C1CCC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001287793713 956473877 /nfs/dbraw/zinc/47/38/77/956473877.db2.gz UOBZICDLOUSXKU-WPRPVWTQSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001287793713 956473883 /nfs/dbraw/zinc/47/38/83/956473883.db2.gz UOBZICDLOUSXKU-WPRPVWTQSA-N 0 2 312.263 0.590 20 0 DCADLN COCC(=O)N1CC=C(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001288404665 956640871 /nfs/dbraw/zinc/64/08/71/956640871.db2.gz LAJHWHGZXSESRJ-SNVBAGLBSA-N 0 2 312.263 0.808 20 0 DCADLN COCC(=O)N1CC=C(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001288404665 956640874 /nfs/dbraw/zinc/64/08/74/956640874.db2.gz LAJHWHGZXSESRJ-SNVBAGLBSA-N 0 2 312.263 0.808 20 0 DCADLN C/C=C(/C)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001289644797 956770928 /nfs/dbraw/zinc/77/09/28/956770928.db2.gz MCHUSDZDWRUAPV-RIBAXMLMSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(/C)C(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001289644797 956770935 /nfs/dbraw/zinc/77/09/35/956770935.db2.gz MCHUSDZDWRUAPV-RIBAXMLMSA-N 0 2 312.263 0.541 20 0 DCADLN COCC1(O)C[NH+](Cc2ccc(N3CCC(C(=O)[O-])CC3)o2)C1 ZINC001593988695 956810655 /nfs/dbraw/zinc/81/06/55/956810655.db2.gz JWXBFANZLZFXFF-UHFFFAOYSA-N 0 2 324.377 0.774 20 0 DCADLN O=C([O-])c1ccccc1CC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC001594707764 956853536 /nfs/dbraw/zinc/85/35/36/956853536.db2.gz MCXSIXNFNOVMCQ-UHFFFAOYSA-N 0 2 318.373 0.908 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCCCNC(=O)C(F)C(F)(F)F ZINC001293212860 957314851 /nfs/dbraw/zinc/31/48/51/957314851.db2.gz FNECRPVYLMHRJJ-WPRPVWTQSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001293212860 957314860 /nfs/dbraw/zinc/31/48/60/957314860.db2.gz FNECRPVYLMHRJJ-WPRPVWTQSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCOCC1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001293240261 957323054 /nfs/dbraw/zinc/32/30/54/957323054.db2.gz FMLBLSBFAXXFGY-UHFFFAOYSA-N 0 2 318.333 0.423 20 0 DCADLN COCCOc1ccc(C[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000066115200 957641105 /nfs/dbraw/zinc/64/11/05/957641105.db2.gz UFTOBPDOQNVXHZ-CQSZACIVSA-N 0 2 322.361 0.487 20 0 DCADLN COCCOc1ccc(C[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000066115200 957641111 /nfs/dbraw/zinc/64/11/11/957641111.db2.gz UFTOBPDOQNVXHZ-CQSZACIVSA-N 0 2 322.361 0.487 20 0 DCADLN COCc1ncc(C(=O)OCC[N@@H+]2CCC[C@@H]2C(=O)[O-])s1 ZINC001594032767 957774598 /nfs/dbraw/zinc/77/45/98/957774598.db2.gz KLMPYAONWZMSOT-SECBINFHSA-N 0 2 314.363 0.995 20 0 DCADLN COCc1ncc(C(=O)OCC[N@H+]2CCC[C@@H]2C(=O)[O-])s1 ZINC001594032767 957774605 /nfs/dbraw/zinc/77/46/05/957774605.db2.gz KLMPYAONWZMSOT-SECBINFHSA-N 0 2 314.363 0.995 20 0 DCADLN CNC(=O)Cn1cnc(NC(=O)c2cccc(Cl)c2O)n1 ZINC001361298358 957843217 /nfs/dbraw/zinc/84/32/17/957843217.db2.gz GZOKZAIBZPNQRG-UHFFFAOYSA-N 0 2 309.713 0.635 20 0 DCADLN Cc1cc(CNC(=O)[C@@H]2CCCN(CC(=O)[O-])C2=O)cc(C)[nH+]1 ZINC001594304475 957953915 /nfs/dbraw/zinc/95/39/15/957953915.db2.gz PLAXVAZUGMNDSG-ZDUSSCGKSA-N 0 2 319.361 0.638 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccc(F)cc1 ZINC001367545727 958009735 /nfs/dbraw/zinc/00/97/35/958009735.db2.gz BURANQXZYMZGTH-UHFFFAOYSA-N 0 2 321.356 0.782 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccc(F)cc1 ZINC001367545727 958009751 /nfs/dbraw/zinc/00/97/51/958009751.db2.gz BURANQXZYMZGTH-UHFFFAOYSA-N 0 2 321.356 0.782 20 0 DCADLN CO[C@@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C12CCC2 ZINC001361495765 958024966 /nfs/dbraw/zinc/02/49/66/958024966.db2.gz FVTVWUAGNAGLMV-GHMZBOCLSA-N 0 2 317.349 0.145 20 0 DCADLN COC1([C@@H](C)NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC001361622196 958146196 /nfs/dbraw/zinc/14/61/96/958146196.db2.gz XLIWVIBFMPFVST-SECBINFHSA-N 0 2 305.338 0.145 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCCC[C@@H]1CO ZINC001361638766 958163403 /nfs/dbraw/zinc/16/34/03/958163403.db2.gz YYVWOUBBWNPRQI-GFCCVEGCSA-N 0 2 318.333 0.253 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1([C@@H]2CCCCO2)CC1 ZINC001361654095 958181087 /nfs/dbraw/zinc/18/10/87/958181087.db2.gz YPJIRDARGLSJBP-NSHDSACASA-N 0 2 317.349 0.289 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CC[C@@H](C2CC2)O1 ZINC001361663409 958189148 /nfs/dbraw/zinc/18/91/48/958189148.db2.gz RWVRHULLYUHNHF-PWSUYJOCSA-N 0 2 317.349 0.145 20 0 DCADLN CNC(=O)CNC(=O)c1cc(Br)c(F)cc1O ZINC001361905269 958468812 /nfs/dbraw/zinc/46/88/12/958468812.db2.gz MCRICOPBWQGVMU-UHFFFAOYSA-N 0 2 305.103 0.770 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1conc1C1CC1 ZINC001367834465 958675179 /nfs/dbraw/zinc/67/51/79/958675179.db2.gz UFOGWOUURHKSIB-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1conc1C1CC1 ZINC001367834465 958675189 /nfs/dbraw/zinc/67/51/89/958675189.db2.gz UFOGWOUURHKSIB-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[N@@H+]1CCC[C@H](NS(=O)(=O)c2scnc2C(=O)[O-])C1 ZINC000134693140 958852859 /nfs/dbraw/zinc/85/28/59/958852859.db2.gz WOFLGRCZLXXUSZ-ZETCQYMHSA-N 0 2 305.381 0.214 20 0 DCADLN C[N@H+]1CCC[C@H](NS(=O)(=O)c2scnc2C(=O)[O-])C1 ZINC000134693140 958852870 /nfs/dbraw/zinc/85/28/70/958852870.db2.gz WOFLGRCZLXXUSZ-ZETCQYMHSA-N 0 2 305.381 0.214 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+]Cc3cscn3)CC2)c1[O-] ZINC001367992298 959037907 /nfs/dbraw/zinc/03/79/07/959037907.db2.gz HIJLECHNNSVCQC-UHFFFAOYSA-N 0 2 307.379 0.932 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1)n1cc[nH+]c1 ZINC001588554022 959044066 /nfs/dbraw/zinc/04/40/66/959044066.db2.gz KMPCGCJTHXWEKO-BVWOZKJXSA-N 0 2 307.350 0.973 20 0 DCADLN Cc1ccnc(C2CC[NH+](CN3C[C@H](C(=O)[O-])CC3=O)CC2)n1 ZINC001594424675 959100963 /nfs/dbraw/zinc/10/09/63/959100963.db2.gz RQVSDRGKXNHFMO-CYBMUJFWSA-N 0 2 318.377 0.855 20 0 DCADLN COC(C)(C)CN(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362462836 959403968 /nfs/dbraw/zinc/40/39/68/959403968.db2.gz LLGZZWSTVUHJRT-UHFFFAOYSA-N 0 2 320.349 0.763 20 0 DCADLN CC[N@@H+]1CCO[C@@H](C(=O)NCC[C@@H](C)[NH2+]Cc2ncc(C)o2)C1 ZINC001377103098 959472774 /nfs/dbraw/zinc/47/27/74/959472774.db2.gz BULIOGXQQZOJEL-TZMCWYRMSA-N 0 2 324.425 0.688 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cnc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC001594246091 959569502 /nfs/dbraw/zinc/56/95/02/959569502.db2.gz USCAOUXHEGRBKK-PHIMTYICSA-N 0 2 322.365 0.356 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)c2cnc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC001594246091 959569517 /nfs/dbraw/zinc/56/95/17/959569517.db2.gz USCAOUXHEGRBKK-PHIMTYICSA-N 0 2 322.365 0.356 20 0 DCADLN CC(C)(C)[C@@H]1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@@H]1O ZINC001362572456 959624146 /nfs/dbraw/zinc/62/41/46/959624146.db2.gz VPYQXJPDBYZALP-UTLUCORTSA-N 0 2 313.423 0.798 20 0 DCADLN Cc1c(-c2cc(C[NH2+][C@]3(C(=O)[O-])CCOC3)on2)cnn1C ZINC001594253670 959635865 /nfs/dbraw/zinc/63/58/65/959635865.db2.gz GSSOIHJCHHATRN-CQSZACIVSA-N 0 2 306.322 0.717 20 0 DCADLN CN(CCNC(=O)c1cnn[nH]1)Cc1nc(-c2ccco2)no1 ZINC001492318049 959826658 /nfs/dbraw/zinc/82/66/58/959826658.db2.gz SGGAHMIHGMAEQF-UHFFFAOYSA-N 0 2 317.309 0.310 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1conc1C(F)(F)F ZINC001362727297 959899231 /nfs/dbraw/zinc/89/92/31/959899231.db2.gz UCKGSIXXTLGRAU-UHFFFAOYSA-N 0 2 304.184 0.825 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001377508823 960204535 /nfs/dbraw/zinc/20/45/35/960204535.db2.gz WVMUGMUCVCWYKD-RCOVLWMOSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001377508823 960204542 /nfs/dbraw/zinc/20/45/42/960204542.db2.gz WVMUGMUCVCWYKD-RCOVLWMOSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@H](NC(=O)Cc1nnn(C(C)(C)C)n1)c1nn(C)cc1O ZINC001363038493 960329771 /nfs/dbraw/zinc/32/97/71/960329771.db2.gz ISDGWBVILYIFNJ-QMMMGPOBSA-N 0 2 307.358 0.287 20 0 DCADLN CCCN(C(=O)Cc1c[nH+]c[nH]1)[C@H]1CCN(Cc2cnon2)C1 ZINC001377655538 960399567 /nfs/dbraw/zinc/39/95/67/960399567.db2.gz HHQSPDNODNQTTA-AWEZNQCLSA-N 0 2 318.381 0.848 20 0 DCADLN COC(=O)c1coc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC001363161010 960511106 /nfs/dbraw/zinc/51/11/06/960511106.db2.gz KMZKYASBKPZBLA-QMMMGPOBSA-N 0 2 311.319 0.239 20 0 DCADLN O=C(NCCc1nc[nH]n1)c1ncc(Br)cc1O ZINC001363304837 960849268 /nfs/dbraw/zinc/84/92/68/960849268.db2.gz WFOCCZPQLXAERD-UHFFFAOYSA-N 0 2 312.127 0.640 20 0 DCADLN CC[C@@H](C)NC(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001377892734 960917066 /nfs/dbraw/zinc/91/70/66/960917066.db2.gz SNSZUKXTQVXPJL-GMSGAONNSA-N 0 2 313.295 0.602 20 0 DCADLN CC[C@@H](C)NC(=O)CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001377892734 960917084 /nfs/dbraw/zinc/91/70/84/960917084.db2.gz SNSZUKXTQVXPJL-GMSGAONNSA-N 0 2 313.295 0.602 20 0 DCADLN CCc1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc(=O)[nH]1 ZINC001571085783 961058872 /nfs/dbraw/zinc/05/88/72/961058872.db2.gz SXKQWQODPUSZJL-LLVKDONJSA-N 0 2 304.306 0.498 20 0 DCADLN CCc1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc(=O)[nH]1 ZINC001571085783 961058892 /nfs/dbraw/zinc/05/88/92/961058892.db2.gz SXKQWQODPUSZJL-LLVKDONJSA-N 0 2 304.306 0.498 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H]2CCOC2)CC1)C(F)C(F)(F)F ZINC001378671769 961250236 /nfs/dbraw/zinc/25/02/36/961250236.db2.gz BRZSOUNCNQPRFE-HTQZYQBOSA-N 0 2 312.263 0.688 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H]2CCOC2)CC1)[C@@H](F)C(F)(F)F ZINC001378671769 961250253 /nfs/dbraw/zinc/25/02/53/961250253.db2.gz BRZSOUNCNQPRFE-HTQZYQBOSA-N 0 2 312.263 0.688 20 0 DCADLN Cn1cc([C@H]2CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@H]2C(N)=O)cn1 ZINC001549038476 1013241450 /nfs/dbraw/zinc/24/14/50/1013241450.db2.gz XQBFMYTUODVELA-VXGBXAGGSA-N 0 2 315.333 0.219 20 0 DCADLN O=C(CC1OCCO1)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001363508397 961305517 /nfs/dbraw/zinc/30/55/17/961305517.db2.gz HWFQRMULFCZIAD-LLVKDONJSA-N 0 2 318.333 0.673 20 0 DCADLN COC(=O)N(C)CCCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571113190 961370430 /nfs/dbraw/zinc/37/04/30/961370430.db2.gz XAXYFKRWHCCEDK-SNVBAGLBSA-N 0 2 312.326 0.000 20 0 DCADLN COC(=O)N(C)CCCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571113190 961370450 /nfs/dbraw/zinc/37/04/50/961370450.db2.gz XAXYFKRWHCCEDK-SNVBAGLBSA-N 0 2 312.326 0.000 20 0 DCADLN CN(C)c1n[nH]c(C[NH2+]Cc2cc(C(=O)[O-])c3n2CCCC3)n1 ZINC001571137615 961617090 /nfs/dbraw/zinc/61/70/90/961617090.db2.gz IYZAHOZTMGYYKZ-UHFFFAOYSA-N 0 2 318.381 0.997 20 0 DCADLN CC[C@H](C(=O)[O-])C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC001332653791 961625168 /nfs/dbraw/zinc/62/51/68/961625168.db2.gz QHXMJQYQCCRMPT-FZMZJTMJSA-N 0 2 316.423 0.421 20 0 DCADLN Cc1c(O)nc(CCN(C)C(=O)C2=NC(=O)C(C)S2)[nH]c1=O ZINC001363652602 961630184 /nfs/dbraw/zinc/63/01/84/961630184.db2.gz KYLFOHIVHKUZIQ-UHFFFAOYSA-N 0 2 324.362 0.981 20 0 DCADLN CC(C)[C@@H](CNC(=O)NC[C@@H](C)[NH+]1CCN(C)CC1)C(=O)[O-] ZINC001588824730 961656676 /nfs/dbraw/zinc/65/66/76/961656676.db2.gz AWIJNHJCPDFJNE-CHWSQXEVSA-N 0 2 314.430 0.278 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001378208817 961669716 /nfs/dbraw/zinc/66/97/16/961669716.db2.gz AEAOTJJULJPZJT-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001378208817 961669722 /nfs/dbraw/zinc/66/97/22/961669722.db2.gz AEAOTJJULJPZJT-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1cc(CNC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])cc(C)[nH+]1 ZINC001571155645 961858114 /nfs/dbraw/zinc/85/81/14/961858114.db2.gz BMCJREPZMZDRIT-QWRGUYRKSA-N 0 2 322.365 0.475 20 0 DCADLN CC[C@@](COC)(NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC001605049715 1169795175 /nfs/dbraw/zinc/79/51/75/1169795175.db2.gz RFWQWKIVYQNDDN-MFKMUULPSA-N 0 2 304.412 0.420 20 0 DCADLN CO[C@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)C(C)C ZINC001430295899 1013327351 /nfs/dbraw/zinc/32/73/51/1013327351.db2.gz MMKGCIFLAYEYMG-DTWKUNHWSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@H](C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)C(C)C ZINC001430295899 1013327361 /nfs/dbraw/zinc/32/73/61/1013327361.db2.gz MMKGCIFLAYEYMG-DTWKUNHWSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)C(C)C ZINC001430295902 1013328284 /nfs/dbraw/zinc/32/82/84/1013328284.db2.gz MMKGCIFLAYEYMG-RKDXNWHRSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@@H](C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)C(C)C ZINC001430295902 1013328293 /nfs/dbraw/zinc/32/82/93/1013328293.db2.gz MMKGCIFLAYEYMG-RKDXNWHRSA-N 0 2 314.279 0.885 20 0 DCADLN CC[C@](COC)(NS(=O)(=O)Cc1ccno1)C(=O)OC ZINC001364013766 962294823 /nfs/dbraw/zinc/29/48/23/962294823.db2.gz ZQRNGXVPSJHNRF-LLVKDONJSA-N 0 2 306.340 0.062 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)C[C@@H]1CCO[C@@H](C)C1 ZINC001364056253 962381200 /nfs/dbraw/zinc/38/12/00/962381200.db2.gz STKXXIKDNWFFBC-DTWKUNHWSA-N 0 2 315.338 0.529 20 0 DCADLN CC(C)O[C@@]1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCOC1 ZINC001364186013 962636176 /nfs/dbraw/zinc/63/61/76/962636176.db2.gz RIROKZYJLZWBKW-TVQRCGJNSA-N 0 2 315.395 0.243 20 0 DCADLN Cc1nnc(CC(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)s1 ZINC001364246365 962746447 /nfs/dbraw/zinc/74/64/47/962746447.db2.gz ONUAGHUKCRNANF-UHFFFAOYSA-N 0 2 323.378 0.600 20 0 DCADLN CC(C)n1c[nH+]cc1CN1CCS(=O)(=O)C[C@H]1C(=O)[O-] ZINC001588982937 962749293 /nfs/dbraw/zinc/74/92/93/962749293.db2.gz GDSQMSNNVOFIDX-NSHDSACASA-N 0 2 301.368 0.148 20 0 DCADLN CCC1(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001380822402 963610058 /nfs/dbraw/zinc/61/00/58/963610058.db2.gz QNPJTQOCFBOHAX-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369068568 964359354 /nfs/dbraw/zinc/35/93/54/964359354.db2.gz CFYWJGRUFXQITF-WCBMZHEXSA-N 0 2 313.427 0.588 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)CNC(=O)C(F)C(F)(F)F ZINC001375681952 964710574 /nfs/dbraw/zinc/71/05/74/964710574.db2.gz VUUCBOQYELCRLV-VXNVDRBHSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@H](CNC(=O)c1cn(C)cn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001375681952 964710582 /nfs/dbraw/zinc/71/05/82/964710582.db2.gz VUUCBOQYELCRLV-VXNVDRBHSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@](C[NH2+]Cc1ccon1)(NC(=O)CCc1nnc[nH]1)C1CC1 ZINC001373876531 964742269 /nfs/dbraw/zinc/74/22/69/964742269.db2.gz PFTYRJMXXXSQEW-HNNXBMFYSA-N 0 2 318.381 0.800 20 0 DCADLN COCC(=O)NC[C@@H](NC(=O)C(F)C(F)(F)F)C(C)C ZINC001375935070 964988158 /nfs/dbraw/zinc/98/81/58/964988158.db2.gz SSRFXQSJCLNXHD-APPZFPTMSA-N 0 2 302.268 0.790 20 0 DCADLN COCC(=O)NC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C(C)C ZINC001375935070 964988164 /nfs/dbraw/zinc/98/81/64/964988164.db2.gz SSRFXQSJCLNXHD-APPZFPTMSA-N 0 2 302.268 0.790 20 0 DCADLN CO[C@@H]1CC[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001369462767 965020679 /nfs/dbraw/zinc/02/06/79/965020679.db2.gz DHMGKAGCBDQTLD-GARJFASQSA-N 0 2 309.370 0.967 20 0 DCADLN CC[C@H](F)C[N@H+](C)C[C@H](O)CN(C)C(=O)c1cnc(C)[nH]1 ZINC001369499777 965088339 /nfs/dbraw/zinc/08/83/39/965088339.db2.gz RVCANQATQIAJER-RYUDHWBXSA-N 0 2 300.378 0.831 20 0 DCADLN CS[C@@H](C)C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001369757897 965362625 /nfs/dbraw/zinc/36/26/25/965362625.db2.gz XFSSIKXAGGJBIL-VIFPVBQESA-N 0 2 313.427 0.685 20 0 DCADLN O=C(CC[C@@H]1CCCO1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369951739 965603044 /nfs/dbraw/zinc/60/30/44/965603044.db2.gz GICNKTGWXAEHFF-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN CO[C@@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)C(C)C ZINC001374665854 965725399 /nfs/dbraw/zinc/72/53/99/965725399.db2.gz OPCQRVXRGVJAFP-BDAKNGLRSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@@H](C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1)C(C)C ZINC001374665854 965725405 /nfs/dbraw/zinc/72/54/05/965725405.db2.gz OPCQRVXRGVJAFP-BDAKNGLRSA-N 0 2 314.279 0.885 20 0 DCADLN O=C(NC1CN(C(=O)[C@]2(F)CCOC2)C1)C(F)C(F)(F)F ZINC001374666249 965729224 /nfs/dbraw/zinc/72/92/24/965729224.db2.gz RLJRNZZWZUEWAW-XVKPBYJWSA-N 0 2 316.226 0.342 20 0 DCADLN O=C(NC1CN(C(=O)[C@]2(F)CCOC2)C1)[C@H](F)C(F)(F)F ZINC001374666249 965729228 /nfs/dbraw/zinc/72/92/28/965729228.db2.gz RLJRNZZWZUEWAW-XVKPBYJWSA-N 0 2 316.226 0.342 20 0 DCADLN CCc1nnc(C[NH2+]C[C@@H](C)NC(=O)CCc2cn[nH]n2)s1 ZINC001374815212 965968251 /nfs/dbraw/zinc/96/82/51/965968251.db2.gz WXRXHEOCWODMGT-SECBINFHSA-N 0 2 323.426 0.446 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001375108208 966363289 /nfs/dbraw/zinc/36/32/89/966363289.db2.gz QXCGENTZWYRAGI-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001375108208 966363298 /nfs/dbraw/zinc/36/32/98/966363298.db2.gz QXCGENTZWYRAGI-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001381465002 966440404 /nfs/dbraw/zinc/44/04/04/966440404.db2.gz JEAUHKGFQUWXDW-FNCVBFRFSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001381465002 966440406 /nfs/dbraw/zinc/44/04/06/966440406.db2.gz JEAUHKGFQUWXDW-FNCVBFRFSA-N 0 2 314.279 0.933 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1)C(F)F ZINC001506779300 1017539744 /nfs/dbraw/zinc/53/97/44/1017539744.db2.gz WRQMNLFQFRRSDE-SSDLBLMSSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)[C@H](F)C(F)(F)F)C1)C(F)F ZINC001506779300 1017539765 /nfs/dbraw/zinc/53/97/65/1017539765.db2.gz WRQMNLFQFRRSDE-SSDLBLMSSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(c1cncc2nc[nH]c21)N1CCSC[C@@H]1c1nn[nH]n1 ZINC001448019316 1013713286 /nfs/dbraw/zinc/71/32/86/1013713286.db2.gz MYEMRDMODBWLJL-SECBINFHSA-N 0 2 316.350 0.401 20 0 DCADLN C[N@H+](CCNC(=O)Cc1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001372201912 967738834 /nfs/dbraw/zinc/73/88/34/967738834.db2.gz BNSFYBVVLBDOOH-UHFFFAOYSA-N 0 2 307.329 0.440 20 0 DCADLN C[N@@H+](CCNC(=O)Cc1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001372201912 967738836 /nfs/dbraw/zinc/73/88/36/967738836.db2.gz BNSFYBVVLBDOOH-UHFFFAOYSA-N 0 2 307.329 0.440 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001383002457 967842489 /nfs/dbraw/zinc/84/24/89/967842489.db2.gz FEWUKMMWBSGRLZ-JGVFFNPUSA-N 0 2 300.252 0.232 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C1CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001383002457 967842501 /nfs/dbraw/zinc/84/25/01/967842501.db2.gz FEWUKMMWBSGRLZ-JGVFFNPUSA-N 0 2 300.252 0.232 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1cccnc1C)Cc1n[nH]c(=O)[n-]1 ZINC001372408376 967969631 /nfs/dbraw/zinc/96/96/31/967969631.db2.gz JCKCFVPBQYHVKX-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1cccnc1C)Cc1n[nH]c(=O)[n-]1 ZINC001372408376 967969637 /nfs/dbraw/zinc/96/96/37/967969637.db2.gz JCKCFVPBQYHVKX-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1c[nH+]cn1C)CCc1ccnn1C ZINC001372453233 968000528 /nfs/dbraw/zinc/00/05/28/968000528.db2.gz RJAQHUUBFNMYDJ-UHFFFAOYSA-N 0 2 318.425 0.377 20 0 DCADLN COc1cccnc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448120248 1013766693 /nfs/dbraw/zinc/76/66/93/1013766693.db2.gz NAWQXINRFIOESS-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1cccnc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448120248 1013766701 /nfs/dbraw/zinc/76/67/01/1013766701.db2.gz NAWQXINRFIOESS-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnns1 ZINC001372913639 968525010 /nfs/dbraw/zinc/52/50/10/968525010.db2.gz YQQXTKJXDDDOQL-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnns1 ZINC001372913639 968525014 /nfs/dbraw/zinc/52/50/14/968525014.db2.gz YQQXTKJXDDDOQL-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN CC(C)OCCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373388860 969026134 /nfs/dbraw/zinc/02/61/34/969026134.db2.gz QFGFMAOXLPAIDX-NSHDSACASA-N 0 2 311.386 0.406 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)C1=COCCO1 ZINC001373490067 969144350 /nfs/dbraw/zinc/14/43/50/969144350.db2.gz URQYRBXNWWTFAX-QMMMGPOBSA-N 0 2 314.235 0.350 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)C1=COCCO1 ZINC001373490067 969144354 /nfs/dbraw/zinc/14/43/54/969144354.db2.gz URQYRBXNWWTFAX-QMMMGPOBSA-N 0 2 314.235 0.350 20 0 DCADLN CCn1ncc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001373507907 969170922 /nfs/dbraw/zinc/17/09/22/969170922.db2.gz KTWSEBAJQNEEDP-SNVBAGLBSA-N 0 2 323.294 0.746 20 0 DCADLN CCn1ncc(CN2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001373507907 969170927 /nfs/dbraw/zinc/17/09/27/969170927.db2.gz KTWSEBAJQNEEDP-SNVBAGLBSA-N 0 2 323.294 0.746 20 0 DCADLN O=C(NCC1CN(Cc2csnn2)C1)C(F)C(F)(F)F ZINC001373509237 969173232 /nfs/dbraw/zinc/17/32/32/969173232.db2.gz UNFSAHPDGHYUII-QMMMGPOBSA-N 0 2 312.292 0.987 20 0 DCADLN O=C(NCC1CN(Cc2csnn2)C1)[C@H](F)C(F)(F)F ZINC001373509237 969173235 /nfs/dbraw/zinc/17/32/35/969173235.db2.gz UNFSAHPDGHYUII-QMMMGPOBSA-N 0 2 312.292 0.987 20 0 DCADLN CCCN1C(=O)CC[C@@H](C(=O)NCC(=O)[O-])[C@@H]1c1c[nH+]cn1C ZINC001610251639 970708009 /nfs/dbraw/zinc/70/80/09/970708009.db2.gz RYCCXVPATWFZFS-QMTHXVAHSA-N 0 2 322.365 0.311 20 0 DCADLN CCOC1CC(CNC(=O)CCC(=O)[O-])([NH+]2CCOCC2)C1 ZINC001610342010 970727514 /nfs/dbraw/zinc/72/75/14/970727514.db2.gz NTGYKFAGUGJICS-UHFFFAOYSA-N 0 2 314.382 0.237 20 0 DCADLN C[N@H+](CCC1(C(=O)[O-])CCC1)Cc1nnc2n1CCNC2=O ZINC001604150966 972560728 /nfs/dbraw/zinc/56/07/28/972560728.db2.gz YYYYDZYZVKLMRE-UHFFFAOYSA-N 0 2 307.354 0.098 20 0 DCADLN C[N@@H+](CCC1(C(=O)[O-])CCC1)Cc1nnc2n1CCNC2=O ZINC001604150966 972560739 /nfs/dbraw/zinc/56/07/39/972560739.db2.gz YYYYDZYZVKLMRE-UHFFFAOYSA-N 0 2 307.354 0.098 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](CCn2c(C)csc2=O)CCO1 ZINC001604557182 973593463 /nfs/dbraw/zinc/59/34/63/973593463.db2.gz FAJFTQHFZDSUHQ-ZDUSSCGKSA-N 0 2 316.379 0.020 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](CCn2c(C)csc2=O)CCO1 ZINC001604557182 973593473 /nfs/dbraw/zinc/59/34/73/973593473.db2.gz FAJFTQHFZDSUHQ-ZDUSSCGKSA-N 0 2 316.379 0.020 20 0 DCADLN Cn1cc([C@@H]2C[C@@H](C(=O)[O-])CN(c3cc(N)nc[nH+]3)C2)cn1 ZINC001605487936 973611855 /nfs/dbraw/zinc/61/18/55/973611855.db2.gz BBCRAKUTDQENMW-NXEZZACHSA-N 0 2 302.338 0.487 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](Cc2nnnn2CC(F)(F)F)C[C@H]1C1CC1 ZINC001606433012 973878581 /nfs/dbraw/zinc/87/85/81/973878581.db2.gz XOVQIXIRUBMORT-DTWKUNHWSA-N 0 2 319.287 0.778 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](Cc2nnnn2CC(F)(F)F)C[C@H]1C1CC1 ZINC001606433012 973878587 /nfs/dbraw/zinc/87/85/87/973878587.db2.gz XOVQIXIRUBMORT-DTWKUNHWSA-N 0 2 319.287 0.778 20 0 DCADLN CC[C@H](OC)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001527977274 1014156293 /nfs/dbraw/zinc/15/62/93/1014156293.db2.gz MCZKNKLSLPKDGE-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN CC[C@H](OC)C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001527977274 1014156297 /nfs/dbraw/zinc/15/62/97/1014156297.db2.gz MCZKNKLSLPKDGE-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN O=C([O-])c1c(NC(=O)CCc2[nH]cc[nH+]2)nc2n1CCOC2 ZINC001605689570 974108186 /nfs/dbraw/zinc/10/81/86/974108186.db2.gz UJIKBYCVNXHQPH-UHFFFAOYSA-N 0 2 305.294 0.406 20 0 DCADLN CCOCCCNC(=O)[C@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C(=O)[O-])C1 ZINC001605633003 1169977962 /nfs/dbraw/zinc/97/79/62/1169977962.db2.gz RPIRBMHFLWFJIA-GRYCIOLGSA-N 0 2 302.371 0.092 20 0 DCADLN CCOCCCNC(=O)[C@H](C)[N@H+]1C[C@@H](C)O[C@@H](C(=O)[O-])C1 ZINC001605633003 1169977963 /nfs/dbraw/zinc/97/79/63/1169977963.db2.gz RPIRBMHFLWFJIA-GRYCIOLGSA-N 0 2 302.371 0.092 20 0 DCADLN CC(C)Oc1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])nc1 ZINC001591761305 975848385 /nfs/dbraw/zinc/84/83/85/975848385.db2.gz MEKXDYYCNDMQSF-ZDUSSCGKSA-N 0 2 318.333 0.949 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCc2cc(O)ccc2C1)C(=O)[O-] ZINC001591870012 976198731 /nfs/dbraw/zinc/19/87/31/976198731.db2.gz FNCRQTBOIFUJIM-HNNXBMFYSA-N 0 2 306.362 0.976 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCc2cc(O)ccc2C1)C(=O)[O-] ZINC001591870012 976198736 /nfs/dbraw/zinc/19/87/36/976198736.db2.gz FNCRQTBOIFUJIM-HNNXBMFYSA-N 0 2 306.362 0.976 20 0 DCADLN C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC000710146651 977486529 /nfs/dbraw/zinc/48/65/29/977486529.db2.gz ZRXQCYWJBCSQDH-VIFPVBQESA-N 0 2 302.327 0.506 20 0 DCADLN C[N@H+]1CC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC000710146651 977486532 /nfs/dbraw/zinc/48/65/32/977486532.db2.gz ZRXQCYWJBCSQDH-VIFPVBQESA-N 0 2 302.327 0.506 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)C1CCC(C(=O)[O-])CC1 ZINC000712786401 977594473 /nfs/dbraw/zinc/59/44/73/977594473.db2.gz JAQXPDIGQDUVHW-UHFFFAOYSA-N 0 2 301.368 0.483 20 0 DCADLN C[C@H](C[N@H+](Cc1nnnn1CC1CCOCC1)C1CC1)C(=O)[O-] ZINC001592610373 978562173 /nfs/dbraw/zinc/56/21/73/978562173.db2.gz XVYJFKINUNUINN-LLVKDONJSA-N 0 2 323.397 0.785 20 0 DCADLN C[C@H](C[N@@H+](Cc1nnnn1CC1CCOCC1)C1CC1)C(=O)[O-] ZINC001592610373 978562180 /nfs/dbraw/zinc/56/21/80/978562180.db2.gz XVYJFKINUNUINN-LLVKDONJSA-N 0 2 323.397 0.785 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001592907187 979854108 /nfs/dbraw/zinc/85/41/08/979854108.db2.gz NACFASXJKFYSIQ-GXSJLCMTSA-N 0 2 318.337 0.352 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001592907187 979854114 /nfs/dbraw/zinc/85/41/14/979854114.db2.gz NACFASXJKFYSIQ-GXSJLCMTSA-N 0 2 318.337 0.352 20 0 DCADLN O=C(NC1CN(C(=O)c2cnsn2)C1)C(F)C(F)(F)F ZINC001530484498 1014767778 /nfs/dbraw/zinc/76/77/78/1014767778.db2.gz JVVXRZMCOICHSW-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)c2cnsn2)C1)[C@@H](F)C(F)(F)F ZINC001530484498 1014767784 /nfs/dbraw/zinc/76/77/84/1014767784.db2.gz JVVXRZMCOICHSW-ZCFIWIBFSA-N 0 2 312.248 0.379 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CC[C@](O)(C(F)F)C1)C(=O)[O-] ZINC001594893941 982313300 /nfs/dbraw/zinc/31/33/00/982313300.db2.gz XUIHJWVOBRXXMJ-GMOODISLSA-N 0 2 308.325 0.304 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CC[C@](O)(C(F)F)C1)C(=O)[O-] ZINC001594893941 982313307 /nfs/dbraw/zinc/31/33/07/982313307.db2.gz XUIHJWVOBRXXMJ-GMOODISLSA-N 0 2 308.325 0.304 20 0 DCADLN C[C@@H](CNC(=O)c1cnon1)N(C)C(=O)C(F)C(F)(F)F ZINC001450069410 1014885744 /nfs/dbraw/zinc/88/57/44/1014885744.db2.gz SVBTWSPFYOGURQ-CAHLUQPWSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@@H](CNC(=O)c1cnon1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001450069410 1014885762 /nfs/dbraw/zinc/88/57/62/1014885762.db2.gz SVBTWSPFYOGURQ-CAHLUQPWSA-N 0 2 312.223 0.547 20 0 DCADLN CCCN(CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])C(=O)Cc1c[nH+]c[nH]1 ZINC001595880247 982889408 /nfs/dbraw/zinc/88/94/08/982889408.db2.gz VHOYOHXEXSUNME-NWDGAFQWSA-N 0 2 322.365 0.028 20 0 DCADLN CCCNC(=O)CCCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001595922834 983042973 /nfs/dbraw/zinc/04/29/73/983042973.db2.gz STSTZDSOOYWIHR-LLVKDONJSA-N 0 2 310.354 0.218 20 0 DCADLN CCCNC(=O)CCCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001595922834 983042978 /nfs/dbraw/zinc/04/29/78/983042978.db2.gz STSTZDSOOYWIHR-LLVKDONJSA-N 0 2 310.354 0.218 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001450133886 1014933986 /nfs/dbraw/zinc/93/39/86/1014933986.db2.gz VYSXSMAGSHQUPF-WCBMZHEXSA-N 0 2 314.279 0.840 20 0 DCADLN COCC(=O)N(C)C[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450133886 1014933994 /nfs/dbraw/zinc/93/39/94/1014933994.db2.gz VYSXSMAGSHQUPF-WCBMZHEXSA-N 0 2 314.279 0.840 20 0 DCADLN CCN(CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])C(=O)CCc1c[nH]c[nH+]1 ZINC001596332113 983769479 /nfs/dbraw/zinc/76/94/79/983769479.db2.gz GRMZSGGRURQOCR-VXGBXAGGSA-N 0 2 322.365 0.028 20 0 DCADLN CCN(CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])C(=O)CCc1c[nH+]c[nH]1 ZINC001596332113 983769482 /nfs/dbraw/zinc/76/94/82/983769482.db2.gz GRMZSGGRURQOCR-VXGBXAGGSA-N 0 2 322.365 0.028 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C)c(C)o1)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001596340393 983787281 /nfs/dbraw/zinc/78/72/81/983787281.db2.gz ZUDJRWBKMRLZCB-VXGBXAGGSA-N 0 2 309.366 0.950 20 0 DCADLN CCN(C(=O)COC)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001530965376 1015004508 /nfs/dbraw/zinc/00/45/08/1015004508.db2.gz IBYOZJUIGYAQHP-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)COC)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001530965376 1015004517 /nfs/dbraw/zinc/00/45/17/1015004517.db2.gz IBYOZJUIGYAQHP-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCCC[C@@]1(COC)C(=O)[O-] ZINC001596485994 984225480 /nfs/dbraw/zinc/22/54/80/984225480.db2.gz WYBBCMQWPSUXFD-IINYFYTJSA-N 0 2 315.370 0.176 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCCC[C@@]1(COC)C(=O)[O-] ZINC001596485994 984225484 /nfs/dbraw/zinc/22/54/84/984225484.db2.gz WYBBCMQWPSUXFD-IINYFYTJSA-N 0 2 315.370 0.176 20 0 DCADLN CCOC(=O)[C@H]([NH2+]C[C@H]1[C@H](C(=O)[O-])C1(F)F)C1CCOCC1 ZINC001596543078 984391711 /nfs/dbraw/zinc/39/17/11/984391711.db2.gz LLFJSVQVAYMRGM-HBNTYKKESA-N 0 2 321.320 0.900 20 0 DCADLN CCOC(=O)N1CCC[N@@H+]([C@@H](CCC(=O)[O-])C(=O)OC)CC1 ZINC001596651878 984685112 /nfs/dbraw/zinc/68/51/12/984685112.db2.gz PKZXOJPZGIWVRT-NSHDSACASA-N 0 2 316.354 0.557 20 0 DCADLN CCOC(=O)N1CCC[N@H+]([C@@H](CCC(=O)[O-])C(=O)OC)CC1 ZINC001596651878 984685117 /nfs/dbraw/zinc/68/51/17/984685117.db2.gz PKZXOJPZGIWVRT-NSHDSACASA-N 0 2 316.354 0.557 20 0 DCADLN C[C@H](NC(=O)[C@H](C)NC(=O)c1cccc2[nH+]ccn21)C(=O)[O-] ZINC001599899749 984798340 /nfs/dbraw/zinc/79/83/40/984798340.db2.gz PMTIOPLAMMUKKY-IUCAKERBSA-N 0 2 304.306 0.042 20 0 DCADLN CCOC(=O)c1cc(C[NH+]2CCC([C@H](O)C(=O)[O-])CC2)[nH]n1 ZINC001596704199 984901705 /nfs/dbraw/zinc/90/17/05/984901705.db2.gz WAFZJCYZPFPQMA-LBPRGKRZSA-N 0 2 311.338 0.244 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001525045663 1015092928 /nfs/dbraw/zinc/09/29/28/1015092928.db2.gz UVACRYAUAABOSB-ZKWXMUAHSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001525045663 1015092941 /nfs/dbraw/zinc/09/29/41/1015092941.db2.gz UVACRYAUAABOSB-ZKWXMUAHSA-N 0 2 322.262 0.698 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001383729394 985048540 /nfs/dbraw/zinc/04/85/40/985048540.db2.gz QZOVBEGBSRFVBS-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001383729394 985048548 /nfs/dbraw/zinc/04/85/48/985048548.db2.gz QZOVBEGBSRFVBS-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)CCC(C)(C)O)n[nH]1 ZINC001551461679 1015096387 /nfs/dbraw/zinc/09/63/87/1015096387.db2.gz RQSTWYPTOCDMJW-NWDGAFQWSA-N 0 2 310.398 0.995 20 0 DCADLN O=C(NC1CN(C(=O)c2ncccn2)C1)C(F)C(F)(F)F ZINC001450377830 1015100339 /nfs/dbraw/zinc/10/03/39/1015100339.db2.gz OQHCYLLYWQVYJH-SSDOTTSWSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2ncccn2)C1)[C@@H](F)C(F)(F)F ZINC001450377830 1015100347 /nfs/dbraw/zinc/10/03/47/1015100347.db2.gz OQHCYLLYWQVYJH-SSDOTTSWSA-N 0 2 306.219 0.318 20 0 DCADLN CC(C)(F)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001384013247 985282102 /nfs/dbraw/zinc/28/21/02/985282102.db2.gz STFKLANAOOSSBF-FSDSQADBSA-N 0 2 318.242 0.323 20 0 DCADLN CC(C)(F)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001384013247 985282112 /nfs/dbraw/zinc/28/21/12/985282112.db2.gz STFKLANAOOSSBF-FSDSQADBSA-N 0 2 318.242 0.323 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@H]2CCCN2C=O)n[nH]1 ZINC001551660600 1015166307 /nfs/dbraw/zinc/16/63/07/1015166307.db2.gz PZVUSCOVXZBFTG-UPJWGTAASA-N 0 2 321.381 0.065 20 0 DCADLN CC(=O)N1CC(n2cc(CNC(=O)C(F)C(F)(F)F)nn2)C1 ZINC001384890395 985930186 /nfs/dbraw/zinc/93/01/86/985930186.db2.gz RQUYFIXPHCYGEG-SECBINFHSA-N 0 2 323.250 0.198 20 0 DCADLN CC(=O)N1CC(n2cc(CNC(=O)[C@@H](F)C(F)(F)F)nn2)C1 ZINC001384890395 985930196 /nfs/dbraw/zinc/93/01/96/985930196.db2.gz RQUYFIXPHCYGEG-SECBINFHSA-N 0 2 323.250 0.198 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2CCO[C@H]2C)n[nH]1 ZINC001551669928 1015173578 /nfs/dbraw/zinc/17/35/78/1015173578.db2.gz JFBIFNICQGCVIW-FTYKPCCVSA-N 0 2 308.382 0.869 20 0 DCADLN COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)C(F)C(F)(F)F ZINC001385191388 986148171 /nfs/dbraw/zinc/14/81/71/986148171.db2.gz ZIJDSHKRASNNGU-CHIQAWFVSA-N 0 2 312.263 0.781 20 0 DCADLN COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H](F)C(F)(F)F ZINC001385191388 986148175 /nfs/dbraw/zinc/14/81/75/986148175.db2.gz ZIJDSHKRASNNGU-CHIQAWFVSA-N 0 2 312.263 0.781 20 0 DCADLN COCCC(=O)N1CC[C@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385226206 986169409 /nfs/dbraw/zinc/16/94/09/986169409.db2.gz QWACPAXYPAZVIR-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N1CC[C@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385226206 986169412 /nfs/dbraw/zinc/16/94/12/986169412.db2.gz QWACPAXYPAZVIR-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[N@H+](C)C[C@@H]2CCS(=O)(=O)C2)n1C ZINC001599986393 986231548 /nfs/dbraw/zinc/23/15/48/986231548.db2.gz ANABILKFECBSMC-NSHDSACASA-N 0 2 314.407 0.898 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[N@@H+](C)C[C@@H]2CCS(=O)(=O)C2)n1C ZINC001599986393 986231559 /nfs/dbraw/zinc/23/15/59/986231559.db2.gz ANABILKFECBSMC-NSHDSACASA-N 0 2 314.407 0.898 20 0 DCADLN C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1occc1C(=O)[O-] ZINC001594560781 986307215 /nfs/dbraw/zinc/30/72/15/986307215.db2.gz CHXJYIHGYXQJNA-IUCAKERBSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1occc1C(=O)[O-] ZINC001594560781 986307219 /nfs/dbraw/zinc/30/72/19/986307219.db2.gz CHXJYIHGYXQJNA-IUCAKERBSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+](C)Cc1cnn(C)c1 ZINC001589351250 986315288 /nfs/dbraw/zinc/31/52/88/986315288.db2.gz WTTSPSVVYBDRHM-NSHDSACASA-N 0 2 308.382 0.564 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+](C)Cc1cnn(C)c1 ZINC001589351250 986315297 /nfs/dbraw/zinc/31/52/97/986315297.db2.gz WTTSPSVVYBDRHM-NSHDSACASA-N 0 2 308.382 0.564 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnccn2)C1)C(F)C(F)(F)F ZINC001385582222 986446253 /nfs/dbraw/zinc/44/62/53/986446253.db2.gz RNURNCAGXRGERQ-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnccn2)C1)[C@@H](F)C(F)(F)F ZINC001385582222 986446261 /nfs/dbraw/zinc/44/62/61/986446261.db2.gz RNURNCAGXRGERQ-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[NH+]1CCN(c2cccc(F)c2)CC1 ZINC001589399920 986563800 /nfs/dbraw/zinc/56/38/00/986563800.db2.gz HPCDKFHBNCQQBL-LLVKDONJSA-N 0 2 309.341 0.537 20 0 DCADLN C[C@H]1CSCC[N@@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001594612191 986606141 /nfs/dbraw/zinc/60/61/41/986606141.db2.gz DKGVZNGZJZXOQM-TVQRCGJNSA-N 0 2 315.395 0.557 20 0 DCADLN C[C@H]1CSCC[N@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001594612191 986606146 /nfs/dbraw/zinc/60/61/46/986606146.db2.gz DKGVZNGZJZXOQM-TVQRCGJNSA-N 0 2 315.395 0.557 20 0 DCADLN C[C@@H](C(=O)NCc1ccccc1)[N@@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001589415177 986642186 /nfs/dbraw/zinc/64/21/86/986642186.db2.gz JYVHYVHFILAFSH-DYEKYZERSA-N 0 2 306.362 0.865 20 0 DCADLN C[C@@H](C(=O)NCc1ccccc1)[N@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001589415177 986642189 /nfs/dbraw/zinc/64/21/89/986642189.db2.gz JYVHYVHFILAFSH-DYEKYZERSA-N 0 2 306.362 0.865 20 0 DCADLN C[C@H](C(=O)NCc1ncc(C(=O)[O-])s1)[NH+]1CCSCC1 ZINC001589415798 986648199 /nfs/dbraw/zinc/64/81/99/986648199.db2.gz QMFVYZJQRUTIBY-MRVPVSSYSA-N 0 2 315.420 0.895 20 0 DCADLN CCOc1ccc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])nc1 ZINC001597101892 986722608 /nfs/dbraw/zinc/72/26/08/986722608.db2.gz HPRKYIYDPZEZFI-ZDUSSCGKSA-N 0 2 307.350 0.646 20 0 DCADLN CCOc1ccc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])nc1 ZINC001597101892 986722617 /nfs/dbraw/zinc/72/26/17/986722617.db2.gz HPRKYIYDPZEZFI-ZDUSSCGKSA-N 0 2 307.350 0.646 20 0 DCADLN CCOc1ccc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])nc1 ZINC001597101891 986723445 /nfs/dbraw/zinc/72/34/45/986723445.db2.gz HPRKYIYDPZEZFI-CYBMUJFWSA-N 0 2 307.350 0.646 20 0 DCADLN CCOc1ccc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])nc1 ZINC001597101891 986723460 /nfs/dbraw/zinc/72/34/60/986723460.db2.gz HPRKYIYDPZEZFI-CYBMUJFWSA-N 0 2 307.350 0.646 20 0 DCADLN C[C@H](C(=O)Nc1cccnc1Cl)[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001589443491 986789218 /nfs/dbraw/zinc/78/92/18/986789218.db2.gz BMNGHFDSPVBINK-OQPBUACISA-N 0 2 313.741 0.583 20 0 DCADLN C[C@H](C(=O)Nc1cccnc1Cl)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001589443491 986789223 /nfs/dbraw/zinc/78/92/23/986789223.db2.gz BMNGHFDSPVBINK-OQPBUACISA-N 0 2 313.741 0.583 20 0 DCADLN CCOc1cccc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001597150091 986923857 /nfs/dbraw/zinc/92/38/57/986923857.db2.gz NYNMCHPAVPPTOS-LBPRGKRZSA-N 0 2 318.333 0.558 20 0 DCADLN CCOc1cccc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001597150091 986923865 /nfs/dbraw/zinc/92/38/65/986923865.db2.gz NYNMCHPAVPPTOS-LBPRGKRZSA-N 0 2 318.333 0.558 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCc1cn[nH]c1 ZINC001386841085 987444213 /nfs/dbraw/zinc/44/42/13/987444213.db2.gz FDVALRRDZAQEKT-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CCc1cn[nH]c1 ZINC001386841085 987444214 /nfs/dbraw/zinc/44/42/14/987444214.db2.gz FDVALRRDZAQEKT-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN Cc1cn(C)nc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001386841616 987444453 /nfs/dbraw/zinc/44/44/53/987444453.db2.gz JGGJCSQKIJYJOH-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cn(C)nc1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001386841616 987444458 /nfs/dbraw/zinc/44/44/58/987444458.db2.gz JGGJCSQKIJYJOH-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1C ZINC001386932632 987512242 /nfs/dbraw/zinc/51/22/42/987512242.db2.gz FXHNLAZMYYMRFZ-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1ncc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)n1C ZINC001386932632 987512247 /nfs/dbraw/zinc/51/22/47/987512247.db2.gz FXHNLAZMYYMRFZ-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN COCC(=O)NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001387255684 987733774 /nfs/dbraw/zinc/73/37/74/987733774.db2.gz XDTNMUKMDZSODT-IONNQARKSA-N 0 2 300.252 0.498 20 0 DCADLN COCC(=O)NC[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387255684 987733781 /nfs/dbraw/zinc/73/37/81/987733781.db2.gz XDTNMUKMDZSODT-IONNQARKSA-N 0 2 300.252 0.498 20 0 DCADLN O=C(NCCN(C(=O)c1cnon1)C1CC1)C(F)C(F)(F)F ZINC001387495541 987869909 /nfs/dbraw/zinc/86/99/09/987869909.db2.gz OCLLBFGWTHJAEV-QMMMGPOBSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NCCN(C(=O)c1cnon1)C1CC1)[C@H](F)C(F)(F)F ZINC001387495541 987869915 /nfs/dbraw/zinc/86/99/15/987869915.db2.gz OCLLBFGWTHJAEV-QMMMGPOBSA-N 0 2 324.234 0.691 20 0 DCADLN CN(CCNC(=O)c1cccc(=O)[nH]1)C(=O)C(F)C(F)(F)F ZINC001387678507 988008848 /nfs/dbraw/zinc/00/88/48/988008848.db2.gz QLJHBNVWJIWEGG-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)c1cccc(=O)[nH]1)C(=O)[C@@H](F)C(F)(F)F ZINC001387678507 988008857 /nfs/dbraw/zinc/00/88/57/988008857.db2.gz QLJHBNVWJIWEGG-SECBINFHSA-N 0 2 323.246 0.876 20 0 DCADLN Cc1cc(CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001387797805 988161814 /nfs/dbraw/zinc/16/18/14/988161814.db2.gz XZKAQRHWQIUWJO-SNVBAGLBSA-N 0 2 324.278 0.736 20 0 DCADLN Cc1cc(CC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)[nH]n1 ZINC001387797805 988161819 /nfs/dbraw/zinc/16/18/19/988161819.db2.gz XZKAQRHWQIUWJO-SNVBAGLBSA-N 0 2 324.278 0.736 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)CNC(=O)c1cnco1 ZINC001388468514 988342770 /nfs/dbraw/zinc/34/27/70/988342770.db2.gz ALCLFGVXOGSRNB-VIFPVBQESA-N 0 2 305.338 0.431 20 0 DCADLN CCc1cnc(C[NH2+]C2(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)o1 ZINC001597631646 988599050 /nfs/dbraw/zinc/59/90/50/988599050.db2.gz YCLLITIJYORRBU-WDEREUQCSA-N 0 2 307.350 0.696 20 0 DCADLN C[C@@H](CNc1ncc(C(=O)[O-])cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001599836138 988745670 /nfs/dbraw/zinc/74/56/70/988745670.db2.gz AUJGIEAQOJKVPM-QMMMGPOBSA-N 0 2 304.310 0.057 20 0 DCADLN Cc1cc(C)c(CNC(=O)N2C[C@H]3COC[C@]3(C(=O)[O-])C2)c[nH+]1 ZINC001552398487 1015417206 /nfs/dbraw/zinc/41/72/06/1015417206.db2.gz KEWLGHUVYZUQDK-XJKSGUPXSA-N 0 2 319.361 0.941 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001389046592 988758300 /nfs/dbraw/zinc/75/83/00/988758300.db2.gz SGGGSWXTJOCLTM-APPZFPTMSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccccn1 ZINC001389046592 988758312 /nfs/dbraw/zinc/75/83/12/988758312.db2.gz SGGGSWXTJOCLTM-APPZFPTMSA-N 0 2 323.246 0.189 20 0 DCADLN C[C@@H](OCc1cn(Cc2[nH+]ccn2CC(F)F)nn1)C(=O)[O-] ZINC001599847123 988952284 /nfs/dbraw/zinc/95/22/84/988952284.db2.gz HHIVHPORWFLKLO-MRVPVSSYSA-N 0 2 315.280 0.778 20 0 DCADLN O=C(NC1CN(C(=O)C2=CCOCC2)C1)C(F)C(F)(F)F ZINC001389231017 989008295 /nfs/dbraw/zinc/00/82/95/989008295.db2.gz CXDNGZAADUXLNG-VIFPVBQESA-N 0 2 310.247 0.561 20 0 DCADLN O=C(NC1CN(C(=O)C2=CCOCC2)C1)[C@H](F)C(F)(F)F ZINC001389231017 989008298 /nfs/dbraw/zinc/00/82/98/989008298.db2.gz CXDNGZAADUXLNG-VIFPVBQESA-N 0 2 310.247 0.561 20 0 DCADLN O=C(CCc1ccon1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001389236070 989011697 /nfs/dbraw/zinc/01/16/97/989011697.db2.gz VJVIYAGPOLZWKC-JTQLQIEISA-N 0 2 323.246 0.835 20 0 DCADLN O=C(CCc1ccon1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001389236070 989011707 /nfs/dbraw/zinc/01/17/07/989011707.db2.gz VJVIYAGPOLZWKC-JTQLQIEISA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001389941316 989698522 /nfs/dbraw/zinc/69/85/22/989698522.db2.gz IINTUPQSFZFSEW-VIFPVBQESA-N 0 2 323.246 0.883 20 0 DCADLN O=C(Cc1cnoc1)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001389941316 989698523 /nfs/dbraw/zinc/69/85/23/989698523.db2.gz IINTUPQSFZFSEW-VIFPVBQESA-N 0 2 323.246 0.883 20 0 DCADLN CCn1cc(C[NH2+][C@@H](C)c2ncc(C(=O)[O-])s2)c(=O)[nH]c1=O ZINC001597889649 989739425 /nfs/dbraw/zinc/73/94/25/989739425.db2.gz MXNSRPKTHMUIIR-ZETCQYMHSA-N 0 2 324.362 0.974 20 0 DCADLN CCOCC(=O)N[C@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001527590500 1015506938 /nfs/dbraw/zinc/50/69/38/1015506938.db2.gz NHSMQPHDMIEHNG-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)N[C@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001527590500 1015506943 /nfs/dbraw/zinc/50/69/43/1015506943.db2.gz NHSMQPHDMIEHNG-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CC[C@@H](Cc2ccccc2)O1 ZINC001552716178 1015506934 /nfs/dbraw/zinc/50/69/34/1015506934.db2.gz VZZFMUFLWLVVGZ-RYUDHWBXSA-N 0 2 302.334 0.917 20 0 DCADLN CN(C(=O)C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-])c1ccccc1 ZINC001598023368 990221558 /nfs/dbraw/zinc/22/15/58/990221558.db2.gz MMGKLFOECOCIHZ-ZDUSSCGKSA-N 0 2 319.361 0.315 20 0 DCADLN CN(C(=O)C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-])c1ccccc1 ZINC001598023368 990221567 /nfs/dbraw/zinc/22/15/67/990221567.db2.gz MMGKLFOECOCIHZ-ZDUSSCGKSA-N 0 2 319.361 0.315 20 0 DCADLN CN(C(=O)c1ccc(C(=O)[O-])cn1)C(C)(C)C[NH+]1CCOCC1 ZINC001598083508 990412930 /nfs/dbraw/zinc/41/29/30/990412930.db2.gz XFRHIWRPJOGYOC-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1(C2CC2)CC1 ZINC001391059384 990549614 /nfs/dbraw/zinc/54/96/14/990549614.db2.gz UIBJTULYTMGVNZ-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1(C2CC2)CC1 ZINC001391059384 990549618 /nfs/dbraw/zinc/54/96/18/990549618.db2.gz UIBJTULYTMGVNZ-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)CNC(=O)C(F)C(F)(F)F ZINC001391204241 990665938 /nfs/dbraw/zinc/66/59/38/990665938.db2.gz TWDVIQGRFLDRDB-CBAPKCEASA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)CNC(=O)[C@H](F)C(F)(F)F ZINC001391204241 990665939 /nfs/dbraw/zinc/66/59/39/990665939.db2.gz TWDVIQGRFLDRDB-CBAPKCEASA-N 0 2 324.278 0.803 20 0 DCADLN CCCN(C(=O)[C@@H]1CCCO1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391267288 990708468 /nfs/dbraw/zinc/70/84/68/990708468.db2.gz IQSRIZOAQLSKMS-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(CCC(F)(F)F)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391471288 990869966 /nfs/dbraw/zinc/86/99/66/990869966.db2.gz WPPFSJRHXSKQOX-UHFFFAOYSA-N 0 2 307.276 0.401 20 0 DCADLN CC1(C)CO[C@@H](CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001391498063 990895121 /nfs/dbraw/zinc/89/51/21/990895121.db2.gz KJFLIUVELGQJJG-NSHDSACASA-N 0 2 323.397 0.264 20 0 DCADLN CC[C@@H](CNC(=O)C[N@@H+]1CC[C@@H](C)C1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001451138677 1015609104 /nfs/dbraw/zinc/60/91/04/1015609104.db2.gz MJUAAAFWRJVOLD-OLZOCXBDSA-N 0 2 321.425 0.305 20 0 DCADLN CCn1cc(C[NH2+]C[C@@](C)(NC(=O)c2ncn[nH]2)C2CC2)nn1 ZINC001391794801 991189000 /nfs/dbraw/zinc/18/90/00/991189000.db2.gz JCPDGDGSQMYGDD-CQSZACIVSA-N 0 2 318.385 0.104 20 0 DCADLN CCn1cc(C[NH2+]C[C@@](C)(NC(=O)c2nc[nH]n2)C2CC2)nn1 ZINC001391794801 991189004 /nfs/dbraw/zinc/18/90/04/991189004.db2.gz JCPDGDGSQMYGDD-CQSZACIVSA-N 0 2 318.385 0.104 20 0 DCADLN Cc1cnc(C[NH2+]C[C@@](C)(NC(=O)c2nnc[nH]2)C2CC2)nc1 ZINC001391797697 991190496 /nfs/dbraw/zinc/19/04/96/991190496.db2.gz NRZJLXGQMNIFIE-OAHLLOKOSA-N 0 2 315.381 0.591 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001451151617 1015617220 /nfs/dbraw/zinc/61/72/20/1015617220.db2.gz IUSGXIXFOFGDFW-RNJXMRFFSA-N 0 2 319.276 0.301 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001451151617 1015617225 /nfs/dbraw/zinc/61/72/25/1015617225.db2.gz IUSGXIXFOFGDFW-RNJXMRFFSA-N 0 2 319.276 0.301 20 0 DCADLN CN(CC[N@H+](C)CC(=O)NC(C)(C)C)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001598486142 992208101 /nfs/dbraw/zinc/20/81/01/992208101.db2.gz KCMAWNVSNZUMGK-GHMZBOCLSA-N 0 2 313.398 0.012 20 0 DCADLN CN(CC[N@@H+](C)CC(=O)NC(C)(C)C)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001598486142 992208114 /nfs/dbraw/zinc/20/81/14/992208114.db2.gz KCMAWNVSNZUMGK-GHMZBOCLSA-N 0 2 313.398 0.012 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])CC(=O)Nc1ccccc1 ZINC001598489026 992255016 /nfs/dbraw/zinc/25/50/16/992255016.db2.gz JKPQBQGLPARDCI-OLZOCXBDSA-N 0 2 319.361 0.394 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])CC(=O)Nc1ccccc1 ZINC001598489026 992255026 /nfs/dbraw/zinc/25/50/26/992255026.db2.gz JKPQBQGLPARDCI-OLZOCXBDSA-N 0 2 319.361 0.394 20 0 DCADLN CO[C@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001393017542 992626816 /nfs/dbraw/zinc/62/68/16/992626816.db2.gz IBZZIQSXOVEZHZ-ZDUSSCGKSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@H](C(=O)NC[C@H]1CCN1C(=O)Cc1[nH]cc[nH+]1)[NH+](C)C ZINC001393359778 992917809 /nfs/dbraw/zinc/91/78/09/992917809.db2.gz JXQQBKNNPNFMTC-VXGBXAGGSA-N 0 2 307.398 0.010 20 0 DCADLN C[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)[NH2+]CC(=O)N(C)C1CC1 ZINC001393934452 993355193 /nfs/dbraw/zinc/35/51/93/993355193.db2.gz PNOQTWRGYJMVIC-RYUDHWBXSA-N 0 2 321.425 0.446 20 0 DCADLN CN1CC[NH+](C(C)(C)CNC(=O)c2ccc(C(=O)[O-])cn2)CC1 ZINC001598612487 993805108 /nfs/dbraw/zinc/80/51/08/993805108.db2.gz UBIWRBYXACFOCS-UHFFFAOYSA-N 0 2 320.393 0.536 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3[nH]nnc3c2)C[C@@H](C(=O)[O-])C1 ZINC001598613189 993821902 /nfs/dbraw/zinc/82/19/02/993821902.db2.gz LRVYWJIBGAHUBU-JTQLQIEISA-N 0 2 303.322 0.046 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3[nH]nnc3c2)C[C@@H](C(=O)[O-])C1 ZINC001598613189 993821910 /nfs/dbraw/zinc/82/19/10/993821910.db2.gz LRVYWJIBGAHUBU-JTQLQIEISA-N 0 2 303.322 0.046 20 0 DCADLN CNC(=O)C[NH2+]C[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C(C)(C)C ZINC001394818655 994183722 /nfs/dbraw/zinc/18/37/22/994183722.db2.gz AFEWVXCWGPAAHU-NQBHXWOUSA-N 0 2 321.425 0.380 20 0 DCADLN CC(C)NC(=O)C[NH+]1CC(CNC(=O)C[N@H+](C)C2CCC2)C1 ZINC001400510428 994601739 /nfs/dbraw/zinc/60/17/39/994601739.db2.gz UNNUPQVYNDETBN-UHFFFAOYSA-N 0 2 310.442 0.043 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001395589668 994784339 /nfs/dbraw/zinc/78/43/39/994784339.db2.gz IBQGGTXBXXFBTA-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnn1C ZINC001395589668 994784351 /nfs/dbraw/zinc/78/43/51/994784351.db2.gz IBQGGTXBXXFBTA-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN CNc1cc(CNC(=O)N2CCO[C@H](CC(=O)[O-])C2)cc[nH+]1 ZINC001598675850 994842460 /nfs/dbraw/zinc/84/24/60/994842460.db2.gz IHTJWJKMNFQKNN-LLVKDONJSA-N 0 2 308.338 0.508 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](CO)CNC(=O)CC(C)(C)C ZINC001395691775 994889311 /nfs/dbraw/zinc/88/93/11/994889311.db2.gz LJZKEJKREQYNNU-NSHDSACASA-N 0 2 310.398 0.290 20 0 DCADLN O=C(CCC(F)F)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395737546 994950695 /nfs/dbraw/zinc/95/06/95/994950695.db2.gz AUEBSFPBEGQZNU-XNCJUZBTSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001395737546 994950705 /nfs/dbraw/zinc/95/07/05/994950705.db2.gz AUEBSFPBEGQZNU-XNCJUZBTSA-N 0 2 324.221 0.525 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cc(Cl)cnc2Cl)n1 ZINC001464430755 1015908791 /nfs/dbraw/zinc/90/87/91/1015908791.db2.gz VEIPVJLBDVTKEJ-UHFFFAOYSA-N 0 2 309.138 0.713 20 0 DCADLN CCC(=O)N(C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001396066564 995160333 /nfs/dbraw/zinc/16/03/33/995160333.db2.gz YBHMCHLXVIGCTC-VXNVDRBHSA-N 0 2 302.268 0.575 20 0 DCADLN CCC(=O)N(C)C[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001396066564 995160344 /nfs/dbraw/zinc/16/03/44/995160344.db2.gz YBHMCHLXVIGCTC-VXNVDRBHSA-N 0 2 302.268 0.575 20 0 DCADLN COC(=O)C1(NC(=O)Cc2[nH]cc[nH+]2)CCC(C(=O)[O-])CC1 ZINC001598723441 995503403 /nfs/dbraw/zinc/50/34/03/995503403.db2.gz HAPWYARHHHJNIN-UHFFFAOYSA-N 0 2 309.322 0.255 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)C[NH+]1CC(C)(C)C1 ZINC001598752760 995675927 /nfs/dbraw/zinc/67/59/27/995675927.db2.gz YHFKPAFEMAPOPI-MNOVXSKESA-N 0 2 312.366 0.193 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])CN1C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001598768114 995855630 /nfs/dbraw/zinc/85/56/30/995855630.db2.gz PNBJDHSGNKOTTR-DNRKLUKYSA-N 0 2 321.333 0.378 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001397455795 996009490 /nfs/dbraw/zinc/00/94/90/996009490.db2.gz DSHLSRGDRJCRQV-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001397455795 996009495 /nfs/dbraw/zinc/00/94/95/996009495.db2.gz DSHLSRGDRJCRQV-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CNc2cccc[nH+]2)[C@@H](C(=O)[O-])C1 ZINC001598793185 996090935 /nfs/dbraw/zinc/09/09/35/996090935.db2.gz JFWXRBJMDUSNBL-WDEREUQCSA-N 0 2 321.333 0.358 20 0 DCADLN CCOc1nc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccc2[nH]1 ZINC001465725289 1016020941 /nfs/dbraw/zinc/02/09/41/1016020941.db2.gz OSROSDHDWPYJDY-UHFFFAOYSA-N 0 2 302.294 0.715 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)c2cccc3[nH+]ccn32)C[C@H]1C(=O)[O-] ZINC001598819585 996309860 /nfs/dbraw/zinc/30/98/60/996309860.db2.gz CNHHEALOTHYJNL-ZJUUUORDSA-N 0 2 317.301 0.280 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](CC(=O)NCCC(=O)[O-])Cc2ccccc21 ZINC001598822596 996345812 /nfs/dbraw/zinc/34/58/12/996345812.db2.gz RITOYUWSVZXHNX-CYBMUJFWSA-N 0 2 320.345 0.350 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](CC(=O)NCCC(=O)[O-])Cc2ccccc21 ZINC001598822596 996345816 /nfs/dbraw/zinc/34/58/16/996345816.db2.gz RITOYUWSVZXHNX-CYBMUJFWSA-N 0 2 320.345 0.350 20 0 DCADLN C[C@]1(Br)C[C@@H]1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593740355 996357112 /nfs/dbraw/zinc/35/71/12/996357112.db2.gz RNESCXBBYUMVQC-XLDPMVHQSA-N 0 2 316.155 0.695 20 0 DCADLN C[C@]1(Br)C[C@@H]1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593740355 996357117 /nfs/dbraw/zinc/35/71/17/996357117.db2.gz RNESCXBBYUMVQC-XLDPMVHQSA-N 0 2 316.155 0.695 20 0 DCADLN CO[C@H](C)CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398586101 996589629 /nfs/dbraw/zinc/58/96/29/996589629.db2.gz VFUWOFMXPQWSBZ-MUWHJKNJSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)CC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001398586101 996589633 /nfs/dbraw/zinc/58/96/33/996589633.db2.gz VFUWOFMXPQWSBZ-MUWHJKNJSA-N 0 2 300.252 0.639 20 0 DCADLN O=C(NC1CN(C(=O)c2ccncn2)C1)C(F)C(F)(F)F ZINC001398601507 996598110 /nfs/dbraw/zinc/59/81/10/996598110.db2.gz CREACHHKUHDHSW-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2ccncn2)C1)[C@H](F)C(F)(F)F ZINC001398601507 996598113 /nfs/dbraw/zinc/59/81/13/996598113.db2.gz CREACHHKUHDHSW-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN COC[C@H]1C[C@@H](O)C[N@@H+]1Cc1nc2cc(C(=O)[O-])ccc2[nH]1 ZINC001599276910 996820753 /nfs/dbraw/zinc/82/07/53/996820753.db2.gz OTSWBTHJQUIIEJ-GHMZBOCLSA-N 0 2 305.334 0.843 20 0 DCADLN COC[C@H]1C[C@@H](O)C[N@H+]1Cc1nc2cc(C(=O)[O-])ccc2[nH]1 ZINC001599276910 996820763 /nfs/dbraw/zinc/82/07/63/996820763.db2.gz OTSWBTHJQUIIEJ-GHMZBOCLSA-N 0 2 305.334 0.843 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c(C)[nH]1 ZINC001404264514 996879422 /nfs/dbraw/zinc/87/94/22/996879422.db2.gz SJXFFAHPEGSCKU-UHFFFAOYSA-N 0 2 318.381 0.850 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c(C)[nH]1 ZINC001404264514 996879432 /nfs/dbraw/zinc/87/94/32/996879432.db2.gz SJXFFAHPEGSCKU-UHFFFAOYSA-N 0 2 318.381 0.850 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001598892803 997023128 /nfs/dbraw/zinc/02/31/28/997023128.db2.gz OUJNQGZUEZKURL-OPRDCNLKSA-N 0 2 309.322 0.046 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2ccc(OCC(=O)[O-])cc2)C[C@H](C)O1 ZINC001598898977 997138824 /nfs/dbraw/zinc/13/88/24/997138824.db2.gz SWYMQURQYXYOTD-FZMZJTMJSA-N 0 2 323.345 0.912 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2ccc(OCC(=O)[O-])cc2)C[C@H](C)O1 ZINC001598898977 997138828 /nfs/dbraw/zinc/13/88/28/997138828.db2.gz SWYMQURQYXYOTD-FZMZJTMJSA-N 0 2 323.345 0.912 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C(F)C(F)(F)F)C1)C(F)F ZINC001404499485 997176548 /nfs/dbraw/zinc/17/65/48/997176548.db2.gz ZMGWZHGKTIZHHR-RXMQYKEDSA-N 0 2 322.205 0.232 20 0 DCADLN COCc1nc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cs1 ZINC001599298774 997194506 /nfs/dbraw/zinc/19/45/06/997194506.db2.gz AQDYDCKYBXPRQM-QMMMGPOBSA-N 0 2 310.335 0.369 20 0 DCADLN COC(=O)[C@H]1[C@@H]2C[N@@H+](CC(=O)Nc3cccc(C(=O)[O-])c3)C[C@@H]21 ZINC001598902721 997203302 /nfs/dbraw/zinc/20/33/02/997203302.db2.gz YCWOIEJAXXSQJT-IMRBUKKESA-N 0 2 318.329 0.674 20 0 DCADLN COC(=O)[C@H]1[C@@H]2C[N@H+](CC(=O)Nc3cccc(C(=O)[O-])c3)C[C@@H]21 ZINC001598902721 997203311 /nfs/dbraw/zinc/20/33/11/997203311.db2.gz YCWOIEJAXXSQJT-IMRBUKKESA-N 0 2 318.329 0.674 20 0 DCADLN COC[C@H](O)C[N@H+]1CCC[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001404676003 997370193 /nfs/dbraw/zinc/37/01/93/997370193.db2.gz WCXITJSQDLDNJS-RRFJBIMHSA-N 0 2 324.425 0.422 20 0 DCADLN CON(C(=O)/C=C(\C)C[NH+]1CCOCC1)[C@H](C)CC(=O)[O-] ZINC001599310748 997410984 /nfs/dbraw/zinc/41/09/84/997410984.db2.gz MPYJYVPDTDSZGH-JATZPVMKSA-N 0 2 300.355 0.518 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@@H+]2CC[C@H](n3cccn3)C2)n1 ZINC001598921673 997576461 /nfs/dbraw/zinc/57/64/61/997576461.db2.gz HCQBEBNAHXHHTL-JTQLQIEISA-N 0 2 319.321 0.469 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@H+]2CC[C@H](n3cccn3)C2)n1 ZINC001598921673 997576477 /nfs/dbraw/zinc/57/64/77/997576477.db2.gz HCQBEBNAHXHHTL-JTQLQIEISA-N 0 2 319.321 0.469 20 0 DCADLN O=C(CN1CC(CNC(=O)C(F)C(F)(F)F)C1)NC1CC1 ZINC001404926373 997601340 /nfs/dbraw/zinc/60/13/40/997601340.db2.gz HRWCBBKCARWJHU-JTQLQIEISA-N 0 2 311.279 0.213 20 0 DCADLN O=C(CN1CC(CNC(=O)C(F)C(F)(F)F)C1)NC1CC1 ZINC001404926384 997602327 /nfs/dbraw/zinc/60/23/27/997602327.db2.gz HRWCBBKCARWJHU-SNVBAGLBSA-N 0 2 311.279 0.213 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)[C@@H](C(=O)[O-])C1 ZINC001599118652 997699266 /nfs/dbraw/zinc/69/92/66/997699266.db2.gz CWKQDMSGPBQRJH-QJPTWQEYSA-N 0 2 307.350 0.536 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001405063360 997746311 /nfs/dbraw/zinc/74/63/11/997746311.db2.gz YUKQMQHFUPTPGB-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001405063360 997746318 /nfs/dbraw/zinc/74/63/18/997746318.db2.gz YUKQMQHFUPTPGB-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@]1(C)CCNC1=O ZINC001467397622 1016162197 /nfs/dbraw/zinc/16/21/97/1016162197.db2.gz IPNWLBRBUUARKI-OAHLLOKOSA-N 0 2 315.333 0.950 20 0 DCADLN CCC(C)(C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405291766 998069480 /nfs/dbraw/zinc/06/94/80/998069480.db2.gz NDTKITNJUUTIMZ-JGVFFNPUSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001405291766 998069488 /nfs/dbraw/zinc/06/94/88/998069488.db2.gz NDTKITNJUUTIMZ-JGVFFNPUSA-N 0 2 316.295 0.916 20 0 DCADLN C[C@@H]1C(=O)N(C)CC[N@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594125013 998297975 /nfs/dbraw/zinc/29/79/75/998297975.db2.gz GKBIOKXPGUFYNY-YPMHNXCESA-N 0 2 322.361 0.287 20 0 DCADLN C[C@@H]1C(=O)N(C)CC[N@@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC001594125013 998297985 /nfs/dbraw/zinc/29/79/85/998297985.db2.gz GKBIOKXPGUFYNY-YPMHNXCESA-N 0 2 322.361 0.287 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)n1C ZINC001598974900 998585855 /nfs/dbraw/zinc/58/58/55/998585855.db2.gz VLHCIPFPQAGEKI-OAHLLOKOSA-N 0 2 321.333 0.617 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)n1C ZINC001598974900 998585863 /nfs/dbraw/zinc/58/58/63/998585863.db2.gz VLHCIPFPQAGEKI-OAHLLOKOSA-N 0 2 321.333 0.617 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)[C@@H]1CO ZINC001594416280 999556071 /nfs/dbraw/zinc/55/60/71/999556071.db2.gz HACKGIBGLIDPNI-WCBMZHEXSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@H]1CC[N@@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)[C@@H]1CO ZINC001594416280 999556075 /nfs/dbraw/zinc/55/60/75/999556075.db2.gz HACKGIBGLIDPNI-WCBMZHEXSA-N 0 2 313.379 0.411 20 0 DCADLN O=C(NCc1nnc(COc2ccccc2)[nH]1)N1CC[C@H]1CO ZINC001469860923 1016370878 /nfs/dbraw/zinc/37/08/78/1016370878.db2.gz UAGZVLVWJUPEAB-NSHDSACASA-N 0 2 317.349 0.660 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418814846 1000543030 /nfs/dbraw/zinc/54/30/30/1000543030.db2.gz SIVWVRFCQMCEOB-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418814846 1000543035 /nfs/dbraw/zinc/54/30/35/1000543035.db2.gz SIVWVRFCQMCEOB-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN Cc1nnc([C@H](C)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001418970596 1000656252 /nfs/dbraw/zinc/65/62/52/1000656252.db2.gz FGIIATPYQBANJZ-XNCJUZBTSA-N 0 2 309.267 0.875 20 0 DCADLN CCC1(C(=O)NC2CN(Cc3cc(=O)n4[nH]ccc4n3)C2)CC1 ZINC001418987735 1000667501 /nfs/dbraw/zinc/66/75/01/1000667501.db2.gz KXBVUJFXEBQWIN-UHFFFAOYSA-N 0 2 315.377 0.513 20 0 DCADLN CCc1c(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001401766395 1000703792 /nfs/dbraw/zinc/70/37/92/1000703792.db2.gz YVDVVMSSDINMGJ-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN CCc1c(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001401766395 1000703798 /nfs/dbraw/zinc/70/37/98/1000703798.db2.gz YVDVVMSSDINMGJ-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN CCO[C@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001401890823 1000824942 /nfs/dbraw/zinc/82/49/42/1000824942.db2.gz GRIGTPMJHAVXJM-JQWIXIFHSA-N 0 2 311.386 0.262 20 0 DCADLN COCC1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001401905159 1000839905 /nfs/dbraw/zinc/83/99/05/1000839905.db2.gz KTSUVAMHNUPICL-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)C[NH+]1CC(O)(CNC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001402378554 1001265668 /nfs/dbraw/zinc/26/56/68/1001265668.db2.gz YSYJYPBVRCLQOP-UHFFFAOYSA-N 0 2 320.349 0.361 20 0 DCADLN Nc1ncn(CC(=O)Nc2nccc(Br)c2O)n1 ZINC001470748122 1016488946 /nfs/dbraw/zinc/48/89/46/1016488946.db2.gz GPKJTZRIEOXTDL-UHFFFAOYSA-N 0 2 313.115 0.362 20 0 DCADLN C[C@H](CNC(=O)CC[C@@H]1CCCO1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403104445 1001675234 /nfs/dbraw/zinc/67/52/34/1001675234.db2.gz BFTBRICXAHKFLW-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](CNC(=O)CC[C@@H]1CCCO1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403104445 1001675235 /nfs/dbraw/zinc/67/52/35/1001675235.db2.gz BFTBRICXAHKFLW-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN Cc1nocc1C[N@@H+](C)CCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001419956591 1001721115 /nfs/dbraw/zinc/72/11/15/1001721115.db2.gz PTDVHPQFDZWNNW-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1nocc1C[N@H+](C)CCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001419956591 1001721117 /nfs/dbraw/zinc/72/11/17/1001721117.db2.gz PTDVHPQFDZWNNW-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001505750875 1016519576 /nfs/dbraw/zinc/51/95/76/1016519576.db2.gz ULFDOVHBNHMHLO-HTQZYQBOSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@@H]2CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001505750875 1016519589 /nfs/dbraw/zinc/51/95/89/1016519589.db2.gz ULFDOVHBNHMHLO-HTQZYQBOSA-N 0 2 322.262 0.949 20 0 DCADLN COCC(C)(C)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001403308798 1001798399 /nfs/dbraw/zinc/79/83/99/1001798399.db2.gz DKLADRQASDUJBX-VIFPVBQESA-N 0 2 311.386 0.119 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)NCc1[nH]c2c([nH+]1)CCCC2 ZINC001420095942 1001847205 /nfs/dbraw/zinc/84/72/05/1001847205.db2.gz BOMMOOSHGAQQQT-UHFFFAOYSA-N 0 2 308.367 0.521 20 0 DCADLN C[NH+](C)Cc1cc(C(=O)NCC[N@H+](C)CCn2cccn2)no1 ZINC001420256725 1002123871 /nfs/dbraw/zinc/12/38/71/1002123871.db2.gz GYIOSRZDEBYDNK-UHFFFAOYSA-N 0 2 320.397 0.295 20 0 DCADLN Cc1cc(C)nc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001424977236 1002206091 /nfs/dbraw/zinc/20/60/91/1002206091.db2.gz PRDRWOKSGWVSKJ-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cc(C)nc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001424977236 1002206100 /nfs/dbraw/zinc/20/61/00/1002206100.db2.gz PRDRWOKSGWVSKJ-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN C[C@H](NC(=O)c1cc2n(n1)CCC[C@@H]2O)c1nn(C)cc1O ZINC001420441627 1002421635 /nfs/dbraw/zinc/42/16/35/1002421635.db2.gz RXSKLYZFGMQIDA-KWQFWETISA-N 0 2 305.338 0.640 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)ns1 ZINC001420572886 1002635024 /nfs/dbraw/zinc/63/50/24/1002635024.db2.gz KDKGWIMHOLVIDK-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ns1 ZINC001420572886 1002635027 /nfs/dbraw/zinc/63/50/27/1002635027.db2.gz KDKGWIMHOLVIDK-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN CC(C)c1nnc(CN(C)C[C@H](C)NC(=O)c2cnn[nH]2)[nH]1 ZINC001420588237 1002657201 /nfs/dbraw/zinc/65/72/01/1002657201.db2.gz BATKVGTZAGWAIN-VIFPVBQESA-N 0 2 306.374 0.297 20 0 DCADLN O=C([C@H]1CCc2[nH]cnc2C1)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001471853218 1016674119 /nfs/dbraw/zinc/67/41/19/1016674119.db2.gz HTZPMJQVHIBZNW-QWRGUYRKSA-N 0 2 315.381 0.509 20 0 DCADLN O=C([C@H]1CCc2nc[nH]c2C1)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001471853218 1016674134 /nfs/dbraw/zinc/67/41/34/1016674134.db2.gz HTZPMJQVHIBZNW-QWRGUYRKSA-N 0 2 315.381 0.509 20 0 DCADLN CC[C@@H](C)C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001420810258 1002969775 /nfs/dbraw/zinc/96/97/75/1002969775.db2.gz HAJCESFMEQFBIQ-YPMHNXCESA-N 0 2 317.393 0.759 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN([C@H]2CCN(C)C2=O)C1 ZINC001421059637 1003279315 /nfs/dbraw/zinc/27/93/15/1003279315.db2.gz YVWIJMCSDUQRFU-IUCAKERBSA-N 0 2 311.279 0.260 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN([C@H]2CCN(C)C2=O)C1 ZINC001421059637 1003279322 /nfs/dbraw/zinc/27/93/22/1003279322.db2.gz YVWIJMCSDUQRFU-IUCAKERBSA-N 0 2 311.279 0.260 20 0 DCADLN C[C@H](c1nncn1C)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001421133732 1003367500 /nfs/dbraw/zinc/36/75/00/1003367500.db2.gz OEWPGBIIAPKMKD-APPZFPTMSA-N 0 2 323.294 0.825 20 0 DCADLN C[C@H](c1nncn1C)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001421133732 1003367509 /nfs/dbraw/zinc/36/75/09/1003367509.db2.gz OEWPGBIIAPKMKD-APPZFPTMSA-N 0 2 323.294 0.825 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001406123676 1003392047 /nfs/dbraw/zinc/39/20/47/1003392047.db2.gz AYBNEYIPDBBJBE-GHMZBOCLSA-N 0 2 319.365 0.797 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001406123676 1003392055 /nfs/dbraw/zinc/39/20/55/1003392055.db2.gz AYBNEYIPDBBJBE-GHMZBOCLSA-N 0 2 319.365 0.797 20 0 DCADLN C[C@]1(NC(=O)C[C@@H]2CCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421183014 1003412191 /nfs/dbraw/zinc/41/21/91/1003412191.db2.gz JCHNHQNOTLETIK-HZMBPMFUSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(NC(=O)C[C@@H]2CCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421183014 1003412198 /nfs/dbraw/zinc/41/21/98/1003412198.db2.gz JCHNHQNOTLETIK-HZMBPMFUSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)SCC(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421188693 1003418781 /nfs/dbraw/zinc/41/87/81/1003418781.db2.gz GSYPQKHXGMMSOL-ZDUSSCGKSA-N 0 2 313.427 0.733 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cnon1)C(=O)C(F)C(F)(F)F ZINC001426774046 1004027060 /nfs/dbraw/zinc/02/70/60/1004027060.db2.gz QXCLTXXUQMNGNV-SVRRBLITSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cnon1)C(=O)[C@H](F)C(F)(F)F ZINC001426774046 1004027066 /nfs/dbraw/zinc/02/70/66/1004027066.db2.gz QXCLTXXUQMNGNV-SVRRBLITSA-N 0 2 324.234 0.643 20 0 DCADLN CC1(C)C[C@H]1CNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001426906305 1004120013 /nfs/dbraw/zinc/12/00/13/1004120013.db2.gz HNLMYNAFUFESKU-QMMMGPOBSA-N 0 2 315.399 0.195 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1C(=O)c1cnon1 ZINC001427044805 1004180869 /nfs/dbraw/zinc/18/08/69/1004180869.db2.gz HMYVABSRUNKCCG-GKROBHDKSA-N 0 2 324.234 0.689 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CCN1C(=O)c1cnon1 ZINC001427044805 1004180874 /nfs/dbraw/zinc/18/08/74/1004180874.db2.gz HMYVABSRUNKCCG-GKROBHDKSA-N 0 2 324.234 0.689 20 0 DCADLN CC(C)c1noc(CNC2(CNC(=O)c3cnn[nH]3)CC2)n1 ZINC001406954587 1004255636 /nfs/dbraw/zinc/25/56/36/1004255636.db2.gz ISICTDKFSBOQHO-UHFFFAOYSA-N 0 2 305.342 0.363 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001506009835 1016871848 /nfs/dbraw/zinc/87/18/48/1016871848.db2.gz JPPTXOPCKDXVIL-SECBINFHSA-N 0 2 306.370 0.706 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001506009835 1016871857 /nfs/dbraw/zinc/87/18/57/1016871857.db2.gz JPPTXOPCKDXVIL-SECBINFHSA-N 0 2 306.370 0.706 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnccn1)NC(=O)C(F)C(F)(F)F ZINC001427459469 1004400828 /nfs/dbraw/zinc/40/08/28/1004400828.db2.gz RSAAQWQYWXJLNV-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001427459469 1004400832 /nfs/dbraw/zinc/40/08/32/1004400832.db2.gz RSAAQWQYWXJLNV-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN O=C(NC[C@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001427922362 1004682760 /nfs/dbraw/zinc/68/27/60/1004682760.db2.gz MEBCVJJAAMMPFI-JGVFFNPUSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001427922362 1004682764 /nfs/dbraw/zinc/68/27/64/1004682764.db2.gz MEBCVJJAAMMPFI-JGVFFNPUSA-N 0 2 322.262 0.935 20 0 DCADLN CC[NH+](CC)CC(=O)N(C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001429623307 1004701682 /nfs/dbraw/zinc/70/16/82/1004701682.db2.gz WUMKBLAZWGKTEW-AWEZNQCLSA-N 0 2 321.425 0.353 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cncnc2)C1)C(F)C(F)(F)F ZINC001407747807 1004833846 /nfs/dbraw/zinc/83/38/46/1004833846.db2.gz FUMQIOOPYWYWIN-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cncnc2)C1)[C@H](F)C(F)(F)F ZINC001407747807 1004833851 /nfs/dbraw/zinc/83/38/51/1004833851.db2.gz FUMQIOOPYWYWIN-IUCAKERBSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001408188134 1005099246 /nfs/dbraw/zinc/09/92/46/1005099246.db2.gz BPNUDHVDJRESPD-RQJHMYQMSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001408188134 1005099255 /nfs/dbraw/zinc/09/92/55/1005099255.db2.gz BPNUDHVDJRESPD-RQJHMYQMSA-N 0 2 310.251 0.853 20 0 DCADLN CCc1cc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001414556765 1005359187 /nfs/dbraw/zinc/35/91/87/1005359187.db2.gz MUVPJLOQGPBZOB-SECBINFHSA-N 0 2 319.369 0.885 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414727185 1005391599 /nfs/dbraw/zinc/39/15/99/1005391599.db2.gz YVJZLRQMIHHWCM-OPRDCNLKSA-N 0 2 323.353 0.208 20 0 DCADLN CC[C@H](CNC(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001416188589 1005668913 /nfs/dbraw/zinc/66/89/13/1005668913.db2.gz WUAQUBWLFWTXJV-SFYZADRCSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)c1cn[nH]c1)NC(=O)[C@H](F)C(F)(F)F ZINC001416188589 1005668916 /nfs/dbraw/zinc/66/89/16/1005668916.db2.gz WUAQUBWLFWTXJV-SFYZADRCSA-N 0 2 310.251 0.935 20 0 DCADLN CCC[N@H+](C)CC(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001417196990 1005801753 /nfs/dbraw/zinc/80/17/53/1005801753.db2.gz YKKVFKNZGRQTSX-UHFFFAOYSA-N 0 2 321.425 0.069 20 0 DCADLN CCOC[C@@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001417554154 1005843276 /nfs/dbraw/zinc/84/32/76/1005843276.db2.gz UMFKCOQIEDYIGE-NSHDSACASA-N 0 2 319.365 0.489 20 0 DCADLN CO[C@@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001417662709 1005863201 /nfs/dbraw/zinc/86/32/01/1005863201.db2.gz KVKCUFWSZOPUNF-LLVKDONJSA-N 0 2 304.306 0.127 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(CF)CCC1 ZINC001417745409 1005881563 /nfs/dbraw/zinc/88/15/63/1005881563.db2.gz VLRYRSGPXKAZCO-JTQLQIEISA-N 0 2 311.361 0.683 20 0 DCADLN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001418091973 1006027389 /nfs/dbraw/zinc/02/73/89/1006027389.db2.gz AQXFJSJCLMJGKN-JGPRNRPPSA-N 0 2 300.318 0.795 20 0 DCADLN Cc1nnc([C@@H](C)NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001434838249 1006027980 /nfs/dbraw/zinc/02/79/80/1006027980.db2.gz OFTJIUACKUOHNG-VXNVDRBHSA-N 0 2 321.341 0.852 20 0 DCADLN CC(C)(F)C(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001434852883 1006043968 /nfs/dbraw/zinc/04/39/68/1006043968.db2.gz VNQHSIFJKJTLKA-UHFFFAOYSA-N 0 2 321.356 0.415 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCC[C@H]1CCOC1 ZINC001436265299 1006104617 /nfs/dbraw/zinc/10/46/17/1006104617.db2.gz GKEFCUFKURFPPQ-JTQLQIEISA-N 0 2 305.338 0.004 20 0 DCADLN C[C@@H](CNC(=O)c1ccn[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001451502448 1006219647 /nfs/dbraw/zinc/21/96/47/1006219647.db2.gz NTUPGONULUDHSA-XPUUQOCRSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@@H](CNC(=O)c1ccn[nH]1)CNC(=O)[C@H](F)C(F)(F)F ZINC001451502448 1006219651 /nfs/dbraw/zinc/21/96/51/1006219651.db2.gz NTUPGONULUDHSA-XPUUQOCRSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@H](CNC(=O)CCn1cc[nH+]c1)[NH2+]CC(=O)NCC(C)(C)C ZINC001418257165 1006259331 /nfs/dbraw/zinc/25/93/31/1006259331.db2.gz PBNJYUADKTXDGD-CYBMUJFWSA-N 0 2 323.441 0.530 20 0 DCADLN O=C(CCc1ccsc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001436726367 1006299347 /nfs/dbraw/zinc/29/93/47/1006299347.db2.gz UPKFFALKBKRMPJ-LLVKDONJSA-N 0 2 321.406 0.895 20 0 DCADLN CCC(CC)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452289411 1006717629 /nfs/dbraw/zinc/71/76/29/1006717629.db2.gz OZKIPRZMPPJBKH-DTWKUNHWSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001452289411 1006717641 /nfs/dbraw/zinc/71/76/41/1006717641.db2.gz OZKIPRZMPPJBKH-DTWKUNHWSA-N 0 2 316.295 0.916 20 0 DCADLN COc1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001439347971 1006736541 /nfs/dbraw/zinc/73/65/41/1006736541.db2.gz VBLZMODDYJZXQK-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001439347971 1006736551 /nfs/dbraw/zinc/73/65/51/1006736551.db2.gz VBLZMODDYJZXQK-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001452318558 1006739305 /nfs/dbraw/zinc/73/93/05/1006739305.db2.gz XUYOQFHXMRVSDM-RKDXNWHRSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C1=CCCC1 ZINC001452318558 1006739314 /nfs/dbraw/zinc/73/93/14/1006739314.db2.gz XUYOQFHXMRVSDM-RKDXNWHRSA-N 0 2 312.263 0.590 20 0 DCADLN Cc1cc(CCC(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001439362964 1006754381 /nfs/dbraw/zinc/75/43/81/1006754381.db2.gz DBGMMCPLJWFVTR-SECBINFHSA-N 0 2 322.369 0.376 20 0 DCADLN Cc1cc(CCC(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001439362964 1006754394 /nfs/dbraw/zinc/75/43/94/1006754394.db2.gz DBGMMCPLJWFVTR-SECBINFHSA-N 0 2 322.369 0.376 20 0 DCADLN CSc1ccnc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC001437387440 1006912858 /nfs/dbraw/zinc/91/28/58/1006912858.db2.gz URANFRGXJZTDDL-UHFFFAOYSA-N 0 2 317.334 0.222 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cn[nH]n1)C(=O)C(F)C(F)(F)F ZINC001452858684 1007120308 /nfs/dbraw/zinc/12/03/08/1007120308.db2.gz HSSRQCWYVOQQTQ-POYBYMJQSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cn[nH]n1)C(=O)[C@@H](F)C(F)(F)F ZINC001452858684 1007120314 /nfs/dbraw/zinc/12/03/14/1007120314.db2.gz HSSRQCWYVOQQTQ-POYBYMJQSA-N 0 2 323.250 0.378 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CC[C@H](CNC(=O)CCc2c[nH+]cn2C)C1 ZINC001437743060 1007345051 /nfs/dbraw/zinc/34/50/51/1007345051.db2.gz UDSFDEDZITWMOF-OCCSQVGLSA-N 0 2 321.425 0.055 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cscn1 ZINC001437778027 1007390957 /nfs/dbraw/zinc/39/09/57/1007390957.db2.gz IZAGLBFHGWDMQI-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cscn1 ZINC001437778027 1007390973 /nfs/dbraw/zinc/39/09/73/1007390973.db2.gz IZAGLBFHGWDMQI-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN Cc1cnc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001438051973 1007606069 /nfs/dbraw/zinc/60/60/69/1007606069.db2.gz KKIFRAQYDQOAOO-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN Cc1cnc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001438051973 1007606076 /nfs/dbraw/zinc/60/60/76/1007606076.db2.gz KKIFRAQYDQOAOO-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN C[C@H]1CC[C@H](CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001438128176 1007654185 /nfs/dbraw/zinc/65/41/85/1007654185.db2.gz CJCAPMAGDNXQMU-QWRGUYRKSA-N 0 2 307.398 0.885 20 0 DCADLN CCO[C@H](C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001440485922 1007822415 /nfs/dbraw/zinc/82/24/15/1007822415.db2.gz OFMCMFOQJDYNJF-CDMKHQONSA-N 0 2 323.397 0.404 20 0 DCADLN CCO[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001440485922 1007822421 /nfs/dbraw/zinc/82/24/21/1007822421.db2.gz OFMCMFOQJDYNJF-CDMKHQONSA-N 0 2 323.397 0.404 20 0 DCADLN COCc1noc([C@@H](C)[NH2+][C@@H](C)CCNC(=O)c2nnc[nH]2)n1 ZINC001440671480 1007948482 /nfs/dbraw/zinc/94/84/82/1007948482.db2.gz IYENMEDBPKMBAB-DTWKUNHWSA-N 0 2 323.357 0.193 20 0 DCADLN Cc1noc(C[NH2+][C@H](C)[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001440769184 1008008239 /nfs/dbraw/zinc/00/82/39/1008008239.db2.gz DAUUUXPSUDVDMB-RQJHMYQMSA-N 0 2 308.342 0.412 20 0 DCADLN C[C@H](CNC(=O)CCn1cccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440982567 1008157762 /nfs/dbraw/zinc/15/77/62/1008157762.db2.gz TXFXREAZFJENBC-LLVKDONJSA-N 0 2 306.370 0.339 20 0 DCADLN C[C@H](CNC(=O)CCn1cccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440982567 1008157766 /nfs/dbraw/zinc/15/77/66/1008157766.db2.gz TXFXREAZFJENBC-LLVKDONJSA-N 0 2 306.370 0.339 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnon2)C1 ZINC001441164679 1008250077 /nfs/dbraw/zinc/25/00/77/1008250077.db2.gz DYJWTRDJYYFSSM-HZGVNTEJSA-N 0 2 310.251 0.907 20 0 DCADLN C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2cnon2)C1 ZINC001441164679 1008250081 /nfs/dbraw/zinc/25/00/81/1008250081.db2.gz DYJWTRDJYYFSSM-HZGVNTEJSA-N 0 2 310.251 0.907 20 0 DCADLN CCC[N@H+](C)CC(=O)N[C@@H](C)CNC(=O)c1[nH]nc(C)c1C ZINC001433586911 1008967971 /nfs/dbraw/zinc/96/79/71/1008967971.db2.gz QTVXMUYBYWPNJX-JTQLQIEISA-N 0 2 309.414 0.603 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001433659717 1009036219 /nfs/dbraw/zinc/03/62/19/1009036219.db2.gz BGRHULMFIXIFGE-MRVPVSSYSA-N 0 2 308.367 0.732 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001454303601 1009056014 /nfs/dbraw/zinc/05/60/14/1009056014.db2.gz BKAWRLBKJJSCSX-JBDRJPRFSA-N 0 2 312.263 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC001454303601 1009056030 /nfs/dbraw/zinc/05/60/30/1009056030.db2.gz BKAWRLBKJJSCSX-JBDRJPRFSA-N 0 2 312.263 0.687 20 0 DCADLN CN(CCNC(=O)c1cnn[nH]1)Cc1nnc(C(C)(C)C)[nH]1 ZINC001442455141 1009354544 /nfs/dbraw/zinc/35/45/44/1009354544.db2.gz OXYQVKHNJXNSHR-UHFFFAOYSA-N 0 2 306.374 0.082 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCC(C2N=NC(=O)O2)CC1 ZINC001433986806 1009433898 /nfs/dbraw/zinc/43/38/98/1009433898.db2.gz IWMLESKJCNRTBR-UHFFFAOYSA-N 0 2 307.314 0.017 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC001433986806 1009433910 /nfs/dbraw/zinc/43/39/10/1009433910.db2.gz IWMLESKJCNRTBR-UHFFFAOYSA-N 0 2 307.314 0.017 20 0 DCADLN CO[C@@H]1COCC[C@@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001434022622 1009467145 /nfs/dbraw/zinc/46/71/45/1009467145.db2.gz XDUKEAWIGVMJRS-ZWNOBZJWSA-N 0 2 319.365 0.592 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1cnn(C)n1 ZINC001442652024 1009580746 /nfs/dbraw/zinc/58/07/46/1009580746.db2.gz LYDWPEAYYSFZRG-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1cnn(C)n1 ZINC001442652024 1009580758 /nfs/dbraw/zinc/58/07/58/1009580758.db2.gz LYDWPEAYYSFZRG-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@]1(C)CCOC1 ZINC001455283816 1009632952 /nfs/dbraw/zinc/63/29/52/1009632952.db2.gz YFIWCFYPBLZFIX-GZMMTYOYSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@]1(C)CCOC1 ZINC001455283816 1009632959 /nfs/dbraw/zinc/63/29/59/1009632959.db2.gz YFIWCFYPBLZFIX-GZMMTYOYSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(C2CC2)no1 ZINC001422206117 1009648371 /nfs/dbraw/zinc/64/83/71/1009648371.db2.gz MRNPNRWTDIHXNU-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(C2CC2)no1 ZINC001422206117 1009648374 /nfs/dbraw/zinc/64/83/74/1009648374.db2.gz MRNPNRWTDIHXNU-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CCC[C@H](CCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434283043 1009726045 /nfs/dbraw/zinc/72/60/45/1009726045.db2.gz XAZIJMQJAKHHSD-LLVKDONJSA-N 0 2 320.349 0.547 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C[C@@H]2CC[C@H]3C[C@H]32)[nH]1 ZINC001434385899 1009881569 /nfs/dbraw/zinc/88/15/69/1009881569.db2.gz VCTGCJYAPQMMFX-LPEHRKFASA-N 0 2 312.395 0.402 20 0 DCADLN O=C(NC1CN(C(=O)c2cc[nH]c(=O)c2)C1)C(F)C(F)(F)F ZINC001456287605 1010170019 /nfs/dbraw/zinc/17/00/19/1010170019.db2.gz ROTQUAHBCBWCOB-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)c2cc[nH]c(=O)c2)C1)[C@H](F)C(F)(F)F ZINC001456287605 1010170030 /nfs/dbraw/zinc/17/00/30/1010170030.db2.gz ROTQUAHBCBWCOB-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN C[C@H](CNC(=O)CCc1ccoc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422493735 1010179374 /nfs/dbraw/zinc/17/93/74/1010179374.db2.gz HAIVIQASCBLGHX-SNVBAGLBSA-N 0 2 307.354 0.673 20 0 DCADLN C[C@H](CNC(=O)CCc1ccoc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422493735 1010179382 /nfs/dbraw/zinc/17/93/82/1010179382.db2.gz HAIVIQASCBLGHX-SNVBAGLBSA-N 0 2 307.354 0.673 20 0 DCADLN CCc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001422494959 1010180224 /nfs/dbraw/zinc/18/02/24/1010180224.db2.gz VPOKLNJQQLXIPC-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN CCc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001422494959 1010180232 /nfs/dbraw/zinc/18/02/32/1010180232.db2.gz VPOKLNJQQLXIPC-QMMMGPOBSA-N 0 2 307.358 0.046 20 0 DCADLN Cc1[nH]nc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)c1C ZINC001443236991 1010253363 /nfs/dbraw/zinc/25/33/63/1010253363.db2.gz GSFNFQZJJYGPSK-UHFFFAOYSA-N 0 2 310.335 0.632 20 0 DCADLN CCO[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001443581226 1010511752 /nfs/dbraw/zinc/51/17/52/1010511752.db2.gz PJEVAGJTTVBWJK-LBPRGKRZSA-N 0 2 311.386 0.119 20 0 DCADLN Cc1nc(CNCc2nnc(CS(C)(=O)=O)[nH]2)cs1 ZINC001422927759 1010748129 /nfs/dbraw/zinc/74/81/29/1010748129.db2.gz TZZBJWBNMXFDLI-UHFFFAOYSA-N 0 2 301.397 0.404 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001444203923 1010903729 /nfs/dbraw/zinc/90/37/29/1010903729.db2.gz ATKAOPOMCWYAFT-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccncc1 ZINC001444203923 1010903732 /nfs/dbraw/zinc/90/37/32/1010903732.db2.gz ATKAOPOMCWYAFT-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(Cc1ccc(F)cc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423160395 1010933098 /nfs/dbraw/zinc/93/30/98/1010933098.db2.gz MBRZGECUQHZMDY-GFCCVEGCSA-N 0 2 319.340 0.583 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423564781 1011270846 /nfs/dbraw/zinc/27/08/46/1011270846.db2.gz ZRXGZZVGEUVIOW-YGRLFVJLSA-N 0 2 311.386 0.406 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423564781 1011270856 /nfs/dbraw/zinc/27/08/56/1011270856.db2.gz ZRXGZZVGEUVIOW-YGRLFVJLSA-N 0 2 311.386 0.406 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccccc1 ZINC001445004612 1011306676 /nfs/dbraw/zinc/30/66/76/1011306676.db2.gz HDUOYWPAJFGOMO-UHFFFAOYSA-N 0 2 303.366 0.643 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1ccccc1 ZINC001445004612 1011306687 /nfs/dbraw/zinc/30/66/87/1011306687.db2.gz HDUOYWPAJFGOMO-UHFFFAOYSA-N 0 2 303.366 0.643 20 0 DCADLN Cc1ccoc1C(=O)NC[C@@H](O)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001431486231 1011307866 /nfs/dbraw/zinc/30/78/66/1011307866.db2.gz HMUIGZADPVLISR-NSHDSACASA-N 0 2 320.349 0.069 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ncoc1C1CC1 ZINC001445063292 1011368626 /nfs/dbraw/zinc/36/86/26/1011368626.db2.gz DRMDADREJAWDDC-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ncoc1C1CC1 ZINC001445063292 1011368636 /nfs/dbraw/zinc/36/86/36/1011368636.db2.gz DRMDADREJAWDDC-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CC[C@H](C(=O)N(CC)CCNC(=O)Cc1[nH]c[nH+]c1C)[NH+](C)C ZINC001431680014 1011537619 /nfs/dbraw/zinc/53/76/19/1011537619.db2.gz MFIFEHGVJMXPSL-CQSZACIVSA-N 0 2 323.441 0.566 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ccon1 ZINC001535520948 1011916339 /nfs/dbraw/zinc/91/63/39/1011916339.db2.gz NEUZSSJUBQKQLF-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1ccon1 ZINC001535520948 1011916355 /nfs/dbraw/zinc/91/63/55/1011916355.db2.gz NEUZSSJUBQKQLF-SSDOTTSWSA-N 0 2 309.219 0.954 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C[C@@H]1C1CC1 ZINC001553471587 1012008517 /nfs/dbraw/zinc/00/85/17/1012008517.db2.gz KEPOYMWKZXDOBR-YNEHKIRRSA-N 0 2 318.377 0.950 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2C[C@H](C(=O)[O-])[C@@H](C3CC3)C2)c1 ZINC001553494251 1012026604 /nfs/dbraw/zinc/02/66/04/1012026604.db2.gz YMKQWWXSWXQQNR-OLZOCXBDSA-N 0 2 306.366 0.715 20 0 DCADLN C[C@@H](Oc1cccc(CO)c1)C(=O)NCCc1n[nH]c(=S)o1 ZINC001556283943 1012195184 /nfs/dbraw/zinc/19/51/84/1012195184.db2.gz LKFUWECNSKBMDJ-SECBINFHSA-N 0 2 323.374 0.977 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001432259815 1012218129 /nfs/dbraw/zinc/21/81/29/1012218129.db2.gz JORSOWUZINLLCC-RCOVLWMOSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001432259815 1012218138 /nfs/dbraw/zinc/21/81/38/1012218138.db2.gz JORSOWUZINLLCC-RCOVLWMOSA-N 0 2 300.252 0.639 20 0 DCADLN COCOCCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001556793556 1012229977 /nfs/dbraw/zinc/22/99/77/1012229977.db2.gz MAOCCTYNYLQIGS-UHFFFAOYSA-N 0 2 322.321 0.007 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@@H]1CCC[NH+](Cc2ncnn2C)CC1 ZINC001424277946 1012291340 /nfs/dbraw/zinc/29/13/40/1012291340.db2.gz JTDHWIHFXSPGMS-CQSZACIVSA-N 0 2 322.457 0.628 20 0 DCADLN Cc1[nH]cnc1C(=O)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC001446196765 1012666114 /nfs/dbraw/zinc/66/61/14/1012666114.db2.gz CVUCDVQIFBJOAA-NSHDSACASA-N 0 2 313.317 0.721 20 0 DCADLN Cc1nc[nH]c1C(=O)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC001446196765 1012666120 /nfs/dbraw/zinc/66/61/20/1012666120.db2.gz CVUCDVQIFBJOAA-NSHDSACASA-N 0 2 313.317 0.721 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001432715669 1012799501 /nfs/dbraw/zinc/79/95/01/1012799501.db2.gz QCFFKWLLHAEDOD-BQBZGAKWSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001432715669 1012799506 /nfs/dbraw/zinc/79/95/06/1012799506.db2.gz QCFFKWLLHAEDOD-BQBZGAKWSA-N 0 2 310.251 0.935 20 0 DCADLN CS(=O)(=O)C1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001459657451 1012814801 /nfs/dbraw/zinc/81/48/01/1012814801.db2.gz SCBPYAYEXYRJMS-UHFFFAOYSA-N 0 2 310.379 0.032 20 0 DCADLN CS(=O)(=O)[C@H]1CCCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001460012449 1012843471 /nfs/dbraw/zinc/84/34/71/1012843471.db2.gz YDVXRAMAFUMJIG-LBPRGKRZSA-N 0 2 324.406 0.422 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cocn2)C1)C(F)C(F)(F)F ZINC001429839450 1012845565 /nfs/dbraw/zinc/84/55/65/1012845565.db2.gz NDRUBXIXNHEQTL-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cocn2)C1)[C@H](F)C(F)(F)F ZINC001429839450 1012845587 /nfs/dbraw/zinc/84/55/87/1012845587.db2.gz NDRUBXIXNHEQTL-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN CO[C@@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001506263978 1017151642 /nfs/dbraw/zinc/15/16/42/1017151642.db2.gz QHBVXEJCVTUZTD-POYBYMJQSA-N 0 2 300.252 0.591 20 0 DCADLN CO[C@@H](C)C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001506263978 1017151652 /nfs/dbraw/zinc/15/16/52/1017151652.db2.gz QHBVXEJCVTUZTD-POYBYMJQSA-N 0 2 300.252 0.591 20 0 DCADLN CC[C@@H]1C[C@H]1CNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001478632286 1017364197 /nfs/dbraw/zinc/36/41/97/1017364197.db2.gz WYOPXFRHUUYQQP-BDAKNGLRSA-N 0 2 315.399 0.195 20 0 DCADLN CCO[C@H]1C[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001478790768 1017388588 /nfs/dbraw/zinc/38/85/88/1017388588.db2.gz BGSCXVGBYSVTIJ-MXWKQRLJSA-N 0 2 309.370 0.967 20 0 DCADLN CON1CCC(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC001478841729 1017395996 /nfs/dbraw/zinc/39/59/96/1017395996.db2.gz CVMPJBXINCCVSQ-SNVBAGLBSA-N 0 2 324.385 0.425 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001506778620 1017541292 /nfs/dbraw/zinc/54/12/92/1017541292.db2.gz UENXAQYDOVSZAG-GZMMTYOYSA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)[C@H](F)C(F)(F)F)C1)C1CC1 ZINC001506778620 1017541302 /nfs/dbraw/zinc/54/13/02/1017541302.db2.gz UENXAQYDOVSZAG-GZMMTYOYSA-N 0 2 312.263 0.376 20 0 DCADLN Cc1ncoc1C[NH2+]CCC[C@H](C)NC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001506916877 1017667581 /nfs/dbraw/zinc/66/75/81/1017667581.db2.gz IWJFNXNOSOTSBS-WFASDCNBSA-N 0 2 324.425 0.688 20 0 DCADLN CN(C(=O)CCC(F)F)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001479888888 1017936368 /nfs/dbraw/zinc/93/63/68/1017936368.db2.gz MNLISBFFYUSFPS-UHFFFAOYSA-N 0 2 317.340 0.978 20 0 DCADLN CCO[C@H](C[NH+]1CC(N(C)C(=O)c2n[nH]c(C)c2[O-])C1)C1CC1 ZINC001499653913 1018021550 /nfs/dbraw/zinc/02/15/50/1018021550.db2.gz DBIVDYLOOUUFJZ-CYBMUJFWSA-N 0 2 322.409 0.995 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)NC[C@@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001500263642 1018358492 /nfs/dbraw/zinc/35/84/92/1018358492.db2.gz PHXDSWSZTPXZHB-LBPRGKRZSA-N 0 2 309.414 0.161 20 0 DCADLN O=C([C@@H]1CCC[C@H](F)C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001480356858 1018463456 /nfs/dbraw/zinc/46/34/56/1018463456.db2.gz NMBRDHJHURAIJB-MNOVXSKESA-N 0 2 311.361 0.683 20 0 DCADLN CCn1ncc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001498409828 1019080233 /nfs/dbraw/zinc/08/02/33/1019080233.db2.gz QAGDMHAXQJPXIZ-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN CCn1ncc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001498409828 1019080250 /nfs/dbraw/zinc/08/02/50/1019080250.db2.gz QAGDMHAXQJPXIZ-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)o1 ZINC001493093154 1019094580 /nfs/dbraw/zinc/09/45/80/1019094580.db2.gz LMHYNUXBOUXGND-NSHDSACASA-N 0 2 319.365 0.735 20 0 DCADLN Cc1ccc(CC(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)o1 ZINC001493093154 1019094594 /nfs/dbraw/zinc/09/45/94/1019094594.db2.gz LMHYNUXBOUXGND-NSHDSACASA-N 0 2 319.365 0.735 20 0 DCADLN CO[C@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001493126230 1019123070 /nfs/dbraw/zinc/12/30/70/1019123070.db2.gz RZRJODSAOXYEHR-YPMHNXCESA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001493126230 1019123080 /nfs/dbraw/zinc/12/30/80/1019123080.db2.gz RZRJODSAOXYEHR-YPMHNXCESA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H](C)CC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001501772834 1019464679 /nfs/dbraw/zinc/46/46/79/1019464679.db2.gz IHCSWKGQFLVART-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001496783912 1019635762 /nfs/dbraw/zinc/63/57/62/1019635762.db2.gz VFYVVWUJCQEVJN-ZCFIWIBFSA-N 0 2 311.239 0.283 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC001496783912 1019635786 /nfs/dbraw/zinc/63/57/86/1019635786.db2.gz VFYVVWUJCQEVJN-ZCFIWIBFSA-N 0 2 311.239 0.283 20 0 DCADLN Cc1ccoc1CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001499055664 1019688766 /nfs/dbraw/zinc/68/87/66/1019688766.db2.gz HOTWITMAVJZALB-JTQLQIEISA-N 0 2 305.338 0.345 20 0 DCADLN C[C@@H](CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccn1 ZINC001499095932 1019740938 /nfs/dbraw/zinc/74/09/38/1019740938.db2.gz QNEQXDXSUMYWQK-WDEREUQCSA-N 0 2 319.369 0.049 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001499133198 1019777484 /nfs/dbraw/zinc/77/74/84/1019777484.db2.gz CPWPJSBGPRYBPA-SFYZADRCSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001499133198 1019777505 /nfs/dbraw/zinc/77/75/05/1019777505.db2.gz CPWPJSBGPRYBPA-SFYZADRCSA-N 0 2 310.251 0.935 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001494061287 1019956330 /nfs/dbraw/zinc/95/63/30/1019956330.db2.gz GKMJZFKOPNTLJT-ZXFLCMHBSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001494061287 1019956339 /nfs/dbraw/zinc/95/63/39/1019956339.db2.gz GKMJZFKOPNTLJT-ZXFLCMHBSA-N 0 2 322.262 0.996 20 0 DCADLN CO[C@@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1 ZINC001494483434 1020244545 /nfs/dbraw/zinc/24/45/45/1020244545.db2.gz OWPPABYTFCYZLI-CYBMUJFWSA-N 0 2 317.349 0.198 20 0 DCADLN CCCCCCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001628428424 1171527145 /nfs/dbraw/zinc/52/71/45/1171527145.db2.gz CLGFKWBEZYKULZ-UHFFFAOYSA-N 0 2 317.415 0.729 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc(CCOC)ccn2)c1O ZINC000286546340 219047741 /nfs/dbraw/zinc/04/77/41/219047741.db2.gz UHULUUNVACWHTM-NSHDSACASA-N 0 2 307.306 0.258 20 0 DCADLN Cc1ccc(CCC(=O)NCC(=O)NOCC(C)(C)O)cc1 ZINC000278751909 214375059 /nfs/dbraw/zinc/37/50/59/214375059.db2.gz VSAUYVLQBMXUJT-UHFFFAOYSA-N 0 2 308.378 0.863 20 0 DCADLN CCCCS(=O)(=O)NC[C@@H](O)C(=O)OCc1ccccc1 ZINC000271680032 209120261 /nfs/dbraw/zinc/12/02/61/209120261.db2.gz MRVJYXCEYOTUQS-CYBMUJFWSA-N 0 2 315.391 0.810 20 0 DCADLN CC(C)N1C(=O)C[C@@H](NC(=O)c2cc(F)c(O)c(F)c2)C1=O ZINC000180119363 199119020 /nfs/dbraw/zinc/11/90/20/199119020.db2.gz PDJJDNLICFECAB-SNVBAGLBSA-N 0 2 312.272 0.936 20 0 DCADLN CNC(=O)[C@@H]1CN(C(=O)c2cc(F)cc(Cl)c2O)CCO1 ZINC000180364826 199150374 /nfs/dbraw/zinc/15/03/74/199150374.db2.gz YEHBGRBQVNJXNO-JTQLQIEISA-N 0 2 316.716 0.772 20 0 DCADLN C[C@@H]1CO[C@H](CO)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000157217995 291227748 /nfs/dbraw/zinc/22/77/48/291227748.db2.gz SRRKOKINQDQABZ-YPMHNXCESA-N 0 2 317.345 0.800 20 0 DCADLN C[C@@H]1C[C@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCO1 ZINC000271882723 209294033 /nfs/dbraw/zinc/29/40/33/209294033.db2.gz RDUNBEMLSBEFTJ-RNFRBKRXSA-N 0 2 320.396 0.663 20 0 DCADLN CO[C@@H]1C[C@@H](C(=O)[O-])[N@H+](Cc2cc(-c3cnn(C)c3)no2)C1 ZINC000566361657 291297116 /nfs/dbraw/zinc/29/71/16/291297116.db2.gz JPJOBBSRMVXZHI-MFKMUULPSA-N 0 2 306.322 0.749 20 0 DCADLN CO[C@@H]1C[C@@H](C(=O)[O-])[N@@H+](Cc2cc(-c3cnn(C)c3)no2)C1 ZINC000566361657 291297117 /nfs/dbraw/zinc/29/71/17/291297117.db2.gz JPJOBBSRMVXZHI-MFKMUULPSA-N 0 2 306.322 0.749 20 0 DCADLN COc1cc2c(cc1S(=O)(=O)Nc1cn[nH]c1)OCC(=O)N2 ZINC000430392036 240395696 /nfs/dbraw/zinc/39/56/96/240395696.db2.gz IBIHYJVZELNGDS-UHFFFAOYSA-N 0 2 324.318 0.550 20 0 DCADLN O=C([O-])c1cnc(NC[C@@]2([NH+]3CCOCC3)CCSC2)nc1 ZINC000567981392 291394874 /nfs/dbraw/zinc/39/48/74/291394874.db2.gz IGMYVDMPVGODBC-AWEZNQCLSA-N 0 2 324.406 0.795 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)CCO1 ZINC000567980029 291395060 /nfs/dbraw/zinc/39/50/60/291395060.db2.gz JVKPGTOBWKOOFR-VXGBXAGGSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)CCO1 ZINC000567980029 291395062 /nfs/dbraw/zinc/39/50/62/291395062.db2.gz JVKPGTOBWKOOFR-VXGBXAGGSA-N 0 2 322.365 0.118 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H]1CSCCS1 ZINC000275115787 212129588 /nfs/dbraw/zinc/12/95/88/212129588.db2.gz LIEASJATGHSLNO-LURJTMIESA-N 0 2 306.438 0.155 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCCOC1CCCC1 ZINC000275043940 212089532 /nfs/dbraw/zinc/08/95/32/212089532.db2.gz VZEZDCUEYOODHG-UHFFFAOYSA-N 0 2 300.384 0.656 20 0 DCADLN CCc1nc(CCNC(=O)CSc2n[nH]c(=O)[nH]2)cs1 ZINC000274971689 212051555 /nfs/dbraw/zinc/05/15/55/212051555.db2.gz KMYJAWTWWWFFOW-UHFFFAOYSA-N 0 2 313.408 0.568 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCN(C)C(=O)C2)c1 ZINC000569715370 291492895 /nfs/dbraw/zinc/49/28/95/291492895.db2.gz IAVFBJMQVJPVHH-NRFANRHFSA-N 0 2 310.375 0.103 20 0 DCADLN COc1ccc([C@@H](O)CNC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000274899325 212019911 /nfs/dbraw/zinc/01/99/11/212019911.db2.gz PBPNSUMXQIFXNY-JTQLQIEISA-N 0 2 324.362 0.049 20 0 DCADLN CCOC(=O)c1cc(S(=O)(=O)Nc2ncccn2)cn1C ZINC000274016305 211276622 /nfs/dbraw/zinc/27/66/22/211276622.db2.gz VQDSFKDSEBPYQK-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN CN(C)c1noc(CNS(=O)(=O)c2sccc2F)n1 ZINC000338958318 253014925 /nfs/dbraw/zinc/01/49/25/253014925.db2.gz PMKUJKWACYHNJF-UHFFFAOYSA-N 0 2 306.344 0.815 20 0 DCADLN COC[C@H](C)CS(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000338991716 253019547 /nfs/dbraw/zinc/01/95/47/253019547.db2.gz DDLWZIADETUGMP-UWVGGRQHSA-N 0 2 318.399 0.302 20 0 DCADLN CC[C@@H](CCO)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000339449894 253086810 /nfs/dbraw/zinc/08/68/10/253086810.db2.gz VKNIVZIFDJOEHH-QMMMGPOBSA-N 0 2 306.322 0.635 20 0 DCADLN CCN(Cc1cc(=O)n2[nH]ccc2n1)[C@@H](C)CS(C)(=O)=O ZINC000112704573 285094424 /nfs/dbraw/zinc/09/44/24/285094424.db2.gz OVEYNMMHOCNJPR-JTQLQIEISA-N 0 2 312.395 0.278 20 0 DCADLN COCc1ccc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)cc1 ZINC000340919073 253322702 /nfs/dbraw/zinc/32/27/02/253322702.db2.gz MDRPPSMPELJYQD-UHFFFAOYSA-N 0 2 324.362 0.316 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2cncs2)s1 ZINC000340925888 253323551 /nfs/dbraw/zinc/32/35/51/253323551.db2.gz IUOZEBAJWZJCRZ-UHFFFAOYSA-N 0 2 305.366 0.618 20 0 DCADLN C[C@@H]1CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@H]1C ZINC000173005671 296383239 /nfs/dbraw/zinc/38/32/39/296383239.db2.gz LPZDLMMACUYYIG-BDAKNGLRSA-N 0 2 302.334 0.872 20 0 DCADLN Cc1cc(C(=O)NC[C@](C)(O)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000347742551 254185126 /nfs/dbraw/zinc/18/51/26/254185126.db2.gz PTVRWWNHGBUEEV-HNNXBMFYSA-N 0 2 318.333 0.635 20 0 DCADLN COCCOc1ccnc(NS(=O)(=O)c2cccnc2)n1 ZINC000351159101 254333705 /nfs/dbraw/zinc/33/37/05/254333705.db2.gz AMKZMKUDRGLOOG-UHFFFAOYSA-N 0 2 310.335 0.698 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCc1ccc2c(n1)CCCC2 ZINC000278127230 130664666 /nfs/dbraw/zinc/66/46/66/130664666.db2.gz MGSZODQHEYPRKI-UHFFFAOYSA-N 0 2 319.390 0.780 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(NC(=O)OC)c2)c1O ZINC000278310787 130680121 /nfs/dbraw/zinc/68/01/21/130680121.db2.gz XCDKEDUKEOEQFX-SNVBAGLBSA-N 0 2 321.289 0.853 20 0 DCADLN CSCCO[N-]C(=O)C(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000284564136 131092090 /nfs/dbraw/zinc/09/20/90/131092090.db2.gz MQJNCSMRXPGKNE-UHFFFAOYSA-N 0 2 312.395 0.175 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(OCCCO)cc2)cn1 ZINC000285130302 131141647 /nfs/dbraw/zinc/14/16/47/131141647.db2.gz QAOPMMUHFXZWRQ-UHFFFAOYSA-N 0 2 311.363 0.982 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(-c2cccnc2)n[nH]1 ZINC000285249238 131151262 /nfs/dbraw/zinc/15/12/62/131151262.db2.gz OHOGXJQTTLDJHI-SECBINFHSA-N 0 2 323.378 0.690 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(-c2cccnc2)[nH]n1 ZINC000285249238 131151263 /nfs/dbraw/zinc/15/12/63/131151263.db2.gz OHOGXJQTTLDJHI-SECBINFHSA-N 0 2 323.378 0.690 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2cn[nH]c2)cc1 ZINC000035732246 395721724 /nfs/dbraw/zinc/72/17/24/395721724.db2.gz GJMBCHJYENUUTE-UHFFFAOYSA-N 0 2 301.349 0.614 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CCCCC1 ZINC000091600932 395726484 /nfs/dbraw/zinc/72/64/84/395726484.db2.gz HSLUKNXTHYAWJQ-UHFFFAOYSA-N 0 2 307.398 0.759 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CC(F)(F)F)s1 ZINC000049034399 395826908 /nfs/dbraw/zinc/82/69/08/395826908.db2.gz GETPLLIMUBLPHM-UHFFFAOYSA-N 0 2 304.275 0.801 20 0 DCADLN C[C@H]1C[C@@H](CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000271310402 395856915 /nfs/dbraw/zinc/85/69/15/395856915.db2.gz INDBWEIZRCNVOW-IUCAKERBSA-N 0 2 318.333 0.981 20 0 DCADLN COC(=O)[C@H]1CCC[C@@H]1S(=O)(=O)NCc1cn[nH]c1C ZINC000234584167 395889238 /nfs/dbraw/zinc/88/92/38/395889238.db2.gz UGYQZOUOMXYAAR-QWRGUYRKSA-N 0 2 301.368 0.479 20 0 DCADLN CN(CC[NH+]1CCN(c2ccccc2)CC1)C(=O)C[NH+](C)C ZINC000132468050 395927545 /nfs/dbraw/zinc/92/75/45/395927545.db2.gz FFNLZSUEJZORFE-UHFFFAOYSA-N 0 2 304.438 0.829 20 0 DCADLN CN1CC[N@H+](CC(=O)[N-]OCc2ccccc2)C(C)(C)C1=O ZINC000119463209 395871331 /nfs/dbraw/zinc/87/13/31/395871331.db2.gz VHWNVRNTPUREGY-UHFFFAOYSA-N 0 2 305.378 0.787 20 0 DCADLN CN1CC[N@@H+](CC(=O)[N-]OCc2ccccc2)C(C)(C)C1=O ZINC000119463209 395871334 /nfs/dbraw/zinc/87/13/34/395871334.db2.gz VHWNVRNTPUREGY-UHFFFAOYSA-N 0 2 305.378 0.787 20 0 DCADLN CN1CCN(CC(=O)NOCc2ccccc2)C(C)(C)C1=O ZINC000119463209 395871338 /nfs/dbraw/zinc/87/13/38/395871338.db2.gz VHWNVRNTPUREGY-UHFFFAOYSA-N 0 2 305.378 0.787 20 0 DCADLN O=C(NOCCC(F)(F)F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000155052873 396046413 /nfs/dbraw/zinc/04/64/13/396046413.db2.gz ZYCTXODNYQGBOY-UHFFFAOYSA-N 0 2 318.211 0.225 20 0 DCADLN C[C@@H](CN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F)c1nn[nH]n1 ZINC000277232360 396056978 /nfs/dbraw/zinc/05/69/78/396056978.db2.gz BRKDRFWDGZTLCL-LURJTMIESA-N 0 2 322.291 0.219 20 0 DCADLN C[C@H]1C[N@H+](CC(=O)[N-]OCc2ccccc2)CC[S@@](=O)C1 ZINC000277528461 396073023 /nfs/dbraw/zinc/07/30/23/396073023.db2.gz RHVFSEPRKOFQND-YEJXKQKISA-N 0 2 310.419 0.935 20 0 DCADLN C[C@H]1C[N@@H+](CC(=O)[N-]OCc2ccccc2)CC[S@@](=O)C1 ZINC000277528461 396073024 /nfs/dbraw/zinc/07/30/24/396073024.db2.gz RHVFSEPRKOFQND-YEJXKQKISA-N 0 2 310.419 0.935 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCCN(Cc2ccc(F)cc2)CC1 ZINC000262152722 396105001 /nfs/dbraw/zinc/10/50/01/396105001.db2.gz AWHZCTYDKDDYMQ-UHFFFAOYSA-N 0 2 323.368 0.534 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCCN(Cc2ccc(F)cc2)CC1 ZINC000262152722 396105004 /nfs/dbraw/zinc/10/50/04/396105004.db2.gz AWHZCTYDKDDYMQ-UHFFFAOYSA-N 0 2 323.368 0.534 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000171153578 396108783 /nfs/dbraw/zinc/10/87/83/396108783.db2.gz SBCZWAPQCODEOF-UHFFFAOYSA-N 0 2 321.362 0.411 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@H]1c1cccc2c1OCCO2 ZINC000262233996 396113829 /nfs/dbraw/zinc/11/38/29/396113829.db2.gz NMWVNWZHHXQFBJ-LBPRGKRZSA-N 0 2 320.345 0.796 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@H]1c1cccc2c1OCCO2 ZINC000262233996 396113833 /nfs/dbraw/zinc/11/38/33/396113833.db2.gz NMWVNWZHHXQFBJ-LBPRGKRZSA-N 0 2 320.345 0.796 20 0 DCADLN CC[N@H+](CC(=O)NCC(=O)[O-])[C@H]1CCN(c2ccccc2)C1=O ZINC000262266423 396116479 /nfs/dbraw/zinc/11/64/79/396116479.db2.gz UAZXQHVLFICNSA-ZDUSSCGKSA-N 0 2 319.361 0.315 20 0 DCADLN CC[N@@H+](CC(=O)NCC(=O)[O-])[C@H]1CCN(c2ccccc2)C1=O ZINC000262266423 396116481 /nfs/dbraw/zinc/11/64/81/396116481.db2.gz UAZXQHVLFICNSA-ZDUSSCGKSA-N 0 2 319.361 0.315 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(Cc3ccccn3)c2)cn1 ZINC000171476681 396117977 /nfs/dbraw/zinc/11/79/77/396117977.db2.gz YZWMSRNNPZYPCN-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN COc1cc2c(cc1OC)C[N@H+](CC(=O)NCC(=O)[O-])CC2 ZINC000262295326 396119738 /nfs/dbraw/zinc/11/97/38/396119738.db2.gz ZOTHTVCJPNRNJU-UHFFFAOYSA-N 0 2 308.334 0.263 20 0 DCADLN COc1cc2c(cc1OC)C[N@@H+](CC(=O)NCC(=O)[O-])CC2 ZINC000262295326 396119743 /nfs/dbraw/zinc/11/97/43/396119743.db2.gz ZOTHTVCJPNRNJU-UHFFFAOYSA-N 0 2 308.334 0.263 20 0 DCADLN CNC(=O)CCN(C)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000175952760 396147057 /nfs/dbraw/zinc/14/70/57/396147057.db2.gz QFOAZAJORWVGQP-UHFFFAOYSA-N 0 2 302.334 0.786 20 0 DCADLN COCCN(CC(C)C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000079954566 396273257 /nfs/dbraw/zinc/27/32/57/396273257.db2.gz NNTCXNDIZOWIIS-UHFFFAOYSA-N 0 2 320.349 0.768 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCCOc1ccc(Cl)cc1 ZINC000178908045 396213365 /nfs/dbraw/zinc/21/33/65/396213365.db2.gz QUVZJSIWZCNBKO-UHFFFAOYSA-N 0 2 300.742 0.851 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCCOc1ccc(Cl)cc1 ZINC000178908045 396213368 /nfs/dbraw/zinc/21/33/68/396213368.db2.gz QUVZJSIWZCNBKO-UHFFFAOYSA-N 0 2 300.742 0.851 20 0 DCADLN O=C([O-])c1cccc(NCCCn2nc3n(c2=O)CCCC3)[nH+]1 ZINC000263439293 396216987 /nfs/dbraw/zinc/21/69/87/396216987.db2.gz WKJAHOCMDWYFSU-UHFFFAOYSA-N 0 2 317.349 0.977 20 0 DCADLN COc1cccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1O ZINC000282972772 396297137 /nfs/dbraw/zinc/29/71/37/396297137.db2.gz SIKDGHWFDNFKMW-SECBINFHSA-N 0 2 318.333 0.832 20 0 DCADLN Cn1cnnc1CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000081937437 396310420 /nfs/dbraw/zinc/31/04/20/396310420.db2.gz VAIJWSGMIMIEAF-UHFFFAOYSA-N 0 2 316.296 0.776 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(N3CCCC3)nc2)c1O ZINC000285814210 396355427 /nfs/dbraw/zinc/35/54/27/396355427.db2.gz BIEFNDISLVUYDF-GFCCVEGCSA-N 0 2 318.333 0.670 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc3c2OCCO3)c1O ZINC000285852779 396358188 /nfs/dbraw/zinc/35/81/88/396358188.db2.gz DQZINIIQOPYTTM-JTQLQIEISA-N 0 2 306.274 0.446 20 0 DCADLN CC(C)(C)c1nnc(NC(=O)CSc2n[nH]c(=O)[nH]2)s1 ZINC000186994266 396361868 /nfs/dbraw/zinc/36/18/68/396361868.db2.gz QHOXSSXFHMQXDL-UHFFFAOYSA-N 0 2 314.396 0.978 20 0 DCADLN CC(C)[C@H]1CN(C(=O)CSc2n[nH]c(=O)[nH]2)CCS1 ZINC000187150536 396364647 /nfs/dbraw/zinc/36/46/47/396364647.db2.gz XRHKTUXHZCAEQF-MRVPVSSYSA-N 0 2 302.425 0.790 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CCCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000268696592 396368206 /nfs/dbraw/zinc/36/82/06/396368206.db2.gz TUZAKLJDPFRFFP-QMMMGPOBSA-N 0 2 306.322 0.635 20 0 DCADLN COC(=O)c1ccnc(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)c1 ZINC000285177255 396348263 /nfs/dbraw/zinc/34/82/63/396348263.db2.gz KCPPXGIUUMGMAX-QMMMGPOBSA-N 0 2 315.351 0.087 20 0 DCADLN COc1ccccc1C[NH+]1CCN(C(=O)CNC(=O)[O-])CC1 ZINC000285707685 396351689 /nfs/dbraw/zinc/35/16/89/396351689.db2.gz PIIYAYWJPFIWHE-UHFFFAOYSA-N 0 2 307.350 0.607 20 0 DCADLN Cc1nc2n(n1)CCC[C@H]2NS(=O)(=O)NCC(F)(F)F ZINC000192024901 396428696 /nfs/dbraw/zinc/42/86/96/396428696.db2.gz OBBCOTWUAHLTNK-SSDOTTSWSA-N 0 2 313.305 0.408 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NS(=O)(=O)c2ccc(N)cc2)C1=O ZINC000289157538 396455897 /nfs/dbraw/zinc/45/58/97/396455897.db2.gz LLNSKXHORUFQBM-GFCCVEGCSA-N 0 2 312.351 0.183 20 0 DCADLN Cc1cc[nH+]cc1CCC[N@H+]1CC[C@]2(C1)NC(=O)N(C)C2=O ZINC000289431027 396459687 /nfs/dbraw/zinc/45/96/87/396459687.db2.gz VRSMSVQOVJCWNR-MRXNPFEDSA-N 0 2 302.378 0.949 20 0 DCADLN Cn1ccc(N2CCC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2=O)n1 ZINC000290763339 396471897 /nfs/dbraw/zinc/47/18/97/396471897.db2.gz IFEWEMIZKHJJGH-APPZFPTMSA-N 0 2 322.262 0.932 20 0 DCADLN Cn1ccc(N2CCC[C@@H](NC(=O)C(F)C(F)(F)F)C2=O)n1 ZINC000290763339 396471899 /nfs/dbraw/zinc/47/18/99/396471899.db2.gz IFEWEMIZKHJJGH-APPZFPTMSA-N 0 2 322.262 0.932 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1NC(=O)O[C@@H]1C ZINC000497045679 396487397 /nfs/dbraw/zinc/48/73/97/396487397.db2.gz RICDGEGATQMRBL-XCBNKYQSSA-N 0 2 317.305 0.921 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2c[nH]nc2C2CC2)cn1 ZINC000580096791 396488038 /nfs/dbraw/zinc/48/80/38/396488038.db2.gz PSBXYTVQJOSXAL-UHFFFAOYSA-N 0 2 311.367 0.931 20 0 DCADLN CC1(C)C(=O)NCC[N@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000581731566 396579811 /nfs/dbraw/zinc/57/98/11/396579811.db2.gz GJUSLISFNAXZRA-LBPRGKRZSA-N 0 2 322.361 0.335 20 0 DCADLN CC1(C)C(=O)NCC[N@@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000581731566 396579812 /nfs/dbraw/zinc/57/98/12/396579812.db2.gz GJUSLISFNAXZRA-LBPRGKRZSA-N 0 2 322.361 0.335 20 0 DCADLN Cc1nsc(NCCN2c3ccccc3NS2(=O)=O)n1 ZINC000562500000 396667567 /nfs/dbraw/zinc/66/75/67/396667567.db2.gz BGWFOMNHTHAXKS-UHFFFAOYSA-N 0 2 311.392 0.857 20 0 DCADLN COC(=O)[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C(C)(C)C ZINC000588379458 396685783 /nfs/dbraw/zinc/68/57/83/396685783.db2.gz BORGYKWAAOWEIK-SSDOTTSWSA-N 0 2 302.356 0.306 20 0 DCADLN CC(C)(C)c1cc(NCCCS(C)(=O)=O)nc(C(=O)[O-])[nH+]1 ZINC000563204934 396713801 /nfs/dbraw/zinc/71/38/01/396713801.db2.gz BADGBHPUEPAEJB-UHFFFAOYSA-N 0 2 315.395 0.741 20 0 DCADLN CCNC(=O)NC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000314616492 396784140 /nfs/dbraw/zinc/78/41/40/396784140.db2.gz FYSHUBKMLCGEQU-CYBMUJFWSA-N 0 2 305.334 0.516 20 0 DCADLN CCNC(=O)NC(=O)C[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000314616492 396784143 /nfs/dbraw/zinc/78/41/43/396784143.db2.gz FYSHUBKMLCGEQU-CYBMUJFWSA-N 0 2 305.334 0.516 20 0 DCADLN C[C@@H](CS(=O)(=O)c1ccccc1)[NH2+]C[C@@H]1C[NH2+]CCN1C ZINC000563447644 396737992 /nfs/dbraw/zinc/73/79/92/396737992.db2.gz WMZRHKMFPVQESK-KBPBESRZSA-N 0 2 311.451 0.342 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@H]2CCN(C)C(=O)C2)s[nH]1 ZINC000634154061 396797432 /nfs/dbraw/zinc/79/74/32/396797432.db2.gz XQDZZTGCVUUTBM-QMMMGPOBSA-N 0 2 312.395 0.648 20 0 DCADLN COC(=O)[C@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(C)C ZINC000596712719 396821674 /nfs/dbraw/zinc/82/16/74/396821674.db2.gz CSGOPYNNIIXHGB-LLVKDONJSA-N 0 2 319.317 0.957 20 0 DCADLN CCCc1nc(=NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)s[n-]1 ZINC000564426174 396833318 /nfs/dbraw/zinc/83/33/18/396833318.db2.gz ALXPZZQCLVKOEW-VHSXEESVSA-N 0 2 311.411 0.459 20 0 DCADLN CCCc1nc(=NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)s[n-]1 ZINC000564426174 396833322 /nfs/dbraw/zinc/83/33/22/396833322.db2.gz ALXPZZQCLVKOEW-VHSXEESVSA-N 0 2 311.411 0.459 20 0 DCADLN Cc1n[nH]c(NC(=O)Cn2nc(-c3ccccc3)oc2=O)n1 ZINC000590833486 396846167 /nfs/dbraw/zinc/84/61/67/396846167.db2.gz OODMIJZMWSQCJA-UHFFFAOYSA-N 0 2 300.278 0.569 20 0 DCADLN CC[C@]1(O)CCCN(C(=O)[C@@H]2CC(=O)N(c3cnn(C)c3)C2)C1 ZINC000634196529 396811578 /nfs/dbraw/zinc/81/15/78/396811578.db2.gz AKXXGWMHUQWEBK-WBMJQRKESA-N 0 2 320.393 0.537 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)Nc1ncc(CC)o1 ZINC000611498234 396875513 /nfs/dbraw/zinc/87/55/13/396875513.db2.gz QIZIFKMCPAZGOC-UHFFFAOYSA-N 0 2 314.323 0.938 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000376218971 396895793 /nfs/dbraw/zinc/89/57/93/396895793.db2.gz MAINVVQVJPPPNR-RKDXNWHRSA-N 0 2 314.367 0.009 20 0 DCADLN C[C@H](C(F)(F)F)S(=O)(=O)N[C@H](CO)[C@@H]1CCCOC1 ZINC000571582262 396966841 /nfs/dbraw/zinc/96/68/41/396966841.db2.gz NSQLCJNLCCUWOY-IWSPIJDZSA-N 0 2 305.318 0.644 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000630169774 396952942 /nfs/dbraw/zinc/95/29/42/396952942.db2.gz GQBSSHQWENGSPP-JQWIXIFHSA-N 0 2 324.381 0.320 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000630169774 396952946 /nfs/dbraw/zinc/95/29/46/396952946.db2.gz GQBSSHQWENGSPP-JQWIXIFHSA-N 0 2 324.381 0.320 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2C[C@H]3[C@H](CO)[C@H]3C2)c1 ZINC000591779810 397002001 /nfs/dbraw/zinc/00/20/01/397002001.db2.gz NGNFBWBYDKXPHE-PBMXDMTDSA-N 0 2 309.387 0.500 20 0 DCADLN O=C(CCn1ccnn1)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597543786 397007554 /nfs/dbraw/zinc/00/75/54/397007554.db2.gz NVZNOSOVAGAOBI-UHFFFAOYSA-N 0 2 300.278 0.650 20 0 DCADLN C[NH+](C)CCN(CC(=O)[O-])S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630442016 397022667 /nfs/dbraw/zinc/02/26/67/397022667.db2.gz UXMLSSBPYSOHLG-UHFFFAOYSA-N 0 2 320.342 0.168 20 0 DCADLN C[N@H+]1CCOC[C@H]1CNC(=O)Nc1ccc2c(c1F)CC[NH2+]C2 ZINC000625896892 397030594 /nfs/dbraw/zinc/03/05/94/397030594.db2.gz FJUNGBCBXFQVQP-GFCCVEGCSA-N 0 2 322.384 0.924 20 0 DCADLN O=C(N[C@@H]1C=C[C@H](CO)C1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000612499657 397073266 /nfs/dbraw/zinc/07/32/66/397073266.db2.gz DDLOYAUWSQAYGZ-JOYOIKCWSA-N 0 2 301.302 0.697 20 0 DCADLN Cc1csc(NS(=O)(=O)CCCCS(N)(=O)=O)n1 ZINC000349611740 397074909 /nfs/dbraw/zinc/07/49/09/397074909.db2.gz SYAFFWFYMSBTGF-UHFFFAOYSA-N 0 2 313.426 0.262 20 0 DCADLN CC(C)(C)c1cc(N2CC[C@@H](CO)[C@@H](O)C2)nc(C(=O)[O-])[nH+]1 ZINC000573613521 397187696 /nfs/dbraw/zinc/18/76/96/397187696.db2.gz BBDCLHNWHMWLBA-UWVGGRQHSA-N 0 2 309.366 0.652 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H]1COc2ccccc2[C@@H]1O ZINC000378132053 397197802 /nfs/dbraw/zinc/19/78/02/397197802.db2.gz AZOQDHLSHWHXIW-KCJUWKMLSA-N 0 2 322.346 0.213 20 0 DCADLN Cc1cc(C(=O)NC2([C@H](C)O)CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000620068613 397231526 /nfs/dbraw/zinc/23/15/26/397231526.db2.gz ZYJMZNFVQVSWHF-ZETCQYMHSA-N 0 2 304.306 0.388 20 0 DCADLN CO[C@]1(CNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CCOC1 ZINC000613535207 397276360 /nfs/dbraw/zinc/27/63/60/397276360.db2.gz BJUURJKOYPREFR-HNNXBMFYSA-N 0 2 319.317 0.565 20 0 DCADLN C[C@H](c1ccccc1)[C@H](O)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000620670241 397295665 /nfs/dbraw/zinc/29/56/65/397295665.db2.gz VKWNUOOEVRTKLY-MWLCHTKSSA-N 0 2 322.390 0.883 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCn3c(nnc3C(C)(C)C)C2)c1O ZINC000620817016 397306125 /nfs/dbraw/zinc/30/61/25/397306125.db2.gz WZVPGBXBDXYSRW-UHFFFAOYSA-N 0 2 304.354 0.969 20 0 DCADLN COC(=O)c1ccccc1OCC[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000592987845 397310124 /nfs/dbraw/zinc/31/01/24/397310124.db2.gz BEFDDLKYHFMCJU-ZDUSSCGKSA-N 0 2 309.318 0.637 20 0 DCADLN COC(=O)c1ccccc1OCC[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000592987845 397310127 /nfs/dbraw/zinc/31/01/27/397310127.db2.gz BEFDDLKYHFMCJU-ZDUSSCGKSA-N 0 2 309.318 0.637 20 0 DCADLN C[C@@H](C(=O)N1CCCCCC1)[NH+]1CCN(C(=O)C(=O)[O-])CC1 ZINC000396649130 397310777 /nfs/dbraw/zinc/31/07/77/397310777.db2.gz JMOMBQZSGHXMSI-LBPRGKRZSA-N 0 2 311.382 0.006 20 0 DCADLN CN1CC[C@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1=O ZINC000613828685 397331503 /nfs/dbraw/zinc/33/15/03/397331503.db2.gz QAFPRFPZJGHENM-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN COC(=O)COCCNc1nc(C2CCOCC2)ns1 ZINC000599159305 397349506 /nfs/dbraw/zinc/34/95/06/397349506.db2.gz PZLVNXIZOILEAX-UHFFFAOYSA-N 0 2 301.368 0.456 20 0 DCADLN C[N@@H+](C[C@@H](O)C[NH2+][C@@H]1CCN(c2ccc(F)cc2)C1=O)C1CC1 ZINC000578316201 397417587 /nfs/dbraw/zinc/41/75/87/397417587.db2.gz QWOAYDSWTVHJGK-JKSUJKDBSA-N 0 2 321.396 0.976 20 0 DCADLN C[C@H](C[NH2+]C1CC[NH+]([C@H]2CCC[C@@H]2O)CC1)S(C)(=O)=O ZINC000578315860 397418228 /nfs/dbraw/zinc/41/82/28/397418228.db2.gz CTRPHFVSECZZBQ-XBFCOCLRSA-N 0 2 304.456 0.387 20 0 DCADLN CN1C(=O)CC[C@@H]1CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518909 397463131 /nfs/dbraw/zinc/46/31/31/397463131.db2.gz OYSFAGHYIGKOIM-NXEZZACHSA-N 0 2 307.354 0.227 20 0 DCADLN C[S@@](=O)C1(C(=O)[O-])CCN(c2cc(NC3CC3)[nH+]cn2)CC1 ZINC000578656066 397467550 /nfs/dbraw/zinc/46/75/50/397467550.db2.gz GYBFTWNWRXMRKW-JOCHJYFZSA-N 0 2 324.406 0.853 20 0 DCADLN C[S@@](=O)C1(C(=O)[O-])CCN(c2cc(NC3CC3)nc[nH+]2)CC1 ZINC000578656066 397467557 /nfs/dbraw/zinc/46/75/57/397467557.db2.gz GYBFTWNWRXMRKW-JOCHJYFZSA-N 0 2 324.406 0.853 20 0 DCADLN Cn1cc(S(=O)(=O)NC(=O)/C=C/C2CCC2)cc1C(N)=O ZINC000492101855 397511621 /nfs/dbraw/zinc/51/16/21/397511621.db2.gz DGJPGDYGTJTYST-AATRIKPKSA-N 0 2 311.363 0.285 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)[C@H]1CCCOC1 ZINC000492508116 397523131 /nfs/dbraw/zinc/52/31/31/397523131.db2.gz TVIBJRIDRXZXDL-QRGHLMKCSA-N 0 2 313.379 0.541 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NC[C@@H](O)[C@H]2CCOC2)s[nH]1 ZINC000605920619 397674146 /nfs/dbraw/zinc/67/41/46/397674146.db2.gz QVJHTFXQIZDSRQ-DTWKUNHWSA-N 0 2 314.411 0.776 20 0 DCADLN CC[NH+]1CCN(C[C@@H](C)CNC(=O)[C@@H]2CCCC[N@@H+]2C)CC1 ZINC000606371698 397679801 /nfs/dbraw/zinc/67/98/01/397679801.db2.gz QLXBATAUCAPYES-HOTGVXAUSA-N 0 2 310.486 0.861 20 0 DCADLN COC(=O)[C@H]1C[C@@H](OC)CN1Cc1nc(=O)c2sccc2[nH]1 ZINC000116282374 158163887 /nfs/dbraw/zinc/16/38/87/158163887.db2.gz RFTRKUOAVDOGDF-PSASIEDQSA-N 0 2 323.374 0.747 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)n(C)n1 ZINC000116600037 158165344 /nfs/dbraw/zinc/16/53/44/158165344.db2.gz ZLFFISOGYIUOTK-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@H]1CCN(C)C1=O)c2=O ZINC000172417590 158394334 /nfs/dbraw/zinc/39/43/34/158394334.db2.gz WLTSKTKCSMLYDF-NSHDSACASA-N 0 2 300.318 0.604 20 0 DCADLN CC(=O)N1CCC[C@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000328775611 159029165 /nfs/dbraw/zinc/02/91/65/159029165.db2.gz KRYZEXARKKHBAY-RYUDHWBXSA-N 0 2 321.381 0.475 20 0 DCADLN O=C(c1ccc2nncn2c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328794286 159029771 /nfs/dbraw/zinc/02/97/71/159029771.db2.gz SJUKRELENRNNJW-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000328815829 159032283 /nfs/dbraw/zinc/03/22/83/159032283.db2.gz GLXUVYIPZJVHHP-JTQLQIEISA-N 0 2 323.378 0.782 20 0 DCADLN Cc1cc(C(=O)N2CCO[C@H](C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000329205461 159073139 /nfs/dbraw/zinc/07/31/39/159073139.db2.gz ZIAKIOIGABIENL-MRVPVSSYSA-N 0 2 304.306 0.605 20 0 DCADLN C[C@@H]1CN(C(=O)CN2CCCC[C@@H]2c2n[nH]c(=O)[nH]2)C[C@@H](C)O1 ZINC000329585717 159104842 /nfs/dbraw/zinc/10/48/42/159104842.db2.gz MGQOQPCVQXDDME-IJLUTSLNSA-N 0 2 323.397 0.673 20 0 DCADLN COCC[C@@H](C)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330442527 159174429 /nfs/dbraw/zinc/17/44/29/159174429.db2.gz VMVSIXVCHAVQII-NXEZZACHSA-N 0 2 318.399 0.445 20 0 DCADLN C[C@]1(CNC(=O)CSc2n[nH]c(=O)[nH]2)CCO[C@H]1C1CC1 ZINC000367689872 159351176 /nfs/dbraw/zinc/35/11/76/159351176.db2.gz WQPFCJZIHSBODF-GXFFZTMASA-N 0 2 312.395 0.924 20 0 DCADLN CN1C[C@H](C(=O)NOC[C@H]2CCOC2)c2ccccc2C1=O ZINC000368475561 159397418 /nfs/dbraw/zinc/39/74/18/159397418.db2.gz UJGJIYPLSOXLPV-FZMZJTMJSA-N 0 2 304.346 0.940 20 0 DCADLN O=C(CSCc1cccnc1)NCCCc1n[nH]c(=O)[nH]1 ZINC000080974745 286928083 /nfs/dbraw/zinc/92/80/83/286928083.db2.gz HEJQIAYCOZEETB-UHFFFAOYSA-N 0 2 307.379 0.475 20 0 DCADLN CC(C)OC(=O)[C@@H]1CCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000125468900 286966961 /nfs/dbraw/zinc/96/69/61/286966961.db2.gz WYFDAFVKALIJQP-LBPRGKRZSA-N 0 2 304.350 0.939 20 0 DCADLN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000127413207 286977799 /nfs/dbraw/zinc/97/77/99/286977799.db2.gz IBKGHKIDJQODJQ-NXEZZACHSA-N 0 2 310.379 0.030 20 0 DCADLN CN(C)C(=O)c1ccc(NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000047992435 415283059 /nfs/dbraw/zinc/28/30/59/415283059.db2.gz CHRJWZPVLOENIV-UHFFFAOYSA-N 0 2 308.363 0.923 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)c(C)c1 ZINC000342769653 415292364 /nfs/dbraw/zinc/29/23/64/415292364.db2.gz DBEAGTMWZXYFBY-UHFFFAOYSA-N 0 2 324.362 0.486 20 0 DCADLN NC(=O)Cn1ccc(NS(=O)(=O)c2cccc(Cl)c2)n1 ZINC000342795147 415298878 /nfs/dbraw/zinc/29/88/78/415298878.db2.gz YRZDTABECIOIGK-UHFFFAOYSA-N 0 2 314.754 0.823 20 0 DCADLN Cn1c2ccc(NC(=O)CSc3n[nH]c(=O)[nH]3)cc2oc1=O ZINC000353160133 415455749 /nfs/dbraw/zinc/45/57/49/415455749.db2.gz WIXWIMWMMKJHAG-UHFFFAOYSA-N 0 2 321.318 0.686 20 0 DCADLN Cc1nnnn1-c1cccc(NC(=O)C(N)C(F)(F)F)c1 ZINC000353172647 415460915 /nfs/dbraw/zinc/46/09/15/415460915.db2.gz LTYPVNKBOIKDKX-SECBINFHSA-N 0 2 300.244 0.799 20 0 DCADLN Cc1nnnn1-c1cccc(NC(=O)[C@@H](N)C(F)(F)F)c1 ZINC000353172647 415460925 /nfs/dbraw/zinc/46/09/25/415460925.db2.gz LTYPVNKBOIKDKX-SECBINFHSA-N 0 2 300.244 0.799 20 0 DCADLN Cc1cccc(C[C@H](CO)NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000276340625 415475132 /nfs/dbraw/zinc/47/51/32/415475132.db2.gz GEQYUXZVZDVDSL-LLVKDONJSA-N 0 2 322.390 0.218 20 0 DCADLN CC(C)(O)CONC(=O)CNC(=O)c1cc2ccccc2[nH]1 ZINC000279131504 415514385 /nfs/dbraw/zinc/51/43/85/415514385.db2.gz DZDQREMYUAMAMT-UHFFFAOYSA-N 0 2 305.334 0.716 20 0 DCADLN Cc1[nH+]ccn1CCNC(=O)N(C)CCC[NH+]1CCN(C)CC1 ZINC000353431113 415540376 /nfs/dbraw/zinc/54/03/76/415540376.db2.gz KPXDCEYUGXSPEX-UHFFFAOYSA-N 0 2 322.457 0.470 20 0 DCADLN COCC[C@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(N)=O ZINC000343304229 415547482 /nfs/dbraw/zinc/54/74/82/415547482.db2.gz OBXYEHMDIPHLNC-NSHDSACASA-N 0 2 318.333 0.198 20 0 DCADLN COC(=O)c1ccc(C(=O)Nc2nc(SCCO)n[nH]2)o1 ZINC000343869243 415758627 /nfs/dbraw/zinc/75/86/27/415758627.db2.gz RBXMWWXMSIOQNP-UHFFFAOYSA-N 0 2 312.307 0.521 20 0 DCADLN CCS(=O)(=O)C[C@@H](C)NC(=O)N1CCC[C@@H](NC(C)=O)C1 ZINC000334032398 415787393 /nfs/dbraw/zinc/78/73/93/415787393.db2.gz MELGJRHVGZYGFE-ZYHUDNBSSA-N 0 2 319.427 0.120 20 0 DCADLN Cc1c[nH]cc(C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1=O ZINC000334033380 415787465 /nfs/dbraw/zinc/78/74/65/415787465.db2.gz XVOPIORTWSWIBW-UHFFFAOYSA-N 0 2 315.377 0.375 20 0 DCADLN CN(C(=O)[C@@H]1CCN(C(=O)[C@@]2(O)CCOC2)C1)c1ccccc1 ZINC000334033321 415789127 /nfs/dbraw/zinc/78/91/27/415789127.db2.gz MIXUKMUOGIGKDX-CXAGYDPISA-N 0 2 318.373 0.649 20 0 DCADLN CNC(=O)c1ccc(CNC(=O)N2CC[C@@H]([C@@H](C)O)C2)cc1 ZINC000334033918 415789265 /nfs/dbraw/zinc/78/92/65/415789265.db2.gz FSVLNRIBCUILSS-BXUZGUMPSA-N 0 2 305.378 0.959 20 0 DCADLN Cc1c[nH]cc(C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1=O ZINC000334032888 415789309 /nfs/dbraw/zinc/78/93/09/415789309.db2.gz NWNRPNXOTMSNCH-UHFFFAOYSA-N 0 2 306.366 0.755 20 0 DCADLN CC(=O)N1CCN(C(=O)[C@H](C)[N@@H+]2CCO[C@@H](C3CCC3)C2)CC1 ZINC000334028559 415789748 /nfs/dbraw/zinc/78/97/48/415789748.db2.gz JGYYMNSCARALMA-XJKSGUPXSA-N 0 2 323.437 0.567 20 0 DCADLN CC(=O)N1CCN(C(=O)[C@H](C)N2CCO[C@@H](C3CCC3)C2)CC1 ZINC000334028559 415789755 /nfs/dbraw/zinc/78/97/55/415789755.db2.gz JGYYMNSCARALMA-XJKSGUPXSA-N 0 2 323.437 0.567 20 0 DCADLN NC(=O)[C@@H]1CCCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000121912890 415819333 /nfs/dbraw/zinc/81/93/33/415819333.db2.gz ZLSRWRGLROGZFY-LLVKDONJSA-N 0 2 314.345 0.915 20 0 DCADLN O=C(N[C@@H]1COC[C@H]1O)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000297123818 415953130 /nfs/dbraw/zinc/95/31/30/415953130.db2.gz YVHFNDYQTNZOFS-ZYHUDNBSSA-N 0 2 323.736 0.721 20 0 DCADLN Cc1cc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)n(C)n1 ZINC000299121777 416054362 /nfs/dbraw/zinc/05/43/62/416054362.db2.gz LBQVITKYVFNBMV-UHFFFAOYSA-N 0 2 316.368 0.204 20 0 DCADLN COCCS(=O)(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000337839972 416014779 /nfs/dbraw/zinc/01/47/79/416014779.db2.gz LKCQPMHMOYCMRA-UHFFFAOYSA-N 0 2 317.392 0.805 20 0 DCADLN CCN1CC[NH+](CCC(=O)NC[C@@H](C)Cn2cc[nH+]c2C)CC1 ZINC000338050967 416039605 /nfs/dbraw/zinc/03/96/05/416039605.db2.gz AETHXCDUTNRKSY-OAHLLOKOSA-N 0 2 321.469 0.971 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NC3CCCCC3)cc-2c(=O)[nH]1 ZINC000175323837 416102811 /nfs/dbraw/zinc/10/28/11/416102811.db2.gz LNUOVCJYYZDFKF-UHFFFAOYSA-N 0 2 324.362 0.222 20 0 DCADLN C[N@H+](CC(=O)NCc1ccc(F)cc1)C1CC[NH+](CCO)CC1 ZINC000357653898 416110777 /nfs/dbraw/zinc/11/07/77/416110777.db2.gz XHOVNCYOJRBJOZ-UHFFFAOYSA-N 0 2 323.412 0.830 20 0 DCADLN Cc1cc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)sn1 ZINC000176421172 416125334 /nfs/dbraw/zinc/12/53/34/416125334.db2.gz HMZHPXJXKUEJSI-UHFFFAOYSA-N 0 2 303.303 0.629 20 0 DCADLN CC(C)[C@@H](CO)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000346022124 416184735 /nfs/dbraw/zinc/18/47/35/416184735.db2.gz UTKUPQSLZATXMM-SECBINFHSA-N 0 2 306.322 0.430 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCCN1CCCC1=O)c2=O ZINC000179382185 416186227 /nfs/dbraw/zinc/18/62/27/416186227.db2.gz UKLLEFZNQLHEDV-UHFFFAOYSA-N 0 2 317.349 0.416 20 0 DCADLN COC(=O)C(C)(C)N(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358334340 416224874 /nfs/dbraw/zinc/22/48/74/416224874.db2.gz RJAFHMQCOYKNFF-UHFFFAOYSA-N 0 2 306.322 0.698 20 0 DCADLN O=C(CCCNC(=O)NC1CCCCC1)NCc1n[nH]c(=O)[nH]1 ZINC000358375750 416231370 /nfs/dbraw/zinc/23/13/70/416231370.db2.gz ZOMLMDPCXGHEOU-UHFFFAOYSA-N 0 2 324.385 0.539 20 0 DCADLN CNC(=O)Cn1nc(Nc2nn3cc(C)nc3s2)cc1C ZINC000348105825 416232430 /nfs/dbraw/zinc/23/24/30/416232430.db2.gz NGLZGSKBCKXJEH-UHFFFAOYSA-N 0 2 305.367 1.094 20 0 DCADLN CCCNS(=O)(=O)N1CCCC[C@@H]1c1nnc(COC)[nH]1 ZINC000331151846 416274713 /nfs/dbraw/zinc/27/47/13/416274713.db2.gz OMROWVBJUOKIAI-SNVBAGLBSA-N 0 2 317.415 0.723 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000359798821 416358789 /nfs/dbraw/zinc/35/87/89/416358789.db2.gz ICACSURYVYSLNO-QMMMGPOBSA-N 0 2 319.296 0.319 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2C[C@@H](O)C[C@@H]2CO)s[nH]1 ZINC000359964704 416367089 /nfs/dbraw/zinc/36/70/89/416367089.db2.gz WUUOVJSROKBXBH-SFYZADRCSA-N 0 2 300.384 0.217 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc2c(cn1)OCCC2 ZINC000360881786 416415556 /nfs/dbraw/zinc/41/55/56/416415556.db2.gz UWXPKPWXEUBJEW-UHFFFAOYSA-N 0 2 303.322 0.593 20 0 DCADLN CN(C)c1ccc(CNC(=O)CSc2n[nH]c(=O)[n-]2)c[nH+]1 ZINC000361912581 416522104 /nfs/dbraw/zinc/52/21/04/416522104.db2.gz RKPOPBZJZUTYQU-UHFFFAOYSA-N 0 2 308.367 0.380 20 0 DCADLN O=C(NCCCN1C(=O)CCC1=O)c1cccc2[nH]nnc21 ZINC000540963380 416600436 /nfs/dbraw/zinc/60/04/36/416600436.db2.gz OKWXXBCBVJKJIK-UHFFFAOYSA-N 0 2 301.306 0.227 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2ccc(C)cc2)n1 ZINC000437298570 416582996 /nfs/dbraw/zinc/58/29/96/416582996.db2.gz KUYMERKGFGLRQD-UHFFFAOYSA-N 0 2 310.335 0.560 20 0 DCADLN Cn1cnn(-c2ccc(NC(=O)C(N)C(F)(F)F)cc2)c1=O ZINC000423911473 416588266 /nfs/dbraw/zinc/58/82/66/416588266.db2.gz RZVNTVRFIAXCRM-SECBINFHSA-N 0 2 315.255 0.399 20 0 DCADLN Cn1cnn(-c2ccc(NC(=O)[C@@H](N)C(F)(F)F)cc2)c1=O ZINC000423911473 416588268 /nfs/dbraw/zinc/58/82/68/416588268.db2.gz RZVNTVRFIAXCRM-SECBINFHSA-N 0 2 315.255 0.399 20 0 DCADLN O=C([C@H]1COCC[NH2+]1)N1C[C@@H]2CC[N@H+](Cc3ccccc3)[C@@H]2C1 ZINC000424214115 416627745 /nfs/dbraw/zinc/62/77/45/416627745.db2.gz BPHFFKNUIQRUTN-GVDBMIGSSA-N 0 2 315.417 0.708 20 0 DCADLN C[C@H](NC(=O)NCCC(C)(C)C(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000424417818 416641317 /nfs/dbraw/zinc/64/13/17/416641317.db2.gz WAZYDULVLRYPOP-RYUDHWBXSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@@H](NC(=O)NCCC(C)(C)C(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000424417812 416642336 /nfs/dbraw/zinc/64/23/36/416642336.db2.gz WAZYDULVLRYPOP-NEPJUHHUSA-N 0 2 315.414 0.896 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)NC[C@H](CC(C)C)C(=O)[O-] ZINC000424432324 416643484 /nfs/dbraw/zinc/64/34/84/416643484.db2.gz DXSQPUJSIQQONM-JTQLQIEISA-N 0 2 323.349 0.892 20 0 DCADLN COc1cccc(S([O-])=CC(=O)NCc2c[nH+]cn2C)c1 ZINC000424836457 416671551 /nfs/dbraw/zinc/67/15/51/416671551.db2.gz XKQUEXYMAMDVQK-OAQYLSRUSA-N 0 2 307.375 0.853 20 0 DCADLN C[C@H](O)CN(C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)[C@@H](C)CO ZINC000442702350 416707358 /nfs/dbraw/zinc/70/73/58/416707358.db2.gz FFUARUQBPSRWNQ-UWVGGRQHSA-N 0 2 321.333 0.234 20 0 DCADLN CC(C)(CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)OCCO ZINC000614906271 416722608 /nfs/dbraw/zinc/72/26/08/416722608.db2.gz MJROSWOFEAPBSW-UHFFFAOYSA-N 0 2 321.333 0.547 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccn(CCC(F)(F)F)n1 ZINC000425705985 416722744 /nfs/dbraw/zinc/72/27/44/416722744.db2.gz DGQUTJZRDAYTDZ-UHFFFAOYSA-N 0 2 319.247 0.981 20 0 DCADLN C[C@@H]1[C@@H](C)[S@@](=O)CCN1S(=O)(=O)NCC(F)(F)F ZINC000442943868 416723183 /nfs/dbraw/zinc/72/31/83/416723183.db2.gz LENMZFAAQNGLCG-TXLFOSJXSA-N 0 2 308.347 0.224 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@@H](C2CC2)O1 ZINC000369123290 416725762 /nfs/dbraw/zinc/72/57/62/416725762.db2.gz ZSUXUVVAZMGYEZ-APPZFPTMSA-N 0 2 302.318 0.882 20 0 DCADLN C[C@@H]1NC(=O)CC[C@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000443032207 416726601 /nfs/dbraw/zinc/72/66/01/416726601.db2.gz IKERRVFDBPAKHF-OIBJUYFYSA-N 0 2 303.322 0.071 20 0 DCADLN C[N@H+]1CCN(C(=O)Nc2c[nH]nc2-c2nccs2)[C@@H](C[NH3+])C1 ZINC000516633019 416730937 /nfs/dbraw/zinc/73/09/37/416730937.db2.gz UKLKKDGCJNXNPO-VIFPVBQESA-N 0 2 321.410 0.640 20 0 DCADLN C[C@H]1Cc2c[nH]nc2[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC000623198686 416767360 /nfs/dbraw/zinc/76/73/60/416767360.db2.gz ULXDMVWVRJDPEJ-WCBMZHEXSA-N 0 2 304.354 0.648 20 0 DCADLN C[C@@H](CN(C)C(=O)C[NH+]1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC000565303273 416962732 /nfs/dbraw/zinc/96/27/32/416962732.db2.gz KVWVDFJYDCAPIW-ZDUSSCGKSA-N 0 2 320.393 0.383 20 0 DCADLN Nc1ccc(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000519151056 416895656 /nfs/dbraw/zinc/89/56/56/416895656.db2.gz AMFAVETXKIJYFX-VIFPVBQESA-N 0 2 302.338 0.436 20 0 DCADLN O=C(N[C@H]1CCC[C@H]1CO)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616101418 416964621 /nfs/dbraw/zinc/96/46/21/416964621.db2.gz PMZFIVVVNILLBC-RYUDHWBXSA-N 0 2 303.318 0.921 20 0 DCADLN CC(=O)Nc1ccncc1NS(=O)(=O)c1cncc(F)c1 ZINC000446776786 416943932 /nfs/dbraw/zinc/94/39/32/416943932.db2.gz MFFGEDILVRLGER-UHFFFAOYSA-N 0 2 310.310 0.797 20 0 DCADLN CCOC(=O)c1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000616175448 416978857 /nfs/dbraw/zinc/97/88/57/416978857.db2.gz BCFBLHDMPMDMEB-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN Cc1ccccc1-n1cc(C[NH2+][C@@]2(C(=O)[O-])CCOC2)nn1 ZINC000521216378 416995048 /nfs/dbraw/zinc/99/50/48/416995048.db2.gz HHRYARSCFBPGIK-HNNXBMFYSA-N 0 2 302.334 0.909 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)n1 ZINC000375417770 417001659 /nfs/dbraw/zinc/00/16/59/417001659.db2.gz VBXOFXOYLZIYLM-BXKDBHETSA-N 0 2 317.353 0.313 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cccc2c1OCC2 ZINC000643269212 417170888 /nfs/dbraw/zinc/17/08/88/417170888.db2.gz OOJGWMOUJIJLFZ-UHFFFAOYSA-N 0 2 309.347 0.611 20 0 DCADLN COC(=O)C1(CNC(=O)c2cccc3[nH]nnc32)CCOCC1 ZINC000527114812 417213928 /nfs/dbraw/zinc/21/39/28/417213928.db2.gz ZLYVDNCZEUXDPV-UHFFFAOYSA-N 0 2 318.333 0.658 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N3CC(S(C)(=O)=O)C3)c[nH]c12 ZINC000412407495 417218953 /nfs/dbraw/zinc/21/89/53/417218953.db2.gz DWSPYHUCZRSMPL-UHFFFAOYSA-N 0 2 320.370 0.706 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@H+]1CCC[C@@H](OCC2CC2)C1 ZINC000569619282 417276521 /nfs/dbraw/zinc/27/65/21/417276521.db2.gz QKVLWBHGXCBUKY-HZPDHXFCSA-N 0 2 300.443 0.817 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000569248292 417234678 /nfs/dbraw/zinc/23/46/78/417234678.db2.gz MHWKSFRKQFPUSL-RYUDHWBXSA-N 0 2 312.366 0.480 20 0 DCADLN CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000569248292 417234685 /nfs/dbraw/zinc/23/46/85/417234685.db2.gz MHWKSFRKQFPUSL-RYUDHWBXSA-N 0 2 312.366 0.480 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000621894240 417337868 /nfs/dbraw/zinc/33/78/68/417337868.db2.gz ITMBPPVOPCUAJK-DCAQKATOSA-N 0 2 321.381 0.254 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CC[N@H+]1C1CCCCC1)S(C)(=O)=O ZINC000529717579 417491524 /nfs/dbraw/zinc/49/15/24/417491524.db2.gz HHAMWJZCDRIWMW-AAEUAGOBSA-N 0 2 302.440 0.943 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@H](O)C[C@H](O)C1 ZINC000644008209 417441524 /nfs/dbraw/zinc/44/15/24/417441524.db2.gz HJWROANHTUMQMT-TXEJJXNPSA-N 0 2 303.318 0.146 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCc1nnc(C3CC3)[nH]1)c2=O ZINC000452420180 417483453 /nfs/dbraw/zinc/48/34/53/417483453.db2.gz JODGMGUISCRIMG-UHFFFAOYSA-N 0 2 313.321 0.599 20 0 DCADLN CCCn1nccc1NC(=O)C[N@@H+]1CCC[C@]1(COC)C(=O)[O-] ZINC000635019022 417554864 /nfs/dbraw/zinc/55/48/64/417554864.db2.gz SFGGGDFGTYOFKF-HNNXBMFYSA-N 0 2 324.381 0.797 20 0 DCADLN CCCn1nccc1NC(=O)C[N@H+]1CCC[C@]1(COC)C(=O)[O-] ZINC000635019022 417554872 /nfs/dbraw/zinc/55/48/72/417554872.db2.gz SFGGGDFGTYOFKF-HNNXBMFYSA-N 0 2 324.381 0.797 20 0 DCADLN CCN1C[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)CC1=O ZINC000453004731 417517501 /nfs/dbraw/zinc/51/75/01/417517501.db2.gz YJEGFSICMVNNCM-NSHDSACASA-N 0 2 314.345 0.929 20 0 DCADLN COc1cc(C)c(S(=O)(=O)Nc2nnn(C)n2)cc1Cl ZINC000634664168 417528390 /nfs/dbraw/zinc/52/83/90/417528390.db2.gz RATWZHJCBYGWGB-UHFFFAOYSA-N 0 2 317.758 0.981 20 0 DCADLN O=C(NCCN1CCCC1=O)C1=NN(c2ccccc2)CC1=O ZINC000575930366 417563979 /nfs/dbraw/zinc/56/39/79/417563979.db2.gz SFFPPNBTFNWKFH-UHFFFAOYSA-N 0 2 314.345 0.930 20 0 DCADLN O=C(N[C@@H]1CC[S@@](=O)C1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000576563881 417618908 /nfs/dbraw/zinc/61/89/08/417618908.db2.gz JXSYXILJOGROFP-LADRHHBVSA-N 0 2 307.331 0.281 20 0 DCADLN O=C([O-])c1coc(NC[C@@]2([NH+]3CCOCC3)CCSC2)n1 ZINC000578511136 417685782 /nfs/dbraw/zinc/68/57/82/417685782.db2.gz KHQJBWGIKHFTQG-ZDUSSCGKSA-N 0 2 313.379 0.993 20 0 DCADLN COc1cccc2c1CC[N@H+](Cc1cn(CC(=O)[O-])nn1)C2 ZINC000577226834 417673093 /nfs/dbraw/zinc/67/30/93/417673093.db2.gz SXDHXKWRSGSRPP-UHFFFAOYSA-N 0 2 302.334 0.930 20 0 DCADLN COc1cccc2c1CC[N@@H+](Cc1cn(CC(=O)[O-])nn1)C2 ZINC000577226834 417673100 /nfs/dbraw/zinc/67/31/00/417673100.db2.gz SXDHXKWRSGSRPP-UHFFFAOYSA-N 0 2 302.334 0.930 20 0 DCADLN Cc1nc(SCC(=O)N2CCC[C@@H](C3N=NC(=O)O3)C2)n[nH]1 ZINC000644883994 417674868 /nfs/dbraw/zinc/67/48/68/417674868.db2.gz LNLNGDIFFNKRLU-MRVPVSSYSA-N 0 2 324.366 0.700 20 0 DCADLN C[C@@H]1CCN(C(=O)CCCc2nn[nH]n2)C[C@@H]1n1ccnc1 ZINC000635682129 417738003 /nfs/dbraw/zinc/73/80/03/417738003.db2.gz QYKOIAJAWKEFHF-NEPJUHHUSA-N 0 2 303.370 0.829 20 0 DCADLN O=C(CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CCCC1 ZINC000628999111 417738040 /nfs/dbraw/zinc/73/80/40/417738040.db2.gz FGFQGAOABVOWAN-UHFFFAOYSA-N 0 2 316.317 0.925 20 0 DCADLN Cc1ccc2c([n-]cc(C(=O)Nc3nnc4nc[nH]n43)c2=O)[nH+]1 ZINC000646267079 417822873 /nfs/dbraw/zinc/82/28/73/417822873.db2.gz AOHMBVJKEIPEQC-UHFFFAOYSA-N 0 2 310.277 0.662 20 0 DCADLN Cc1ccc2c([n-]cc(C(=O)Nc3n[nH]c4ncnn34)c2=O)[nH+]1 ZINC000646267079 417822880 /nfs/dbraw/zinc/82/28/80/417822880.db2.gz AOHMBVJKEIPEQC-UHFFFAOYSA-N 0 2 310.277 0.662 20 0 DCADLN Cn1cc(C(=O)NC[C@H]2CCC[N@@H+]2CCc2c[nH+]cn2C)cn1 ZINC000639972145 417771560 /nfs/dbraw/zinc/77/15/60/417771560.db2.gz MSCKWEGUMLIUCO-OAHLLOKOSA-N 0 2 316.409 0.591 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccc3c(c2)C(=O)NCC3)n1C ZINC000629288537 417772487 /nfs/dbraw/zinc/77/24/87/417772487.db2.gz CEOFHFXMWCRJHZ-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)CN(C)C(=O)N2 ZINC000629295251 417773243 /nfs/dbraw/zinc/77/32/43/417773243.db2.gz NUMSCTXOHQIIED-UHFFFAOYSA-N 0 2 322.350 0.593 20 0 DCADLN CC(C)CNC(=O)CCC[N@H+](C)CC(=O)N1CC[NH+](C)CC1 ZINC000640051091 417780570 /nfs/dbraw/zinc/78/05/70/417780570.db2.gz GHOBJQFFIJCLSG-UHFFFAOYSA-N 0 2 312.458 0.245 20 0 DCADLN CCO[C@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@@H]1C ZINC000651836164 417793267 /nfs/dbraw/zinc/79/32/67/417793267.db2.gz LAOIDQCJGJSALJ-RYUDHWBXSA-N 0 2 323.397 0.493 20 0 DCADLN Cc1[nH+]c2ccc(C(=O)N(C)Cc3nc(=O)o[n-]3)cc2n1C ZINC000640463972 417850654 /nfs/dbraw/zinc/85/06/54/417850654.db2.gz FYPZCCAUOMZZIS-UHFFFAOYSA-N 0 2 301.306 0.830 20 0 DCADLN Cn1cc(N2CC[C@H]([NH2+]Cc3cc4n(n3)CCC4)C2=O)cn1 ZINC000657366824 417852628 /nfs/dbraw/zinc/85/26/28/417852628.db2.gz NFTFRAFCNOBDOP-AWEZNQCLSA-N 0 2 300.366 0.458 20 0 DCADLN O=S(=O)(CC[NH2+]Cc1c[nH+]c2n1CCC2)NCC1CCC1 ZINC000657359516 417853101 /nfs/dbraw/zinc/85/31/01/417853101.db2.gz VWZYNTYWMLCWER-UHFFFAOYSA-N 0 2 312.439 0.638 20 0 DCADLN CO[C@H]1C[C@@H](CC(=O)[O-])N(C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000652072248 417842957 /nfs/dbraw/zinc/84/29/57/417842957.db2.gz REDPKWNJVWZIHS-STQMWFEESA-N 0 2 321.377 0.853 20 0 DCADLN Cc1cc(=NC(=O)C(=O)N2CCC([C@H](C)CO)CC2)[nH]nc1C ZINC000652077654 417844242 /nfs/dbraw/zinc/84/42/42/417844242.db2.gz LUSVAUGURKANMP-LLVKDONJSA-N 0 2 320.393 0.321 20 0 DCADLN O=C(NC1COCCOC1)C1=NN(c2ccccc2)CC1=O ZINC000646805761 417917703 /nfs/dbraw/zinc/91/77/03/417917703.db2.gz JVWSUKSRPIRURC-UHFFFAOYSA-N 0 2 303.318 0.723 20 0 DCADLN COc1ccc(-c2noc(C[N@@H+]3CCC[C@@]3(C)C(=O)[O-])n2)nn1 ZINC000652480848 417918384 /nfs/dbraw/zinc/91/83/84/417918384.db2.gz UCQKOWFKNZBGQR-AWEZNQCLSA-N 0 2 319.321 0.974 20 0 DCADLN COc1ccc(-c2noc(C[N@H+]3CCC[C@@]3(C)C(=O)[O-])n2)nn1 ZINC000652480848 417918386 /nfs/dbraw/zinc/91/83/86/417918386.db2.gz UCQKOWFKNZBGQR-AWEZNQCLSA-N 0 2 319.321 0.974 20 0 DCADLN CCCCn1nnnc1C[N@H+](CCC(=O)[O-])C[C@H]1CCCO1 ZINC000652480261 417919764 /nfs/dbraw/zinc/91/97/64/417919764.db2.gz QJSKJMREWHJXQA-GFCCVEGCSA-N 0 2 311.386 0.929 20 0 DCADLN CCCCn1nnnc1C[N@@H+](CCC(=O)[O-])C[C@H]1CCCO1 ZINC000652480261 417919766 /nfs/dbraw/zinc/91/97/66/417919766.db2.gz QJSKJMREWHJXQA-GFCCVEGCSA-N 0 2 311.386 0.929 20 0 DCADLN CCCCNc1cc(N[C@@H]2C[C@H](C(=O)[O-])C[C@@H]2O)nc(N)[nH+]1 ZINC000662897102 417940438 /nfs/dbraw/zinc/94/04/38/417940438.db2.gz NCZAXZJMYBKWNU-AEJSXWLSSA-N 0 2 309.370 0.907 20 0 DCADLN CCCCNc1cc(N[C@@H]2C[C@H](C(=O)[O-])C[C@@H]2O)[nH+]c(N)n1 ZINC000662897102 417940442 /nfs/dbraw/zinc/94/04/42/417940442.db2.gz NCZAXZJMYBKWNU-AEJSXWLSSA-N 0 2 309.370 0.907 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H](C1CC1)C1CCOCC1 ZINC000652769522 417965835 /nfs/dbraw/zinc/96/58/35/417965835.db2.gz IVIAMTHHNKHJNC-LLVKDONJSA-N 0 2 312.395 0.924 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC[C@@]2(O)CCOC2)cn1 ZINC000652802418 417971025 /nfs/dbraw/zinc/97/10/25/417971025.db2.gz IGTVNADPBUNFMK-OAHLLOKOSA-N 0 2 319.365 0.564 20 0 DCADLN COc1ccc(CNC(=O)[C@H]2CC[C@@H](C(=O)[O-])[N@@H+]2C2CC2)nc1 ZINC000663226248 418002524 /nfs/dbraw/zinc/00/25/24/418002524.db2.gz JNHXARJMSBUKFV-KGLIPLIRSA-N 0 2 319.361 0.786 20 0 DCADLN COc1ccc(CNC(=O)[C@H]2CC[C@@H](C(=O)[O-])[N@H+]2C2CC2)nc1 ZINC000663226248 418002528 /nfs/dbraw/zinc/00/25/28/418002528.db2.gz JNHXARJMSBUKFV-KGLIPLIRSA-N 0 2 319.361 0.786 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1nncn1-c1cccnc1)C1CC1 ZINC000647275741 418006468 /nfs/dbraw/zinc/00/64/68/418006468.db2.gz CSKVRMWVJXUOTL-GFCCVEGCSA-N 0 2 323.378 0.829 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccnc(OCCO)c1 ZINC000663301633 418008963 /nfs/dbraw/zinc/00/89/63/418008963.db2.gz MIBBVLNIBJNFCD-UHFFFAOYSA-N 0 2 312.351 0.470 20 0 DCADLN CS(=O)(=O)N1CCC(CNc2[nH+]cccc2C(=O)[O-])CC1 ZINC000647451993 418010425 /nfs/dbraw/zinc/01/04/25/418010425.db2.gz OEGOGMHPTXWHAJ-UHFFFAOYSA-N 0 2 313.379 0.863 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNc1ccc(C(=O)[O-])c[nH+]1 ZINC000647451620 418010769 /nfs/dbraw/zinc/01/07/69/418010769.db2.gz BGRYQQLAMZOMEP-MWLCHTKSSA-N 0 2 313.379 0.332 20 0 DCADLN CN(C)c1nc(N)nc(C(=O)N=c2nc(C(C)(C)C)[nH]s2)n1 ZINC000653018732 418013419 /nfs/dbraw/zinc/01/34/19/418013419.db2.gz CKMGUPGXXDSWKC-UHFFFAOYSA-N 0 2 322.398 0.173 20 0 DCADLN C[C@H]1C[NH+](CCCNC(=O)NCCCc2nc[nH]n2)C[C@H](C)O1 ZINC000663553300 418031480 /nfs/dbraw/zinc/03/14/80/418031480.db2.gz NVPGULKMMVYTOE-STQMWFEESA-N 0 2 324.429 0.536 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(C(=O)Cc1cn3ccccc3[nH+]1)C2 ZINC000663129017 417993954 /nfs/dbraw/zinc/99/39/54/417993954.db2.gz GTWXUMOODXQMOZ-BDJLRTHQSA-N 0 2 315.329 0.436 20 0 DCADLN O=C(c1cn(C2CCC2)nn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893006 418171486 /nfs/dbraw/zinc/17/14/86/418171486.db2.gz ARJCXNXIEGKSHU-VIFPVBQESA-N 0 2 317.353 0.847 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(c2cc(-n3cc[nH+]c3)ncn2)C1 ZINC000649408407 418251632 /nfs/dbraw/zinc/25/16/32/418251632.db2.gz NSLCCNVLVRTRPK-JTQLQIEISA-N 0 2 305.363 0.916 20 0 DCADLN C[C@@H](CC(=O)NCc1n[nH]c(=O)[nH]1)N1CCc2ccccc21 ZINC000654853696 418195858 /nfs/dbraw/zinc/19/58/58/418195858.db2.gz HQQIWILBNJESSZ-JTQLQIEISA-N 0 2 301.350 0.968 20 0 DCADLN C[C@@H](OCCc1ccccc1)C(=O)N=c1cc(C(N)=O)[nH][nH]1 ZINC000649178727 418206358 /nfs/dbraw/zinc/20/63/58/418206358.db2.gz CQSJPEROHLTWKS-SNVBAGLBSA-N 0 2 302.334 0.517 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C[C@H](C)NC(N)=O ZINC000655050100 418220143 /nfs/dbraw/zinc/22/01/43/418220143.db2.gz CEHDUGHNVIMWPI-QMMMGPOBSA-N 0 2 318.337 0.871 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cnc3n2CCCC3)[nH]n1 ZINC000650817976 418326649 /nfs/dbraw/zinc/32/66/49/418326649.db2.gz SWFZIKSYLIBESI-UHFFFAOYSA-N 0 2 300.322 0.043 20 0 DCADLN Cn1cc(-c2ccc(=NC(=O)N[C@@H](CO)CCF)[nH]n2)cn1 ZINC000650167620 418296216 /nfs/dbraw/zinc/29/62/16/418296216.db2.gz XZCRZTXYDNLMHS-SNVBAGLBSA-N 0 2 308.317 0.141 20 0 DCADLN Cc1nc(N2CCN(C(=O)NC[C@@H](C)C(=O)[O-])CC2)cc[nH+]1 ZINC000655888918 418296565 /nfs/dbraw/zinc/29/65/65/418296565.db2.gz MYPXQOABVHQBJF-SNVBAGLBSA-N 0 2 307.354 0.337 20 0 DCADLN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000650515702 418313645 /nfs/dbraw/zinc/31/36/45/418313645.db2.gz FMFYISFHRZXKQE-OCCSQVGLSA-N 0 2 321.377 0.385 20 0 DCADLN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000650515702 418313647 /nfs/dbraw/zinc/31/36/47/418313647.db2.gz FMFYISFHRZXKQE-OCCSQVGLSA-N 0 2 321.377 0.385 20 0 DCADLN O=C([O-])c1cc(N2CCN(C(=O)[C@H]3CCCO3)CC2)cc[nH+]1 ZINC000650764642 418323421 /nfs/dbraw/zinc/32/34/21/418323421.db2.gz HJQXUXHQHVCYFK-CYBMUJFWSA-N 0 2 305.334 0.607 20 0 DCADLN NC(=O)c1ncn([C@@H]2CCCN(c3cc[nH+]c(C(=O)[O-])c3)C2)n1 ZINC000650768439 418323951 /nfs/dbraw/zinc/32/39/51/418323951.db2.gz YEDZSTHODNJRAJ-SNVBAGLBSA-N 0 2 316.321 0.312 20 0 DCADLN Cc1noc(C)c1C[NH+]1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC000649464150 418255818 /nfs/dbraw/zinc/25/58/18/418255818.db2.gz VPCVHBDHBVLZBE-VXGBXAGGSA-N 0 2 307.350 0.656 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C[C@@H](C)c2c(C)noc2C)[nH]n1 ZINC000650818138 418325994 /nfs/dbraw/zinc/32/59/94/418325994.db2.gz YKHXXTSYEODOAD-MRVPVSSYSA-N 0 2 317.349 0.995 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CCc2ccccc2OC)[nH]n1 ZINC000650815193 418326164 /nfs/dbraw/zinc/32/61/64/418326164.db2.gz LACXPBQCSUYQKS-UHFFFAOYSA-N 0 2 314.345 0.838 20 0 DCADLN CCCOc1cccnc1C(=O)N=c1ccc(C(=O)NC)n[nH]1 ZINC000650818791 418326177 /nfs/dbraw/zinc/32/61/77/418326177.db2.gz XTFTZNDZSPBIOX-UHFFFAOYSA-N 0 2 315.333 0.694 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H]2CCCc3nn(C)cc32)[nH]n1 ZINC000650818231 418326209 /nfs/dbraw/zinc/32/62/09/418326209.db2.gz BGDMOEAXXKSNTP-SECBINFHSA-N 0 2 314.349 0.050 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H]2CCC(=O)c3cccn32)[nH]n1 ZINC000650818314 418326298 /nfs/dbraw/zinc/32/62/98/418326298.db2.gz FZTLCGUEUQRBBR-NSHDSACASA-N 0 2 313.317 0.216 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CO[C@H]2CCC[C@H](C)C2)[nH]n1 ZINC000650817040 418326391 /nfs/dbraw/zinc/32/63/91/418326391.db2.gz QRHMYOGAYPPHDO-QWRGUYRKSA-N 0 2 306.366 0.792 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)Cc2ccccc2)[nH]1 ZINC000651509343 418385726 /nfs/dbraw/zinc/38/57/26/418385726.db2.gz ARKBSGUSAILHOH-UHFFFAOYSA-N 0 2 301.350 0.878 20 0 DCADLN C[C@]1(c2ccccc2)C[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CCO1 ZINC000662206129 418388929 /nfs/dbraw/zinc/38/89/29/418388929.db2.gz ONBQECVLJLFHQT-WMLDXEAASA-N 0 2 318.373 0.920 20 0 DCADLN C[C@]1(c2ccccc2)C[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CCO1 ZINC000662206129 418388931 /nfs/dbraw/zinc/38/89/31/418388931.db2.gz ONBQECVLJLFHQT-WMLDXEAASA-N 0 2 318.373 0.920 20 0 DCADLN CC[N@H+](CC(=O)N[C@@H](C)C(=O)N1CCCCC1)[C@H](C)C(=O)[O-] ZINC000662218325 418390032 /nfs/dbraw/zinc/39/00/32/418390032.db2.gz XTONTFKLNICBGM-NWDGAFQWSA-N 0 2 313.398 0.299 20 0 DCADLN CC[N@@H+](CC(=O)N[C@@H](C)C(=O)N1CCCCC1)[C@H](C)C(=O)[O-] ZINC000662218325 418390035 /nfs/dbraw/zinc/39/00/35/418390035.db2.gz XTONTFKLNICBGM-NWDGAFQWSA-N 0 2 313.398 0.299 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H](CO)c2ccccc2)[nH]1 ZINC000651600743 418396079 /nfs/dbraw/zinc/39/60/79/418396079.db2.gz GWUNQYOXNXOUGG-GFCCVEGCSA-N 0 2 317.349 0.069 20 0 DCADLN CO[C@H]1C[C@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651613799 418397206 /nfs/dbraw/zinc/39/72/06/418397206.db2.gz RJCMAMNEOLDZLU-UWVGGRQHSA-N 0 2 309.370 0.149 20 0 DCADLN CO[C@@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651659776 418401437 /nfs/dbraw/zinc/40/14/37/418401437.db2.gz SHXWJJULQBALGP-LLVKDONJSA-N 0 2 309.370 0.247 20 0 DCADLN CC[C@@]1(CO)CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651683326 418403010 /nfs/dbraw/zinc/40/30/10/418403010.db2.gz XZSTXLUAMWRWIW-OAHLLOKOSA-N 0 2 323.397 0.231 20 0 DCADLN Cc1ncsc1/C=C/C(=O)NS(=O)(=O)c1cnn(C)c1 ZINC000189071243 261130395 /nfs/dbraw/zinc/13/03/95/261130395.db2.gz XEBBKTOCFQXEKY-ONEGZZNKSA-N 0 2 312.376 0.703 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@H](C)C(N)=O)=N2)cc1 ZINC000354348553 261207043 /nfs/dbraw/zinc/20/70/43/261207043.db2.gz NPEIVMNJBWOHEL-MRVPVSSYSA-N 0 2 304.306 0.190 20 0 DCADLN COC(=O)[C@@H](C)NC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000354431093 261218518 /nfs/dbraw/zinc/21/85/18/261218518.db2.gz XQJHKNDHZATEPQ-SECBINFHSA-N 0 2 319.317 0.878 20 0 DCADLN O=C(N[C@@H]1CC[S@@](=O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354512183 261230200 /nfs/dbraw/zinc/23/02/00/261230200.db2.gz MEVRBFXREINROV-ZQJOYCHOSA-N 0 2 323.349 0.968 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCC[C@]12CCOC2 ZINC000357353501 261530824 /nfs/dbraw/zinc/53/08/24/261530824.db2.gz GSXBPMSPTZGPDM-OAHLLOKOSA-N 0 2 316.317 0.831 20 0 DCADLN CCc1ccccc1[C@H]([NH3+])CNC(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000417550479 262255423 /nfs/dbraw/zinc/25/54/23/262255423.db2.gz LKTSRARJDKABSR-GOEBONIOSA-N 0 2 320.437 0.879 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000398763591 271218585 /nfs/dbraw/zinc/21/85/85/271218585.db2.gz DBEZNRYPGKNJFG-YIZRAAEISA-N 0 2 302.318 0.476 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)c1nc[nH]n1 ZINC000479505528 272035677 /nfs/dbraw/zinc/03/56/77/272035677.db2.gz NOPSZWKFSHEPPG-RXMQYKEDSA-N 0 2 301.266 0.045 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)CCCCOC ZINC000492567058 272135182 /nfs/dbraw/zinc/13/51/82/272135182.db2.gz OVGHTNLBUOTEPG-SREVYHEPSA-N 0 2 315.395 0.789 20 0 DCADLN O=C(/C=C\C1CC1)NS(=O)(=O)c1cnn(CC(F)F)c1 ZINC000492662197 272140919 /nfs/dbraw/zinc/14/09/19/272140919.db2.gz JXWZHQSZMWJMMZ-ARJAWSKDSA-N 0 2 305.306 0.919 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C\c1ccc[nH]1 ZINC000493459287 272190309 /nfs/dbraw/zinc/19/03/09/272190309.db2.gz VPYYQEUMTOMLIQ-SREVYHEPSA-N 0 2 308.363 0.883 20 0 DCADLN O=C(C=Cc1cnccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493566437 272196710 /nfs/dbraw/zinc/19/67/10/272196710.db2.gz YSMKXIBDJXQBIU-UMBAGQNISA-N 0 2 300.322 0.720 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cccc(N2CCOC2=O)c1 ZINC000495694496 272267219 /nfs/dbraw/zinc/26/72/19/272267219.db2.gz CIMSEQOBBJZLCI-UHFFFAOYSA-N 0 2 304.262 0.824 20 0 DCADLN CCOC(=O)N1CCC(NC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])CC1 ZINC000496728881 272338479 /nfs/dbraw/zinc/33/84/79/272338479.db2.gz YKXFHTQUYUAAEL-JTQLQIEISA-N 0 2 315.370 0.128 20 0 DCADLN CCOC(=O)N1CCC(NC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])CC1 ZINC000496728881 272338480 /nfs/dbraw/zinc/33/84/80/272338480.db2.gz YKXFHTQUYUAAEL-JTQLQIEISA-N 0 2 315.370 0.128 20 0 DCADLN CC(C)(CNC(=O)N[C@@H](CCF)C(=O)[O-])[NH+]1CCOCC1 ZINC001650022669 1173384455 /nfs/dbraw/zinc/38/44/55/1173384455.db2.gz JDLZNWITMRAPCM-JTQLQIEISA-N 0 2 305.350 0.209 20 0 DCADLN Cc1cc(C(=O)NCC(F)(F)CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000546658503 288024240 /nfs/dbraw/zinc/02/42/40/288024240.db2.gz RZCDFAGBYVVVJJ-UHFFFAOYSA-N 0 2 314.248 0.102 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC2(CO)CCOCC2)cn1 ZINC000548049783 288106023 /nfs/dbraw/zinc/10/60/23/288106023.db2.gz CGJKQAHAFSWNRE-UHFFFAOYSA-N 0 2 319.365 0.329 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCc2ccn(C)n2)cn1 ZINC000548308711 288130595 /nfs/dbraw/zinc/13/05/95/288130595.db2.gz FOTDEGCHMRKFQO-UHFFFAOYSA-N 0 2 313.365 0.999 20 0 DCADLN COC(=O)[C@@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)CC1CC1 ZINC000563545108 288684866 /nfs/dbraw/zinc/68/48/66/288684866.db2.gz SSRTZSUZWHCAOC-MRVPVSSYSA-N 0 2 314.367 0.308 20 0 DCADLN CCC(=O)N1CC[C@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000565660557 288869912 /nfs/dbraw/zinc/86/99/12/288869912.db2.gz LHPAKWUJNPUESV-QWRGUYRKSA-N 0 2 321.381 0.475 20 0 DCADLN CCS(=O)(=O)N1CC[NH+](Cc2cccc(C(=O)[O-])c2)CC1 ZINC000582671497 337119130 /nfs/dbraw/zinc/11/91/30/337119130.db2.gz PRDBMMKMBSNKAJ-UHFFFAOYSA-N 0 2 312.391 0.852 20 0 DCADLN CC1(C)C[C@@H](O)CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000395429179 337215921 /nfs/dbraw/zinc/21/59/21/337215921.db2.gz DYWYFIJMGMAACF-QMMMGPOBSA-N 0 2 304.334 0.866 20 0 DCADLN CC(C)(CO)CCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000130400173 519276142 /nfs/dbraw/zinc/27/61/42/519276142.db2.gz NYFIYWAYDCUBGW-UHFFFAOYSA-N 0 2 320.349 0.140 20 0 DCADLN CC(C)S(=O)(=O)CCNC(=O)N1CCO[C@@H]2CCC[C@@H]21 ZINC000365798713 519786933 /nfs/dbraw/zinc/78/69/33/519786933.db2.gz KJPDFZYLMCQXST-NWDGAFQWSA-N 0 2 304.412 0.773 20 0 DCADLN CCc1ncsc1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000161984514 522638060 /nfs/dbraw/zinc/63/80/60/522638060.db2.gz OTDLTJZKLRVUQU-UHFFFAOYSA-N 0 2 314.392 0.944 20 0 DCADLN CC[C@H]1CCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000156485060 523168707 /nfs/dbraw/zinc/16/87/07/523168707.db2.gz QHGJOHGSUVHJRN-QMMMGPOBSA-N 0 2 324.362 0.032 20 0 DCADLN COC(=O)C[C@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266138174 523327144 /nfs/dbraw/zinc/32/71/44/523327144.db2.gz AKGICVXESITGHO-ZETCQYMHSA-N 0 2 320.305 0.426 20 0 DCADLN Cc1cnccc1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000187466512 534708906 /nfs/dbraw/zinc/70/89/06/534708906.db2.gz FOOGKNBAAMNPRS-UHFFFAOYSA-N 0 2 311.301 0.245 20 0 DCADLN COC(=O)[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000267760028 523923517 /nfs/dbraw/zinc/92/35/17/523923517.db2.gz RFRFNEVHXLHMOQ-SECBINFHSA-N 0 2 318.289 0.117 20 0 DCADLN Cc1cccc2c(=O)c(C(=O)N3CCC[C@](O)(CO)C3)c[nH]c12 ZINC000332498530 534776439 /nfs/dbraw/zinc/77/64/39/534776439.db2.gz CMXJNNPFTRIHIS-QGZVFWFLSA-N 0 2 316.357 0.796 20 0 DCADLN CC(C)(C)OC(=O)N1CC(C)(C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000496574724 534778201 /nfs/dbraw/zinc/77/82/01/534778201.db2.gz YKBVUYURTDGZDC-UHFFFAOYSA-N 0 2 312.326 0.811 20 0 DCADLN Cc1cc(CC(=O)Nc2nnc(NS(C)(=O)=O)s2)[nH]n1 ZINC000267987537 524632353 /nfs/dbraw/zinc/63/23/53/524632353.db2.gz ZEVJKXIGOXPKOK-UHFFFAOYSA-N 0 2 316.368 0.122 20 0 DCADLN CC[C@](C)(NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(=O)OC ZINC000299706213 529369166 /nfs/dbraw/zinc/36/91/66/529369166.db2.gz SHWGZKNIJRCBQF-AWEZNQCLSA-N 0 2 320.305 0.508 20 0 DCADLN CC1(C)CN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H](CO)O1 ZINC000163807080 535357359 /nfs/dbraw/zinc/35/73/59/535357359.db2.gz MTXXJPMBNYETJJ-VIFPVBQESA-N 0 2 309.391 0.956 20 0 DCADLN C[NH+]1CCN(C(C)(C)C[NH2+][C@@H]2CCCS(=O)(=O)C2)CC1 ZINC000069135600 545661730 /nfs/dbraw/zinc/66/17/30/545661730.db2.gz CENOHSUJDISGHM-CYBMUJFWSA-N 0 2 303.472 0.179 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1C[C@@H]2CCCC[C@H]2C1 ZINC000178363316 545895875 /nfs/dbraw/zinc/89/58/75/545895875.db2.gz OBUZFWGPHGZDKC-UWVGGRQHSA-N 0 2 314.345 0.874 20 0 DCADLN CCc1cc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n2ncnc2n1 ZINC000339970105 546109465 /nfs/dbraw/zinc/10/94/65/546109465.db2.gz YXMVLOKUBBMLSG-SECBINFHSA-N 0 2 314.353 0.894 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N1CCc2ccccc2C1 ZINC000387160583 546217221 /nfs/dbraw/zinc/21/72/21/546217221.db2.gz RCZZYFVNZPGTAF-UHFFFAOYSA-N 0 2 306.362 0.604 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N1CCc2ccccc2C1 ZINC000387160583 546217224 /nfs/dbraw/zinc/21/72/24/546217224.db2.gz RCZZYFVNZPGTAF-UHFFFAOYSA-N 0 2 306.362 0.604 20 0 DCADLN CC(C)(C)n1nnc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)n1 ZINC000667023729 546547751 /nfs/dbraw/zinc/54/77/51/546547751.db2.gz ZXQBNUKYXLKIPR-UHFFFAOYSA-N 0 2 313.325 0.861 20 0 DCADLN O=C([O-])[C@@H](NC(=O)Cc1cn2ccccc2[nH+]1)C1CCOCC1 ZINC000668311549 546726538 /nfs/dbraw/zinc/72/65/38/546726538.db2.gz XWZQWICKRMFBRM-HNNXBMFYSA-N 0 2 317.345 0.873 20 0 DCADLN C[C@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@H](C)[NH+]1CCOCC1 ZINC000668318689 546727912 /nfs/dbraw/zinc/72/79/12/546727912.db2.gz SRNHUTKQQVQYRL-UWVGGRQHSA-N 0 2 320.349 0.767 20 0 DCADLN C[C@@H](NC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+])c1ccc2c(c1)OCO2 ZINC000668975248 546894483 /nfs/dbraw/zinc/89/44/83/546894483.db2.gz FNNNQINJZXNMII-YPMHNXCESA-N 0 2 320.393 0.761 20 0 DCADLN CN(C(=O)c1cccc(-c2nc(=O)o[nH]2)c1)[C@H]1CCN(C)C1=O ZINC000668985243 546895959 /nfs/dbraw/zinc/89/59/59/546895959.db2.gz KSCHNZFKWZXUMR-NSHDSACASA-N 0 2 316.317 0.333 20 0 DCADLN CN(C(=O)c1cccc(-c2nc(=O)o[nH]2)c1)[C@@H]1CCN(C)C1=O ZINC000668985242 546896027 /nfs/dbraw/zinc/89/60/27/546896027.db2.gz KSCHNZFKWZXUMR-LLVKDONJSA-N 0 2 316.317 0.333 20 0 DCADLN CN1CC[C@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC1=O ZINC000670237774 547065901 /nfs/dbraw/zinc/06/59/01/547065901.db2.gz BLDFWOWLYAOLMO-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN CCN1CC[C@@H](NC(=O)c2c[nH]c3nc(C)ccc3c2=O)C1=O ZINC000670289497 547069554 /nfs/dbraw/zinc/06/95/54/547069554.db2.gz PRPVMKDEHCCEJM-GFCCVEGCSA-N 0 2 314.345 0.994 20 0 DCADLN CC[C@H]1CN(C(=O)c2n[nH]c(C)c2O)C[C@H](C)S1(=O)=O ZINC000672514978 547368233 /nfs/dbraw/zinc/36/82/33/547368233.db2.gz TVVCNRDDAIXJEF-CBAPKCEASA-N 0 2 301.368 0.461 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)c1cn(Cc2ccccc2)nn1 ZINC000673479587 547484216 /nfs/dbraw/zinc/48/42/16/547484216.db2.gz LOEJLMYVLIIXSA-UHFFFAOYSA-N 0 2 314.305 0.687 20 0 DCADLN CNC(=O)c1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC000675566597 547693212 /nfs/dbraw/zinc/69/32/12/547693212.db2.gz KEDZFVVXCUDTQN-UHFFFAOYSA-N 0 2 304.310 0.500 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NCc1ccc2c(c1)OCO2 ZINC000676230236 547746720 /nfs/dbraw/zinc/74/67/20/547746720.db2.gz YWHBUAPAQGXHFM-UHFFFAOYSA-N 0 2 324.333 0.065 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NCc1ccc2c(c1)OCO2 ZINC000676230236 547746722 /nfs/dbraw/zinc/74/67/22/547746722.db2.gz YWHBUAPAQGXHFM-UHFFFAOYSA-N 0 2 324.333 0.065 20 0 DCADLN Cc1[nH]nc(C(=O)Nc2ccc(CS(N)(=O)=O)cc2)c1O ZINC000676706794 547806134 /nfs/dbraw/zinc/80/61/34/547806134.db2.gz VLGFIIQKKSAONQ-UHFFFAOYSA-N 0 2 310.335 0.465 20 0 DCADLN NC(=O)c1cc(NC(=O)C2=NN(c3ccccc3)CC2=O)n[nH]1 ZINC000677490544 547882359 /nfs/dbraw/zinc/88/23/59/547882359.db2.gz ARUXKBZISMEVKL-UHFFFAOYSA-N 0 2 312.289 0.652 20 0 DCADLN O=C(NCc1ccc(N2CCCC2)[nH+]c1)c1n[nH]c(=O)[n-]c1=O ZINC000677949053 547927349 /nfs/dbraw/zinc/92/73/49/547927349.db2.gz KMQUXSRTKWAZSO-UHFFFAOYSA-N 0 2 316.321 0.208 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCNc2ncccn2)cn1 ZINC000681967658 548332586 /nfs/dbraw/zinc/33/25/86/548332586.db2.gz KRWGTRHULOSJSR-UHFFFAOYSA-N 0 2 312.337 0.442 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)c(F)c1 ZINC000682899681 548436644 /nfs/dbraw/zinc/43/66/44/548436644.db2.gz PDOVJBSZHJDCEC-UHFFFAOYSA-N 0 2 314.298 0.457 20 0 DCADLN CC[N@@H+]1CCO[C@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC000684735108 548643979 /nfs/dbraw/zinc/64/39/79/548643979.db2.gz KDUATMMBGSQGNC-CYBMUJFWSA-N 0 2 315.377 0.922 20 0 DCADLN CC[N@H+]1CCO[C@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC000684735108 548643984 /nfs/dbraw/zinc/64/39/84/548643984.db2.gz KDUATMMBGSQGNC-CYBMUJFWSA-N 0 2 315.377 0.922 20 0 DCADLN CC[C@H](C)C[C@@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820870283 599067564 /nfs/dbraw/zinc/06/75/64/599067564.db2.gz QDAFHBATDMGJQM-DTWKUNHWSA-N 0 2 321.385 0.501 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000818120766 597065217 /nfs/dbraw/zinc/06/52/17/597065217.db2.gz PLPNLQBPJXXJLJ-STQMWFEESA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000818120766 597065221 /nfs/dbraw/zinc/06/52/21/597065221.db2.gz PLPNLQBPJXXJLJ-STQMWFEESA-N 0 2 313.398 0.603 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)NCCC(=O)[O-])C2)o1 ZINC000818970902 597265290 /nfs/dbraw/zinc/26/52/90/597265290.db2.gz SZLVXWFLFLBNCN-LLVKDONJSA-N 0 2 310.354 0.673 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)NCCC(=O)[O-])C2)o1 ZINC000818970902 597265292 /nfs/dbraw/zinc/26/52/92/597265292.db2.gz SZLVXWFLFLBNCN-LLVKDONJSA-N 0 2 310.354 0.673 20 0 DCADLN CC(=O)NCCN(C(=O)c1cccc2[nH+]ccn21)[C@H](C)C(=O)[O-] ZINC000819758346 597689070 /nfs/dbraw/zinc/68/90/70/597689070.db2.gz YEOQEBRXDFBSDE-SNVBAGLBSA-N 0 2 318.333 0.386 20 0 DCADLN O=C([O-])CNC(=O)Cn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000821533703 597763071 /nfs/dbraw/zinc/76/30/71/597763071.db2.gz ZZXLOKQRGKJJOX-UHFFFAOYSA-N 0 2 302.212 0.651 20 0 DCADLN COc1ccc(NC(C)=O)cc1NC(=O)[C@H](C)[N@H+](C)CC(=O)[O-] ZINC000820845775 598042274 /nfs/dbraw/zinc/04/22/74/598042274.db2.gz BYWHFTSNZQRSQS-VIFPVBQESA-N 0 2 323.349 0.997 20 0 DCADLN COc1ccc(NC(C)=O)cc1NC(=O)[C@H](C)[N@@H+](C)CC(=O)[O-] ZINC000820845775 598042276 /nfs/dbraw/zinc/04/22/76/598042276.db2.gz BYWHFTSNZQRSQS-VIFPVBQESA-N 0 2 323.349 0.997 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2ccccn2)CC1)[N@H+](C)CC(=O)[O-] ZINC000819883396 598044194 /nfs/dbraw/zinc/04/41/94/598044194.db2.gz UYIKADNFHFVFQB-LBPRGKRZSA-N 0 2 306.366 0.135 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2ccccn2)CC1)[N@@H+](C)CC(=O)[O-] ZINC000819883396 598044198 /nfs/dbraw/zinc/04/41/98/598044198.db2.gz UYIKADNFHFVFQB-LBPRGKRZSA-N 0 2 306.366 0.135 20 0 DCADLN Cc1ccc(NC(=O)C(=O)NCCCCC(=O)[O-])c(N(C)C)[nH+]1 ZINC000821088021 598172055 /nfs/dbraw/zinc/17/20/55/598172055.db2.gz MYVCTWPPKQAQQT-UHFFFAOYSA-N 0 2 322.365 0.766 20 0 DCADLN Cc1cc(NC(=O)C(=O)NCCn2cc[nH+]c2)ccc1C(=O)[O-] ZINC000738416714 600042637 /nfs/dbraw/zinc/04/26/37/600042637.db2.gz SMBBHKUTIWQCAM-UHFFFAOYSA-N 0 2 316.317 0.645 20 0 DCADLN CC(C)(C)OC(=O)N[C@@H]1CC[N@H+](CC(=O)NCCC(=O)[O-])C1 ZINC000736281019 600092465 /nfs/dbraw/zinc/09/24/65/600092465.db2.gz JYDGOXKFZFYMNI-SNVBAGLBSA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCCC(=O)[O-])C1 ZINC000736281019 600092467 /nfs/dbraw/zinc/09/24/67/600092467.db2.gz JYDGOXKFZFYMNI-SNVBAGLBSA-N 0 2 315.370 0.176 20 0 DCADLN C[NH+](C)[C@@H](C(=O)Nc1n[nH]c(CC(=O)[O-])n1)c1ccccc1 ZINC000737360180 600182640 /nfs/dbraw/zinc/18/26/40/600182640.db2.gz FXYUTOIKJBQRPS-GFCCVEGCSA-N 0 2 303.322 0.673 20 0 DCADLN Cc1nc([C@H]2CCCC[N@H+]2CCC(=O)N(C)CC(=O)[O-])no1 ZINC000738850699 600291962 /nfs/dbraw/zinc/29/19/62/600291962.db2.gz UOGPUDZCKFVHFY-LLVKDONJSA-N 0 2 310.354 0.838 20 0 DCADLN Cc1nc([C@H]2CCCC[N@@H+]2CCC(=O)N(C)CC(=O)[O-])no1 ZINC000738850699 600291964 /nfs/dbraw/zinc/29/19/64/600291964.db2.gz UOGPUDZCKFVHFY-LLVKDONJSA-N 0 2 310.354 0.838 20 0 DCADLN Cc1csc([C@H]2C[N@H+](CCC(=O)NCC(=O)[O-])CCO2)n1 ZINC000738815882 600296062 /nfs/dbraw/zinc/29/60/62/600296062.db2.gz BEKSQRYLXJPLQL-SNVBAGLBSA-N 0 2 313.379 0.416 20 0 DCADLN Cc1csc([C@H]2C[N@@H+](CCC(=O)NCC(=O)[O-])CCO2)n1 ZINC000738815882 600296065 /nfs/dbraw/zinc/29/60/65/600296065.db2.gz BEKSQRYLXJPLQL-SNVBAGLBSA-N 0 2 313.379 0.416 20 0 DCADLN CNC(=O)C[NH2+][C@H](C(=O)[O-])c1ccc(Br)cc1 ZINC000737540920 600417272 /nfs/dbraw/zinc/41/72/72/600417272.db2.gz QYRICLRXKUGAQC-JTQLQIEISA-N 0 2 301.140 0.910 20 0 DCADLN CC(C)c1[nH+]c2c(n1C)CCN(Cn1cc(C(=O)[O-])nn1)C2 ZINC000736524647 600426574 /nfs/dbraw/zinc/42/65/74/600426574.db2.gz NADXEGAEAPLEJR-UHFFFAOYSA-N 0 2 304.354 0.849 20 0 DCADLN CC[C@@H]1C(=O)NCC[N@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000736942315 600436574 /nfs/dbraw/zinc/43/65/74/600436574.db2.gz VOKKYELMPMMKMG-GXTWGEPZSA-N 0 2 322.361 0.335 20 0 DCADLN CC[C@@H]1C(=O)NCC[N@@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000736942315 600436575 /nfs/dbraw/zinc/43/65/75/600436575.db2.gz VOKKYELMPMMKMG-GXTWGEPZSA-N 0 2 322.361 0.335 20 0 DCADLN C[C@H](CSCC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000736616043 600599588 /nfs/dbraw/zinc/59/95/88/600599588.db2.gz IRHYZCUDDYUIEZ-LLVKDONJSA-N 0 2 318.439 0.667 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1Cc1ccc(-n2cccn2)cc1 ZINC000321896929 600602141 /nfs/dbraw/zinc/60/21/41/600602141.db2.gz SWNADYFQGPZGCY-CQSZACIVSA-N 0 2 314.345 0.647 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1Cc1ccc(-n2cccn2)cc1 ZINC000321896929 600602142 /nfs/dbraw/zinc/60/21/42/600602142.db2.gz SWNADYFQGPZGCY-CQSZACIVSA-N 0 2 314.345 0.647 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC000738912097 600618676 /nfs/dbraw/zinc/61/86/76/600618676.db2.gz SUXRBRPOSKQUBZ-STQMWFEESA-N 0 2 320.393 0.402 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)COC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000828714361 600681205 /nfs/dbraw/zinc/68/12/05/600681205.db2.gz ACVDQHLHALKQRF-LOWVWBTDSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)COC(=O)[C@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000828714361 600681206 /nfs/dbraw/zinc/68/12/06/600681206.db2.gz ACVDQHLHALKQRF-LOWVWBTDSA-N 0 2 314.382 0.629 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000736581523 600748922 /nfs/dbraw/zinc/74/89/22/600748922.db2.gz IHHCISSCVVZMFU-OLZOCXBDSA-N 0 2 312.414 0.129 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2sccc2C(=O)[O-])CC1 ZINC000829771264 600872747 /nfs/dbraw/zinc/87/27/47/600872747.db2.gz UIDLRJCGGVDKFR-UHFFFAOYSA-N 0 2 311.363 0.549 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2C[C@@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000830960593 600881532 /nfs/dbraw/zinc/88/15/32/600881532.db2.gz SWIZYEOLYGGMQO-STQMWFEESA-N 0 2 306.318 0.196 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2C[C@@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000830960593 600881534 /nfs/dbraw/zinc/88/15/34/600881534.db2.gz SWIZYEOLYGGMQO-STQMWFEESA-N 0 2 306.318 0.196 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])nc[nH+]1 ZINC000831042519 600932220 /nfs/dbraw/zinc/93/22/20/600932220.db2.gz WBDGSNBRFWVOAL-VWYCJHECSA-N 0 2 319.365 0.324 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])[nH+]cn1 ZINC000831042519 600932222 /nfs/dbraw/zinc/93/22/22/600932222.db2.gz WBDGSNBRFWVOAL-VWYCJHECSA-N 0 2 319.365 0.324 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000736206299 600955944 /nfs/dbraw/zinc/95/59/44/600955944.db2.gz DEUBVRVAKZXUMH-QWHCGFSZSA-N 0 2 320.393 0.350 20 0 DCADLN Cc1nc(C)n([C@@H]2CCC[N@H+](Cn3cc(C(=O)[O-])nn3)C2)n1 ZINC000832995780 600984792 /nfs/dbraw/zinc/98/47/92/600984792.db2.gz KAMRJBJZCUNZMQ-LLVKDONJSA-N 0 2 305.342 0.479 20 0 DCADLN Cc1nc(C)n([C@@H]2CCC[N@@H+](Cn3cc(C(=O)[O-])nn3)C2)n1 ZINC000832995780 600984795 /nfs/dbraw/zinc/98/47/95/600984795.db2.gz KAMRJBJZCUNZMQ-LLVKDONJSA-N 0 2 305.342 0.479 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(C(=O)[O-])cn2)C[C@@H]1[NH+]1CCOCC1 ZINC000736805162 601109295 /nfs/dbraw/zinc/10/92/95/601109295.db2.gz APBKJTQKHRVHHK-RISCZKNCSA-N 0 2 319.361 0.573 20 0 DCADLN COC(=O)C1CCN(C(=O)Cn2cc(C(=O)[O-])[nH+]c2C)CC1 ZINC000831114946 601402155 /nfs/dbraw/zinc/40/21/55/601402155.db2.gz SYKKKEYLGGQBQH-UHFFFAOYSA-N 0 2 309.322 0.301 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+]([C@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000833356977 601442289 /nfs/dbraw/zinc/44/22/89/601442289.db2.gz XYUCEKCYSLGRIT-SMDDNHRTSA-N 0 2 300.330 0.265 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+]([C@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000833356977 601442290 /nfs/dbraw/zinc/44/22/90/601442290.db2.gz XYUCEKCYSLGRIT-SMDDNHRTSA-N 0 2 300.330 0.265 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@@H+]3CC[C@](F)(C(=O)[O-])C3)C2=O)n1 ZINC000833054931 601446890 /nfs/dbraw/zinc/44/68/90/601446890.db2.gz CWPUQRIGNSEOFQ-IINYFYTJSA-N 0 2 310.329 0.414 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@H+]3CC[C@](F)(C(=O)[O-])C3)C2=O)n1 ZINC000833054931 601446893 /nfs/dbraw/zinc/44/68/93/601446893.db2.gz CWPUQRIGNSEOFQ-IINYFYTJSA-N 0 2 310.329 0.414 20 0 DCADLN O=C([O-])Cc1ccccc1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC000819592100 601466306 /nfs/dbraw/zinc/46/63/06/601466306.db2.gz DMDXXEQUDGQGRH-UHFFFAOYSA-N 0 2 316.317 0.265 20 0 DCADLN COCc1ccc(CNC(=O)C[N@@H+]2CC[C@](F)(C(=O)[O-])C2)cc1 ZINC000831813193 601553313 /nfs/dbraw/zinc/55/33/13/601553313.db2.gz OZLODNGLSBCSCN-MRXNPFEDSA-N 0 2 324.352 0.948 20 0 DCADLN COCc1ccc(CNC(=O)C[N@H+]2CC[C@](F)(C(=O)[O-])C2)cc1 ZINC000831813193 601553314 /nfs/dbraw/zinc/55/33/14/601553314.db2.gz OZLODNGLSBCSCN-MRXNPFEDSA-N 0 2 324.352 0.948 20 0 DCADLN C[C@@H](NC(=O)N1C[C@H](C(=O)[O-])[C@H](C)C1)[C@H](C)[NH+]1CCOCC1 ZINC000828347192 601663718 /nfs/dbraw/zinc/66/37/18/601663718.db2.gz DEAWJOQAGVJHQG-NDBYEHHHSA-N 0 2 313.398 0.458 20 0 DCADLN C[C@H](CS(=O)(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)C(=O)[O-] ZINC000827543154 601801264 /nfs/dbraw/zinc/80/12/64/601801264.db2.gz ZAVVDFKLWSFUOC-NXEZZACHSA-N 0 2 301.368 0.640 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000736418005 601855815 /nfs/dbraw/zinc/85/58/15/601855815.db2.gz ZTGUATFLBCUVLN-GFCCVEGCSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000736418005 601855817 /nfs/dbraw/zinc/85/58/17/601855817.db2.gz ZTGUATFLBCUVLN-GFCCVEGCSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)(CNC(=O)N1CC[C@](C)(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000827996836 602055605 /nfs/dbraw/zinc/05/56/05/602055605.db2.gz TUZYMLNRENDXJA-HNNXBMFYSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])c1ccc(F)c(NC(=O)N2CC[NH+](CCO)CC2)c1 ZINC000315350712 602092801 /nfs/dbraw/zinc/09/28/01/602092801.db2.gz YXDPKJXDDWLTHH-UHFFFAOYSA-N 0 2 311.313 0.666 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000736418959 602735119 /nfs/dbraw/zinc/73/51/19/602735119.db2.gz CZLOASNRJNOOMC-CHWSQXEVSA-N 0 2 314.430 0.421 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000737442646 603306943 /nfs/dbraw/zinc/30/69/43/603306943.db2.gz ZOMPFUKLVYPNDS-GFCCVEGCSA-N 0 2 314.386 0.102 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(C3CC3)on2)[C@H](CNC(=O)[O-])C1 ZINC000828505785 603508372 /nfs/dbraw/zinc/50/83/72/603508372.db2.gz BBDYNPNNPXRLJT-SNVBAGLBSA-N 0 2 308.338 0.576 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(C3CC3)on2)[C@H](CNC(=O)[O-])C1 ZINC000828505785 603508373 /nfs/dbraw/zinc/50/83/73/603508373.db2.gz BBDYNPNNPXRLJT-SNVBAGLBSA-N 0 2 308.338 0.576 20 0 DCADLN CCOC(=O)[C@@H](CC)[N@H+](C)CCN1CCN(C(=O)[O-])CC1 ZINC000827282576 603563539 /nfs/dbraw/zinc/56/35/39/603563539.db2.gz GZSHNRHAPNJZNI-GFCCVEGCSA-N 0 2 301.387 0.556 20 0 DCADLN CCOC(=O)[C@@H](CC)[N@@H+](C)CCN1CCN(C(=O)[O-])CC1 ZINC000827282576 603563543 /nfs/dbraw/zinc/56/35/43/603563543.db2.gz GZSHNRHAPNJZNI-GFCCVEGCSA-N 0 2 301.387 0.556 20 0 DCADLN CCOC(=O)[C@@H](CC)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000827282576 603563546 /nfs/dbraw/zinc/56/35/46/603563546.db2.gz GZSHNRHAPNJZNI-GFCCVEGCSA-N 0 2 301.387 0.556 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)c1ccccc1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828101899 603571592 /nfs/dbraw/zinc/57/15/92/603571592.db2.gz CCQQQAMGTNMXLH-CYBMUJFWSA-N 0 2 319.361 0.670 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)c1ccccc1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828101899 603571594 /nfs/dbraw/zinc/57/15/94/603571594.db2.gz CCQQQAMGTNMXLH-CYBMUJFWSA-N 0 2 319.361 0.670 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CC[C@H](N(C)C(=O)[O-])C2)CCO1 ZINC000826058419 603641367 /nfs/dbraw/zinc/64/13/67/603641367.db2.gz NVKCVIOHVVJDIF-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CC[C@H](N(C)C(=O)[O-])C2)CCO1 ZINC000826058419 603641372 /nfs/dbraw/zinc/64/13/72/603641372.db2.gz NVKCVIOHVVJDIF-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000831273423 603712754 /nfs/dbraw/zinc/71/27/54/603712754.db2.gz QLUMDZYQFOAXIS-WDEREUQCSA-N 0 2 308.338 0.229 20 0 DCADLN C[C@H]1CCCCN1C(=O)C[NH+]1CCN(C2CN(C(=O)[O-])C2)CC1 ZINC000825902442 603918888 /nfs/dbraw/zinc/91/88/88/603918888.db2.gz XSBUSZHZLBWDBH-ZDUSSCGKSA-N 0 2 324.425 0.367 20 0 DCADLN COC(=O)c1cn(C[N@@H+]2CCC[C@H]([C@@H](C)NC(=O)[O-])C2)nn1 ZINC000828805297 604019796 /nfs/dbraw/zinc/01/97/96/604019796.db2.gz WADDTRYGVSZDPG-ZJUUUORDSA-N 0 2 311.342 0.390 20 0 DCADLN COC(=O)c1cn(C[N@H+]2CCC[C@H]([C@@H](C)NC(=O)[O-])C2)nn1 ZINC000828805297 604019801 /nfs/dbraw/zinc/01/98/01/604019801.db2.gz WADDTRYGVSZDPG-ZJUUUORDSA-N 0 2 311.342 0.390 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@@H+]3CCC[C@@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831018435 604034589 /nfs/dbraw/zinc/03/45/89/604034589.db2.gz HJPOLOURCHLWHG-NEPJUHHUSA-N 0 2 321.381 0.648 20 0 DCADLN Cn1ccc(N2CCC[C@H]([N@H+]3CCC[C@@H](NC(=O)[O-])C3)C2=O)n1 ZINC000831018435 604034594 /nfs/dbraw/zinc/03/45/94/604034594.db2.gz HJPOLOURCHLWHG-NEPJUHHUSA-N 0 2 321.381 0.648 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CCC[N@H+](Cc2nc(N)nc(N(C)C)n2)C1 ZINC000825273982 604250326 /nfs/dbraw/zinc/25/03/26/604250326.db2.gz RNWQELMZIONRNM-UWVGGRQHSA-N 0 2 323.401 0.388 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CCC[N@@H+](Cc2nc(N)nc(N(C)C)n2)C1 ZINC000825273982 604250328 /nfs/dbraw/zinc/25/03/28/604250328.db2.gz RNWQELMZIONRNM-UWVGGRQHSA-N 0 2 323.401 0.388 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(Cl)nc2)[C@@H](CNC(=O)[O-])C1 ZINC000828514180 604394571 /nfs/dbraw/zinc/39/45/71/604394571.db2.gz VHFRZOFRPHWHOP-JTQLQIEISA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(Cl)nc2)[C@@H](CNC(=O)[O-])C1 ZINC000828514180 604394573 /nfs/dbraw/zinc/39/45/73/604394573.db2.gz VHFRZOFRPHWHOP-JTQLQIEISA-N 0 2 312.757 0.759 20 0 DCADLN C[N@@H+]1CCN(C(=O)COc2ccc(O)cc2)[C@@H](CNC(=O)[O-])C1 ZINC000828500518 604400024 /nfs/dbraw/zinc/40/00/24/604400024.db2.gz LMZLBWFWEPTPRS-NSHDSACASA-N 0 2 323.349 0.181 20 0 DCADLN C[N@H+]1CCN(C(=O)COc2ccc(O)cc2)[C@@H](CNC(=O)[O-])C1 ZINC000828500518 604400025 /nfs/dbraw/zinc/40/00/25/604400025.db2.gz LMZLBWFWEPTPRS-NSHDSACASA-N 0 2 323.349 0.181 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2CC2(Cl)Cl)[C@@H](CNC(=O)[O-])C1 ZINC000828494557 604406074 /nfs/dbraw/zinc/40/60/74/604406074.db2.gz KWITVYFWKWAJRF-JGVFFNPUSA-N 0 2 310.181 0.590 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2CC2(Cl)Cl)[C@@H](CNC(=O)[O-])C1 ZINC000828494557 604406076 /nfs/dbraw/zinc/40/60/76/604406076.db2.gz KWITVYFWKWAJRF-JGVFFNPUSA-N 0 2 310.181 0.590 20 0 DCADLN Cc1cc(=O)[nH]cc1C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000829892975 604412267 /nfs/dbraw/zinc/41/22/67/604412267.db2.gz BROWRPKESFYRPK-JTQLQIEISA-N 0 2 308.338 0.119 20 0 DCADLN Cc1cc(=O)[nH]cc1C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000829892975 604412268 /nfs/dbraw/zinc/41/22/68/604412268.db2.gz BROWRPKESFYRPK-JTQLQIEISA-N 0 2 308.338 0.119 20 0 DCADLN CC(C)(C)c1cc(NC(=O)C[NH2+][C@]2(C(=O)[O-])CCOC2)on1 ZINC000833449848 604484882 /nfs/dbraw/zinc/48/48/82/604484882.db2.gz CHCAZLPNQIJTID-CQSZACIVSA-N 0 2 311.338 0.744 20 0 DCADLN C[C@@H](NC(=O)N1CC[C@@H](NC(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC000825149047 604600922 /nfs/dbraw/zinc/60/09/22/604600922.db2.gz CULYEBURTIYKHH-GRYCIOLGSA-N 0 2 314.386 0.147 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@H+](C[C@@H](O)COc2ccccc2)CCO1 ZINC000825350167 604615740 /nfs/dbraw/zinc/61/57/40/604615740.db2.gz HFHQJZZIIICMPG-GZBFAFLISA-N 0 2 324.377 0.783 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@@H+](C[C@@H](O)COc2ccccc2)CCO1 ZINC000825350167 604615741 /nfs/dbraw/zinc/61/57/41/604615741.db2.gz HFHQJZZIIICMPG-GZBFAFLISA-N 0 2 324.377 0.783 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])N1CCCC1=O ZINC000833464591 604896273 /nfs/dbraw/zinc/89/62/73/604896273.db2.gz ABYGJZNNXQKKEQ-AAEUAGOBSA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])N1CCCC1=O ZINC000833464591 604896274 /nfs/dbraw/zinc/89/62/74/604896274.db2.gz ABYGJZNNXQKKEQ-AAEUAGOBSA-N 0 2 322.365 0.169 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1CN(C(=O)[O-])CCO1)Cn1cc[nH+]c1 ZINC000824921046 604946699 /nfs/dbraw/zinc/94/66/99/604946699.db2.gz BMXRRQXCIRLBTP-RYUDHWBXSA-N 0 2 310.354 0.404 20 0 DCADLN O=C([O-])NC[C@@H]1CC[N@H+](CC(=O)Nc2nc(C3CC3)no2)C1 ZINC000832590444 605152611 /nfs/dbraw/zinc/15/26/11/605152611.db2.gz UEOXWWBZMWQTEX-QMMMGPOBSA-N 0 2 309.326 0.475 20 0 DCADLN O=C([O-])NC[C@@H]1CC[N@@H+](CC(=O)Nc2nc(C3CC3)no2)C1 ZINC000832590444 605152613 /nfs/dbraw/zinc/15/26/13/605152613.db2.gz UEOXWWBZMWQTEX-QMMMGPOBSA-N 0 2 309.326 0.475 20 0 DCADLN C[C@@H](NC(=O)c1ccc(NC(=O)[O-])cc1O)[C@@H]1C[N@H+](C)CCO1 ZINC000825464969 605296749 /nfs/dbraw/zinc/29/67/49/605296749.db2.gz HARYGKHNGKSMDB-RNCFNFMXSA-N 0 2 323.349 0.931 20 0 DCADLN C[C@@H](NC(=O)c1ccc(NC(=O)[O-])cc1O)[C@@H]1C[N@@H+](C)CCO1 ZINC000825464969 605296752 /nfs/dbraw/zinc/29/67/52/605296752.db2.gz HARYGKHNGKSMDB-RNCFNFMXSA-N 0 2 323.349 0.931 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[NH+]2CCN(C(=O)N3CCCC3)CC2)O1 ZINC000833581267 605315720 /nfs/dbraw/zinc/31/57/20/605315720.db2.gz VXOARABPLIWRNG-CYBMUJFWSA-N 0 2 323.393 0.967 20 0 DCADLN Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CC[C@@H](NC(=O)[O-])C2)C1 ZINC000833959348 605319235 /nfs/dbraw/zinc/31/92/35/605319235.db2.gz SFEPCQSETPSDRA-WDEREUQCSA-N 0 2 307.354 0.035 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[NH2+][C@H](C(=O)[O-])c1ccccc1 ZINC000833434225 605380911 /nfs/dbraw/zinc/38/09/11/605380911.db2.gz RVOWLRNHOCOYMV-AWEZNQCLSA-N 0 2 322.361 0.860 20 0 DCADLN Cc1cc(NC(=O)NCCN2CCN(C(=O)[O-])CC2)c(C)c[nH+]1 ZINC000833900449 605449867 /nfs/dbraw/zinc/44/98/67/605449867.db2.gz KTSXRCLDCDZHIW-UHFFFAOYSA-N 0 2 321.381 0.537 20 0 DCADLN Cc1ccncc1/C=C/C(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000833909632 605648786 /nfs/dbraw/zinc/64/87/86/605648786.db2.gz FBMAENJMVYUNBB-NSCUHMNNSA-N 0 2 318.377 0.815 20 0 DCADLN O=C([O-])Nc1cc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)ccc1F ZINC000834253160 605650270 /nfs/dbraw/zinc/65/02/70/605650270.db2.gz JGSZLVHCRGCGPJ-NSHDSACASA-N 0 2 322.340 0.645 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCn1cc[nH+]c1 ZINC000833710405 605664873 /nfs/dbraw/zinc/66/48/73/605664873.db2.gz XQVWXMHCRDFPFS-QWRGUYRKSA-N 0 2 309.322 0.138 20 0 DCADLN Cc1cc(C(=O)N2CC[NH+](CCO)CC2)ccc1NC(=O)[O-] ZINC000829930012 605808627 /nfs/dbraw/zinc/80/86/27/605808627.db2.gz GUWNXPMCUZTCKN-UHFFFAOYSA-N 0 2 307.350 0.835 20 0 DCADLN O=C([O-])N1CCC[C@H](CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000834031718 605841925 /nfs/dbraw/zinc/84/19/25/605841925.db2.gz VGXSUABXMGMHBY-VXGBXAGGSA-N 0 2 306.366 0.952 20 0 DCADLN O=C(CN(C(=O)[O-])C1CC1)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000834000050 605975018 /nfs/dbraw/zinc/97/50/18/605975018.db2.gz ULBVRIGCFLMOKZ-LLVKDONJSA-N 0 2 304.350 0.919 20 0 DCADLN O=C([O-])NC[C@H]1CCN(C(=O)C2([NH+]3CCOCC3)CCC2)C1 ZINC000834221612 605975872 /nfs/dbraw/zinc/97/58/72/605975872.db2.gz UCVBSJAMPGZAPJ-GFCCVEGCSA-N 0 2 311.382 0.357 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CCCCN1CN1C[C@@H](NC(=O)[O-])CC1=O ZINC000833959065 605994592 /nfs/dbraw/zinc/99/45/92/605994592.db2.gz NSSCYCZKVJCCNQ-RYUDHWBXSA-N 0 2 321.381 0.773 20 0 DCADLN O=C(N[C@@H]1CCCc2cn[nH]c21)c1ccc(-c2nn[nH]n2)cn1 ZINC000823150662 607097303 /nfs/dbraw/zinc/09/73/03/607097303.db2.gz RLSRQXKQBMOHTL-SNVBAGLBSA-N 0 2 310.321 0.792 20 0 DCADLN Cc1nn(Cc2ncc(C3CC3)o2)c(=O)c(-c2nn[nH]n2)c1C ZINC000826334649 608022347 /nfs/dbraw/zinc/02/23/47/608022347.db2.gz DABJJRSVJBNHHD-UHFFFAOYSA-N 0 2 313.321 0.954 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001027719448 660651486 /nfs/dbraw/zinc/65/14/86/660651486.db2.gz HVNNNIJVMDITQW-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001027719448 660651488 /nfs/dbraw/zinc/65/14/88/660651488.db2.gz HVNNNIJVMDITQW-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN Cc1cnc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001027732814 660669186 /nfs/dbraw/zinc/66/91/86/660669186.db2.gz QXNKSOCPDCHJGA-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC(O)CC1 ZINC001027852088 660838818 /nfs/dbraw/zinc/83/88/18/660838818.db2.gz BXSIHTBYUVBHPZ-CXQJBGSLSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CCC(O)CC1 ZINC001027852088 660838821 /nfs/dbraw/zinc/83/88/21/660838821.db2.gz BXSIHTBYUVBHPZ-CXQJBGSLSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1ccsn1 ZINC001027963362 660986386 /nfs/dbraw/zinc/98/63/86/660986386.db2.gz KRKABXLDQAZJOF-MRVPVSSYSA-N 0 2 308.367 0.361 20 0 DCADLN CNC(=O)[C@@H](C)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981210823 661103886 /nfs/dbraw/zinc/10/38/86/661103886.db2.gz VRANMLUOHDBGDP-BDAKNGLRSA-N 0 2 313.295 0.556 20 0 DCADLN C[C@H](O)C[N@H+]1CCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC000981472085 661158039 /nfs/dbraw/zinc/15/80/39/661158039.db2.gz DCLWXKLUYBLULX-UONOGXRCSA-N 0 2 306.410 0.361 20 0 DCADLN CC(C)CC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981591433 661177606 /nfs/dbraw/zinc/17/76/06/661177606.db2.gz DTMSXNOZPFDJRQ-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN CC(C)CC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981591433 661177609 /nfs/dbraw/zinc/17/76/09/661177609.db2.gz DTMSXNOZPFDJRQ-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN CC1(C(=O)N2CC3(C2)CCC[N@H+](Cc2n[nH]c(=O)[n-]2)C3)CC1 ZINC000981787946 661216621 /nfs/dbraw/zinc/21/66/21/661216621.db2.gz NIMZSSDQBMMFIL-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN CC1(C(=O)N2CC3(C2)CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C3)CC1 ZINC000981787946 661216623 /nfs/dbraw/zinc/21/66/23/661216623.db2.gz NIMZSSDQBMMFIL-UHFFFAOYSA-N 0 2 305.382 0.735 20 0 DCADLN Cc1nocc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981862443 661236758 /nfs/dbraw/zinc/23/67/58/661236758.db2.gz KODRAHYJJJMQOQ-UHFFFAOYSA-N 0 2 306.326 0.155 20 0 DCADLN C[C@H]1CC[C@@H](CC(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000981876643 661240021 /nfs/dbraw/zinc/24/00/21/661240021.db2.gz WAAUXHLXAPKMCP-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC000998210632 665416189 /nfs/dbraw/zinc/41/61/89/665416189.db2.gz ZQGZOQSGYWVKJW-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccncn1 ZINC000998210632 665416192 /nfs/dbraw/zinc/41/61/92/665416192.db2.gz ZQGZOQSGYWVKJW-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(Cc1ncc[nH]1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000998391195 665447113 /nfs/dbraw/zinc/44/71/13/665447113.db2.gz BRLFCUOIDMLAEG-SECBINFHSA-N 0 2 308.235 0.180 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@H+]2Cc2cnoc2C)c1[O-] ZINC001038166305 657494721 /nfs/dbraw/zinc/49/47/21/657494721.db2.gz ZIMPLQWTQIEQBF-NSHDSACASA-N 0 2 305.338 0.724 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cnoc2C)c1[O-] ZINC001038166305 657494724 /nfs/dbraw/zinc/49/47/24/657494724.db2.gz ZIMPLQWTQIEQBF-NSHDSACASA-N 0 2 305.338 0.724 20 0 DCADLN Cc1noc(C[NH2+]C2CC(N(C)C(=O)c3n[nH]c(C)c3[O-])C2)n1 ZINC000998636805 665494901 /nfs/dbraw/zinc/49/49/01/665494901.db2.gz DSSRSADAXZKMFR-UHFFFAOYSA-N 0 2 320.353 0.508 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970599340 657672993 /nfs/dbraw/zinc/67/29/93/657672993.db2.gz HJIRYBQSJYALBV-ZETCQYMHSA-N 0 2 319.369 0.101 20 0 DCADLN C[C@H](NC(=O)c1cccn1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969319521 657697656 /nfs/dbraw/zinc/69/76/56/657697656.db2.gz FBKSJLZSGDHQCZ-VIFPVBQESA-N 0 2 304.354 0.099 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CCN(C(=O)Cn3c[nH+]cc3C)C2)on1 ZINC000969353070 657743585 /nfs/dbraw/zinc/74/35/85/657743585.db2.gz OLDHSDQQPMEVMJ-CYBMUJFWSA-N 0 2 303.366 0.879 20 0 DCADLN Cc1conc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970702492 657804779 /nfs/dbraw/zinc/80/47/79/657804779.db2.gz JAARBEROXXQJDJ-MRVPVSSYSA-N 0 2 306.326 0.057 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC000969420169 657848521 /nfs/dbraw/zinc/84/85/21/657848521.db2.gz NMVDAWDLLGHLDR-VIFPVBQESA-N 0 2 319.369 0.101 20 0 DCADLN Cc1cnccc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969644712 658050757 /nfs/dbraw/zinc/05/07/57/658050757.db2.gz JTXYXKBGTXQNHL-SNVBAGLBSA-N 0 2 316.365 0.464 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cncc(F)c1 ZINC001010530056 658105346 /nfs/dbraw/zinc/10/53/46/658105346.db2.gz PJKLGDKFEIKWKD-SNVBAGLBSA-N 0 2 306.301 0.049 20 0 DCADLN Cn1cc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000939354427 665559274 /nfs/dbraw/zinc/55/92/74/665559274.db2.gz AZOKDFPLPCICNN-BDAKNGLRSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cc(C(=O)N[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC000939354427 665559275 /nfs/dbraw/zinc/55/92/75/665559275.db2.gz AZOKDFPLPCICNN-BDAKNGLRSA-N 0 2 322.262 0.651 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@H]1CCCO1 ZINC000972783471 658413821 /nfs/dbraw/zinc/41/38/21/658413821.db2.gz QADOOWXUTIKNJF-VHSXEESVSA-N 0 2 309.370 0.016 20 0 DCADLN CC1(C(=O)N[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]2O)CC1 ZINC001024097161 658434927 /nfs/dbraw/zinc/43/49/27/658434927.db2.gz MWLVDLPYLDIBMN-BWZBUEFSSA-N 0 2 312.263 0.375 20 0 DCADLN CC1(C(=O)N[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]2O)CC1 ZINC001024097161 658434933 /nfs/dbraw/zinc/43/49/33/658434933.db2.gz MWLVDLPYLDIBMN-BWZBUEFSSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)c1cc[nH]c1 ZINC001024107839 658441572 /nfs/dbraw/zinc/44/15/72/658441572.db2.gz NUOPRMHRPUZAJR-HLTSFMKQSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O)c1cc[nH]c1 ZINC001024107839 658441575 /nfs/dbraw/zinc/44/15/75/658441575.db2.gz NUOPRMHRPUZAJR-HLTSFMKQSA-N 0 2 323.246 0.217 20 0 DCADLN Cn1cccc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000972812749 658443436 /nfs/dbraw/zinc/44/34/36/658443436.db2.gz YQOVLXQBDGHWQQ-LLVKDONJSA-N 0 2 318.381 0.489 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccon1 ZINC000972837489 658471493 /nfs/dbraw/zinc/47/14/93/658471493.db2.gz UEHNECAJGUDBCB-VIFPVBQESA-N 0 2 306.326 0.139 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001024305756 658556587 /nfs/dbraw/zinc/55/65/87/658556587.db2.gz XTYRWYGNHRYCTK-SNVBAGLBSA-N 0 2 305.338 0.888 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001024318509 658567464 /nfs/dbraw/zinc/56/74/64/658567464.db2.gz JUFVQNYMENLZDP-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN C/C=C(\C)C(=O)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972326859 658570935 /nfs/dbraw/zinc/57/09/35/658570935.db2.gz JJRCRNFRQFRSQB-ZXDCMVLGSA-N 0 2 321.381 0.280 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)N2CC[C@H]([N@H+](C)Cc3ccn(C)n3)C2)C1 ZINC000972334907 658581425 /nfs/dbraw/zinc/58/14/25/658581425.db2.gz KZRXUEYFEHWMGT-HOCLYGCPSA-N 0 2 319.453 0.795 20 0 DCADLN CCC[N@@H+]1CCO[C@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC000972341190 658589988 /nfs/dbraw/zinc/58/99/88/658589988.db2.gz BDECBIOOTVSNOQ-OAHLLOKOSA-N 0 2 308.382 0.751 20 0 DCADLN CCC[N@H+]1CCO[C@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC000972341190 658589992 /nfs/dbraw/zinc/58/99/92/658589992.db2.gz BDECBIOOTVSNOQ-OAHLLOKOSA-N 0 2 308.382 0.751 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N3CC[C@H]3CO)cc2[nH]c1=S ZINC000889130756 658643189 /nfs/dbraw/zinc/64/31/89/658643189.db2.gz UHXXUWWQLHAILM-JTQLQIEISA-N 0 2 319.386 0.912 20 0 DCADLN Cc1nnc(CN2CCCC[C@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001024527491 658687171 /nfs/dbraw/zinc/68/71/71/658687171.db2.gz UINXMPPBLURPTC-JTQLQIEISA-N 0 2 304.358 0.016 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000939559076 665602416 /nfs/dbraw/zinc/60/24/16/665602416.db2.gz WXHBETDLBVUDSC-HTQZYQBOSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000939559076 665602418 /nfs/dbraw/zinc/60/24/18/665602418.db2.gz WXHBETDLBVUDSC-HTQZYQBOSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1csnn1 ZINC001024577808 658730299 /nfs/dbraw/zinc/73/02/99/658730299.db2.gz HCMCJYGPGRPDEQ-MRVPVSSYSA-N 0 2 323.382 0.146 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1csnn1 ZINC001024577809 658730536 /nfs/dbraw/zinc/73/05/36/658730536.db2.gz HCMCJYGPGRPDEQ-QMMMGPOBSA-N 0 2 323.382 0.146 20 0 DCADLN CC[NH+]1CC([C@H](C)NC(=O)[C@@H]2COCC[N@H+]2CC2CCC2)C1 ZINC000970213387 658747442 /nfs/dbraw/zinc/74/74/42/658747442.db2.gz MPMKXBXUTYBQHN-BBRMVZONSA-N 0 2 309.454 0.944 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cocn1 ZINC000939716557 665628432 /nfs/dbraw/zinc/62/84/32/665628432.db2.gz USAIEEDSWYENND-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cocn1 ZINC000939716557 665628433 /nfs/dbraw/zinc/62/84/33/665628433.db2.gz USAIEEDSWYENND-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(CC[NH+]1CCOCC1)N[C@H](c1nnn[n-]1)c1ccccc1 ZINC000725889935 659023897 /nfs/dbraw/zinc/02/38/97/659023897.db2.gz NGJQEMKMWXHANN-AWEZNQCLSA-N 0 2 316.365 0.128 20 0 DCADLN C[C@@H]1CCC[N@H+]1CC(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000973550013 659360982 /nfs/dbraw/zinc/36/09/82/659360982.db2.gz PSWFNRKDMIGHCT-JHJVBQTASA-N 0 2 319.409 0.200 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC=CCC1 ZINC001006716495 659400954 /nfs/dbraw/zinc/40/09/54/659400954.db2.gz QYZOIHNKIPMZIX-RYUDHWBXSA-N 0 2 305.382 0.947 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(C[C@H]3CCCS3(=O)=O)C2)[nH]1 ZINC000930496849 659785357 /nfs/dbraw/zinc/78/53/57/659785357.db2.gz GRZQKEIHNYDOSS-NXEZZACHSA-N 0 2 300.384 0.267 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H]2CC[N@H+](Cc3nnc(C)[nH]3)C2)[nH]1 ZINC001028450896 661527067 /nfs/dbraw/zinc/52/70/67/661527067.db2.gz JSVIDVCLOPBQSW-NSHDSACASA-N 0 2 303.370 0.397 20 0 DCADLN Cc1nnc(C[N@@H+]2CC[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)[nH]1 ZINC001028485552 661555323 /nfs/dbraw/zinc/55/53/23/661555323.db2.gz SMHSFRHAUALMMD-SNVBAGLBSA-N 0 2 319.369 0.102 20 0 DCADLN Cc1nnc(C[N@H+]2CC[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)[nH]1 ZINC001028485552 661555325 /nfs/dbraw/zinc/55/53/25/661555325.db2.gz SMHSFRHAUALMMD-SNVBAGLBSA-N 0 2 319.369 0.102 20 0 DCADLN CC(C)[C@H]1C[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000983223672 661793645 /nfs/dbraw/zinc/79/36/45/661793645.db2.gz FLXHNKOUDPIJEP-VXGBXAGGSA-N 0 2 307.398 0.837 20 0 DCADLN O=C(c1ccncc1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029187237 662033346 /nfs/dbraw/zinc/03/33/46/662033346.db2.gz AFJGBORNNIYZPT-TXEJJXNPSA-N 0 2 314.349 0.394 20 0 DCADLN COC(=O)[C@@H]1[C@@H](C[N@H+]2CC[C@H](c3n[nH]c(C)n3)C2)C1(F)F ZINC000895010814 662042778 /nfs/dbraw/zinc/04/27/78/662042778.db2.gz AVFKQQVQZNYXOB-AEJSXWLSSA-N 0 2 300.309 0.957 20 0 DCADLN CC[C@@H](OC)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029236597 662062443 /nfs/dbraw/zinc/06/24/43/662062443.db2.gz XBMHSDSWALUTDG-OUAUKWLOSA-N 0 2 309.370 0.111 20 0 DCADLN O=C(CNC(=O)C(F)(F)F)Nc1ccc(-n2cncn2)cc1 ZINC000728072897 662341597 /nfs/dbraw/zinc/34/15/97/662341597.db2.gz LPZUXEFWEJWKMF-UHFFFAOYSA-N 0 2 313.239 0.884 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C[C@H]1COCC2 ZINC000909563110 662367655 /nfs/dbraw/zinc/36/76/55/662367655.db2.gz UJQSDJOPDFUHRB-MYQWFSQQSA-N 0 2 305.334 0.463 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cocn1 ZINC001000517287 665867207 /nfs/dbraw/zinc/86/72/07/665867207.db2.gz FMOAHMYFPAAVOU-UHFFFAOYSA-N 0 2 304.310 0.060 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cocn1 ZINC001000517287 665867211 /nfs/dbraw/zinc/86/72/11/665867211.db2.gz FMOAHMYFPAAVOU-UHFFFAOYSA-N 0 2 304.310 0.060 20 0 DCADLN CN1C(=O)CN(NC(=O)c2csc(N3CCCCC3)n2)C1=O ZINC000897284322 662431788 /nfs/dbraw/zinc/43/17/88/662431788.db2.gz XYNINAZYEIKMAZ-UHFFFAOYSA-N 0 2 323.378 0.672 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3ncn[nH]3)C[C@@H]2C)on1 ZINC000947818666 662489308 /nfs/dbraw/zinc/48/93/08/662489308.db2.gz ABCQXKSRZZIKCQ-WDEREUQCSA-N 0 2 304.354 0.884 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3ncn[nH]3)C[C@@H]2C)on1 ZINC000947818666 662489309 /nfs/dbraw/zinc/48/93/09/662489309.db2.gz ABCQXKSRZZIKCQ-WDEREUQCSA-N 0 2 304.354 0.884 20 0 DCADLN CCn1ncc(C[NH2+][C@@H]2CCN(C(=O)c3cnc(C)[nH]3)[C@H]2C)n1 ZINC000986056437 662547063 /nfs/dbraw/zinc/54/70/63/662547063.db2.gz OXVZKIQRSLFZIV-GXFFZTMASA-N 0 2 317.397 0.722 20 0 DCADLN O=C(N[C@@H]1CCCCCN(C(=O)c2ccn[nH]2)C1)c1cn[nH]n1 ZINC000986912074 662626678 /nfs/dbraw/zinc/62/66/78/662626678.db2.gz NAKKOBQIBXGGED-SNVBAGLBSA-N 0 2 317.353 0.343 20 0 DCADLN O=C(N[C@@H]1CCCCCN(C(=O)c2cc[nH]n2)C1)c1cnn[nH]1 ZINC000986912074 662626679 /nfs/dbraw/zinc/62/66/79/662626679.db2.gz NAKKOBQIBXGGED-SNVBAGLBSA-N 0 2 317.353 0.343 20 0 DCADLN Cc1ncoc1C[NH2+][C@H]1CCN(C(=O)CCc2cnn[nH]2)[C@H]1C ZINC000987020432 662635392 /nfs/dbraw/zinc/63/53/92/662635392.db2.gz XBCRXTHVYCQOJP-AAEUAGOBSA-N 0 2 318.381 0.813 20 0 DCADLN CCC(CC)C(=O)N1C[C@@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@@H]1C ZINC000988115272 662732064 /nfs/dbraw/zinc/73/20/64/662732064.db2.gz WBYGLURVOGSVFJ-JQWIXIFHSA-N 0 2 309.414 0.624 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000937906115 662840873 /nfs/dbraw/zinc/84/08/73/662840873.db2.gz WZCSIQMWHSYSLC-BQBZGAKWSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000937906115 662840875 /nfs/dbraw/zinc/84/08/75/662840875.db2.gz WZCSIQMWHSYSLC-BQBZGAKWSA-N 0 2 323.250 0.046 20 0 DCADLN C[C@H]1C[C@@H]([NH2+]Cc2cnn(C)c2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC000988754284 662853912 /nfs/dbraw/zinc/85/39/12/662853912.db2.gz ZJAZLWSZCGGSDW-GXTWGEPZSA-N 0 2 316.409 0.855 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cc(-c2ccccc2F)on1 ZINC000030041102 662854827 /nfs/dbraw/zinc/85/48/27/662854827.db2.gz HLYMKIDKRCCEHY-UHFFFAOYSA-N 0 2 304.237 0.677 20 0 DCADLN CO[C@@H](CCNC(=O)C[C@@H]1SC(=N)NC1=O)C(F)(F)F ZINC000787460266 662994374 /nfs/dbraw/zinc/99/43/74/662994374.db2.gz APKSKBYPHUDLSY-WDSKDSINSA-N 0 2 313.301 0.626 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccncc1 ZINC000990069882 662999997 /nfs/dbraw/zinc/99/99/97/662999997.db2.gz RNAVQANYUJGIDX-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccncc1 ZINC000990069882 662999998 /nfs/dbraw/zinc/99/99/98/662999998.db2.gz RNAVQANYUJGIDX-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)no1 ZINC000990173673 663012978 /nfs/dbraw/zinc/01/29/78/663012978.db2.gz MAVIFGMZGVYFPA-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)no1 ZINC000990173673 663012979 /nfs/dbraw/zinc/01/29/79/663012979.db2.gz MAVIFGMZGVYFPA-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCCCO1 ZINC000990857061 663265809 /nfs/dbraw/zinc/26/58/09/663265809.db2.gz PJKMHLGQFVJTOA-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCCCO1 ZINC000990857061 663265811 /nfs/dbraw/zinc/26/58/11/663265811.db2.gz PJKMHLGQFVJTOA-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN C[C@H]1C[C@H](C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001000865273 665945330 /nfs/dbraw/zinc/94/53/30/665945330.db2.gz ARIVSRXBGHNUDG-JQWIXIFHSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@H]1C[C@H](C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001000865273 665945332 /nfs/dbraw/zinc/94/53/32/665945332.db2.gz ARIVSRXBGHNUDG-JQWIXIFHSA-N 0 2 321.381 0.184 20 0 DCADLN O=C([O-])[C@H]1COCCN1c1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000900946759 663294411 /nfs/dbraw/zinc/29/44/11/663294411.db2.gz ZMEMBTGDPODOMF-VXGBXAGGSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])[C@H]1COCCN1c1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000900946759 663294413 /nfs/dbraw/zinc/29/44/13/663294413.db2.gz ZMEMBTGDPODOMF-VXGBXAGGSA-N 0 2 322.365 0.118 20 0 DCADLN CCN1C(=O)[C@@H]2CN(c3ccc(C(=O)[O-])c[nH+]3)CCN2C1=O ZINC000900989773 663302206 /nfs/dbraw/zinc/30/22/06/663302206.db2.gz ZAKOTCXGHOKWTG-JTQLQIEISA-N 0 2 304.306 0.253 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000901949146 663374594 /nfs/dbraw/zinc/37/45/94/663374594.db2.gz IAUZXEJZSWXJRT-CYBMUJFWSA-N 0 2 307.350 0.788 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000902032767 663381449 /nfs/dbraw/zinc/38/14/49/663381449.db2.gz BTBPROKGOFZBSZ-GXTWGEPZSA-N 0 2 314.345 0.653 20 0 DCADLN CCc1noc(C(=O)N2CCN(Cc3cc(C)no3)CC2)n1 ZINC000902614503 663422135 /nfs/dbraw/zinc/42/21/35/663422135.db2.gz YLLGJIGIPGJIND-UHFFFAOYSA-N 0 2 305.338 0.886 20 0 DCADLN CO[C@@H](Cn1c(=O)c2ccccc2c(=O)n1C)[C@@H]1CCOC1 ZINC000902612062 663422157 /nfs/dbraw/zinc/42/21/57/663422157.db2.gz NQRSDGOETLHFHL-RISCZKNCSA-N 0 2 304.346 0.752 20 0 DCADLN C[C@@H](ON=C(N)CN(C)C)C(=O)NCCc1ccc(F)cc1 ZINC000902612037 663422168 /nfs/dbraw/zinc/42/21/68/663422168.db2.gz IJTBTQZRMNHEDI-LLVKDONJSA-N 0 2 310.373 0.933 20 0 DCADLN Cc1c(S(=O)(=O)Nc2c3ncnn3ccc2C)cnn1C ZINC000903128870 663447434 /nfs/dbraw/zinc/44/74/34/663447434.db2.gz LSNVHQVKGOWSKP-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN Cc1nc(NS(=O)(=O)N=[S@@](C)(=O)N(C)C)sc1C ZINC000903573202 663467035 /nfs/dbraw/zinc/46/70/35/663467035.db2.gz GZRVQTNOBDZWCH-QGZVFWFLSA-N 0 2 312.442 0.991 20 0 DCADLN Cc1cc(Cn2cc(CNC(=O)C(F)(F)F)nn2)c(C)nn1 ZINC000905836480 663582933 /nfs/dbraw/zinc/58/29/33/663582933.db2.gz XFIKZCBSXWIKSM-UHFFFAOYSA-N 0 2 314.271 0.912 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001001070137 665988656 /nfs/dbraw/zinc/98/86/56/665988656.db2.gz PVJAGUXGGLBXPP-ITGUQSILSA-N 0 2 317.393 0.805 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001001070137 665988660 /nfs/dbraw/zinc/98/86/60/665988660.db2.gz PVJAGUXGGLBXPP-ITGUQSILSA-N 0 2 317.393 0.805 20 0 DCADLN CN(C(=O)NCC(C)(C)[NH+]1CCOCC1)C(C)(C)C(=O)[O-] ZINC000908748022 663767865 /nfs/dbraw/zinc/76/78/65/663767865.db2.gz TZWOCZMADYIRCQ-UHFFFAOYSA-N 0 2 301.387 0.602 20 0 DCADLN CCCN(CC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000908913838 663774100 /nfs/dbraw/zinc/77/41/00/663774100.db2.gz JSRHGHJZIUGSGY-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN CCCN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000908913838 663774099 /nfs/dbraw/zinc/77/40/99/663774099.db2.gz JSRHGHJZIUGSGY-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN CO[C@@H]1CCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)[C@H](C(=O)[O-])C1 ZINC000909980520 663828154 /nfs/dbraw/zinc/82/81/54/663828154.db2.gz AENFOSBCCMUBBM-TUAOUCFPSA-N 0 2 307.350 0.536 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@H]2CCn3c[nH+]cc3C2)[C@](C)(C(=O)[O-])C1 ZINC000910268850 663862730 /nfs/dbraw/zinc/86/27/30/663862730.db2.gz XYFCWUCSHKXFPX-WBIUFABUSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])C12CC(C1)CN2c1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000910467616 663888475 /nfs/dbraw/zinc/88/84/75/663888475.db2.gz ZASWAPOZQUTINX-BGMSHATGSA-N 0 2 318.377 0.881 20 0 DCADLN O=C([O-])C12CC(C1)CN2c1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000910467616 663888478 /nfs/dbraw/zinc/88/84/78/663888478.db2.gz ZASWAPOZQUTINX-BGMSHATGSA-N 0 2 318.377 0.881 20 0 DCADLN CCC(CC)[C@@H](C(=O)NC[C@@H]1C[C@@H]1C(=O)[O-])[NH+]1CCOCC1 ZINC000910607066 663909095 /nfs/dbraw/zinc/90/90/95/663909095.db2.gz ZFUBRSYAPVTISJ-IHRRRGAJSA-N 0 2 312.410 0.960 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)c3ccncn3)CC2)[nH+]c1 ZINC000911167005 663996925 /nfs/dbraw/zinc/99/69/25/663996925.db2.gz BAVDCYBLTGBOJC-UHFFFAOYSA-N 0 2 313.317 0.532 20 0 DCADLN C[C@H]1C[C@H](C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CO1 ZINC000911170747 664000811 /nfs/dbraw/zinc/00/08/11/664000811.db2.gz ZJRLVFFUNMRJFJ-AAEUAGOBSA-N 0 2 319.361 0.853 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CO1 ZINC000911170748 664000863 /nfs/dbraw/zinc/00/08/63/664000863.db2.gz ZJRLVFFUNMRJFJ-DGCLKSJQSA-N 0 2 319.361 0.853 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)c2ccc(OCC(=O)[O-])cc2)c1 ZINC000911399712 664048327 /nfs/dbraw/zinc/04/83/27/664048327.db2.gz SXZHVBKDVALHSY-UHFFFAOYSA-N 0 2 303.318 0.856 20 0 DCADLN O=C([O-])CSCCC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000911470214 664056875 /nfs/dbraw/zinc/05/68/75/664056875.db2.gz WCEXYSRIESLUAM-LLVKDONJSA-N 0 2 309.391 0.984 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@@](C)(CC(=O)[O-])c1ccncc1 ZINC000911617388 664092409 /nfs/dbraw/zinc/09/24/09/664092409.db2.gz CJTGMDCBXOCWMY-HNNXBMFYSA-N 0 2 302.334 0.864 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2nc3cnccc3s2)C(=O)N1C ZINC000912819894 664232633 /nfs/dbraw/zinc/23/26/33/664232633.db2.gz YSPFUKKGJJFWQS-ZCFIWIBFSA-N 0 2 305.319 0.619 20 0 DCADLN CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000913532037 664339637 /nfs/dbraw/zinc/33/96/37/664339637.db2.gz HWRMCOBAJLLURZ-TXEJJXNPSA-N 0 2 324.406 0.372 20 0 DCADLN C[C@@H]1C[C@@H]1c1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001030302828 664507151 /nfs/dbraw/zinc/50/71/51/664507151.db2.gz NQBWAAWQLXPKSG-SCZZXKLOSA-N 0 2 317.349 0.841 20 0 DCADLN CC1(C(=O)NC2CN(Cc3cc(=O)n4[nH]ccc4n3)C2)CC1 ZINC001030352386 664518977 /nfs/dbraw/zinc/51/89/77/664518977.db2.gz MLMDZEIHZDQWQM-UHFFFAOYSA-N 0 2 301.350 0.123 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2[nH]ccc2n1 ZINC001030672157 664626639 /nfs/dbraw/zinc/62/66/39/664626639.db2.gz MCPCBRAWRSDHTC-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN CCc1cncc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030682241 664630942 /nfs/dbraw/zinc/63/09/42/664630942.db2.gz NJLLGWVQYAGNQZ-UHFFFAOYSA-N 0 2 302.338 0.082 20 0 DCADLN Cc1cnc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001030715644 664645135 /nfs/dbraw/zinc/64/51/35/664645135.db2.gz PISXNTLHOJDOJV-UHFFFAOYSA-N 0 2 302.338 0.136 20 0 DCADLN CO[C@H]1CCC[C@@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001030869688 664691242 /nfs/dbraw/zinc/69/12/42/664691242.db2.gz UOKZNNWAPMTZMA-KOLCDFICSA-N 0 2 309.370 0.016 20 0 DCADLN COc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)c1 ZINC001030885364 664694230 /nfs/dbraw/zinc/69/42/30/664694230.db2.gz JGYDWNMCJALUCW-UHFFFAOYSA-N 0 2 317.349 0.442 20 0 DCADLN C[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccccc1 ZINC000730517234 664784212 /nfs/dbraw/zinc/78/42/12/664784212.db2.gz JQJZIFREHWNUQV-LLVKDONJSA-N 0 2 311.345 0.991 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCc1cccc(F)c1 ZINC000730518803 664784613 /nfs/dbraw/zinc/78/46/13/664784613.db2.gz CHGXIKQEIJIHLC-UHFFFAOYSA-N 0 2 315.308 0.569 20 0 DCADLN COCc1cccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000730525967 664785223 /nfs/dbraw/zinc/78/52/23/664785223.db2.gz LYBWDLLDOXHPGJ-UHFFFAOYSA-N 0 2 313.317 0.856 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ccc(F)cc1F ZINC000730526967 664785286 /nfs/dbraw/zinc/78/52/86/664785286.db2.gz XRRXIXWFNVVENN-UHFFFAOYSA-N 0 2 319.271 0.666 20 0 DCADLN C[C@H](c1ccncc1)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730534298 664789889 /nfs/dbraw/zinc/78/98/89/664789889.db2.gz USMZNWGJFCTIRD-SNVBAGLBSA-N 0 2 312.333 0.686 20 0 DCADLN CCN(Cc1ccoc1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730540321 664791086 /nfs/dbraw/zinc/79/10/86/664791086.db2.gz JAOJEHAVHCDPMB-UHFFFAOYSA-N 0 2 301.306 0.713 20 0 DCADLN COc1cccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1F ZINC001031135460 664800882 /nfs/dbraw/zinc/80/08/82/664800882.db2.gz PFXHPODVSRUEMB-UHFFFAOYSA-N 0 2 321.312 0.272 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cnsn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993120822 664817804 /nfs/dbraw/zinc/81/78/04/664817804.db2.gz WYZXRRVIDLYUJO-YUMQZZPRSA-N 0 2 323.382 0.145 20 0 DCADLN CN(C)c1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001031306736 665042278 /nfs/dbraw/zinc/04/22/78/665042278.db2.gz JHTRNKBAEFKBAQ-UHFFFAOYSA-N 0 2 316.365 0.191 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2c(s1)CCC2 ZINC001031350203 665106117 /nfs/dbraw/zinc/10/61/17/665106117.db2.gz ARQLRRLFHVDNNS-UHFFFAOYSA-N 0 2 319.390 0.675 20 0 DCADLN COc1ccccc1CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031356495 665109041 /nfs/dbraw/zinc/10/90/41/665109041.db2.gz BHRURZGZLZYFHZ-UHFFFAOYSA-N 0 2 317.349 0.062 20 0 DCADLN C[C@H]1CC(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C[C@H](C)C1 ZINC001031454103 665159361 /nfs/dbraw/zinc/15/93/61/665159361.db2.gz KDQFVCFFRLSIHA-NXEZZACHSA-N 0 2 307.398 0.883 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCC2C[NH+](CCCO)C2)[nH]n1 ZINC001031686753 665288831 /nfs/dbraw/zinc/28/88/31/665288831.db2.gz SUYPQZPCORINBZ-HNNXBMFYSA-N 0 2 321.425 0.220 20 0 DCADLN CC[C@H](F)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc([O-])n(C)c1=O ZINC001032557666 665350211 /nfs/dbraw/zinc/35/02/11/665350211.db2.gz XABHQRCSWBKDNU-DCAQKATOSA-N 0 2 324.356 0.133 20 0 DCADLN CC[C@H](F)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc([O-])n(C)c1=O ZINC001032557666 665350215 /nfs/dbraw/zinc/35/02/15/665350215.db2.gz XABHQRCSWBKDNU-DCAQKATOSA-N 0 2 324.356 0.133 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938399548 665350441 /nfs/dbraw/zinc/35/04/41/665350441.db2.gz PDBJPQSQWXXUKN-XPUUQOCRSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938399548 665350444 /nfs/dbraw/zinc/35/04/44/665350444.db2.gz PDBJPQSQWXXUKN-XPUUQOCRSA-N 0 2 323.250 0.344 20 0 DCADLN CCc1n[nH]cc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000995158321 666013016 /nfs/dbraw/zinc/01/30/16/666013016.db2.gz KILWHHXQQKVPAE-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN CCc1n[nH]cc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000995158321 666013019 /nfs/dbraw/zinc/01/30/19/666013019.db2.gz KILWHHXQQKVPAE-SECBINFHSA-N 0 2 322.262 0.813 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@]12C[C@H]1CCCC2 ZINC001031964012 666031675 /nfs/dbraw/zinc/03/16/75/666031675.db2.gz TWKOVVUMWHBJHK-IAQYHMDHSA-N 0 2 305.382 0.639 20 0 DCADLN Cn1nccc1C[NH+]1CCC(CNC(=O)c2ncn[nH]2)CC1 ZINC001001952482 666114588 /nfs/dbraw/zinc/11/45/88/666114588.db2.gz FMQOJGLRQUTZNM-UHFFFAOYSA-N 0 2 303.370 0.180 20 0 DCADLN O=C(Cc1cccs1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002245430 666146198 /nfs/dbraw/zinc/14/61/98/666146198.db2.gz CLJPROSROCQDET-UHFFFAOYSA-N 0 2 321.406 0.895 20 0 DCADLN O=C(C[C@@H]1CCCCO1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002576792 666191810 /nfs/dbraw/zinc/19/18/10/666191810.db2.gz CESVJCLOKANXPI-LBPRGKRZSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001003536782 666323944 /nfs/dbraw/zinc/32/39/44/666323944.db2.gz YWRUSXWGEMEFTR-QMMMGPOBSA-N 0 2 323.250 0.202 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001003536782 666323947 /nfs/dbraw/zinc/32/39/47/666323947.db2.gz YWRUSXWGEMEFTR-QMMMGPOBSA-N 0 2 323.250 0.202 20 0 DCADLN CC[C@H]1C[C@@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001032110077 666332326 /nfs/dbraw/zinc/33/23/26/666332326.db2.gz DPCLZDKUMHXUSI-RYUDHWBXSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC2(CC2)C1 ZINC001003598457 666332944 /nfs/dbraw/zinc/33/29/44/666332944.db2.gz LAJNFIUNIAZZDQ-UHFFFAOYSA-N 0 2 305.382 0.781 20 0 DCADLN CN(C(=O)Cc1ccsc1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032879820 666366235 /nfs/dbraw/zinc/36/62/35/666366235.db2.gz JJFISUCBIVXNQL-NSHDSACASA-N 0 2 321.406 0.847 20 0 DCADLN CN(C(=O)Cc1ccsc1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032879820 666366237 /nfs/dbraw/zinc/36/62/37/666366237.db2.gz JJFISUCBIVXNQL-NSHDSACASA-N 0 2 321.406 0.847 20 0 DCADLN CN(C(=O)c1ccnc(F)c1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032947307 666401147 /nfs/dbraw/zinc/40/11/47/666401147.db2.gz NMMDZQQDTYQDPW-JTQLQIEISA-N 0 2 320.328 0.391 20 0 DCADLN Cc1ccn(C)c1C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033033769 666444811 /nfs/dbraw/zinc/44/48/11/666444811.db2.gz XZJVDKGLDKBRPE-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN Cc1ccn(C)c1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033033769 666444812 /nfs/dbraw/zinc/44/48/12/666444812.db2.gz XZJVDKGLDKBRPE-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN CN(C(=O)C1(F)CCCC1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033214717 666627925 /nfs/dbraw/zinc/62/79/25/666627925.db2.gz PYJSZAKNBYQREL-JTQLQIEISA-N 0 2 311.361 0.825 20 0 DCADLN Cc1c(C(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1C ZINC001033396205 666699497 /nfs/dbraw/zinc/69/94/97/666699497.db2.gz PMYIGBCXHWHKID-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN Cc1c(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1C ZINC001033396205 666699499 /nfs/dbraw/zinc/69/94/99/666699499.db2.gz PMYIGBCXHWHKID-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005148651 666709484 /nfs/dbraw/zinc/70/94/84/666709484.db2.gz IPYUUGXPOFYCHZ-VXGBXAGGSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)N(C)[C@@H]2CC[NH+](Cc3ccnn3C)C2)C1 ZINC001033420383 666710849 /nfs/dbraw/zinc/71/08/49/666710849.db2.gz OQFOBZRPBUEQCO-LSDHHAIUSA-N 0 2 319.453 0.795 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001033438133 666715179 /nfs/dbraw/zinc/71/51/79/666715179.db2.gz JZVPYNDNJKWJNK-OUAUKWLOSA-N 0 2 309.370 0.111 20 0 DCADLN CN(C(=O)Cc1cscn1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033476526 666722806 /nfs/dbraw/zinc/72/28/06/666722806.db2.gz BQAMFQFJMMFGRB-JTQLQIEISA-N 0 2 322.394 0.242 20 0 DCADLN CN(C(=O)Cc1cscn1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033476526 666722807 /nfs/dbraw/zinc/72/28/07/666722807.db2.gz BQAMFQFJMMFGRB-JTQLQIEISA-N 0 2 322.394 0.242 20 0 DCADLN CCOC(=O)CCCOC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000733545818 666758895 /nfs/dbraw/zinc/75/88/95/666758895.db2.gz LBJMLZHYBYZWBL-UHFFFAOYSA-N 0 2 321.333 0.760 20 0 DCADLN CN(C(=O)Cc1ccc[nH]1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033681762 666794551 /nfs/dbraw/zinc/79/45/51/666794551.db2.gz KZPNWHNHZWQEAZ-NSHDSACASA-N 0 2 304.354 0.114 20 0 DCADLN CN(C(=O)Cc1ccc[nH]1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033681762 666794553 /nfs/dbraw/zinc/79/45/53/666794553.db2.gz KZPNWHNHZWQEAZ-NSHDSACASA-N 0 2 304.354 0.114 20 0 DCADLN CCN(C(=O)c1c[nH]nc1C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033916898 666867745 /nfs/dbraw/zinc/86/77/45/666867745.db2.gz VTZGJXLMJIZQDI-SNVBAGLBSA-N 0 2 319.369 0.278 20 0 DCADLN CCN(C(=O)[C@H]1OCC[C@H]1C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033957675 666878170 /nfs/dbraw/zinc/87/81/70/666878170.db2.gz JGYNNBYJWRUORQ-WZRBSPASSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)[C@H]1OCC[C@H]1C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033957675 666878174 /nfs/dbraw/zinc/87/81/74/666878174.db2.gz JGYNNBYJWRUORQ-WZRBSPASSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(C[C@H]1CCCO1)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034117411 666927094 /nfs/dbraw/zinc/92/70/94/666927094.db2.gz MGBVRRIZZGJUIQ-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@H]1CCCO1)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034117411 666927098 /nfs/dbraw/zinc/92/70/98/666927098.db2.gz MGBVRRIZZGJUIQ-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(Cc1ccoc1)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034183840 666944398 /nfs/dbraw/zinc/94/43/98/666944398.db2.gz ZNJQFLTUGCIKQZ-GFCCVEGCSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(Cc1ccoc1)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034183840 666944401 /nfs/dbraw/zinc/94/44/01/666944401.db2.gz ZNJQFLTUGCIKQZ-GFCCVEGCSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](CCO)C1)[C@@H]1CCc2[nH+]ccn2C1 ZINC001034277205 666973464 /nfs/dbraw/zinc/97/34/64/666973464.db2.gz WMNBORSYYHVGMV-KGLIPLIRSA-N 0 2 306.410 0.409 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](CCO)C1)[C@H]1CCc2[nH+]ccn2C1 ZINC001034277206 666973592 /nfs/dbraw/zinc/97/35/92/666973592.db2.gz WMNBORSYYHVGMV-UONOGXRCSA-N 0 2 306.410 0.409 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cc(F)c[nH]1 ZINC001034314795 666987647 /nfs/dbraw/zinc/98/76/47/666987647.db2.gz ZJHWYVBNZCGXNX-SNVBAGLBSA-N 0 2 322.344 0.762 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1cc(F)c[nH]1 ZINC001034314795 666987651 /nfs/dbraw/zinc/98/76/51/666987651.db2.gz ZJHWYVBNZCGXNX-SNVBAGLBSA-N 0 2 322.344 0.762 20 0 DCADLN O=C(c1cccc(Cl)c1O)N(CCO)CCOCCO ZINC000862245887 667033481 /nfs/dbraw/zinc/03/34/81/667033481.db2.gz ARZFZBOCAVAOIK-UHFFFAOYSA-N 0 2 303.742 0.489 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC1([C@H]2CCOC2)CC1 ZINC000735909722 667056196 /nfs/dbraw/zinc/05/61/96/667056196.db2.gz ISBGPLXACUVERI-NSHDSACASA-N 0 2 317.345 0.986 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCCC(F)(F)CC1 ZINC000862713665 667073848 /nfs/dbraw/zinc/07/38/48/667073848.db2.gz YFRJKGIIHHVHKZ-UHFFFAOYSA-N 0 2 311.292 0.719 20 0 DCADLN C[C@H]1CC(F)(F)CCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000863012954 667109061 /nfs/dbraw/zinc/10/90/61/667109061.db2.gz JTEGQSFVOQNGDU-QMMMGPOBSA-N 0 2 311.292 0.717 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1(O)CCCCCC1 ZINC000736169544 667110229 /nfs/dbraw/zinc/11/02/29/667110229.db2.gz WDZJDEIBWLKLSC-UHFFFAOYSA-N 0 2 319.365 0.273 20 0 DCADLN CCc1oc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001015985421 667237556 /nfs/dbraw/zinc/23/75/56/667237556.db2.gz VVBNONLSUPCXOA-JTQLQIEISA-N 0 2 319.365 0.978 20 0 DCADLN O=C(Cc1c[nH+]c[nH]1)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc[nH]c1)C2 ZINC000997309482 667245191 /nfs/dbraw/zinc/24/51/91/667245191.db2.gz KXPSCPUZGSOAAV-RDBSUJKOSA-N 0 2 313.361 0.842 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N1CCC([NH2+]Cc2nncs2)CC1 ZINC000997311154 667245204 /nfs/dbraw/zinc/24/52/04/667245204.db2.gz XCNWXAFHQIPLPW-UHFFFAOYSA-N 0 2 320.422 0.824 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CCCCC1 ZINC001035269892 667280483 /nfs/dbraw/zinc/28/04/83/667280483.db2.gz HGQXHQCQKQLSDM-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C12CCC(CC1)C2 ZINC001032479167 667302391 /nfs/dbraw/zinc/30/23/91/667302391.db2.gz HTVQNCPPTYLREO-SLMGRZLQSA-N 0 2 317.393 0.876 20 0 DCADLN CC(C)CC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035639639 667396747 /nfs/dbraw/zinc/39/67/47/667396747.db2.gz KNKMYNVUIDNVLR-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN CC(C)N1CC[C@@H](NS(=O)(=O)N=S2(=O)CCCC2)C1=O ZINC000866791940 667399044 /nfs/dbraw/zinc/39/90/44/667399044.db2.gz OFUUHXQCVYHPSO-SNVBAGLBSA-N 0 2 323.440 0.092 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)[C@H]2C[C@H]21 ZINC000923194298 667473910 /nfs/dbraw/zinc/47/39/10/667473910.db2.gz LEXFBFZEEUCSGO-QKGCVVFFSA-N 0 2 322.405 0.855 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)[C@H]2C[C@H]21 ZINC000923194298 667473913 /nfs/dbraw/zinc/47/39/13/667473913.db2.gz LEXFBFZEEUCSGO-QKGCVVFFSA-N 0 2 322.405 0.855 20 0 DCADLN O=C(Cc1ccccc1O[C@@H]1CCOC1)OCc1n[nH]c(=O)[nH]1 ZINC000838277722 667683213 /nfs/dbraw/zinc/68/32/13/667683213.db2.gz PANSLQBRSIKQNW-LLVKDONJSA-N 0 2 319.317 0.964 20 0 DCADLN COc1cc(NS(=O)(=O)c2cccc3c2OCO3)n(C)n1 ZINC000886447657 667768838 /nfs/dbraw/zinc/76/88/38/667768838.db2.gz TXSXJCSMPRIPDO-UHFFFAOYSA-N 0 2 311.319 0.958 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCCC[C@@H]2C2OCCO2)S1 ZINC000742611687 667795035 /nfs/dbraw/zinc/79/50/35/667795035.db2.gz DBSAOTHFHMXLNU-BDAKNGLRSA-N 0 2 313.379 0.297 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1c(O)cccc1F ZINC000743415859 667808199 /nfs/dbraw/zinc/80/81/99/667808199.db2.gz MITCZUMUPDMOAW-UHFFFAOYSA-N 0 2 303.253 0.555 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2ccc3c(c2)OCO3)S1 ZINC000745423297 667847684 /nfs/dbraw/zinc/84/76/84/667847684.db2.gz VPKHQYALIWLAPH-LLVKDONJSA-N 0 2 321.358 0.630 20 0 DCADLN CC1=NO[C@H](CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)C1 ZINC000872494392 667902862 /nfs/dbraw/zinc/90/28/62/667902862.db2.gz GZNGBROZKSYOHX-YYSFKGJASA-N 0 2 311.429 0.882 20 0 DCADLN C=C1c2ccccc2C(=O)N1[C@H](C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748419477 667927586 /nfs/dbraw/zinc/92/75/86/667927586.db2.gz QYLIAMYDYDPEJB-SECBINFHSA-N 0 2 313.317 0.642 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1csc(-c2ccccn2)n1 ZINC000748417338 667927892 /nfs/dbraw/zinc/92/78/92/667927892.db2.gz FCTFBTQXPALHHT-UHFFFAOYSA-N 0 2 302.319 0.959 20 0 DCADLN C[C@H](OC(=O)c1cc(F)c(O)c(F)c1)C(=O)N1CCNC1=O ZINC000748484008 667930245 /nfs/dbraw/zinc/93/02/45/667930245.db2.gz VRUMGOJXYQARTI-LURJTMIESA-N 0 2 314.244 0.768 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccn(CC(F)F)n2)S1 ZINC000748759607 667936980 /nfs/dbraw/zinc/93/69/80/667936980.db2.gz GQCKTVUJFWHUAB-YFKPBYRVSA-N 0 2 303.294 0.643 20 0 DCADLN CN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@H]1CCCc2c1cnn2C ZINC000749071909 667945777 /nfs/dbraw/zinc/94/57/77/667945777.db2.gz HXNYRUWXBAXFAT-ONGXEEELSA-N 0 2 321.406 0.812 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(Cc1ccoc1)C1CC1 ZINC000889095975 667951720 /nfs/dbraw/zinc/95/17/20/667951720.db2.gz FSPLAGCEARLWOR-UHFFFAOYSA-N 0 2 313.317 0.855 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NCCNC(N)=O)=N1 ZINC000751382884 668000421 /nfs/dbraw/zinc/00/04/21/668000421.db2.gz ZMJSCPNUPBQDOQ-UHFFFAOYSA-N 0 2 321.312 0.424 20 0 DCADLN CO[C@@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000753261195 668028317 /nfs/dbraw/zinc/02/83/17/668028317.db2.gz NICWEUOQLPJTQI-NXEZZACHSA-N 0 2 305.338 0.001 20 0 DCADLN C[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000756835350 668102192 /nfs/dbraw/zinc/10/21/92/668102192.db2.gz BHLKTKGWIUUJQF-HTQZYQBOSA-N 0 2 313.358 0.676 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N(CCCO)Cc2ccccc2)S1 ZINC000759607840 668228845 /nfs/dbraw/zinc/22/88/45/668228845.db2.gz HRHUCKMZVCUWOL-GFCCVEGCSA-N 0 2 321.402 0.954 20 0 DCADLN C[NH+](C)C[C@@H]1NC(=O)N(C[C@H]2c3ccccc3C[N@H+]2C)C1=O ZINC000925051428 668269446 /nfs/dbraw/zinc/26/94/46/668269446.db2.gz KYBVBNPFGTZLMA-KBPBESRZSA-N 0 2 302.378 0.655 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cncnc2N2CCCC2)S1 ZINC000760910910 668293630 /nfs/dbraw/zinc/29/36/30/668293630.db2.gz KGGKYBJGIGAOPH-VIFPVBQESA-N 0 2 320.378 0.572 20 0 DCADLN COc1cc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)ccn1 ZINC000762819309 668380014 /nfs/dbraw/zinc/38/00/14/668380014.db2.gz HRWFQHLCZKCECT-UHFFFAOYSA-N 0 2 315.289 0.218 20 0 DCADLN C[C@]1(c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)CCCO1 ZINC000763320011 668405462 /nfs/dbraw/zinc/40/54/62/668405462.db2.gz HUAWEGCUZJXTGU-CYBMUJFWSA-N 0 2 302.294 0.528 20 0 DCADLN CS(=O)(=O)N1CCC(CNC(=O)C(F)C(F)(F)F)CC1 ZINC000764628830 668455587 /nfs/dbraw/zinc/45/55/87/668455587.db2.gz YGGDSFTXPIXDCE-MRVPVSSYSA-N 0 2 320.308 0.675 20 0 DCADLN CS(=O)(=O)N1CCC(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000764628830 668455590 /nfs/dbraw/zinc/45/55/90/668455590.db2.gz YGGDSFTXPIXDCE-MRVPVSSYSA-N 0 2 320.308 0.675 20 0 DCADLN CCNS(=O)(=O)CCNC(=O)c1cc(F)cc(Cl)c1O ZINC000769061123 668632424 /nfs/dbraw/zinc/63/24/24/668632424.db2.gz DKEXMHPCHNJVHF-UHFFFAOYSA-N 0 2 324.761 0.854 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2ccc3nc[nH]c3n2)C1=O ZINC000769851060 668666149 /nfs/dbraw/zinc/66/61/49/668666149.db2.gz ADDGZWVQUYBMBZ-ZDUSSCGKSA-N 0 2 302.294 0.323 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cccc3c2OCO3)C1=O ZINC000769855147 668666913 /nfs/dbraw/zinc/66/69/13/668666913.db2.gz OVCIMEICKFUTHT-CQSZACIVSA-N 0 2 305.290 0.781 20 0 DCADLN C[C@H](CC(=O)c1ccco1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000771561958 668742603 /nfs/dbraw/zinc/74/26/03/668742603.db2.gz GJTSFSQWAUJTPH-XCBNKYQSSA-N 0 2 309.347 0.914 20 0 DCADLN COc1cc(C=O)ccc1OCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774879425 668849957 /nfs/dbraw/zinc/84/99/57/668849957.db2.gz DTBLRRUPJLWIKR-UHFFFAOYSA-N 0 2 307.262 0.454 20 0 DCADLN CC(C)(C)C(=O)N1CCC[C@@H](C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000774928235 668851419 /nfs/dbraw/zinc/85/14/19/668851419.db2.gz ZZHGZSWJPVGWPH-SECBINFHSA-N 0 2 310.354 0.838 20 0 DCADLN COc1ccc2c(c1)C[C@H](C(=O)OCc1n[nH]c(=O)[nH]1)CO2 ZINC000774917624 668851587 /nfs/dbraw/zinc/85/15/87/668851587.db2.gz HLBADXXXHUBBFH-VIFPVBQESA-N 0 2 305.290 0.813 20 0 DCADLN C[C@@H](CC(=O)OCc1n[nH]c(=O)[nH]1)NC(=O)OC(C)(C)C ZINC000774961478 668852742 /nfs/dbraw/zinc/85/27/42/668852742.db2.gz SIOUPADTFGKBCW-ZETCQYMHSA-N 0 2 300.315 0.857 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)C1(S(=O)(=O)c2ccccc2)CC1 ZINC000774960888 668852767 /nfs/dbraw/zinc/85/27/67/668852767.db2.gz DXOVTLKFDRGYFZ-UHFFFAOYSA-N 0 2 323.330 0.560 20 0 DCADLN CO[C@@H]1[C@@H](C)[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000775973835 668869893 /nfs/dbraw/zinc/86/98/93/668869893.db2.gz ASONMDBOQGMIPF-KPXOXKRLSA-N 0 2 319.365 0.247 20 0 DCADLN CCc1ccccc1-n1cnnc1SCC(=O)NOCCO ZINC000776583733 668878407 /nfs/dbraw/zinc/87/84/07/668878407.db2.gz FKQUNOGQUYEVDP-UHFFFAOYSA-N 0 2 322.390 0.962 20 0 DCADLN CC(=O)c1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)nc1C ZINC000777434746 668887482 /nfs/dbraw/zinc/88/74/82/668887482.db2.gz AJRLBAUNSLRMKH-LLVKDONJSA-N 0 2 320.374 0.765 20 0 DCADLN CN(C[C@H]1CCCC[C@H]1O)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000778504269 668900530 /nfs/dbraw/zinc/90/05/30/668900530.db2.gz LJLNIHXJAZWPRC-ZYHUDNBSSA-N 0 2 319.365 0.081 20 0 DCADLN CC(C)[C@@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000780340826 668979057 /nfs/dbraw/zinc/97/90/57/668979057.db2.gz BTLHLJICGKNEOV-LLVKDONJSA-N 0 2 301.387 0.506 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000780672974 669004065 /nfs/dbraw/zinc/00/40/65/669004065.db2.gz MTYPSMHMXVWODJ-UHFFFAOYSA-N 0 2 306.322 0.577 20 0 DCADLN Cc1ccc(F)c(S(=O)(=O)N2CC[NH+](CC(=O)[O-])CC2)c1 ZINC000035680403 669046747 /nfs/dbraw/zinc/04/67/47/669046747.db2.gz VBQUZHSLHRVJER-UHFFFAOYSA-N 0 2 316.354 0.525 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(OC3COC3)cc2)S1 ZINC000781745325 669061853 /nfs/dbraw/zinc/06/18/53/669061853.db2.gz UOVSDNRZXRCFJD-NSHDSACASA-N 0 2 321.358 0.959 20 0 DCADLN CC(C)NC(=O)NCC[N@@H+]1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879767224 669106108 /nfs/dbraw/zinc/10/61/08/669106108.db2.gz VFORFGMVSIVTTJ-LBPRGKRZSA-N 0 2 324.347 0.837 20 0 DCADLN CC(C)NC(=O)NCC[N@H+]1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879767224 669106110 /nfs/dbraw/zinc/10/61/10/669106110.db2.gz VFORFGMVSIVTTJ-LBPRGKRZSA-N 0 2 324.347 0.837 20 0 DCADLN O=C(NCCOCCOC(=O)c1cscn1)C(F)(F)F ZINC000782647404 669115052 /nfs/dbraw/zinc/11/50/52/669115052.db2.gz SHHLCHKLMPXLKR-UHFFFAOYSA-N 0 2 312.269 0.995 20 0 DCADLN Cc1cc(NC(=O)CC2SC(=N)NC2=O)ccc1OCCO ZINC000782847234 669125461 /nfs/dbraw/zinc/12/54/61/669125461.db2.gz YGVJUGYSXLZKRZ-NSHDSACASA-N 0 2 323.374 0.861 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(Br)n1 ZINC000786146830 669295297 /nfs/dbraw/zinc/29/52/97/669295297.db2.gz UPKNMEGZIDFXCJ-UHFFFAOYSA-N 0 2 313.115 0.990 20 0 DCADLN O=C(NCc1cn(CCOC[C@@H]2CCCO2)nn1)C(F)(F)F ZINC000881305917 669321160 /nfs/dbraw/zinc/32/11/60/669321160.db2.gz RGILZULMYGDTGX-JTQLQIEISA-N 0 2 322.287 0.652 20 0 DCADLN CN1C[C@H](C(=O)OCc2n[nH]c(=O)[nH]2)c2ccccc2C1=O ZINC000787098205 669350478 /nfs/dbraw/zinc/35/04/78/669350478.db2.gz GHBHPCSLMCUWAF-JTQLQIEISA-N 0 2 302.290 0.423 20 0 DCADLN CC(C)C[C@]1(CO)CCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000789875393 669515703 /nfs/dbraw/zinc/51/57/03/669515703.db2.gz SNNQEGAEDQQGBM-HZMBPMFUSA-N 0 2 313.423 0.942 20 0 DCADLN O=C(CNC(=O)c1c[nH]c2ccccc2c1=O)Nc1cn[nH]n1 ZINC000789926897 669520912 /nfs/dbraw/zinc/52/09/12/669520912.db2.gz ZRNUCSLCFYXRBE-UHFFFAOYSA-N 0 2 312.289 0.015 20 0 DCADLN CN(C)[S@](C)(=O)=NS(=O)(=O)Nc1cccc2c1OCC2 ZINC000882767093 669582065 /nfs/dbraw/zinc/58/20/65/669582065.db2.gz ATPQYRBENSXFRN-LJQANCHMSA-N 0 2 319.408 0.853 20 0 DCADLN O=C(C[N@H+]1CC[C@H]2[C@@H]1CCCN2CCO)NCc1ccccc1 ZINC000934258848 669587693 /nfs/dbraw/zinc/58/76/93/669587693.db2.gz NLPRCANNCRNLPI-IRXDYDNUSA-N 0 2 317.433 0.834 20 0 DCADLN CO[C@@]1(C)CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000791845067 669633790 /nfs/dbraw/zinc/63/37/90/669633790.db2.gz KOYBKAOGOATNKD-AWEZNQCLSA-N 0 2 305.338 0.099 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H](C)C(=O)NC1CCCCC1 ZINC000883413967 669681670 /nfs/dbraw/zinc/68/16/70/669681670.db2.gz HSYWHALIVOTNEQ-LLVKDONJSA-N 0 2 314.382 0.774 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)NC1CCCCC1 ZINC000883413967 669681672 /nfs/dbraw/zinc/68/16/72/669681672.db2.gz HSYWHALIVOTNEQ-LLVKDONJSA-N 0 2 314.382 0.774 20 0 DCADLN O=C(C(=O)N1CC[NH2+]CCC12CCC2)N1CC[NH+](C2CC2)CC1 ZINC000883656690 669726489 /nfs/dbraw/zinc/72/64/89/669726489.db2.gz HCVWCCPXYAUSLI-UHFFFAOYSA-N 0 2 320.437 0.038 20 0 DCADLN CO[C@@]1(C)CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000794184928 669805452 /nfs/dbraw/zinc/80/54/52/669805452.db2.gz QXYMCUYHBMDDTM-HNNXBMFYSA-N 0 2 319.365 0.489 20 0 DCADLN O=C([O-])c1ccc(F)c(S(=O)(=O)NCCn2cc[nH+]c2)c1 ZINC000041063252 669822349 /nfs/dbraw/zinc/82/23/49/669822349.db2.gz PAMCEENSIIINEW-UHFFFAOYSA-N 0 2 313.310 0.699 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1ccc2c(c1)C(=O)OC2 ZINC000798222680 669993208 /nfs/dbraw/zinc/99/32/08/669993208.db2.gz UDNZEWNXSRMWAM-UHFFFAOYSA-N 0 2 307.331 0.867 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)N(CC)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC000950447474 670028510 /nfs/dbraw/zinc/02/85/10/670028510.db2.gz PSUBCIPXPNTDDT-NWDGAFQWSA-N 0 2 323.397 0.501 20 0 DCADLN CCN(C(=O)[C@@H]1CCC[C@H]1OC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950666079 670089434 /nfs/dbraw/zinc/08/94/34/670089434.db2.gz DWWWBSJHKIZBPZ-VXGBXAGGSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)(C)n1ncnc1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000800642160 670096866 /nfs/dbraw/zinc/09/68/66/670096866.db2.gz KJIQUJOTXGUCPL-ZETCQYMHSA-N 0 2 310.383 0.206 20 0 DCADLN O=C([C@@H]1CCC(F)(F)C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948665300 670152730 /nfs/dbraw/zinc/15/27/30/670152730.db2.gz SZUCOFXKMRFOFO-SECBINFHSA-N 0 2 315.324 0.590 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cocn1 ZINC000949123039 670264450 /nfs/dbraw/zinc/26/44/50/670264450.db2.gz JYTYYLXACLWDOQ-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cocn1 ZINC000949123039 670264453 /nfs/dbraw/zinc/26/44/53/670264453.db2.gz JYTYYLXACLWDOQ-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(C[C@H]1CC=CCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949692724 670406378 /nfs/dbraw/zinc/40/63/78/670406378.db2.gz DWPJFJDOYMDRPT-LBPRGKRZSA-N 0 2 305.382 0.901 20 0 DCADLN CC1(C)CCC[C@@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949725184 670415488 /nfs/dbraw/zinc/41/54/88/670415488.db2.gz JMHUQOZVWJEZNP-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN CCN(C(=O)[C@@H]1CC12CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952375104 670484314 /nfs/dbraw/zinc/48/43/14/670484314.db2.gz HYGQTMKIZRQFNN-NSHDSACASA-N 0 2 305.382 0.733 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC000810484495 670487721 /nfs/dbraw/zinc/48/77/21/670487721.db2.gz ZZLDEPBRHDOLJZ-NKWVEPMBSA-N 0 2 306.281 0.427 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000810484495 670487722 /nfs/dbraw/zinc/48/77/22/670487722.db2.gz ZZLDEPBRHDOLJZ-NKWVEPMBSA-N 0 2 306.281 0.427 20 0 DCADLN O=CN1CCC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000854385231 670557989 /nfs/dbraw/zinc/55/79/89/670557989.db2.gz FQTIGQXSMJAENH-NSHDSACASA-N 0 2 301.306 0.737 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCO[C@@H](c2ccccc2)O1 ZINC000847545200 670572211 /nfs/dbraw/zinc/57/22/11/670572211.db2.gz YSZFCTAZUBFYMK-GXFFZTMASA-N 0 2 304.306 0.631 20 0 DCADLN C[C@@H](NC(N)=O)c1noc(C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000813339075 670621597 /nfs/dbraw/zinc/62/15/97/670621597.db2.gz AFEWEHVZUBIILD-SCSAIBSYSA-N 0 2 309.248 0.713 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@@H](C(=O)N3CCCC3)C2)S1 ZINC000813659143 670643220 /nfs/dbraw/zinc/64/32/20/670643220.db2.gz DBQGURMZAPBVDM-ZJUUUORDSA-N 0 2 324.406 0.014 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2Cc3ccccc3NC(=O)C2)S1 ZINC000848314769 670668495 /nfs/dbraw/zinc/66/84/95/670668495.db2.gz FRAIZXRWOLPSPA-SNVBAGLBSA-N 0 2 318.358 0.524 20 0 DCADLN COCC1(C2CCC2)CN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000855531075 670729076 /nfs/dbraw/zinc/72/90/76/670729076.db2.gz QSPJWOJUAGXAFX-SNVBAGLBSA-N 0 2 311.407 0.818 20 0 DCADLN CS(=O)(=O)[C@H]1CSCCN1C(=O)C(F)C(F)(F)F ZINC000815679298 670754841 /nfs/dbraw/zinc/75/48/41/670754841.db2.gz FOFVZPLMSAGHJS-NTSWFWBYSA-N 0 2 309.306 0.833 20 0 DCADLN CS(=O)(=O)[C@H]1CSCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000815679298 670754847 /nfs/dbraw/zinc/75/48/47/670754847.db2.gz FOFVZPLMSAGHJS-NTSWFWBYSA-N 0 2 309.306 0.833 20 0 DCADLN C[C@]1(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1(F)F ZINC000855804373 670757365 /nfs/dbraw/zinc/75/73/65/670757365.db2.gz KANXZEAFBOBHGD-LBPRGKRZSA-N 0 2 311.292 0.623 20 0 DCADLN COc1ccnc(CNC(=O)C[C@H]2SC(=N)NC2=O)c1F ZINC000855808531 670757613 /nfs/dbraw/zinc/75/76/13/670757613.db2.gz XWNZFUSJKWONGJ-MRVPVSSYSA-N 0 2 312.326 0.402 20 0 DCADLN O=C(NCCn1cc(COc2ncccn2)nn1)C(F)(F)F ZINC000849141408 670760207 /nfs/dbraw/zinc/76/02/07/670760207.db2.gz VKUMJXWVVZVGPH-UHFFFAOYSA-N 0 2 316.243 0.326 20 0 DCADLN COC(=O)c1occc1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000855872180 670762170 /nfs/dbraw/zinc/76/21/70/670762170.db2.gz UDIBSQADLGHTQF-ZETCQYMHSA-N 0 2 311.319 0.239 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)nc[nH+]2)C1 ZINC000849348664 670778454 /nfs/dbraw/zinc/77/84/54/670778454.db2.gz KYZYJTQZYNBKQO-TVEHIPJCSA-N 0 2 318.377 0.451 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)[nH+]cn2)C1 ZINC000849348664 670778457 /nfs/dbraw/zinc/77/84/57/670778457.db2.gz KYZYJTQZYNBKQO-TVEHIPJCSA-N 0 2 318.377 0.451 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N[C@H]3C[C@H](O)C3)cc2[nH]c1=S ZINC000856393503 670812296 /nfs/dbraw/zinc/81/22/96/670812296.db2.gz SOBKXCDOFHDYNU-MGCOHNPYSA-N 0 2 319.386 0.958 20 0 DCADLN Cc1ncc(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)c(N)n1 ZINC000816576116 670823908 /nfs/dbraw/zinc/82/39/08/670823908.db2.gz KMYBELTXJVKGIJ-UHFFFAOYSA-N 0 2 319.287 0.441 20 0 DCADLN C[C@]1(C(F)F)CN(C(=O)C[C@H]2SC(=N)NC2=O)CCO1 ZINC000856502194 670826004 /nfs/dbraw/zinc/82/60/04/670826004.db2.gz YQXATIUPBCYDAH-KSBSHMNSSA-N 0 2 307.322 0.425 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000816816957 670867616 /nfs/dbraw/zinc/86/76/16/670867616.db2.gz NUTSRVBIDKXVAQ-NKWVEPMBSA-N 0 2 306.281 0.379 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000816816957 670867619 /nfs/dbraw/zinc/86/76/19/670867619.db2.gz NUTSRVBIDKXVAQ-NKWVEPMBSA-N 0 2 306.281 0.379 20 0 DCADLN COC(=O)c1nsc(NC(=O)c2nnn(C(C)(C)C)n2)n1 ZINC000857618302 670935936 /nfs/dbraw/zinc/93/59/36/670935936.db2.gz VRBWNZPSOKJGPZ-UHFFFAOYSA-N 0 2 311.327 0.319 20 0 DCADLN CC1(C)CCC[C@]1(O)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000818107676 670973161 /nfs/dbraw/zinc/97/31/61/670973161.db2.gz DRLBKWOUZNFAPN-HNNXBMFYSA-N 0 2 319.365 0.129 20 0 DCADLN CNC(=O)CN(C)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858160748 670975171 /nfs/dbraw/zinc/97/51/71/670975171.db2.gz OSGDIMUNQMNKJN-UHFFFAOYSA-N 0 2 321.255 0.276 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2C[C@@]3(CCCO3)[C@@H]2C2CC2)S1 ZINC000819259742 671106903 /nfs/dbraw/zinc/10/69/03/671106903.db2.gz AXZNGWVXNOAQCQ-CHIMOYNISA-N 0 2 309.391 0.713 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CC[C@@H](Oc2ccccc2)C1 ZINC000852740814 671154026 /nfs/dbraw/zinc/15/40/26/671154026.db2.gz UWHZWPSEBLFGNG-CYBMUJFWSA-N 0 2 313.375 0.639 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CC[C@@H](Oc2ccccc2)C1 ZINC000852740814 671154030 /nfs/dbraw/zinc/15/40/30/671154030.db2.gz UWHZWPSEBLFGNG-CYBMUJFWSA-N 0 2 313.375 0.639 20 0 DCADLN CCn1ncn(NC(=O)c2cn(-c3ccccc3)nc2C)c1=O ZINC000820388016 671197274 /nfs/dbraw/zinc/19/72/74/671197274.db2.gz IBLNMFHJUWVPQT-UHFFFAOYSA-N 0 2 312.333 0.943 20 0 DCADLN O=C(c1ccc2oc(=S)[n-]c2c1)N1CC[NH+](CCO)CC1 ZINC000821360751 671274333 /nfs/dbraw/zinc/27/43/33/671274333.db2.gz XOEMWHAXWDEEQD-UHFFFAOYSA-N 0 2 307.375 0.867 20 0 DCADLN CO[C@H]1c2ccccc2C[C@H]1NC(=O)C[C@H]1SC(=N)NC1=O ZINC000840495203 671457814 /nfs/dbraw/zinc/45/78/14/671457814.db2.gz FPRINLZKKKZJAR-WZRBSPASSA-N 0 2 319.386 0.971 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@H+](Cc2ccc3c(c2)nnn3C)CCO1 ZINC000825359136 671457864 /nfs/dbraw/zinc/45/78/64/671457864.db2.gz JTSQWZDQSGCEHT-HZMBPMFUSA-N 0 2 319.365 0.825 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@@H+](Cc2ccc3c(c2)nnn3C)CCO1 ZINC000825359136 671457865 /nfs/dbraw/zinc/45/78/65/671457865.db2.gz JTSQWZDQSGCEHT-HZMBPMFUSA-N 0 2 319.365 0.825 20 0 DCADLN CCCC[C@H]1NC(=O)N(C[N@@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000826815250 671501176 /nfs/dbraw/zinc/50/11/76/671501176.db2.gz PUAKTIGXRSJDBH-WDEREUQCSA-N 0 2 312.370 0.739 20 0 DCADLN CCCC[C@H]1NC(=O)N(C[N@H+]2CC[C@H](N(C)C(=O)[O-])C2)C1=O ZINC000826815250 671501177 /nfs/dbraw/zinc/50/11/77/671501177.db2.gz PUAKTIGXRSJDBH-WDEREUQCSA-N 0 2 312.370 0.739 20 0 DCADLN CCCCCc1cc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)[nH]n1 ZINC000826833402 671502425 /nfs/dbraw/zinc/50/24/25/671502425.db2.gz CMXVIIDFPUSVLC-GFCCVEGCSA-N 0 2 319.369 0.869 20 0 DCADLN C[C@H](CNC(=O)c1ccccc1C[NH+]1CCOCC1)C(=O)[O-] ZINC000827497638 671529778 /nfs/dbraw/zinc/52/97/78/671529778.db2.gz SJFFHLBRBXUARV-GFCCVEGCSA-N 0 2 306.362 0.969 20 0 DCADLN O=C(Cn1ncsc1=O)C1(NC(=O)C(F)(F)F)CCCC1 ZINC000829463960 671576807 /nfs/dbraw/zinc/57/68/07/671576807.db2.gz TVJTWNKEEYSWGE-UHFFFAOYSA-N 0 2 323.296 0.865 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2ccc3c(c2)N(C)CCC3)n1 ZINC000829906844 671595187 /nfs/dbraw/zinc/59/51/87/671595187.db2.gz TZCUEDZUJXDMLY-UHFFFAOYSA-N 0 2 307.379 0.998 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2nc3c(s2)CCC3)S1 ZINC000831111303 671653679 /nfs/dbraw/zinc/65/36/79/671653679.db2.gz DIZAMHZHNZAZDQ-VIFPVBQESA-N 0 2 324.431 0.847 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCn3nc(C4CC4)cc3C2)S1 ZINC000834461432 671761849 /nfs/dbraw/zinc/76/18/49/671761849.db2.gz IPBQGOZJNRLLFC-LLVKDONJSA-N 0 2 319.390 0.659 20 0 DCADLN CN(C(=O)C[C@H]1SC(=N)NC1=O)[C@@H](CO)c1ccccc1 ZINC000836798524 671832081 /nfs/dbraw/zinc/83/20/81/671832081.db2.gz APXSHRZXGNIPFN-WDEREUQCSA-N 0 2 307.375 0.735 20 0 DCADLN CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000836972253 671840956 /nfs/dbraw/zinc/84/09/56/671840956.db2.gz UQKOBPYCCBWDDH-VGMNWLOBSA-N 0 2 313.379 0.391 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cnc(OCC2CC2)cn1 ZINC000841422210 671931025 /nfs/dbraw/zinc/93/10/25/671931025.db2.gz BIGIMPLJPSDUEP-UHFFFAOYSA-N 0 2 321.362 0.910 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H]2[C@@H]2CCCOC2)S1 ZINC000843924485 672129759 /nfs/dbraw/zinc/12/97/59/672129759.db2.gz QDBPXVBSLOMZFC-VWYCJHECSA-N 0 2 311.407 0.960 20 0 DCADLN CC(C)(C)N1CC[C@@H](NC(=O)C[C@@H]2SC(=N)NC2=O)C1=O ZINC000843942441 672130326 /nfs/dbraw/zinc/13/03/26/672130326.db2.gz SJMYQZRXHZTKBB-SFYZADRCSA-N 0 2 312.395 0.058 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)Cc1cccnc1)n1cc[nH+]c1 ZINC000845511667 672254427 /nfs/dbraw/zinc/25/44/27/672254427.db2.gz NQUBOCWENIXHHD-NSHDSACASA-N 0 2 308.363 0.875 20 0 DCADLN COCC[N@H+](CCC(=O)[O-])CCS(=O)(=O)c1ccccc1 ZINC000846269770 672304655 /nfs/dbraw/zinc/30/46/55/672304655.db2.gz NNHXVRBZNVVJIQ-UHFFFAOYSA-N 0 2 315.391 0.883 20 0 DCADLN COCC[N@@H+](CCC(=O)[O-])CCS(=O)(=O)c1ccccc1 ZINC000846269770 672304656 /nfs/dbraw/zinc/30/46/56/672304656.db2.gz NNHXVRBZNVVJIQ-UHFFFAOYSA-N 0 2 315.391 0.883 20 0 DCADLN CC(C)c1ncc2c(n1)CC[N@@H+](Cc1cn(CC(=O)[O-])nn1)C2 ZINC000527617292 685299100 /nfs/dbraw/zinc/29/91/00/685299100.db2.gz DNPAKTNFUUYWKC-UHFFFAOYSA-N 0 2 316.365 0.834 20 0 DCADLN CC(C)c1ncc2c(n1)CC[N@H+](Cc1cn(CC(=O)[O-])nn1)C2 ZINC000527617292 685299105 /nfs/dbraw/zinc/29/91/05/685299105.db2.gz DNPAKTNFUUYWKC-UHFFFAOYSA-N 0 2 316.365 0.834 20 0 DCADLN CN(C(=O)c1ncccn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955094897 686267880 /nfs/dbraw/zinc/26/78/80/686267880.db2.gz DRZMWSUHSMTTLX-MRVPVSSYSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1ncccn1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000955094897 686267883 /nfs/dbraw/zinc/26/78/83/686267883.db2.gz DRZMWSUHSMTTLX-MRVPVSSYSA-N 0 2 320.246 0.660 20 0 DCADLN C[C@]1(NC(=O)c2cnon2)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000957409643 687055773 /nfs/dbraw/zinc/05/57/73/687055773.db2.gz MMRNUWXSGFHWHK-XVKPBYJWSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@]1(NC(=O)c2cnon2)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000957409643 687055777 /nfs/dbraw/zinc/05/57/77/687055777.db2.gz MMRNUWXSGFHWHK-XVKPBYJWSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC2(CCC2)C1 ZINC001038601885 694128200 /nfs/dbraw/zinc/12/82/00/694128200.db2.gz SGZICFCPOFKPJY-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN CCn1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001038615437 694131786 /nfs/dbraw/zinc/13/17/86/694131786.db2.gz AMELHYAFVQSYDA-NSHDSACASA-N 0 2 318.381 0.644 20 0 DCADLN Cc1cccnc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958486889 687699351 /nfs/dbraw/zinc/69/93/51/687699351.db2.gz JRULJJSOFJQCMS-IWIIMEHWSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1cccnc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958486889 687699353 /nfs/dbraw/zinc/69/93/53/687699353.db2.gz JRULJJSOFJQCMS-IWIIMEHWSA-N 0 2 314.349 0.074 20 0 DCADLN COc1ccccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038945827 694251130 /nfs/dbraw/zinc/25/11/30/694251130.db2.gz VZIIGQNVFHNCKG-JTQLQIEISA-N 0 2 317.349 0.523 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C(C)(F)F ZINC000964619197 689272119 /nfs/dbraw/zinc/27/21/19/689272119.db2.gz RDMBGJPOGYGCCH-JGVFFNPUSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccc[nH]1 ZINC000965095315 689355846 /nfs/dbraw/zinc/35/58/46/689355846.db2.gz AQIHQFUMBOAGDC-GXSJLCMTSA-N 0 2 304.354 0.479 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cnsn1 ZINC000965395520 689432818 /nfs/dbraw/zinc/43/28/18/689432818.db2.gz PVSAJQFYXZRHAM-APPZFPTMSA-N 0 2 323.382 0.002 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cncs1 ZINC000965415502 689437989 /nfs/dbraw/zinc/43/79/89/689437989.db2.gz BLNQAFKJAVEWIA-IUCAKERBSA-N 0 2 322.394 0.607 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000968176984 690191010 /nfs/dbraw/zinc/19/10/10/690191010.db2.gz PIXGSUDFWJXXCF-KWQFWETISA-N 0 2 320.353 0.447 20 0 DCADLN Cc1nnsc1CN1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001007761729 690743476 /nfs/dbraw/zinc/74/34/76/690743476.db2.gz UKJZVRQYRXXNPD-SECBINFHSA-N 0 2 307.383 0.359 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001008510138 690879120 /nfs/dbraw/zinc/87/91/20/690879120.db2.gz HQBVMISIHBUDDL-GHMZBOCLSA-N 0 2 319.365 0.988 20 0 DCADLN Cc1cccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001010018428 691146217 /nfs/dbraw/zinc/14/62/17/691146217.db2.gz UUQZQLCWMHOUSX-GFCCVEGCSA-N 0 2 301.350 0.823 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010126472 691171965 /nfs/dbraw/zinc/17/19/65/691171965.db2.gz URTSSBCDBJGTDM-SECBINFHSA-N 0 2 306.326 0.119 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001010436760 691278873 /nfs/dbraw/zinc/27/88/73/691278873.db2.gz IHLDAIVVXIGYGZ-DCQANWLSSA-N 0 2 305.382 0.637 20 0 DCADLN CC(C)C(=O)N1CC[C@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040070203 694476419 /nfs/dbraw/zinc/47/64/19/694476419.db2.gz QMNMLKJOXVGZNG-KWQFWETISA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)N1CC[C@](O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001040070203 694476420 /nfs/dbraw/zinc/47/64/20/694476420.db2.gz QMNMLKJOXVGZNG-KWQFWETISA-N 0 2 314.279 0.622 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC2(CCC2)C1 ZINC001015568612 692348680 /nfs/dbraw/zinc/34/86/80/692348680.db2.gz LZISSSQGEWRZOI-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN CCn1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1 ZINC001015773129 692436065 /nfs/dbraw/zinc/43/60/65/692436065.db2.gz TUKMRWGNGZSKLL-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1c[nH]nc1C1CC1 ZINC001015931660 692510856 /nfs/dbraw/zinc/51/08/56/692510856.db2.gz MXLSRJHJJCHIGC-SECBINFHSA-N 0 2 317.353 0.115 20 0 DCADLN CC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC(F)(F)C1 ZINC001015976346 692530859 /nfs/dbraw/zinc/53/08/59/692530859.db2.gz HUVQKICNIRPMFF-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN CCc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001016019016 692550212 /nfs/dbraw/zinc/55/02/12/692550212.db2.gz NDBVWZRRQYIASK-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cc1F ZINC001016151062 692582386 /nfs/dbraw/zinc/58/23/86/692582386.db2.gz VKAZNVYYKCNRKA-VIFPVBQESA-N 0 2 323.303 0.793 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)sc1C ZINC001016286220 692636094 /nfs/dbraw/zinc/63/60/94/692636094.db2.gz HVMNEOITDMVGGP-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CCN(C(=O)[C@H]3C[N@H+](C)CCO3)C2)sn1 ZINC001018664422 693186990 /nfs/dbraw/zinc/18/69/90/693186990.db2.gz DZMXIOQYRJJMQA-GXTWGEPZSA-N 0 2 324.450 0.473 20 0 DCADLN Cc1cnc(C[NH2+][C@H]2CCN(C(=O)CCc3nc[nH]n3)C2)s1 ZINC001018686413 693193355 /nfs/dbraw/zinc/19/33/55/693193355.db2.gz GEDAVJMWGVNJRP-NSHDSACASA-N 0 2 320.422 0.893 20 0 DCADLN O=C(CC1CC1)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074137993 694825608 /nfs/dbraw/zinc/82/56/08/694825608.db2.gz ZYSNKWFJGYXKBL-NEPJUHHUSA-N 0 2 321.381 0.112 20 0 DCADLN CCOCC(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001075491875 694954094 /nfs/dbraw/zinc/95/40/94/694954094.db2.gz TUWZUKCKEXFUCC-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)NCC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001075491875 694954095 /nfs/dbraw/zinc/95/40/95/694954095.db2.gz TUWZUKCKEXFUCC-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CS[C@H](C)C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075573291 694960043 /nfs/dbraw/zinc/96/00/43/694960043.db2.gz IHNNDUIUTCYTBB-KXUCPTDWSA-N 0 2 311.411 0.295 20 0 DCADLN O=C(/C=C/C1CC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075647700 694966184 /nfs/dbraw/zinc/96/61/84/694966184.db2.gz PMGICNIERYEXPR-HEKLCECKSA-N 0 2 303.366 0.509 20 0 DCADLN O=C(/C=C/C1CC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075647700 694966185 /nfs/dbraw/zinc/96/61/85/694966185.db2.gz PMGICNIERYEXPR-HEKLCECKSA-N 0 2 303.366 0.509 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001076362443 695016706 /nfs/dbraw/zinc/01/67/06/695016706.db2.gz PRGYJKOJPDBMCQ-YIZRAAEISA-N 0 2 316.295 0.915 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001076362443 695016707 /nfs/dbraw/zinc/01/67/07/695016707.db2.gz PRGYJKOJPDBMCQ-YIZRAAEISA-N 0 2 316.295 0.915 20 0 DCADLN C[C@@H](CCNC(=O)[C@H]1CCOC1)NC(=O)C(F)C(F)(F)F ZINC001076817631 695064996 /nfs/dbraw/zinc/06/49/96/695064996.db2.gz OUXHZUGVTNGDCG-XHNCKOQMSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CCNC(=O)[C@H]1CCOC1)NC(=O)[C@@H](F)C(F)(F)F ZINC001076817631 695065000 /nfs/dbraw/zinc/06/50/00/695065000.db2.gz OUXHZUGVTNGDCG-XHNCKOQMSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(C=C1CCC1)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001756512556 1158481624 /nfs/dbraw/zinc/48/16/24/1158481624.db2.gz UMQOWGWNVLDZTC-WCBMZHEXSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001756512556 1158481626 /nfs/dbraw/zinc/48/16/26/1158481626.db2.gz UMQOWGWNVLDZTC-WCBMZHEXSA-N 0 2 312.263 0.590 20 0 DCADLN COCCn1ccc(-n2c(O)c(CC(F)(F)F)[nH]c2=O)n1 ZINC000348721808 1158903341 /nfs/dbraw/zinc/90/33/41/1158903341.db2.gz SJWRDIIIMQKXOM-ZETCQYMHSA-N 0 2 306.244 0.907 20 0 DCADLN O=C(CCc1nn[nH]n1)N1CCc2[nH]cnc2[C@@H]1c1cccnc1 ZINC001299914375 1159152999 /nfs/dbraw/zinc/15/29/99/1159152999.db2.gz RQMHDYTYFRIGLL-HNNXBMFYSA-N 0 2 324.348 0.425 20 0 DCADLN CC(C)CC(=O)NC[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001383005628 1159198771 /nfs/dbraw/zinc/19/87/71/1159198771.db2.gz SWFAAIZBPMATET-PSASIEDQSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)CC(=O)NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001383005628 1159198768 /nfs/dbraw/zinc/19/87/68/1159198768.db2.gz SWFAAIZBPMATET-PSASIEDQSA-N 0 2 316.295 0.868 20 0 DCADLN C[C@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001397584939 1159222511 /nfs/dbraw/zinc/22/25/11/1159222511.db2.gz XPKNJZHTVBWFQK-WDEREUQCSA-N 0 2 319.365 0.940 20 0 DCADLN CC[C@@H](CCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001446075895 1159337023 /nfs/dbraw/zinc/33/70/23/1159337023.db2.gz JLQGOXQFKLECFV-JTQLQIEISA-N 0 2 306.322 0.157 20 0 DCADLN C[C@@]1(NC(=O)CC[C@@H]2CCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446520631 1159448407 /nfs/dbraw/zinc/44/84/07/1159448407.db2.gz YAMBWWPDFQLFRC-XHDPSFHLSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@@]1(NC(=O)CC[C@@H]2CCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446520631 1159448412 /nfs/dbraw/zinc/44/84/12/1159448412.db2.gz YAMBWWPDFQLFRC-XHDPSFHLSA-N 0 2 323.397 0.550 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001447426966 1159638070 /nfs/dbraw/zinc/63/80/70/1159638070.db2.gz WBGNOJIICSDZHM-ACZMJKKPSA-N 0 2 323.250 0.093 20 0 DCADLN Cn1cnc(C(=O)N[C@H]2C[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001447426966 1159638072 /nfs/dbraw/zinc/63/80/72/1159638072.db2.gz WBGNOJIICSDZHM-ACZMJKKPSA-N 0 2 323.250 0.093 20 0 DCADLN C/C(=C/C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001567904371 1160527569 /nfs/dbraw/zinc/52/75/69/1160527569.db2.gz HQHPHLFUKCWTCY-MQGYJPLLSA-N 0 2 305.382 0.899 20 0 DCADLN C/C(=C/C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001567904371 1160527573 /nfs/dbraw/zinc/52/75/73/1160527573.db2.gz HQHPHLFUKCWTCY-MQGYJPLLSA-N 0 2 305.382 0.899 20 0 DCADLN C[C@H](C(=O)N[C@@H](C(=O)[O-])[C@H](O)c1cccnc1)n1cc[nH+]c1 ZINC001573400102 1163426068 /nfs/dbraw/zinc/42/60/68/1163426068.db2.gz SURMFTGTVYPHHG-YUSALJHKSA-N 0 2 304.306 0.142 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)[C@H](C)C(=O)[O-] ZINC001573569443 1163505633 /nfs/dbraw/zinc/50/56/33/1163505633.db2.gz YCNHYUBQPGPXJL-LOWDOPEQSA-N 0 2 314.382 0.092 20 0 DCADLN C[C@H]([NH2+]CC(=O)Nc1c(C(=O)[O-])cnn1C)c1ccn(C)n1 ZINC001573572215 1163508067 /nfs/dbraw/zinc/50/80/67/1163508067.db2.gz QEGAWEWRFVAOBV-QMMMGPOBSA-N 0 2 306.326 0.141 20 0 DCADLN CNc1cc(CNC(=O)Nc2ncc(C(=O)[O-])n2C)cc[nH+]1 ZINC001573909973 1163582771 /nfs/dbraw/zinc/58/27/71/1163582771.db2.gz FBNJDFGNQBLRLH-UHFFFAOYSA-N 0 2 304.310 0.877 20 0 DCADLN O=C([O-])c1n[nH]nc1[C@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001574422907 1163718803 /nfs/dbraw/zinc/71/88/03/1163718803.db2.gz FDWPBHVYXNXKDC-MRVPVSSYSA-N 0 2 304.310 0.522 20 0 DCADLN Cc1nnccc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001575311401 1163970245 /nfs/dbraw/zinc/97/02/45/1163970245.db2.gz KGCFOXSRPICEOA-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1nnccc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001575311401 1163970251 /nfs/dbraw/zinc/97/02/51/1163970251.db2.gz KGCFOXSRPICEOA-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN O=C(COc1cccnc1)NCCNC(=O)C(F)C(F)(F)F ZINC001575312887 1163971505 /nfs/dbraw/zinc/97/15/05/1163971505.db2.gz YIQRPMDUCKKQLO-SNVBAGLBSA-N 0 2 323.246 0.593 20 0 DCADLN O=C(COc1cccnc1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001575312887 1163971510 /nfs/dbraw/zinc/97/15/10/1163971510.db2.gz YIQRPMDUCKKQLO-SNVBAGLBSA-N 0 2 323.246 0.593 20 0 DCADLN C[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1ccns1 ZINC001575419000 1164007498 /nfs/dbraw/zinc/00/74/98/1164007498.db2.gz WWWFJIZQJQZESX-RXMQYKEDSA-N 0 2 320.338 0.296 20 0 DCADLN CCO[C@H](C(=O)NC[C@H]1C[C@@H]([NH2+]Cc2c[nH]nn2)C1)C1CC1 ZINC001576567602 1164395343 /nfs/dbraw/zinc/39/53/43/1164395343.db2.gz WDZFFJGEPNJPBH-SUHUHFCYSA-N 0 2 307.398 0.604 20 0 DCADLN CCOC(=O)[C@@H]1C[C@H]1Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001578249745 1164963751 /nfs/dbraw/zinc/96/37/51/1164963751.db2.gz XRKGDKALCLWCRG-VHSXEESVSA-N 0 2 318.337 0.239 20 0 DCADLN CCc1cccc(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)c1 ZINC001578533835 1165050625 /nfs/dbraw/zinc/05/06/25/1165050625.db2.gz AIOXKUBAYPRJQZ-UHFFFAOYSA-N 0 2 313.321 0.972 20 0 DCADLN COC[C@@H](NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)C(C)C ZINC001579697366 1165379812 /nfs/dbraw/zinc/37/98/12/1165379812.db2.gz GEGWHBGMGOKJSA-SNVBAGLBSA-N 0 2 320.353 0.677 20 0 DCADLN CSc1[nH]c(=O)c(C(=O)Nc2cn[nH]n2)cc1-c1nn[nH]n1 ZINC001580229836 1165542928 /nfs/dbraw/zinc/54/29/28/1165542928.db2.gz GLUBXEQDIQFGGU-UHFFFAOYSA-N 0 2 319.310 0.060 20 0 DCADLN C[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1ccccn1 ZINC001580440940 1165603264 /nfs/dbraw/zinc/60/32/64/1165603264.db2.gz AQTPSECMOINYQP-ZETCQYMHSA-N 0 2 314.309 0.234 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCc1nccs1 ZINC001580606236 1165654925 /nfs/dbraw/zinc/65/49/25/1165654925.db2.gz SQOQXFYLOVWMHK-UHFFFAOYSA-N 0 2 317.334 0.662 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccccc2CF)c1=O ZINC001581753399 1165868815 /nfs/dbraw/zinc/86/88/15/1165868815.db2.gz BBUDTVYDLGBGDW-UHFFFAOYSA-N 0 2 316.296 0.245 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1sccc1-c1nn[nH]n1 ZINC001582561285 1166046181 /nfs/dbraw/zinc/04/61/81/1166046181.db2.gz XCVIKXSMWYPJCG-UHFFFAOYSA-N 0 2 319.306 0.059 20 0 DCADLN O=C(c1c[nH]c(-c2nn[nH]n2)c1)N1CC2(C1)CC[N@@H+](CCF)C2 ZINC001582665372 1166062865 /nfs/dbraw/zinc/06/28/65/1166062865.db2.gz HDSQBXLVNDLFSS-UHFFFAOYSA-N 0 2 319.344 0.312 20 0 DCADLN O=C(c1c[nH]c(-c2nn[nH]n2)c1)N1CC2(C1)CCN(CCF)C2 ZINC001582665372 1166062884 /nfs/dbraw/zinc/06/28/84/1166062884.db2.gz HDSQBXLVNDLFSS-UHFFFAOYSA-N 0 2 319.344 0.312 20 0 DCADLN C[C@](CNC(=O)c1ccncc1Cl)(NC(=O)C(N)=O)C1CC1 ZINC001582658782 1166063335 /nfs/dbraw/zinc/06/33/35/1166063335.db2.gz JDAFYDFHDOJYJB-CQSZACIVSA-N 0 2 324.768 0.235 20 0 DCADLN C/C=C(/C)C(=O)NC[C@@](C)(NC(=O)[C@H]1CCC(=O)N1C)C1CC1 ZINC001582655535 1166063460 /nfs/dbraw/zinc/06/34/60/1166063460.db2.gz DJSPDPROPBAWPR-FLDJWWNQSA-N 0 2 321.421 0.975 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCOc1ccccc1F ZINC001582806221 1166085905 /nfs/dbraw/zinc/08/59/05/1166085905.db2.gz HWWPRSUBJQEARQ-UHFFFAOYSA-N 0 2 318.268 0.347 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)NC/C=C\CNC(=O)CCc1[nH]cc[nH+]1 ZINC001583152837 1166215994 /nfs/dbraw/zinc/21/59/94/1166215994.db2.gz RJPZEJLONGHDLF-ZRMMWKCHSA-N 0 2 319.409 0.225 20 0 DCADLN NC(=O)c1cn(CCCCNC(=O)C(F)C(F)(F)F)nn1 ZINC001583708764 1166280761 /nfs/dbraw/zinc/28/07/61/1166280761.db2.gz OWQXUHVFPNYIFC-SSDOTTSWSA-N 0 2 311.239 0.174 20 0 DCADLN NC(=O)c1cn(CCCCNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001583708764 1166280765 /nfs/dbraw/zinc/28/07/65/1166280765.db2.gz OWQXUHVFPNYIFC-SSDOTTSWSA-N 0 2 311.239 0.174 20 0 DCADLN C[C@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)CC(F)F ZINC001589875508 1166702141 /nfs/dbraw/zinc/70/21/41/1166702141.db2.gz GSICJYPBKFHVFB-YFKPBYRVSA-N 0 2 315.284 0.369 20 0 DCADLN CC1(CCCCn2cnc(-c3nn[nH]n3)cc2=O)OCCO1 ZINC001590125478 1166780886 /nfs/dbraw/zinc/78/08/86/1166780886.db2.gz PTYIEYWPARCREI-UHFFFAOYSA-N 0 2 306.326 0.357 20 0 DCADLN C[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@@H](C)[C@@H](C)O1 ZINC001590311571 1166857736 /nfs/dbraw/zinc/85/77/36/1166857736.db2.gz XVQVOSUEBZLDIL-OUAUKWLOSA-N 0 2 304.354 0.484 20 0 DCADLN CCOC(=O)CCC(=O)Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001590764210 1167011605 /nfs/dbraw/zinc/01/16/05/1167011605.db2.gz SJVTVNKQAYQAKJ-UHFFFAOYSA-N 0 2 319.321 0.249 20 0 DCADLN C[C@@H]1COCCN1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001591752494 1167366210 /nfs/dbraw/zinc/36/62/10/1167366210.db2.gz MMJMDVJZEOAEKF-SNVBAGLBSA-N 0 2 316.365 0.195 20 0 DCADLN Cc1c(-c2cnc(Cl)n2C)cn(C)c(=O)c1-c1nn[nH]n1 ZINC001591794713 1167380918 /nfs/dbraw/zinc/38/09/18/1167380918.db2.gz MKKFNWOZTVTXLV-UHFFFAOYSA-N 0 2 305.729 0.928 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCC(F)(F)F ZINC001591836030 1167391680 /nfs/dbraw/zinc/39/16/80/1167391680.db2.gz VCMVKFXHYQXNAI-UHFFFAOYSA-N 0 2 316.243 0.958 20 0 DCADLN Cc1ccc(C(=O)N2CCc3[nH]nnc3C2)cc1-c1nn[nH]n1 ZINC001592120696 1167461203 /nfs/dbraw/zinc/46/12/03/1167461203.db2.gz ZLNIWVJALCBXSI-UHFFFAOYSA-N 0 2 310.321 0.492 20 0 DCADLN Cc1ccn(Cc2cn(C(C)(C)C)nn2)c(=O)c1-c1nn[nH]n1 ZINC001592265713 1167480217 /nfs/dbraw/zinc/48/02/17/1167480217.db2.gz JZEBKBFRBWPVBX-UHFFFAOYSA-N 0 2 314.353 0.732 20 0 DCADLN Cc1[nH]nc(NC(=O)[C@@H]2CCCc3n[nH]nc32)c1-c1nn[nH]n1 ZINC001592328752 1167492105 /nfs/dbraw/zinc/49/21/05/1167492105.db2.gz WPXRDTCBCNKAHA-ZCFIWIBFSA-N 0 2 314.313 0.075 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H](C)CN1CCCC1=O ZINC001592499783 1167539859 /nfs/dbraw/zinc/53/98/59/1167539859.db2.gz RCHOJXJGRFFUGC-QMMMGPOBSA-N 0 2 318.337 0.509 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccc(Cl)s2)c1=O ZINC001592558153 1167558616 /nfs/dbraw/zinc/55/86/16/1167558616.db2.gz QPPBXTZAQCOCMI-UHFFFAOYSA-N 0 2 324.753 0.490 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1ccc(F)cc1F ZINC001592941789 1167670416 /nfs/dbraw/zinc/67/04/16/1167670416.db2.gz WIYVLAKXIZNRNW-UHFFFAOYSA-N 0 2 318.243 0.585 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(-c2nn[nH]n2)c(F)c1 ZINC001593153116 1167728680 /nfs/dbraw/zinc/72/86/80/1167728680.db2.gz ZBMCZUVYZCGXIR-UHFFFAOYSA-N 0 2 319.260 0.151 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1CCc1cccc(F)c1F ZINC001593495171 1167880202 /nfs/dbraw/zinc/88/02/02/1167880202.db2.gz XSRBWKBBYUIDSM-UHFFFAOYSA-N 0 2 304.260 0.944 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1cccc(C(=O)[O-])n1 ZINC001598865389 1168049269 /nfs/dbraw/zinc/04/92/69/1168049269.db2.gz QXTQPCFZAACRML-GFCCVEGCSA-N 0 2 321.333 0.033 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1cccc(C(=O)[O-])n1 ZINC001598865389 1168049308 /nfs/dbraw/zinc/04/93/08/1168049308.db2.gz QXTQPCFZAACRML-GFCCVEGCSA-N 0 2 321.333 0.033 20 0 DCADLN COC[C@H](NC(=O)c1ccccc1C[NH+]1CCOCC1)C(=O)[O-] ZINC001599174439 1168069523 /nfs/dbraw/zinc/06/95/23/1168069523.db2.gz LKNRCZYYYVFVRY-AWEZNQCLSA-N 0 2 322.361 0.348 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)[N@H+](C)[C@@H]1COC[C@H]1O ZINC001600352005 1168172534 /nfs/dbraw/zinc/17/25/34/1168172534.db2.gz HETXNKVBPRGBMN-LERXQTSPSA-N 0 2 322.361 0.712 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)[N@@H+](C)[C@@H]1COC[C@H]1O ZINC001600352005 1168172538 /nfs/dbraw/zinc/17/25/38/1168172538.db2.gz HETXNKVBPRGBMN-LERXQTSPSA-N 0 2 322.361 0.712 20 0 DCADLN Cc1ccc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)[nH+]c1C(=O)[O-] ZINC001600437440 1168182556 /nfs/dbraw/zinc/18/25/56/1168182556.db2.gz WUJHVRCMEWSBEO-LBPRGKRZSA-N 0 2 319.361 0.916 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CC[NH+]([C@H](C)CC(=O)[O-])CC2)o1 ZINC001600476752 1168189305 /nfs/dbraw/zinc/18/93/05/1168189305.db2.gz QEDZUWAEYCHVMP-SNVBAGLBSA-N 0 2 316.379 0.758 20 0 DCADLN Cc1ccc(S(=O)(=O)NCCc2cn(C)c[nH+]2)c(C(=O)[O-])c1 ZINC001600482210 1168190755 /nfs/dbraw/zinc/19/07/55/1168190755.db2.gz FNNBFNAELMUGOH-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN Cc1n[nH]cc1C1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001600675219 1168243374 /nfs/dbraw/zinc/24/33/74/1168243374.db2.gz YKPAXUAWBCDAJJ-UHFFFAOYSA-N 0 2 304.354 0.774 20 0 DCADLN Cc1[nH]nc(S(=O)(=O)N2CC[N@@H+](C)CC2(C)C)c1C(=O)[O-] ZINC001600672974 1168243871 /nfs/dbraw/zinc/24/38/71/1168243871.db2.gz PWWRWTJQRGTRHW-UHFFFAOYSA-N 0 2 316.383 0.131 20 0 DCADLN Cc1[nH]nc(S(=O)(=O)N2CC[N@H+](C)CC2(C)C)c1C(=O)[O-] ZINC001600672974 1168243885 /nfs/dbraw/zinc/24/38/85/1168243885.db2.gz PWWRWTJQRGTRHW-UHFFFAOYSA-N 0 2 316.383 0.131 20 0 DCADLN Cc1nc(C)c(C[NH2+]C2(C(=O)[O-])CCS(=O)(=O)CC2)o1 ZINC001600691730 1168252390 /nfs/dbraw/zinc/25/23/90/1168252390.db2.gz XNRMKMYFMXLZJY-UHFFFAOYSA-N 0 2 302.352 0.413 20 0 DCADLN Cc1nc2sccn2c1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600733853 1168266112 /nfs/dbraw/zinc/26/61/12/1168266112.db2.gz YHBGSYYDNIIARL-SECBINFHSA-N 0 2 319.346 0.784 20 0 DCADLN Cc1ncc(Cl)c(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001600737975 1168270547 /nfs/dbraw/zinc/27/05/47/1168270547.db2.gz RGWVNASPBSIZKT-VIFPVBQESA-N 0 2 309.713 0.518 20 0 DCADLN Cc1nc(N2CCC(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC001600750854 1168276578 /nfs/dbraw/zinc/27/65/78/1168276578.db2.gz OVMBIKZMLNTENO-CHWSQXEVSA-N 0 2 318.377 0.838 20 0 DCADLN Cc1nn(C)c(C)c1CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001600770372 1168284602 /nfs/dbraw/zinc/28/46/02/1168284602.db2.gz PRZWUSYVTWTTJQ-LBPRGKRZSA-N 0 2 305.338 0.046 20 0 DCADLN Cc1oc(CNC(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1C(=O)[O-] ZINC001600848689 1168483958 /nfs/dbraw/zinc/48/39/58/1168483958.db2.gz WLQOLLUAFCAPIN-SECBINFHSA-N 0 2 304.306 0.907 20 0 DCADLN Nc1[nH+]cccc1CNC(=O)NCc1ncc(C(=O)[O-])s1 ZINC001601221657 1168665332 /nfs/dbraw/zinc/66/53/32/1168665332.db2.gz LLXUYKPKRUVGRO-UHFFFAOYSA-N 0 2 307.335 0.818 20 0 DCADLN O=C([O-])[C@@H]1CSCC[N@H+](CC(=O)Nc2nncs2)C1 ZINC001601319114 1168705252 /nfs/dbraw/zinc/70/52/52/1168705252.db2.gz NBVZKQNXRSLPBC-ZETCQYMHSA-N 0 2 302.381 0.226 20 0 DCADLN O=C([O-])[C@@H]1CSCC[N@@H+](CC(=O)Nc2nncs2)C1 ZINC001601319114 1168705257 /nfs/dbraw/zinc/70/52/57/1168705257.db2.gz NBVZKQNXRSLPBC-ZETCQYMHSA-N 0 2 302.381 0.226 20 0 DCADLN O=C(C[NH2+][C@H](C(=O)[O-])c1ccc2c(c1)CCO2)NCC1CC1 ZINC001601326283 1168710508 /nfs/dbraw/zinc/71/05/08/1168710508.db2.gz VHPJYQIIJAUTFX-HNNXBMFYSA-N 0 2 304.346 0.863 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)COCc1ccncc1 ZINC001601339318 1168713737 /nfs/dbraw/zinc/71/37/37/1168713737.db2.gz JNAUOYSNRKQOFO-LBPRGKRZSA-N 0 2 304.306 0.133 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)COCc1ccncc1 ZINC001601339318 1168713751 /nfs/dbraw/zinc/71/37/51/1168713751.db2.gz JNAUOYSNRKQOFO-LBPRGKRZSA-N 0 2 304.306 0.133 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1coc2c1C(=O)CCC2 ZINC001601425658 1168742342 /nfs/dbraw/zinc/74/23/42/1168742342.db2.gz GRCPGECLUVMNTE-JTQLQIEISA-N 0 2 317.301 0.878 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ncc(Cl)s1 ZINC001601426389 1168742649 /nfs/dbraw/zinc/74/26/49/1168742649.db2.gz YQZVBGBIVFVBEB-LURJTMIESA-N 0 2 300.727 0.876 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1CNC(=O)Nc1ccc2[nH+]ccn2c1 ZINC001601525934 1168776186 /nfs/dbraw/zinc/77/61/86/1168776186.db2.gz ZHEUEYGJCRZZTC-BXKDBHETSA-N 0 2 304.306 0.946 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H](O)CCc1ccccc1 ZINC001601568150 1168784904 /nfs/dbraw/zinc/78/49/04/1168784904.db2.gz ICAZDNFKUPJLPG-ZIAGYGMSSA-N 0 2 317.345 0.515 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H](O)CCc1ccccc1 ZINC001601568150 1168784910 /nfs/dbraw/zinc/78/49/10/1168784910.db2.gz ICAZDNFKUPJLPG-ZIAGYGMSSA-N 0 2 317.345 0.515 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+]1CCOCC(F)(F)C(F)(F)F ZINC001601774126 1168867041 /nfs/dbraw/zinc/86/70/41/1168867041.db2.gz XCBZKSYWGWTABZ-RQJHMYQMSA-N 0 2 307.215 0.720 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+]1CCOCC(F)(F)C(F)(F)F ZINC001601774126 1168867055 /nfs/dbraw/zinc/86/70/55/1168867055.db2.gz XCBZKSYWGWTABZ-RQJHMYQMSA-N 0 2 307.215 0.720 20 0 DCADLN O=C([O-])COCC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC001602078273 1168990210 /nfs/dbraw/zinc/99/02/10/1168990210.db2.gz RGLJDGLDPYKTFS-UHFFFAOYSA-N 0 2 303.318 0.649 20 0 DCADLN O=C([O-])c1c(F)cccc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC001602229709 1169037621 /nfs/dbraw/zinc/03/76/21/1169037621.db2.gz BYJQHQVCZIDFEX-UHFFFAOYSA-N 0 2 314.338 0.674 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)o1 ZINC001602318553 1169057645 /nfs/dbraw/zinc/05/76/45/1169057645.db2.gz IGSMFUXUMXNRKQ-LLVKDONJSA-N 0 2 308.334 0.915 20 0 DCADLN O=C([O-])c1cccnc1Cn1cc(C[NH+]2CCC(O)CC2)nn1 ZINC001602476074 1169083816 /nfs/dbraw/zinc/08/38/16/1169083816.db2.gz MSIBWMCVHGICSK-UHFFFAOYSA-N 0 2 317.349 0.376 20 0 DCADLN O=C([O-])c1cn([C@H]2CCCN(C(=O)CCc3c[nH]c[nH+]3)C2)nn1 ZINC001602492724 1169090672 /nfs/dbraw/zinc/09/06/72/1169090672.db2.gz DXAUECZRVLGAHR-NSHDSACASA-N 0 2 318.337 0.496 20 0 DCADLN O=C([O-])c1cn([C@H]2CCCN(C(=O)CCc3c[nH+]c[nH]3)C2)nn1 ZINC001602492724 1169090683 /nfs/dbraw/zinc/09/06/83/1169090683.db2.gz DXAUECZRVLGAHR-NSHDSACASA-N 0 2 318.337 0.496 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@H+](Cc3cnc(Cl)cn3)C2)nn1 ZINC001602499444 1169096529 /nfs/dbraw/zinc/09/65/29/1169096529.db2.gz OLMBTNYRVPYHBL-SECBINFHSA-N 0 2 308.729 0.867 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@@H+](Cc3cnc(Cl)cn3)C2)nn1 ZINC001602499444 1169096540 /nfs/dbraw/zinc/09/65/40/1169096540.db2.gz OLMBTNYRVPYHBL-SECBINFHSA-N 0 2 308.729 0.867 20 0 DCADLN O=C([O-])c1cncc(S(=O)(=O)N2CC[NH2+]C[C@H]2C(F)F)c1 ZINC001602541851 1169112279 /nfs/dbraw/zinc/11/22/79/1169112279.db2.gz JPZFUKYYQVPWIL-VIFPVBQESA-N 0 2 321.305 0.007 20 0 DCADLN C[C@@H](C(=O)N[C@H](C(=O)[O-])c1ccnn1C)[NH+]1CCSCC1 ZINC001603154084 1169258292 /nfs/dbraw/zinc/25/82/92/1169258292.db2.gz XNRNDSGTAQOATL-ONGXEEELSA-N 0 2 312.395 0.099 20 0 DCADLN CC(C)(CNS(=O)(=O)c1cncc(C(=O)[O-])c1)n1cc[nH+]c1 ZINC001603647745 1169342053 /nfs/dbraw/zinc/34/20/53/1169342053.db2.gz SCVMFJYDTXFTSW-UHFFFAOYSA-N 0 2 324.362 0.690 20 0 DCADLN C[C@@H]1CCCC[C@H]1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603890121 1169422752 /nfs/dbraw/zinc/42/27/52/1169422752.db2.gz BBTKTZMYWUEDPT-BDAKNGLRSA-N 0 2 319.369 0.904 20 0 DCADLN CC(C)[C@H](NC(=O)COC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC001603973357 1169460058 /nfs/dbraw/zinc/46/00/58/1169460058.db2.gz ICUUPHNAWDXTHY-PWSUYJOCSA-N 0 2 300.355 0.239 20 0 DCADLN CC(C)[C@H](NC(=O)COC(=O)[C@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC001603973357 1169460060 /nfs/dbraw/zinc/46/00/60/1169460060.db2.gz ICUUPHNAWDXTHY-PWSUYJOCSA-N 0 2 300.355 0.239 20 0 DCADLN CC[C@H](CCO)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604030387 1169474251 /nfs/dbraw/zinc/47/42/51/1169474251.db2.gz UPAHTWLTVVAGJK-MRVPVSSYSA-N 0 2 306.326 0.167 20 0 DCADLN CCC(O)(CC)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604065937 1169484117 /nfs/dbraw/zinc/48/41/17/1169484117.db2.gz DUXYIIJXNLMOQN-UHFFFAOYSA-N 0 2 320.353 0.557 20 0 DCADLN CC[C@H]1CCN1Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001604109660 1169493394 /nfs/dbraw/zinc/49/33/94/1169493394.db2.gz VOLABDWYVSFSEQ-NSHDSACASA-N 0 2 300.366 0.959 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(C(=O)c2cnc(C3CC3)[nH]2)CC1 ZINC001604113659 1169496244 /nfs/dbraw/zinc/49/62/44/1169496244.db2.gz DYNJJISCVXMLGT-SNVBAGLBSA-N 0 2 306.366 0.908 20 0 DCADLN C[C@H](CNC(=O)c1cc(C(=O)[O-])no1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001604184210 1169517542 /nfs/dbraw/zinc/51/75/42/1169517542.db2.gz VIHMWNIFHODHRE-BBBLOLIVSA-N 0 2 311.338 0.600 20 0 DCADLN C[C@H](CNC(=O)c1cc(C(=O)[O-])no1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001604184210 1169517547 /nfs/dbraw/zinc/51/75/47/1169517547.db2.gz VIHMWNIFHODHRE-BBBLOLIVSA-N 0 2 311.338 0.600 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001604242255 1169531347 /nfs/dbraw/zinc/53/13/47/1169531347.db2.gz XJRICULBPSECIJ-LKFCYVNXSA-N 0 2 306.322 0.420 20 0 DCADLN C[C@H](NC(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)[C@H](C)[NH+]1CCOCC1 ZINC001604285288 1169547618 /nfs/dbraw/zinc/54/76/18/1169547618.db2.gz BELUHWKEFGYNPX-JZRPKSSGSA-N 0 2 311.382 0.068 20 0 DCADLN C[C@@]1(CO)C[C@@H](O)C[N@@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001604584999 1169623748 /nfs/dbraw/zinc/62/37/48/1169623748.db2.gz SKVFAMMMRVGEDJ-OQPBUACISA-N 0 2 314.363 0.202 20 0 DCADLN C[C@@]1(CO)C[C@@H](O)C[N@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001604584999 1169623753 /nfs/dbraw/zinc/62/37/53/1169623753.db2.gz SKVFAMMMRVGEDJ-OQPBUACISA-N 0 2 314.363 0.202 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NC[C@@H](CC(=O)[O-])C2CC2)CCO1 ZINC001604795339 1169687508 /nfs/dbraw/zinc/68/75/08/1169687508.db2.gz AUUXJXCAUKXSQM-WCQYABFASA-N 0 2 313.398 0.507 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NC[C@@H](CC(=O)[O-])C2CC2)CCO1 ZINC001604795339 1169687513 /nfs/dbraw/zinc/68/75/13/1169687513.db2.gz AUUXJXCAUKXSQM-WCQYABFASA-N 0 2 313.398 0.507 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)Nc2cccc(C(=O)[O-])n2)CCO1 ZINC001604796550 1169689668 /nfs/dbraw/zinc/68/96/68/1169689668.db2.gz PMWPRHSNEZTKOA-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)Nc2cccc(C(=O)[O-])n2)CCO1 ZINC001604796550 1169689677 /nfs/dbraw/zinc/68/96/77/1169689677.db2.gz PMWPRHSNEZTKOA-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN COCCNC(=O)CCc1c(C)[nH]c(=O)c(-c2nn[nH]n2)c1C ZINC001605106104 1169825551 /nfs/dbraw/zinc/82/55/51/1169825551.db2.gz MHTGCSCEQBSQCB-UHFFFAOYSA-N 0 2 320.353 0.279 20 0 DCADLN CCCC(=O)NC1CC[NH+](CN2C[C@@H](C(=O)[O-])OC2=O)CC1 ZINC001605190903 1169862842 /nfs/dbraw/zinc/86/28/42/1169862842.db2.gz OFYMNTJGAUDCEY-NSHDSACASA-N 0 2 313.354 0.230 20 0 DCADLN CCCCC[C@H](C)NC(=O)C[N@@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001605255723 1169881594 /nfs/dbraw/zinc/88/15/94/1169881594.db2.gz ZJIMXRMWAMBKBL-OUCADQQQSA-N 0 2 300.399 0.981 20 0 DCADLN CCCCC[C@H](C)NC(=O)C[N@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001605255723 1169881599 /nfs/dbraw/zinc/88/15/99/1169881599.db2.gz ZJIMXRMWAMBKBL-OUCADQQQSA-N 0 2 300.399 0.981 20 0 DCADLN COc1ccc(Cn2cncc(-c3nn[nH]n3)c2=O)cc1F ZINC001605288666 1169887440 /nfs/dbraw/zinc/88/74/40/1169887440.db2.gz CANPUVCKBFWLHI-UHFFFAOYSA-N 0 2 302.269 0.619 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@H+](CCC(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC001605370237 1169905740 /nfs/dbraw/zinc/90/57/40/1169905740.db2.gz WRIJMIWZUFTSNW-NSHDSACASA-N 0 2 308.382 0.983 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@@H+](CCC(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC001605370237 1169905744 /nfs/dbraw/zinc/90/57/44/1169905744.db2.gz WRIJMIWZUFTSNW-NSHDSACASA-N 0 2 308.382 0.983 20 0 DCADLN CC[N@H+](Cc1cn(CC(=O)[O-])nn1)[C@@H](CO)c1ccccc1 ZINC001605462071 1169929963 /nfs/dbraw/zinc/92/99/63/1169929963.db2.gz VONGNXXGOXQETJ-AWEZNQCLSA-N 0 2 304.350 0.918 20 0 DCADLN CC[N@@H+](Cc1cn(CC(=O)[O-])nn1)[C@@H](CO)c1ccccc1 ZINC001605462071 1169929969 /nfs/dbraw/zinc/92/99/69/1169929969.db2.gz VONGNXXGOXQETJ-AWEZNQCLSA-N 0 2 304.350 0.918 20 0 DCADLN CCN1OC[C@H]([NH2+][C@@H](Cc2cccc(OC)c2)C(=O)[O-])C1=O ZINC001605487345 1169937945 /nfs/dbraw/zinc/93/79/45/1169937945.db2.gz JGWWFJBNIBUXNF-STQMWFEESA-N 0 2 308.334 0.443 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCCSCC1 ZINC001605506616 1169941668 /nfs/dbraw/zinc/94/16/68/1169941668.db2.gz HVHNJSHQJPKDEO-UHFFFAOYSA-N 0 2 320.378 0.855 20 0 DCADLN CCc1[nH]c(C[N@@H+]2CC[C@H](NS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001605772761 1169998840 /nfs/dbraw/zinc/99/88/40/1169998840.db2.gz WCIINSMYVQGJLA-VIFPVBQESA-N 0 2 315.395 0.399 20 0 DCADLN CCc1[nH]c(C[N@H+]2CC[C@H](NS(C)(=O)=O)C2)cc1C(=O)[O-] ZINC001605772761 1169998842 /nfs/dbraw/zinc/99/88/42/1169998842.db2.gz WCIINSMYVQGJLA-VIFPVBQESA-N 0 2 315.395 0.399 20 0 DCADLN CCc1nocc1C[NH+]1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001605880362 1170019346 /nfs/dbraw/zinc/01/93/46/1170019346.db2.gz RTUJBTDQSYVQKX-HNNXBMFYSA-N 0 2 309.366 0.598 20 0 DCADLN Cc1ccc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)o2)c(=O)[nH]1 ZINC001605875624 1170020258 /nfs/dbraw/zinc/02/02/58/1170020258.db2.gz SMKIDAIPCHBGEU-UHFFFAOYSA-N 0 2 322.306 0.670 20 0 DCADLN CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001605904927 1170024058 /nfs/dbraw/zinc/02/40/58/1170024058.db2.gz MGDIDIGILNJWGQ-KXNHARMFSA-N 0 2 323.397 0.192 20 0 DCADLN CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001605904927 1170024069 /nfs/dbraw/zinc/02/40/69/1170024069.db2.gz MGDIDIGILNJWGQ-KXNHARMFSA-N 0 2 323.397 0.192 20 0 DCADLN Cc1ccn(CN2CCC3(COC3)C2)c(=O)c1-c1nn[nH]n1 ZINC001605948788 1170031473 /nfs/dbraw/zinc/03/14/73/1170031473.db2.gz GXTSOTDOXMZZHK-UHFFFAOYSA-N 0 2 302.338 0.017 20 0 DCADLN CC[C@@]1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCNC1=O ZINC001626188993 1170087594 /nfs/dbraw/zinc/08/75/94/1170087594.db2.gz MQLRLVTUEIMRNI-LKFCYVNXSA-N 0 2 307.354 0.133 20 0 DCADLN CN(CCNc1ncc(C(=O)[O-])cn1)C(=O)CCc1c[nH]c[nH+]1 ZINC001606133652 1170090760 /nfs/dbraw/zinc/09/07/60/1170090760.db2.gz YCLWNEZFQBJRPX-UHFFFAOYSA-N 0 2 318.337 0.401 20 0 DCADLN CN(CCNc1ncc(C(=O)[O-])cn1)C(=O)CCc1c[nH+]c[nH]1 ZINC001606133652 1170090769 /nfs/dbraw/zinc/09/07/69/1170090769.db2.gz YCLWNEZFQBJRPX-UHFFFAOYSA-N 0 2 318.337 0.401 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)NC2(C(=O)[O-])CC2)C1 ZINC001606250585 1170137359 /nfs/dbraw/zinc/13/73/59/1170137359.db2.gz JWFLGEDDFIQZNC-UHFFFAOYSA-N 0 2 310.375 0.570 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)NC2(C(=O)[O-])CC2)C1 ZINC001606250585 1170137365 /nfs/dbraw/zinc/13/73/65/1170137365.db2.gz JWFLGEDDFIQZNC-UHFFFAOYSA-N 0 2 310.375 0.570 20 0 DCADLN CNC(=O)[C@@H]1C[N@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC001606266644 1170141886 /nfs/dbraw/zinc/14/18/86/1170141886.db2.gz YCGVUYCZFFOVHE-ZDUSSCGKSA-N 0 2 308.334 0.097 20 0 DCADLN CNC(=O)[C@@H]1C[N@@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC001606266644 1170141893 /nfs/dbraw/zinc/14/18/93/1170141893.db2.gz YCGVUYCZFFOVHE-ZDUSSCGKSA-N 0 2 308.334 0.097 20 0 DCADLN COC(=O)[C@]1(C)C[C@H](OC)C[N@@H+]1C[C@@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606347639 1170166643 /nfs/dbraw/zinc/16/66/43/1170166643.db2.gz JIPMUABUHNKQNR-PGUXBMHVSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@]1(C)C[C@H](OC)C[N@H+]1C[C@@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606347639 1170166668 /nfs/dbraw/zinc/16/66/68/1170166668.db2.gz JIPMUABUHNKQNR-PGUXBMHVSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCc2c[nH+]cn2C)[C@H](C(=O)[O-])C1 ZINC001606403078 1170186786 /nfs/dbraw/zinc/18/67/86/1170186786.db2.gz INVNGUPSXBIUDJ-PWSUYJOCSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@H+](C)CCn2cccn2)n1 ZINC001606537242 1170231082 /nfs/dbraw/zinc/23/10/82/1170231082.db2.gz VSFUVAIQKWKFGZ-UHFFFAOYSA-N 0 2 307.310 0.154 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@@H+](C)CCn2cccn2)n1 ZINC001606537242 1170231086 /nfs/dbraw/zinc/23/10/86/1170231086.db2.gz VSFUVAIQKWKFGZ-UHFFFAOYSA-N 0 2 307.310 0.154 20 0 DCADLN COCC[N@H+](C)Cc1cn(C[C@@H]2CC(C(=O)[O-])=C(C)O2)nn1 ZINC001606880852 1170320556 /nfs/dbraw/zinc/32/05/56/1170320556.db2.gz LTZSAEBQJYBCFW-LBPRGKRZSA-N 0 2 310.354 0.504 20 0 DCADLN COCC[N@@H+](C)Cc1cn(C[C@@H]2CC(C(=O)[O-])=C(C)O2)nn1 ZINC001606880852 1170320559 /nfs/dbraw/zinc/32/05/59/1170320559.db2.gz LTZSAEBQJYBCFW-LBPRGKRZSA-N 0 2 310.354 0.504 20 0 DCADLN COc1c(NC(=O)C(=O)N2CC[NH+](C)CC2)cccc1C(=O)[O-] ZINC001607024406 1170357474 /nfs/dbraw/zinc/35/74/74/1170357474.db2.gz GZUUKOWBNUAUNU-UHFFFAOYSA-N 0 2 321.333 0.106 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@H]1CCC2(CCOCC2)O1 ZINC001607089600 1170385870 /nfs/dbraw/zinc/38/58/70/1170385870.db2.gz CIGIZPCHXMLXKD-SNVBAGLBSA-N 0 2 318.337 0.152 20 0 DCADLN COc1ccc(S(=O)(=O)NC[C@H]2CC[N@@H+]2C)cc1C(=O)[O-] ZINC001607286478 1170423369 /nfs/dbraw/zinc/42/33/69/1170423369.db2.gz HKTULHMIJPRVCS-SECBINFHSA-N 0 2 314.363 0.376 20 0 DCADLN COc1ccc(S(=O)(=O)NC[C@H]2CC[N@H+]2C)cc1C(=O)[O-] ZINC001607286478 1170423373 /nfs/dbraw/zinc/42/33/73/1170423373.db2.gz HKTULHMIJPRVCS-SECBINFHSA-N 0 2 314.363 0.376 20 0 DCADLN COc1cc[nH+]cc1Cn1cc(CCC(=O)CC(=O)[O-])nn1 ZINC001607350407 1170432592 /nfs/dbraw/zinc/43/25/92/1170432592.db2.gz AGHPXIUWUIVAGI-UHFFFAOYSA-N 0 2 304.306 0.706 20 0 DCADLN C[C@H]1CN(C(=O)NCCCNc2cccc[nH+]2)C[C@@H](C(=O)[O-])O1 ZINC001607469658 1170461917 /nfs/dbraw/zinc/46/19/17/1170461917.db2.gz VYZAUIRRUUUZHO-RYUDHWBXSA-N 0 2 322.365 0.767 20 0 DCADLN C[C@H]1CN(S(=O)(=O)CCn2cc[nH+]c2)CC[C@H]1C(=O)[O-] ZINC001607478491 1170463942 /nfs/dbraw/zinc/46/39/42/1170463942.db2.gz QMQVNEYUPWESEK-WDEREUQCSA-N 0 2 301.368 0.256 20 0 DCADLN Cc1cc(CN2CC[NH+](CC[S@@](C)=O)CC2)[nH]c1C(=O)[O-] ZINC001607641484 1170488642 /nfs/dbraw/zinc/48/86/42/1170488642.db2.gz YUHLTJAWQVKMND-OAQYLSRUSA-N 0 2 313.423 0.517 20 0 DCADLN Cc1nc(N(C)C)sc1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001607961103 1170534028 /nfs/dbraw/zinc/53/40/28/1170534028.db2.gz MUOBGRHXDSXDIY-SECBINFHSA-N 0 2 323.378 0.597 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)CCCCC(=O)[O-])C2 ZINC001607971063 1170535881 /nfs/dbraw/zinc/53/58/81/1170535881.db2.gz KUCLOVAPZLSTCW-LBPRGKRZSA-N 0 2 323.393 0.802 20 0 DCADLN Cc1nccc(CCC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001607986574 1170540653 /nfs/dbraw/zinc/54/06/53/1170540653.db2.gz GIRIXGAMJMSSAP-LBPRGKRZSA-N 0 2 303.322 0.184 20 0 DCADLN Cn1cc[nH+]c1CCCOC(=O)CN1CCC[C@H](C(=O)[O-])C1=O ZINC001608132573 1170585721 /nfs/dbraw/zinc/58/57/21/1170585721.db2.gz HMWVOXIEYDTVHZ-NSHDSACASA-N 0 2 323.349 0.219 20 0 DCADLN Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)NCCOCC(=O)[O-])C1 ZINC001608132321 1170586897 /nfs/dbraw/zinc/58/68/97/1170586897.db2.gz CWQWFGKWHCMUGV-LBPRGKRZSA-N 0 2 324.381 0.485 20 0 DCADLN Cn1c[nH+]c(CCN2C(=O)[C@H]3CC[C@H](C(=O)[O-])CN3C2=O)c1 ZINC001608135906 1170590176 /nfs/dbraw/zinc/59/01/76/1170590176.db2.gz YCKVUMWHVKRFDA-GXSJLCMTSA-N 0 2 306.322 0.090 20 0 DCADLN Cn1nc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1C1CC1 ZINC001608141108 1170593178 /nfs/dbraw/zinc/59/31/78/1170593178.db2.gz ULYQPLVUISAEII-LLVKDONJSA-N 0 2 303.322 0.446 20 0 DCADLN Cn1nc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1C1CC1 ZINC001608141108 1170593181 /nfs/dbraw/zinc/59/31/81/1170593181.db2.gz ULYQPLVUISAEII-LLVKDONJSA-N 0 2 303.322 0.446 20 0 DCADLN Cn1ncc(C[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)c1Cl ZINC001608158102 1170600933 /nfs/dbraw/zinc/60/09/33/1170600933.db2.gz WDNWULLGYCPVQI-VIFPVBQESA-N 0 2 310.745 0.810 20 0 DCADLN Cn1ncc(C[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)c1Cl ZINC001608158102 1170600937 /nfs/dbraw/zinc/60/09/37/1170600937.db2.gz WDNWULLGYCPVQI-VIFPVBQESA-N 0 2 310.745 0.810 20 0 DCADLN [NH3+][C@H](C(=O)N1CCO[C@H](CC(=O)[O-])C1)c1c(F)cccc1F ZINC001608247434 1170630086 /nfs/dbraw/zinc/63/00/86/1170630086.db2.gz GQKWZPLWTFLDDY-OQPBUACISA-N 0 2 314.288 0.667 20 0 DCADLN [NH3+][C@@H](CC(=O)N[C@H]1CCCn2nc(C(=O)[O-])cc21)C(F)F ZINC001608249462 1170631291 /nfs/dbraw/zinc/63/12/91/1170631291.db2.gz XCCUEXFSAZZYHS-BQBZGAKWSA-N 0 2 302.281 0.515 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC001608327449 1170650952 /nfs/dbraw/zinc/65/09/52/1170650952.db2.gz PUWFIFWNCPIMBV-CYBMUJFWSA-N 0 2 300.261 0.425 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@@H+](CC(=O)Nc2cc(F)ccc2F)C1 ZINC001608327449 1170650955 /nfs/dbraw/zinc/65/09/55/1170650955.db2.gz PUWFIFWNCPIMBV-CYBMUJFWSA-N 0 2 300.261 0.425 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc(NC2CC2)nc1 ZINC001608403390 1170670311 /nfs/dbraw/zinc/67/03/11/1170670311.db2.gz PMFQUYJXKYPQFV-LBPRGKRZSA-N 0 2 315.333 0.736 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1nnc(-c2ccco2)o1 ZINC001608486663 1170688873 /nfs/dbraw/zinc/68/88/73/1170688873.db2.gz FBJJDIBIVSPENE-MRVPVSSYSA-N 0 2 317.261 0.478 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1nnc(-c2ccco2)o1 ZINC001608486663 1170688880 /nfs/dbraw/zinc/68/88/80/1170688880.db2.gz FBJJDIBIVSPENE-MRVPVSSYSA-N 0 2 317.261 0.478 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCc2cccc(O)c21 ZINC001608543971 1170699010 /nfs/dbraw/zinc/69/90/10/1170699010.db2.gz URTJBYZOZQSLOF-NEPJUHHUSA-N 0 2 315.329 0.888 20 0 DCADLN O=C([O-])[C@H]1CCCC[C@@H]1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC001608627322 1170724868 /nfs/dbraw/zinc/72/48/68/1170724868.db2.gz RMZQPPKVHULQQM-RYUDHWBXSA-N 0 2 313.379 0.672 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1Cc1nc2ccccc2o1 ZINC001608751757 1170749755 /nfs/dbraw/zinc/74/97/55/1170749755.db2.gz YIVRSLKRTMIDMR-LLVKDONJSA-N 0 2 303.318 0.993 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1Cc1nc2ccccc2o1 ZINC001608751757 1170749758 /nfs/dbraw/zinc/74/97/58/1170749758.db2.gz YIVRSLKRTMIDMR-LLVKDONJSA-N 0 2 303.318 0.993 20 0 DCADLN O=C([O-])c1cc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)on1 ZINC001608841224 1170764870 /nfs/dbraw/zinc/76/48/70/1170764870.db2.gz GSNDLULVMHDNEG-ZETCQYMHSA-N 0 2 312.244 0.480 20 0 DCADLN O=C([O-])c1cc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)on1 ZINC001608841224 1170764873 /nfs/dbraw/zinc/76/48/73/1170764873.db2.gz GSNDLULVMHDNEG-ZETCQYMHSA-N 0 2 312.244 0.480 20 0 DCADLN O=C([O-])c1cncc(N2CC[NH+](Cc3cncs3)CC2)n1 ZINC001608981269 1170780083 /nfs/dbraw/zinc/78/00/83/1170780083.db2.gz NKDUMEJWJBQPDC-UHFFFAOYSA-N 0 2 305.363 0.954 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(COC2CCCC2)c1=O ZINC001609258271 1170900904 /nfs/dbraw/zinc/90/09/04/1170900904.db2.gz ZVRISCANGFWHLV-UHFFFAOYSA-N 0 2 306.326 0.127 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2nnc(C(C)C)o2)c1 ZINC001609386809 1170960058 /nfs/dbraw/zinc/96/00/58/1170960058.db2.gz XEHSDLZLVIPROQ-UHFFFAOYSA-N 0 2 301.310 0.892 20 0 DCADLN C[C@@H](NC(=O)c1cnc(C(=O)[O-])cn1)[C@@H](C)[NH+]1CCOCC1 ZINC001609771952 1171082800 /nfs/dbraw/zinc/08/28/00/1171082800.db2.gz QUYRTCWBLVQAPV-NXEZZACHSA-N 0 2 308.338 0.014 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CC[N@@H+]1Cn1nc(-c2cnn(C)c2)ccc1=O ZINC001609833663 1171099077 /nfs/dbraw/zinc/09/90/77/1171099077.db2.gz LQXIDLJAVFMRCG-ZYHUDNBSSA-N 0 2 317.349 0.396 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CC[N@H+]1Cn1nc(-c2cnn(C)c2)ccc1=O ZINC001609833663 1171099083 /nfs/dbraw/zinc/09/90/83/1171099083.db2.gz LQXIDLJAVFMRCG-ZYHUDNBSSA-N 0 2 317.349 0.396 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C(=O)[O-])CC1 ZINC001609840694 1171101593 /nfs/dbraw/zinc/10/15/93/1171101593.db2.gz NBRJTIYLDZYMCE-NEPJUHHUSA-N 0 2 311.382 0.356 20 0 DCADLN C[C@H]1NC(=O)N(CC[N@@H+]2Cc3ccc(C(=O)[O-])cc3C2)C1=O ZINC001609877528 1171112743 /nfs/dbraw/zinc/11/27/43/1171112743.db2.gz ABVGWDPIOZUVKB-SECBINFHSA-N 0 2 303.318 0.641 20 0 DCADLN C[C@H]1NC(=O)N(CC[N@H+]2Cc3ccc(C(=O)[O-])cc3C2)C1=O ZINC001609877528 1171112748 /nfs/dbraw/zinc/11/27/48/1171112748.db2.gz ABVGWDPIOZUVKB-SECBINFHSA-N 0 2 303.318 0.641 20 0 DCADLN C[N@H+](CCOCCO)CC(=O)c1c[nH]c2ccc(C(=O)[O-])cc21 ZINC001610118849 1171163157 /nfs/dbraw/zinc/16/31/57/1171163157.db2.gz ZFEBUAVQKPFJOD-UHFFFAOYSA-N 0 2 320.345 0.990 20 0 DCADLN C[N@@H+](CCOCCO)CC(=O)c1c[nH]c2ccc(C(=O)[O-])cc21 ZINC001610118849 1171163165 /nfs/dbraw/zinc/16/31/65/1171163165.db2.gz ZFEBUAVQKPFJOD-UHFFFAOYSA-N 0 2 320.345 0.990 20 0 DCADLN CN1CC[C@H]([NH+]2CCN(c3ccc(C(=O)[O-])s3)CC2)C1=O ZINC001610130770 1171165745 /nfs/dbraw/zinc/16/57/45/1171165745.db2.gz WKYWODRIKFFQSS-JTQLQIEISA-N 0 2 309.391 0.799 20 0 DCADLN COc1cccc(CC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001610355817 1171222664 /nfs/dbraw/zinc/22/26/64/1171222664.db2.gz RULFUKDSFAAPJF-ZDUSSCGKSA-N 0 2 303.318 0.704 20 0 DCADLN Cc1csc(NC(=O)C(=O)N2CC[NH+](C)CC2)c1C(=O)[O-] ZINC001610547170 1171250888 /nfs/dbraw/zinc/25/08/88/1171250888.db2.gz BOHIXARTWAFXTP-UHFFFAOYSA-N 0 2 311.363 0.467 20 0 DCADLN Cc1nc(C2CC2)oc1C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001610553780 1171253043 /nfs/dbraw/zinc/25/30/43/1171253043.db2.gz DWRCBSIXXWRONO-SNVBAGLBSA-N 0 2 304.306 0.940 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc2c(c1)OCCO2 ZINC001610681027 1171290578 /nfs/dbraw/zinc/29/05/78/1171290578.db2.gz CXPUWEWXJVUNMA-NSHDSACASA-N 0 2 317.301 0.538 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CCCC[C@@H]2CN2CCOCC2)nn1 ZINC001610800556 1171329749 /nfs/dbraw/zinc/32/97/49/1171329749.db2.gz ZBIYXOQVKWHJCF-CQSZACIVSA-N 0 2 323.397 0.049 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CCCC[C@@H]2CN2CCOCC2)nn1 ZINC001610800556 1171329755 /nfs/dbraw/zinc/32/97/55/1171329755.db2.gz ZBIYXOQVKWHJCF-CQSZACIVSA-N 0 2 323.397 0.049 20 0 DCADLN O=C([O-])c1ccc(F)cc1S(=O)(=O)NCCn1cc[nH+]c1 ZINC001610831509 1171335509 /nfs/dbraw/zinc/33/55/09/1171335509.db2.gz GQLIBGCEOCZDQU-UHFFFAOYSA-N 0 2 313.310 0.699 20 0 DCADLN O=C(c1cncc2nc[nH]c21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001617922193 1171392245 /nfs/dbraw/zinc/39/22/45/1171392245.db2.gz RRBIIVRUFBNQBY-MRVPVSSYSA-N 0 2 313.321 0.801 20 0 DCADLN Cn1nc(C(=O)NCCc2n[nH]c(=S)o2)cc1OC(F)F ZINC001625689156 1171477648 /nfs/dbraw/zinc/47/76/48/1171477648.db2.gz GPUGVLZFNWUJNG-UHFFFAOYSA-N 0 2 319.293 0.666 20 0 DCADLN CCC(CC)(NC(=O)C(=O)c1ccc(O)cc1)c1nn[nH]n1 ZINC001635937343 1171718604 /nfs/dbraw/zinc/71/86/04/1171718604.db2.gz PIIXYAQQJVZPQC-UHFFFAOYSA-N 0 2 303.322 0.920 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cccc2nc(C(F)F)[nH]c21 ZINC001641141675 1171906946 /nfs/dbraw/zinc/90/69/46/1171906946.db2.gz HQFZJRVEHXXVCN-UHFFFAOYSA-N 0 2 309.232 0.697 20 0 DCADLN C[C@@H](C[C@H]1CCOC1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001645604669 1172071900 /nfs/dbraw/zinc/07/19/00/1172071900.db2.gz BEXQNROIJSHWJR-VHSXEESVSA-N 0 2 305.338 0.003 20 0 DCADLN CCn1c(-c2n[nH]c(Cl)n2)nnc1N1CCN(C(C)=O)CC1 ZINC001646701111 1172480844 /nfs/dbraw/zinc/48/08/44/1172480844.db2.gz RYFSRRRENDYWAA-UHFFFAOYSA-N 0 2 324.776 0.405 20 0 DCADLN C[C@H](CC(=O)OC(C)(C)C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001647093392 1172669431 /nfs/dbraw/zinc/66/94/31/1172669431.db2.gz UPENRPPDMXUVIK-SFYZADRCSA-N 0 2 315.395 0.779 20 0 DCADLN CS(=O)(=O)[C@H]1CCCN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001647140696 1172698873 /nfs/dbraw/zinc/69/88/73/1172698873.db2.gz NVPGFKTZGFVGDI-NSHDSACASA-N 0 2 310.379 0.032 20 0 DCADLN C[C@H]1CS(=O)(=O)CCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001647458566 1172908433 /nfs/dbraw/zinc/90/84/33/1172908433.db2.gz KKPPFUVCOOYENG-JTQLQIEISA-N 0 2 310.379 0.032 20 0 DCADLN NS(=O)(=O)CC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001649810162 1173237671 /nfs/dbraw/zinc/23/76/71/1173237671.db2.gz JGEYDZSJGJLYKN-ZETCQYMHSA-N 0 2 306.281 0.414 20 0 DCADLN NS(=O)(=O)CC1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001649810162 1173237684 /nfs/dbraw/zinc/23/76/84/1173237684.db2.gz JGEYDZSJGJLYKN-ZETCQYMHSA-N 0 2 306.281 0.414 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CC[N@@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001649864186 1173269384 /nfs/dbraw/zinc/26/93/84/1173269384.db2.gz JJNJETVWLWMNOF-UWVGGRQHSA-N 0 2 323.374 0.804 20 0 DCADLN C[C@H]1[C@@H](C(=O)[O-])CC[N@H+]1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC001649864186 1173269389 /nfs/dbraw/zinc/26/93/89/1173269389.db2.gz JJNJETVWLWMNOF-UWVGGRQHSA-N 0 2 323.374 0.804 20 0 DCADLN CON1CC[C@@H](N(C)Cc2nc(=O)c3sccc3[nH]2)C1=O ZINC001650054821 1173411258 /nfs/dbraw/zinc/41/12/58/1173411258.db2.gz RLYHHUGLWLERPZ-SECBINFHSA-N 0 2 308.363 0.991 20 0 DCADLN CC1(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CC=CC1 ZINC001673058455 1173747310 /nfs/dbraw/zinc/74/73/10/1173747310.db2.gz FKNMCEPHWMYPIR-LLVKDONJSA-N 0 2 321.381 0.184 20 0 DCADLN Cc1nnc2ccc(C(=O)N[C@H](C)c3nn(C)cc3O)cn12 ZINC001653245157 1173784594 /nfs/dbraw/zinc/78/45/94/1173784594.db2.gz NXCGJZQUQUTBTL-MRVPVSSYSA-N 0 2 300.322 0.968 20 0 DCADLN Cc1n[nH]c(C(=O)NCCCNC(=O)c2cnc[nH]c2=O)c1C ZINC001686937932 1176252203 /nfs/dbraw/zinc/25/22/03/1176252203.db2.gz ZCDYTCFEKXMVQR-UHFFFAOYSA-N 0 2 318.337 0.072 20 0 DCADLN O=C(Cc1cc[nH]n1)NCCCNC(=O)C(F)C(F)(F)F ZINC001686942471 1176252715 /nfs/dbraw/zinc/25/27/15/1176252715.db2.gz XYIQYJAECRIZBR-SECBINFHSA-N 0 2 310.251 0.475 20 0 DCADLN O=C(Cc1cc[nH]n1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686942471 1176252717 /nfs/dbraw/zinc/25/27/17/1176252717.db2.gz XYIQYJAECRIZBR-SECBINFHSA-N 0 2 310.251 0.475 20 0 DCADLN C[C@@]1(C(=O)NCCCNC(=O)C(F)C(F)(F)F)CCOC1 ZINC001687051866 1176268882 /nfs/dbraw/zinc/26/88/82/1176268882.db2.gz VWDDWSUPFFTNJQ-GZMMTYOYSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@@]1(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)CCOC1 ZINC001687051866 1176268886 /nfs/dbraw/zinc/26/88/86/1176268886.db2.gz VWDDWSUPFFTNJQ-GZMMTYOYSA-N 0 2 314.279 0.936 20 0 DCADLN Cc1ncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1C ZINC001703218744 1179400692 /nfs/dbraw/zinc/40/06/92/1179400692.db2.gz PJZMKUFKKXKWQT-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1ncc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1C ZINC001703218744 1179400694 /nfs/dbraw/zinc/40/06/94/1179400694.db2.gz PJZMKUFKKXKWQT-MRVPVSSYSA-N 0 2 310.251 0.475 20 0 DCADLN CCCN(CCNC(=O)CC(N)=O)C(=O)C(F)C(F)(F)F ZINC001704371702 1179989303 /nfs/dbraw/zinc/98/93/03/1179989303.db2.gz COTXZOWWQAEIBV-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN CCCN(CCNC(=O)CC(N)=O)C(=O)[C@@H](F)C(F)(F)F ZINC001704371702 1179989306 /nfs/dbraw/zinc/98/93/06/1179989306.db2.gz COTXZOWWQAEIBV-SECBINFHSA-N 0 2 315.267 0.117 20 0 DCADLN Cc1cncn1CC(=O)N[C@H]1CC[N@H+](CCOCC(F)F)C1 ZINC001713826523 1181100819 /nfs/dbraw/zinc/10/08/19/1181100819.db2.gz PHQPXTWYDGCOPO-LBPRGKRZSA-N 0 2 316.352 0.664 20 0 DCADLN CC[C@@H](C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCOCCOC)[NH+](C)C ZINC001713936905 1181159003 /nfs/dbraw/zinc/15/90/03/1181159003.db2.gz DBQAOXVSIAFSAO-KKUMJFAQSA-N 0 2 313.442 0.275 20 0 DCADLN COCCN(CCNC(=O)C(C)=C1CCC1)Cc1n[nH]c(=O)[nH]1 ZINC001717975092 1183093985 /nfs/dbraw/zinc/09/39/85/1183093985.db2.gz YONYCTYIHZYXCC-UHFFFAOYSA-N 0 2 323.397 0.575 20 0 DCADLN CN(CCC[NH2+]Cc1n[nH]c(=O)[n-]1)C(=O)C(F)C(F)(F)F ZINC001723028574 1184251922 /nfs/dbraw/zinc/25/19/22/1184251922.db2.gz COQCPDXOKVDHSZ-SSDOTTSWSA-N 0 2 313.255 0.349 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001724765212 1184548351 /nfs/dbraw/zinc/54/83/51/1184548351.db2.gz FUHYCEPYCHVMCK-LLMHMKPQSA-N 0 2 309.370 0.184 20 0 DCADLN Cc1nnc([C@H](C)N(C)CCCNC(=O)c2cnn(C)n2)o1 ZINC001731271717 1185231904 /nfs/dbraw/zinc/23/19/04/1185231904.db2.gz PHYSSKPWFOQIQG-VIFPVBQESA-N 0 2 307.358 0.319 20 0 DCADLN CCCn1cc(C(=O)NCCCN(C)Cc2nnn(C)n2)cn1 ZINC001731270671 1185232230 /nfs/dbraw/zinc/23/22/30/1185232230.db2.gz XGWIIUAGNOZRJF-UHFFFAOYSA-N 0 2 320.401 0.069 20 0 DCADLN CCCCCC(=O)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001734063930 1186535480 /nfs/dbraw/zinc/53/54/80/1186535480.db2.gz DPNGFRVYRROHHP-UHFFFAOYSA-N 0 2 311.386 0.144 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CC=CC2)C[C@@H]1O)C(F)C(F)(F)F ZINC001735930137 1186957894 /nfs/dbraw/zinc/95/78/94/1186957894.db2.gz PHXWGATUYLNTQH-UTLUCORTSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2CC=CC2)C[C@@H]1O)[C@H](F)C(F)(F)F ZINC001735930137 1186957898 /nfs/dbraw/zinc/95/78/98/1186957898.db2.gz PHXWGATUYLNTQH-UTLUCORTSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(NCCCNC(=O)C1CCOCC1)C(F)C(F)(F)F ZINC001736867069 1187146128 /nfs/dbraw/zinc/14/61/28/1187146128.db2.gz RLRGIPPMWQTBQX-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCNC(=O)C1CCOCC1)[C@@H](F)C(F)(F)F ZINC001736867069 1187146131 /nfs/dbraw/zinc/14/61/31/1187146131.db2.gz RLRGIPPMWQTBQX-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN COC[C@H](OC)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001736947694 1187190649 /nfs/dbraw/zinc/19/06/49/1187190649.db2.gz CXNBAGUXWNMFJM-YUMQZZPRSA-N 0 2 318.267 0.171 20 0 DCADLN COC[C@H](OC)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001736947694 1187190652 /nfs/dbraw/zinc/19/06/52/1187190652.db2.gz CXNBAGUXWNMFJM-YUMQZZPRSA-N 0 2 318.267 0.171 20 0 DCADLN CC[C@@H](C)OCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001740525887 1187950378 /nfs/dbraw/zinc/95/03/78/1187950378.db2.gz LCMKGLLQSMNRIE-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](C)OCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001740525887 1187950381 /nfs/dbraw/zinc/95/03/81/1187950381.db2.gz LCMKGLLQSMNRIE-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN Cc1nnc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)o1 ZINC001742385960 1187972781 /nfs/dbraw/zinc/97/27/81/1187972781.db2.gz XPWZGTWRBRWQRZ-MRVPVSSYSA-N 0 2 312.223 0.053 20 0 DCADLN Cc1nnc(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)o1 ZINC001742385960 1187972782 /nfs/dbraw/zinc/97/27/82/1187972782.db2.gz XPWZGTWRBRWQRZ-MRVPVSSYSA-N 0 2 312.223 0.053 20 0 DCADLN Cc1ncc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001742782137 1188051190 /nfs/dbraw/zinc/05/11/90/1188051190.db2.gz CMKCIAIWWXIDEV-MRVPVSSYSA-N 0 2 310.251 0.855 20 0 DCADLN Cc1ncc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)[nH]1 ZINC001742782137 1188051192 /nfs/dbraw/zinc/05/11/92/1188051192.db2.gz CMKCIAIWWXIDEV-MRVPVSSYSA-N 0 2 310.251 0.855 20 0 DCADLN CC[N@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@@H](C)C(=O)NC ZINC001752173026 1188396691 /nfs/dbraw/zinc/39/66/91/1188396691.db2.gz RYBABXZLZMHXSM-JTQLQIEISA-N 0 2 311.386 0.000 20 0 DCADLN CC[N@@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])[C@@H](C)C(=O)NC ZINC001752173026 1188396695 /nfs/dbraw/zinc/39/66/95/1188396695.db2.gz RYBABXZLZMHXSM-JTQLQIEISA-N 0 2 311.386 0.000 20 0 DCADLN CCCCC[NH+]1CCN(CCNC(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001752364723 1188417521 /nfs/dbraw/zinc/41/75/21/1188417521.db2.gz BYWXSQQAZWIFMH-UHFFFAOYSA-N 0 2 323.441 0.961 20 0 DCADLN CC(C)=C(C)CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001753650805 1188632077 /nfs/dbraw/zinc/63/20/77/1188632077.db2.gz ACZQBXKRKQYJEK-LBPRGKRZSA-N 0 2 323.397 0.574 20 0 DCADLN C[C@@]1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC=CCC1 ZINC001754485470 1188863578 /nfs/dbraw/zinc/86/35/78/1188863578.db2.gz VZHHVWCSWCIXLT-IAQYHMDHSA-N 0 2 305.382 0.947 20 0 DCADLN CC[C@@H](C)OCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001755883506 1189247052 /nfs/dbraw/zinc/24/70/52/1189247052.db2.gz NZZWIWYOFOGGSV-LLVKDONJSA-N 0 2 323.397 0.574 20 0 DCADLN CC[C@@H](C)OCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001755883506 1189247053 /nfs/dbraw/zinc/24/70/53/1189247053.db2.gz NZZWIWYOFOGGSV-LLVKDONJSA-N 0 2 323.397 0.574 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NCCC(C)(C)C(=O)[O-] ZINC000314679256 1190403215 /nfs/dbraw/zinc/40/32/15/1190403215.db2.gz ZWGLJPMVTSSGAP-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN Cc1c(NC(=O)CC2SC(=N)NC2=O)cccc1C(N)=O ZINC001771595364 1190430430 /nfs/dbraw/zinc/43/04/30/1190430430.db2.gz HNTCGOIARFWDGV-SECBINFHSA-N 0 2 306.347 0.589 20 0 DCADLN Cc1[nH]ncc1CCCNC(=O)CCc1c(C)[nH]c(=O)[nH]c1=O ZINC000320830062 1190440206 /nfs/dbraw/zinc/44/02/06/1190440206.db2.gz ZKHPOGOHNREHQS-UHFFFAOYSA-N 0 2 319.365 0.909 20 0 DCADLN Nc1cc(N[C@H]2CCC[N@H+](CCN3CCOCC3)C2)nc[nH+]1 ZINC001772120465 1190611882 /nfs/dbraw/zinc/61/18/82/1190611882.db2.gz UDKQEHPRVVNPAX-ZDUSSCGKSA-N 0 2 306.414 0.267 20 0 DCADLN CC(C)[C@@H]1OCC[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042880073 751963232 /nfs/dbraw/zinc/96/32/32/751963232.db2.gz CPVOBMMEOUWIOA-YPMHNXCESA-N 0 2 323.397 0.214 20 0 DCADLN COCc1noc(CNCCNC(=O)C(F)C(F)(F)F)n1 ZINC001127777794 752060012 /nfs/dbraw/zinc/06/00/12/752060012.db2.gz BTGAWKXMBHKSSN-MRVPVSSYSA-N 0 2 314.239 0.322 20 0 DCADLN Cc1cc(C)nc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001043185962 752119917 /nfs/dbraw/zinc/11/99/17/752119917.db2.gz AKTJVZYUUMGPMU-UHFFFAOYSA-N 0 2 316.365 0.479 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nc(C3CC3)no2)c1[O-] ZINC001125734427 747543511 /nfs/dbraw/zinc/54/35/11/747543511.db2.gz PCLQWHTXVDKMDC-UHFFFAOYSA-N 0 2 306.326 0.204 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H]2CC23CC3)C1)C(F)C(F)(F)F ZINC001043373542 752200651 /nfs/dbraw/zinc/20/06/51/752200651.db2.gz AXFZMXCJTHQMEW-JGVFFNPUSA-N 0 2 324.274 0.376 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H]2CC23CC3)C1)[C@@H](F)C(F)(F)F ZINC001043373542 752200655 /nfs/dbraw/zinc/20/06/55/752200655.db2.gz AXFZMXCJTHQMEW-JGVFFNPUSA-N 0 2 324.274 0.376 20 0 DCADLN O=C(/C=C/C1CC1)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377823 752202851 /nfs/dbraw/zinc/20/28/51/752202851.db2.gz VMMJZCNZKYMRQD-FSIBCCDJSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(/C=C/C1CC1)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043377823 752202860 /nfs/dbraw/zinc/20/28/60/752202860.db2.gz VMMJZCNZKYMRQD-FSIBCCDJSA-N 0 2 324.274 0.543 20 0 DCADLN CC(C)CC(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107702620 752232085 /nfs/dbraw/zinc/23/20/85/752232085.db2.gz OBMYKCYUBVQTLK-CQSZACIVSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc(-n2cccn2)ccn1 ZINC001149283500 748257004 /nfs/dbraw/zinc/25/70/04/748257004.db2.gz ACUNYLRVCNVKDU-UHFFFAOYSA-N 0 2 312.289 0.399 20 0 DCADLN CC(C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O)=C1CCCC1 ZINC001083400363 748689983 /nfs/dbraw/zinc/68/99/83/748689983.db2.gz KGSKPCXBEWNSBB-NEPJUHHUSA-N 0 2 321.381 0.062 20 0 DCADLN [NH2+]=C(Nc1ccnc2nc[nH]c21)SCCS(=O)(=O)[O-] ZINC001168090525 749381005 /nfs/dbraw/zinc/38/10/05/749381005.db2.gz KXCGCXRNFAJYTJ-UHFFFAOYSA-N 0 2 301.353 0.926 20 0 DCADLN Cc1ncsc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088480514 749486815 /nfs/dbraw/zinc/48/68/15/749486815.db2.gz IBQXSWKBACKBGY-BDAKNGLRSA-N 0 2 322.394 0.668 20 0 DCADLN C[C@H](CNC(=O)c1[nH]ncc1F)Nc1cc(Cl)c(=O)[nH]n1 ZINC001098237906 749521835 /nfs/dbraw/zinc/52/18/35/749521835.db2.gz JJELNTLYHNWHNU-RXMQYKEDSA-N 0 2 314.708 0.928 20 0 DCADLN COC(=O)[C@@H](C)Oc1nc(I)cc(=O)[nH]1 ZINC001228945590 749574244 /nfs/dbraw/zinc/57/42/44/749574244.db2.gz AGQJAQVWWOZDGC-SCSAIBSYSA-N 0 2 324.074 0.727 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088807132 750050450 /nfs/dbraw/zinc/05/04/50/750050450.db2.gz RCTUQENHYXWXQA-GCHJQGSQSA-N 0 2 305.382 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088807132 750050459 /nfs/dbraw/zinc/05/04/59/750050459.db2.gz RCTUQENHYXWXQA-GCHJQGSQSA-N 0 2 305.382 0.635 20 0 DCADLN CC[C@H](CC(F)F)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112955397 762041469 /nfs/dbraw/zinc/04/14/69/762041469.db2.gz VDAQQXVJJIMUJB-SECBINFHSA-N 0 2 317.340 0.836 20 0 DCADLN CC/C=C(/C)C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107783834 752322151 /nfs/dbraw/zinc/32/21/51/752322151.db2.gz NWOWGJSPMTVHOB-GHAIFCDISA-N 0 2 323.397 0.574 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095957591 752379655 /nfs/dbraw/zinc/37/96/55/752379655.db2.gz YHSWKGCJJRDSJP-MIMYLULJSA-N 0 2 318.337 0.342 20 0 DCADLN CC[C@H]1C[C@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001044154773 752598688 /nfs/dbraw/zinc/59/86/88/752598688.db2.gz ZMXLKCKKVAVMBN-PWSUYJOCSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2n[nH]cc2F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071800257 762145832 /nfs/dbraw/zinc/14/58/32/762145832.db2.gz TWPBZCPGBIZQKO-SFYZADRCSA-N 0 2 323.332 0.155 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2n[nH]cc2F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071800256 762146383 /nfs/dbraw/zinc/14/63/83/762146383.db2.gz TWPBZCPGBIZQKO-JGVFFNPUSA-N 0 2 323.332 0.155 20 0 DCADLN CN(C(=O)c1ccc(F)s1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044362630 752706144 /nfs/dbraw/zinc/70/61/44/752706144.db2.gz HMDKHHPLJKWKMJ-UHFFFAOYSA-N 0 2 311.342 0.667 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049285429 752770786 /nfs/dbraw/zinc/77/07/86/752770786.db2.gz QTCCLXMYXISXIE-NXEZZACHSA-N 0 2 311.361 0.824 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049285429 752770790 /nfs/dbraw/zinc/77/07/90/752770790.db2.gz QTCCLXMYXISXIE-NXEZZACHSA-N 0 2 311.361 0.824 20 0 DCADLN CC(C)(F)C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049285429 752770795 /nfs/dbraw/zinc/77/07/95/752770795.db2.gz QTCCLXMYXISXIE-NXEZZACHSA-N 0 2 311.361 0.824 20 0 DCADLN O=C(N[C@@H]1C[C@@H]([NH2+]Cc2cnsn2)C12CCC2)c1cn[nH]n1 ZINC001078667701 753334747 /nfs/dbraw/zinc/33/47/47/753334747.db2.gz ILQPAVKGZRZBJX-GHMZBOCLSA-N 0 2 319.394 0.487 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2C[C@H](NC(=O)c3cn[nH]n3)C23CCC3)o1 ZINC001078667635 753335072 /nfs/dbraw/zinc/33/50/72/753335072.db2.gz HFNBHJYYXVWSHA-QWRGUYRKSA-N 0 2 317.353 0.327 20 0 DCADLN Cc1cnc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001046116868 753488967 /nfs/dbraw/zinc/48/89/67/753488967.db2.gz CGDJAJDUSXAHIG-CQSZACIVSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CC=CCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046137788 753502675 /nfs/dbraw/zinc/50/26/75/753502675.db2.gz OLMUFSKPFNKTOL-IAQYHMDHSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CC=CCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046137788 753502676 /nfs/dbraw/zinc/50/26/76/753502676.db2.gz OLMUFSKPFNKTOL-IAQYHMDHSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1cncc(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046212510 753561822 /nfs/dbraw/zinc/56/18/22/753561822.db2.gz KCHFRPGGMADDET-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cncc(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046212510 753561825 /nfs/dbraw/zinc/56/18/25/753561825.db2.gz KCHFRPGGMADDET-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cccnn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071923450 762230664 /nfs/dbraw/zinc/23/06/64/762230664.db2.gz IRNYHIXMOXWVTQ-ZJUUUORDSA-N 0 2 317.353 0.083 20 0 DCADLN CC(C)C(=O)NC[C@H]1COCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001061309092 753751542 /nfs/dbraw/zinc/75/15/42/753751542.db2.gz VBTLSHKUEFUMDT-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)NC[C@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC001061309092 753751538 /nfs/dbraw/zinc/75/15/38/753751538.db2.gz VBTLSHKUEFUMDT-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113320486 762242614 /nfs/dbraw/zinc/24/26/14/762242614.db2.gz DPXWKHCPMYDXCS-LLVKDONJSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2C[C@H]2C2CC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046689231 753875891 /nfs/dbraw/zinc/87/58/91/753875891.db2.gz IDQULLLTBVCLAE-RWSFTLGLSA-N 0 2 305.382 0.637 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2C[C@H]2C2CC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046689231 753875897 /nfs/dbraw/zinc/87/58/97/753875897.db2.gz IDQULLLTBVCLAE-RWSFTLGLSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCOC1CCC1)c1nc[nH]n1 ZINC001096198882 754341901 /nfs/dbraw/zinc/34/19/01/754341901.db2.gz BDPNUBOALXJPTH-WXHSDQCUSA-N 0 2 305.382 0.709 20 0 DCADLN O=C(c1ccoc1)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049331518 755225214 /nfs/dbraw/zinc/22/52/14/755225214.db2.gz MGGIGIKTIALXPQ-NEPJUHHUSA-N 0 2 317.349 0.982 20 0 DCADLN O=C(c1ccoc1)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049331518 755225218 /nfs/dbraw/zinc/22/52/18/755225218.db2.gz MGGIGIKTIALXPQ-NEPJUHHUSA-N 0 2 317.349 0.982 20 0 DCADLN CC(=O)NC1(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)CCC1 ZINC001064614639 755429744 /nfs/dbraw/zinc/42/97/44/755429744.db2.gz FFVOKUONOYJIQP-UHFFFAOYSA-N 0 2 316.365 0.599 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cccnc1 ZINC001096735843 755511509 /nfs/dbraw/zinc/51/15/09/755511509.db2.gz WXQOTIYQAUFWJW-UTUOFQBUSA-N 0 2 314.349 0.441 20 0 DCADLN COCCC1(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CCC1 ZINC001113584491 762404391 /nfs/dbraw/zinc/40/43/91/762404391.db2.gz CUTJHJSLLPBWAX-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN Cn1nnc(CNC2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001100349330 755670021 /nfs/dbraw/zinc/67/00/21/755670021.db2.gz ZBFPLWFVXWRHAG-QXUHLLMWSA-N 0 2 324.282 0.095 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001053640561 756130127 /nfs/dbraw/zinc/13/01/27/756130127.db2.gz AOTSLOXNPDBKTL-OUAUKWLOSA-N 0 2 321.381 0.016 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(C)CCOCC1 ZINC001080624843 756267911 /nfs/dbraw/zinc/26/79/11/756267911.db2.gz DCEPMNHQPUJZEB-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(C)CCOCC1 ZINC001080624843 756267916 /nfs/dbraw/zinc/26/79/16/756267916.db2.gz DCEPMNHQPUJZEB-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1CC(C)(C)C1 ZINC001081622651 756715321 /nfs/dbraw/zinc/71/53/21/756715321.db2.gz ZKGUOKWVRDFMMP-GHMZBOCLSA-N 0 2 323.397 0.262 20 0 DCADLN CC1(CC(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)CC1 ZINC001084528986 757901806 /nfs/dbraw/zinc/90/18/06/757901806.db2.gz UAKHSXAKBWCPPY-GHMZBOCLSA-N 0 2 305.382 0.733 20 0 DCADLN Cc1cocc1C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001051064600 758406876 /nfs/dbraw/zinc/40/68/76/758406876.db2.gz PMYQCLIVGJJMFH-JTQLQIEISA-N 0 2 321.337 0.043 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cccn1C ZINC001085490723 758940067 /nfs/dbraw/zinc/94/00/67/758940067.db2.gz HGUZOZCHLLANAB-JTQLQIEISA-N 0 2 304.354 0.195 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccncc1F ZINC001085528429 759000766 /nfs/dbraw/zinc/00/07/66/759000766.db2.gz YLSPQKMRTXWYHJ-VIFPVBQESA-N 0 2 320.328 0.391 20 0 DCADLN Cc1ccncc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085528554 759001880 /nfs/dbraw/zinc/00/18/80/759001880.db2.gz BMPGZQVCKUTJLT-LLVKDONJSA-N 0 2 316.365 0.560 20 0 DCADLN Cc1nc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001085559605 759050383 /nfs/dbraw/zinc/05/03/83/759050383.db2.gz SUGVEHUBYFCDJA-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN CCn1ccnc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085570144 759065842 /nfs/dbraw/zinc/06/58/42/759065842.db2.gz CMIKEHSBWUBZDA-JTQLQIEISA-N 0 2 319.369 0.073 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085651355 759156724 /nfs/dbraw/zinc/15/67/24/759156724.db2.gz QZWJQOQFHIVTHQ-MDZLAQPJSA-N 0 2 323.397 0.358 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CC12CCC2 ZINC001085848175 759374250 /nfs/dbraw/zinc/37/42/50/759374250.db2.gz SQBWUKXYMDISAV-WDEREUQCSA-N 0 2 305.382 0.733 20 0 DCADLN Cc1conc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085913074 759459780 /nfs/dbraw/zinc/45/97/80/759459780.db2.gz UFGJRWGITHXUCJ-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN NC(=O)c1cnc(Cl)cc1NCCNC(=O)C(F)(F)F ZINC001156198985 760441669 /nfs/dbraw/zinc/44/16/69/760441669.db2.gz AECHLAKAGCNJMA-UHFFFAOYSA-N 0 2 310.663 0.924 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001108931987 761153548 /nfs/dbraw/zinc/15/35/48/761153548.db2.gz GESFRKSPOYQHQO-WOPDTQHZSA-N 0 2 321.381 0.491 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071310673 761772671 /nfs/dbraw/zinc/77/26/71/761772671.db2.gz RXDGCNMCGZUGKQ-GHMZBOCLSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cn[nH]c2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071361321 761795692 /nfs/dbraw/zinc/79/56/92/761795692.db2.gz OOTPWPFQWPTOHD-WCBMZHEXSA-N 0 2 305.342 0.016 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccon2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071361944 761795796 /nfs/dbraw/zinc/79/57/96/761795796.db2.gz XHSQXYYOTRZLEF-DTWKUNHWSA-N 0 2 306.326 0.281 20 0 DCADLN CC(C)(C)[N@@H+]1CC[C@](F)(C(=O)NCC[NH2+]Cc2ncccn2)C1 ZINC001133851217 765169900 /nfs/dbraw/zinc/16/99/00/765169900.db2.gz ZLJZEVZVSTZQTD-MRXNPFEDSA-N 0 2 323.416 0.895 20 0 DCADLN Cc1cc(N[C@H](C)CN(C)C(=O)c2cnccn2)nc(CO)n1 ZINC001115615073 765769042 /nfs/dbraw/zinc/76/90/42/765769042.db2.gz PJKYJHOOYYTEQD-LLVKDONJSA-N 0 2 316.365 0.640 20 0 DCADLN O=C(NCC1CC([NH2+]Cc2ncccn2)C1)c1ncccc1O ZINC001090993770 766815309 /nfs/dbraw/zinc/81/53/09/766815309.db2.gz DUGCQQVORJOHKO-UHFFFAOYSA-N 0 2 313.361 0.875 20 0 DCADLN COC(=O)c1nccc(NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001094183106 768890900 /nfs/dbraw/zinc/89/09/00/768890900.db2.gz YPLPAUBGGQBEKN-SSDOTTSWSA-N 0 2 324.234 0.114 20 0 DCADLN COC(=O)c1nccc(NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001094183106 768890912 /nfs/dbraw/zinc/89/09/12/768890912.db2.gz YPLPAUBGGQBEKN-SSDOTTSWSA-N 0 2 324.234 0.114 20 0 DCADLN CCC1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCCO2)CC1 ZINC001150268736 769053738 /nfs/dbraw/zinc/05/37/38/769053738.db2.gz XFTBAEXNOLJNMR-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CCO[C@H](CC)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231025604 769153400 /nfs/dbraw/zinc/15/34/00/769153400.db2.gz JXTLBVCCTZFHQZ-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN C[N@H+](CC(=O)N1CCOCC1)Cc1ccccc1OCC(=O)[O-] ZINC001231789481 769285423 /nfs/dbraw/zinc/28/54/23/769285423.db2.gz IAEPMVVUPXKIGJ-UHFFFAOYSA-N 0 2 322.361 0.441 20 0 DCADLN C[N@@H+](CC(=O)N1CCOCC1)Cc1ccccc1OCC(=O)[O-] ZINC001231789481 769285431 /nfs/dbraw/zinc/28/54/31/769285431.db2.gz IAEPMVVUPXKIGJ-UHFFFAOYSA-N 0 2 322.361 0.441 20 0 DCADLN O=C(Cn1cccn1)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001151357827 769321210 /nfs/dbraw/zinc/32/12/10/769321210.db2.gz CXOMCCAILJQROJ-LBPRGKRZSA-N 0 2 312.333 0.807 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)no1 ZINC001095147685 769617290 /nfs/dbraw/zinc/61/72/90/769617290.db2.gz LHYJLYKUNWHRTJ-YWVKMMECSA-N 0 2 318.337 0.342 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc(=O)c2cccc(O)c2[nH]1 ZINC001152680760 769622483 /nfs/dbraw/zinc/62/24/83/769622483.db2.gz UICCIOGWOUVMBH-LBPRGKRZSA-N 0 2 319.298 0.685 20 0 DCADLN CN(C)C(=O)CN1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426082 769652852 /nfs/dbraw/zinc/65/28/52/769652852.db2.gz RWSCFALTVOVLIJ-SCZZXKLOSA-N 0 2 313.295 0.508 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cnnn1C)C(=O)C(F)C(F)(F)F ZINC001234425770 769653008 /nfs/dbraw/zinc/65/30/08/769653008.db2.gz QBUBTWLZRNWRER-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cnnn1C)C(=O)[C@H](F)C(F)(F)F ZINC001234425770 769653015 /nfs/dbraw/zinc/65/30/15/769653015.db2.gz QBUBTWLZRNWRER-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001095281623 769736577 /nfs/dbraw/zinc/73/65/77/769736577.db2.gz AFTHVHDKVFYPLY-ANXLEDKDSA-N 0 2 317.393 0.778 20 0 DCADLN CC[C@H](C(N)=O)[NH+]1CC[C@H]1CN(C)C(=O)C[N@H+](C)C1CCC1 ZINC001235392235 769831602 /nfs/dbraw/zinc/83/16/02/769831602.db2.gz IMQMFTOMTPCKFT-UONOGXRCSA-N 0 2 310.442 0.267 20 0 DCADLN C[C@H]1CN(C)C(=O)CN1C(=O)c1c[nH]c2cccnc2c1=O ZINC001153855553 769964889 /nfs/dbraw/zinc/96/48/89/769964889.db2.gz HNPGIUGBLYAKMR-VIFPVBQESA-N 0 2 300.318 0.226 20 0 DCADLN O=C(N[C@@H]1CCc2n[nH]cc2C1)c1c[nH]c2cccnc2c1=O ZINC001153862250 769972098 /nfs/dbraw/zinc/97/20/98/769972098.db2.gz RNOVVTRRYIFXRG-SNVBAGLBSA-N 0 2 309.329 0.934 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc2nnc(C3CC3)n2c1 ZINC001153996445 770031193 /nfs/dbraw/zinc/03/11/93/770031193.db2.gz GMJFZDWQWYLPJI-LBPRGKRZSA-N 0 2 317.330 0.646 20 0 DCADLN Cn1cc(N2CC[C@]3(CCC[N@H+](Cc4nc[nH]n4)C3)C2=O)cn1 ZINC001237606021 770066564 /nfs/dbraw/zinc/06/65/64/770066564.db2.gz KQGOZKQDKYYPRH-HNNXBMFYSA-N 0 2 315.381 0.557 20 0 DCADLN COCCn1cc(C(=O)NCCc2n[nH]c(=S)o2)ccc1=O ZINC001154901453 770332764 /nfs/dbraw/zinc/33/27/64/770332764.db2.gz ZDTSDXKOYWWXOW-UHFFFAOYSA-N 0 2 324.362 0.139 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)C1CCN(c2ncccn2)CC1 ZINC001177130203 770335675 /nfs/dbraw/zinc/33/56/75/770335675.db2.gz TXYPWDJPQJXUNF-LLVKDONJSA-N 0 2 321.362 0.118 20 0 DCADLN C[C@H]1[C@H](Nc2ccc(Cl)c(N)[nH+]2)C(=O)N1S(=O)(=O)[O-] ZINC001157073440 771527946 /nfs/dbraw/zinc/52/79/46/771527946.db2.gz LUUAVTXKSOGQRJ-FFWSUHOLSA-N 0 2 306.731 0.131 20 0 DCADLN O=C(CCC(=O)OCc1ccccc1)NCc1n[nH]c(=O)[nH]1 ZINC001182776125 771553238 /nfs/dbraw/zinc/55/32/38/771553238.db2.gz HXILOPCPYZZYJP-UHFFFAOYSA-N 0 2 304.306 0.650 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H](O)Cc1c[nH]c2ccccc12 ZINC001184304323 771769968 /nfs/dbraw/zinc/76/99/68/771769968.db2.gz LDRBSRWQEXLJHK-NSHDSACASA-N 0 2 301.306 0.211 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2ccc(Cl)nc2)S1 ZINC001185695079 771944328 /nfs/dbraw/zinc/94/43/28/771944328.db2.gz KRWDCYYENUXCEL-ZETCQYMHSA-N 0 2 307.740 0.322 20 0 DCADLN CCSCCO[C@H](C[NH+]1CCOCC1)CS(=O)(=O)[O-] ZINC001205342292 772002100 /nfs/dbraw/zinc/00/21/00/772002100.db2.gz GWHPNAOOYLJPGP-LLVKDONJSA-N 0 2 313.441 0.345 20 0 DCADLN CCS(=O)(=O)c1ccc(O)c(NC(=O)c2cc(=O)[nH]cn2)c1 ZINC001160379003 772030270 /nfs/dbraw/zinc/03/02/70/772030270.db2.gz LCTPTMAOOQUJNE-UHFFFAOYSA-N 0 2 323.330 0.934 20 0 DCADLN Cn1cnc(NS(=O)(=O)c2cncc(Br)c2)n1 ZINC001186365586 772033904 /nfs/dbraw/zinc/03/39/04/772033904.db2.gz ZBSWLKYEOTXOCD-UHFFFAOYSA-N 0 2 318.156 0.773 20 0 DCADLN CO[C@H](C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187623046 772205185 /nfs/dbraw/zinc/20/51/85/772205185.db2.gz WGUHFNCEMJZTQM-GRYCIOLGSA-N 0 2 323.397 0.358 20 0 DCADLN CO[C@H](C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187623046 772205189 /nfs/dbraw/zinc/20/51/89/772205189.db2.gz WGUHFNCEMJZTQM-GRYCIOLGSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1cccnc1O[C@@H]1CCN(C(=O)[C@H]([NH3+])Cc2c[nH+]c[nH]2)C1 ZINC001161395334 772217197 /nfs/dbraw/zinc/21/71/97/772217197.db2.gz UINZHYGNBMHLMC-ZIAGYGMSSA-N 0 2 315.377 0.663 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cccc(O)c1C(=O)OC ZINC001188389452 772296033 /nfs/dbraw/zinc/29/60/33/772296033.db2.gz VDVOWAQBKCKEPS-UHFFFAOYSA-N 0 2 317.319 0.484 20 0 DCADLN Nc1ncc(-c2cccc(C(=O)NCc3n[nH]c(=O)[nH]3)c2)cn1 ZINC001188471776 772309919 /nfs/dbraw/zinc/30/99/19/772309919.db2.gz NMXLXSGZEZDJQY-UHFFFAOYSA-N 0 2 311.305 0.480 20 0 DCADLN CC(C)(C)[C@@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1nc(=O)o[n-]1 ZINC001162934786 772433337 /nfs/dbraw/zinc/43/33/37/772433337.db2.gz GEHMCRZGLRYKNO-RXNFCKPNSA-N 0 2 324.381 0.455 20 0 DCADLN COC[C@H](C)CC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001189605816 772505102 /nfs/dbraw/zinc/50/51/02/772505102.db2.gz ZLXATDZYDVXZKA-GRYCIOLGSA-N 0 2 323.397 0.216 20 0 DCADLN COC[C@H](C)CC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001189605816 772505104 /nfs/dbraw/zinc/50/51/04/772505104.db2.gz ZLXATDZYDVXZKA-GRYCIOLGSA-N 0 2 323.397 0.216 20 0 DCADLN C[C@@H](O)Cn1cnc2c1ncnc2NS(=O)(=O)CCCF ZINC001189870003 772547196 /nfs/dbraw/zinc/54/71/96/772547196.db2.gz LPZIRZWPYFJKAW-MRVPVSSYSA-N 0 2 317.346 0.308 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc(-n2cccc2)nc1 ZINC001190400412 772623218 /nfs/dbraw/zinc/62/32/18/772623218.db2.gz WZKRQBCAXJAWTI-GFCCVEGCSA-N 0 2 302.315 0.911 20 0 DCADLN C[NH+](CC(=O)NC1CC1)[C@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190947669 772696601 /nfs/dbraw/zinc/69/66/01/772696601.db2.gz RXUZINOBEPQKKU-LSDHHAIUSA-N 0 2 322.453 0.282 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2c(C)ncn2C)cc1 ZINC001191578815 772785493 /nfs/dbraw/zinc/78/54/93/772785493.db2.gz FYEQSLZFCPMFSL-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2ccc(C(=O)[O-])cc2)CCN1C(C)=O ZINC001204156659 772940011 /nfs/dbraw/zinc/94/00/11/772940011.db2.gz BGTKMZLPJVAHOG-CQSZACIVSA-N 0 2 320.345 0.591 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2ccc(C(=O)[O-])cc2)CCN1C(C)=O ZINC001204156659 772940012 /nfs/dbraw/zinc/94/00/12/772940012.db2.gz BGTKMZLPJVAHOG-CQSZACIVSA-N 0 2 320.345 0.591 20 0 DCADLN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2c(F)ccc(F)c2O)C1 ZINC001192719908 772953397 /nfs/dbraw/zinc/95/33/97/772953397.db2.gz OKJCXOXKSMAFLJ-LJGSYFOKSA-N 0 2 305.302 0.976 20 0 DCADLN CS(=O)(=O)N1CCN(C(=O)c2cc(F)c(O)cc2F)CC1 ZINC001192866842 772966575 /nfs/dbraw/zinc/96/65/75/772966575.db2.gz JIAYCTCFAVDPPZ-UHFFFAOYSA-N 0 2 320.317 0.388 20 0 DCADLN O=C(c1cc(F)c(O)cc1F)N1CCN(c2ncncn2)CC1 ZINC001192871133 772967410 /nfs/dbraw/zinc/96/74/10/772967410.db2.gz CEQRDRDJFHREOG-UHFFFAOYSA-N 0 2 321.287 0.818 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(S(=O)(=O)C2CC2)cc1 ZINC001193156810 773019184 /nfs/dbraw/zinc/01/91/84/773019184.db2.gz OHCISILDZGBYKT-UHFFFAOYSA-N 0 2 322.346 0.376 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=Cc1ccco1 ZINC001205785115 773020922 /nfs/dbraw/zinc/02/09/22/773020922.db2.gz OWBWWHLRENQJFI-ZHLVKLTDSA-N 0 2 317.349 0.753 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C=Cc1ccco1 ZINC001205785115 773020925 /nfs/dbraw/zinc/02/09/25/773020925.db2.gz OWBWWHLRENQJFI-ZHLVKLTDSA-N 0 2 317.349 0.753 20 0 DCADLN CCCOC(=O)N1CC[NH+](CCCCS(=O)(=O)[O-])CC1 ZINC001194112217 773149315 /nfs/dbraw/zinc/14/93/15/773149315.db2.gz DTQGROVSIXSVTI-UHFFFAOYSA-N 0 2 308.400 0.819 20 0 DCADLN CCO[C@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001206983665 773157397 /nfs/dbraw/zinc/15/73/97/773157397.db2.gz VVZLIPNROVHVGJ-XWIASGKRSA-N 0 2 323.397 0.262 20 0 DCADLN CCO[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001206983665 773157401 /nfs/dbraw/zinc/15/74/01/773157401.db2.gz VVZLIPNROVHVGJ-XWIASGKRSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H]1CN(Cc2nnn(C)n2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207021794 773163727 /nfs/dbraw/zinc/16/37/27/773163727.db2.gz BBNIOFJRSKFPLS-BHNWBGBOSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@@H]1CN(Cc2nnn(C)n2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001207021794 773163728 /nfs/dbraw/zinc/16/37/28/773163728.db2.gz BBNIOFJRSKFPLS-BHNWBGBOSA-N 0 2 324.282 0.047 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)C2CCC(=O)CC2)cn1 ZINC001194757951 773257972 /nfs/dbraw/zinc/25/79/72/773257972.db2.gz JKPZSVYNHRUXND-UHFFFAOYSA-N 0 2 313.335 0.517 20 0 DCADLN COC(=O)c1ccnnc1NS(=O)(=O)C1CCC(=O)CC1 ZINC001194764693 773260472 /nfs/dbraw/zinc/26/04/72/773260472.db2.gz WMKAFSQVEKXCRW-UHFFFAOYSA-N 0 2 313.335 0.517 20 0 DCADLN CC(C)C1(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001208550354 773494100 /nfs/dbraw/zinc/49/41/00/773494100.db2.gz DCOFPVYXQHMBQZ-GHMZBOCLSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)C1(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001208550354 773494102 /nfs/dbraw/zinc/49/41/02/773494102.db2.gz DCOFPVYXQHMBQZ-GHMZBOCLSA-N 0 2 307.398 0.883 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1nccnc1Br ZINC001195939288 773498407 /nfs/dbraw/zinc/49/84/07/773498407.db2.gz PYJZXDJKDOGUOL-UHFFFAOYSA-N 0 2 324.156 0.544 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccccc1-n1cncn1 ZINC001195974702 773510187 /nfs/dbraw/zinc/51/01/87/773510187.db2.gz HQAMVFLGZRXKRH-UHFFFAOYSA-N 0 2 310.335 0.572 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cccc(C(N)=O)c1F ZINC001195984717 773512382 /nfs/dbraw/zinc/51/23/82/773512382.db2.gz UVLHPJMRGHDLDW-UHFFFAOYSA-N 0 2 304.299 0.229 20 0 DCADLN C[C@@H](CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001211387409 773800348 /nfs/dbraw/zinc/80/03/48/773800348.db2.gz RIFQKIKRLNRTJE-HOSYDEDBSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001211387409 773800356 /nfs/dbraw/zinc/80/03/56/773800356.db2.gz RIFQKIKRLNRTJE-HOSYDEDBSA-N 0 2 307.398 0.883 20 0 DCADLN COc1ncc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)cc1C ZINC001213928046 773902592 /nfs/dbraw/zinc/90/25/92/773902592.db2.gz OCLNTOLDWIOONW-UHFFFAOYSA-N 0 2 301.262 0.492 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cnc3c(c2)CN(C)CC3)n1C ZINC001198355902 773915273 /nfs/dbraw/zinc/91/52/73/773915273.db2.gz JFFNRUKPEWRZKT-UHFFFAOYSA-N 0 2 321.406 0.912 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)c2ccccc2C(=O)[O-])c1 ZINC001198792220 773983468 /nfs/dbraw/zinc/98/34/68/773983468.db2.gz JFMMMKZZKFBQLQ-UHFFFAOYSA-N 0 2 309.347 0.639 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnc(OCC2CC2)cn1 ZINC001199142165 774037312 /nfs/dbraw/zinc/03/73/12/774037312.db2.gz RJSYVFRSWYJVOB-NSHDSACASA-N 0 2 308.319 0.304 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1nccn1Cc1cccnc1 ZINC001199402678 774108593 /nfs/dbraw/zinc/10/85/93/774108593.db2.gz YMLUNZKGMJNNQT-LBPRGKRZSA-N 0 2 317.330 0.365 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccn(C2CCC2)c1=O ZINC001199492775 774123169 /nfs/dbraw/zinc/12/31/69/774123169.db2.gz QXSWYNKQNOBJEN-LLVKDONJSA-N 0 2 307.331 0.612 20 0 DCADLN CCCCCC(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217302214 774168611 /nfs/dbraw/zinc/16/86/11/774168611.db2.gz ZAPSRNYQYYQAKE-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN C[NH+](C)C1(C)CN(C(=S)NCCC[NH+]2CCOCC2)C1 ZINC001199945225 774227580 /nfs/dbraw/zinc/22/75/80/774227580.db2.gz BCYARPDNIWQSAI-UHFFFAOYSA-N 0 2 300.472 0.219 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2cncc(O)c2)c1 ZINC001201841522 774564120 /nfs/dbraw/zinc/56/41/20/774564120.db2.gz FANNREZQHKNULB-UHFFFAOYSA-N 0 2 309.303 0.770 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ncccn2)C[C@@H]1O)C(F)C(F)(F)F ZINC001219667960 774576028 /nfs/dbraw/zinc/57/60/28/774576028.db2.gz OFWRLGMWVXXACT-WEDXCCLWSA-N 0 2 322.262 0.038 20 0 DCADLN O=C(N[C@@H]1CN(Cc2ncccn2)C[C@@H]1O)[C@H](F)C(F)(F)F ZINC001219667960 774576033 /nfs/dbraw/zinc/57/60/33/774576033.db2.gz OFWRLGMWVXXACT-WEDXCCLWSA-N 0 2 322.262 0.038 20 0 DCADLN N[C@H](C(=O)Nc1ncc(Br)[nH]c1=O)C(F)(F)F ZINC001220398726 774795202 /nfs/dbraw/zinc/79/52/02/774795202.db2.gz KECDIKBLPBRUCF-GSVOUGTGSA-N 0 2 315.049 0.361 20 0 DCADLN NC(C(=O)Nc1ncc(Br)[nH]c1=O)C(F)(F)F ZINC001220398726 774795206 /nfs/dbraw/zinc/79/52/06/774795206.db2.gz KECDIKBLPBRUCF-GSVOUGTGSA-N 0 2 315.049 0.361 20 0 DCADLN CC[C@@H](C)OCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221485644 775119711 /nfs/dbraw/zinc/11/97/11/775119711.db2.gz GVXHILSPOFHYPM-IJLUTSLNSA-N 0 2 323.397 0.358 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCCn1cccc1 ZINC001224891144 775617401 /nfs/dbraw/zinc/61/74/01/775617401.db2.gz UVGWPFWMLGVICQ-ZDUSSCGKSA-N 0 2 318.395 0.093 20 0 DCADLN Cc1nc(OC[C@H]2OC(=O)[C@@H]3OC(C)(C)O[C@H]23)c(F)c(=O)[nH]1 ZINC001226424366 775815965 /nfs/dbraw/zinc/81/59/65/775815965.db2.gz OKTCXPCZCOZXTC-FTLITQJKSA-N 0 2 314.269 0.454 20 0 DCADLN CO[C@@H](C)CCC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226977610 775905422 /nfs/dbraw/zinc/90/54/22/775905422.db2.gz JZDVKHZJRFSLCZ-JTQLQIEISA-N 0 2 311.386 0.406 20 0 DCADLN Cn1ccc(C[NH+]2CCC(NC(=O)C[N@H+](C)C3CCC3)CC2)n1 ZINC001227832085 776013029 /nfs/dbraw/zinc/01/30/29/776013029.db2.gz CJLSMNAKEUXYLB-UHFFFAOYSA-N 0 2 319.453 0.985 20 0 DCADLN CN(Cc1c[nH+]cn1C)S(=O)(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001465112531 804122775 /nfs/dbraw/zinc/12/27/75/804122775.db2.gz BOHOEQRKAUPNFP-NEPJUHHUSA-N 0 2 315.395 0.825 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)Nc1cc(C)c(-c2nn[nH]n2)cn1 ZINC001591324551 1167213974 /nfs/dbraw/zinc/21/39/74/1167213974.db2.gz OZJOEXPAZKVYOA-QMMMGPOBSA-N 0 2 312.355 0.347 20 0 DCADLN Cc1ncc(Cl)c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001600738199 1168270339 /nfs/dbraw/zinc/27/03/39/1168270339.db2.gz ZAIDOGVBBYEUGS-VIFPVBQESA-N 0 2 309.713 0.587 20 0 DCADLN Cc1ncc(Cl)c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001600738199 1168270351 /nfs/dbraw/zinc/27/03/51/1168270351.db2.gz ZAIDOGVBBYEUGS-VIFPVBQESA-N 0 2 309.713 0.587 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCO[C@@H]2C[N@@H+](CC3CC3)C[C@@H]21 ZINC001083219964 939233818 /nfs/dbraw/zinc/23/38/18/939233818.db2.gz WOMUURVBTUHZRR-UHOFOFEASA-N 0 2 318.421 0.910 20 0 DCADLN C[N@H+](CCNC(=O)[C@]12CCC[C@H]1OCC2)Cc1n[nH]c(=O)[n-]1 ZINC001480889922 939237538 /nfs/dbraw/zinc/23/75/38/939237538.db2.gz RLLPVTFBPFNJLM-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN C[N@@H+](CCNC(=O)[C@]12CCC[C@H]1OCC2)Cc1n[nH]c(=O)[n-]1 ZINC001480889922 939237540 /nfs/dbraw/zinc/23/75/40/939237540.db2.gz RLLPVTFBPFNJLM-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN CCn1nccc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001408589988 939395255 /nfs/dbraw/zinc/39/52/55/939395255.db2.gz DMOXHQYKHZPLHO-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CCn1nccc1C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001408589988 939395259 /nfs/dbraw/zinc/39/52/59/939395259.db2.gz DMOXHQYKHZPLHO-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CCCCOCC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001267760458 939666657 /nfs/dbraw/zinc/66/66/57/939666657.db2.gz UFQNJRYBCYESQA-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCCCOCC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001267760458 939666658 /nfs/dbraw/zinc/66/66/58/939666658.db2.gz UFQNJRYBCYESQA-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CN(C(=O)C[C@@](C)(O)C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481723021 940088208 /nfs/dbraw/zinc/08/82/08/940088208.db2.gz PZCJGTSXNWYAAA-IAQYHMDHSA-N 0 2 323.397 0.094 20 0 DCADLN CN(C(=O)C[C@@](C)(O)C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481723021 940088212 /nfs/dbraw/zinc/08/82/12/940088212.db2.gz PZCJGTSXNWYAAA-IAQYHMDHSA-N 0 2 323.397 0.094 20 0 DCADLN CCNC(=O)CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001284256628 940339803 /nfs/dbraw/zinc/33/98/03/940339803.db2.gz OVANWOADAMBZCC-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN CCNC(=O)CC(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001284256628 940339808 /nfs/dbraw/zinc/33/98/08/940339808.db2.gz OVANWOADAMBZCC-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN CN(C(=O)C=Cc1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001269750373 940769902 /nfs/dbraw/zinc/76/99/02/940769902.db2.gz BZKGYVMPDNXVAH-SNAWJCMRSA-N 0 2 303.322 0.459 20 0 DCADLN Cc1conc1CN[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001423214695 941449072 /nfs/dbraw/zinc/44/90/72/941449072.db2.gz NWTDIWVJXXJBHS-VXNVDRBHSA-N 0 2 313.251 0.450 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CNC(C)=O ZINC001409335198 941943572 /nfs/dbraw/zinc/94/35/72/941943572.db2.gz TZJDAQQXAIPRDH-VXNVDRBHSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CNC(C)=O ZINC001409335198 941943575 /nfs/dbraw/zinc/94/35/75/941943575.db2.gz TZJDAQQXAIPRDH-VXNVDRBHSA-N 0 2 315.267 0.034 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)C(=O)N(C)CCC(=O)[O-])c1C ZINC001611023991 970853735 /nfs/dbraw/zinc/85/37/35/970853735.db2.gz KXBKVMQTAHQBEJ-UHFFFAOYSA-N 0 2 323.349 0.256 20 0 DCADLN COCCOCc1cc(=O)n(CC(C)(C)S(C)(=O)=O)[nH]1 ZINC000905020121 941996739 /nfs/dbraw/zinc/99/67/39/941996739.db2.gz ZJQDICLJYVJLDO-UHFFFAOYSA-N 0 2 306.384 0.061 20 0 DCADLN C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)[N@@H+](C)CCn1cccn1 ZINC001409592135 942076848 /nfs/dbraw/zinc/07/68/48/942076848.db2.gz PTWJDANSTWENOD-ZDUSSCGKSA-N 0 2 304.398 0.676 20 0 DCADLN O=C(NC[C@@H]1CCSC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412915428 942137645 /nfs/dbraw/zinc/13/76/45/942137645.db2.gz KVDDSCQJMRRDCL-VIFPVBQESA-N 0 2 320.374 0.749 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC1(F)F ZINC001483353511 942292713 /nfs/dbraw/zinc/29/27/13/942292713.db2.gz SIRHGBDWCRXJER-JGVFFNPUSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC1(F)F ZINC001483353511 942292717 /nfs/dbraw/zinc/29/27/17/942292717.db2.gz SIRHGBDWCRXJER-JGVFFNPUSA-N 0 2 303.313 0.492 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cc3n(n2)CCCC3)S1 ZINC001413205984 942558472 /nfs/dbraw/zinc/55/84/72/942558472.db2.gz ZGWINWLTIHGNIK-JTQLQIEISA-N 0 2 307.379 0.392 20 0 DCADLN COCC(=O)N(C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001414605105 942559290 /nfs/dbraw/zinc/55/92/90/942559290.db2.gz DFEHOYQUXITCJW-APPZFPTMSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N(C)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001414605105 942559295 /nfs/dbraw/zinc/55/92/95/942559295.db2.gz DFEHOYQUXITCJW-APPZFPTMSA-N 0 2 300.252 0.593 20 0 DCADLN CCC[C@@H](OCC)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001483674081 942653824 /nfs/dbraw/zinc/65/38/24/942653824.db2.gz WRZXZOGOBCCPJL-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CCC(C)(C)CNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365579130 942838714 /nfs/dbraw/zinc/83/87/14/942838714.db2.gz XIAMCZDFNPDEJG-UHFFFAOYSA-N 0 2 317.415 0.585 20 0 DCADLN COc1cc(C)cc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1O ZINC001413344145 942911216 /nfs/dbraw/zinc/91/12/16/942911216.db2.gz PYZBBGFDKZSQPN-UHFFFAOYSA-N 0 2 305.290 0.840 20 0 DCADLN CC(=O)c1cc(F)ccc1NS(=O)(=O)CS(C)(=O)=O ZINC001260591610 943019316 /nfs/dbraw/zinc/01/93/16/943019316.db2.gz BMGVAVBRADSWEZ-UHFFFAOYSA-N 0 2 309.340 0.772 20 0 DCADLN Cc1n[nH]c(SCC(=O)N2CCC(Cc3nn[nH]n3)CC2)n1 ZINC001413508924 943033287 /nfs/dbraw/zinc/03/32/87/943033287.db2.gz USYQZDGXWFCFIU-UHFFFAOYSA-N 0 2 322.398 0.200 20 0 DCADLN CC(=O)N1CCC[C@H](N(CCO)C(=O)C(F)C(F)(F)F)C1 ZINC001520064602 943144303 /nfs/dbraw/zinc/14/43/03/943144303.db2.gz SZUNRYQOIATQRT-VHSXEESVSA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N1CCC[C@H](N(CCO)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001520064602 943144305 /nfs/dbraw/zinc/14/43/05/943144305.db2.gz SZUNRYQOIATQRT-VHSXEESVSA-N 0 2 314.279 0.719 20 0 DCADLN CCc1cccnc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413673603 943563070 /nfs/dbraw/zinc/56/30/70/943563070.db2.gz SPPUORRQSZWNGZ-UHFFFAOYSA-N 0 2 323.378 0.237 20 0 DCADLN CCCCC(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001484774274 943564723 /nfs/dbraw/zinc/56/47/23/943564723.db2.gz ZNJCFNLNBSLAIT-UHFFFAOYSA-N 0 2 309.370 0.114 20 0 DCADLN COc1cccc(C[C@@H](O)C(=O)N[C@@H](C)c2nn(C)cc2O)c1 ZINC001413762729 943670650 /nfs/dbraw/zinc/67/06/50/943670650.db2.gz MUQGLRYAFRRCLB-GXFFZTMASA-N 0 2 319.361 0.915 20 0 DCADLN COc1cnc([C@@H]2CCCN2C(=O)C2=NC(=O)N(C)C2)[nH]c1=O ZINC001413764805 943671833 /nfs/dbraw/zinc/67/18/33/943671833.db2.gz JTBGXUSKCPZXER-VIFPVBQESA-N 0 2 319.321 0.607 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491352195 943934215 /nfs/dbraw/zinc/93/42/15/943934215.db2.gz BPIPQMDAOCWCQB-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491352195 943934217 /nfs/dbraw/zinc/93/42/17/943934217.db2.gz BPIPQMDAOCWCQB-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001409921452 944144052 /nfs/dbraw/zinc/14/40/52/944144052.db2.gz NEQBCHQNJUZOEW-JTQLQIEISA-N 0 2 319.365 0.591 20 0 DCADLN NC(=O)c1nnc2n1CCN(C(=O)c1cc(F)c(O)cc1F)C2 ZINC001276859030 944330511 /nfs/dbraw/zinc/33/05/11/944330511.db2.gz WMVWYFYIELKKQK-UHFFFAOYSA-N 0 2 323.259 0.017 20 0 DCADLN NC(=O)c1noc2c1CN(C(=O)c1ccc(O)c(F)c1F)CC2 ZINC001276858095 944332846 /nfs/dbraw/zinc/33/28/46/944332846.db2.gz ARSFRNUYCPHXED-UHFFFAOYSA-N 0 2 323.255 0.956 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NCC(=O)NCC(C)C ZINC001434548314 945438642 /nfs/dbraw/zinc/43/86/42/945438642.db2.gz UHIIIHHCLIJUJR-UHFFFAOYSA-N 0 2 313.445 0.101 20 0 DCADLN COCC(=O)N[C@H]1CN(C(=O)C(F)C(F)(F)F)CC1(C)C ZINC001487246616 945624375 /nfs/dbraw/zinc/62/43/75/945624375.db2.gz QTJKCOFQLNWBEW-IONNQARKSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CC1(C)C ZINC001487246616 945624381 /nfs/dbraw/zinc/62/43/81/945624381.db2.gz QTJKCOFQLNWBEW-IONNQARKSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N[C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001487394156 945699973 /nfs/dbraw/zinc/69/99/73/945699973.db2.gz YQKCWKNNZOKPJO-ZXFLCMHBSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)N[C@H]1C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001487394156 945699979 /nfs/dbraw/zinc/69/99/79/945699979.db2.gz YQKCWKNNZOKPJO-ZXFLCMHBSA-N 0 2 300.252 0.544 20 0 DCADLN CN(CCO)c1cc(NS(=O)(=O)c2ccccc2)ncn1 ZINC001251116040 945741097 /nfs/dbraw/zinc/74/10/97/945741097.db2.gz DVPNMOIMBJYOKZ-UHFFFAOYSA-N 0 2 308.363 0.706 20 0 DCADLN Cc1ccc(NC(=O)C(=O)[N-]OC2CCOCC2)c(N(C)C)[nH+]1 ZINC001320691495 945982034 /nfs/dbraw/zinc/98/20/34/945982034.db2.gz PCKUAJPDINLFMC-UHFFFAOYSA-N 0 2 322.365 0.621 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001410219982 946051668 /nfs/dbraw/zinc/05/16/68/946051668.db2.gz OSLLCADGIBOUJL-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001410219982 946051681 /nfs/dbraw/zinc/05/16/81/946051681.db2.gz OSLLCADGIBOUJL-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN COc1nn(C)cc1NS(=O)(=O)c1cnc2c(cnn2C)c1 ZINC001320991508 946102260 /nfs/dbraw/zinc/10/22/60/946102260.db2.gz BXBOZZAJKWDKJE-UHFFFAOYSA-N 0 2 322.350 0.511 20 0 DCADLN Cc1nn(C)c(Cl)c1S(=O)(=O)Nc1c(O)nc[nH]c1=O ZINC001258361967 946827741 /nfs/dbraw/zinc/82/77/41/946827741.db2.gz XCGRYEXGDBHZQJ-UHFFFAOYSA-N 0 2 319.730 0.384 20 0 DCADLN NC(=O)c1ncc(NS(=O)(=O)c2cc(F)ccc2F)cn1 ZINC001258941920 946873046 /nfs/dbraw/zinc/87/30/46/946873046.db2.gz BSUFWEXDEKFEKX-UHFFFAOYSA-N 0 2 314.273 0.655 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)Cc2ccc(F)cc2)S1 ZINC001259255046 946933115 /nfs/dbraw/zinc/93/31/15/946933115.db2.gz WZTUFQBLHLKXBH-VIFPVBQESA-N 0 2 304.324 0.554 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1ccc2c(c1)OCO2 ZINC001259844268 947000619 /nfs/dbraw/zinc/00/06/19/947000619.db2.gz IIKBYAGFPMMNAH-UHFFFAOYSA-N 0 2 311.275 0.417 20 0 DCADLN Cn1ncc(NS(=O)(=O)c2ccc3c(c2)CCC3)c1C(N)=O ZINC001259855914 947003827 /nfs/dbraw/zinc/00/38/27/947003827.db2.gz UKNGVWXBOJWKLX-UHFFFAOYSA-N 0 2 320.374 0.809 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2cnc3ccccc3c2)S1 ZINC001260011889 947048879 /nfs/dbraw/zinc/04/88/79/947048879.db2.gz NZYNBLCXTOEVBM-LLVKDONJSA-N 0 2 323.355 0.822 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1cc(O)cc(C(N)=O)c1 ZINC001260112427 947070542 /nfs/dbraw/zinc/07/05/42/947070542.db2.gz IXMZNFQUPAMVJW-UHFFFAOYSA-N 0 2 324.362 0.642 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC001260610409 947129816 /nfs/dbraw/zinc/12/98/16/947129816.db2.gz OOBJXTNWLSLCOJ-UHFFFAOYSA-N 0 2 321.318 0.575 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1cccc2c1CC(=O)NC2 ZINC001261030583 947167156 /nfs/dbraw/zinc/16/71/56/947167156.db2.gz GSRZWHMUJYEEKI-UHFFFAOYSA-N 0 2 320.374 1.000 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)C[C@@H](O)C[N@H+](C)C/C=C\Cl ZINC001411184725 947450346 /nfs/dbraw/zinc/45/03/46/947450346.db2.gz GHECZRDTLSINJC-ZFDPJTLLSA-N 0 2 314.817 0.695 20 0 DCADLN CC/C=C(\C)C(=O)N[C@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001325817127 947931644 /nfs/dbraw/zinc/93/16/44/947931644.db2.gz AJMDYXOAZPABLP-IWOOQVRJSA-N 0 2 323.397 0.310 20 0 DCADLN C/C=C(\C)C(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001273656134 948007688 /nfs/dbraw/zinc/00/76/88/948007688.db2.gz VHVHHPOSJPNBKJ-LFJXOHPOSA-N 0 2 321.381 0.326 20 0 DCADLN CC[C@H](C)n1ncc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1C ZINC001326288278 948120366 /nfs/dbraw/zinc/12/03/66/948120366.db2.gz PVUNJCDUPRDGQG-ZETCQYMHSA-N 0 2 321.341 0.241 20 0 DCADLN COc1ccc(OC)c2c1C[N@H+](CCOCC(=O)[O-])C[C@@H]2O ZINC001326538802 948193424 /nfs/dbraw/zinc/19/34/24/948193424.db2.gz GITJEMVCHQFENZ-NSHDSACASA-N 0 2 311.334 0.654 20 0 DCADLN COc1ccc(OC)c2c1C[N@@H+](CCOCC(=O)[O-])C[C@@H]2O ZINC001326538802 948193434 /nfs/dbraw/zinc/19/34/34/948193434.db2.gz GITJEMVCHQFENZ-NSHDSACASA-N 0 2 311.334 0.654 20 0 DCADLN Nc1cn[nH]c1[C@H]1CCN(C(=O)c2ccc(-c3nn[nH]n3)o2)C1 ZINC001570919783 948593383 /nfs/dbraw/zinc/59/33/83/948593383.db2.gz APEVEWOUQLYYGT-ZETCQYMHSA-N 0 2 314.309 0.395 20 0 DCADLN Cc1[nH+]ccn1CCNC(=O)C(=O)N1CC[NH+](C(C)(C)C)CC1 ZINC001328015453 948690475 /nfs/dbraw/zinc/69/04/75/948690475.db2.gz JPOBRRYJAZEGKI-UHFFFAOYSA-N 0 2 321.425 0.250 20 0 DCADLN O=C(c1[nH]cnc1C(F)(F)F)N1CC[C@H](c2nn[nH]n2)C1 ZINC001364569825 949564941 /nfs/dbraw/zinc/56/49/41/949564941.db2.gz XAVVLCQOWBOKSF-YFKPBYRVSA-N 0 2 301.232 0.571 20 0 DCADLN O=C(c1nc[nH]c1C(F)(F)F)N1CC[C@H](c2nn[nH]n2)C1 ZINC001364569825 949564950 /nfs/dbraw/zinc/56/49/50/949564950.db2.gz XAVVLCQOWBOKSF-YFKPBYRVSA-N 0 2 301.232 0.571 20 0 DCADLN Cc1nocc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364717371 949802712 /nfs/dbraw/zinc/80/27/12/949802712.db2.gz JCYNZFBSWGMGHI-SECBINFHSA-N 0 2 306.326 0.896 20 0 DCADLN Cc1cc([C@@](C)(O)CNS(=O)(=O)N=S(C)(C)=O)c(C)o1 ZINC001364787602 949943097 /nfs/dbraw/zinc/94/30/97/949943097.db2.gz SDCXCHKVFHOHBQ-NSHDSACASA-N 0 2 324.424 0.666 20 0 DCADLN Cc1nc([C@@H]2CCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)no1 ZINC001364844600 950054236 /nfs/dbraw/zinc/05/42/36/950054236.db2.gz YLJLSSAJRLTAJZ-SFYZADRCSA-N 0 2 309.351 0.250 20 0 DCADLN Cc1nc([C@@H]2CCN(C(=O)C[C@H]3SC(=N)NC3=O)C2)no1 ZINC001364844594 950055909 /nfs/dbraw/zinc/05/59/09/950055909.db2.gz YLJLSSAJRLTAJZ-HTQZYQBOSA-N 0 2 309.351 0.250 20 0 DCADLN C[C@H](NC(=O)CCCc1nn[nH]n1)c1nc(C(F)(F)F)n[nH]1 ZINC001365025487 950374914 /nfs/dbraw/zinc/37/49/14/950374914.db2.gz IRFNFJYBCCAYSE-YFKPBYRVSA-N 0 2 318.263 0.537 20 0 DCADLN C[C@@H]1[C@H](O)CCC[N@@H+]1CN1C[C@@]2(C[NH+]3CCC2CC3)OC1=O ZINC001331073223 950879462 /nfs/dbraw/zinc/87/94/62/950879462.db2.gz TWEULLRKXWPORC-XNRPHZJLSA-N 0 2 309.410 0.706 20 0 DCADLN O=C([O-])CC1(C(=O)N[C@@H]2CCn3c[nH+]cc3C2)CCOCC1 ZINC001594974596 950909351 /nfs/dbraw/zinc/90/93/51/950909351.db2.gz USRBPAYPFNTVPK-LLVKDONJSA-N 0 2 307.350 0.586 20 0 DCADLN COC(=O)CCN(CC(=O)OC(C)(C)C)Cc1n[nH]c(=O)[nH]1 ZINC001365323978 950959653 /nfs/dbraw/zinc/95/96/53/950959653.db2.gz MURHVHQZGIEYGG-UHFFFAOYSA-N 0 2 314.342 0.217 20 0 DCADLN COc1cnc([C@H]2CCC[N@@H+]2CCc2nnnn2C)[n-]c1=O ZINC001365339559 950994511 /nfs/dbraw/zinc/99/45/11/950994511.db2.gz OYFBMVSPGDATHR-SECBINFHSA-N 0 2 305.342 0.094 20 0 DCADLN COc1cnc([C@H]2CCC[N@H+]2CCc2nnnn2C)[n-]c1=O ZINC001365339559 950994523 /nfs/dbraw/zinc/99/45/23/950994523.db2.gz OYFBMVSPGDATHR-SECBINFHSA-N 0 2 305.342 0.094 20 0 DCADLN O=C(CCNS(=O)(=O)CC(F)(F)F)Nc1nccs1 ZINC001253224702 951231372 /nfs/dbraw/zinc/23/13/72/951231372.db2.gz WZZJMCVBPMKMAD-UHFFFAOYSA-N 0 2 317.314 0.953 20 0 DCADLN O=C([O-])CCNC(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC001595056280 951503394 /nfs/dbraw/zinc/50/33/94/951503394.db2.gz YHXOFVOZSCACFP-UHFFFAOYSA-N 0 2 316.317 0.079 20 0 DCADLN O=C(NCC1CN(C(=O)c2nccnc2Cl)C1)C(F)(F)F ZINC001332227538 951531508 /nfs/dbraw/zinc/53/15/08/951531508.db2.gz CGOANDNUZFKZCE-UHFFFAOYSA-N 0 2 322.674 0.881 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CCC[C@@H](c2ccncc2)C1 ZINC001595123821 951991564 /nfs/dbraw/zinc/99/15/64/951991564.db2.gz FNFRHTFVIOCKFS-CYBMUJFWSA-N 0 2 312.391 0.760 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CCC[C@@H](c2ccncc2)C1 ZINC001595123821 951991567 /nfs/dbraw/zinc/99/15/67/951991567.db2.gz FNFRHTFVIOCKFS-CYBMUJFWSA-N 0 2 312.391 0.760 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H](C)[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1[O-] ZINC001411390384 952087595 /nfs/dbraw/zinc/08/75/95/952087595.db2.gz WHNNDIDORGMRRA-SFYZADRCSA-N 0 2 320.353 0.013 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](C[C@H]3CCCOC3)C2=O)[nH]1 ZINC001333584216 952108929 /nfs/dbraw/zinc/10/89/29/952108929.db2.gz XGXPHZCKBPHMAK-NXEZZACHSA-N 0 2 323.353 0.188 20 0 DCADLN CC(=O)c1ccc(O)c(C(=O)N2CC3(C2)CC[C@@H](C(N)=O)O3)c1 ZINC001275491672 952196281 /nfs/dbraw/zinc/19/62/81/952196281.db2.gz LHXQYIBBTNXBPG-ZDUSSCGKSA-N 0 2 318.329 0.454 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)N(C)C(=O)C(F)C(F)(F)F ZINC001411563375 952276551 /nfs/dbraw/zinc/27/65/51/952276551.db2.gz QBXKKRAZHOCBMQ-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001411563375 952276554 /nfs/dbraw/zinc/27/65/54/952276554.db2.gz QBXKKRAZHOCBMQ-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C/C(=C/C(=O)NCc1ccc(C(=O)[O-])cn1)C[NH+]1CCOCC1 ZINC001335042923 952446491 /nfs/dbraw/zinc/44/64/91/952446491.db2.gz AJJPESWDZIYTAX-WQLSENKSSA-N 0 2 319.361 0.675 20 0 DCADLN O=C(NCC1(CO)CCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412017008 952600711 /nfs/dbraw/zinc/60/07/11/952600711.db2.gz PVKDKEMNGPHJBI-UHFFFAOYSA-N 0 2 318.333 0.159 20 0 DCADLN O=C(NC[C@@H]1[C@H]2CN(C(=O)C(F)F)C[C@H]21)C(F)C(F)(F)F ZINC001412101720 952644005 /nfs/dbraw/zinc/64/40/05/952644005.db2.gz VCCZNRTXEOFVRD-MVIOUDGNSA-N 0 2 318.217 0.973 20 0 DCADLN O=C(NC[C@@H]1[C@H]2CN(C(=O)C(F)F)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001412101720 952644011 /nfs/dbraw/zinc/64/40/11/952644011.db2.gz VCCZNRTXEOFVRD-MVIOUDGNSA-N 0 2 318.217 0.973 20 0 DCADLN CC(C)(O)[C@@H](O)C(=O)Nc1nccc(Br)c1O ZINC001412404311 952779976 /nfs/dbraw/zinc/77/99/76/952779976.db2.gz QDMSTEWNIZOOSW-ZETCQYMHSA-N 0 2 305.128 0.620 20 0 DCADLN Cn1cc(CNC(=O)c2cccc(Cl)c2O)c(=O)[nH]c1=O ZINC001412495467 952845995 /nfs/dbraw/zinc/84/59/95/952845995.db2.gz LYBNQGKORHJXMA-UHFFFAOYSA-N 0 2 309.709 0.775 20 0 DCADLN Cn1cc(-c2nnc(NS(=O)(=O)c3ccnn3C)o2)cn1 ZINC001339289338 953085820 /nfs/dbraw/zinc/08/58/20/953085820.db2.gz YNZOFJDYSDGFCQ-UHFFFAOYSA-N 0 2 309.311 0.004 20 0 DCADLN O=C(CCc1nnc(-c2ccsc2)o1)NCc1n[nH]c(=O)[nH]1 ZINC001339893443 953128112 /nfs/dbraw/zinc/12/81/12/953128112.db2.gz UURLJCVQZYADCY-UHFFFAOYSA-N 0 2 320.334 0.871 20 0 DCADLN O=C(NN1CCCNC1=O)c1cnc2ccnn2c1C(F)F ZINC001412834496 953148021 /nfs/dbraw/zinc/14/80/21/953148021.db2.gz DPPXTSAIAIXQFM-UHFFFAOYSA-N 0 2 310.264 0.727 20 0 DCADLN COC(=O)c1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)c(C)s1 ZINC001365915703 953406506 /nfs/dbraw/zinc/40/65/06/953406506.db2.gz RHOMLGNWLLHXRZ-UHFFFAOYSA-N 0 2 311.323 0.989 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001589274525 953450509 /nfs/dbraw/zinc/45/05/09/953450509.db2.gz UAXHXALRWGVXPJ-UTUOFQBUSA-N 0 2 306.366 0.950 20 0 DCADLN CCc1cc(N2CCO[C@@](COC)(C(=O)[O-])C2)nc(CC)[nH+]1 ZINC001593632584 953584779 /nfs/dbraw/zinc/58/47/79/953584779.db2.gz CRODPAJQZAXFCS-HNNXBMFYSA-N 0 2 309.366 0.908 20 0 DCADLN C[C@@H]1CCN(c2nnc([C@@H]3C[C@@H](O)C[N@@H+]3C)n2C)C[C@H]1C(=O)[O-] ZINC001589363793 954172699 /nfs/dbraw/zinc/17/26/99/954172699.db2.gz ZALZAGAYUKFHAF-KKOKHZNYSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1CCN(c2nnc([C@@H]3C[C@@H](O)C[N@H+]3C)n2C)C[C@H]1C(=O)[O-] ZINC001589363793 954172705 /nfs/dbraw/zinc/17/27/05/954172705.db2.gz ZALZAGAYUKFHAF-KKOKHZNYSA-N 0 2 323.397 0.100 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1n[nH]cc1F ZINC001283273234 954207757 /nfs/dbraw/zinc/20/77/57/954207757.db2.gz IBVKDDFFIDQMLB-ZETCQYMHSA-N 0 2 314.214 0.685 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1n[nH]cc1F ZINC001283273234 954207769 /nfs/dbraw/zinc/20/77/69/954207769.db2.gz IBVKDDFFIDQMLB-ZETCQYMHSA-N 0 2 314.214 0.685 20 0 DCADLN COc1cncc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001366539300 954379615 /nfs/dbraw/zinc/37/96/15/954379615.db2.gz LPTDJAZSOKRNSF-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN COc1cncc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001366539300 954379628 /nfs/dbraw/zinc/37/96/28/954379628.db2.gz LPTDJAZSOKRNSF-UHFFFAOYSA-N 0 2 320.353 0.084 20 0 DCADLN C[C@H]1OCC[C@]12C[N@H+](Cc1cc(C(=O)[O-])nn1C)C[C@@H](C)O2 ZINC001589399081 954400094 /nfs/dbraw/zinc/40/00/94/954400094.db2.gz MJIAZQNOVZQVAB-HFAKWTLXSA-N 0 2 309.366 0.887 20 0 DCADLN C[C@H]1OCC[C@]12C[N@@H+](Cc1cc(C(=O)[O-])nn1C)C[C@@H](C)O2 ZINC001589399081 954400101 /nfs/dbraw/zinc/40/01/01/954400101.db2.gz MJIAZQNOVZQVAB-HFAKWTLXSA-N 0 2 309.366 0.887 20 0 DCADLN CC(C)(C)C(=O)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001348669171 954417935 /nfs/dbraw/zinc/41/79/35/954417935.db2.gz SWTPKNJOEJACOQ-LURJTMIESA-N 0 2 300.252 0.734 20 0 DCADLN CC(C)(C)C(=O)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001348669171 954417941 /nfs/dbraw/zinc/41/79/41/954417941.db2.gz SWTPKNJOEJACOQ-LURJTMIESA-N 0 2 300.252 0.734 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)[C@@H](C)C[N@@H+]1C ZINC001589402729 954451893 /nfs/dbraw/zinc/45/18/93/954451893.db2.gz YWDKZAFZPXDDNN-MNOVXSKESA-N 0 2 319.427 0.052 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)[C@@H](C)C[N@H+]1C ZINC001589402729 954451902 /nfs/dbraw/zinc/45/19/02/954451902.db2.gz YWDKZAFZPXDDNN-MNOVXSKESA-N 0 2 319.427 0.052 20 0 DCADLN Cn1ccnc1C1(O)CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC001548782657 1013124255 /nfs/dbraw/zinc/12/42/55/1013124255.db2.gz SCCFVZMQUJWRRI-UHFFFAOYSA-N 0 2 309.272 0.748 20 0 DCADLN O=C(Cc1cncs1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366738239 954745650 /nfs/dbraw/zinc/74/56/50/954745650.db2.gz BHFHHYCNHCBMOJ-UHFFFAOYSA-N 0 2 322.394 0.290 20 0 DCADLN O=C(Cc1cncs1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366738239 954745663 /nfs/dbraw/zinc/74/56/63/954745663.db2.gz BHFHHYCNHCBMOJ-UHFFFAOYSA-N 0 2 322.394 0.290 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C)CC(=O)[O-] ZINC001603002709 972048779 /nfs/dbraw/zinc/04/87/79/972048779.db2.gz GMYVSAWDIKXZJG-CMPLNLGQSA-N 0 2 324.381 0.463 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C)CC(=O)[O-] ZINC001603002709 972048784 /nfs/dbraw/zinc/04/87/84/972048784.db2.gz GMYVSAWDIKXZJG-CMPLNLGQSA-N 0 2 324.381 0.463 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)[C@@H](O)c2c[nH+]c[nH]2)Cc2ccccc21 ZINC001594941353 954897187 /nfs/dbraw/zinc/89/71/87/954897187.db2.gz IKMBOJJOARMPLS-YPMHNXCESA-N 0 2 301.302 0.654 20 0 DCADLN O=C(CC[C@@H]1CCCO1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366903724 955028503 /nfs/dbraw/zinc/02/85/03/955028503.db2.gz SNPMVLGTJWTTCH-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)NCC1(C(=O)[O-])CCC1 ZINC001589515335 955065761 /nfs/dbraw/zinc/06/57/61/955065761.db2.gz YWXISWVPWZWWMZ-GFCCVEGCSA-N 0 2 313.398 0.651 20 0 DCADLN COC(=O)C1CC2(C1)C[N@H+](Cc1cn[nH]c1C)C[C@H]2C(=O)[O-] ZINC001593824652 955084546 /nfs/dbraw/zinc/08/45/46/955084546.db2.gz QZFLFERQPXHXKL-JYHUIVOISA-N 0 2 307.350 0.804 20 0 DCADLN COC(=O)C1CC2(C1)C[N@@H+](Cc1cn[nH]c1C)C[C@H]2C(=O)[O-] ZINC001593824652 955084558 /nfs/dbraw/zinc/08/45/58/955084558.db2.gz QZFLFERQPXHXKL-JYHUIVOISA-N 0 2 307.350 0.804 20 0 DCADLN C[C@H]1CCC[C@H](OCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001366948153 955104185 /nfs/dbraw/zinc/10/41/85/955104185.db2.gz VFACJMCUKJEGIG-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](CN(C)C(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1)C(=O)[O-] ZINC001589019173 955447946 /nfs/dbraw/zinc/44/79/46/955447946.db2.gz AIHSZVBSDOZMMU-LLVKDONJSA-N 0 2 322.365 0.226 20 0 DCADLN C[C@@H](CNC(=O)c1cccn(CC(=O)[O-])c1=O)Cn1cc[nH+]c1 ZINC001589062996 955730077 /nfs/dbraw/zinc/73/00/77/955730077.db2.gz DRIVIYUMABIXSV-NSHDSACASA-N 0 2 318.333 0.196 20 0 DCADLN Cn1c[nH+]cc1C1CCN(C(=O)c2cnc(C(=O)[O-])cn2)CC1 ZINC001594557559 955776095 /nfs/dbraw/zinc/77/60/95/955776095.db2.gz OXGZPVXVFIRBFG-UHFFFAOYSA-N 0 2 315.333 0.928 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[NH+]1CCC(Oc2cnccn2)CC1 ZINC001594571911 955896443 /nfs/dbraw/zinc/89/64/43/955896443.db2.gz NCJPVZGELUNIKI-UHFFFAOYSA-N 0 2 317.349 0.952 20 0 DCADLN CCc1nnc(C[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001480447352 955932698 /nfs/dbraw/zinc/93/26/98/955932698.db2.gz LFQGMVAONSFTHA-SSDOTTSWSA-N 0 2 308.342 0.277 20 0 DCADLN C[C@@H](NC(=O)Nc1ccnc(C(=O)[O-])c1)[C@H]1CN(C)CC[N@@H+]1C ZINC001589108065 956039240 /nfs/dbraw/zinc/03/92/40/956039240.db2.gz CWVXEFNBWBNTBM-ZWNOBZJWSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@@H](NC(=O)Nc1ccnc(C(=O)[O-])c1)[C@H]1CN(C)CC[N@H+]1C ZINC001589108065 956039252 /nfs/dbraw/zinc/03/92/52/956039252.db2.gz CWVXEFNBWBNTBM-ZWNOBZJWSA-N 0 2 321.381 0.536 20 0 DCADLN CO[C@@H]1CCN(C(=O)c2cn(-c3cc[nH+]c(C(=O)[O-])c3)nn2)C1 ZINC001593950926 956382222 /nfs/dbraw/zinc/38/22/22/956382222.db2.gz FYKBWHMJHWTQRR-SNVBAGLBSA-N 0 2 317.305 0.221 20 0 DCADLN O=C(Cn1ncc(=O)c2ccccc21)NO[C@H]1CCCCO1 ZINC001292297382 956934664 /nfs/dbraw/zinc/93/46/64/956934664.db2.gz DXYXQBCKHSLXGY-HNNXBMFYSA-N 0 2 303.318 0.971 20 0 DCADLN CN(CCCNC(=O)c1cncnc1)C(=O)C(F)C(F)(F)F ZINC001294037044 957468880 /nfs/dbraw/zinc/46/88/80/957468880.db2.gz IRCRWRWJOBFZOD-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1cncnc1)C(=O)[C@@H](F)C(F)(F)F ZINC001294037044 957468885 /nfs/dbraw/zinc/46/88/85/957468885.db2.gz IRCRWRWJOBFZOD-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCOCCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001295443690 957710397 /nfs/dbraw/zinc/71/03/97/957710397.db2.gz PRCYZBXIQKAGSL-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCCC(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001295443690 957710402 /nfs/dbraw/zinc/71/04/02/957710402.db2.gz PRCYZBXIQKAGSL-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCc1[nH]ncc1C(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001295841243 957832032 /nfs/dbraw/zinc/83/20/32/957832032.db2.gz XYOOVXMCEGQOKV-UHFFFAOYSA-N 0 2 310.335 0.577 20 0 DCADLN Cc1cc(C)c(C(=O)NCCOCCOCC(=O)[O-])c(C)[nH+]1 ZINC001594293531 957844360 /nfs/dbraw/zinc/84/43/60/957844360.db2.gz GXMKSYKVWYBREM-UHFFFAOYSA-N 0 2 310.350 0.854 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC001603207302 972316268 /nfs/dbraw/zinc/31/62/68/972316268.db2.gz OJOVWHMFHXFZSQ-PWSUYJOCSA-N 0 2 322.365 0.170 20 0 DCADLN Cc1cccnc1CCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC001297820828 958349545 /nfs/dbraw/zinc/34/95/45/958349545.db2.gz MYZYKSGFBFHQAG-NSHDSACASA-N 0 2 306.391 0.995 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2cccc(CO)c2)c1 ZINC001361843092 958394530 /nfs/dbraw/zinc/39/45/30/958394530.db2.gz ASMLBIZFVCCGMF-UHFFFAOYSA-N 0 2 322.342 0.784 20 0 DCADLN O=C(Cc1ccon1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001298322417 958545859 /nfs/dbraw/zinc/54/58/59/958545859.db2.gz YJLCSKOYHUEZRU-YOLVWIGZSA-N 0 2 323.246 0.906 20 0 DCADLN O=C(Cc1ccon1)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001298322417 958545863 /nfs/dbraw/zinc/54/58/63/958545863.db2.gz YJLCSKOYHUEZRU-YOLVWIGZSA-N 0 2 323.246 0.906 20 0 DCADLN Cc1cnccc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367803428 958614813 /nfs/dbraw/zinc/61/48/13/958614813.db2.gz UJDMTUYAXDMVHE-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cnccc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367803428 958614819 /nfs/dbraw/zinc/61/48/19/958614819.db2.gz UJDMTUYAXDMVHE-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)[C@@H](c2ccccc2)C1 ZINC001362050680 958656179 /nfs/dbraw/zinc/65/61/79/958656179.db2.gz RVEZIQAJNFQKAZ-GFCCVEGCSA-N 0 2 317.349 0.205 20 0 DCADLN C[N@H+]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)[C@@H](c2ccccc2)C1 ZINC001362050680 958656189 /nfs/dbraw/zinc/65/61/89/958656189.db2.gz RVEZIQAJNFQKAZ-GFCCVEGCSA-N 0 2 317.349 0.205 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cnoc1C1CC1 ZINC001367844533 958695097 /nfs/dbraw/zinc/69/50/97/958695097.db2.gz HMZRWCCYDLIFTK-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cnoc1C1CC1 ZINC001367844533 958695107 /nfs/dbraw/zinc/69/51/07/958695107.db2.gz HMZRWCCYDLIFTK-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN CCCCN(CCCC)C(=O)C[N@@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC000132212140 958777353 /nfs/dbraw/zinc/77/73/53/958777353.db2.gz ZBURTVHPPCMGME-QWHCGFSZSA-N 0 2 300.399 0.935 20 0 DCADLN CCCCN(CCCC)C(=O)C[N@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC000132212140 958777363 /nfs/dbraw/zinc/77/73/63/958777363.db2.gz ZBURTVHPPCMGME-QWHCGFSZSA-N 0 2 300.399 0.935 20 0 DCADLN Cn1ncnc1CN1CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001368151267 959340732 /nfs/dbraw/zinc/34/07/32/959340732.db2.gz IURIKABIPSPPAU-GXSJLCMTSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CC[C@@](C)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001368151267 959340753 /nfs/dbraw/zinc/34/07/53/959340753.db2.gz IURIKABIPSPPAU-GXSJLCMTSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@@H]1CCC[C@H]1NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001368212231 959452413 /nfs/dbraw/zinc/45/24/13/959452413.db2.gz UJHDCDUXMRLIID-RKDXNWHRSA-N 0 2 315.399 0.337 20 0 DCADLN COc1nccc(C[N@H+](C)CCNC(=O)C[NH+]2CC[C@H](C)C2)n1 ZINC001377220444 959718081 /nfs/dbraw/zinc/71/80/81/959718081.db2.gz CHMGLOONHVRGMS-ZDUSSCGKSA-N 0 2 321.425 0.375 20 0 DCADLN C[N@H+](CCNC(=O)c1scnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377225394 959731523 /nfs/dbraw/zinc/73/15/23/959731523.db2.gz HPJBJDWYGVHMPT-UHFFFAOYSA-N 0 2 322.394 0.706 20 0 DCADLN C[N@@H+](CCNC(=O)c1scnc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377225394 959731542 /nfs/dbraw/zinc/73/15/42/959731542.db2.gz HPJBJDWYGVHMPT-UHFFFAOYSA-N 0 2 322.394 0.706 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC[C@H](O)[C@@H](C)O)=N2)cc1 ZINC001362718628 959884596 /nfs/dbraw/zinc/88/45/96/959884596.db2.gz BQVWKBBSOITXGL-SKDRFNHKSA-N 0 2 321.333 0.058 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N(CC2CC2)[C@@H](C(N)=O)C2CC2)S1 ZINC001362787863 959987970 /nfs/dbraw/zinc/98/79/70/959987970.db2.gz FEBHHUUCVXHRNR-GXSJLCMTSA-N 0 2 324.406 0.045 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CCC[C@@H]1CNC(=O)Cn1c[nH+]cc1C ZINC001377399135 960032787 /nfs/dbraw/zinc/03/27/87/960032787.db2.gz BYYNUHPSNBFXCF-CHWSQXEVSA-N 0 2 307.398 0.036 20 0 DCADLN CN1CCN(C(=O)c2ccc(C[NH+](C)C)o2)CC[N@H+](C)CC1 ZINC001304071836 960075131 /nfs/dbraw/zinc/07/51/31/960075131.db2.gz FHCJHTPBIOZLHU-UHFFFAOYSA-N 0 2 308.426 0.661 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001362969733 960241768 /nfs/dbraw/zinc/24/17/68/960241768.db2.gz GJKDRAPITUDFLX-UHFFFAOYSA-N 0 2 319.365 0.861 20 0 DCADLN O=C(Cc1[nH]nc2c1CCCC2)NCc1nc(O)cc(=O)[nH]1 ZINC001363037579 960328613 /nfs/dbraw/zinc/32/86/13/960328613.db2.gz MPXXRFLFTCAVEW-UHFFFAOYSA-N 0 2 303.322 0.349 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC(=O)N[C@H]2CCCC[C@@H]12)c1nn(C)cc1O ZINC001363054357 960351588 /nfs/dbraw/zinc/35/15/88/960351588.db2.gz WVROKDJPXKQFKI-YFKTTZPYSA-N 0 2 320.393 0.998 20 0 DCADLN CCCN(C(=O)C[N@H+]1CC[C@H](C)C1)[C@H]1CC[NH+](CC(N)=O)C1 ZINC001377656799 960399730 /nfs/dbraw/zinc/39/97/30/960399730.db2.gz PKYGDRYRVBOREX-KBPBESRZSA-N 0 2 310.442 0.126 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)c1ccc(NCC2CC2)nc1 ZINC001363128932 960443634 /nfs/dbraw/zinc/44/36/34/960443634.db2.gz OUAMUAVHUYDNNJ-UHFFFAOYSA-N 0 2 302.338 0.444 20 0 DCADLN CCOCC(C)(C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363149061 960484097 /nfs/dbraw/zinc/48/40/97/960484097.db2.gz XVFYCLWQRLUPQJ-UHFFFAOYSA-N 0 2 320.349 0.811 20 0 DCADLN CC(C)Oc1cccc(C(=O)NCC2=NC(=O)CC(=O)N2)n1 ZINC001363317280 960879644 /nfs/dbraw/zinc/87/96/44/960879644.db2.gz GLPQJVDZXLEKAF-UHFFFAOYSA-N 0 2 304.306 1.000 20 0 DCADLN CCN(CC(C)=O)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363337681 960929224 /nfs/dbraw/zinc/92/92/24/960929224.db2.gz MMQWLCJRHCNGMP-UHFFFAOYSA-N 0 2 304.306 0.317 20 0 DCADLN CC(F)(F)C1(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001377953295 961051239 /nfs/dbraw/zinc/05/12/39/961051239.db2.gz GJWBDEUSGWNOIJ-UHFFFAOYSA-N 0 2 301.297 0.246 20 0 DCADLN OCc1nnc(CNCc2c(F)cncc2Br)[nH]1 ZINC001363425183 961128317 /nfs/dbraw/zinc/12/83/17/961128317.db2.gz BFPQPYSXFFWPJE-UHFFFAOYSA-N 0 2 316.134 0.883 20 0 DCADLN O=C(Cn1cccn1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001378632608 961163432 /nfs/dbraw/zinc/16/34/32/961163432.db2.gz DZTKYODROBTFLY-SECBINFHSA-N 0 2 322.262 0.549 20 0 DCADLN O=C(Cn1cccn1)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001378632608 961163441 /nfs/dbraw/zinc/16/34/41/961163441.db2.gz DZTKYODROBTFLY-SECBINFHSA-N 0 2 322.262 0.549 20 0 DCADLN CNC(=O)c1cccc(N(C)C(=O)CC2SC(=N)NC2=O)c1 ZINC001363525089 961361253 /nfs/dbraw/zinc/36/12/53/961361253.db2.gz CBLJQAYQWOVFSZ-SNVBAGLBSA-N 0 2 320.374 0.565 20 0 DCADLN C[C@@H](C[NH2+]Cc1ccccn1)N(C)C(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001378754519 961412186 /nfs/dbraw/zinc/41/21/86/961412186.db2.gz NGCGJXOXDJZIDQ-ZFWWWQNUSA-N 0 2 306.410 0.349 20 0 DCADLN C[C@H](C[NH2+]Cc1csnn1)N(C)C(=O)[C@H]1CCCC[N@H+]1C ZINC001378781496 961467561 /nfs/dbraw/zinc/46/75/61/961467561.db2.gz BZDWLVAPNKIJOB-DGCLKSJQSA-N 0 2 311.455 0.959 20 0 DCADLN CC(C)(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(F)F ZINC001363632947 961588882 /nfs/dbraw/zinc/58/88/82/961588882.db2.gz NHSRAXVUBNLZHG-UHFFFAOYSA-N 0 2 310.326 0.257 20 0 DCADLN Cc1c[nH]c(CC(N)=O)c1C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001363663971 961653334 /nfs/dbraw/zinc/65/33/34/961653334.db2.gz JEARUPDGRRJLQS-QMMMGPOBSA-N 0 2 305.338 0.281 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@@H](C)C(=O)[O-] ZINC001588843243 961840741 /nfs/dbraw/zinc/84/07/41/961840741.db2.gz GRQOEGVKFBJZAT-ZYHUDNBSSA-N 0 2 324.381 0.320 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@@H](C)C(=O)[O-] ZINC001588843243 961840758 /nfs/dbraw/zinc/84/07/58/961840758.db2.gz GRQOEGVKFBJZAT-ZYHUDNBSSA-N 0 2 324.381 0.320 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ocnc1C1CC1 ZINC001379733844 961889502 /nfs/dbraw/zinc/88/95/02/961889502.db2.gz AEVRPZDCKOSXDD-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ocnc1C1CC1 ZINC001379733844 961889516 /nfs/dbraw/zinc/88/95/16/961889516.db2.gz AEVRPZDCKOSXDD-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2ccc(OCC(=O)[O-])cc2)C[C@@H](C)O1 ZINC001571158798 961900171 /nfs/dbraw/zinc/90/01/71/961900171.db2.gz SWYMQURQYXYOTD-RISCZKNCSA-N 0 2 323.345 0.912 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2ccc(OCC(=O)[O-])cc2)C[C@@H](C)O1 ZINC001571158798 961900187 /nfs/dbraw/zinc/90/01/87/961900187.db2.gz SWYMQURQYXYOTD-RISCZKNCSA-N 0 2 323.345 0.912 20 0 DCADLN COCCn1cc(C(=O)N[C@@H](C)c2nn(C)cc2O)ccc1=O ZINC001363828975 961976572 /nfs/dbraw/zinc/97/65/72/961976572.db2.gz JXPXJSRZPQSDEW-JTQLQIEISA-N 0 2 320.349 0.425 20 0 DCADLN CC1(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC[NH+](Cc2cnns2)CC1 ZINC001571164529 961976743 /nfs/dbraw/zinc/97/67/43/961976743.db2.gz AMDDSCCGWDHXII-MNOVXSKESA-N 0 2 324.406 0.730 20 0 DCADLN C[C@@H](NC(=O)[C@]12CCC(=O)N1CCS2)c1nn(C)cc1O ZINC001363854254 962028089 /nfs/dbraw/zinc/02/80/89/962028089.db2.gz UHHWWFFLKUIKDI-AMIZOPFISA-N 0 2 310.379 0.368 20 0 DCADLN O=C1C[C@@H](CNS(=O)(=O)c2onc(C3CC3)c2Cl)CN1 ZINC001363932457 962150397 /nfs/dbraw/zinc/15/03/97/962150397.db2.gz GFJHYZYVVCZDQC-ZCFIWIBFSA-N 0 2 319.770 0.620 20 0 DCADLN CC(C)NC(=O)CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC001363937831 962160550 /nfs/dbraw/zinc/16/05/50/962160550.db2.gz SHQWKEGKOWASON-SFHVURJKSA-N 0 2 313.445 0.242 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@@H](O)c1ccco1 ZINC001363951159 962183302 /nfs/dbraw/zinc/18/33/02/962183302.db2.gz WOODJMRWNPWUSP-SECBINFHSA-N 0 2 310.397 0.655 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC001574074879 962255295 /nfs/dbraw/zinc/25/52/95/962255295.db2.gz DGYMJZRQQHVXBO-ZJUUUORDSA-N 0 2 311.338 0.357 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC001574074879 962255314 /nfs/dbraw/zinc/25/53/14/962255314.db2.gz DGYMJZRQQHVXBO-ZJUUUORDSA-N 0 2 311.338 0.357 20 0 DCADLN O=C(Cc1ccsc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001379940084 962340233 /nfs/dbraw/zinc/34/02/33/962340233.db2.gz HVRKMHOALKTUSY-JTQLQIEISA-N 0 2 307.379 0.505 20 0 DCADLN CCC[C@H](OCC)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380233873 962899612 /nfs/dbraw/zinc/89/96/12/962899612.db2.gz AJHBXHBHPSTLJW-NSHDSACASA-N 0 2 311.386 0.358 20 0 DCADLN CCN(CC1CC1)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364345190 962929601 /nfs/dbraw/zinc/92/96/01/962929601.db2.gz IXBWEBGFWJZOHW-UHFFFAOYSA-N 0 2 315.399 0.291 20 0 DCADLN C[C@@]1(NC(=O)Cc2ccsc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380344329 963067392 /nfs/dbraw/zinc/06/73/92/963067392.db2.gz WJBKMCMSDUKNSX-CQSZACIVSA-N 0 2 321.406 0.895 20 0 DCADLN C[C@@]1(NC(=O)Cc2ccsc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380344329 963067402 /nfs/dbraw/zinc/06/74/02/963067402.db2.gz WJBKMCMSDUKNSX-CQSZACIVSA-N 0 2 321.406 0.895 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)N1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001430384285 1013419527 /nfs/dbraw/zinc/41/95/27/1013419527.db2.gz PRJYOTHPLRXYKB-AWEZNQCLSA-N 0 2 319.409 0.011 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)Cc1ncc[nH]1 ZINC001380760120 963562474 /nfs/dbraw/zinc/56/24/74/963562474.db2.gz NGRKAPYUMSLYIG-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN O=C(NCC1CN(C(=O)c2ncccn2)C1)C(F)C(F)(F)F ZINC001430391510 1013428584 /nfs/dbraw/zinc/42/85/84/1013428584.db2.gz UHMQDKUKIJKZEY-MRVPVSSYSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2ncccn2)C1)[C@@H](F)C(F)(F)F ZINC001430391510 1013428590 /nfs/dbraw/zinc/42/85/90/1013428590.db2.gz UHMQDKUKIJKZEY-MRVPVSSYSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(C[C@@H]1CCCCO1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001381080489 963799178 /nfs/dbraw/zinc/79/91/78/963799178.db2.gz BKBOZDHHZOPEMD-NSHDSACASA-N 0 2 309.370 0.114 20 0 DCADLN CO[C@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1ccccc1 ZINC000315010641 963843122 /nfs/dbraw/zinc/84/31/22/963843122.db2.gz FZIMPPHDLYNDMR-STQMWFEESA-N 0 2 303.318 0.909 20 0 DCADLN CO[C@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1ccccc1 ZINC000315010641 963843130 /nfs/dbraw/zinc/84/31/30/963843130.db2.gz FZIMPPHDLYNDMR-STQMWFEESA-N 0 2 303.318 0.909 20 0 DCADLN CC(=O)NC1CCC(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1 ZINC001573427103 964043376 /nfs/dbraw/zinc/04/33/76/964043376.db2.gz SEVDMXKRIQTXJD-XIVSLSHWSA-N 0 2 322.365 0.217 20 0 DCADLN CC(=O)NC1CCC(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1 ZINC001573427103 964043391 /nfs/dbraw/zinc/04/33/91/964043391.db2.gz SEVDMXKRIQTXJD-XIVSLSHWSA-N 0 2 322.365 0.217 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001375297445 964216987 /nfs/dbraw/zinc/21/69/87/964216987.db2.gz VVNNTOLHRYSZEB-LBPRGKRZSA-N 0 2 303.366 0.369 20 0 DCADLN O=C(CCC1CCC1)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369022664 964310498 /nfs/dbraw/zinc/31/04/98/964310498.db2.gz OHNLRUOSHSQUIO-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+][C@@H](C)c1nc(C)no1 ZINC001373746148 964566547 /nfs/dbraw/zinc/56/65/47/964566547.db2.gz ZSIVQJVOTGWSNX-WCBMZHEXSA-N 0 2 322.369 0.974 20 0 DCADLN CC[C@H](CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)[NH2+]CC(=O)N1CCC1 ZINC001373804495 964652391 /nfs/dbraw/zinc/65/23/91/964652391.db2.gz BMXSJTVVIBCVKQ-CHWSQXEVSA-N 0 2 321.425 0.305 20 0 DCADLN C[C@]1(NC(=O)CC[C@H]2CCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375818757 964867589 /nfs/dbraw/zinc/86/75/89/964867589.db2.gz GKZUUMQLAZROPU-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(NC(=O)CC[C@H]2CCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375818757 964867597 /nfs/dbraw/zinc/86/75/97/964867597.db2.gz GKZUUMQLAZROPU-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001605180460 1169857614 /nfs/dbraw/zinc/85/76/14/1169857614.db2.gz XFGZABWKDFSLBO-VIFPVBQESA-N 0 2 317.367 0.478 20 0 DCADLN CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001605180460 1169857631 /nfs/dbraw/zinc/85/76/31/1169857631.db2.gz XFGZABWKDFSLBO-VIFPVBQESA-N 0 2 317.367 0.478 20 0 DCADLN CC[C@H](F)C[N@H+](C)C[C@@H](O)CN(C)C(=O)c1cnc(C)[nH]1 ZINC001369499589 965092892 /nfs/dbraw/zinc/09/28/92/965092892.db2.gz RVCANQATQIAJER-NWDGAFQWSA-N 0 2 300.378 0.831 20 0 DCADLN COCC(=O)NCCN(C(=O)C(F)C(F)(F)F)C1CC1 ZINC001374183651 965103131 /nfs/dbraw/zinc/10/31/31/965103131.db2.gz GAZYMUDCNQIXSZ-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)NCCN(C(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001374183651 965103136 /nfs/dbraw/zinc/10/31/36/965103136.db2.gz GAZYMUDCNQIXSZ-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369790647 965403112 /nfs/dbraw/zinc/40/31/12/965403112.db2.gz BIHJVXDDXPERLV-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1C=CC=CC=C1 ZINC001374502249 965457656 /nfs/dbraw/zinc/45/76/56/965457656.db2.gz WXMPCEHXHAMLFQ-UHFFFAOYSA-N 0 2 303.366 0.699 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1C=CC=CC=C1 ZINC001374502249 965457670 /nfs/dbraw/zinc/45/76/70/965457670.db2.gz WXMPCEHXHAMLFQ-UHFFFAOYSA-N 0 2 303.366 0.699 20 0 DCADLN CCOCC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001369985458 965656554 /nfs/dbraw/zinc/65/65/54/965656554.db2.gz ZXYRGJUOOFKHGC-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN CCn1ncc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001374664664 965726308 /nfs/dbraw/zinc/72/63/08/965726308.db2.gz FTVMRXLDGVAEKD-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1ncc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001374664664 965726315 /nfs/dbraw/zinc/72/63/15/965726315.db2.gz FTVMRXLDGVAEKD-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN O=C(NC1CN(C(=O)[C@]2(F)CCOC2)C1)C(F)C(F)(F)F ZINC001374666248 965727975 /nfs/dbraw/zinc/72/79/75/965727975.db2.gz RLJRNZZWZUEWAW-XCBNKYQSSA-N 0 2 316.226 0.342 20 0 DCADLN O=C(NC1CN(C(=O)[C@]2(F)CCOC2)C1)[C@@H](F)C(F)(F)F ZINC001374666248 965727980 /nfs/dbraw/zinc/72/79/80/965727980.db2.gz RLJRNZZWZUEWAW-XCBNKYQSSA-N 0 2 316.226 0.342 20 0 DCADLN CC(C)(F)C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001370634235 966306612 /nfs/dbraw/zinc/30/66/12/966306612.db2.gz GQGGNYUXCXVVJY-WDSKDSINSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001370634235 966306616 /nfs/dbraw/zinc/30/66/16/966306616.db2.gz GQGGNYUXCXVVJY-WDSKDSINSA-N 0 2 306.231 0.228 20 0 DCADLN COCCN(CCNC(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001371137588 966748829 /nfs/dbraw/zinc/74/88/29/966748829.db2.gz OFOFTUQDRROQOF-LURJTMIESA-N 0 2 324.221 0.743 20 0 DCADLN COCCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)C(F)F ZINC001371137588 966748834 /nfs/dbraw/zinc/74/88/34/966748834.db2.gz OFOFTUQDRROQOF-LURJTMIESA-N 0 2 324.221 0.743 20 0 DCADLN C[C@H](CNC(=O)Cc1cccs1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001447991365 1013690441 /nfs/dbraw/zinc/69/04/41/1013690441.db2.gz SOUZHKLCVZQBCA-SECBINFHSA-N 0 2 309.395 0.751 20 0 DCADLN C[C@H](CNC(=O)Cc1cccs1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001447991365 1013690446 /nfs/dbraw/zinc/69/04/46/1013690446.db2.gz SOUZHKLCVZQBCA-SECBINFHSA-N 0 2 309.395 0.751 20 0 DCADLN C[C@H](CN(C)C(=O)CC[NH+]1CCOCC1)[NH2+]Cc1nccn1C ZINC001382140515 966975927 /nfs/dbraw/zinc/97/59/27/966975927.db2.gz IGVWJBZXDOPLMC-CQSZACIVSA-N 0 2 323.441 0.079 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001371764468 967319137 /nfs/dbraw/zinc/31/91/37/967319137.db2.gz JOWDBRRTEUCOBV-FSPLSTOPSA-N 0 2 313.255 0.395 20 0 DCADLN C[C@@H](CNC(=O)CCC(F)(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027494 1013719226 /nfs/dbraw/zinc/71/92/26/1013719226.db2.gz ZIJXSXGOMHYFPR-ZETCQYMHSA-N 0 2 309.292 0.789 20 0 DCADLN C[C@@H](CNC(=O)CCC(F)(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027494 1013719234 /nfs/dbraw/zinc/71/92/34/1013719234.db2.gz ZIJXSXGOMHYFPR-ZETCQYMHSA-N 0 2 309.292 0.789 20 0 DCADLN C[C@H](CNC(=O)CCc1cccnc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448059789 1013740798 /nfs/dbraw/zinc/74/07/98/1013740798.db2.gz XZVQQRVNGZXZCU-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN C[C@H](CNC(=O)CCc1cccnc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448059789 1013740799 /nfs/dbraw/zinc/74/07/99/1013740799.db2.gz XZVQQRVNGZXZCU-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN C[C@H](CNC(=O)Cn1cc[nH+]c1)[N@H+](C)CCO[C@H]1CC1(F)F ZINC001448137775 1013775817 /nfs/dbraw/zinc/77/58/17/1013775817.db2.gz DVUBHIBJOOTBAA-NEPJUHHUSA-N 0 2 316.352 0.744 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cc[nH]c(=O)c1 ZINC001372786392 968370864 /nfs/dbraw/zinc/37/08/64/968370864.db2.gz LWCLZHLMCRAMOS-UHFFFAOYSA-N 0 2 318.337 0.005 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cc[nH]c(=O)c1 ZINC001372786392 968370872 /nfs/dbraw/zinc/37/08/72/968370872.db2.gz LWCLZHLMCRAMOS-UHFFFAOYSA-N 0 2 318.337 0.005 20 0 DCADLN Cc1conc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001372887034 968500793 /nfs/dbraw/zinc/50/07/93/968500793.db2.gz OYKJUJOWQZUCIU-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1conc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001372887034 968500796 /nfs/dbraw/zinc/50/07/96/968500796.db2.gz OYKJUJOWQZUCIU-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1csnn1 ZINC001372901499 968515327 /nfs/dbraw/zinc/51/53/27/968515327.db2.gz GWQVIXBTWMVZIB-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1csnn1 ZINC001372901499 968515334 /nfs/dbraw/zinc/51/53/34/968515334.db2.gz GWQVIXBTWMVZIB-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN CN(CCNC(=O)Cn1cccn1)C(=O)C(F)C(F)(F)F ZINC001373355376 968973228 /nfs/dbraw/zinc/97/32/28/968973228.db2.gz LDTGEOHLNWYLPW-VIFPVBQESA-N 0 2 310.251 0.358 20 0 DCADLN CN(CCNC(=O)Cn1cccn1)C(=O)[C@H](F)C(F)(F)F ZINC001373355376 968973235 /nfs/dbraw/zinc/97/32/35/968973235.db2.gz LDTGEOHLNWYLPW-VIFPVBQESA-N 0 2 310.251 0.358 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnc[nH]c1=O ZINC001373490016 969148729 /nfs/dbraw/zinc/14/87/29/969148729.db2.gz UCYXTEUJSQLKGJ-SSDOTTSWSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnc[nH]c1=O ZINC001373490016 969148741 /nfs/dbraw/zinc/14/87/41/969148741.db2.gz UCYXTEUJSQLKGJ-SSDOTTSWSA-N 0 2 324.234 0.271 20 0 DCADLN C[C@@]1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCNC(=O)C1 ZINC001448457598 1013917895 /nfs/dbraw/zinc/91/78/95/1013917895.db2.gz QIGCLIBPEPKLPZ-LKFCYVNXSA-N 0 2 307.354 0.133 20 0 DCADLN C=CC(=O)N(CC)CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001448459605 1013919274 /nfs/dbraw/zinc/91/92/74/1013919274.db2.gz SAMWLEYNPLWQJK-JTQLQIEISA-N 0 2 307.354 0.251 20 0 DCADLN O=C(c1ccnc(CO)c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001448462993 1013919878 /nfs/dbraw/zinc/91/98/78/1013919878.db2.gz ZDIUHHCBNZGCHP-SNVBAGLBSA-N 0 2 303.322 0.417 20 0 DCADLN O=C([O-])C1(C(=O)NC2CC[NH+]([C@H]3CCOC3=O)CC2)CCC1 ZINC001606566125 970363804 /nfs/dbraw/zinc/36/38/04/970363804.db2.gz DDLRZBNHSWCBSM-NSHDSACASA-N 0 2 310.350 0.137 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001609753810 970515663 /nfs/dbraw/zinc/51/56/63/970515663.db2.gz IQBDLHOFHJZCTF-JTQLQIEISA-N 0 2 321.395 0.149 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001609753810 970515668 /nfs/dbraw/zinc/51/56/68/970515668.db2.gz IQBDLHOFHJZCTF-JTQLQIEISA-N 0 2 321.395 0.149 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)[C@H]1CCC[C@@H](C(=O)[O-])C1 ZINC000320600711 970683289 /nfs/dbraw/zinc/68/32/89/970683289.db2.gz AJXQWPCWBMASLG-TUAOUCFPSA-N 0 2 312.366 0.241 20 0 DCADLN COC(=O)CCN(CC(=O)[O-])C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001604302830 972942624 /nfs/dbraw/zinc/94/26/24/972942624.db2.gz CLRWPKMUWBIIJI-UHFFFAOYSA-N 0 2 311.338 0.403 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C(=O)[O-])C(C)C ZINC001604348376 973040927 /nfs/dbraw/zinc/04/09/27/973040927.db2.gz NIMHMXRMMZVEFD-FOGDFJRCSA-N 0 2 300.355 0.238 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[N@H+]1[C@H](C)CC[C@@H]1C(=O)[O-])C(C)C ZINC001604348376 973040937 /nfs/dbraw/zinc/04/09/37/973040937.db2.gz NIMHMXRMMZVEFD-FOGDFJRCSA-N 0 2 300.355 0.238 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@@H+]2[C@H](C)CC[C@@H]2C(=O)[O-])n1 ZINC001604399996 973132718 /nfs/dbraw/zinc/13/27/18/973132718.db2.gz MBEQJGGNBCDPRH-MWLCHTKSSA-N 0 2 321.333 0.744 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@H+]2[C@H](C)CC[C@@H]2C(=O)[O-])n1 ZINC001604399996 973132722 /nfs/dbraw/zinc/13/27/22/973132722.db2.gz MBEQJGGNBCDPRH-MWLCHTKSSA-N 0 2 321.333 0.744 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)NCCc1c[nH+]cn1C ZINC000401731859 973381385 /nfs/dbraw/zinc/38/13/85/973381385.db2.gz VCBXMERQLVEYKJ-UHFFFAOYSA-N 0 2 313.335 0.541 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)[C@H](C(=O)[O-])C1CC1)C2 ZINC001605416298 973424213 /nfs/dbraw/zinc/42/42/13/973424213.db2.gz CUFFBKYVLUEHDD-BXUZGUMPSA-N 0 2 321.377 0.268 20 0 DCADLN Cn1c[nH+]cc1C1CCN(C(=O)c2cc(C(=O)[O-])n[nH]2)CC1 ZINC001605523364 973709717 /nfs/dbraw/zinc/70/97/17/973709717.db2.gz WTHOOWHBXABYBA-UHFFFAOYSA-N 0 2 303.322 0.861 20 0 DCADLN O=C([O-])c1c(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)nc2n1CCOC2 ZINC001606459311 973909655 /nfs/dbraw/zinc/90/96/55/973909655.db2.gz DGTWCKUZSRMCQO-HTQZYQBOSA-N 0 2 317.305 0.577 20 0 DCADLN O=C([O-])c1c(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)nc2n1CCOC2 ZINC001606459311 973909663 /nfs/dbraw/zinc/90/96/63/973909663.db2.gz DGTWCKUZSRMCQO-HTQZYQBOSA-N 0 2 317.305 0.577 20 0 DCADLN CN(CCNC(=O)COCC(F)F)C(=O)C(F)C(F)(F)F ZINC001449040174 1014153456 /nfs/dbraw/zinc/15/34/56/1014153456.db2.gz WKUONQDDCUJBHS-QMMMGPOBSA-N 0 2 324.221 0.743 20 0 DCADLN CN(CCNC(=O)COCC(F)F)C(=O)[C@H](F)C(F)(F)F ZINC001449040174 1014153462 /nfs/dbraw/zinc/15/34/62/1014153462.db2.gz WKUONQDDCUJBHS-QMMMGPOBSA-N 0 2 324.221 0.743 20 0 DCADLN CCN1CC[NH+](CC(C)(C)NC(=O)CSCC(=O)[O-])CC1 ZINC001603763729 974210487 /nfs/dbraw/zinc/21/04/87/974210487.db2.gz OIBZRUGXCBLAOC-UHFFFAOYSA-N 0 2 317.455 0.337 20 0 DCADLN CN(CCNC(=O)[C@H]1CCC[N@@H+]1C)C(=O)CCCn1cc[nH+]c1 ZINC001449095221 1014186704 /nfs/dbraw/zinc/18/67/04/1014186704.db2.gz IBRDOGJPMUIHNZ-CQSZACIVSA-N 0 2 321.425 0.332 20 0 DCADLN CC(C)S(=O)(=O)CC[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001591820580 976065412 /nfs/dbraw/zinc/06/54/12/976065412.db2.gz MNGYFMVEUJYGGK-SNVBAGLBSA-N 0 2 316.383 0.046 20 0 DCADLN CC(C)S(=O)(=O)CC[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001591820580 976065423 /nfs/dbraw/zinc/06/54/23/976065423.db2.gz MNGYFMVEUJYGGK-SNVBAGLBSA-N 0 2 316.383 0.046 20 0 DCADLN O=C([O-])[C@@]12COC[C@H]1CN(C(=O)[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC001549539387 1014305990 /nfs/dbraw/zinc/30/59/90/1014305990.db2.gz ATCOMGJCDAPIAU-HFAKWTLXSA-N 0 2 305.334 0.005 20 0 DCADLN CC(C)c1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)no1 ZINC001592019985 976708698 /nfs/dbraw/zinc/70/86/98/976708698.db2.gz NHFOZYFOABIJFQ-DBIOUOCHSA-N 0 2 322.365 0.651 20 0 DCADLN C[C@H](CN(C)C(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C(=O)[O-])cn1 ZINC001592574946 978475347 /nfs/dbraw/zinc/47/53/47/978475347.db2.gz QFBIPCWDIKJDRT-SECBINFHSA-N 0 2 318.337 0.399 20 0 DCADLN C[C@H](C[N@H+](Cc1nnnn1CC(F)(F)F)C1CC1)C(=O)[O-] ZINC001592610388 978562591 /nfs/dbraw/zinc/56/25/91/978562591.db2.gz YICZUKBZPXAQNE-SSDOTTSWSA-N 0 2 307.276 0.921 20 0 DCADLN C[C@H](C[N@@H+](Cc1nnnn1CC(F)(F)F)C1CC1)C(=O)[O-] ZINC001592610388 978562595 /nfs/dbraw/zinc/56/25/95/978562595.db2.gz YICZUKBZPXAQNE-SSDOTTSWSA-N 0 2 307.276 0.921 20 0 DCADLN C[C@@H](CNC(=O)NC1CC(CC(=O)[O-])C1)[NH+]1CCN(C)CC1 ZINC001592665385 979068800 /nfs/dbraw/zinc/06/88/00/979068800.db2.gz LKHDYNVWFRFPSD-HIFPTAJRSA-N 0 2 312.414 0.175 20 0 DCADLN C[C@@H](CNC(=O)c1cc(C(=O)[O-])on1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001592693752 979144350 /nfs/dbraw/zinc/14/43/50/979144350.db2.gz AIQGMKPPXVZUHU-LPEHRKFASA-N 0 2 311.338 0.600 20 0 DCADLN C[C@@H](CNC(=O)c1cc(C(=O)[O-])on1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001592693752 979144355 /nfs/dbraw/zinc/14/43/55/979144355.db2.gz AIQGMKPPXVZUHU-LPEHRKFASA-N 0 2 311.338 0.600 20 0 DCADLN O=C(NC1CN(C(=O)C2=COCCC2)C1)C(F)C(F)(F)F ZINC001530485964 1014769448 /nfs/dbraw/zinc/76/94/48/1014769448.db2.gz VMCMHNXRIOSBHB-SECBINFHSA-N 0 2 310.247 0.908 20 0 DCADLN O=C(NC1CN(C(=O)C2=COCCC2)C1)[C@@H](F)C(F)(F)F ZINC001530485964 1014769459 /nfs/dbraw/zinc/76/94/59/1014769459.db2.gz VMCMHNXRIOSBHB-SECBINFHSA-N 0 2 310.247 0.908 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)CSCC[NH+]1CCOCC1)C(=O)[O-] ZINC001594900838 982352543 /nfs/dbraw/zinc/35/25/43/982352543.db2.gz BMPBDVJIOCAVBV-YPMHNXCESA-N 0 2 318.439 0.667 20 0 DCADLN CCCn1ncc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1C ZINC001596120816 983456486 /nfs/dbraw/zinc/45/64/86/983456486.db2.gz GCJBGIOIIOTDHN-LBPRGKRZSA-N 0 2 305.338 0.750 20 0 DCADLN CCCn1ncc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1C ZINC001596120816 983456488 /nfs/dbraw/zinc/45/64/88/983456488.db2.gz GCJBGIOIIOTDHN-LBPRGKRZSA-N 0 2 305.338 0.750 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1snnc1C ZINC001596333660 983773667 /nfs/dbraw/zinc/77/36/67/983773667.db2.gz UXLZOBCMNDWAJQ-NXEZZACHSA-N 0 2 312.395 0.505 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1snnc1C ZINC001596333660 983773668 /nfs/dbraw/zinc/77/36/68/983773668.db2.gz UXLZOBCMNDWAJQ-NXEZZACHSA-N 0 2 312.395 0.505 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2cccnc2C(=O)[O-])[C@H](C)C1 ZINC001596438272 984127135 /nfs/dbraw/zinc/12/71/35/984127135.db2.gz DSSMPUVEITXDNS-SNVBAGLBSA-N 0 2 313.379 0.495 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2cccnc2C(=O)[O-])[C@H](C)C1 ZINC001596438272 984127139 /nfs/dbraw/zinc/12/71/39/984127139.db2.gz DSSMPUVEITXDNS-SNVBAGLBSA-N 0 2 313.379 0.495 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CCN(c2ccc(C)c(C(=O)[O-])[nH+]2)C1 ZINC001596496047 984241581 /nfs/dbraw/zinc/24/15/81/984241581.db2.gz SDZWYQRQTPSALH-SNVBAGLBSA-N 0 2 313.379 0.606 20 0 DCADLN C[C@H](c1ccccc1)[N@H+](CCO)Cc1cn(CC(=O)[O-])nn1 ZINC001599905582 984918444 /nfs/dbraw/zinc/91/84/44/984918444.db2.gz REQSNWBBEQKIPC-GFCCVEGCSA-N 0 2 304.350 0.918 20 0 DCADLN C[C@H](c1ccccc1)[N@@H+](CCO)Cc1cn(CC(=O)[O-])nn1 ZINC001599905582 984918445 /nfs/dbraw/zinc/91/84/45/984918445.db2.gz REQSNWBBEQKIPC-GFCCVEGCSA-N 0 2 304.350 0.918 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001383728295 985046383 /nfs/dbraw/zinc/04/63/83/985046383.db2.gz NVQFNAIHFIZWSL-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001383728295 985046393 /nfs/dbraw/zinc/04/63/93/985046393.db2.gz NVQFNAIHFIZWSL-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)nn1C ZINC001450378850 1015101339 /nfs/dbraw/zinc/10/13/39/1015101339.db2.gz PSWPAVHKQMAJPM-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)nn1C ZINC001450378850 1015101346 /nfs/dbraw/zinc/10/13/46/1015101346.db2.gz PSWPAVHKQMAJPM-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1(C)CC1 ZINC001384219534 985444617 /nfs/dbraw/zinc/44/46/17/985444617.db2.gz DZAYVAQWYCVHRI-SFYZADRCSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C1(C)CC1 ZINC001384219534 985444621 /nfs/dbraw/zinc/44/46/21/985444621.db2.gz DZAYVAQWYCVHRI-SFYZADRCSA-N 0 2 314.279 0.622 20 0 DCADLN C[C@H]1C[N@@H+](C2CC2)C[C@H]1NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594508438 985750611 /nfs/dbraw/zinc/75/06/11/985750611.db2.gz RNTQMPWXUCUVPQ-OIBJUYFYSA-N 0 2 315.351 0.134 20 0 DCADLN C[C@H]1C[N@H+](C2CC2)C[C@H]1NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594508438 985750618 /nfs/dbraw/zinc/75/06/18/985750618.db2.gz RNTQMPWXUCUVPQ-OIBJUYFYSA-N 0 2 315.351 0.134 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(N)=O ZINC001599959356 985750835 /nfs/dbraw/zinc/75/08/35/985750835.db2.gz MMHJNTURFANIHV-RBZYPMLTSA-N 0 2 319.361 0.790 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(N)=O ZINC001599959356 985750841 /nfs/dbraw/zinc/75/08/41/985750841.db2.gz MMHJNTURFANIHV-RBZYPMLTSA-N 0 2 319.361 0.790 20 0 DCADLN C[C@@H]1C[N@@H+](C2CC2)C[C@H]1NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594508437 985750770 /nfs/dbraw/zinc/75/07/70/985750770.db2.gz RNTQMPWXUCUVPQ-GMSGAONNSA-N 0 2 315.351 0.134 20 0 DCADLN C[C@@H]1C[N@H+](C2CC2)C[C@H]1NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594508437 985750776 /nfs/dbraw/zinc/75/07/76/985750776.db2.gz RNTQMPWXUCUVPQ-GMSGAONNSA-N 0 2 315.351 0.134 20 0 DCADLN Cc1[nH]c(CN2CC[NH+](CC[S@](C)=O)CC2)cc1C(=O)[O-] ZINC001599963522 985845000 /nfs/dbraw/zinc/84/50/00/985845000.db2.gz RGQHPPSILKCGJG-NRFANRHFSA-N 0 2 313.423 0.517 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2C[C@H](C)CO2)n[nH]1 ZINC001551655908 1015165984 /nfs/dbraw/zinc/16/59/84/1015165984.db2.gz IJOAVNNVJUUSTH-WKSBVSIWSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)Cc2cnoc2)n[nH]1 ZINC001551657347 1015166875 /nfs/dbraw/zinc/16/68/75/1015166875.db2.gz GFBQCKHMPNTBRW-VXGBXAGGSA-N 0 2 305.338 0.675 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@]2(C)CCOC2)n[nH]1 ZINC001551668899 1015171119 /nfs/dbraw/zinc/17/11/19/1015171119.db2.gz IADXSHDLVYHGAZ-LALPHHSUSA-N 0 2 308.382 0.871 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cn[nH]c1)C(=O)C(F)C(F)(F)F ZINC001384882531 985924022 /nfs/dbraw/zinc/92/40/22/985924022.db2.gz PXMFLXFJUUMVON-IUCAKERBSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cn[nH]c1)C(=O)[C@H](F)C(F)(F)F ZINC001384882531 985924038 /nfs/dbraw/zinc/92/40/38/985924038.db2.gz PXMFLXFJUUMVON-IUCAKERBSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2cnc(C)cn2)n[nH]1 ZINC001551671948 1015174420 /nfs/dbraw/zinc/17/44/20/1015174420.db2.gz YFPPSXJCISIRAJ-AAEUAGOBSA-N 0 2 316.365 0.856 20 0 DCADLN CCOCC[N@H+](C)CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001596923325 985991974 /nfs/dbraw/zinc/99/19/74/985991974.db2.gz PSRVLRPVFLSMCQ-UHFFFAOYSA-N 0 2 301.368 0.677 20 0 DCADLN CCOCC[N@@H+](C)CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001596923325 985991984 /nfs/dbraw/zinc/99/19/84/985991984.db2.gz PSRVLRPVFLSMCQ-UHFFFAOYSA-N 0 2 301.368 0.677 20 0 DCADLN COC[C@@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001385057397 986053313 /nfs/dbraw/zinc/05/33/13/986053313.db2.gz CRUBLSKVJYXBLS-RKDXNWHRSA-N 0 2 314.279 0.840 20 0 DCADLN COC[C@@H](C)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001385057397 986053326 /nfs/dbraw/zinc/05/33/26/986053326.db2.gz CRUBLSKVJYXBLS-RKDXNWHRSA-N 0 2 314.279 0.840 20 0 DCADLN C[C@H](C(=O)N1CCc2ccc(C(=O)[O-])cc21)N1CC[NH2+]CC1=O ZINC001589368096 986394019 /nfs/dbraw/zinc/39/40/19/986394019.db2.gz ASDTWSKFIBJPLP-SNVBAGLBSA-N 0 2 317.345 0.094 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccon2)C1)C(F)C(F)(F)F ZINC001385657152 986497348 /nfs/dbraw/zinc/49/73/48/986497348.db2.gz VQUHWKMWQMDIMM-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccon2)C1)[C@@H](F)C(F)(F)F ZINC001385657152 986497354 /nfs/dbraw/zinc/49/73/54/986497354.db2.gz VQUHWKMWQMDIMM-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCOC3)C[C@H]21)C(F)C(F)(F)F ZINC001526199470 1015230312 /nfs/dbraw/zinc/23/03/12/1015230312.db2.gz WVFZVQRUJBZWHW-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCOC3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001526199470 1015230321 /nfs/dbraw/zinc/23/03/21/1015230321.db2.gz WVFZVQRUJBZWHW-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN C[C@@H]1COCCC[N@@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001594607673 986584381 /nfs/dbraw/zinc/58/43/81/986584381.db2.gz VQDOFCUPWWUVCN-QMTHXVAHSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@@H]1COCCC[N@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001594607673 986584388 /nfs/dbraw/zinc/58/43/88/986584388.db2.gz VQDOFCUPWWUVCN-QMTHXVAHSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC(=O)[O-])C1 ZINC001594608020 986589332 /nfs/dbraw/zinc/58/93/32/986589332.db2.gz QQWTWOUFIANPNK-XQQFMLRXSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC(=O)[O-])C1 ZINC001594608020 986589336 /nfs/dbraw/zinc/58/93/36/986589336.db2.gz QQWTWOUFIANPNK-XQQFMLRXSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H](C(=O)Nc1ccc(CC(=O)[O-])c(F)c1)N1CC[NH2+]CC1=O ZINC001589435013 986740597 /nfs/dbraw/zinc/74/05/97/986740597.db2.gz DBEJJQYARMFOGP-SECBINFHSA-N 0 2 323.324 0.212 20 0 DCADLN CCn1ccc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001386880402 987475780 /nfs/dbraw/zinc/47/57/80/987475780.db2.gz XMDTZWFCNHQXMF-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CCn1ccc(C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)n1 ZINC001386880402 987475782 /nfs/dbraw/zinc/47/57/82/987475782.db2.gz XMDTZWFCNHQXMF-SECBINFHSA-N 0 2 324.278 0.992 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccc(=O)[nH]n1 ZINC001386933591 987512075 /nfs/dbraw/zinc/51/20/75/987512075.db2.gz JAYUUBLNAXHIFA-MRVPVSSYSA-N 0 2 324.234 0.271 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccc(=O)[nH]n1 ZINC001386933591 987512082 /nfs/dbraw/zinc/51/20/82/987512082.db2.gz JAYUUBLNAXHIFA-MRVPVSSYSA-N 0 2 324.234 0.271 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001387486468 987864373 /nfs/dbraw/zinc/86/43/73/987864373.db2.gz DBYAZEWISPKYHH-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001387486468 987864383 /nfs/dbraw/zinc/86/43/83/987864383.db2.gz DBYAZEWISPKYHH-PSASIEDQSA-N 0 2 324.278 0.795 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](C)NC(=O)C1=CCOCC1 ZINC001387552307 987897825 /nfs/dbraw/zinc/89/78/25/987897825.db2.gz AUQXXKPHNZPZMT-LLVKDONJSA-N 0 2 320.393 0.618 20 0 DCADLN CC[C@H](CNC(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001388122341 988066380 /nfs/dbraw/zinc/06/63/80/988066380.db2.gz TYDZUPDASIBQQB-IYSWYEEDSA-N 0 2 311.239 0.330 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387747147 988103388 /nfs/dbraw/zinc/10/33/88/988103388.db2.gz IBVNEROPFAAVFE-YUMQZZPRSA-N 0 2 300.252 0.639 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387747147 988103391 /nfs/dbraw/zinc/10/33/91/988103391.db2.gz IBVNEROPFAAVFE-YUMQZZPRSA-N 0 2 300.252 0.639 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001388300556 988193560 /nfs/dbraw/zinc/19/35/60/988193560.db2.gz FYCOCHKPILNSKR-ZETCQYMHSA-N 0 2 311.239 0.283 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001388300556 988193564 /nfs/dbraw/zinc/19/35/64/988193564.db2.gz FYCOCHKPILNSKR-ZETCQYMHSA-N 0 2 311.239 0.283 20 0 DCADLN Cc1cc(NC(=O)N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)c(C)c[nH+]1 ZINC001552379687 1015413556 /nfs/dbraw/zinc/41/35/56/1015413556.db2.gz UUFOERCZHXKSIZ-NHYWBVRUSA-N 0 2 305.334 0.685 20 0 DCADLN C[C@@H](NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1)C(=O)[O-] ZINC001599842405 988866346 /nfs/dbraw/zinc/86/63/46/988866346.db2.gz MKWMKEFMAPPFDM-SNVBAGLBSA-N 0 2 309.347 0.683 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001389181505 988960796 /nfs/dbraw/zinc/96/07/96/988960796.db2.gz ZUFRSCNJNTXVTA-HTRCEHHLSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001389181505 988960798 /nfs/dbraw/zinc/96/07/98/988960798.db2.gz ZUFRSCNJNTXVTA-HTRCEHHLSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)[nH]1 ZINC001389313383 989106055 /nfs/dbraw/zinc/10/60/55/989106055.db2.gz YNYVALIPRWZTMG-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ncc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001389313383 989106050 /nfs/dbraw/zinc/10/60/50/989106050.db2.gz YNYVALIPRWZTMG-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN CCn1nncc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001389462849 989286348 /nfs/dbraw/zinc/28/63/48/989286348.db2.gz PORYZXOKPRPXCY-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1nncc1CN1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001389462849 989286384 /nfs/dbraw/zinc/28/63/84/989286384.db2.gz PORYZXOKPRPXCY-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)CCn2cc[nH+]c2)C[C@H]1CC(=O)[O-] ZINC001599867916 989301313 /nfs/dbraw/zinc/30/13/13/989301313.db2.gz DEZVIGFWICJUMA-GHMZBOCLSA-N 0 2 301.368 0.256 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001390103558 989823471 /nfs/dbraw/zinc/82/34/71/989823471.db2.gz GKCPCWKHLJKSES-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@@H]1CCOC1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001390103558 989823483 /nfs/dbraw/zinc/82/34/83/989823483.db2.gz GKCPCWKHLJKSES-NWDGAFQWSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)(CNS(=O)(=O)[C@H]1CC[C@@H](C(=O)[O-])C1)n1cc[nH+]c1 ZINC001590777041 990581082 /nfs/dbraw/zinc/58/10/82/990581082.db2.gz RHJFYKRAXWJYJY-MNOVXSKESA-N 0 2 315.395 0.791 20 0 DCADLN CC(C)(COCC(F)F)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001590787033 990602739 /nfs/dbraw/zinc/60/27/39/990602739.db2.gz ABYWVSSRIBLBNM-VIFPVBQESA-N 0 2 319.308 0.830 20 0 DCADLN CC(C)(COCC(F)F)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001590787033 990602740 /nfs/dbraw/zinc/60/27/40/990602740.db2.gz ABYWVSSRIBLBNM-VIFPVBQESA-N 0 2 319.308 0.830 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)CNC(=O)C(F)C(F)(F)F ZINC001391276957 990713262 /nfs/dbraw/zinc/71/32/62/990713262.db2.gz NHCUVQZHGSIFKY-VXNVDRBHSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1ccncn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001391276957 990713266 /nfs/dbraw/zinc/71/32/66/990713266.db2.gz NHCUVQZHGSIFKY-VXNVDRBHSA-N 0 2 322.262 0.859 20 0 DCADLN O=C(COC1CCCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391572527 990966022 /nfs/dbraw/zinc/96/60/22/990966022.db2.gz MGPOGTXWIOBTGH-SNVBAGLBSA-N 0 2 309.370 0.160 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)c2cccc(C(=O)[O-])n2)co1 ZINC001598255910 991062049 /nfs/dbraw/zinc/06/20/49/991062049.db2.gz LVRBHAFMTVAFMG-UHFFFAOYSA-N 0 2 304.306 0.759 20 0 DCADLN CCCc1cc(C(=O)N(C)C[C@@H](C)NC(=O)c2ncn[nH]2)[nH]n1 ZINC001451184872 1015633426 /nfs/dbraw/zinc/63/34/26/1015633426.db2.gz ZTMSGZGFQCIZBD-SECBINFHSA-N 0 2 319.369 0.371 20 0 DCADLN CCC(C)(C)C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001392114557 991509127 /nfs/dbraw/zinc/50/91/27/991509127.db2.gz LZVLDRKEAUATRR-JGVFFNPUSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001392114557 991509136 /nfs/dbraw/zinc/50/91/36/991509136.db2.gz LZVLDRKEAUATRR-JGVFFNPUSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001591161701 991917807 /nfs/dbraw/zinc/91/78/07/991917807.db2.gz OAWYESCXLQBHCZ-LBPRGKRZSA-N 0 2 309.366 0.835 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001591161701 991917816 /nfs/dbraw/zinc/91/78/16/991917816.db2.gz OAWYESCXLQBHCZ-LBPRGKRZSA-N 0 2 309.366 0.835 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CCC(F)(F)[C@H](CO)C1)C(=O)[O-] ZINC001591167810 991940115 /nfs/dbraw/zinc/94/01/15/991940115.db2.gz NQWFKWSCUIQVNU-QWRGUYRKSA-N 0 2 322.352 0.551 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CCC(F)(F)[C@H](CO)C1)C(=O)[O-] ZINC001591167810 991940124 /nfs/dbraw/zinc/94/01/24/991940124.db2.gz NQWFKWSCUIQVNU-QWRGUYRKSA-N 0 2 322.352 0.551 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H]1CNc1cc(CC(=O)[O-])cc[nH+]1 ZINC001599755035 992041829 /nfs/dbraw/zinc/04/18/29/992041829.db2.gz CBDPBBNJCHWRQZ-LLVKDONJSA-N 0 2 313.379 0.545 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001392748707 992347726 /nfs/dbraw/zinc/34/77/26/992347726.db2.gz JSYRCIIDKFAMKN-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001392748707 992347735 /nfs/dbraw/zinc/34/77/35/992347735.db2.gz JSYRCIIDKFAMKN-XPUUQOCRSA-N 0 2 310.251 0.555 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)o1 ZINC001392891642 992504036 /nfs/dbraw/zinc/50/40/36/992504036.db2.gz BYIHXEOSIAYIEN-QMMMGPOBSA-N 0 2 320.353 0.728 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1ccn(C)n1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001591593834 992811868 /nfs/dbraw/zinc/81/18/68/992811868.db2.gz JKAIOWRIWDMSFX-KBPBESRZSA-N 0 2 322.409 0.810 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1ccn(C)n1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001591593834 992811877 /nfs/dbraw/zinc/81/18/77/992811877.db2.gz JKAIOWRIWDMSFX-KBPBESRZSA-N 0 2 322.409 0.810 20 0 DCADLN C[N@@H+]1C[C@@H](O)C[C@]1(C)C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC001598568877 993173911 /nfs/dbraw/zinc/17/39/11/993173911.db2.gz GAZQKCURNLHXJX-VXJOIVPMSA-N 0 2 318.333 0.655 20 0 DCADLN C[N@H+]1C[C@@H](O)C[C@]1(C)C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC001598568877 993173915 /nfs/dbraw/zinc/17/39/15/993173915.db2.gz GAZQKCURNLHXJX-VXJOIVPMSA-N 0 2 318.333 0.655 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2csc(-c3ncc[nH]3)n2)C[C@H]1C(=O)[O-] ZINC001598571975 993211754 /nfs/dbraw/zinc/21/17/54/993211754.db2.gz CDIHMZKLJYJUMY-CBAPKCEASA-N 0 2 322.346 0.847 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2csc(-c3ncc[nH]3)n2)C[C@H]1C(=O)[O-] ZINC001598571975 993211760 /nfs/dbraw/zinc/21/17/60/993211760.db2.gz CDIHMZKLJYJUMY-CBAPKCEASA-N 0 2 322.346 0.847 20 0 DCADLN C[C@@H](NC(=O)Cc1cccnc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394230699 993557118 /nfs/dbraw/zinc/55/71/18/993557118.db2.gz FMOAXVSTDLLPET-SNVBAGLBSA-N 0 2 316.365 0.085 20 0 DCADLN Cc1ccc(F)c(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001399632291 993619439 /nfs/dbraw/zinc/61/94/39/993619439.db2.gz APWVISOKAXJKOT-UHFFFAOYSA-N 0 2 321.356 0.749 20 0 DCADLN Cc1ccc(F)c(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001399632291 993619441 /nfs/dbraw/zinc/61/94/41/993619441.db2.gz APWVISOKAXJKOT-UHFFFAOYSA-N 0 2 321.356 0.749 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1ncnn1C ZINC001399722321 993704914 /nfs/dbraw/zinc/70/49/14/993704914.db2.gz JVGNNXCLAXCCJM-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1ncnn1C ZINC001399722321 993704919 /nfs/dbraw/zinc/70/49/19/993704919.db2.gz JVGNNXCLAXCCJM-VIFPVBQESA-N 0 2 311.283 0.654 20 0 DCADLN CC[N@H+](CCNC(=O)c1sc(C)nc1C)Cc1n[nH]c(=O)[n-]1 ZINC001399810052 993800848 /nfs/dbraw/zinc/80/08/48/993800848.db2.gz ZEVHNUNPIVQPKW-UHFFFAOYSA-N 0 2 324.410 0.836 20 0 DCADLN CC[N@@H+](CCNC(=O)c1sc(C)nc1C)Cc1n[nH]c(=O)[n-]1 ZINC001399810052 993800852 /nfs/dbraw/zinc/80/08/52/993800852.db2.gz ZEVHNUNPIVQPKW-UHFFFAOYSA-N 0 2 324.410 0.836 20 0 DCADLN CC1(CC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001399831194 993823168 /nfs/dbraw/zinc/82/31/68/993823168.db2.gz IRWSYWHKSOMONH-CBAPKCEASA-N 0 2 314.279 0.670 20 0 DCADLN CC1(CC(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001399831194 993823180 /nfs/dbraw/zinc/82/31/80/993823180.db2.gz IRWSYWHKSOMONH-CBAPKCEASA-N 0 2 314.279 0.670 20 0 DCADLN Cc1cnn(CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)c1 ZINC001399925259 993935921 /nfs/dbraw/zinc/93/59/21/993935921.db2.gz WJGVRPJBXMSGCB-PSASIEDQSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1cnn(CC(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001399925259 993935931 /nfs/dbraw/zinc/93/59/31/993935931.db2.gz WJGVRPJBXMSGCB-PSASIEDQSA-N 0 2 324.278 0.713 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)Nc2nc3c(cccc3C(=O)[O-])[nH]2)C1 ZINC001598623603 994050422 /nfs/dbraw/zinc/05/04/22/994050422.db2.gz LAHPHCLBDVOGMR-SNVBAGLBSA-N 0 2 304.306 0.530 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)Nc2nc3c(cccc3C(=O)[O-])[nH]2)C1 ZINC001598623603 994050430 /nfs/dbraw/zinc/05/04/30/994050430.db2.gz LAHPHCLBDVOGMR-SNVBAGLBSA-N 0 2 304.306 0.530 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cnoc1 ZINC001400056554 994112167 /nfs/dbraw/zinc/11/21/67/994112167.db2.gz LSNFFBTTZTYOTN-HZGVNTEJSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cnoc1 ZINC001400056554 994112172 /nfs/dbraw/zinc/11/21/72/994112172.db2.gz LSNFFBTTZTYOTN-HZGVNTEJSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccon1)NC(=O)C(F)C(F)(F)F ZINC001400212523 994286540 /nfs/dbraw/zinc/28/65/40/994286540.db2.gz GKNGWNOZDGDQFQ-IMTBSYHQSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccon1)NC(=O)[C@@H](F)C(F)(F)F ZINC001400212523 994286551 /nfs/dbraw/zinc/28/65/51/994286551.db2.gz GKNGWNOZDGDQFQ-IMTBSYHQSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@H]([NH2+]CC(=O)Nc1ccccc1C(=O)[O-])c1nncn1C ZINC001593236800 994409624 /nfs/dbraw/zinc/40/96/24/994409624.db2.gz JMWMVVAQDGKFMP-VIFPVBQESA-N 0 2 303.322 0.803 20 0 DCADLN CNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001598652856 994472604 /nfs/dbraw/zinc/47/26/04/994472604.db2.gz XQWOTUWGAHODDX-SECBINFHSA-N 0 2 321.333 0.423 20 0 DCADLN CNC(=O)NC(=O)[C@@H](C)[N@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001598652856 994472609 /nfs/dbraw/zinc/47/26/09/994472609.db2.gz XQWOTUWGAHODDX-SECBINFHSA-N 0 2 321.333 0.423 20 0 DCADLN CN(C(=O)C[N@@H+](C)C1CCC1)[C@H]1CC[NH+](Cc2cnnn2C)C1 ZINC001400705334 994817376 /nfs/dbraw/zinc/81/73/76/994817376.db2.gz FHVAQMROWLCLJD-AWEZNQCLSA-N 0 2 320.441 0.332 20 0 DCADLN COCC1(C(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001400707200 994822778 /nfs/dbraw/zinc/82/27/78/994822778.db2.gz XYSRUSDYRLAQPH-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN COCC1(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001400707200 994822789 /nfs/dbraw/zinc/82/27/89/994822789.db2.gz XYSRUSDYRLAQPH-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+](C)Cc1cccc(C(N)=O)c1 ZINC001598696220 995141832 /nfs/dbraw/zinc/14/18/32/995141832.db2.gz HPAGGOXICQIJIR-GFCCVEGCSA-N 0 2 308.334 0.624 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+](C)Cc1cccc(C(N)=O)c1 ZINC001598696220 995141840 /nfs/dbraw/zinc/14/18/40/995141840.db2.gz HPAGGOXICQIJIR-GFCCVEGCSA-N 0 2 308.334 0.624 20 0 DCADLN C[C@](O)(CNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F)C(=O)[O-] ZINC001593400761 995161018 /nfs/dbraw/zinc/16/10/18/995161018.db2.gz YNNLGLPEENGHOH-XVKPBYJWSA-N 0 2 309.244 0.328 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccco2)C[C@@H]1O)C(F)C(F)(F)F ZINC001396426668 995387600 /nfs/dbraw/zinc/38/76/00/995387600.db2.gz AMGAJSOERSMVIV-BKPPORCPSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccco2)C[C@@H]1O)[C@@H](F)C(F)(F)F ZINC001396426668 995387606 /nfs/dbraw/zinc/38/76/06/995387606.db2.gz AMGAJSOERSMVIV-BKPPORCPSA-N 0 2 324.230 0.482 20 0 DCADLN CC1CCN(C(=O)C[N@@H+](C)CCNC(=O)C[NH+]2CCCC2)CC1 ZINC001492856827 995824591 /nfs/dbraw/zinc/82/45/91/995824591.db2.gz GFCJGSNJKWHNRV-UHFFFAOYSA-N 0 2 324.469 0.389 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397253364 995888578 /nfs/dbraw/zinc/88/85/78/995888578.db2.gz RQJKBXFZJORTTH-KHQFGBGNSA-N 0 2 323.246 0.881 20 0 DCADLN O=C(Cc1ccon1)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397253364 995888584 /nfs/dbraw/zinc/88/85/84/995888584.db2.gz RQJKBXFZJORTTH-KHQFGBGNSA-N 0 2 323.246 0.881 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])[N@H+](CC(=O)NC(C)(C)C)C1 ZINC001598779892 995972301 /nfs/dbraw/zinc/97/23/01/995972301.db2.gz QIBQDPDKDUSEEV-UWVGGRQHSA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])[N@@H+](CC(=O)NC(C)(C)C)C1 ZINC001598779892 995972305 /nfs/dbraw/zinc/97/23/05/995972305.db2.gz QIBQDPDKDUSEEV-UWVGGRQHSA-N 0 2 300.355 0.239 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001510859725 1016017283 /nfs/dbraw/zinc/01/72/83/1016017283.db2.gz BHGAYLDQYCOHAD-AOZRHXBJSA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001510859725 1016017295 /nfs/dbraw/zinc/01/72/95/1016017295.db2.gz BHGAYLDQYCOHAD-AOZRHXBJSA-N 0 2 314.279 0.836 20 0 DCADLN C[C@@H](c1nnnn1C1CCCCC1)[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001593736800 996330244 /nfs/dbraw/zinc/33/02/44/996330244.db2.gz ASHBBAYTUKJKGW-IINYFYTJSA-N 0 2 309.370 0.761 20 0 DCADLN C[C@@H](c1nnnn1C1CCCCC1)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001593736800 996330248 /nfs/dbraw/zinc/33/02/48/996330248.db2.gz ASHBBAYTUKJKGW-IINYFYTJSA-N 0 2 309.370 0.761 20 0 DCADLN CCn1cc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001398306980 996463354 /nfs/dbraw/zinc/46/33/54/996463354.db2.gz XQTKZHKVNOERPJ-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1cc(CN2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001398306980 996463358 /nfs/dbraw/zinc/46/33/58/996463358.db2.gz XQTKZHKVNOERPJ-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN COCCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398709287 996650517 /nfs/dbraw/zinc/65/05/17/996650517.db2.gz ASEBVIBZSZEUMD-SECBINFHSA-N 0 2 300.252 0.498 20 0 DCADLN COCCC(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398709287 996650520 /nfs/dbraw/zinc/65/05/20/996650520.db2.gz ASEBVIBZSZEUMD-SECBINFHSA-N 0 2 300.252 0.498 20 0 DCADLN COC(=O)CNC(=O)[C@H](C)[N@@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC001598864081 996699861 /nfs/dbraw/zinc/69/98/61/996699861.db2.gz ZKJLPSAGCGWFSF-JTQLQIEISA-N 0 2 320.345 0.421 20 0 DCADLN COC(=O)CNC(=O)[C@H](C)[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC001598864081 996699865 /nfs/dbraw/zinc/69/98/65/996699865.db2.gz ZKJLPSAGCGWFSF-JTQLQIEISA-N 0 2 320.345 0.421 20 0 DCADLN CCc1noc([C@@H](C)[NH2+][C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001398916522 996747411 /nfs/dbraw/zinc/74/74/11/996747411.db2.gz HJVGLCRXVDKKCW-VXNVDRBHSA-N 0 2 322.369 0.838 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccncc1F ZINC001404223357 996832974 /nfs/dbraw/zinc/83/29/74/996832974.db2.gz VQSVWAKXQFCBMD-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccncc1F ZINC001404223357 996832982 /nfs/dbraw/zinc/83/29/82/996832982.db2.gz VQSVWAKXQFCBMD-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)c1ccncc1F ZINC001404223357 996832990 /nfs/dbraw/zinc/83/29/90/996832990.db2.gz VQSVWAKXQFCBMD-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN C[C@H]([NH2+]CCN(C)C(=O)C[N@@H+]1CC[C@H](C)C1)c1csnn1 ZINC001404326984 996986757 /nfs/dbraw/zinc/98/67/57/996986757.db2.gz OSFTWWQHDKHVBD-RYUDHWBXSA-N 0 2 311.455 0.989 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N[C@@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001404652783 997334476 /nfs/dbraw/zinc/33/44/76/997334476.db2.gz GJONUPYFIXLICQ-LBPRGKRZSA-N 0 2 321.425 0.256 20 0 DCADLN CC[C@H](C)NC(=O)C[N@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001399449377 997400950 /nfs/dbraw/zinc/40/09/50/997400950.db2.gz COPAYJHBUOKXDX-VIFPVBQESA-N 0 2 311.386 0.000 20 0 DCADLN CC[C@H](C)NC(=O)C[N@@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001399449377 997400955 /nfs/dbraw/zinc/40/09/55/997400955.db2.gz COPAYJHBUOKXDX-VIFPVBQESA-N 0 2 311.386 0.000 20 0 DCADLN CCN1CC[C@@H](N2CC(NC(=O)C(F)C(F)(F)F)C2)C1=O ZINC001404767086 997445188 /nfs/dbraw/zinc/44/51/88/997445188.db2.gz KEJGDZUKXDNXID-RKDXNWHRSA-N 0 2 311.279 0.308 20 0 DCADLN CCN1CC[C@@H](N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)C1=O ZINC001404767086 997445192 /nfs/dbraw/zinc/44/51/92/997445192.db2.gz KEJGDZUKXDNXID-RKDXNWHRSA-N 0 2 311.279 0.308 20 0 DCADLN CCCNC(=O)[C@H](C)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404779845 997454542 /nfs/dbraw/zinc/45/45/42/997454542.db2.gz YMMAZNHLKWDBAB-IONNQARKSA-N 0 2 313.295 0.602 20 0 DCADLN CCCNC(=O)[C@H](C)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404779845 997454547 /nfs/dbraw/zinc/45/45/47/997454547.db2.gz YMMAZNHLKWDBAB-IONNQARKSA-N 0 2 313.295 0.602 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001502949049 1016137917 /nfs/dbraw/zinc/13/79/17/1016137917.db2.gz JEOSDZAOHZOZEM-MNOVXSKESA-N 0 2 322.413 0.302 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001502949050 1016139167 /nfs/dbraw/zinc/13/91/67/1016139167.db2.gz JEOSDZAOHZOZEM-QWRGUYRKSA-N 0 2 322.413 0.302 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CC[C@@H]2COC[C@H]2C1 ZINC001598925776 997645391 /nfs/dbraw/zinc/64/53/91/997645391.db2.gz YUYOJDZSLLJCGP-NXEZZACHSA-N 0 2 309.322 0.294 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CC[C@@H]2COC[C@H]2C1 ZINC001598925776 997645397 /nfs/dbraw/zinc/64/53/97/997645397.db2.gz YUYOJDZSLLJCGP-NXEZZACHSA-N 0 2 309.322 0.294 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@@H]2COCC[C@H]21 ZINC001598926989 997666036 /nfs/dbraw/zinc/66/60/36/997666036.db2.gz ZEGGUUNTYYOAPW-ZYHUDNBSSA-N 0 2 323.349 0.826 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@@H]2COCC[C@H]21 ZINC001598926989 997666042 /nfs/dbraw/zinc/66/60/42/997666042.db2.gz ZEGGUUNTYYOAPW-ZYHUDNBSSA-N 0 2 323.349 0.826 20 0 DCADLN C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)C1 ZINC001594047271 997712386 /nfs/dbraw/zinc/71/23/86/997712386.db2.gz NWHISQHPQLSCPK-NSHDSACASA-N 0 2 305.331 0.035 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001405066349 997748163 /nfs/dbraw/zinc/74/81/63/997748163.db2.gz QFDNNEWIVZNSPX-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001405066349 997748174 /nfs/dbraw/zinc/74/81/74/997748174.db2.gz QFDNNEWIVZNSPX-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN CO[C@H]1CC[N@H+](CC(=O)NC(C2CC2)C2CC2)[C@@H](C(=O)[O-])C1 ZINC001599122531 997765287 /nfs/dbraw/zinc/76/52/87/997765287.db2.gz LPNBOSMIHJESQM-QWHCGFSZSA-N 0 2 310.394 0.855 20 0 DCADLN CO[C@H]1CC[N@@H+](CC(=O)NC(C2CC2)C2CC2)[C@@H](C(=O)[O-])C1 ZINC001599122531 997765299 /nfs/dbraw/zinc/76/52/99/997765299.db2.gz LPNBOSMIHJESQM-QWHCGFSZSA-N 0 2 310.394 0.855 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2ncnn2C)C1 ZINC001405124190 997829490 /nfs/dbraw/zinc/82/94/90/997829490.db2.gz INBVJNJOTFQURF-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(Cc2ncnn2C)C1 ZINC001405124190 997829500 /nfs/dbraw/zinc/82/95/00/997829500.db2.gz INBVJNJOTFQURF-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN COc1cc(OC)cc([C@H]([NH2+][C@H]2C(=O)NCC2(C)C)C(=O)[O-])c1 ZINC001599453374 998145307 /nfs/dbraw/zinc/14/53/07/998145307.db2.gz PUPMLPJCXMZVPW-STQMWFEESA-N 0 2 322.361 0.944 20 0 DCADLN COC(=O)c1cccc([C@H]([NH2+]CC(=O)NC(C)C)C(=O)[O-])c1 ZINC001599000054 998816089 /nfs/dbraw/zinc/81/60/89/998816089.db2.gz WEPXJZMDQHMSSG-ZDUSSCGKSA-N 0 2 308.334 0.713 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@@H](C)CCCO ZINC001468439652 1016254890 /nfs/dbraw/zinc/25/48/90/1016254890.db2.gz IKKYOYWKJCUOSO-JTQLQIEISA-N 0 2 306.366 0.398 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cncc(C(=O)[O-])c1 ZINC001594251344 998967341 /nfs/dbraw/zinc/96/73/41/998967341.db2.gz UXJXEAOUBBOEDU-FZMZJTMJSA-N 0 2 319.361 0.715 20 0 DCADLN CC(C)c1[nH]ccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418489872 1000277935 /nfs/dbraw/zinc/27/79/35/1000277935.db2.gz YYIGBNWPEFQMBL-UHFFFAOYSA-N 0 2 306.370 0.824 20 0 DCADLN CC(C)c1[nH]ccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418489872 1000277939 /nfs/dbraw/zinc/27/79/39/1000277939.db2.gz YYIGBNWPEFQMBL-UHFFFAOYSA-N 0 2 306.370 0.824 20 0 DCADLN CC(=O)CCCC(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001418584452 1000366444 /nfs/dbraw/zinc/36/64/44/1000366444.db2.gz CLTRFEREGMZPPF-LLVKDONJSA-N 0 2 309.370 0.350 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1csc(NC2CC2)n1 ZINC001418624395 1000401911 /nfs/dbraw/zinc/40/19/11/1000401911.db2.gz OUCPIIVXGWWLFJ-UHFFFAOYSA-N 0 2 307.335 0.849 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1csc(NC2CC2)n1 ZINC001418624395 1000401913 /nfs/dbraw/zinc/40/19/13/1000401913.db2.gz OUCPIIVXGWWLFJ-UHFFFAOYSA-N 0 2 307.335 0.849 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)CCCC(C)=O)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001418752426 1000494317 /nfs/dbraw/zinc/49/43/17/1000494317.db2.gz RWGKXEWXQMBDTM-NWDGAFQWSA-N 0 2 323.397 0.739 20 0 DCADLN CC(C)C[C@H](C(=O)N1CCOC[C@@H]1c1nn[nH]n1)n1ccnc1 ZINC001418863605 1000575743 /nfs/dbraw/zinc/57/57/43/1000575743.db2.gz MKJLZSBSDREXAE-VXGBXAGGSA-N 0 2 319.369 0.584 20 0 DCADLN Cc1cnc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)nc1 ZINC001418971057 1000656337 /nfs/dbraw/zinc/65/63/37/1000656337.db2.gz IDYOPHOHROGPRF-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN Cc1cnc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)nc1 ZINC001418971057 1000656338 /nfs/dbraw/zinc/65/63/38/1000656338.db2.gz IDYOPHOHROGPRF-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN O=C(CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1)NC1CC1 ZINC001419080843 1000749943 /nfs/dbraw/zinc/74/99/43/1000749943.db2.gz AGNCMTLRYLLUQZ-PSASIEDQSA-N 0 2 311.279 0.356 20 0 DCADLN COCC(C)(C)CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401900818 1000837049 /nfs/dbraw/zinc/83/70/49/1000837049.db2.gz QTWZYQCFPBMMKX-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001419311135 1000965240 /nfs/dbraw/zinc/96/52/40/1000965240.db2.gz SSDHIQPRBKBXCD-JTQLQIEISA-N 0 2 320.349 0.769 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001419311135 1000965245 /nfs/dbraw/zinc/96/52/45/1000965245.db2.gz SSDHIQPRBKBXCD-JTQLQIEISA-N 0 2 320.349 0.769 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCC1(F)F ZINC001402230049 1001124132 /nfs/dbraw/zinc/12/41/32/1001124132.db2.gz BMUXCRXWSPVAHI-SECBINFHSA-N 0 2 317.340 0.740 20 0 DCADLN CC(=O)CCCC(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001419594238 1001163162 /nfs/dbraw/zinc/16/31/62/1001163162.db2.gz SGQVEOHXNXVTCU-GFCCVEGCSA-N 0 2 323.397 0.740 20 0 DCADLN C[C@H](CNC(=O)CCc1cncs1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403168997 1001704535 /nfs/dbraw/zinc/70/45/35/1001704535.db2.gz HVMSPBJKSBQVOY-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN C[C@H](CNC(=O)CCc1cncs1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403168997 1001704536 /nfs/dbraw/zinc/70/45/36/1001704536.db2.gz HVMSPBJKSBQVOY-SECBINFHSA-N 0 2 324.410 0.536 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C)C1CC1 ZINC001403365902 1001888947 /nfs/dbraw/zinc/88/89/47/1001888947.db2.gz ZJWRQCQCUNXXBF-PWSUYJOCSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001424736138 1001961142 /nfs/dbraw/zinc/96/11/42/1001961142.db2.gz PIFZVABTHKFDIG-BWZBUEFSSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001424736138 1001961148 /nfs/dbraw/zinc/96/11/48/1001961148.db2.gz PIFZVABTHKFDIG-BWZBUEFSSA-N 0 2 322.262 0.935 20 0 DCADLN C[C@@H](NC(=O)C1(S(C)(=O)=O)CCC1)c1nn(C)cc1O ZINC001420443500 1002425289 /nfs/dbraw/zinc/42/52/89/1002425289.db2.gz ZEGMQYUEMASJFB-MRVPVSSYSA-N 0 2 301.368 0.270 20 0 DCADLN CO[C@@H]1CCn2cc(C(=O)N[C@@H](C)c3nn(C)cc3O)nc2C1 ZINC001420441648 1002425972 /nfs/dbraw/zinc/42/59/72/1002425972.db2.gz RZGPCWABTGKLID-VHSXEESVSA-N 0 2 319.365 0.774 20 0 DCADLN CC1(C)C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CO1 ZINC001420486891 1002490624 /nfs/dbraw/zinc/49/06/24/1002490624.db2.gz WYLWUIIIBIACHJ-JTQLQIEISA-N 0 2 318.333 0.564 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1CCCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425271803 1002500330 /nfs/dbraw/zinc/50/03/30/1002500330.db2.gz ZEZQVCCGRHDUOD-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN CC[C@H](C(N)=O)[N@H+]1CCC[C@H]1CNC(=O)C[NH+]1CCC(C)CC1 ZINC001420496837 1002502907 /nfs/dbraw/zinc/50/29/07/1002502907.db2.gz GSFCNHQMLPVBNV-LSDHHAIUSA-N 0 2 324.469 0.563 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CO1 ZINC001420670587 1002783033 /nfs/dbraw/zinc/78/30/33/1002783033.db2.gz FNVGFGQTOKAVMX-ZJUUUORDSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CO1 ZINC001420670587 1002783039 /nfs/dbraw/zinc/78/30/39/1002783039.db2.gz FNVGFGQTOKAVMX-ZJUUUORDSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](C)C(C)(F)F)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001425668694 1002944320 /nfs/dbraw/zinc/94/43/20/1002944320.db2.gz XLSJARVMMPFVFB-IUCAKERBSA-N 0 2 319.356 0.336 20 0 DCADLN CCN1C[C@H](C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)CC1=O ZINC001472060519 1016718703 /nfs/dbraw/zinc/71/87/03/1016718703.db2.gz IWVLVBPBSWRRIZ-SNVBAGLBSA-N 0 2 322.365 0.066 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCN(C(=O)c2c(O)cc(F)cc2F)C1 ZINC001421015642 1003236428 /nfs/dbraw/zinc/23/64/28/1003236428.db2.gz RWIQHEUKYPIHQU-SSDOTTSWSA-N 0 2 320.317 0.421 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC2CC(NCC(F)(F)F)C2)S1 ZINC001472198937 1016757906 /nfs/dbraw/zinc/75/79/06/1016757906.db2.gz DPYKFKGTYXGGOV-KPGICGJXSA-N 0 2 324.328 0.342 20 0 DCADLN COc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001505952769 1016763826 /nfs/dbraw/zinc/76/38/26/1016763826.db2.gz HCAKXIORANSLCP-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001505952769 1016763836 /nfs/dbraw/zinc/76/38/36/1016763836.db2.gz HCAKXIORANSLCP-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COC(=O)c1cnc(C(=O)N[C@@H](C)c2nn(C)cc2O)cn1 ZINC001472235621 1016764599 /nfs/dbraw/zinc/76/45/99/1016764599.db2.gz DMCUGWTZRKRYNM-ZETCQYMHSA-N 0 2 305.294 0.193 20 0 DCADLN O=C(CCc1ccccn1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421150382 1003383286 /nfs/dbraw/zinc/38/32/86/1003383286.db2.gz JFDZPEOKVPQHLY-UHFFFAOYSA-N 0 2 316.365 0.086 20 0 DCADLN C[C@]1(NC(=O)C[C@H]2CCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421187671 1003420286 /nfs/dbraw/zinc/42/02/86/1003420286.db2.gz DWRAEZOFUJVOBW-YGRLFVJLSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@]1(NC(=O)C[C@H]2CCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421187671 1003420291 /nfs/dbraw/zinc/42/02/91/1003420291.db2.gz DWRAEZOFUJVOBW-YGRLFVJLSA-N 0 2 309.370 0.160 20 0 DCADLN CC(C)(CNC(N)=O)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC001472241900 1016769090 /nfs/dbraw/zinc/76/90/90/1016769090.db2.gz XMDGEXIPPNFKFM-UHFFFAOYSA-N 0 2 317.349 0.755 20 0 DCADLN O=C(NC1CC(CNC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001508417840 1016799926 /nfs/dbraw/zinc/79/99/26/1016799926.db2.gz ZRFUMQRKMRNXKJ-NPWHJSNTSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NC1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC001508417840 1016799950 /nfs/dbraw/zinc/79/99/50/1016799950.db2.gz ZRFUMQRKMRNXKJ-NPWHJSNTSA-N 0 2 324.234 0.595 20 0 DCADLN Cc1cnc(C[NH2+]C[C@H](NC(=O)CCc2cn[nH]n2)C2CC2)o1 ZINC001406803779 1004108545 /nfs/dbraw/zinc/10/85/45/1004108545.db2.gz XXPPHYYUIFHZJE-ZDUSSCGKSA-N 0 2 318.381 0.718 20 0 DCADLN CCOCC(=O)N1CC([C@H](C)NC(=O)C(F)C(F)(F)F)C1 ZINC001427053331 1004184512 /nfs/dbraw/zinc/18/45/12/1004184512.db2.gz NEJMQINGVQSQEH-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N1CC([C@H](C)NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001427053331 1004184516 /nfs/dbraw/zinc/18/45/16/1004184516.db2.gz NEJMQINGVQSQEH-XVKPBYJWSA-N 0 2 314.279 0.886 20 0 DCADLN CC(=O)NC[C@@]1(C)CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001427219678 1004247069 /nfs/dbraw/zinc/24/70/69/1004247069.db2.gz XBCSXOSDQUDPGQ-WPRPVWTQSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)NC[C@@]1(C)CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001427219678 1004247078 /nfs/dbraw/zinc/24/70/78/1004247078.db2.gz XBCSXOSDQUDPGQ-WPRPVWTQSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001428884964 1004240702 /nfs/dbraw/zinc/24/07/02/1004240702.db2.gz QMNIQPAQHJRJSN-POYBYMJQSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cn[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001428884964 1004240706 /nfs/dbraw/zinc/24/07/06/1004240706.db2.gz QMNIQPAQHJRJSN-POYBYMJQSA-N 0 2 323.250 0.283 20 0 DCADLN COCCC(=O)N(C)C[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001428976187 1004301616 /nfs/dbraw/zinc/30/16/16/1004301616.db2.gz VFUHBFGTLYCTON-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N(C)C[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001428976187 1004301622 /nfs/dbraw/zinc/30/16/22/1004301622.db2.gz VFUHBFGTLYCTON-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(NC[C@@H]1CCCOC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427427405 1004378548 /nfs/dbraw/zinc/37/85/48/1004378548.db2.gz XCBIEAYIOIXLOV-WDEREUQCSA-N 0 2 309.370 0.826 20 0 DCADLN CN(CCNC(=O)c1ccncn1)C(=O)C(F)C(F)(F)F ZINC001429447114 1004604003 /nfs/dbraw/zinc/60/40/03/1004604003.db2.gz LRMYCBDHJFIPBB-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1ccncn1)C(=O)[C@@H](F)C(F)(F)F ZINC001429447114 1004604008 /nfs/dbraw/zinc/60/40/08/1004604008.db2.gz LRMYCBDHJFIPBB-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncc[nH]3)C[C@H]21)C(F)C(F)(F)F ZINC001408007735 1004982418 /nfs/dbraw/zinc/98/24/18/1004982418.db2.gz PCRNUCUMQZXXDP-VGRMVHKJSA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncc[nH]3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001408007735 1004982422 /nfs/dbraw/zinc/98/24/22/1004982422.db2.gz PCRNUCUMQZXXDP-VGRMVHKJSA-N 0 2 320.246 0.497 20 0 DCADLN CC(C)c1ocnc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506035002 1016924330 /nfs/dbraw/zinc/92/43/30/1016924330.db2.gz ZYPDKOQJDGVKMB-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1ocnc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506035002 1016924344 /nfs/dbraw/zinc/92/43/44/1016924344.db2.gz ZYPDKOQJDGVKMB-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN O=c1[nH]nc(CNS(=O)(=O)C[C@H]2CCCCO2)n1C1CC1 ZINC001414331287 1005289837 /nfs/dbraw/zinc/28/98/37/1005289837.db2.gz QEGVXDIPOCVHSJ-SNVBAGLBSA-N 0 2 316.383 0.307 20 0 DCADLN C[C@]1(NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCOC1=O ZINC001414729979 1005391902 /nfs/dbraw/zinc/39/19/02/1005391902.db2.gz LHZAHCDLAHWCPB-OQPBUACISA-N 0 2 309.326 0.105 20 0 DCADLN CCN(CCNC(=O)c1cn[nH]c1)C(=O)C(F)C(F)(F)F ZINC001415645481 1005583372 /nfs/dbraw/zinc/58/33/72/1005583372.db2.gz QSFWOWHPUVSMJL-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)c1cn[nH]c1)C(=O)[C@@H](F)C(F)(F)F ZINC001415645481 1005583374 /nfs/dbraw/zinc/58/33/74/1005583374.db2.gz QSFWOWHPUVSMJL-MRVPVSSYSA-N 0 2 310.251 0.888 20 0 DCADLN CC[C@@H](CNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001416179693 1005667374 /nfs/dbraw/zinc/66/73/74/1005667374.db2.gz KUQPEZHRUDGMRP-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN CC[C@@H](CNC(=O)Cn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001416179693 1005667376 /nfs/dbraw/zinc/66/73/76/1005667376.db2.gz KUQPEZHRUDGMRP-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001416902767 1005756527 /nfs/dbraw/zinc/75/65/27/1005756527.db2.gz SXLHVPMLHFSFLJ-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001416902767 1005756528 /nfs/dbraw/zinc/75/65/28/1005756528.db2.gz SXLHVPMLHFSFLJ-YLWLKBPMSA-N 0 2 310.251 0.853 20 0 DCADLN CO[C@H](C)C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001417257481 1005811940 /nfs/dbraw/zinc/81/19/40/1005811940.db2.gz WQAOAVJOMTXOSB-SVRRBLITSA-N 0 2 300.252 0.591 20 0 DCADLN CO[C@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001417257481 1005811939 /nfs/dbraw/zinc/81/19/39/1005811939.db2.gz WQAOAVJOMTXOSB-SVRRBLITSA-N 0 2 300.252 0.591 20 0 DCADLN C[C@@]1(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCOC1 ZINC001417679258 1005866104 /nfs/dbraw/zinc/86/61/04/1005866104.db2.gz GAFWQDRHDQGYNK-CQSZACIVSA-N 0 2 304.306 0.175 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2CCCC(F)(F)[C@H]2O)S1 ZINC001417979273 1005946479 /nfs/dbraw/zinc/94/64/79/1005946479.db2.gz WDQIXARYMIGBAL-CHKWXVPMSA-N 0 2 307.322 0.208 20 0 DCADLN Cn1ccc(CCNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001434789727 1005978030 /nfs/dbraw/zinc/97/80/30/1005978030.db2.gz PTNWNLULLGVWJJ-SNVBAGLBSA-N 0 2 319.369 0.376 20 0 DCADLN O=C([C@@H]1CCCC12CC2)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001434863707 1006062726 /nfs/dbraw/zinc/06/27/26/1006062726.db2.gz GVDSZJSTLWPDCS-NSHDSACASA-N 0 2 305.382 0.735 20 0 DCADLN CS[C@H](C)C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001418150475 1006108486 /nfs/dbraw/zinc/10/84/86/1006108486.db2.gz JBWYPRFOQZVDBH-ZJUUUORDSA-N 0 2 313.427 0.733 20 0 DCADLN CCc1cccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001438879612 1006190894 /nfs/dbraw/zinc/19/08/94/1006190894.db2.gz GCODJLNWXXNJMU-UHFFFAOYSA-N 0 2 318.381 0.672 20 0 DCADLN CCc1cccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001438879612 1006190900 /nfs/dbraw/zinc/19/09/00/1006190900.db2.gz GCODJLNWXXNJMU-UHFFFAOYSA-N 0 2 318.381 0.672 20 0 DCADLN CCc1nnc([C@H](C)[NH2+][C@H](C)CNC(=O)Cn2c[nH+]cc2C)[nH]1 ZINC001418257538 1006260042 /nfs/dbraw/zinc/26/00/42/1006260042.db2.gz JHYOCWISNDTBON-PWSUYJOCSA-N 0 2 319.413 0.728 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cnon1 ZINC001452158281 1006633859 /nfs/dbraw/zinc/63/38/59/1006633859.db2.gz ITDJUXFCPOEFSZ-SSDOTTSWSA-N 0 2 312.223 0.500 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnon1 ZINC001452158281 1006633875 /nfs/dbraw/zinc/63/38/75/1006633875.db2.gz ITDJUXFCPOEFSZ-SSDOTTSWSA-N 0 2 312.223 0.500 20 0 DCADLN Cc1cnc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001439348129 1006734784 /nfs/dbraw/zinc/73/47/84/1006734784.db2.gz MFUZHQZLMAEBLL-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cnc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001439348129 1006734798 /nfs/dbraw/zinc/73/47/98/1006734798.db2.gz MFUZHQZLMAEBLL-NSHDSACASA-N 0 2 318.381 0.772 20 0 DCADLN O=C(CC1CCC1)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452317566 1006737812 /nfs/dbraw/zinc/73/78/12/1006737812.db2.gz PXWPHLGBJHLWJZ-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001452317566 1006737832 /nfs/dbraw/zinc/73/78/32/1006737832.db2.gz PXWPHLGBJHLWJZ-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001439386549 1006794478 /nfs/dbraw/zinc/79/44/78/1006794478.db2.gz PUWREWFFSDINIF-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001439386549 1006794496 /nfs/dbraw/zinc/79/44/96/1006794496.db2.gz PUWREWFFSDINIF-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN COCC(=O)N(C)C1CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001439715658 1007146317 /nfs/dbraw/zinc/14/63/17/1007146317.db2.gz GZCBYBZATJBIOB-UHFFFAOYSA-N 0 2 322.390 0.686 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001453171400 1007290552 /nfs/dbraw/zinc/29/05/52/1007290552.db2.gz VKIPAFFJEFHEFB-SCZZXKLOSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001453171400 1007290561 /nfs/dbraw/zinc/29/05/61/1007290561.db2.gz VKIPAFFJEFHEFB-SCZZXKLOSA-N 0 2 322.262 0.501 20 0 DCADLN CCn1ncc(C[NH+]2CC[C@@H](CNC(=O)C[N@H+](C)C(C)C)C2)n1 ZINC001437741052 1007343214 /nfs/dbraw/zinc/34/32/14/1007343214.db2.gz PKKNSWDZEDUXPW-AWEZNQCLSA-N 0 2 322.457 0.576 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438018383 1007583646 /nfs/dbraw/zinc/58/36/46/1007583646.db2.gz MIUALWGWHSTPOP-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CC[NH+]1CC(CCO)(NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001441066655 1008204370 /nfs/dbraw/zinc/20/43/70/1008204370.db2.gz HLROXHZKFZGJOR-UHFFFAOYSA-N 0 2 306.322 0.115 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001453671280 1008655695 /nfs/dbraw/zinc/65/56/95/1008655695.db2.gz DNMGQHPSYARCPK-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001453671280 1008655700 /nfs/dbraw/zinc/65/57/00/1008655700.db2.gz DNMGQHPSYARCPK-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN O=C(NC1CCN(C(=O)c2cnon2)CC1)C(F)C(F)(F)F ZINC001453772333 1008710437 /nfs/dbraw/zinc/71/04/37/1008710437.db2.gz GQYUUHPMXJZBQI-MRVPVSSYSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NC1CCN(C(=O)c2cnon2)CC1)[C@@H](F)C(F)(F)F ZINC001453772333 1008710447 /nfs/dbraw/zinc/71/04/47/1008710447.db2.gz GQYUUHPMXJZBQI-MRVPVSSYSA-N 0 2 324.234 0.691 20 0 DCADLN CC[C@H](C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001421779980 1009077722 /nfs/dbraw/zinc/07/77/22/1009077722.db2.gz SJRGMKPXZCQBCR-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1cc(CNC(=O)Nc2nn[nH]c2C(=O)NC2CC2)ccn1 ZINC001477203201 1017263755 /nfs/dbraw/zinc/26/37/55/1017263755.db2.gz ZSNRPMUYSRVQKX-UHFFFAOYSA-N 0 2 315.337 0.722 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC[C@H]1CCOC1 ZINC001422155811 1009571773 /nfs/dbraw/zinc/57/17/73/1009571773.db2.gz RBYRLAVTODVMGQ-NSHDSACASA-N 0 2 311.386 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CC[C@H]1CCOC1 ZINC001422155811 1009571778 /nfs/dbraw/zinc/57/17/78/1009571778.db2.gz RBYRLAVTODVMGQ-NSHDSACASA-N 0 2 311.386 0.217 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001442665200 1009598763 /nfs/dbraw/zinc/59/87/63/1009598763.db2.gz QHWOROBCCGDYIQ-UHFFFAOYSA-N 0 2 312.333 0.696 20 0 DCADLN C[C@@H](Cn1cccn1)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001477303405 1017276349 /nfs/dbraw/zinc/27/63/49/1017276349.db2.gz ZNCGIFYPYDAHBJ-QWRGUYRKSA-N 0 2 319.369 0.685 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CO1 ZINC001434149927 1009610982 /nfs/dbraw/zinc/61/09/82/1009610982.db2.gz BJKZBIHWBDWRSE-WCBMZHEXSA-N 0 2 304.306 0.173 20 0 DCADLN O=C(CCC1CC1)NC1(CO)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001422196968 1009635487 /nfs/dbraw/zinc/63/54/87/1009635487.db2.gz QJSUXKANFIBCDY-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H]2c2ncccn2)S1 ZINC001434204190 1009660309 /nfs/dbraw/zinc/66/03/09/1009660309.db2.gz JJSGVKRLPUJWOY-DTWKUNHWSA-N 0 2 305.363 0.697 20 0 DCADLN CCc1ncoc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443037133 1010076503 /nfs/dbraw/zinc/07/65/03/1010076503.db2.gz ZPERDJLZSYUNJD-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN CCc1ncoc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443037133 1010076516 /nfs/dbraw/zinc/07/65/16/1010076516.db2.gz ZPERDJLZSYUNJD-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN CCO[C@H](CC)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001443128485 1010159384 /nfs/dbraw/zinc/15/93/84/1010159384.db2.gz MHXRMXIQUYLCPJ-GRYCIOLGSA-N 0 2 323.397 0.501 20 0 DCADLN C[C@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)n1cncn1 ZINC001456284881 1010169259 /nfs/dbraw/zinc/16/92/59/1010169259.db2.gz LXCFHHHTKCHGEK-HTRCEHHLSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@H](C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)n1cncn1 ZINC001456284881 1010169265 /nfs/dbraw/zinc/16/92/65/1010169265.db2.gz LXCFHHHTKCHGEK-HTRCEHHLSA-N 0 2 323.250 0.067 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001422556345 1010291380 /nfs/dbraw/zinc/29/13/80/1010291380.db2.gz BPZBLQFLBZKJOV-SECBINFHSA-N 0 2 320.353 0.170 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001422556345 1010291390 /nfs/dbraw/zinc/29/13/90/1010291390.db2.gz BPZBLQFLBZKJOV-SECBINFHSA-N 0 2 320.353 0.170 20 0 DCADLN Cn1nnc(CN2CCC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001443406807 1010389042 /nfs/dbraw/zinc/38/90/42/1010389042.db2.gz GIEJQYHRLWEAHO-APPZFPTMSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN2CCC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001443406807 1010389046 /nfs/dbraw/zinc/38/90/46/1010389046.db2.gz GIEJQYHRLWEAHO-APPZFPTMSA-N 0 2 324.282 0.191 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn[nH]c1 ZINC001508904313 1017098485 /nfs/dbraw/zinc/09/84/85/1017098485.db2.gz XWIONVTWWBILDT-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cn[nH]c1 ZINC001508904313 1017098502 /nfs/dbraw/zinc/09/85/02/1017098502.db2.gz XWIONVTWWBILDT-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN CNC(=O)[C@H](C)[N@H+](C)C[C@H](C)NC(=O)CCCn1cc[nH+]c1C ZINC001422903793 1010723800 /nfs/dbraw/zinc/72/38/00/1010723800.db2.gz LQZYPENZHFUQNN-STQMWFEESA-N 0 2 323.441 0.543 20 0 DCADLN Cc1cnn(CCC(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422919598 1010738459 /nfs/dbraw/zinc/73/84/59/1010738459.db2.gz PZCQKCBEXLMSNR-NSHDSACASA-N 0 2 321.385 0.042 20 0 DCADLN Cc1cnn(CCC(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001422919598 1010738469 /nfs/dbraw/zinc/73/84/69/1010738469.db2.gz PZCQKCBEXLMSNR-NSHDSACASA-N 0 2 321.385 0.042 20 0 DCADLN Cc1cc(C[NH2+][C@@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])no1 ZINC001423040740 1010831067 /nfs/dbraw/zinc/83/10/67/1010831067.db2.gz MISKOUJMKNNKPR-QMMMGPOBSA-N 0 2 307.354 0.970 20 0 DCADLN CCN1CC[C@@H]([NH+](C)CCN(C)C(=O)[C@H]2CCCC[N@@H+]2C)C1=O ZINC001445008205 1011309929 /nfs/dbraw/zinc/30/99/29/1011309929.db2.gz QJGQQVJBONMMIL-HUUCEWRRSA-N 0 2 324.469 0.482 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001445056912 1011363645 /nfs/dbraw/zinc/36/36/45/1011363645.db2.gz OYUJEKLRNBIAGV-NWDGAFQWSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001445056912 1011363656 /nfs/dbraw/zinc/36/36/56/1011363656.db2.gz OYUJEKLRNBIAGV-NWDGAFQWSA-N 0 2 307.398 0.837 20 0 DCADLN C[C@H](CNC(=O)c1occc1C[NH+](C)C)NC(=O)c1ncn[nH]1 ZINC001431710388 1011569681 /nfs/dbraw/zinc/56/96/81/1011569681.db2.gz BTAXCVWIOPJRLT-SECBINFHSA-N 0 2 320.353 0.008 20 0 DCADLN C[C@H](CNC(=O)c1occc1C[NH+](C)C)NC(=O)c1nc[nH]n1 ZINC001431710388 1011569699 /nfs/dbraw/zinc/56/96/99/1011569699.db2.gz BTAXCVWIOPJRLT-SECBINFHSA-N 0 2 320.353 0.008 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cocn1 ZINC001535172551 1011840405 /nfs/dbraw/zinc/84/04/05/1011840405.db2.gz UUTIVAWCWONIKI-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1cocn1 ZINC001535172551 1011840416 /nfs/dbraw/zinc/84/04/16/1011840416.db2.gz UUTIVAWCWONIKI-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21)C(F)C(F)(F)F ZINC001432041301 1011903045 /nfs/dbraw/zinc/90/30/45/1011903045.db2.gz STRQPMCAMZUXMM-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@H](F)C(F)(F)F ZINC001432041301 1011903050 /nfs/dbraw/zinc/90/30/50/1011903050.db2.gz STRQPMCAMZUXMM-ZJDVBMNYSA-N 0 2 324.274 0.496 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)NC2CCOCC2)n[nH]1 ZINC001553379870 1011927159 /nfs/dbraw/zinc/92/71/59/1011927159.db2.gz UNNLNLYVGQURBK-QWHCGFSZSA-N 0 2 323.397 0.806 20 0 DCADLN O=C(CCC(F)F)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001458004075 1012030739 /nfs/dbraw/zinc/03/07/39/1012030739.db2.gz PMUDBDYZLCHNKP-XRGYYRRGSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001458004075 1012030750 /nfs/dbraw/zinc/03/07/50/1012030750.db2.gz PMUDBDYZLCHNKP-XRGYYRRGSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cnon1 ZINC001432192021 1012113545 /nfs/dbraw/zinc/11/35/45/1012113545.db2.gz DBYYCTQFEDITCG-LURJTMIESA-N 0 2 310.207 0.349 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1cnon1 ZINC001432192021 1012113551 /nfs/dbraw/zinc/11/35/51/1012113551.db2.gz DBYYCTQFEDITCG-LURJTMIESA-N 0 2 310.207 0.349 20 0 DCADLN Cn1nncc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001432194515 1012117754 /nfs/dbraw/zinc/11/77/54/1012117754.db2.gz WDSQLBCHPYPKGH-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1nncc1C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001432194515 1012117762 /nfs/dbraw/zinc/11/77/62/1012117762.db2.gz WDSQLBCHPYPKGH-ZETCQYMHSA-N 0 2 323.250 0.094 20 0 DCADLN COCCC1(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001445746835 1012193103 /nfs/dbraw/zinc/19/31/03/1012193103.db2.gz WJQSRNGXEOTEGL-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COCCC1(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001445746835 1012193111 /nfs/dbraw/zinc/19/31/11/1012193111.db2.gz WJQSRNGXEOTEGL-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001424276491 1012287681 /nfs/dbraw/zinc/28/76/81/1012287681.db2.gz JCYOGICKAABJRY-SFYZADRCSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001424276491 1012287699 /nfs/dbraw/zinc/28/76/99/1012287699.db2.gz JCYOGICKAABJRY-SFYZADRCSA-N 0 2 322.262 0.954 20 0 DCADLN CO[N-]C(=O)CNC(=O)c1c[nH+]ccc1Nc1ccccc1 ZINC001558637632 1012374154 /nfs/dbraw/zinc/37/41/54/1012374154.db2.gz VJYZHRAZDISPIY-UHFFFAOYSA-N 0 2 300.318 0.655 20 0 DCADLN C[N@H+](CC(=O)N1CC(NC(=O)Cc2c[nH]c[nH+]2)C1)CC(C)(C)C ZINC001432374142 1012387806 /nfs/dbraw/zinc/38/78/06/1012387806.db2.gz UONILSNIBDEQNS-UHFFFAOYSA-N 0 2 321.425 0.257 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432378556 1012399104 /nfs/dbraw/zinc/39/91/04/1012399104.db2.gz YLQFRIWTJJQLOT-IONNQARKSA-N 0 2 314.279 0.981 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432378556 1012399114 /nfs/dbraw/zinc/39/91/14/1012399114.db2.gz YLQFRIWTJJQLOT-IONNQARKSA-N 0 2 314.279 0.981 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)Nc1cc2c(cn1)CCCC2 ZINC001476670565 1017210957 /nfs/dbraw/zinc/21/09/57/1017210957.db2.gz XWBXMZAFPPNEAD-UHFFFAOYSA-N 0 2 302.338 0.893 20 0 DCADLN C[C@@H](NC(=O)CC[C@H]1CCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506585300 1017376641 /nfs/dbraw/zinc/37/66/41/1017376641.db2.gz IFMJGQUMZJTBSU-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1nn(C)cc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001494647433 1017911170 /nfs/dbraw/zinc/91/11/70/1017911170.db2.gz DTJCXQLKWNOIKC-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1nn(C)cc1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001494647433 1017911180 /nfs/dbraw/zinc/91/11/80/1017911180.db2.gz DTJCXQLKWNOIKC-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)NC[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001500263640 1018360045 /nfs/dbraw/zinc/36/00/45/1018360045.db2.gz PHXDSWSZTPXZHB-GFCCVEGCSA-N 0 2 309.414 0.161 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n(C)n1 ZINC001495882115 1018817060 /nfs/dbraw/zinc/81/70/60/1018817060.db2.gz BBRLDEDERUGTHW-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)n(C)n1 ZINC001495882115 1018817072 /nfs/dbraw/zinc/81/70/72/1018817072.db2.gz BBRLDEDERUGTHW-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN C[C@@H](C[N@H+](C)C[C@@H]1CCc2ncnn21)NC(=O)c1[nH]ncc1F ZINC001498374661 1019023151 /nfs/dbraw/zinc/02/31/51/1019023151.db2.gz ABVCSVLWUDDHEE-UWVGGRQHSA-N 0 2 321.360 0.378 20 0 DCADLN CCC(=O)NCCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001493140656 1019138045 /nfs/dbraw/zinc/13/80/45/1019138045.db2.gz PHUMJIGGZJOPTA-SNVBAGLBSA-N 0 2 313.295 0.557 20 0 DCADLN CCC(=O)NCCN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001493140656 1019138051 /nfs/dbraw/zinc/13/80/51/1019138051.db2.gz PHUMJIGGZJOPTA-SNVBAGLBSA-N 0 2 313.295 0.557 20 0 DCADLN CC(C)(C(=O)NC[C@@H]1CC[N@H+](Cc2cnon2)C1)c1c[nH]cn1 ZINC001493255570 1019260532 /nfs/dbraw/zinc/26/05/32/1019260532.db2.gz WCYSDZBZXZWMFA-NSHDSACASA-N 0 2 318.381 0.709 20 0 DCADLN CN(CCNC(=O)c1n[nH]cc1F)C(=O)C(F)C(F)(F)F ZINC001496524171 1019375797 /nfs/dbraw/zinc/37/57/97/1019375797.db2.gz JJLPVXNOUWSSST-ZETCQYMHSA-N 0 2 314.214 0.637 20 0 DCADLN CN(CCNC(=O)c1n[nH]cc1F)C(=O)[C@H](F)C(F)(F)F ZINC001496524171 1019375814 /nfs/dbraw/zinc/37/58/14/1019375814.db2.gz JJLPVXNOUWSSST-ZETCQYMHSA-N 0 2 314.214 0.637 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC=CCNC(=O)c1ncoc1C ZINC001501720419 1019414328 /nfs/dbraw/zinc/41/43/28/1019414328.db2.gz TVQTTXBGJQLAPQ-ARJAWSKDSA-N 0 2 317.349 0.659 20 0 DCADLN O=C(Cc1cc[nH]n1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001501752737 1019440599 /nfs/dbraw/zinc/44/05/99/1019440599.db2.gz BDZFEEJMTJNFAK-TXXBHVLJSA-N 0 2 322.262 0.641 20 0 DCADLN O=C(Cc1cc[nH]n1)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001501752737 1019440605 /nfs/dbraw/zinc/44/06/05/1019440605.db2.gz BDZFEEJMTJNFAK-TXXBHVLJSA-N 0 2 322.262 0.641 20 0 DCADLN C[C@H](CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccn1 ZINC001499095930 1019741461 /nfs/dbraw/zinc/74/14/61/1019741461.db2.gz QNEQXDXSUMYWQK-MNOVXSKESA-N 0 2 319.369 0.049 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1CCC[C@@H](NC(=O)N(C)C)C1 ZINC001606330505 1170161323 /nfs/dbraw/zinc/16/13/23/1170161323.db2.gz JUXJDMHNCNYTIF-GHMZBOCLSA-N 0 2 315.370 0.128 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1CCC[C@@H](NC(=O)N(C)C)C1 ZINC001606330505 1170161324 /nfs/dbraw/zinc/16/13/24/1170161324.db2.gz JUXJDMHNCNYTIF-GHMZBOCLSA-N 0 2 315.370 0.128 20 0 DCADLN COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1C[C@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606378635 1170174785 /nfs/dbraw/zinc/17/47/85/1170174785.db2.gz SXIPFFJXFNEAKN-WZRBSPASSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1C[C@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606378635 1170174790 /nfs/dbraw/zinc/17/47/90/1170174790.db2.gz SXIPFFJXFNEAKN-WZRBSPASSA-N 0 2 313.350 0.786 20 0 DCADLN CCC(CC)(CNC(=O)NCC[NH+]1CCOCC1)C(=O)[O-] ZINC000165107450 282099667 /nfs/dbraw/zinc/09/96/67/282099667.db2.gz TVLUTUDJBFKGGS-UHFFFAOYSA-N 0 2 301.387 0.509 20 0 DCADLN CCN(CC(C)(C)O)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000081968103 192347071 /nfs/dbraw/zinc/34/70/71/192347071.db2.gz IAVABWNNTMIUJV-UHFFFAOYSA-N 0 2 306.322 0.257 20 0 DCADLN NC(=O)Cn1ccc(NS(=O)(=O)C[C@H]2CCCCO2)n1 ZINC000330869752 232125386 /nfs/dbraw/zinc/12/53/86/232125386.db2.gz PYOJTECQZHTPKE-SECBINFHSA-N 0 2 302.356 0.729 20 0 DCADLN COc1ncnc(OC)c1C(=O)N1CCO[C@@]2(CCO[C@H]2C)C1 ZINC000279548694 214960482 /nfs/dbraw/zinc/96/04/82/214960482.db2.gz SVOQBNJWUWPLCZ-BONVTDFDSA-N 0 2 323.349 0.514 20 0 DCADLN COCC(=O)N1CCC(NS(=O)(=O)c2ccco2)CC1 ZINC000331304040 233010844 /nfs/dbraw/zinc/01/08/44/233010844.db2.gz UAGXCXWJOYOOGQ-UHFFFAOYSA-N 0 2 302.352 0.195 20 0 DCADLN O=C(Nc1nc2cccnc2[nH]1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000426666852 304016503 /nfs/dbraw/zinc/01/65/03/304016503.db2.gz WAFSNOBATKDUJV-UHFFFAOYSA-N 0 2 323.272 0.960 20 0 DCADLN O=C(Nc1nn[nH]n1)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000194146850 304022919 /nfs/dbraw/zinc/02/29/19/304022919.db2.gz MEUZATFLSHRTAH-UHFFFAOYSA-N 0 2 305.685 0.997 20 0 DCADLN CC[C@@H]1C(=O)NCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000173166838 198191279 /nfs/dbraw/zinc/19/12/79/198191279.db2.gz YYKAUZSNGRNDPZ-GFCCVEGCSA-N 0 2 314.345 0.929 20 0 DCADLN NC(=O)C1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)CC1 ZINC000073047103 191272664 /nfs/dbraw/zinc/27/26/64/191272664.db2.gz XWHCCJPUTHSHAE-UHFFFAOYSA-N 0 2 314.345 0.915 20 0 DCADLN CNC(=O)[C@@H](C)CN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119313891 283381931 /nfs/dbraw/zinc/38/19/31/283381931.db2.gz KWQMAQNKFCKMAJ-QMMMGPOBSA-N 0 2 305.338 0.128 20 0 DCADLN C[C@@H](Cn1ccnc1)NC(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000281091758 216073925 /nfs/dbraw/zinc/07/39/25/216073925.db2.gz VJGVOHWQXKDMHM-TUAOUCFPSA-N 0 2 307.354 0.412 20 0 DCADLN CCn1cc(C(=O)NN2CCCNC2=O)c(C(F)(F)F)n1 ZINC000281241153 216178509 /nfs/dbraw/zinc/17/85/09/216178509.db2.gz ITOMMNQFIRBYOK-UHFFFAOYSA-N 0 2 305.260 0.982 20 0 DCADLN O=C([O-])CCCNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000567468264 291356391 /nfs/dbraw/zinc/35/63/91/291356391.db2.gz GMQKNVIUGPEKLI-UHFFFAOYSA-N 0 2 322.365 0.582 20 0 DCADLN Cc1c(C=CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cnn1C ZINC000493845433 241166790 /nfs/dbraw/zinc/16/67/90/241166790.db2.gz AQAIJAQWYVLDCE-FJOGCWAESA-N 0 2 316.365 0.972 20 0 DCADLN Cn1ncc2c1nc[nH+]c2N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC000567674570 291368285 /nfs/dbraw/zinc/36/82/85/291368285.db2.gz QKTYETZPTZSJLF-QMMMGPOBSA-N 0 2 314.309 0.104 20 0 DCADLN COC(=O)C1(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CC1 ZINC000275281984 212245428 /nfs/dbraw/zinc/24/54/28/212245428.db2.gz NEIFGOUDQIUAGI-UHFFFAOYSA-N 0 2 318.289 0.119 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc3c([nH]c2=O)CCCCC3)[C@H](C[NH3+])C1 ZINC000567829990 291382138 /nfs/dbraw/zinc/38/21/38/291382138.db2.gz BLGFPECKWCLUFQ-CYBMUJFWSA-N 0 2 318.421 0.771 20 0 DCADLN COc1ccc(CCC(=O)N2CC[N@H+](C)C[C@@H]2C[NH3+])cc1OC ZINC000567829998 291381939 /nfs/dbraw/zinc/38/19/39/291381939.db2.gz BRZGRRUTXOZZMO-AWEZNQCLSA-N 0 2 321.421 0.738 20 0 DCADLN COC[C@H](Cc1ccccc1)NC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000567871745 291386524 /nfs/dbraw/zinc/38/65/24/291386524.db2.gz LKPFXSKIWDNBFX-HOTGVXAUSA-N 0 2 320.437 0.528 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)CCO1 ZINC000567980026 291394972 /nfs/dbraw/zinc/39/49/72/291394972.db2.gz JVKPGTOBWKOOFR-NEPJUHHUSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)CCO1 ZINC000567980026 291394973 /nfs/dbraw/zinc/39/49/73/291394973.db2.gz JVKPGTOBWKOOFR-NEPJUHHUSA-N 0 2 322.365 0.118 20 0 DCADLN CCN(CCS(C)(=O)=O)CC(=O)NOCc1ccccc1 ZINC000093208230 193216442 /nfs/dbraw/zinc/21/64/42/193216442.db2.gz OMUKRKGQRDPKJX-UHFFFAOYSA-N 0 2 314.407 0.601 20 0 DCADLN COc1cccc([C@H](O)CNC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000275011063 212071800 /nfs/dbraw/zinc/07/18/00/212071800.db2.gz XDIUZXMRLZWKLW-SNVBAGLBSA-N 0 2 324.362 0.049 20 0 DCADLN COCc1ccccc1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274889174 212012219 /nfs/dbraw/zinc/01/22/19/212012219.db2.gz LFIRXRKHCOHWRL-UHFFFAOYSA-N 0 2 308.363 0.653 20 0 DCADLN CCOC(=O)c1cc(S(=O)(=O)Nc2nncs2)cn1C ZINC000273905927 211162953 /nfs/dbraw/zinc/16/29/53/211162953.db2.gz IEEFRQWFEPBQLD-UHFFFAOYSA-N 0 2 316.364 0.854 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H](CNC(=O)c2cc(F)c(O)c(F)c2)O1 ZINC000273887602 211146492 /nfs/dbraw/zinc/14/64/92/211146492.db2.gz UQLNRCXWCLDZOA-XVKPBYJWSA-N 0 2 300.261 0.433 20 0 DCADLN Cn1ccc(CNC(=O)N2CCN(c3ncc(F)cn3)CC2)c1 ZINC000273724531 211006943 /nfs/dbraw/zinc/00/69/43/211006943.db2.gz RXAYRDRXZIMLLZ-UHFFFAOYSA-N 0 2 318.356 0.986 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)c2ccnn2C)c1N ZINC000339093535 253030779 /nfs/dbraw/zinc/03/07/79/253030779.db2.gz GJPQLYNUFAKBHB-UHFFFAOYSA-N 0 2 310.335 0.590 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)CCCCF)C2)[nH]1 ZINC000338991951 253019413 /nfs/dbraw/zinc/01/94/13/253019413.db2.gz FHXOCMWUPBXDNF-SECBINFHSA-N 0 2 306.363 0.769 20 0 DCADLN CNC(=O)c1ccc(OC)c(NS(=O)(=O)c2ccnn2C)c1 ZINC000340081252 253198142 /nfs/dbraw/zinc/19/81/42/253198142.db2.gz PTGLFFBGPVNYBM-UHFFFAOYSA-N 0 2 324.362 0.589 20 0 DCADLN O=c1[nH]nc(CSc2nnnn2-c2ccc3c(c2)OCO3)[nH]1 ZINC000340850184 253313223 /nfs/dbraw/zinc/31/32/23/253313223.db2.gz BBBPABHJHTWZOP-UHFFFAOYSA-N 0 2 319.306 0.507 20 0 DCADLN C[C@@H](CNC(=O)C(=O)N1C[C@@H](C)O[C@H](C)C1)c1nccs1 ZINC000288648904 220320661 /nfs/dbraw/zinc/32/06/61/220320661.db2.gz ABRQTQDDNJBKEC-HBNTYKKESA-N 0 2 311.407 0.999 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2CC[C@@H](O)C2)s1 ZINC000346218411 254023430 /nfs/dbraw/zinc/02/34/30/254023430.db2.gz HRJUPDSBLCQWHO-NTSWFWBYSA-N 0 2 306.369 0.009 20 0 DCADLN O=S(=O)(Nc1ccccn1)c1ccc(-n2cnnn2)cc1 ZINC000346639178 254077281 /nfs/dbraw/zinc/07/72/81/254077281.db2.gz HQNXHHBJMYQHFX-UHFFFAOYSA-N 0 2 302.319 0.858 20 0 DCADLN CNC(=O)COc1ccc(NS(=O)(=O)c2c[nH]nc2C)cc1 ZINC000347438562 254157042 /nfs/dbraw/zinc/15/70/42/254157042.db2.gz YOKSKDURBPVCGR-UHFFFAOYSA-N 0 2 324.362 0.644 20 0 DCADLN C[C@H](C(=O)NC1(c2nn[nH]n2)CC1)N1CCc2ccccc2C1 ZINC000348297253 254230664 /nfs/dbraw/zinc/23/06/64/254230664.db2.gz KHPCBLPOYPPAST-LLVKDONJSA-N 0 2 312.377 0.752 20 0 DCADLN COC(=O)CCCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348806261 254257588 /nfs/dbraw/zinc/25/75/88/254257588.db2.gz UFPJPMROIMKLBH-UHFFFAOYSA-N 0 2 305.290 0.713 20 0 DCADLN C[C@@H]1C[C@@H](O)CN1C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000350866427 254328257 /nfs/dbraw/zinc/32/82/57/254328257.db2.gz QVIJGMAQRWBMBI-HTQZYQBOSA-N 0 2 312.395 0.178 20 0 DCADLN C[C@H]1C[C@H](O)CN1C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000350866434 254328372 /nfs/dbraw/zinc/32/83/72/254328372.db2.gz QVIJGMAQRWBMBI-YUMQZZPRSA-N 0 2 312.395 0.178 20 0 DCADLN COC(=O)C1(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)CC1 ZINC000275458701 130322982 /nfs/dbraw/zinc/32/29/82/130322982.db2.gz JIVHHEBJMMUVKV-UHFFFAOYSA-N 0 2 303.322 0.493 20 0 DCADLN CN(C)C(=O)Cn1cnc(NS(=O)(=O)c2ccsc2)n1 ZINC000284937544 131124999 /nfs/dbraw/zinc/12/49/99/131124999.db2.gz AIYJUKKXKHLVGI-UHFFFAOYSA-N 0 2 315.380 0.229 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnn(C)c2)cc1C(N)=O ZINC000032630822 395711493 /nfs/dbraw/zinc/71/14/93/395711493.db2.gz WEGGUTLESMYFHC-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN CCOCCN(Cc1ccc(C(=O)OC)o1)Cc1n[nH]c(=O)[nH]1 ZINC000091554676 395726057 /nfs/dbraw/zinc/72/60/57/395726057.db2.gz COWASIVBWNHZLN-UHFFFAOYSA-N 0 2 324.337 0.516 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000037730946 395728197 /nfs/dbraw/zinc/72/81/97/395728197.db2.gz KNJZKQDQEXDDRG-UHFFFAOYSA-N 0 2 315.376 0.922 20 0 DCADLN COC(=O)[C@@]1(F)CC[N@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000093178012 395736747 /nfs/dbraw/zinc/73/67/47/395736747.db2.gz AXQKILQQYXISQP-OAHLLOKOSA-N 0 2 310.325 0.821 20 0 DCADLN COC(=O)[C@@]1(F)CC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000093178012 395736749 /nfs/dbraw/zinc/73/67/49/395736749.db2.gz AXQKILQQYXISQP-OAHLLOKOSA-N 0 2 310.325 0.821 20 0 DCADLN NC(=O)N[C@H]1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000270763475 395805806 /nfs/dbraw/zinc/80/58/06/395805806.db2.gz PGKZRXRYHNXIHL-JTQLQIEISA-N 0 2 315.333 0.461 20 0 DCADLN CC(=O)N1CC[N@H+](CC(=O)[N-]OCc2ccccc2)[C@@H](C)C1 ZINC000271339211 395859509 /nfs/dbraw/zinc/85/95/09/395859509.db2.gz HASFDLFHKBTRMG-ZDUSSCGKSA-N 0 2 305.378 0.787 20 0 DCADLN CC(=O)N1CC[N@@H+](CC(=O)[N-]OCc2ccccc2)[C@@H](C)C1 ZINC000271339211 395859512 /nfs/dbraw/zinc/85/95/12/395859512.db2.gz HASFDLFHKBTRMG-ZDUSSCGKSA-N 0 2 305.378 0.787 20 0 DCADLN CCNC(=O)NC(=O)CN(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000131608965 395925102 /nfs/dbraw/zinc/92/51/02/395925102.db2.gz PVJVGLZKVNNUJO-UHFFFAOYSA-N 0 2 323.378 0.262 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000055996472 395925292 /nfs/dbraw/zinc/92/52/92/395925292.db2.gz URIOFGJLOYTBTH-MRVPVSSYSA-N 0 2 314.305 0.039 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc(-n2cnnn2)c(C)c1 ZINC000132508484 395927537 /nfs/dbraw/zinc/92/75/37/395927537.db2.gz KENAJZVMDMKQPE-UHFFFAOYSA-N 0 2 319.350 0.803 20 0 DCADLN CCO[C@@H]1CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000062305348 395972968 /nfs/dbraw/zinc/97/29/68/395972968.db2.gz GTIHHDPWMLNBFZ-SNVBAGLBSA-N 0 2 318.333 0.665 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1ccc(F)c(F)c1 ZINC000067659870 396031259 /nfs/dbraw/zinc/03/12/59/396031259.db2.gz IMEZOYJNYRUCID-UHFFFAOYSA-N 0 2 303.290 0.954 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1ccc(C(N)=O)o1 ZINC000276949393 396038875 /nfs/dbraw/zinc/03/88/75/396038875.db2.gz BRKUBNNHWIIITM-UHFFFAOYSA-N 0 2 321.255 0.452 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(F)c(NC(C)=O)c2)c1O ZINC000277875179 396092501 /nfs/dbraw/zinc/09/25/01/396092501.db2.gz AAWQPGWIZXYURO-NSHDSACASA-N 0 2 323.280 0.772 20 0 DCADLN Cc1nn(C)c2ncc(S(=O)(=O)Nc3ccnn3C)cc12 ZINC000171153654 396109048 /nfs/dbraw/zinc/10/90/48/396109048.db2.gz ISVBQDMQYRVNMO-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN CN(C1CCCC1)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000176659953 396158770 /nfs/dbraw/zinc/15/87/70/396158770.db2.gz QYPZTJIMTXDYJO-UHFFFAOYSA-N 0 2 324.362 0.175 20 0 DCADLN COc1ccc(CCNC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])cc1OC ZINC000262608885 396161116 /nfs/dbraw/zinc/16/11/16/396161116.db2.gz BHJFBMLFPWDAQE-NSHDSACASA-N 0 2 324.377 0.767 20 0 DCADLN COc1ccc(CCNC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])cc1OC ZINC000262608885 396161117 /nfs/dbraw/zinc/16/11/17/396161117.db2.gz BHJFBMLFPWDAQE-NSHDSACASA-N 0 2 324.377 0.767 20 0 DCADLN O=C(NC[C@@H]1CCCC[C@H]1O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000077157797 396213955 /nfs/dbraw/zinc/21/39/55/396213955.db2.gz MPJMKISPTAWTFC-GZMMTYOYSA-N 0 2 318.333 0.305 20 0 DCADLN CN1CCN(c2cc(N3CCC[C@H](C(=O)[O-])C3)[nH+]cn2)CC1=O ZINC000263409528 396216671 /nfs/dbraw/zinc/21/66/71/396216671.db2.gz LTPVVANTRDZVLZ-NSHDSACASA-N 0 2 319.365 0.056 20 0 DCADLN CN1CCN(c2cc(N3CCC[C@H](C(=O)[O-])C3)nc[nH+]2)CC1=O ZINC000263409528 396216675 /nfs/dbraw/zinc/21/66/75/396216675.db2.gz LTPVVANTRDZVLZ-NSHDSACASA-N 0 2 319.365 0.056 20 0 DCADLN C[C@H](O)CN(C)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185906716 396336477 /nfs/dbraw/zinc/33/64/77/396336477.db2.gz PPDPIUFTEDDRTK-NSHDSACASA-N 0 2 319.365 0.906 20 0 DCADLN C[C@H](CO)N(C)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185874627 396335924 /nfs/dbraw/zinc/33/59/24/396335924.db2.gz MCGKKEDTRRLNPV-LLVKDONJSA-N 0 2 319.365 0.906 20 0 DCADLN CCS[C@H]1CCC[C@@H]1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000187165779 396364544 /nfs/dbraw/zinc/36/45/44/396364544.db2.gz RKHXPFPKAKETNN-YUMQZZPRSA-N 0 2 302.425 0.981 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2CCCCO2)s1 ZINC000269388858 396411008 /nfs/dbraw/zinc/41/10/08/396411008.db2.gz KOYZEPJQRDPTHB-LURJTMIESA-N 0 2 306.369 0.417 20 0 DCADLN NS(=O)(=O)c1ccc(C(=O)N[C@H]2CCCc3cn[nH]c32)o1 ZINC000268965251 396379191 /nfs/dbraw/zinc/37/91/91/396379191.db2.gz KKYLHWNVDVPQHR-QMMMGPOBSA-N 0 2 310.335 0.458 20 0 DCADLN COC(=O)c1c(C)nn(C)c1NS(=O)(=O)c1cccnc1 ZINC000594730279 396502165 /nfs/dbraw/zinc/50/21/65/396502165.db2.gz JDDAWXZXHUWOFF-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2nccc(C)n2)c1 ZINC000581169034 396530923 /nfs/dbraw/zinc/53/09/23/396530923.db2.gz NXHKTZBOOOULIR-UHFFFAOYSA-N 0 2 308.319 0.767 20 0 DCADLN C[C@@H](c1nnnn1C)[N@H+](C)Cc1ccc(OCC(=O)[O-])cc1 ZINC000581494720 396560481 /nfs/dbraw/zinc/56/04/81/396560481.db2.gz ZBLQXTZFGRWCGS-JTQLQIEISA-N 0 2 305.338 0.867 20 0 DCADLN C[C@@H](c1nnnn1C)[N@@H+](C)Cc1ccc(OCC(=O)[O-])cc1 ZINC000581494720 396560484 /nfs/dbraw/zinc/56/04/84/396560484.db2.gz ZBLQXTZFGRWCGS-JTQLQIEISA-N 0 2 305.338 0.867 20 0 DCADLN Cc1nc(NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)nn1C ZINC000293610099 396620974 /nfs/dbraw/zinc/62/09/74/396620974.db2.gz DRNVNXKJDDAOFF-ZETCQYMHSA-N 0 2 307.276 0.765 20 0 DCADLN COc1ccccc1OCCNC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000561148621 396630584 /nfs/dbraw/zinc/63/05/84/396630584.db2.gz LEOCGPBREJUYJD-ZDUSSCGKSA-N 0 2 322.409 0.358 20 0 DCADLN CCOC(=O)c1cc(C[NH2+]C[C@@H]2C[N@@H+](C)CCN2C)[nH]c1C ZINC000295196841 396685279 /nfs/dbraw/zinc/68/52/79/396685279.db2.gz OOJHBTHDUZKFKX-CQSZACIVSA-N 0 2 308.426 0.835 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@@H+]2CCC[C@H]2C(=O)[O-])c1 ZINC000563217892 396715086 /nfs/dbraw/zinc/71/50/86/396715086.db2.gz UEVVYXRRFGMPNJ-LBPRGKRZSA-N 0 2 306.318 0.961 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@H+]2CCC[C@H]2C(=O)[O-])c1 ZINC000563217892 396715091 /nfs/dbraw/zinc/71/50/91/396715091.db2.gz UEVVYXRRFGMPNJ-LBPRGKRZSA-N 0 2 306.318 0.961 20 0 DCADLN Cc1nn(C)c2ncc(C[N@@H+]3CCN4C(=O)[C@H]([NH3+])C[C@H]4C3)cc12 ZINC000563431136 396732906 /nfs/dbraw/zinc/73/29/06/396732906.db2.gz GERGXQGFXFUTRR-GXTWGEPZSA-N 0 2 314.393 0.021 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CNC(=O)C(C)(C)C)s[nH]1 ZINC000634152800 396798084 /nfs/dbraw/zinc/79/80/84/396798084.db2.gz GLZPSPKUWVGGMJ-UHFFFAOYSA-N 0 2 314.411 0.942 20 0 DCADLN COC(=O)c1cncc(NS(=O)(=O)c2cnc(C)n2C)c1 ZINC000600668426 396911951 /nfs/dbraw/zinc/91/19/51/396911951.db2.gz QDBANDGVULQNFU-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN CS[C@@H](CO)[C@@H](C)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597394343 396962107 /nfs/dbraw/zinc/96/21/07/396962107.db2.gz NGVSHCHRCFNOSO-KCJUWKMLSA-N 0 2 323.374 0.872 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)NC(=O)NC(C)(C)C ZINC000591655188 396966084 /nfs/dbraw/zinc/96/60/84/396966084.db2.gz OIFJBMCTYTUMLR-CQSZACIVSA-N 0 2 315.370 0.176 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@H+]1CC(=O)NC(=O)NC(C)(C)C ZINC000591655188 396966090 /nfs/dbraw/zinc/96/60/90/396966090.db2.gz OIFJBMCTYTUMLR-CQSZACIVSA-N 0 2 315.370 0.176 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)OCCCO3)c1O ZINC000618442123 396981040 /nfs/dbraw/zinc/98/10/40/396981040.db2.gz ZIJZEAISXMUBQJ-LBPRGKRZSA-N 0 2 320.301 0.836 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@@H]2CCCC(=O)N2)c1 ZINC000591779498 397001819 /nfs/dbraw/zinc/00/18/19/397001819.db2.gz JCRAPDKJEXHDJI-KPWVOAKYSA-N 0 2 324.402 0.588 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H]1COc2cc(F)ccc2C1 ZINC000618649648 397003802 /nfs/dbraw/zinc/00/38/02/397003802.db2.gz FUMIBLPABXEAFB-VIFPVBQESA-N 0 2 324.337 0.861 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)N[C@@H]1CCOC[C@H]1O ZINC000626300416 397132188 /nfs/dbraw/zinc/13/21/88/397132188.db2.gz DJEPQPZQEMIBMV-GHMZBOCLSA-N 0 2 320.374 0.898 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N[C@@H]2CCOC[C@H]2O)s[nH]1 ZINC000626360160 397145881 /nfs/dbraw/zinc/14/58/81/397145881.db2.gz IVCPEBLEYHCCPG-HTQZYQBOSA-N 0 2 300.384 0.529 20 0 DCADLN COCCOCCOCCS(=O)(=O)Nc1cnoc1C ZINC000573664686 397193002 /nfs/dbraw/zinc/19/30/02/397193002.db2.gz MIWNIPABOMMLQL-UHFFFAOYSA-N 0 2 308.356 0.404 20 0 DCADLN Cn1nc(C2CCOCC2)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000626871808 397268618 /nfs/dbraw/zinc/26/86/18/397268618.db2.gz JUKZJBMYXPOYEK-UHFFFAOYSA-N 0 2 321.341 0.460 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2C[C@@H]3COC[C@@H]3C2)c1 ZINC000601973826 397279042 /nfs/dbraw/zinc/27/90/42/397279042.db2.gz PHZDAHVUWUMNKX-DCVWQXJKSA-N 0 2 309.387 0.908 20 0 DCADLN COC[C@@H](OC)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000613596911 397285391 /nfs/dbraw/zinc/28/53/91/397285391.db2.gz NANRCZWUGBBSQX-GFCCVEGCSA-N 0 2 320.349 0.661 20 0 DCADLN C[C@@H](O)C[N@@H+]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)[C@@H](C)C1 ZINC000613657054 397296782 /nfs/dbraw/zinc/29/67/82/397296782.db2.gz YWTMPLDJVVJDBM-REWJHTLYSA-N 0 2 306.410 0.437 20 0 DCADLN O=C(NC[C@@H]1COC2(CCOCC2)O1)[C@H](F)C(F)(F)F ZINC000365596171 397298503 /nfs/dbraw/zinc/29/85/03/397298503.db2.gz RXTQMKBHULKYCR-SFYZADRCSA-N 0 2 301.236 0.925 20 0 DCADLN O=C(NC[C@@H]1COC2(CCOCC2)O1)C(F)C(F)(F)F ZINC000365596171 397298512 /nfs/dbraw/zinc/29/85/12/397298512.db2.gz RXTQMKBHULKYCR-SFYZADRCSA-N 0 2 301.236 0.925 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCCO[C@H]1CCCCO1 ZINC000614276532 397411913 /nfs/dbraw/zinc/41/19/13/397411913.db2.gz OSXXAQNYQMWGTE-JTQLQIEISA-N 0 2 316.383 0.652 20 0 DCADLN O=C(c1ncnc2[nH]ccc21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614519424 397463352 /nfs/dbraw/zinc/46/33/52/397463352.db2.gz SMFKEGDPWDUWDK-QMMMGPOBSA-N 0 2 313.321 0.753 20 0 DCADLN CO[C@@H]1COC[C@H]1NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000578871958 397496026 /nfs/dbraw/zinc/49/60/26/397496026.db2.gz LBSPUXMSQDIMTC-DGCLKSJQSA-N 0 2 303.318 0.722 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@@H]1CCCS1(=O)=O ZINC000579858090 397596343 /nfs/dbraw/zinc/59/63/43/397596343.db2.gz QQZDJRDZSDQRLT-JTQLQIEISA-N 0 2 322.346 0.693 20 0 DCADLN O=C(NC[C@@H](O)[C@H]1CCOC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000605894324 397673622 /nfs/dbraw/zinc/67/36/22/397673622.db2.gz QCUNYIUFNICHOS-NWDGAFQWSA-N 0 2 319.317 0.157 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000608762792 397754194 /nfs/dbraw/zinc/75/41/94/397754194.db2.gz ZUPGRSMIRPORML-LLVKDONJSA-N 0 2 321.381 0.208 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1CCS(=O)CC1 ZINC000609549381 397781577 /nfs/dbraw/zinc/78/15/77/397781577.db2.gz IFXVTKWUFPKDAO-UHFFFAOYSA-N 0 2 307.331 0.234 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(Cl)c(C(N)=O)c2)cn1 ZINC000047992522 158005304 /nfs/dbraw/zinc/00/53/04/158005304.db2.gz YTBRGQSRPLXKJL-UHFFFAOYSA-N 0 2 314.754 0.973 20 0 DCADLN COCc1nc(C)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)s1 ZINC000089505719 158096804 /nfs/dbraw/zinc/09/68/04/158096804.db2.gz DWEKUHVDCBGCHS-UHFFFAOYSA-N 0 2 311.367 0.372 20 0 DCADLN O=c1[nH]nc(Cn2nnc(-c3ccc(Br)cc3)n2)[nH]1 ZINC000092870055 158116884 /nfs/dbraw/zinc/11/68/84/158116884.db2.gz OOCDPMCEKWGMRS-UHFFFAOYSA-N 0 2 322.126 0.562 20 0 DCADLN Cc1ccc(-c2nc(C(=O)NCc3n[nH]c(=O)[nH]3)cs2)n1C ZINC000119405417 158187500 /nfs/dbraw/zinc/18/75/00/158187500.db2.gz ZCSXHYLOODDWIU-UHFFFAOYSA-N 0 2 318.362 0.798 20 0 DCADLN CCCN(CC(=O)OCC)S(=O)(=O)NCC(F)(F)F ZINC000127671176 158275888 /nfs/dbraw/zinc/27/58/88/158275888.db2.gz QGNFJQYPNCUPMV-UHFFFAOYSA-N 0 2 306.306 0.658 20 0 DCADLN COC(=O)CNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC000131342120 158301986 /nfs/dbraw/zinc/30/19/86/158301986.db2.gz PUYVDSBALBEMQH-CHWSQXEVSA-N 0 2 322.409 0.352 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(-n2ccnn2)cc1 ZINC000137329751 158344923 /nfs/dbraw/zinc/34/49/23/158344923.db2.gz MSYCYGCQMUWXSA-UHFFFAOYSA-N 0 2 300.282 0.000 20 0 DCADLN C[C@H](CNC(=O)NCC[NH+]1CCOCC1(C)C)[N@@H+](C)C1CC1 ZINC000171686892 158385923 /nfs/dbraw/zinc/38/59/23/158385923.db2.gz NMUFMGKGZDCPGF-CYBMUJFWSA-N 0 2 312.458 0.879 20 0 DCADLN O=C(CN1CSCC1=O)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000329208794 159073516 /nfs/dbraw/zinc/07/35/16/159073516.db2.gz YUJZHQXOODAUGF-MRVPVSSYSA-N 0 2 311.367 0.097 20 0 DCADLN O=S(=O)(Nc1cnccc1-n1ccnn1)c1cccnc1 ZINC000377541879 159923937 /nfs/dbraw/zinc/92/39/37/159923937.db2.gz MPZLJJYMYZEBRA-UHFFFAOYSA-N 0 2 302.319 0.858 20 0 DCADLN Cc1nc(C[NH+]2CCC(C(=O)[N-]S(C)(=O)=O)CC2)cs1 ZINC000408334363 160086961 /nfs/dbraw/zinc/08/69/61/160086961.db2.gz ODGRJFJIKJABJO-UHFFFAOYSA-N 0 2 317.436 0.739 20 0 DCADLN O=C(Nc1ccc2n[nH]nc2c1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000153281143 287048804 /nfs/dbraw/zinc/04/88/04/287048804.db2.gz IBZKWDRLYDCIDX-UHFFFAOYSA-N 0 2 323.272 0.135 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@H](C)[N@H+](C)C[C@H]2CCN(C(C)=O)C2)CC1 ZINC000246807174 287088152 /nfs/dbraw/zinc/08/81/52/287088152.db2.gz PTKPAAXRCJVMEJ-GOEBONIOSA-N 0 2 324.469 0.339 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NC[C@H]1CCC[N@H+](C)C1)[NH+]1CCOCC1 ZINC000247111019 287091432 /nfs/dbraw/zinc/09/14/32/287091432.db2.gz KPCQATXUACCXOE-RRFJBIMHSA-N 0 2 312.458 0.737 20 0 DCADLN O=C(CN1CCS(=O)(=O)CC1)NOCc1ccc(F)cc1 ZINC000273316972 287134458 /nfs/dbraw/zinc/13/44/58/287134458.db2.gz QDTLSRYZMZCQOK-UHFFFAOYSA-N 0 2 316.354 0.104 20 0 DCADLN CCCOc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000355993909 287191001 /nfs/dbraw/zinc/19/10/01/287191001.db2.gz ISPXDSKBHKUZSE-UHFFFAOYSA-N 0 2 319.321 0.554 20 0 DCADLN CC(=O)N1CC[C@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000358390279 287207881 /nfs/dbraw/zinc/20/78/81/287207881.db2.gz XFRUQWIVNRIJOW-VIFPVBQESA-N 0 2 303.322 0.025 20 0 DCADLN CN(C(=O)c1ccc(Cn2cc[nH+]c2)cc1)[C@H]1COC[C@H]1O ZINC000342517799 415197993 /nfs/dbraw/zinc/19/79/93/415197993.db2.gz XVXSDXTZDJZVGD-LSDHHAIUSA-N 0 2 301.346 0.763 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cc(C3CC3)n[nH]2)cn1C ZINC000268451158 415215512 /nfs/dbraw/zinc/21/55/12/415215512.db2.gz ARYAFYWTKZTHNW-UHFFFAOYSA-N 0 2 323.378 0.786 20 0 DCADLN CC(C)(C)N1C[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)CC1=O ZINC000332342694 415356418 /nfs/dbraw/zinc/35/64/18/415356418.db2.gz SSCVFNSHWAZUPY-SECBINFHSA-N 0 2 309.370 0.206 20 0 DCADLN O=C(NCCc1cccnc1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000051082411 415312372 /nfs/dbraw/zinc/31/23/72/415312372.db2.gz OTUPYEAJXKNJIS-UHFFFAOYSA-N 0 2 311.301 0.391 20 0 DCADLN Cc1cc(C(=O)N(CCO)C2CCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000276071676 415422480 /nfs/dbraw/zinc/42/24/80/415422480.db2.gz UDJURAXDRXNZRY-UHFFFAOYSA-N 0 2 318.333 0.731 20 0 DCADLN O=C(NCC1(O)CCCCC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000075210857 415442394 /nfs/dbraw/zinc/44/23/94/415442394.db2.gz OIFGCMIUTKHSBU-UHFFFAOYSA-N 0 2 318.333 0.449 20 0 DCADLN CO[C@@H](C)[C@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000276174903 415443684 /nfs/dbraw/zinc/44/36/84/415443684.db2.gz WEEFEHQTTYSEAJ-YUMQZZPRSA-N 0 2 306.322 0.898 20 0 DCADLN Cc1cscc1CNc1nc(NCCO)[nH+]c(NC(C)C)n1 ZINC000343098884 415447089 /nfs/dbraw/zinc/44/70/89/415447089.db2.gz MIFMWUDAICZMQO-UHFFFAOYSA-N 0 2 322.438 0.344 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2cccnc2C)cn1 ZINC000343513859 415621580 /nfs/dbraw/zinc/62/15/80/415621580.db2.gz OJUQYINZPBPBQT-UHFFFAOYSA-N 0 2 310.335 0.560 20 0 DCADLN NC(=O)NCc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000343548086 415631902 /nfs/dbraw/zinc/63/19/02/415631902.db2.gz BXUUQXAIMHJNCB-UHFFFAOYSA-N 0 2 318.337 0.041 20 0 DCADLN COC(=O)c1c(C)[nH]c(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1C ZINC000343573755 415642419 /nfs/dbraw/zinc/64/24/19/415642419.db2.gz PKJUWCMQKKNRAM-UHFFFAOYSA-N 0 2 321.337 0.604 20 0 DCADLN CSc1n[nH]c(NC(=O)c2coc(S(N)(=O)=O)c2)n1 ZINC000343371500 415577285 /nfs/dbraw/zinc/57/72/85/415577285.db2.gz OKWRSDRIOUQPOF-UHFFFAOYSA-N 0 2 303.325 0.019 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)CC(=O)NC2CC2)c1 ZINC000108061275 415674769 /nfs/dbraw/zinc/67/47/69/415674769.db2.gz RLVAORCRGJBMNR-QFIPXVFZSA-N 0 2 324.402 0.540 20 0 DCADLN CS(=O)(=O)N1CCCN(c2cc(NC3CC3)[nH+]cn2)CC1 ZINC000334026592 415787446 /nfs/dbraw/zinc/78/74/46/415787446.db2.gz HPIBVHBWYHQILI-UHFFFAOYSA-N 0 2 311.411 0.523 20 0 DCADLN C[C@@H](CS(C)(=O)=O)NC(=O)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000334032370 415789249 /nfs/dbraw/zinc/78/92/49/415789249.db2.gz LFYZTSFXRVJEIP-QWRGUYRKSA-N 0 2 314.411 0.732 20 0 DCADLN COC(=O)C[C@H](C)N1CCN(C(=O)c2c[nH]cc(C)c2=O)CC1 ZINC000334032251 415789707 /nfs/dbraw/zinc/78/97/07/415789707.db2.gz GPRNHZGHXLGGOS-LBPRGKRZSA-N 0 2 321.377 0.393 20 0 DCADLN CCOC(=O)CC(C)(C)CC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000354334094 415792589 /nfs/dbraw/zinc/79/25/89/415792589.db2.gz YRXGMQADRMPPGL-UHFFFAOYSA-N 0 2 312.370 0.929 20 0 DCADLN Cc1nnnn1CC(=O)C1(NC(=O)C(F)(F)F)CCCCC1 ZINC000295999681 415903966 /nfs/dbraw/zinc/90/39/66/415903966.db2.gz MPBMUXCRCXNZNV-UHFFFAOYSA-N 0 2 319.287 0.932 20 0 DCADLN CN1CC[NH+]([C@@H](C(=O)[N-]OC(C)(C)CO)c2ccccc2)CC1 ZINC000297183890 415956423 /nfs/dbraw/zinc/95/64/23/415956423.db2.gz LGHRLVFTNVQNKC-OAHLLOKOSA-N 0 2 321.421 0.794 20 0 DCADLN CCc1cnc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)s1 ZINC000173054422 416052054 /nfs/dbraw/zinc/05/20/54/416052054.db2.gz ZCJNGEBNVIKUQD-UHFFFAOYSA-N 0 2 317.330 0.883 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000298916500 416038505 /nfs/dbraw/zinc/03/85/05/416038505.db2.gz GGXGHFNADAZIHQ-NTSWFWBYSA-N 0 2 306.369 0.273 20 0 DCADLN O=C(CCn1ccnn1)N=c1nc(-c2cccnc2)[nH]s1 ZINC000338402620 416091601 /nfs/dbraw/zinc/09/16/01/416091601.db2.gz UYTWYWCWOMABNZ-UHFFFAOYSA-N 0 2 301.335 0.642 20 0 DCADLN CC(=O)NCCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000175249006 416100150 /nfs/dbraw/zinc/10/01/50/416100150.db2.gz FRBBWWIBGLKWIT-UHFFFAOYSA-N 0 2 302.334 0.834 20 0 DCADLN C[C@@H]1CCCN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000175324111 416102622 /nfs/dbraw/zinc/10/26/22/416102622.db2.gz VRVXXPYBBFVDON-MRVPVSSYSA-N 0 2 324.362 0.032 20 0 DCADLN CC(C)c1nnc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cn21 ZINC000338472315 416102703 /nfs/dbraw/zinc/10/27/03/416102703.db2.gz UKQCANVVPOKYIB-UHFFFAOYSA-N 0 2 316.325 0.998 20 0 DCADLN CC(C)(C)OC(=O)c1cnc(C(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC000338574173 416112128 /nfs/dbraw/zinc/11/21/28/416112128.db2.gz VCOVMRQBKGSKHX-UHFFFAOYSA-N 0 2 320.309 0.186 20 0 DCADLN CS(=O)(=O)c1cccc(S(=O)(=O)Nc2cccnn2)c1 ZINC000345699088 416135191 /nfs/dbraw/zinc/13/51/91/416135191.db2.gz UCTGYOYYOFFQPY-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN C[C@@H]1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@H]1CO ZINC000358342906 416224788 /nfs/dbraw/zinc/22/47/88/416224788.db2.gz VARJASZJXVGCGH-SKDRFNHKSA-N 0 2 304.350 0.907 20 0 DCADLN CC(C)COC[C@H](O)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358377334 416230879 /nfs/dbraw/zinc/23/08/79/416230879.db2.gz AKZNTTYGEOBZGR-SNVBAGLBSA-N 0 2 322.365 0.437 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCc1cc(C(N)=O)co1)c2=O ZINC000190755200 416281194 /nfs/dbraw/zinc/28/11/94/416281194.db2.gz PWBUFWDAIOBRGE-UHFFFAOYSA-N 0 2 315.289 0.296 20 0 DCADLN COCCOC[C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000359348634 416342942 /nfs/dbraw/zinc/34/29/42/416342942.db2.gz OQRJDPKUWWZMTK-QMMMGPOBSA-N 0 2 322.321 0.217 20 0 DCADLN C[C@@H](NC(=O)C1CCCC1)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000359522789 416349413 /nfs/dbraw/zinc/34/94/13/416349413.db2.gz LPXQCPHZTDQUTL-SECBINFHSA-N 0 2 309.370 0.254 20 0 DCADLN O=C(CN1Cc2ccccc2C1=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000359526970 416349517 /nfs/dbraw/zinc/34/95/17/416349517.db2.gz RXAPHCSRNZAORH-UHFFFAOYSA-N 0 2 315.333 0.215 20 0 DCADLN O=S(=O)(NCCCOC1CCOCC1)NCC(F)(F)F ZINC000195301697 416325786 /nfs/dbraw/zinc/32/57/86/416325786.db2.gz FFERKOFBHOOHNS-UHFFFAOYSA-N 0 2 320.333 0.558 20 0 DCADLN CCOC(=O)[C@@H](C)[C@H](C)NS(=O)(=O)NCC(F)(F)F ZINC000195451339 416326536 /nfs/dbraw/zinc/32/65/36/416326536.db2.gz SMIBPDNKSQORHJ-BQBZGAKWSA-N 0 2 306.306 0.560 20 0 DCADLN COC(=O)[C@H]1C[C@H](C)CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000360546887 416395844 /nfs/dbraw/zinc/39/58/44/416395844.db2.gz WZCOQOFWPKFSJS-ZYHUDNBSSA-N 0 2 304.350 0.796 20 0 DCADLN C[C@H](CNC(=O)N=c1nc(C(C)(C)C)[nH]s1)S(C)(=O)=O ZINC000533137969 416476782 /nfs/dbraw/zinc/47/67/82/416476782.db2.gz KBQFYYQXJFIWMY-SSDOTTSWSA-N 0 2 320.440 0.812 20 0 DCADLN CN1CCC[C@H](NC(=O)N=c2nc(C(C)(C)C)[nH]s2)C1=O ZINC000361720188 416482756 /nfs/dbraw/zinc/48/27/56/416482756.db2.gz IJXDMNYRXRFTQI-QMMMGPOBSA-N 0 2 311.411 1.000 20 0 DCADLN Cc1cc(C(=O)N2CCO[C@@H](C)[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000361446425 416436948 /nfs/dbraw/zinc/43/69/48/416436948.db2.gz SHVVBDOHHLBZTO-IUCAKERBSA-N 0 2 318.333 0.994 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(C(F)(F)F)nn1 ZINC000471966516 416552727 /nfs/dbraw/zinc/55/27/27/416552727.db2.gz WKVZBKGDYIACQL-UHFFFAOYSA-N 0 2 303.204 0.641 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccccc2C2N=NC(=O)O2)cn1 ZINC000436478804 416560442 /nfs/dbraw/zinc/56/04/42/416560442.db2.gz RFJUQAOYUAIPEN-UHFFFAOYSA-N 0 2 321.318 0.977 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2cccs2)n1 ZINC000437316856 416581816 /nfs/dbraw/zinc/58/18/16/416581816.db2.gz FVLJEXMPLULDTQ-UHFFFAOYSA-N 0 2 302.337 0.313 20 0 DCADLN CC[C@H](C)[C@](C)(O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000439504537 416616283 /nfs/dbraw/zinc/61/62/83/416616283.db2.gz LSJZGJYRCCBEOF-VXJOIVPMSA-N 0 2 320.349 0.963 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)c2ccsc2)n1 ZINC000424222021 416629396 /nfs/dbraw/zinc/62/93/96/416629396.db2.gz LRYMJIRAAFPMMZ-UHFFFAOYSA-N 0 2 316.364 0.704 20 0 DCADLN CC(C)S(=O)(=O)CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000516869506 416750646 /nfs/dbraw/zinc/75/06/46/416750646.db2.gz JVKHDMDOJWWZEH-SECBINFHSA-N 0 2 316.383 0.040 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H](CO)c1cccc(F)c1 ZINC000442958395 416722290 /nfs/dbraw/zinc/72/22/90/416722290.db2.gz RNCZPKDDTIKYGE-VIFPVBQESA-N 0 2 316.276 0.845 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@H]2COCC[C@H]21 ZINC000443047177 416727283 /nfs/dbraw/zinc/72/72/83/416727283.db2.gz LECCASBYROLQFO-DTWKUNHWSA-N 0 2 302.318 0.884 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC[C@H]2COCC[C@@H]21 ZINC000443047178 416727555 /nfs/dbraw/zinc/72/75/55/416727555.db2.gz LECCASBYROLQFO-IUCAKERBSA-N 0 2 302.318 0.884 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H](CCO)C1CCOCC1 ZINC000443049271 416728289 /nfs/dbraw/zinc/72/82/89/416728289.db2.gz XYEHFOYMWAXGMC-VIFPVBQESA-N 0 2 320.333 0.150 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)Nc3ncn(C)n3)=N2)cc1 ZINC000558351882 416765274 /nfs/dbraw/zinc/76/52/74/416765274.db2.gz GKVYSYHZVCCYFQ-UHFFFAOYSA-N 0 2 314.305 0.967 20 0 DCADLN CNC(=O)c1cccc(NS(=O)(=O)c2c(N)noc2C)c1 ZINC000559693293 416821260 /nfs/dbraw/zinc/82/12/60/416821260.db2.gz NMMWJJYEKGTHDL-UHFFFAOYSA-N 0 2 310.335 0.726 20 0 DCADLN COc1ccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)c(F)c1 ZINC000444744927 416866323 /nfs/dbraw/zinc/86/63/23/416866323.db2.gz OSQQLYCPRRKXTO-UHFFFAOYSA-N 0 2 308.313 0.950 20 0 DCADLN COc1ccc(OC)c(S(=O)(=O)Nc2nc(C)n(C)n2)c1 ZINC000427646645 416835256 /nfs/dbraw/zinc/83/52/56/416835256.db2.gz JAVYQVQZDULYQZ-UHFFFAOYSA-N 0 2 312.351 0.942 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NCC(C)(F)F)s[nH]1 ZINC000560321763 416841504 /nfs/dbraw/zinc/84/15/04/416841504.db2.gz JYRVXSYEVFASPM-UHFFFAOYSA-N 0 2 306.338 0.967 20 0 DCADLN CC(C)NS(=O)(=O)NCCNc1nc(C2CC2)ns1 ZINC000428657369 416916159 /nfs/dbraw/zinc/91/61/59/416916159.db2.gz MWRUMUKTQYVUSB-UHFFFAOYSA-N 0 2 305.429 0.082 20 0 DCADLN CS(=O)(=O)c1cccc(S(=O)(=O)Nc2cnoc2)c1 ZINC000623946569 416925352 /nfs/dbraw/zinc/92/53/52/416925352.db2.gz UHQNFZRTWFJHIE-UHFFFAOYSA-N 0 2 302.333 0.879 20 0 DCADLN CSc1n[nH]c(NC(=O)c2cc(S(N)(=O)=O)c(C)o2)n1 ZINC000446962079 416955026 /nfs/dbraw/zinc/95/50/26/416955026.db2.gz HDHQKHZQCMLXEV-UHFFFAOYSA-N 0 2 317.352 0.328 20 0 DCADLN COc1ccccc1CCNC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+] ZINC000521840905 417029034 /nfs/dbraw/zinc/02/90/34/417029034.db2.gz KKZVLEQPLDVJJK-CQSZACIVSA-N 0 2 306.410 0.522 20 0 DCADLN CN(C)S(=O)(=O)CCNC(=O)c1c(O)cc(F)cc1F ZINC000643059101 417150115 /nfs/dbraw/zinc/15/01/15/417150115.db2.gz AEEHKWJRECEKEM-UHFFFAOYSA-N 0 2 308.306 0.292 20 0 DCADLN Cc1nc(SCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n[nH]1 ZINC000569225679 417227825 /nfs/dbraw/zinc/22/78/25/417227825.db2.gz PHGDLPHPZSBMIU-MRVPVSSYSA-N 0 2 323.382 0.435 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@@]2(CCOC2)O1 ZINC000570379014 417345700 /nfs/dbraw/zinc/34/57/00/417345700.db2.gz ZQAMJYPEBCCMPM-IAQYHMDHSA-N 0 2 304.350 0.402 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C[C@@]2(CCOC2)O1 ZINC000570379014 417345707 /nfs/dbraw/zinc/34/57/07/417345707.db2.gz ZQAMJYPEBCCMPM-IAQYHMDHSA-N 0 2 304.350 0.402 20 0 DCADLN CO[C@H]1COCC[C@@H]1CNS(=O)(=O)NCC(F)(F)F ZINC000451795377 417401225 /nfs/dbraw/zinc/40/12/25/417401225.db2.gz ZDOOAEGGLXERNN-SFYZADRCSA-N 0 2 306.306 0.024 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1NS(=O)(=O)NCC(F)(F)F ZINC000451835914 417404535 /nfs/dbraw/zinc/40/45/35/417404535.db2.gz GLLDUVGLWXIJHU-SFYZADRCSA-N 0 2 318.317 0.705 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)CCC(F)(F)F ZINC000570574340 417367998 /nfs/dbraw/zinc/36/79/98/417367998.db2.gz GTQBWKOWNQAMGL-ZETCQYMHSA-N 0 2 315.273 0.277 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)CCC(F)(F)F ZINC000570574340 417368005 /nfs/dbraw/zinc/36/80/05/417368005.db2.gz GTQBWKOWNQAMGL-ZETCQYMHSA-N 0 2 315.273 0.277 20 0 DCADLN Cn1cnc(CNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)n1 ZINC000622477794 417450409 /nfs/dbraw/zinc/45/04/09/417450409.db2.gz LTQJOXWPMPHLEL-UHFFFAOYSA-N 0 2 300.278 0.088 20 0 DCADLN Cc1[nH]nc2ncc(C[N@H+]3CCN4C(=O)[C@@H]([NH3+])C[C@@H]4C3)cc12 ZINC000575430670 417537746 /nfs/dbraw/zinc/53/77/46/417537746.db2.gz GCEXQYRCQYVKKB-YPMHNXCESA-N 0 2 300.366 0.010 20 0 DCADLN CC[C@@H](NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C)C(=O)[O-] ZINC000575038540 417502480 /nfs/dbraw/zinc/50/24/80/417502480.db2.gz ICHZDKVZTYZAEY-SNVBAGLBSA-N 0 2 318.333 0.800 20 0 DCADLN CC(C)OC(=O)[C@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000456608487 417657190 /nfs/dbraw/zinc/65/71/90/417657190.db2.gz CPPMWPBPPVQJNU-QMMMGPOBSA-N 0 2 306.322 0.744 20 0 DCADLN Cc1csc(CC2(O)CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC000639810463 417744020 /nfs/dbraw/zinc/74/40/20/417744020.db2.gz VBDSKOKWDFTXNV-UHFFFAOYSA-N 0 2 309.395 0.845 20 0 DCADLN CCC[C@H](C)[C@H](CO)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651963856 417825338 /nfs/dbraw/zinc/82/53/38/417825338.db2.gz VKLPUNOUICJJQK-QWRGUYRKSA-N 0 2 311.386 0.133 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N[C@@H]2C[C@H]3CC[C@@H]2O3)s[nH]1 ZINC000652011793 417831786 /nfs/dbraw/zinc/83/17/86/417831786.db2.gz RHMVJZBRPIKNEI-HLTSFMKQSA-N 0 2 324.406 0.632 20 0 DCADLN COc1cc(NS(=O)(=O)c2cnnn2C)cc(OC)c1C ZINC000629303422 417775680 /nfs/dbraw/zinc/77/56/80/417775680.db2.gz JHYCIVXGTKNOCH-UHFFFAOYSA-N 0 2 312.351 0.942 20 0 DCADLN CNS(=O)(=O)CCCNC(=O)c1cc(F)c(O)c(F)c1 ZINC000629341826 417783621 /nfs/dbraw/zinc/78/36/21/417783621.db2.gz IKEPWRCGSHZKHX-UHFFFAOYSA-N 0 2 308.306 0.340 20 0 DCADLN CCOC1CC(N(C)C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651804859 417788928 /nfs/dbraw/zinc/78/89/28/417788928.db2.gz XQYAOBPZQSVVMP-UHFFFAOYSA-N 0 2 309.370 0.245 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@]2(O)CCCC2(C)C)[nH]1 ZINC000651823801 417789501 /nfs/dbraw/zinc/78/95/01/417789501.db2.gz XFESGTMVZXHVSX-HNNXBMFYSA-N 0 2 323.397 0.277 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)cc(C)n1 ZINC000651854706 417799260 /nfs/dbraw/zinc/79/92/60/417799260.db2.gz XDUWQDFNHQYHMN-UHFFFAOYSA-N 0 2 316.365 0.548 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC(C)(CC(F)F)C2)[nH]1 ZINC000651868224 417804810 /nfs/dbraw/zinc/80/48/10/417804810.db2.gz ISJYQOJVDPVTBO-UHFFFAOYSA-N 0 2 315.324 0.723 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cc(C)oc2C)[nH]1 ZINC000657104989 417811429 /nfs/dbraw/zinc/81/14/29/417811429.db2.gz WKRJBYOASHHMSA-UHFFFAOYSA-N 0 2 300.340 0.639 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(C)c(F)c2)[nH]1 ZINC000657104461 417812426 /nfs/dbraw/zinc/81/24/26/417812426.db2.gz QENYQPXYCVJOAS-UHFFFAOYSA-N 0 2 314.342 0.877 20 0 DCADLN COCc1nnc(CNS(=O)(=O)CC23CCC(CC2)C3)[nH]1 ZINC000657105767 417813431 /nfs/dbraw/zinc/81/34/31/417813431.db2.gz ZDBYSRBOBCBGOR-UHFFFAOYSA-N 0 2 314.411 0.951 20 0 DCADLN Cc1sc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)nc1C(C)C ZINC000657771479 417902847 /nfs/dbraw/zinc/90/28/47/417902847.db2.gz JFPPJCJUVGUISG-UHFFFAOYSA-N 0 2 324.366 0.654 20 0 DCADLN O=C([O-])C[C@H]1COCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000652068577 417842556 /nfs/dbraw/zinc/84/25/56/417842556.db2.gz HORIIZBXHBGSRF-LBPRGKRZSA-N 0 2 307.350 0.464 20 0 DCADLN Cn1ncc2c1nc(N1C[C@@H](C(=O)[O-])[C@H](C3CC3)C1)[nH+]c2N ZINC000652537279 417929032 /nfs/dbraw/zinc/92/90/32/417929032.db2.gz BVMQWUYENKWOLS-VHSXEESVSA-N 0 2 302.338 0.493 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)N2CC[C@@H]3C[N@H+](C)C[C@H]32)cn1 ZINC000646934926 417956338 /nfs/dbraw/zinc/95/63/38/417956338.db2.gz XWSSKOVKGOGMMH-ZWNOBZJWSA-N 0 2 300.366 0.586 20 0 DCADLN CN(C1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1)S(C)(=O)=O ZINC000647449007 418010726 /nfs/dbraw/zinc/01/07/26/418010726.db2.gz KQYABZRXZFVBPG-UHFFFAOYSA-N 0 2 313.379 0.640 20 0 DCADLN Cn1cc(C[NH+]2CCN(C(=O)[C@@H](C(=O)[O-])C(C)(C)C)CC2)cn1 ZINC000663063552 417984594 /nfs/dbraw/zinc/98/45/94/417984594.db2.gz XFUREWDJFBXACF-ZDUSSCGKSA-N 0 2 322.409 0.811 20 0 DCADLN CC(C)(C)[C@@H](C(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000663101128 417989496 /nfs/dbraw/zinc/98/94/96/417989496.db2.gz IBODPSYKIIVACG-LLVKDONJSA-N 0 2 300.399 0.960 20 0 DCADLN CC(C)(C)[C@@H](C(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000663101128 417989499 /nfs/dbraw/zinc/98/94/99/417989499.db2.gz IBODPSYKIIVACG-LLVKDONJSA-N 0 2 300.399 0.960 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)[C@H](C(=O)[O-])C(C)(C)C)[C@@H](C)CO1 ZINC000663127699 417993985 /nfs/dbraw/zinc/99/39/85/417993985.db2.gz ZTAGOCNEEWKFTB-QJPTWQEYSA-N 0 2 300.399 0.959 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)[C@H](C(=O)[O-])C(C)(C)C)[C@@H](C)CO1 ZINC000663127699 417993990 /nfs/dbraw/zinc/99/39/90/417993990.db2.gz ZTAGOCNEEWKFTB-QJPTWQEYSA-N 0 2 300.399 0.959 20 0 DCADLN O=C([O-])[C@@]1(NC(=O)c2ccc(Cn3cc[nH+]c3)cc2)CCOC1 ZINC000663130280 417994638 /nfs/dbraw/zinc/99/46/38/417994638.db2.gz HNEVQEIHTWKXJL-MRXNPFEDSA-N 0 2 315.329 0.905 20 0 DCADLN CCN1CC[C@H]([N@@H+]2CC[C@@H](N3CC[NH+](C)CC3)[C@H](C)C2)C1=O ZINC000660152949 418238539 /nfs/dbraw/zinc/23/85/39/418238539.db2.gz DGYLFPCUOCPXOS-OAGGEKHMSA-N 0 2 308.470 0.565 20 0 DCADLN Cc1c[nH+]c(CCNC(=O)c2cnc([O-])n(C)c2=O)c(C)c1 ZINC000655250132 418243854 /nfs/dbraw/zinc/24/38/54/418243854.db2.gz WMZYQYBJXRNHAO-UHFFFAOYSA-N 0 2 302.334 0.470 20 0 DCADLN CO[C@@H]1C[C@H](CC(=O)[O-])N(c2cc(NC[C@H](C)O)[nH+]cn2)C1 ZINC000649406821 418250779 /nfs/dbraw/zinc/25/07/79/418250779.db2.gz REPQZKKGSWYGFS-HBNTYKKESA-N 0 2 310.354 0.338 20 0 DCADLN CO[C@@H]1C[C@H](CC(=O)[O-])N(c2cc(NC[C@H](C)O)nc[nH+]2)C1 ZINC000649406821 418250781 /nfs/dbraw/zinc/25/07/81/418250781.db2.gz REPQZKKGSWYGFS-HBNTYKKESA-N 0 2 310.354 0.338 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(c2nc(C(C)C)[nH+]c(N(C)C)n2)C1 ZINC000649402404 418251377 /nfs/dbraw/zinc/25/13/77/418251377.db2.gz RHDGZUIERCNTTB-OAHLLOKOSA-N 0 2 323.397 0.989 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(c2nc(N(C)C)nc(C(C)C)[nH+]2)C1 ZINC000649402404 418251379 /nfs/dbraw/zinc/25/13/79/418251379.db2.gz RHDGZUIERCNTTB-OAHLLOKOSA-N 0 2 323.397 0.989 20 0 DCADLN CC1(C)C[C@]1(C)NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000660048128 418224357 /nfs/dbraw/zinc/22/43/57/418224357.db2.gz KUZBNDONCMZUEZ-ZDUSSCGKSA-N 0 2 324.362 0.903 20 0 DCADLN Cc1cn2c([nH+]1)CN(C(=O)C(=O)NC[C@@H](C(=O)[O-])C(C)C)CC2 ZINC000656009191 418316845 /nfs/dbraw/zinc/31/68/45/418316845.db2.gz USKKEHMIXXGILF-LLVKDONJSA-N 0 2 322.365 0.007 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1ccc(C(=O)[O-])cn1)[NH+]1CCOCC1 ZINC000649465235 418255706 /nfs/dbraw/zinc/25/57/06/418255706.db2.gz WKBCCNSBVRXDJV-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN Cn1nccc1[C@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(=O)[O-] ZINC000655547035 418274120 /nfs/dbraw/zinc/27/41/20/418274120.db2.gz GSFFNQMEAILXLM-CABZTGNLSA-N 0 2 303.322 0.121 20 0 DCADLN CCCn1c(=O)[nH]nc1S(=O)(=O)[C@H](C)c1n[nH]c(CC)n1 ZINC000656554093 418362894 /nfs/dbraw/zinc/36/28/94/418362894.db2.gz DJIQDSHTGTYBSZ-SSDOTTSWSA-N 0 2 314.371 0.609 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H](C[C@H]1CCCO1)C(F)(F)F ZINC000651431583 418376667 /nfs/dbraw/zinc/37/66/67/418376667.db2.gz LVOPHHAJAHRNNV-RQJHMYQMSA-N 0 2 308.260 0.874 20 0 DCADLN Cc1ccc(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)cc1 ZINC000651493676 418384531 /nfs/dbraw/zinc/38/45/31/418384531.db2.gz GOTDNGCMWILATK-UHFFFAOYSA-N 0 2 301.350 0.844 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N(C)Cc2cccc(O)c2)[nH]1 ZINC000651520817 418387482 /nfs/dbraw/zinc/38/74/82/418387482.db2.gz SAYLCLNFEFGSNC-UHFFFAOYSA-N 0 2 317.349 0.584 20 0 DCADLN Cc1cccc(N2CC[NH+]([C@@H]3CCN(CC(=O)[O-])C3=O)CC2)c1 ZINC000662203527 418388086 /nfs/dbraw/zinc/38/80/86/418388086.db2.gz FHCJWDGTCMIXPY-OAHLLOKOSA-N 0 2 317.389 0.803 20 0 DCADLN CC(C)C[C@@H]1COCCN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651569534 418391728 /nfs/dbraw/zinc/39/17/28/418391728.db2.gz AXNYXTRGZOEOAJ-GFCCVEGCSA-N 0 2 323.397 0.493 20 0 DCADLN CO[C@@H](C)CN(C(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C(C)C ZINC000651717756 418406371 /nfs/dbraw/zinc/40/63/71/418406371.db2.gz RHHTVPNOOHDKCR-NSHDSACASA-N 0 2 311.386 0.491 20 0 DCADLN CO[C@@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)[C@H](C)C1 ZINC000651771727 418409398 /nfs/dbraw/zinc/40/93/98/418409398.db2.gz LYUBOZXDGOHSRD-GHMZBOCLSA-N 0 2 309.370 0.245 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCc3ncsc3C2)[nH]1 ZINC000651686812 418404388 /nfs/dbraw/zinc/40/43/88/418404388.db2.gz MJFHTKRHPDUWMO-UHFFFAOYSA-N 0 2 320.378 0.261 20 0 DCADLN Cc1nn(C)c2ncc(S(=O)(=O)Nc3cccnn3)cc12 ZINC000191037869 261136337 /nfs/dbraw/zinc/13/63/37/261136337.db2.gz QATARLAFLDITCH-UHFFFAOYSA-N 0 2 304.335 0.868 20 0 DCADLN Cn1c2ccc(S(=O)(=O)Nc3cccnn3)cc2oc1=O ZINC000191043683 261136476 /nfs/dbraw/zinc/13/64/76/261136476.db2.gz LUBCVBWMRAHEBP-UHFFFAOYSA-N 0 2 306.303 0.722 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C/c1ccnn1C ZINC000193983945 261143825 /nfs/dbraw/zinc/14/38/25/261143825.db2.gz PYDRIWYXXDRTEG-AATRIKPKSA-N 0 2 323.378 0.289 20 0 DCADLN COc1ccccc1C(=O)NCC(=O)NOC[C@@H]1CCOC1 ZINC000276359585 261170535 /nfs/dbraw/zinc/17/05/35/261170535.db2.gz YHCUSHOYZFGVMP-LLVKDONJSA-N 0 2 308.334 0.509 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)NCc1n[nH]c(=O)[nH]1 ZINC000354360531 261208723 /nfs/dbraw/zinc/20/87/23/261208723.db2.gz CCXIRVOCGMEWSL-UHFFFAOYSA-N 0 2 317.334 0.772 20 0 DCADLN CSC[C@](C)(O)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355611746 261353557 /nfs/dbraw/zinc/35/35/57/261353557.db2.gz UBQDRGFYAVZTBN-CYBMUJFWSA-N 0 2 310.379 0.518 20 0 DCADLN CSc1ccc(C)c(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000355985009 261381949 /nfs/dbraw/zinc/38/19/49/261381949.db2.gz YMVGNXMXRBAKGU-UHFFFAOYSA-N 0 2 321.362 0.796 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)O1 ZINC000356013639 261383082 /nfs/dbraw/zinc/38/30/82/261383082.db2.gz ZTJLMUGLOHGMKO-VHSXEESVSA-N 0 2 317.305 0.149 20 0 DCADLN CCCS(=O)(=O)Nc1nccc(N2CCn3cnnc3C2)n1 ZINC000360799510 261877443 /nfs/dbraw/zinc/87/74/43/261877443.db2.gz IIYVWJULNMYTPI-UHFFFAOYSA-N 0 2 323.382 0.240 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000420531934 262375495 /nfs/dbraw/zinc/37/54/95/262375495.db2.gz HARFKWIAHPHGHU-UHFFFAOYSA-N 0 2 322.365 0.323 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1cccc(C(N)=O)c1OC)OC ZINC000421160753 262391471 /nfs/dbraw/zinc/39/14/71/262391471.db2.gz VUMWHVYFTNOPSD-VIFPVBQESA-N 0 2 316.379 0.961 20 0 DCADLN O=C(NC[C@@H](O)C(F)(F)F)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000359497469 271121586 /nfs/dbraw/zinc/12/15/86/271121586.db2.gz BCPVLHAZIPCUHC-MRVPVSSYSA-N 0 2 317.223 0.683 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)N1CCCC1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000443519960 271713855 /nfs/dbraw/zinc/71/38/55/271713855.db2.gz PTJUUZOAJOAWDN-ONGXEEELSA-N 0 2 324.385 0.347 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)c1ccccn1 ZINC000492434218 272129687 /nfs/dbraw/zinc/12/96/87/272129687.db2.gz CXUZAHFYFACTJE-SREVYHEPSA-N 0 2 306.347 0.816 20 0 DCADLN O=C(/C=C/c1cscn1)NS(=O)(=O)c1cnc2n1CCC2 ZINC000492758383 272147936 /nfs/dbraw/zinc/14/79/36/272147936.db2.gz ADZFJIFWYGMYSZ-ONEGZZNKSA-N 0 2 324.387 0.804 20 0 DCADLN Cc1ncc(S(=O)(=O)NC(=O)/C=C/c2ccncn2)s1 ZINC000493256168 272178225 /nfs/dbraw/zinc/17/82/25/272178225.db2.gz NZEGYPKDUOBNAC-NSCUHMNNSA-N 0 2 310.360 0.760 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C\C1CCOCC1 ZINC000493283897 272179365 /nfs/dbraw/zinc/17/93/65/272179365.db2.gz HWRSSCHVIOCEBJ-ARJAWSKDSA-N 0 2 313.379 0.516 20 0 DCADLN COC(=O)N(C)CC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000495824686 272275306 /nfs/dbraw/zinc/27/53/06/272275306.db2.gz JRWARCODVHTWAO-UHFFFAOYSA-N 0 2 305.294 0.814 20 0 DCADLN COC(=O)CCCONC(=O)CN(C)C(=O)OC(C)(C)C ZINC000497224175 272377136 /nfs/dbraw/zinc/37/71/36/272377136.db2.gz BEGOJXIUAXWHAE-UHFFFAOYSA-N 0 2 304.343 0.854 20 0 DCADLN CCCCS(=O)(=O)N[C@H](CCC(=O)[O-])C[NH+]1CCOCC1 ZINC000547570881 288059479 /nfs/dbraw/zinc/05/94/79/288059479.db2.gz YOKPMJHJDXOVHS-GFCCVEGCSA-N 0 2 322.427 0.272 20 0 DCADLN CC1(C)[C@H](O)C[C@@H]1NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000547996210 288100408 /nfs/dbraw/zinc/10/04/08/288100408.db2.gz WXJNJCQHXHRMHA-WDEREUQCSA-N 0 2 303.318 0.919 20 0 DCADLN CC1(C)[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)[C@@H]2CCCO[C@H]21 ZINC000376731885 281484502 /nfs/dbraw/zinc/48/45/02/281484502.db2.gz AVMQZUSCWWAWCA-FXBDTBDDSA-N 0 2 312.395 0.922 20 0 DCADLN O=C([O-])[C@H]1CC[C@@H](C(=O)NCc2ccc(N3CCCC3)[nH+]c2)O1 ZINC000563886414 288711767 /nfs/dbraw/zinc/71/17/67/288711767.db2.gz XLEFDBNHGONCAR-QWHCGFSZSA-N 0 2 319.361 0.930 20 0 DCADLN O=C(CNCC(F)(F)F)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000564937881 288792738 /nfs/dbraw/zinc/79/27/38/288792738.db2.gz QPJMOBOBEBLKSC-ZETCQYMHSA-N 0 2 307.276 0.368 20 0 DCADLN O=C1CN(S(=O)(=O)NCC(F)(F)F)[C@H]2CCCC[C@@H]2N1 ZINC000195377294 304640080 /nfs/dbraw/zinc/64/00/80/304640080.db2.gz GQIFZWHWPYQVQD-YUMQZZPRSA-N 0 2 315.317 0.126 20 0 DCADLN C[C@]1(CNC(=O)CSc2n[nH]c(=O)[nH]2)CCCC[C@H]1O ZINC000275077152 300797612 /nfs/dbraw/zinc/79/76/12/300797612.db2.gz CVLXKSINBATWDP-PRHODGIISA-N 0 2 300.384 0.248 20 0 DCADLN Cc1cc(C(=O)N2C[C@H](O)C(C)(C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000279603562 301124617 /nfs/dbraw/zinc/12/46/17/301124617.db2.gz GLJOAUXZCUGSMS-VIFPVBQESA-N 0 2 318.333 0.587 20 0 DCADLN CC[C@@H]1OCC[C@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000584216897 331863821 /nfs/dbraw/zinc/86/38/21/331863821.db2.gz XSHXDZNFUZPAOJ-ZJUUUORDSA-N 0 2 304.306 0.733 20 0 DCADLN CN(CC(N)=O)C(=O)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000582174919 335721098 /nfs/dbraw/zinc/72/10/98/335721098.db2.gz BWUYKFVUFZPTBS-UHFFFAOYSA-N 0 2 308.725 0.789 20 0 DCADLN C[C@@H]1CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C[C@H]1C(=O)[O-] ZINC000576634115 341855951 /nfs/dbraw/zinc/85/59/51/341855951.db2.gz ZCDZIJJZZVIBJZ-IJLUTSLNSA-N 0 2 306.366 0.950 20 0 DCADLN CCOC(=O)C[N@H+](C)CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC001605538808 1159793300 /nfs/dbraw/zinc/79/33/00/1159793300.db2.gz DLSOMJDPQSFYRR-XFFZJAGNSA-N 0 2 316.379 0.373 20 0 DCADLN CCOC(=O)C[N@@H+](C)CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC001605538808 1159793304 /nfs/dbraw/zinc/79/33/04/1159793304.db2.gz DLSOMJDPQSFYRR-XFFZJAGNSA-N 0 2 316.379 0.373 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)CC2(C(=O)[O-])CCCC2)C[C@H](C)[N@H+]1C ZINC001607478022 1159798625 /nfs/dbraw/zinc/79/86/25/1159798625.db2.gz CKLAUZQOSNPFKS-TXEJJXNPSA-N 0 2 318.439 0.986 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)CC2(C(=O)[O-])CCCC2)C[C@H](C)[N@@H+]1C ZINC001607478022 1159798633 /nfs/dbraw/zinc/79/86/33/1159798633.db2.gz CKLAUZQOSNPFKS-TXEJJXNPSA-N 0 2 318.439 0.986 20 0 DCADLN CC(C)n1cc(S(=O)(=O)Nc2nc3ncccn3n2)cn1 ZINC000266354650 519971744 /nfs/dbraw/zinc/97/17/44/519971744.db2.gz QJRRURRPAPPQEO-UHFFFAOYSA-N 0 2 307.339 0.703 20 0 DCADLN COC(=O)[C@H](C)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000266868618 523869335 /nfs/dbraw/zinc/86/93/35/523869335.db2.gz XANBOXLIJJEAIJ-SSDOTTSWSA-N 0 2 320.305 0.283 20 0 DCADLN O=C(NC[C@@]1(O)CCSC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267027769 526566659 /nfs/dbraw/zinc/56/66/59/526566659.db2.gz XUPWBKFKHPVYRZ-ZDUSSCGKSA-N 0 2 322.346 0.034 20 0 DCADLN O=C(CNC(=O)Cc1cccc(F)c1)NOC[C@H]1CCOC1 ZINC000188018725 526834189 /nfs/dbraw/zinc/83/41/89/526834189.db2.gz CTGDJQCNLIFTSO-LBPRGKRZSA-N 0 2 310.325 0.569 20 0 DCADLN CCOc1ccccc1C(=O)NCC(=O)NOC(C)(C)CO ZINC000297337672 528707914 /nfs/dbraw/zinc/70/79/14/528707914.db2.gz XGBXIUSEYGIUFJ-UHFFFAOYSA-N 0 2 310.350 0.634 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000219520221 545940427 /nfs/dbraw/zinc/94/04/27/545940427.db2.gz DBBQGIJKFLNCBT-FRRDWIJNSA-N 0 2 300.399 0.960 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000219520221 545940430 /nfs/dbraw/zinc/94/04/30/545940430.db2.gz DBBQGIJKFLNCBT-FRRDWIJNSA-N 0 2 300.399 0.960 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000309556068 546023521 /nfs/dbraw/zinc/02/35/21/546023521.db2.gz LLSKRPVPJHMILK-AWEZNQCLSA-N 0 2 306.362 0.821 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000309556068 546023528 /nfs/dbraw/zinc/02/35/28/546023528.db2.gz LLSKRPVPJHMILK-AWEZNQCLSA-N 0 2 306.362 0.821 20 0 DCADLN Cc1cc(C(=O)N2CCO[C@@H](C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000329205465 546044163 /nfs/dbraw/zinc/04/41/63/546044163.db2.gz ZIAKIOIGABIENL-QMMMGPOBSA-N 0 2 304.306 0.605 20 0 DCADLN CCC(=O)N1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000333235321 546074280 /nfs/dbraw/zinc/07/42/80/546074280.db2.gz CVRGAECQDZPFOX-UHFFFAOYSA-N 0 2 317.305 0.088 20 0 DCADLN CNC(=O)CCN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000668075257 546689609 /nfs/dbraw/zinc/68/96/09/546689609.db2.gz NGXVAPXAOXIOIM-UHFFFAOYSA-N 0 2 304.306 0.238 20 0 DCADLN C[NH+]1CCN(c2ncc(C[N@@H+]3CC[C@@]4(O)C[C@H]4C3)cn2)CC1 ZINC000668600514 546796519 /nfs/dbraw/zinc/79/65/19/546796519.db2.gz SDNIFXQXUIHPTP-GOEBONIOSA-N 0 2 303.410 0.185 20 0 DCADLN C[N@H+]1CCN(C(=O)NC[C@H]2COc3ccccc32)[C@H](C[NH3+])C1 ZINC000669587917 546994623 /nfs/dbraw/zinc/99/46/23/546994623.db2.gz ZBMWGOLKGRYKIA-QWHCGFSZSA-N 0 2 304.394 0.447 20 0 DCADLN CN1CC[C@@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)C1=O ZINC000671855386 547299780 /nfs/dbraw/zinc/29/97/80/547299780.db2.gz FLUHCYIFIZDTLH-JTQLQIEISA-N 0 2 301.306 0.594 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@@H](O)C1CCOCC1 ZINC000673655585 547509540 /nfs/dbraw/zinc/50/95/40/547509540.db2.gz GOOOXARZDBZGPR-LBPRGKRZSA-N 0 2 319.317 0.756 20 0 DCADLN CN(C)C(=O)Cc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000675566596 547693631 /nfs/dbraw/zinc/69/36/31/547693631.db2.gz KCRBXMGSQWUFNQ-UHFFFAOYSA-N 0 2 318.337 0.463 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc([C@@H]2CNC(=O)C2)c1 ZINC000676448580 547778797 /nfs/dbraw/zinc/77/87/97/547778797.db2.gz HUURFQPHFZHXBN-VIFPVBQESA-N 0 2 316.321 0.436 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cnc3ccsc3c2)[nH]n1 ZINC000679203250 548033692 /nfs/dbraw/zinc/03/36/92/548033692.db2.gz ZDIHNZUVESZJSH-UHFFFAOYSA-N 0 2 323.359 0.919 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(c3cc(C4CC4)[nH]n3)CC2)c1O ZINC000681753291 548289800 /nfs/dbraw/zinc/28/98/00/548289800.db2.gz PGCNZBCHJGXQRS-UHFFFAOYSA-N 0 2 316.365 0.987 20 0 DCADLN CC(=O)NCCc1ccc(S(=O)(=O)Nc2cccnn2)cc1 ZINC000682492321 548389368 /nfs/dbraw/zinc/38/93/68/548389368.db2.gz XATKWDIRVGDHCG-UHFFFAOYSA-N 0 2 320.374 0.956 20 0 DCADLN COCCOc1ccc(NS(=O)(=O)c2cnnn2C)cn1 ZINC000682807124 548427229 /nfs/dbraw/zinc/42/72/29/548427229.db2.gz QJJJWDUKNTUKKH-UHFFFAOYSA-N 0 2 313.339 0.036 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(NC(=O)C2CC2)nc1 ZINC000682806746 548427318 /nfs/dbraw/zinc/42/73/18/548427318.db2.gz BKQVWZMUZHPKTC-UHFFFAOYSA-N 0 2 322.350 0.359 20 0 DCADLN CCOc1ccc(NS(=O)(=O)c2cnnn2C)cc1CO ZINC000682833001 548429851 /nfs/dbraw/zinc/42/98/51/548429851.db2.gz SKGHBKJHWDUABU-UHFFFAOYSA-N 0 2 312.351 0.507 20 0 DCADLN Cc1cc(NC(=O)c2nc(-c3cc(C(N)=O)c[nH]3)no2)no1 ZINC000683605966 548502581 /nfs/dbraw/zinc/50/25/81/548502581.db2.gz QYUSZPJOMRMHGP-UHFFFAOYSA-N 0 2 302.250 0.712 20 0 DCADLN CS(=O)(=O)C1(CNC(=O)c2c(O)cc(F)cc2F)CC1 ZINC000684497853 548616665 /nfs/dbraw/zinc/61/66/65/548616665.db2.gz NDMFFORGCLFLJG-UHFFFAOYSA-N 0 2 305.302 0.977 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@@H]1COc2ccccc2O1)[N@H+](C)CC(=O)[O-] ZINC000819883047 598042038 /nfs/dbraw/zinc/04/20/38/598042038.db2.gz LHQVEOGPMPGXIJ-NWDGAFQWSA-N 0 2 322.361 0.690 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@@H]1COc2ccccc2O1)[N@@H+](C)CC(=O)[O-] ZINC000819883047 598042042 /nfs/dbraw/zinc/04/20/42/598042042.db2.gz LHQVEOGPMPGXIJ-NWDGAFQWSA-N 0 2 322.361 0.690 20 0 DCADLN Cc1nn(CC(F)(F)C(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC000737513129 599463826 /nfs/dbraw/zinc/46/38/26/599463826.db2.gz GWHOOBOCRIMPKE-UHFFFAOYSA-N 0 2 306.223 0.941 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1COc2ccccc2O1 ZINC000170584775 599777519 /nfs/dbraw/zinc/77/75/19/599777519.db2.gz OKOBBXJORICYDM-GXFFZTMASA-N 0 2 317.301 0.362 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1COc2ccccc2O1 ZINC000170584775 599777520 /nfs/dbraw/zinc/77/75/20/599777520.db2.gz OKOBBXJORICYDM-GXFFZTMASA-N 0 2 317.301 0.362 20 0 DCADLN Cc1nc(C[C@@H]2CCC[N@H+](Cn3cnc(C(=O)[O-])n3)C2)no1 ZINC000738851282 599958242 /nfs/dbraw/zinc/95/82/42/599958242.db2.gz KLWUMVVPGOKQGQ-JTQLQIEISA-N 0 2 306.326 0.580 20 0 DCADLN Cc1nc(C[C@@H]2CCC[N@@H+](Cn3cnc(C(=O)[O-])n3)C2)no1 ZINC000738851282 599958243 /nfs/dbraw/zinc/95/82/43/599958243.db2.gz KLWUMVVPGOKQGQ-JTQLQIEISA-N 0 2 306.326 0.580 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1CCOc1cccc(Cl)c1 ZINC000071244084 599982013 /nfs/dbraw/zinc/98/20/13/599982013.db2.gz ZRZIIKIAVZPOLL-LBPRGKRZSA-N 0 2 312.753 0.994 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1CCOc1cccc(Cl)c1 ZINC000071244084 599982016 /nfs/dbraw/zinc/98/20/16/599982016.db2.gz ZRZIIKIAVZPOLL-LBPRGKRZSA-N 0 2 312.753 0.994 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000739537768 600114505 /nfs/dbraw/zinc/11/45/05/600114505.db2.gz BWXSRLZJOXCQGI-GYSYKLTISA-N 0 2 314.407 0.031 20 0 DCADLN CCc1ccc([C@H]2COCC[N@@H+]2CCC(=O)NCC(=O)[O-])o1 ZINC000737259588 600217054 /nfs/dbraw/zinc/21/70/54/600217054.db2.gz TYEPLKJTQWPBPY-GFCCVEGCSA-N 0 2 310.350 0.806 20 0 DCADLN CCc1ccc([C@H]2COCC[N@H+]2CCC(=O)NCC(=O)[O-])o1 ZINC000737259588 600217057 /nfs/dbraw/zinc/21/70/57/600217057.db2.gz TYEPLKJTQWPBPY-GFCCVEGCSA-N 0 2 310.350 0.806 20 0 DCADLN Cc1ccc([C@@H]2COCC[N@H+]2CCC(=O)N(C)CC(=O)[O-])o1 ZINC000738581752 600263138 /nfs/dbraw/zinc/26/31/38/600263138.db2.gz DOHKNHVEBIQHEQ-LBPRGKRZSA-N 0 2 310.350 0.894 20 0 DCADLN Cc1ccc([C@@H]2COCC[N@@H+]2CCC(=O)N(C)CC(=O)[O-])o1 ZINC000738581752 600263139 /nfs/dbraw/zinc/26/31/39/600263139.db2.gz DOHKNHVEBIQHEQ-LBPRGKRZSA-N 0 2 310.350 0.894 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2ccc(CNC(=O)[O-])cc2)C1 ZINC000737530911 600279757 /nfs/dbraw/zinc/27/97/57/600279757.db2.gz ZBTZZOGJMQGJIL-CYBMUJFWSA-N 0 2 322.365 0.906 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2ccc(CNC(=O)[O-])cc2)C1 ZINC000737530911 600279760 /nfs/dbraw/zinc/27/97/60/600279760.db2.gz ZBTZZOGJMQGJIL-CYBMUJFWSA-N 0 2 322.365 0.906 20 0 DCADLN CC(C)c1nc([C@H]2CCC[N@@H+]2CCC(=O)NCC(=O)[O-])no1 ZINC000736525946 600295305 /nfs/dbraw/zinc/29/53/05/600295305.db2.gz PKHDNONINHGHLQ-SNVBAGLBSA-N 0 2 310.354 0.921 20 0 DCADLN CC(C)c1nc([C@H]2CCC[N@H+]2CCC(=O)NCC(=O)[O-])no1 ZINC000736525946 600295306 /nfs/dbraw/zinc/29/53/06/600295306.db2.gz PKHDNONINHGHLQ-SNVBAGLBSA-N 0 2 310.354 0.921 20 0 DCADLN CCOc1ncnc2c1C[N@H+](CCC(=O)N(C)CC(=O)[O-])CC2 ZINC000737222644 600295364 /nfs/dbraw/zinc/29/53/64/600295364.db2.gz RZIRAPGRFBUOJG-UHFFFAOYSA-N 0 2 322.365 0.167 20 0 DCADLN CCOc1ncnc2c1C[N@@H+](CCC(=O)N(C)CC(=O)[O-])CC2 ZINC000737222644 600295365 /nfs/dbraw/zinc/29/53/65/600295365.db2.gz RZIRAPGRFBUOJG-UHFFFAOYSA-N 0 2 322.365 0.167 20 0 DCADLN CNC(=O)C[NH2+][C@@H](C(=O)[O-])c1ccc(Br)cc1 ZINC000737540922 600417248 /nfs/dbraw/zinc/41/72/48/600417248.db2.gz QYRICLRXKUGAQC-SNVBAGLBSA-N 0 2 301.140 0.910 20 0 DCADLN COC(=O)C1(NC(=O)C[N@@H+]2CCC[C@@H]2C(=O)[O-])CCCCC1 ZINC000737582408 600436821 /nfs/dbraw/zinc/43/68/21/600436821.db2.gz ZYPMTHQWNBMORB-LLVKDONJSA-N 0 2 312.366 0.528 20 0 DCADLN COC(=O)C1(NC(=O)C[N@H+]2CCC[C@@H]2C(=O)[O-])CCCCC1 ZINC000737582408 600436823 /nfs/dbraw/zinc/43/68/23/600436823.db2.gz ZYPMTHQWNBMORB-LLVKDONJSA-N 0 2 312.366 0.528 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2CCC(C(=O)[O-])CC2)CCO1 ZINC000736736180 600563219 /nfs/dbraw/zinc/56/32/19/600563219.db2.gz YCGBCJWFBTVLON-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2CCC(C(=O)[O-])CC2)CCO1 ZINC000736736180 600563221 /nfs/dbraw/zinc/56/32/21/600563221.db2.gz YCGBCJWFBTVLON-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN COc1c(C)c[nH+]c(CN2C(=O)N[C@@H](CC(=O)[O-])C2=O)c1C ZINC000737840579 600581189 /nfs/dbraw/zinc/58/11/89/600581189.db2.gz YLZVKKQUYZTKEG-VIFPVBQESA-N 0 2 307.306 0.602 20 0 DCADLN CC[N@H+](C)[C@H](C(=O)O[C@H](C)C(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608789 600683125 /nfs/dbraw/zinc/68/31/25/600683125.db2.gz POKCLMMGDRAMPB-RISCZKNCSA-N 0 2 322.361 0.812 20 0 DCADLN CC[N@@H+](C)[C@H](C(=O)O[C@H](C)C(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608789 600683126 /nfs/dbraw/zinc/68/31/26/600683126.db2.gz POKCLMMGDRAMPB-RISCZKNCSA-N 0 2 322.361 0.812 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000178912225 600816845 /nfs/dbraw/zinc/81/68/45/600816845.db2.gz QJMHTQHRMDYGAQ-ZDUSSCGKSA-N 0 2 310.325 0.742 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000178912225 600816847 /nfs/dbraw/zinc/81/68/47/600816847.db2.gz QJMHTQHRMDYGAQ-ZDUSSCGKSA-N 0 2 310.325 0.742 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)Cn1ncsc1=S ZINC000833248525 600985581 /nfs/dbraw/zinc/98/55/81/600985581.db2.gz OTUILJVOLAUHSL-UHFFFAOYSA-N 0 2 318.424 0.350 20 0 DCADLN O=C(C[N@H+]1[C@@H]2CC[C@H]1CNC(=O)C2)NC1(C(=O)[O-])CCCCC1 ZINC000833354520 601180732 /nfs/dbraw/zinc/18/07/32/601180732.db2.gz HBGJUCDPOFNZLK-NEPJUHHUSA-N 0 2 323.393 0.243 20 0 DCADLN O=C(C[N@@H+]1[C@@H]2CC[C@H]1CNC(=O)C2)NC1(C(=O)[O-])CCCCC1 ZINC000833354520 601180733 /nfs/dbraw/zinc/18/07/33/601180733.db2.gz HBGJUCDPOFNZLK-NEPJUHHUSA-N 0 2 323.393 0.243 20 0 DCADLN C[C@@]1(O)CC[N@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C1 ZINC000828110567 601182774 /nfs/dbraw/zinc/18/27/74/601182774.db2.gz JJXWACMGSWIWPJ-OAHLLOKOSA-N 0 2 303.318 0.990 20 0 DCADLN C[C@@]1(O)CC[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3c(=O)[nH]2)C1 ZINC000828110567 601182775 /nfs/dbraw/zinc/18/27/75/601182775.db2.gz JJXWACMGSWIWPJ-OAHLLOKOSA-N 0 2 303.318 0.990 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000036869291 601258681 /nfs/dbraw/zinc/25/86/81/601258681.db2.gz UNNNJZRPDBXWGX-GFCCVEGCSA-N 0 2 312.391 0.856 20 0 DCADLN O=C([O-])c1ncn(C[NH+]2CCC(OC[C@H]3CCCCO3)CC2)n1 ZINC000833345233 601400706 /nfs/dbraw/zinc/40/07/06/601400706.db2.gz TYBVSYGTSLOTRR-CYBMUJFWSA-N 0 2 324.381 0.984 20 0 DCADLN Cc1cccc(OCCNC(=O)C[N@@H+]2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000832974091 601447594 /nfs/dbraw/zinc/44/75/94/601447594.db2.gz FJIZLKKISQOYSR-MRXNPFEDSA-N 0 2 324.352 0.989 20 0 DCADLN Cc1cccc(OCCNC(=O)C[N@H+]2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000832974091 601447597 /nfs/dbraw/zinc/44/75/97/601447597.db2.gz FJIZLKKISQOYSR-MRXNPFEDSA-N 0 2 324.352 0.989 20 0 DCADLN O=C([O-])C[C@@H]1C[N@H+](Cc2ccc(-n3ccnc3)nc2)CCO1 ZINC000833219844 601570943 /nfs/dbraw/zinc/57/09/43/601570943.db2.gz ISCLDSGOQCXDLL-CYBMUJFWSA-N 0 2 302.334 0.943 20 0 DCADLN O=C([O-])C[C@@H]1C[N@@H+](Cc2ccc(-n3ccnc3)nc2)CCO1 ZINC000833219844 601570944 /nfs/dbraw/zinc/57/09/44/601570944.db2.gz ISCLDSGOQCXDLL-CYBMUJFWSA-N 0 2 302.334 0.943 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NC(=O)NCc1ccccc1)C1CC1 ZINC000833247744 601580632 /nfs/dbraw/zinc/58/06/32/601580632.db2.gz UBORCWYTUDHWRB-UHFFFAOYSA-N 0 2 305.334 0.561 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NC(=O)NCc1ccccc1)C1CC1 ZINC000833247744 601580633 /nfs/dbraw/zinc/58/06/33/601580633.db2.gz UBORCWYTUDHWRB-UHFFFAOYSA-N 0 2 305.334 0.561 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000736209333 602050216 /nfs/dbraw/zinc/05/02/16/602050216.db2.gz SHMPRWNPBCZKJM-NXEZZACHSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000736209333 602050220 /nfs/dbraw/zinc/05/02/20/602050220.db2.gz SHMPRWNPBCZKJM-NXEZZACHSA-N 0 2 315.370 0.175 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000736582155 602735129 /nfs/dbraw/zinc/73/51/29/602735129.db2.gz LECMZOVXSQCOAH-RYUDHWBXSA-N 0 2 300.403 0.031 20 0 DCADLN CC(C)[C@@H](NC(=O)[O-])C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000736582156 602735252 /nfs/dbraw/zinc/73/52/52/602735252.db2.gz LECMZOVXSQCOAH-VXGBXAGGSA-N 0 2 300.403 0.031 20 0 DCADLN C[C@@H](NC(=O)CN(CC1CC1)C(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000825115204 602863240 /nfs/dbraw/zinc/86/32/40/602863240.db2.gz JKCIJKDSXMQDJI-VXGBXAGGSA-N 0 2 313.398 0.602 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)CCNC(=O)[O-])nc[nH+]1 ZINC000828593724 603092722 /nfs/dbraw/zinc/09/27/22/603092722.db2.gz HKTITFJILNIRRO-SNVBAGLBSA-N 0 2 322.369 0.261 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)CCNC(=O)[O-])[nH+]cn1 ZINC000828593724 603092727 /nfs/dbraw/zinc/09/27/27/603092727.db2.gz HKTITFJILNIRRO-SNVBAGLBSA-N 0 2 322.369 0.261 20 0 DCADLN C[N@@H+]1CCN(C(=O)CSC(C)(C)C)[C@@H](CNC(=O)[O-])C1 ZINC000828500788 603510853 /nfs/dbraw/zinc/51/08/53/603510853.db2.gz PGZINLDWQBOABW-JTQLQIEISA-N 0 2 303.428 0.928 20 0 DCADLN C[N@H+]1CCN(C(=O)CSC(C)(C)C)[C@@H](CNC(=O)[O-])C1 ZINC000828500788 603510855 /nfs/dbraw/zinc/51/08/55/603510855.db2.gz PGZINLDWQBOABW-JTQLQIEISA-N 0 2 303.428 0.928 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2Cc3ccccc3O2)[C@@H](CNC(=O)[O-])C1 ZINC000828496175 603513739 /nfs/dbraw/zinc/51/37/39/603513739.db2.gz UZHYFISAGCMNGK-GXTWGEPZSA-N 0 2 319.361 0.400 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2Cc3ccccc3O2)[C@@H](CNC(=O)[O-])C1 ZINC000828496175 603513740 /nfs/dbraw/zinc/51/37/40/603513740.db2.gz UZHYFISAGCMNGK-GXTWGEPZSA-N 0 2 319.361 0.400 20 0 DCADLN COCc1cccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000829040558 603514706 /nfs/dbraw/zinc/51/47/06/603514706.db2.gz OOKLOZVBAMKKFJ-AWEZNQCLSA-N 0 2 321.377 0.857 20 0 DCADLN COCc1cccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000829040558 603514708 /nfs/dbraw/zinc/51/47/08/603514708.db2.gz OOKLOZVBAMKKFJ-AWEZNQCLSA-N 0 2 321.377 0.857 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3c(c2)OCO3)[C@H](CNC(=O)[O-])C1 ZINC000828514172 603519539 /nfs/dbraw/zinc/51/95/39/603519539.db2.gz VEHVCPZZOZFLPV-LLVKDONJSA-N 0 2 321.333 0.439 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3c(c2)OCO3)[C@H](CNC(=O)[O-])C1 ZINC000828514172 603519542 /nfs/dbraw/zinc/51/95/42/603519542.db2.gz VEHVCPZZOZFLPV-LLVKDONJSA-N 0 2 321.333 0.439 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000826094293 603647447 /nfs/dbraw/zinc/64/74/47/603647447.db2.gz VYDXNAGOJCZLAR-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000826094293 603647450 /nfs/dbraw/zinc/64/74/50/603647450.db2.gz VYDXNAGOJCZLAR-NWDGAFQWSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000826094292 603647587 /nfs/dbraw/zinc/64/75/87/603647587.db2.gz VYDXNAGOJCZLAR-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000826094292 603647592 /nfs/dbraw/zinc/64/75/92/603647592.db2.gz VYDXNAGOJCZLAR-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCN(C(=O)[O-])[C@@H](C)C1 ZINC000824909362 603697157 /nfs/dbraw/zinc/69/71/57/603697157.db2.gz ZRQAFHLYOQCHIA-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H](CNC(=O)[O-])[N@H+](C)CC(=O)Nc1nnc(-c2ccco2)o1 ZINC000824968019 603920734 /nfs/dbraw/zinc/92/07/34/603920734.db2.gz YLBOMOGUBMQIQS-QMMMGPOBSA-N 0 2 323.309 0.856 20 0 DCADLN C[C@@H](CNC(=O)[O-])[N@@H+](C)CC(=O)Nc1nnc(-c2ccco2)o1 ZINC000824968019 603920739 /nfs/dbraw/zinc/92/07/39/603920739.db2.gz YLBOMOGUBMQIQS-QMMMGPOBSA-N 0 2 323.309 0.856 20 0 DCADLN C[C@@H](CN(C)C(=O)[O-])C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000824841239 604058026 /nfs/dbraw/zinc/05/80/26/604058026.db2.gz PXDHJJBXXLLZTN-LBPRGKRZSA-N 0 2 306.366 0.976 20 0 DCADLN O=C([O-])NCCCNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000832613860 604109842 /nfs/dbraw/zinc/10/98/42/604109842.db2.gz RITPPZZEVMNLMT-GFCCVEGCSA-N 0 2 314.386 0.150 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2CSCCS2)[C@@H](CNC(=O)[O-])C1 ZINC000828493954 604394845 /nfs/dbraw/zinc/39/48/45/604394845.db2.gz FVMSQOWTOZRMJT-UWVGGRQHSA-N 0 2 319.452 0.245 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2CSCCS2)[C@@H](CNC(=O)[O-])C1 ZINC000828493954 604394851 /nfs/dbraw/zinc/39/48/51/604394851.db2.gz FVMSQOWTOZRMJT-UWVGGRQHSA-N 0 2 319.452 0.245 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3c(c2)COC3)[C@H](CNC(=O)[O-])C1 ZINC000828513951 604401868 /nfs/dbraw/zinc/40/18/68/604401868.db2.gz SDCYUMFOEMFANO-CQSZACIVSA-N 0 2 319.361 0.741 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3c(c2)COC3)[C@H](CNC(=O)[O-])C1 ZINC000828513951 604401869 /nfs/dbraw/zinc/40/18/69/604401869.db2.gz SDCYUMFOEMFANO-CQSZACIVSA-N 0 2 319.361 0.741 20 0 DCADLN CCCCC[C@H](O)CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826819905 604402149 /nfs/dbraw/zinc/40/21/49/604402149.db2.gz HTEWLCSDUTWALK-OLZOCXBDSA-N 0 2 315.414 0.728 20 0 DCADLN CCCCC[C@H](O)CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000826819905 604402153 /nfs/dbraw/zinc/40/21/53/604402153.db2.gz HTEWLCSDUTWALK-OLZOCXBDSA-N 0 2 315.414 0.728 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2nccs2)[C@H](CNC(=O)[O-])C1 ZINC000828501037 604410542 /nfs/dbraw/zinc/41/05/42/604410542.db2.gz UEMWBFSRZSRFRM-SNVBAGLBSA-N 0 2 312.395 0.486 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2nccs2)[C@H](CNC(=O)[O-])C1 ZINC000828501037 604410543 /nfs/dbraw/zinc/41/05/43/604410543.db2.gz UEMWBFSRZSRFRM-SNVBAGLBSA-N 0 2 312.395 0.486 20 0 DCADLN CC[N@H+](Cc1cc(=O)n2ccsc2n1)C1CN(C(=O)[O-])C1 ZINC000827156597 604559059 /nfs/dbraw/zinc/55/90/59/604559059.db2.gz JSRXHWWJUNCWJB-UHFFFAOYSA-N 0 2 308.363 0.940 20 0 DCADLN CC[N@@H+](Cc1cc(=O)n2ccsc2n1)C1CN(C(=O)[O-])C1 ZINC000827156597 604559064 /nfs/dbraw/zinc/55/90/64/604559064.db2.gz JSRXHWWJUNCWJB-UHFFFAOYSA-N 0 2 308.363 0.940 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@H+](CCOC[C@@H]2CCCO2)CCO1 ZINC000825353853 604624611 /nfs/dbraw/zinc/62/46/11/604624611.db2.gz YFCGHQOQZYCNDR-RWMBFGLXSA-N 0 2 302.371 0.539 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1C[N@@H+](CCOC[C@@H]2CCCO2)CCO1 ZINC000825353853 604624614 /nfs/dbraw/zinc/62/46/14/604624614.db2.gz YFCGHQOQZYCNDR-RWMBFGLXSA-N 0 2 302.371 0.539 20 0 DCADLN COc1ccc(S(=O)(=O)NCC[NH+]2CCC2)cc1C(=O)[O-] ZINC000833734061 605079557 /nfs/dbraw/zinc/07/95/57/605079557.db2.gz BWUDAIAOPVIHIY-UHFFFAOYSA-N 0 2 314.363 0.377 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCN2C(=O)CC[C@@H]2C1 ZINC000833405142 605147261 /nfs/dbraw/zinc/14/72/61/605147261.db2.gz YBVPBLWMRCCECX-WCQYABFASA-N 0 2 323.393 0.005 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCN2C(=O)CC[C@@H]2C1 ZINC000833405142 605147266 /nfs/dbraw/zinc/14/72/66/605147266.db2.gz YBVPBLWMRCCECX-WCQYABFASA-N 0 2 323.393 0.005 20 0 DCADLN O=C([O-])NCc1nnnn1Cc1[nH+]ccn1CC(F)(F)F ZINC000832744439 605176676 /nfs/dbraw/zinc/17/66/76/605176676.db2.gz LARDEYZBEGASBH-UHFFFAOYSA-N 0 2 305.220 0.248 20 0 DCADLN CCN(CCCO)C(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000833857088 605332768 /nfs/dbraw/zinc/33/27/68/605332768.db2.gz DDIRTEZQTNASNP-UHFFFAOYSA-N 0 2 301.387 0.292 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[N@@H+]1CCCC[C@@H]1C(=O)[O-] ZINC000819915346 605381144 /nfs/dbraw/zinc/38/11/44/605381144.db2.gz ZWJRNUYQMYMRMF-SNVBAGLBSA-N 0 2 300.355 0.383 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[N@H+]1CCCC[C@@H]1C(=O)[O-] ZINC000819915346 605381149 /nfs/dbraw/zinc/38/11/49/605381149.db2.gz ZWJRNUYQMYMRMF-SNVBAGLBSA-N 0 2 300.355 0.383 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CCSC[C@H]1CO)C(=O)[O-] ZINC000833476581 605383890 /nfs/dbraw/zinc/38/38/90/605383890.db2.gz CVKMUJBENCAXFP-MNOVXSKESA-N 0 2 304.412 0.012 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CCSC[C@H]1CO)C(=O)[O-] ZINC000833476581 605383888 /nfs/dbraw/zinc/38/38/88/605383888.db2.gz CVKMUJBENCAXFP-MNOVXSKESA-N 0 2 304.412 0.012 20 0 DCADLN CCn1cc(NC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000827705880 605452513 /nfs/dbraw/zinc/45/25/13/605452513.db2.gz FLTAKGXMQUJJDG-LLVKDONJSA-N 0 2 310.358 0.319 20 0 DCADLN CCn1cc(NC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000827705880 605452516 /nfs/dbraw/zinc/45/25/16/605452516.db2.gz FLTAKGXMQUJJDG-LLVKDONJSA-N 0 2 310.358 0.319 20 0 DCADLN O=C([O-])N[C@@H]1CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000834185482 605546920 /nfs/dbraw/zinc/54/69/20/605546920.db2.gz ZDQLCBVUJRTCHG-SNVBAGLBSA-N 0 2 301.306 0.749 20 0 DCADLN NC(=O)[C@H]1C[N@H+](Cc2cccc3c2N(C(=O)[O-])CC3)CCO1 ZINC000833981728 605579381 /nfs/dbraw/zinc/57/93/81/605579381.db2.gz XFIOJRIOLAUMDH-GFCCVEGCSA-N 0 2 305.334 0.413 20 0 DCADLN NC(=O)[C@H]1C[N@@H+](Cc2cccc3c2N(C(=O)[O-])CC3)CCO1 ZINC000833981728 605579387 /nfs/dbraw/zinc/57/93/87/605579387.db2.gz XFIOJRIOLAUMDH-GFCCVEGCSA-N 0 2 305.334 0.413 20 0 DCADLN NC(=O)C[C@H](NC(=O)[O-])C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000833982642 605667216 /nfs/dbraw/zinc/66/72/16/605667216.db2.gz BIBZAOGAJINHKM-NSHDSACASA-N 0 2 317.305 0.322 20 0 DCADLN CCCn1nccc1NC(=O)C[NH+]1CCC(NC(=O)[O-])CC1 ZINC000826965409 605690160 /nfs/dbraw/zinc/69/01/60/605690160.db2.gz XHXSWHOWJWESQD-UHFFFAOYSA-N 0 2 309.370 0.964 20 0 DCADLN O=C([O-])N1CC(CNC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834033073 605736298 /nfs/dbraw/zinc/73/62/98/605736298.db2.gz DCSJSKRMVNEDHI-LBPRGKRZSA-N 0 2 319.365 0.569 20 0 DCADLN C[C@H](CNC(=O)[O-])CNC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000833808459 605788460 /nfs/dbraw/zinc/78/84/60/605788460.db2.gz OQDUYNCMIANDPC-NSHDSACASA-N 0 2 316.402 0.300 20 0 DCADLN CCC(CC)(CNC(=O)[O-])C(=O)N1CC[NH+](CCOC)CC1 ZINC000833842525 605866610 /nfs/dbraw/zinc/86/66/10/605866610.db2.gz XQXFZCFKWRSDJH-UHFFFAOYSA-N 0 2 315.414 0.851 20 0 DCADLN Cn1nccc1C1CC[NH+](CN2C[C@H](NC(=O)[O-])CC2=O)CC1 ZINC000833964243 605995814 /nfs/dbraw/zinc/99/58/14/605995814.db2.gz XEMLFAYJJADPJI-GFCCVEGCSA-N 0 2 321.381 0.426 20 0 DCADLN O=C([O-])N1CCC[C@H]1CNc1cnn(CC[NH+]2CCOCC2)c1 ZINC000834115053 606011753 /nfs/dbraw/zinc/01/17/53/606011753.db2.gz IKOCHLKVQUBGAN-AWEZNQCLSA-N 0 2 323.397 0.770 20 0 DCADLN O=c1[nH]nc(CCCNc2cccc(F)c2-c2nn[nH]n2)[nH]1 ZINC000823665771 606063631 /nfs/dbraw/zinc/06/36/31/606063631.db2.gz VWRVUVKMXJXOEP-UHFFFAOYSA-N 0 2 304.289 0.874 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)N[C@@H]2CCN(C(=O)[O-])C2)C1 ZINC000833953872 606076635 /nfs/dbraw/zinc/07/66/35/606076635.db2.gz DHOFCPZUIYCHFF-WDEREUQCSA-N 0 2 307.354 0.671 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)CCOC2CN(C(=O)[O-])C2)C1 ZINC000833955325 606092027 /nfs/dbraw/zinc/09/20/27/606092027.db2.gz QRBJBQGTXJAIPU-LLVKDONJSA-N 0 2 322.365 0.505 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCCCCCO)c1 ZINC000821666953 607160708 /nfs/dbraw/zinc/16/07/08/607160708.db2.gz NLLUCIYPQLNPGX-UHFFFAOYSA-N 0 2 321.337 0.368 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1cccc(-c2nn[nH]n2)c1 ZINC000826322453 608057688 /nfs/dbraw/zinc/05/76/88/608057688.db2.gz NCOCFJYGBCOFIT-UHFFFAOYSA-N 0 2 305.323 0.699 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)n1)Nc1ccc(O)c(Cl)c1 ZINC000826425534 609254381 /nfs/dbraw/zinc/25/43/81/609254381.db2.gz IIPPFHVPUHZROF-UHFFFAOYSA-N 0 2 320.700 0.456 20 0 DCADLN CN(C(=O)c1cc[nH]n1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646818 665406391 /nfs/dbraw/zinc/40/63/91/665406391.db2.gz LZVXFZZGYKIZNP-APPZFPTMSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1ccn[nH]1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646818 665406393 /nfs/dbraw/zinc/40/63/93/665406393.db2.gz LZVXFZZGYKIZNP-APPZFPTMSA-N 0 2 322.262 0.983 20 0 DCADLN CC[C@@H](C(=O)N1CCC[C@@H]([N@H+](C)Cc2cn(C)nn2)C1)[NH+](C)C ZINC001027578807 660468420 /nfs/dbraw/zinc/46/84/20/660468420.db2.gz UZKRSYSQIXJMTP-CABCVRRESA-N 0 2 322.457 0.578 20 0 DCADLN CCc1nc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001027837927 660818462 /nfs/dbraw/zinc/81/84/62/660818462.db2.gz IPDVJUNNADBXGC-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCC1(F)F ZINC001027959660 660976793 /nfs/dbraw/zinc/97/67/93/660976793.db2.gz MMMDYGZNRVYURK-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CCC1(F)F ZINC001027959660 660976794 /nfs/dbraw/zinc/97/67/94/660976794.db2.gz MMMDYGZNRVYURK-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCC1(F)F ZINC001027959660 660976795 /nfs/dbraw/zinc/97/67/95/660976795.db2.gz MMMDYGZNRVYURK-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN O=C([C@@H]1CC=CCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980692776 660986860 /nfs/dbraw/zinc/98/68/60/660986860.db2.gz JTJILQLCCFTUSY-GFCCVEGCSA-N 0 2 305.382 0.901 20 0 DCADLN CC(C)c1nnc(CN2CCC[C@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001027992703 661028178 /nfs/dbraw/zinc/02/81/78/661028178.db2.gz YEBAURWXPAMISP-JTQLQIEISA-N 0 2 318.385 0.441 20 0 DCADLN Cc1cccnc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980930724 661046726 /nfs/dbraw/zinc/04/67/26/661046726.db2.gz IZWCJDZQPGLAKS-UHFFFAOYSA-N 0 2 316.365 0.562 20 0 DCADLN O=C(c1ccc(F)cn1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981020274 661064681 /nfs/dbraw/zinc/06/46/81/661064681.db2.gz PIYDJGKNLXFJBI-UHFFFAOYSA-N 0 2 320.328 0.393 20 0 DCADLN Cc1cocc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028080243 661130459 /nfs/dbraw/zinc/13/04/59/661130459.db2.gz UWPAYMYCDBRGBE-SNVBAGLBSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1csc(=O)[nH]1 ZINC001028090392 661148750 /nfs/dbraw/zinc/14/87/50/661148750.db2.gz WAPLOIPREJVNMI-ZETCQYMHSA-N 0 2 324.366 0.067 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1csc(=O)[nH]1 ZINC001028090392 661148752 /nfs/dbraw/zinc/14/87/52/661148752.db2.gz WAPLOIPREJVNMI-ZETCQYMHSA-N 0 2 324.366 0.067 20 0 DCADLN C[C@@H](C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2)C1CC1 ZINC000981694663 661198723 /nfs/dbraw/zinc/19/87/23/661198723.db2.gz JOGMFEDDGRWONY-LLVKDONJSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H](C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2)C1CC1 ZINC000981694663 661198725 /nfs/dbraw/zinc/19/87/25/661198725.db2.gz JOGMFEDDGRWONY-LLVKDONJSA-N 0 2 319.409 0.981 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC12CCC2 ZINC001028174615 661258296 /nfs/dbraw/zinc/25/82/96/661258296.db2.gz ZUANIANYCOEYCL-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC12CCC2 ZINC001028174615 661258298 /nfs/dbraw/zinc/25/82/98/661258298.db2.gz ZUANIANYCOEYCL-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C12CCC(CC1)C2 ZINC001031775119 665483957 /nfs/dbraw/zinc/48/39/57/665483957.db2.gz ITYVAKDHXMEUNY-UHFFFAOYSA-N 0 2 305.382 0.639 20 0 DCADLN Cc1ncoc1C[NH2+]C1CC(N(C)C(=O)[C@H]2C[N@H+](C)CCO2)C1 ZINC000998585374 665485040 /nfs/dbraw/zinc/48/50/40/665485040.db2.gz JMZQOEPHJNODSV-SSDMNJCBSA-N 0 2 322.409 0.393 20 0 DCADLN CC1(C)CO[C@H](CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949250268 657494487 /nfs/dbraw/zinc/49/44/87/657494487.db2.gz RUKXMQGVSITUTM-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC000969263242 657639898 /nfs/dbraw/zinc/63/98/98/657639898.db2.gz HCKVGCDMYXKRPZ-MRVPVSSYSA-N 0 2 306.326 0.057 20 0 DCADLN CC(C)(C[NH+]1CCOCC1)NC(=O)c1cccc(C(=O)[O-])n1 ZINC000308601162 657652357 /nfs/dbraw/zinc/65/23/57/657652357.db2.gz GWXRHNUWRSQBDI-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)n1C ZINC000969297268 657672415 /nfs/dbraw/zinc/67/24/15/657672415.db2.gz ADPFUMTWSRWWTF-JTQLQIEISA-N 0 2 318.381 0.408 20 0 DCADLN COc1ccc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC000970642986 657730855 /nfs/dbraw/zinc/73/08/55/657730855.db2.gz KYBQGYDYZWVSEP-MRVPVSSYSA-N 0 2 321.337 0.362 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)C1=CCCC1 ZINC001023389971 657815048 /nfs/dbraw/zinc/81/50/48/657815048.db2.gz KTSRHQSRWNLCIG-OPRDCNLKSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O)C1=CCCC1 ZINC001023389971 657815054 /nfs/dbraw/zinc/81/50/54/657815054.db2.gz KTSRHQSRWNLCIG-OPRDCNLKSA-N 0 2 324.274 0.685 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCOC[C@H]2CCCO2)S1 ZINC000742669663 657889733 /nfs/dbraw/zinc/88/97/33/657889733.db2.gz MXYSORXJLXOLIK-ZJUUUORDSA-N 0 2 315.395 0.245 20 0 DCADLN Cc1ncoc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969455845 657904654 /nfs/dbraw/zinc/90/46/54/657904654.db2.gz FIVKHYPVLLCXDA-ZETCQYMHSA-N 0 2 306.326 0.057 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)N1CC[C@@H]([NH2+]Cc2nonc2C)C1 ZINC000970801324 657915867 /nfs/dbraw/zinc/91/58/67/657915867.db2.gz XLQCIOXZLNWWKR-UKRRQHHQSA-N 0 2 321.425 0.943 20 0 DCADLN CCn1ccc(C[NH2+][C@@H]2CCN(C(=O)CCc3cn[nH]n3)C2)n1 ZINC000970006822 658347088 /nfs/dbraw/zinc/34/70/88/658347088.db2.gz HIMXKGNXZFXDIZ-CQSZACIVSA-N 0 2 317.397 0.344 20 0 DCADLN CCn1ccc(C[NH2+][C@@H]2CCN(C(=O)CCc3c[nH]nn3)C2)n1 ZINC000970006822 658347096 /nfs/dbraw/zinc/34/70/96/658347096.db2.gz HIMXKGNXZFXDIZ-CQSZACIVSA-N 0 2 317.397 0.344 20 0 DCADLN C[C@@H](NC(=O)c1cnn[nH]1)C1CN(Cc2nnc(C3CC3)[nH]2)C1 ZINC000970022802 658368477 /nfs/dbraw/zinc/36/84/77/658368477.db2.gz CVIBIKCCWNCAJC-MRVPVSSYSA-N 0 2 316.369 0.051 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cccnc1 ZINC000972749821 658377754 /nfs/dbraw/zinc/37/77/54/658377754.db2.gz APWWSSFBTCHPAH-NSHDSACASA-N 0 2 316.365 0.546 20 0 DCADLN CCc1nnc([C@@H](C)[NH+]2CC([C@@H](C)NC(=O)c3cnn[n-]3)C2)o1 ZINC000970036377 658383607 /nfs/dbraw/zinc/38/36/07/658383607.db2.gz XZBMNOSCXDFKPH-RKDXNWHRSA-N 0 2 319.369 0.561 20 0 DCADLN CCCC(=O)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972221062 658456180 /nfs/dbraw/zinc/45/61/80/658456180.db2.gz QYVMYPUCTQUKCF-CQSZACIVSA-N 0 2 309.370 0.114 20 0 DCADLN CC(C)n1cc(C[NH2+][C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)nn1 ZINC001020782474 658462891 /nfs/dbraw/zinc/46/28/91/658462891.db2.gz UJJRFAWEBIKCDP-MGCOHNPYSA-N 0 2 304.358 0.028 20 0 DCADLN COc1ccc(COCCCC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000089847018 658544911 /nfs/dbraw/zinc/54/49/11/658544911.db2.gz YACFJXKDWZRGHP-UHFFFAOYSA-N 0 2 320.349 0.720 20 0 DCADLN Cn1cc(C(=O)NC[C@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001024322164 658561246 /nfs/dbraw/zinc/56/12/46/658561246.db2.gz OYAZMZBRZHJMLR-LLVKDONJSA-N 0 2 319.369 0.028 20 0 DCADLN Cn1cccc1C(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024334778 658570346 /nfs/dbraw/zinc/57/03/46/658570346.db2.gz GBZIYPSTSKQAQK-LLVKDONJSA-N 0 2 318.381 0.633 20 0 DCADLN Cn1cccc1C(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024334778 658570353 /nfs/dbraw/zinc/57/03/53/658570353.db2.gz GBZIYPSTSKQAQK-LLVKDONJSA-N 0 2 318.381 0.633 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)[nH]n1 ZINC001024348325 658582765 /nfs/dbraw/zinc/58/27/65/658582765.db2.gz LPQABHXXFREDLW-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN Cc1ocnc1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024354925 658586184 /nfs/dbraw/zinc/58/61/84/658586184.db2.gz QOJPZZXMKODBCS-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN COCC(=O)N1CC[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001020930579 658594145 /nfs/dbraw/zinc/59/41/45/658594145.db2.gz BWAWQYOONMVVSC-QXFUBDJGSA-N 0 2 312.263 0.593 20 0 DCADLN COCC(=O)N1CC[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21 ZINC001020930579 658594147 /nfs/dbraw/zinc/59/41/47/658594147.db2.gz BWAWQYOONMVVSC-QXFUBDJGSA-N 0 2 312.263 0.593 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1CCCO)[C@@H]1CCc2[nH+]ccn2C1 ZINC001024515603 658675134 /nfs/dbraw/zinc/67/51/34/658675134.db2.gz WTOIUGTZSYIBQF-CABCVRRESA-N 0 2 320.437 0.799 20 0 DCADLN O=C(NCCCn1cc[nH+]c1)N1CC[C@H]2[C@@H]1CCC[N@H+]2CCO ZINC000891427153 658729074 /nfs/dbraw/zinc/72/90/74/658729074.db2.gz RELQTGKEOLSIHD-GJZGRUSLSA-N 0 2 321.425 0.514 20 0 DCADLN CC[C@@H](F)C(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC000949686356 658740779 /nfs/dbraw/zinc/74/07/79/658740779.db2.gz AGQCYEXWDZMWKR-GFCCVEGCSA-N 0 2 321.356 0.415 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cccnn1 ZINC001024729243 658782093 /nfs/dbraw/zinc/78/20/93/658782093.db2.gz LXXVKDVJTGZBSC-JTQLQIEISA-N 0 2 317.353 0.085 20 0 DCADLN CC1(C)C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973050544 658981818 /nfs/dbraw/zinc/98/18/18/658981818.db2.gz IIAWTBCHQATXEZ-VHSXEESVSA-N 0 2 307.398 0.883 20 0 DCADLN CC1(C)C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC000973050544 658981821 /nfs/dbraw/zinc/98/18/21/658981821.db2.gz IIAWTBCHQATXEZ-VHSXEESVSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1 ZINC001006617101 659191834 /nfs/dbraw/zinc/19/18/34/659191834.db2.gz VYUUHOMBJSTLOE-LBPRGKRZSA-N 0 2 301.350 0.905 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC2(CC2)C1 ZINC001008481461 659397610 /nfs/dbraw/zinc/39/76/10/659397610.db2.gz RMWYDSKAAJALLH-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN CCN(C(=O)[C@@H]1CCCO[C@H]1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949965793 659416917 /nfs/dbraw/zinc/41/69/17/659416917.db2.gz CJSFHNGIMIRKSW-CMPLNLGQSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)[C@@H]1CCCO[C@@H]1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949965796 659416946 /nfs/dbraw/zinc/41/69/46/659416946.db2.gz CJSFHNGIMIRKSW-ZYHUDNBSSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@H](C)C3CC3)C[C@H]21 ZINC000976928517 659498193 /nfs/dbraw/zinc/49/81/93/659498193.db2.gz VOTHSBVNOYVPOY-MQBJUPHKSA-N 0 2 316.405 0.880 20 0 DCADLN CC1(C)C[N@H+](CCCO)C[C@@H]1NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000974692866 659625350 /nfs/dbraw/zinc/62/53/50/659625350.db2.gz ORLZUJOLBSIDAM-BZPMIXESSA-N 0 2 306.410 0.722 20 0 DCADLN C[NH+](C)Cc1ccoc1C(=O)N[C@H]1C[N@H+](CCO)CC1(C)C ZINC000974977945 659748304 /nfs/dbraw/zinc/74/83/04/659748304.db2.gz ROIYSLYSYDAXOB-ZDUSSCGKSA-N 0 2 309.410 0.774 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982237973 661314786 /nfs/dbraw/zinc/31/47/86/661314786.db2.gz OZBUUWXQXMALCF-UHFFFAOYSA-N 0 2 319.369 0.198 20 0 DCADLN CC(=O)N1CC[C@H](CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC000982316549 661354432 /nfs/dbraw/zinc/35/44/32/661354432.db2.gz JMGAWSHCNUMKQD-LLVKDONJSA-N 0 2 316.365 0.408 20 0 DCADLN Cc1cc(N)nc(N2CC[NH+]([C@H]3CCNC(=O)CC3)CC2)[nH+]1 ZINC000894110345 661487588 /nfs/dbraw/zinc/48/75/88/661487588.db2.gz DEFIJKLEPMJBHO-GFCCVEGCSA-N 0 2 304.398 0.158 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CCC1(F)F ZINC001038399938 661715512 /nfs/dbraw/zinc/71/55/12/661715512.db2.gz VYVHDRQZJDMOQG-YUMQZZPRSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCN=c1nn[n-]n1Cc1ccccc1 ZINC000894520682 661976503 /nfs/dbraw/zinc/97/65/03/661976503.db2.gz CVPLTZZINYFGMV-KGLIPLIRSA-N 0 2 316.409 0.664 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCN=c1nn[n-]n1Cc1ccccc1 ZINC000894520682 661976504 /nfs/dbraw/zinc/97/65/04/661976504.db2.gz CVPLTZZINYFGMV-KGLIPLIRSA-N 0 2 316.409 0.664 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CCOCC1 ZINC001000369756 665829492 /nfs/dbraw/zinc/82/94/92/665829492.db2.gz HQIAOILCYQPUJZ-UHFFFAOYSA-N 0 2 321.381 0.185 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CCOCC1 ZINC001000369756 665829494 /nfs/dbraw/zinc/82/94/94/665829494.db2.gz HQIAOILCYQPUJZ-UHFFFAOYSA-N 0 2 321.381 0.185 20 0 DCADLN C[C@H]1C[C@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[nH]2)CO1 ZINC001029366174 662119235 /nfs/dbraw/zinc/11/92/35/662119235.db2.gz DBYUBLXKBNJGDX-FIQHERPVSA-N 0 2 321.381 0.111 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)[C@H]1CCCOC1 ZINC000909539324 662330025 /nfs/dbraw/zinc/33/00/25/662330025.db2.gz PNPWIIWOFXNZMM-GVXVVHGQSA-N 0 2 307.350 0.442 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCOC1 ZINC001000505689 665857212 /nfs/dbraw/zinc/85/72/12/665857212.db2.gz JROXEFJTZNMITH-LBPRGKRZSA-N 0 2 321.381 0.185 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCOC1 ZINC001000505689 665857215 /nfs/dbraw/zinc/85/72/15/665857215.db2.gz JROXEFJTZNMITH-LBPRGKRZSA-N 0 2 321.381 0.185 20 0 DCADLN O=C(Cc1ccco1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029521467 662351768 /nfs/dbraw/zinc/35/17/68/662351768.db2.gz HMCJMHNSFDFQBN-PHIMTYICSA-N 0 2 317.349 0.521 20 0 DCADLN C[N@H+](Cc1ccns1)C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC000985743297 662507451 /nfs/dbraw/zinc/50/74/51/662507451.db2.gz MYPZORRUSWECPV-UHFFFAOYSA-N 0 2 320.422 0.927 20 0 DCADLN C[N@@H+](Cc1ccns1)C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC000985743297 662507452 /nfs/dbraw/zinc/50/74/52/662507452.db2.gz MYPZORRUSWECPV-UHFFFAOYSA-N 0 2 320.422 0.927 20 0 DCADLN CC1(C)CN(C(=O)C[C@@H]2SC(=N)NC2=O)[C@H]1[C@@H]1CCCO1 ZINC000752973831 662645484 /nfs/dbraw/zinc/64/54/84/662645484.db2.gz ABHRTHAVDNZVCU-QXEWZRGKSA-N 0 2 311.407 0.959 20 0 DCADLN C[C@H](CN(C)c1cc(N2CCCC[C@H]2CO)nc[nH+]1)C(=O)[O-] ZINC000263565559 662718253 /nfs/dbraw/zinc/71/82/53/662718253.db2.gz VUIPQCXCVUEGFO-NEPJUHHUSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@H](CN(C)c1cc(N2CCCC[C@H]2CO)[nH+]cn1)C(=O)[O-] ZINC000263565559 662718254 /nfs/dbraw/zinc/71/82/54/662718254.db2.gz VUIPQCXCVUEGFO-NEPJUHHUSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@H](CN(C)c1cc(N2CCCC[C@@H]2CO)nc[nH+]1)C(=O)[O-] ZINC000263565562 662718307 /nfs/dbraw/zinc/71/83/07/662718307.db2.gz VUIPQCXCVUEGFO-VXGBXAGGSA-N 0 2 308.382 0.985 20 0 DCADLN C[C@H](CN(C)c1cc(N2CCCC[C@@H]2CO)[nH+]cn1)C(=O)[O-] ZINC000263565562 662718308 /nfs/dbraw/zinc/71/83/08/662718308.db2.gz VUIPQCXCVUEGFO-VXGBXAGGSA-N 0 2 308.382 0.985 20 0 DCADLN Cc1ncc(C(=O)N2C[C@@H]([NH2+]Cc3ccn(C)n3)C[C@@H]2C)[nH]1 ZINC000988364599 662758208 /nfs/dbraw/zinc/75/82/08/662758208.db2.gz GQUJDIWYIQFLSC-GWCFXTLKSA-N 0 2 302.382 0.844 20 0 DCADLN CCc1cc(C(=O)N2CC[C@H](NC(=O)c3cnn[nH]3)[C@H]2C)[nH]n1 ZINC000988870138 662868647 /nfs/dbraw/zinc/86/86/47/662868647.db2.gz OUMFKEPADJNTQQ-SCZZXKLOSA-N 0 2 317.353 0.123 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC000990108213 663004796 /nfs/dbraw/zinc/00/47/96/663004796.db2.gz VRHHTYGJOCHDBX-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnccn1 ZINC000990108213 663004798 /nfs/dbraw/zinc/00/47/98/663004798.db2.gz VRHHTYGJOCHDBX-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccc(=O)[nH]n1 ZINC000990150408 663009647 /nfs/dbraw/zinc/00/96/47/663009647.db2.gz PDTXVZWAAJIUHX-QMMMGPOBSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccc(=O)[nH]n1 ZINC000990150408 663009649 /nfs/dbraw/zinc/00/96/49/663009649.db2.gz PDTXVZWAAJIUHX-QMMMGPOBSA-N 0 2 322.218 0.023 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)on1 ZINC000990218868 663018129 /nfs/dbraw/zinc/01/81/29/663018129.db2.gz OBGQHIZXCUIVKV-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)on1 ZINC000990218868 663018130 /nfs/dbraw/zinc/01/81/30/663018130.db2.gz OBGQHIZXCUIVKV-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cn1cccc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990264236 663025458 /nfs/dbraw/zinc/02/54/58/663025458.db2.gz GKXWFYYSMHLITL-SECBINFHSA-N 0 2 307.247 0.866 20 0 DCADLN Cn1cccc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000990264236 663025459 /nfs/dbraw/zinc/02/54/59/663025459.db2.gz GKXWFYYSMHLITL-SECBINFHSA-N 0 2 307.247 0.866 20 0 DCADLN C[C@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)n1cccn1 ZINC000990298576 663029901 /nfs/dbraw/zinc/02/99/01/663029901.db2.gz BLAPHBWLJUMVDU-VXNVDRBHSA-N 0 2 322.262 0.672 20 0 DCADLN C[C@H](C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)n1cccn1 ZINC000990298576 663029902 /nfs/dbraw/zinc/02/99/02/663029902.db2.gz BLAPHBWLJUMVDU-VXNVDRBHSA-N 0 2 322.262 0.672 20 0 DCADLN Cn1cc(Cl)cc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038487488 663081055 /nfs/dbraw/zinc/08/10/55/663081055.db2.gz CHIGXJOVOLKHLF-SECBINFHSA-N 0 2 324.772 0.507 20 0 DCADLN COc1ccc(OC)c(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1 ZINC000899025219 663118145 /nfs/dbraw/zinc/11/81/45/663118145.db2.gz HWMYFSFCIQINRW-QMMMGPOBSA-N 0 2 307.306 0.631 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cnn3c2C[C@H](C)CC3)C(=O)N1C ZINC000899039371 663120234 /nfs/dbraw/zinc/12/02/34/663120234.db2.gz DIDVXUAHYSQNAQ-RKDXNWHRSA-N 0 2 305.338 0.393 20 0 DCADLN O=C(Cc1cccnc1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990854237 663263649 /nfs/dbraw/zinc/26/36/49/663263649.db2.gz AJIXXYVVKLHISM-NSHDSACASA-N 0 2 319.258 0.852 20 0 DCADLN O=C(Cc1cccnc1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990854237 663263651 /nfs/dbraw/zinc/26/36/51/663263651.db2.gz AJIXXYVVKLHISM-NSHDSACASA-N 0 2 319.258 0.852 20 0 DCADLN O=C([O-])C1(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)CCOCC1 ZINC000901702476 663363317 /nfs/dbraw/zinc/36/33/17/663363317.db2.gz CLCYAMQIBMWZIC-NSHDSACASA-N 0 2 307.350 0.443 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]Cc2cccc3c2OCCCO3)nn1 ZINC000901804297 663368579 /nfs/dbraw/zinc/36/85/79/663368579.db2.gz UOBLADCIPKUXCT-UHFFFAOYSA-N 0 2 318.333 0.814 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000902343460 663408175 /nfs/dbraw/zinc/40/81/75/663408175.db2.gz YLMWXNZHAJSIHK-JTQLQIEISA-N 0 2 309.391 0.972 20 0 DCADLN C[C@@H]1CCC[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031939627 665965468 /nfs/dbraw/zinc/96/54/68/665965468.db2.gz UYYQQPFXJLFIRD-PWSUYJOCSA-N 0 2 307.398 0.885 20 0 DCADLN C[C@H]([C@H]1C[N@H+](C)CCO1)N1C(=O)N[C@@H](C[NH+]2CCCCC2)C1=O ZINC000924804476 663581559 /nfs/dbraw/zinc/58/15/59/663581559.db2.gz GIMDFIXVZJHDEF-HZSPNIEDSA-N 0 2 324.425 0.112 20 0 DCADLN C[C@@H]1CCC[C@@H](C)N1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000907987134 663726981 /nfs/dbraw/zinc/72/69/81/663726981.db2.gz BNDCWXCOESPQHO-NXEZZACHSA-N 0 2 304.354 0.365 20 0 DCADLN C[C@H](C(=O)[O-])N(C(=O)NCC(C)(C)[NH+]1CCOCC1)C1CC1 ZINC000908747209 663767902 /nfs/dbraw/zinc/76/79/02/663767902.db2.gz INARTVMKHNESMN-LLVKDONJSA-N 0 2 313.398 0.744 20 0 DCADLN C/C=C\C[C@@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913800 663774002 /nfs/dbraw/zinc/77/40/02/663774002.db2.gz IZJIGJQNROYSMI-QMAVJUDZSA-N 0 2 313.398 0.816 20 0 DCADLN C/C=C\C[C@@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913800 663774003 /nfs/dbraw/zinc/77/40/03/663774003.db2.gz IZJIGJQNROYSMI-QMAVJUDZSA-N 0 2 313.398 0.816 20 0 DCADLN COC1(C[C@@H](NC(=O)[C@H]2CCc3c[nH+]cn3C2)C(=O)[O-])CCC1 ZINC000909894080 663817558 /nfs/dbraw/zinc/81/75/58/663817558.db2.gz QHGSBLLKSJKJMM-WCQYABFASA-N 0 2 321.377 0.974 20 0 DCADLN COC1(C[C@H](NC(=O)[C@H]2CCn3cc[nH+]c3C2)C(=O)[O-])CCC1 ZINC000909896704 663818460 /nfs/dbraw/zinc/81/84/60/663818460.db2.gz WVGHOUIHEWVTIR-RYUDHWBXSA-N 0 2 321.377 0.974 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000910235113 663856734 /nfs/dbraw/zinc/85/67/34/663856734.db2.gz CFEOYAHSAIKYKK-RWMBFGLXSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000910245417 663858936 /nfs/dbraw/zinc/85/89/36/663858936.db2.gz RBULHKKGLOVDBM-YRGRVCCFSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])CS[C@@H](CNC(=O)Cn1cc[nH+]c1)C(F)(F)F ZINC000910350244 663876322 /nfs/dbraw/zinc/87/63/22/663876322.db2.gz OQEFHSNCLMXCLM-ZETCQYMHSA-N 0 2 311.285 0.748 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000910403099 663877488 /nfs/dbraw/zinc/87/74/88/663877488.db2.gz ZWHQLBZECGVJEF-GFCCVEGCSA-N 0 2 324.381 0.365 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000910403099 663877494 /nfs/dbraw/zinc/87/74/94/663877494.db2.gz ZWHQLBZECGVJEF-GFCCVEGCSA-N 0 2 324.381 0.365 20 0 DCADLN O=C([O-])[C@@H](CC1CCOCC1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000910456859 663886280 /nfs/dbraw/zinc/88/62/80/663886280.db2.gz ZRTNZANHBXCCKC-QWHCGFSZSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1CNc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000910472009 663889126 /nfs/dbraw/zinc/88/91/26/663889126.db2.gz WHFJASDTVFGQLG-SRVKXCTJSA-N 0 2 306.366 0.818 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1CNc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000910472009 663889128 /nfs/dbraw/zinc/88/91/28/663889128.db2.gz WHFJASDTVFGQLG-SRVKXCTJSA-N 0 2 306.366 0.818 20 0 DCADLN O=C([O-])[C@@H]1[C@H](CNC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1(F)F ZINC000910487957 663892379 /nfs/dbraw/zinc/89/23/79/663892379.db2.gz QRYSBWUDKFYFTH-ONGXEEELSA-N 0 2 322.271 0.963 20 0 DCADLN C[C@@H]1C[N@@H+](CCO)[C@@H](C)CN1C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000910930247 663954007 /nfs/dbraw/zinc/95/40/07/663954007.db2.gz HDTWEPYXMCFPEV-QVHKTLOISA-N 0 2 320.437 0.989 20 0 DCADLN O=C([O-])c1cnc(CCNC(=O)[C@H]2CCn3cc[nH+]c3C2)s1 ZINC000911002883 663969793 /nfs/dbraw/zinc/96/97/93/663969793.db2.gz ZNFQNMXSNHOVSQ-VIFPVBQESA-N 0 2 320.374 0.959 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@@H]3CCCCO3)CC2)[nH+]c1 ZINC000911171400 664001015 /nfs/dbraw/zinc/00/10/15/664001015.db2.gz FAEIZGPIFHUXAE-ZDUSSCGKSA-N 0 2 319.361 0.998 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)C1(C(=O)[O-])CC2(CCC2)C1 ZINC000911195694 664008438 /nfs/dbraw/zinc/00/84/38/664008438.db2.gz AUWXZGCMOLSXFP-GFCCVEGCSA-N 0 2 310.394 0.858 20 0 DCADLN O=C([O-])C1(C(=O)NCc2ccn3cc[nH+]c3c2)CCOCC1 ZINC000911406420 664049876 /nfs/dbraw/zinc/04/98/76/664049876.db2.gz SEMIEBZHJKRSGR-UHFFFAOYSA-N 0 2 303.318 0.832 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)O[C@H]1C(=O)[O-] ZINC000911429375 664050356 /nfs/dbraw/zinc/05/03/56/664050356.db2.gz CIQYRDVFYFTZDD-FMKGYKFTSA-N 0 2 320.345 0.940 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(C(=O)Cn2ccc(C(=O)[O-])n2)C1 ZINC000911589315 664086569 /nfs/dbraw/zinc/08/65/69/664086569.db2.gz RARQPAIZBQXWQS-NSHDSACASA-N 0 2 317.349 0.721 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)nc1 ZINC000911730800 664106102 /nfs/dbraw/zinc/10/61/02/664106102.db2.gz FZJFUJNXKCZUOB-NSHDSACASA-N 0 2 300.318 0.855 20 0 DCADLN C[C@@]1(CO)C[C@@H](O)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000912656835 664201572 /nfs/dbraw/zinc/20/15/72/664201572.db2.gz XBBWZIKGJLWADE-WBMJQRKESA-N 0 2 317.345 0.536 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3oc(=S)[n-]c3c2)C[C@H]1CO ZINC000913543385 664346589 /nfs/dbraw/zinc/34/65/89/664346589.db2.gz HGDKXNFIYOGYSW-JTQLQIEISA-N 0 2 307.375 0.865 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3oc(=S)[n-]c3c2)C[C@H]1CO ZINC000913543385 664346591 /nfs/dbraw/zinc/34/65/91/664346591.db2.gz HGDKXNFIYOGYSW-JTQLQIEISA-N 0 2 307.375 0.865 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3[n-]c(=S)oc3c2)C[C@H]1CO ZINC000913548432 664350218 /nfs/dbraw/zinc/35/02/18/664350218.db2.gz LZQSLDHQXZXFRO-JTQLQIEISA-N 0 2 307.375 0.865 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3[n-]c(=S)oc3c2)C[C@H]1CO ZINC000913548432 664350219 /nfs/dbraw/zinc/35/02/19/664350219.db2.gz LZQSLDHQXZXFRO-JTQLQIEISA-N 0 2 307.375 0.865 20 0 DCADLN Cc1c(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)ccn1C ZINC000950356526 664405074 /nfs/dbraw/zinc/40/50/74/664405074.db2.gz WSZSFDQGOVGRDW-UHFFFAOYSA-N 0 2 304.354 0.115 20 0 DCADLN Cc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc(C)n1 ZINC001030376597 664523086 /nfs/dbraw/zinc/52/30/86/664523086.db2.gz QSMSZFUINODMRX-UHFFFAOYSA-N 0 2 302.338 0.136 20 0 DCADLN Cc1ccc(F)cc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038561776 664551932 /nfs/dbraw/zinc/55/19/32/664551932.db2.gz CGRAQQALZXIHCF-LLVKDONJSA-N 0 2 319.340 0.962 20 0 DCADLN Cc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(F)c1F ZINC001030994766 664751220 /nfs/dbraw/zinc/75/12/20/664751220.db2.gz NJPBMAWPVDXXHC-UHFFFAOYSA-N 0 2 323.303 0.711 20 0 DCADLN Cc1nc(C2CC2)oc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031074643 664785302 /nfs/dbraw/zinc/78/53/02/664785302.db2.gz RROOKVYLUJIPKR-UHFFFAOYSA-N 0 2 318.337 0.298 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc(F)c1F ZINC000730536120 664790490 /nfs/dbraw/zinc/79/04/90/664790490.db2.gz MAVJQLYALLXYDS-UHFFFAOYSA-N 0 2 305.244 0.988 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C)no1 ZINC000993008710 664791049 /nfs/dbraw/zinc/79/10/49/664791049.db2.gz QNHUOJUELVFJLU-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)no1 ZINC000993008712 664791196 /nfs/dbraw/zinc/79/11/96/664791196.db2.gz QNHUOJUELVFJLU-ZJUUUORDSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cnn(C)c2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993010121 664791568 /nfs/dbraw/zinc/79/15/68/664791568.db2.gz DWDJABFXDDXYFX-MWLCHTKSSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cocn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993100818 664808173 /nfs/dbraw/zinc/80/81/73/664808173.db2.gz DYJBFKUOOKPOIN-RKDXNWHRSA-N 0 2 306.326 0.281 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc(F)ccc1F ZINC000731840003 664885592 /nfs/dbraw/zinc/88/55/92/664885592.db2.gz YIKUPHGRCQCCLJ-UHFFFAOYSA-N 0 2 305.244 0.988 20 0 DCADLN CCc1cc(C)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001031262604 664958442 /nfs/dbraw/zinc/95/84/42/664958442.db2.gz KPUBVDCDDWSSSR-UHFFFAOYSA-N 0 2 305.338 0.588 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccsn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993487349 664975035 /nfs/dbraw/zinc/97/50/35/664975035.db2.gz FSUPSPHIOJUQEY-RKDXNWHRSA-N 0 2 322.394 0.750 20 0 DCADLN Cc1ncc(CC(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)o1 ZINC000993925858 665016077 /nfs/dbraw/zinc/01/60/77/665016077.db2.gz SCLLULBMXXLUSK-SNVBAGLBSA-N 0 2 323.246 0.753 20 0 DCADLN Cc1ncc(CC(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)o1 ZINC000993925858 665016079 /nfs/dbraw/zinc/01/60/79/665016079.db2.gz SCLLULBMXXLUSK-SNVBAGLBSA-N 0 2 323.246 0.753 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cccnn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994055842 665032997 /nfs/dbraw/zinc/03/29/97/665032997.db2.gz HDEYOONQGOESDT-UWVGGRQHSA-N 0 2 317.353 0.083 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2ccccc2o1 ZINC001031350883 665105645 /nfs/dbraw/zinc/10/56/45/665105645.db2.gz VCKVJDJUJBXKHE-UHFFFAOYSA-N 0 2 313.317 0.871 20 0 DCADLN CC[C@@H]1OCCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031721654 665328891 /nfs/dbraw/zinc/32/88/91/665328891.db2.gz HDHUCYZAVUXMTK-NEPJUHHUSA-N 0 2 323.397 0.264 20 0 DCADLN CC(C)[C@@H]1OCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031723744 665330918 /nfs/dbraw/zinc/33/09/18/665330918.db2.gz UBPZNUGIHJIXEC-YPMHNXCESA-N 0 2 323.397 0.119 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000938503945 665373903 /nfs/dbraw/zinc/37/39/03/665373903.db2.gz OYRDXPYMFUSZSX-CAHLUQPWSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC000938503945 665373905 /nfs/dbraw/zinc/37/39/05/665373905.db2.gz OYRDXPYMFUSZSX-CAHLUQPWSA-N 0 2 310.207 0.301 20 0 DCADLN Cc1conc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001358836 666039616 /nfs/dbraw/zinc/03/96/16/666039616.db2.gz YXONNXBVWXJEDG-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN Cc1conc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001358836 666039619 /nfs/dbraw/zinc/03/96/19/666039619.db2.gz YXONNXBVWXJEDG-UHFFFAOYSA-N 0 2 318.337 0.369 20 0 DCADLN Cc1cc(C[NH+]2CCC(CNC(=O)c3ncn[nH]3)CC2)on1 ZINC001001952782 666114197 /nfs/dbraw/zinc/11/41/97/666114197.db2.gz KZRPMJNILYRSRZ-UHFFFAOYSA-N 0 2 304.354 0.743 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCOCC1 ZINC001002708287 666209302 /nfs/dbraw/zinc/20/93/02/666209302.db2.gz CVKKJRFJLBPJRQ-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCC1(F)F ZINC001003123510 666275080 /nfs/dbraw/zinc/27/50/80/666275080.db2.gz LJNWPCCSRMZNOA-VIFPVBQESA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1(F)CCCC1 ZINC001003216154 666286645 /nfs/dbraw/zinc/28/66/45/666286645.db2.gz YWHQAULWMVXMAA-UHFFFAOYSA-N 0 2 311.361 0.873 20 0 DCADLN CC(C)[C@@]1(C)C[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032085907 666293202 /nfs/dbraw/zinc/29/32/02/666293202.db2.gz FVVNNFOGFHZNIJ-XHDPSFHLSA-N 0 2 307.398 0.741 20 0 DCADLN C[C@H]1CC[C@@H](CC(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001003556373 666327278 /nfs/dbraw/zinc/32/72/78/666327278.db2.gz NFBBEMHCLIBGHQ-JQWIXIFHSA-N 0 2 323.397 0.549 20 0 DCADLN C[C@H]1COCC[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003581693 666331401 /nfs/dbraw/zinc/33/14/01/666331401.db2.gz AVJXULGZGKEDSU-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN CN(C(=O)Cc1cccs1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032868808 666365852 /nfs/dbraw/zinc/36/58/52/666365852.db2.gz FFZLOVWXWAAHOJ-JTQLQIEISA-N 0 2 321.406 0.847 20 0 DCADLN CN(C(=O)Cc1cccs1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032868808 666365855 /nfs/dbraw/zinc/36/58/55/666365855.db2.gz FFZLOVWXWAAHOJ-JTQLQIEISA-N 0 2 321.406 0.847 20 0 DCADLN CCn1cccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032189222 666398824 /nfs/dbraw/zinc/39/88/24/666398824.db2.gz NGUVSAQSSSMERC-UHFFFAOYSA-N 0 2 304.354 0.194 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]n1)[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC000945599525 666632254 /nfs/dbraw/zinc/63/22/54/666632254.db2.gz VEDYQVHJTJTIRZ-UWVGGRQHSA-N 0 2 317.353 0.199 20 0 DCADLN C[C@H](NC(=O)c1cnn[nH]1)[C@H]1CCCN(C(=O)c2cc[nH]n2)C1 ZINC000945599525 666632256 /nfs/dbraw/zinc/63/22/56/666632256.db2.gz VEDYQVHJTJTIRZ-UWVGGRQHSA-N 0 2 317.353 0.199 20 0 DCADLN CN(C(=O)c1cc(F)c[nH]1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033276004 666658514 /nfs/dbraw/zinc/65/85/14/666658514.db2.gz RWOFYAOYMJEABZ-VIFPVBQESA-N 0 2 308.317 0.324 20 0 DCADLN Cc1nn[nH]c1C(=O)N(C)[C@@H]1CC[N@H+](Cc2cccnc2)C1 ZINC001033318528 666675350 /nfs/dbraw/zinc/67/53/50/666675350.db2.gz NKUKXCVSHHSLLA-CYBMUJFWSA-N 0 2 300.366 0.855 20 0 DCADLN CN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033351451 666686775 /nfs/dbraw/zinc/68/67/75/666686775.db2.gz QBCQDCAABGYQBV-QCNOEVLYSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033351451 666686776 /nfs/dbraw/zinc/68/67/76/666686776.db2.gz QBCQDCAABGYQBV-QCNOEVLYSA-N 0 2 305.382 0.589 20 0 DCADLN CC(C)[NH+]1CCO[C@H](C(=O)N(C)[C@@H]2CC[N@@H+](CCF)C2)C1 ZINC001033358083 666691176 /nfs/dbraw/zinc/69/11/76/666691176.db2.gz NNAGXCHEOYBQPL-KGLIPLIRSA-N 0 2 301.406 0.598 20 0 DCADLN CN(C(=O)C[C@H]1CCCO1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005138941 666702271 /nfs/dbraw/zinc/70/22/71/666702271.db2.gz YWTBWWCXLPCMIA-GFCCVEGCSA-N 0 2 323.397 0.502 20 0 DCADLN CN(C(=O)C1CC2(CC2)C1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033410094 666703256 /nfs/dbraw/zinc/70/32/56/666703256.db2.gz KPDVAKOAZCSGQR-LLVKDONJSA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)C1CC2(CC2)C1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033410094 666703258 /nfs/dbraw/zinc/70/32/58/666703258.db2.gz KPDVAKOAZCSGQR-LLVKDONJSA-N 0 2 305.382 0.733 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033403336 666707571 /nfs/dbraw/zinc/70/75/71/666707571.db2.gz UQXLKHWHYZKJSA-IJLUTSLNSA-N 0 2 307.398 0.979 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033403336 666707573 /nfs/dbraw/zinc/70/75/73/666707573.db2.gz UQXLKHWHYZKJSA-IJLUTSLNSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033489747 666730616 /nfs/dbraw/zinc/73/06/16/666730616.db2.gz JNEYLQONLWWYNR-GRYCIOLGSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033489747 666730620 /nfs/dbraw/zinc/73/06/20/666730620.db2.gz JNEYLQONLWWYNR-GRYCIOLGSA-N 0 2 305.382 0.589 20 0 DCADLN CCN(C(=O)c1cncs1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033763255 666818553 /nfs/dbraw/zinc/81/85/53/666818553.db2.gz PFXASYVJKGEMDS-VIFPVBQESA-N 0 2 322.394 0.703 20 0 DCADLN CCN(C(=O)[C@@H]1CC12CC2)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033976137 666883125 /nfs/dbraw/zinc/88/31/25/666883125.db2.gz SFHWMOFQMYMNFG-MNOVXSKESA-N 0 2 305.382 0.733 20 0 DCADLN CCN(C(=O)[C@@H]1CC12CC2)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033976137 666883128 /nfs/dbraw/zinc/88/31/28/666883128.db2.gz SFHWMOFQMYMNFG-MNOVXSKESA-N 0 2 305.382 0.733 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccon1 ZINC001034122687 666929200 /nfs/dbraw/zinc/92/92/00/666929200.db2.gz ZURDZYAOJJSTNM-VIFPVBQESA-N 0 2 306.326 0.283 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034150291 666938371 /nfs/dbraw/zinc/93/83/71/666938371.db2.gz MGAWKFBGPOMBHH-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034150291 666938372 /nfs/dbraw/zinc/93/83/72/666938372.db2.gz MGAWKFBGPOMBHH-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001034437876 667026256 /nfs/dbraw/zinc/02/62/56/667026256.db2.gz ZHVGNDQRDRIUBU-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001034437876 667026257 /nfs/dbraw/zinc/02/62/57/667026257.db2.gz ZHVGNDQRDRIUBU-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1cc(Cl)ccn1 ZINC000735886146 667056998 /nfs/dbraw/zinc/05/69/98/667056998.db2.gz GZZKAFPOIWDUSC-UHFFFAOYSA-N 0 2 319.708 0.863 20 0 DCADLN O=C(c1ccc(F)cn1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032367702 667073196 /nfs/dbraw/zinc/07/31/96/667073196.db2.gz KMVCBSFBEWNCCV-UWVGGRQHSA-N 0 2 318.312 0.143 20 0 DCADLN CS(=O)(=O)NC1CN(C(=O)c2cccc(Cl)c2O)C1 ZINC000862841383 667086453 /nfs/dbraw/zinc/08/64/53/667086453.db2.gz NLDNNEFVXKPDSJ-UHFFFAOYSA-N 0 2 304.755 0.419 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCNc1ccccc1 ZINC000736183412 667112073 /nfs/dbraw/zinc/11/20/73/667112073.db2.gz HCKMNARVSVZLFA-UHFFFAOYSA-N 0 2 312.333 0.300 20 0 DCADLN C[C@@H]1[C@H](NC(=O)N(CC2CC2)C[C@@H]2C[NH+](C)CCO2)CC[N@H+]1C ZINC000925340431 667143157 /nfs/dbraw/zinc/14/31/57/667143157.db2.gz ZBFLKKQSFFGWCR-VNQPRFMTSA-N 0 2 324.469 0.831 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@H]1CC[N@H+](CCn2cccn2)C1 ZINC001015995855 667292357 /nfs/dbraw/zinc/29/23/57/667292357.db2.gz BATOGIKFBXASEZ-ZDUSSCGKSA-N 0 2 302.382 0.050 20 0 DCADLN C[NH+](C)Cc1ccccc1C(=O)NC[C@H]1C[N@H+](CCO)CCO1 ZINC001035613967 667392828 /nfs/dbraw/zinc/39/28/28/667392828.db2.gz LPFHPKUUEAPOSW-HNNXBMFYSA-N 0 2 321.421 0.171 20 0 DCADLN C[NH+](C)Cc1ccccc1C(=O)NC[C@@H]1C[N@H+](CCO)CCO1 ZINC001035613970 667392910 /nfs/dbraw/zinc/39/29/10/667392910.db2.gz LPFHPKUUEAPOSW-OAHLLOKOSA-N 0 2 321.421 0.171 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NC[C@@H](O)c1ccccc1F ZINC000866833851 667404023 /nfs/dbraw/zinc/40/40/23/667404023.db2.gz UYIICYRRKFJCHE-WYRIXSBYSA-N 0 2 324.399 0.811 20 0 DCADLN Cc1nocc1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005923545 667462396 /nfs/dbraw/zinc/46/23/96/667462396.db2.gz MSGBWSKIYHJWPZ-UHFFFAOYSA-N 0 2 320.353 0.543 20 0 DCADLN CS[C@H]1C[C@H](NC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC000871091822 667788870 /nfs/dbraw/zinc/78/88/70/667788870.db2.gz AKNLBZKXOVNTKH-MGCOHNPYSA-N 0 2 306.391 0.884 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(CC(N)=O)cc2)S1 ZINC000742532090 667793236 /nfs/dbraw/zinc/79/32/36/667793236.db2.gz ISFRWVOFTJFLTB-VIFPVBQESA-N 0 2 306.347 0.209 20 0 DCADLN CCC[C@](C)(NC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC ZINC000742571487 667794215 /nfs/dbraw/zinc/79/42/15/667794215.db2.gz OETMASVLNPYZTQ-KRTXAFLBSA-N 0 2 301.368 0.391 20 0 DCADLN Cc1cc(NC(=O)CC2SC(=N)NC2=O)ccc1C(N)=O ZINC000742636526 667795668 /nfs/dbraw/zinc/79/56/68/667795668.db2.gz MTOUPVREQAVDTM-VIFPVBQESA-N 0 2 306.347 0.589 20 0 DCADLN CC(C)(C)[S@@](=O)CCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742667096 667796424 /nfs/dbraw/zinc/79/64/24/667796424.db2.gz PWMQWGCESFRLDQ-IIYDVTGLSA-N 0 2 305.425 0.206 20 0 DCADLN COC(=O)CCN(C(=O)C[C@H]1SC(=N)NC1=O)C(C)C ZINC000742671247 667796964 /nfs/dbraw/zinc/79/69/64/667796964.db2.gz HCDWJMGTSXHPOT-MRVPVSSYSA-N 0 2 301.368 0.343 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](O)[C@@H]3CCCC[C@@H]32)S1 ZINC000871197038 667800723 /nfs/dbraw/zinc/80/07/23/667800723.db2.gz FHPLQAMDXNXWHC-RCWTZXSCSA-N 0 2 311.407 0.695 20 0 DCADLN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)c1cc(F)c(O)c(F)c1 ZINC000871564900 667837150 /nfs/dbraw/zinc/83/71/50/667837150.db2.gz IHTHGXFOAQTULR-JMCQJSRRSA-N 0 2 315.272 0.978 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)C(C)(C)C1 ZINC000871930442 667857906 /nfs/dbraw/zinc/85/79/06/667857906.db2.gz NZIUEUSRQYRYDE-UHFFFAOYSA-N 0 2 301.368 0.428 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)C(C)(C)C1 ZINC000871930442 667857910 /nfs/dbraw/zinc/85/79/10/667857910.db2.gz NZIUEUSRQYRYDE-UHFFFAOYSA-N 0 2 301.368 0.428 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccn(CC(F)(F)F)n2)S1 ZINC000746274992 667869758 /nfs/dbraw/zinc/86/97/58/667869758.db2.gz GALNWEMVWSOALM-RXMQYKEDSA-N 0 2 321.284 0.940 20 0 DCADLN CCc1ccc(O)c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000746412262 667875650 /nfs/dbraw/zinc/87/56/50/667875650.db2.gz NXNOJYPFWGGWIZ-UHFFFAOYSA-N 0 2 313.317 0.978 20 0 DCADLN CN1CCn2nc(NS(=O)(=O)CCOCC3CC3)cc2C1 ZINC000872410890 667893933 /nfs/dbraw/zinc/89/39/33/667893933.db2.gz QQLBCJIZTDBSEU-UHFFFAOYSA-N 0 2 314.411 0.497 20 0 DCADLN O=C(CSc1nnnn1C1CC1)NOCc1cccnc1 ZINC000747169748 667896349 /nfs/dbraw/zinc/89/63/49/667896349.db2.gz GIQRXMBVFXLLGS-UHFFFAOYSA-N 0 2 306.351 0.743 20 0 DCADLN CC1=NO[C@@H](CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)C1 ZINC000872494389 667902724 /nfs/dbraw/zinc/90/27/24/667902724.db2.gz GZNGBROZKSYOHX-DYBLOJMWSA-N 0 2 311.429 0.882 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N[C@H]3C[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873065674 667969022 /nfs/dbraw/zinc/96/90/22/667969022.db2.gz JHOIWAISEGTCHS-KXNHARMFSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N[C@H]3C[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873065674 667969024 /nfs/dbraw/zinc/96/90/24/667969024.db2.gz JHOIWAISEGTCHS-KXNHARMFSA-N 0 2 306.366 0.959 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1c(O)cc(Cl)cc1Cl ZINC000751059207 667995255 /nfs/dbraw/zinc/99/52/55/667995255.db2.gz XLKZYHVRNMKQHN-UHFFFAOYSA-N 0 2 304.089 0.896 20 0 DCADLN COC(=O)C1(CNC(=O)C[C@@H]2SC(=N)NC2=O)CCCC1 ZINC000752669286 668020505 /nfs/dbraw/zinc/02/05/05/668020505.db2.gz LKHAOQAABBJYRB-QMMMGPOBSA-N 0 2 313.379 0.392 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2OCC(=O)N3)S1 ZINC000752985091 668024438 /nfs/dbraw/zinc/02/44/38/668024438.db2.gz GKBKVGRAALOWSX-MRVPVSSYSA-N 0 2 320.330 0.512 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC(C)(C)C1 ZINC000753015797 668024663 /nfs/dbraw/zinc/02/46/63/668024663.db2.gz IJJUZLZICFHCMB-JTQLQIEISA-N 0 2 303.366 0.966 20 0 DCADLN Cc1nnccc1C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000753770766 668034933 /nfs/dbraw/zinc/03/49/33/668034933.db2.gz RYVJKDQTOKLRJC-UHFFFAOYSA-N 0 2 303.322 0.685 20 0 DCADLN CC(=O)NC(=Cc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000754355276 668044818 /nfs/dbraw/zinc/04/48/18/668044818.db2.gz PZHSMUKBPAWDEG-YRNVUSSQSA-N 0 2 301.306 0.304 20 0 DCADLN CNC(=O)C(=O)Nc1cc(F)cc(CNC(=O)C(F)(F)F)c1 ZINC000873650393 668097613 /nfs/dbraw/zinc/09/76/13/668097613.db2.gz ZPCJTTVGIBFUQQ-UHFFFAOYSA-N 0 2 321.230 0.689 20 0 DCADLN C[C@H]1N(C(=O)C[C@H]2SC(=N)NC2=O)CCC12CCOCC2 ZINC000756831157 668102144 /nfs/dbraw/zinc/10/21/44/668102144.db2.gz LOVMJGIWBWBLMI-NXEZZACHSA-N 0 2 311.407 0.960 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(C2N=NC(=S)O2)cc1 ZINC000759914059 668246170 /nfs/dbraw/zinc/24/61/70/668246170.db2.gz CWPWHVGPXDYQOZ-UHFFFAOYSA-N 0 2 318.318 0.779 20 0 DCADLN CN(Cc1cccn1C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000760773831 668287062 /nfs/dbraw/zinc/28/70/62/668287062.db2.gz UEMRBVULNLWGAR-UHFFFAOYSA-N 0 2 300.322 0.068 20 0 DCADLN CC(C)OCCCc1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000762225878 668352608 /nfs/dbraw/zinc/35/26/08/668352608.db2.gz LWCMDOHLRXBOQD-UHFFFAOYSA-N 0 2 318.337 0.860 20 0 DCADLN CC(C)c1nnc([C@@H](C)NC(=O)C[C@H]2SC(=N)NC2=O)[nH]1 ZINC000763191610 668400122 /nfs/dbraw/zinc/40/01/22/668400122.db2.gz CYEVGJTVVAJYLJ-RNFRBKRXSA-N 0 2 310.383 0.662 20 0 DCADLN O=C([O-])C[NH+]1CCN(S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000926581230 668414034 /nfs/dbraw/zinc/41/40/34/668414034.db2.gz KOHYBNPITBBIGH-UHFFFAOYSA-N 0 2 320.317 0.356 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccnn1CC1CC1 ZINC000763797636 668422924 /nfs/dbraw/zinc/42/29/24/668422924.db2.gz VDCNWIMGOHDSIX-UHFFFAOYSA-N 0 2 313.321 0.316 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC000764628744 668455576 /nfs/dbraw/zinc/45/55/76/668455576.db2.gz XGLYJLICJVDPSZ-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC000764628744 668455578 /nfs/dbraw/zinc/45/55/78/668455578.db2.gz XGLYJLICJVDPSZ-RNFRBKRXSA-N 0 2 306.281 0.427 20 0 DCADLN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(F)C(F)(F)F)O1 ZINC000764645846 668456268 /nfs/dbraw/zinc/45/62/68/668456268.db2.gz AJSZNYDQAQQFNJ-BIIVOSGPSA-N 0 2 300.252 0.639 20 0 DCADLN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)O1 ZINC000764645846 668456271 /nfs/dbraw/zinc/45/62/71/668456271.db2.gz AJSZNYDQAQQFNJ-BIIVOSGPSA-N 0 2 300.252 0.639 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2CCCCC23OCCO3)S1 ZINC000765192288 668480603 /nfs/dbraw/zinc/48/06/03/668480603.db2.gz AEJZKHFCGKAOOU-DTWKUNHWSA-N 0 2 313.379 0.345 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](C(N)=O)c2ccc(F)cc2)S1 ZINC000768540292 668613404 /nfs/dbraw/zinc/61/34/04/668613404.db2.gz WHVHPDNMUAKYRG-WPRPVWTQSA-N 0 2 324.337 0.025 20 0 DCADLN CCOC(=O)CN(C(=O)C[C@@H]1SC(=N)NC1=O)C1CCC1 ZINC000768608125 668616259 /nfs/dbraw/zinc/61/62/59/668616259.db2.gz XZDVYDDUNHKKJZ-VIFPVBQESA-N 0 2 313.379 0.487 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CCOCN2)o1)C(F)(F)F ZINC000928942712 668637850 /nfs/dbraw/zinc/63/78/50/668637850.db2.gz OHYZMJDXVSCKQU-UHFFFAOYSA-N 0 2 307.228 0.393 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2ccc(C)nc2OC)C1=O ZINC000769856138 668666849 /nfs/dbraw/zinc/66/68/49/668666849.db2.gz SSYHKCBMMUVYKV-CQSZACIVSA-N 0 2 306.322 0.764 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCCc1cccs1 ZINC000770220856 668684441 /nfs/dbraw/zinc/68/44/41/668684441.db2.gz BEBSOGNGCODMPP-UHFFFAOYSA-N 0 2 317.374 0.882 20 0 DCADLN CC[C@@]1(C)COCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000770879456 668721844 /nfs/dbraw/zinc/72/18/44/668721844.db2.gz DASICBLTOSATMF-AWEZNQCLSA-N 0 2 305.338 0.099 20 0 DCADLN CCOCCONC(=O)CSCC(=O)N1CCCCC1 ZINC000772696168 668781296 /nfs/dbraw/zinc/78/12/96/668781296.db2.gz JBMWQXAYSROMBY-UHFFFAOYSA-N 0 2 304.412 0.816 20 0 DCADLN C[C@H](OC[C@H]1CCCO1)C(=O)NCCc1n[nH]c(=S)o1 ZINC000773088751 668793089 /nfs/dbraw/zinc/79/30/89/668793089.db2.gz OQVAUXHPBAQDRV-DTWKUNHWSA-N 0 2 301.368 0.601 20 0 DCADLN CCOC(=O)c1[nH]c(C)c(C(=O)OCc2n[nH]c(=O)[nH]2)c1C ZINC000774872807 668849220 /nfs/dbraw/zinc/84/92/20/668849220.db2.gz GARFCLXDKBQTBX-UHFFFAOYSA-N 0 2 308.294 0.989 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cn(Cc2ccccc2)nn1 ZINC000774911398 668850868 /nfs/dbraw/zinc/85/08/68/668850868.db2.gz CRPHYGHELVZIIA-UHFFFAOYSA-N 0 2 300.278 0.507 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(-n2cnnn2)cc1Cl ZINC000774947241 668852456 /nfs/dbraw/zinc/85/24/56/668852456.db2.gz NLTVMXIVWIUIAC-UHFFFAOYSA-N 0 2 321.684 0.496 20 0 DCADLN O=C(CN1C(=O)CCc2ccccc21)OCc1n[nH]c(=O)[nH]1 ZINC000774946189 668852467 /nfs/dbraw/zinc/85/24/67/668852467.db2.gz BQLPEONTRXIEFS-UHFFFAOYSA-N 0 2 302.290 0.533 20 0 DCADLN NS(=O)(=O)[C@@H]1CCN(C(=O)c2cccc(Cl)c2O)C1 ZINC000775737392 668867088 /nfs/dbraw/zinc/86/70/88/668867088.db2.gz STGJVFSZDVBONC-SSDOTTSWSA-N 0 2 304.755 0.549 20 0 DCADLN CCn1cc(-c2n[nH]cc2C(=O)OCc2n[nH]c(=O)[nH]2)cn1 ZINC000778496894 668900334 /nfs/dbraw/zinc/90/03/34/668900334.db2.gz OQHFOSWNJCUAPG-UHFFFAOYSA-N 0 2 303.282 0.474 20 0 DCADLN COC[C@H](C)n1ccc(NC(=O)CC2SC(=N)NC2=O)n1 ZINC000779346635 668909995 /nfs/dbraw/zinc/90/99/95/668909995.db2.gz KPXANIVWVZYKNY-JGVFFNPUSA-N 0 2 311.367 0.585 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCO[C@@H](CF)C1 ZINC000839804374 668914712 /nfs/dbraw/zinc/91/47/12/668914712.db2.gz OIGWBCCUZJWGGZ-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC000127292486 668914743 /nfs/dbraw/zinc/91/47/43/668914743.db2.gz LMNQJFJEFUNLFC-VXGBXAGGSA-N 0 2 318.333 0.063 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(CC[S@@](=O)C(F)(F)F)C2)[nH]1 ZINC000930499065 669029762 /nfs/dbraw/zinc/02/97/62/669029762.db2.gz UIEMDLKCMQXKRZ-JLPJYYFKSA-N 0 2 312.317 0.958 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)NN2Cc3ccccc3C2=O)co1 ZINC000782068517 669078561 /nfs/dbraw/zinc/07/85/61/669078561.db2.gz HJFOPVLGNPEYGI-UHFFFAOYSA-N 0 2 321.314 0.228 20 0 DCADLN COC(=O)[C@@]1(O)CCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000880563844 669237308 /nfs/dbraw/zinc/23/73/08/669237308.db2.gz VVQJHSVHGLPPCL-CYBMUJFWSA-N 0 2 309.347 0.507 20 0 DCADLN O=C([N-]O[C@@H]1CCCCO1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000785385214 669252439 /nfs/dbraw/zinc/25/24/39/669252439.db2.gz IXIHKSCUHLVNBV-GFCCVEGCSA-N 0 2 304.306 0.847 20 0 DCADLN Cc1cc(C)n(CCn2cc(CNC(=O)C(F)(F)F)nn2)n1 ZINC000881284468 669316786 /nfs/dbraw/zinc/31/67/86/669316786.db2.gz FJMJJLCXBFAUBB-UHFFFAOYSA-N 0 2 316.287 0.970 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)O[C@H](C)c1nnnn1C ZINC000786776906 669330186 /nfs/dbraw/zinc/33/01/86/669330186.db2.gz LDTLIGXIVJZFGI-RQJHMYQMSA-N 0 2 323.275 0.517 20 0 DCADLN NC(=O)c1cc(Cl)cc(S(=O)(=O)Nc2cn[nH]c2)c1 ZINC000788220025 669422779 /nfs/dbraw/zinc/42/27/79/669422779.db2.gz HKLJVBVAFMBLAH-UHFFFAOYSA-N 0 2 300.727 0.963 20 0 DCADLN O=C(CSCC(=O)N1CCCCC1)NOC[C@H]1CCOC1 ZINC000788269610 669424982 /nfs/dbraw/zinc/42/49/82/669424982.db2.gz BSADRZBQALHATR-LBPRGKRZSA-N 0 2 316.423 0.816 20 0 DCADLN CCCN1CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1=O ZINC000788555402 669447318 /nfs/dbraw/zinc/44/73/18/669447318.db2.gz RRAXFFLRCAITKW-VIFPVBQESA-N 0 2 312.395 0.014 20 0 DCADLN Cc1cc(F)cc(-n2cc(C(=O)OCc3n[nH]c(=O)[nH]3)nn2)c1 ZINC000789057365 669468954 /nfs/dbraw/zinc/46/89/54/669468954.db2.gz GQNWYXPGNLVSJU-UHFFFAOYSA-N 0 2 318.268 0.896 20 0 DCADLN CCc1[nH]nc(C(=O)OCc2n[nH]c(=O)[nH]2)c1Br ZINC000789145538 669473890 /nfs/dbraw/zinc/47/38/90/669473890.db2.gz TZAZVFVBQKUCHJ-UHFFFAOYSA-N 0 2 316.115 0.915 20 0 DCADLN O=C(NCc1ccc(C(=O)N2C[C@H]3C[C@@]3(O)C2)o1)C(F)(F)F ZINC000882347703 669506267 /nfs/dbraw/zinc/50/62/67/669506267.db2.gz DQDHWMSFMSOVDA-JMCQJSRRSA-N 0 2 318.251 0.665 20 0 DCADLN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000789805742 669511145 /nfs/dbraw/zinc/51/11/45/669511145.db2.gz INALQEXBNQEQQG-MRVPVSSYSA-N 0 2 323.353 0.384 20 0 DCADLN Cn1ncc2ccc(CNC(=O)C[C@H]3SC(=N)NC3=O)cc21 ZINC000794355175 669826563 /nfs/dbraw/zinc/82/65/63/669826563.db2.gz RGCOYTGXSVJRBS-LLVKDONJSA-N 0 2 317.374 0.746 20 0 DCADLN Cn1c2cc(NC(=O)CC3SC(=N)NC3=O)ccc2[nH]c1=O ZINC000799822624 670074007 /nfs/dbraw/zinc/07/40/07/670074007.db2.gz JCHXSTROUBYEIH-VIFPVBQESA-N 0 2 319.346 0.774 20 0 DCADLN Cc1nc2cccc(C(=O)N3CCO[C@H](c4nn[nH]n4)C3)c2[nH]1 ZINC000801885839 670144036 /nfs/dbraw/zinc/14/40/36/670144036.db2.gz TVQPLNPFKUSLSW-NSHDSACASA-N 0 2 313.321 0.598 20 0 DCADLN CCN(C(=O)[C@]12C[C@H]1CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950936716 670157158 /nfs/dbraw/zinc/15/71/58/670157158.db2.gz PDXBJXWHMOKKID-MEBBXXQBSA-N 0 2 305.382 0.733 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951023355 670178959 /nfs/dbraw/zinc/17/89/59/670178959.db2.gz QFMQMPPAHNXURM-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000951023355 670178962 /nfs/dbraw/zinc/17/89/62/670178962.db2.gz QFMQMPPAHNXURM-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN COCc1cnc(NC(=O)CC2SC(=N)NC2=O)s1 ZINC000804305102 670289964 /nfs/dbraw/zinc/28/99/64/670289964.db2.gz DWNLIPZGMZZYQJ-ZCFIWIBFSA-N 0 2 300.365 0.784 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnon1 ZINC000951765359 670361888 /nfs/dbraw/zinc/36/18/88/670361888.db2.gz FYSIQSUHPMQISW-VDTYLAMSSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cnon1 ZINC000951765359 670361891 /nfs/dbraw/zinc/36/18/91/670361891.db2.gz FYSIQSUHPMQISW-VDTYLAMSSA-N 0 2 310.207 0.301 20 0 DCADLN CC1(C)CCC[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949725186 670415310 /nfs/dbraw/zinc/41/53/10/670415310.db2.gz JMHUQOZVWJEZNP-NSHDSACASA-N 0 2 307.398 0.981 20 0 DCADLN CCN(C(=O)c1cscn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949776461 670428665 /nfs/dbraw/zinc/42/86/65/670428665.db2.gz KXZCSXXXHBNGSX-UHFFFAOYSA-N 0 2 308.367 0.313 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1ccc(OCC(F)(F)F)o1 ZINC000847347414 670539631 /nfs/dbraw/zinc/53/96/31/670539631.db2.gz AGGTWBIHHKNTJU-UHFFFAOYSA-N 0 2 307.184 0.417 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000814960603 670710254 /nfs/dbraw/zinc/71/02/54/670710254.db2.gz QKLDIORSGWWRHK-HTQZYQBOSA-N 0 2 320.308 0.817 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000814960603 670710255 /nfs/dbraw/zinc/71/02/55/670710255.db2.gz QKLDIORSGWWRHK-HTQZYQBOSA-N 0 2 320.308 0.817 20 0 DCADLN COCc1nnc(CNC(=O)Cc2sc(=S)[nH]c2C)[nH]1 ZINC000855520330 670728146 /nfs/dbraw/zinc/72/81/46/670728146.db2.gz DXRWXOSUFXTDHH-UHFFFAOYSA-N 0 2 313.408 0.864 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCc2ccccc21 ZINC000855740446 670753208 /nfs/dbraw/zinc/75/32/08/670753208.db2.gz OADBBFIULHGCOM-CYBMUJFWSA-N 0 2 309.329 0.875 20 0 DCADLN NC(=O)C[C@@H](NC(=O)C(F)C(F)(F)F)C1CCOCC1 ZINC000815746958 670760080 /nfs/dbraw/zinc/76/00/80/670760080.db2.gz AYHVPFADVYVKNF-VXNVDRBHSA-N 0 2 300.252 0.674 20 0 DCADLN NC(=O)C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CCOCC1 ZINC000815746958 670760088 /nfs/dbraw/zinc/76/00/88/670760088.db2.gz AYHVPFADVYVKNF-VXNVDRBHSA-N 0 2 300.252 0.674 20 0 DCADLN COC(=O)[C@H](O)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000815849264 670767004 /nfs/dbraw/zinc/76/70/04/670767004.db2.gz KKJUSSGRPJZBSV-SFYZADRCSA-N 0 2 301.236 0.659 20 0 DCADLN COC(=O)[C@H](O)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000815849264 670767007 /nfs/dbraw/zinc/76/70/07/670767007.db2.gz KKJUSSGRPJZBSV-SFYZADRCSA-N 0 2 301.236 0.659 20 0 DCADLN CCn1ncn(NC(=O)c2cnc(-c3ccncc3)s2)c1=O ZINC000816739027 670855298 /nfs/dbraw/zinc/85/52/98/670855298.db2.gz UKTKJVBSMBRIIB-UHFFFAOYSA-N 0 2 316.346 0.967 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[N-]OCC1CC1 ZINC000817344725 670912602 /nfs/dbraw/zinc/91/26/02/670912602.db2.gz ROFRNNBQVQVYGH-CYBMUJFWSA-N 0 2 308.382 0.941 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[N-]OCC1CC1 ZINC000817344725 670912603 /nfs/dbraw/zinc/91/26/03/670912603.db2.gz ROFRNNBQVQVYGH-CYBMUJFWSA-N 0 2 308.382 0.941 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)C1 ZINC000857860853 670955586 /nfs/dbraw/zinc/95/55/86/670955586.db2.gz MOOXVZNJMBXVOI-FOGDFJRCSA-N 0 2 315.381 0.995 20 0 DCADLN CCO[C@H]1COCC[C@@H]1CC(=O)NCCc1n[nH]c(=S)o1 ZINC000867484044 670969136 /nfs/dbraw/zinc/96/91/36/670969136.db2.gz PXYJCXRBBHQZRN-ZJUUUORDSA-N 0 2 315.395 0.849 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N[C@@H]3CC[C@H]3O)cc2[nH]c1=S ZINC000819684279 671132798 /nfs/dbraw/zinc/13/27/98/671132798.db2.gz YQZJDAGAHNIRHO-ZYHUDNBSSA-N 0 2 319.386 0.958 20 0 DCADLN O=C(NC1(CO)CC1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860388255 671203526 /nfs/dbraw/zinc/20/35/26/671203526.db2.gz SMSZWBUSLBRQCK-UHFFFAOYSA-N 0 2 306.240 0.713 20 0 DCADLN COC(=O)c1sccc1NS(=O)(=O)N=S(C)(C)=O ZINC000821132545 671255529 /nfs/dbraw/zinc/25/55/29/671255529.db2.gz SGXNTXNIFWDNFE-UHFFFAOYSA-N 0 2 312.394 0.919 20 0 DCADLN O=C(NOCCC(F)(F)F)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC000860996307 671258068 /nfs/dbraw/zinc/25/80/68/671258068.db2.gz WBHQXSYYAPOFKN-HGIWHZBTSA-N 0 2 309.240 0.707 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)Nc1ccc2n[nH]nc2c1 ZINC000821185399 671260764 /nfs/dbraw/zinc/26/07/64/671260764.db2.gz OAGLOVMFXKWJPJ-UHFFFAOYSA-N 0 2 315.380 0.876 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)Nc1ccc2[nH]nnc2c1 ZINC000821185399 671260767 /nfs/dbraw/zinc/26/07/67/671260767.db2.gz OAGLOVMFXKWJPJ-UHFFFAOYSA-N 0 2 315.380 0.876 20 0 DCADLN O=C(c1cc(F)cc(Cl)c1O)N1C[C@H](CO)[C@H](CO)C1 ZINC000829185970 671569808 /nfs/dbraw/zinc/56/98/08/671569808.db2.gz YYRVEOSKUDWTSV-OCAPTIKFSA-N 0 2 303.717 0.858 20 0 DCADLN CCN(CC)S(=O)(=O)N1CC[NH+](C/C=C(/C)C(=O)[O-])CC1 ZINC000829679925 671584040 /nfs/dbraw/zinc/58/40/40/671584040.db2.gz IXMIWUAURUYAQM-SDQBBNPISA-N 0 2 319.427 0.222 20 0 DCADLN CO[N-]C(=O)CNC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000832753868 671739555 /nfs/dbraw/zinc/73/95/55/671739555.db2.gz WETDLVRSGCCNIE-UHFFFAOYSA-N 0 2 302.334 0.268 20 0 DCADLN O=C([O-])N1CCN2C(=O)N(C[N@@H+]3CCOCC4(CC4)C3)C[C@H]2C1 ZINC000834115692 671751517 /nfs/dbraw/zinc/75/15/17/671751517.db2.gz FLFSORRXXHZKIN-GFCCVEGCSA-N 0 2 324.381 0.156 20 0 DCADLN O=C([O-])N1CCN2C(=O)N(C[N@H+]3CCOCC4(CC4)C3)C[C@H]2C1 ZINC000834115692 671751519 /nfs/dbraw/zinc/75/15/19/671751519.db2.gz FLFSORRXXHZKIN-GFCCVEGCSA-N 0 2 324.381 0.156 20 0 DCADLN CCO[C@@H]1C[C@H](NS(=O)(=O)N=[S@](C)(=O)CC)[C@@H]1OC ZINC000867107460 671751901 /nfs/dbraw/zinc/75/19/01/671751901.db2.gz XJGVTXYHGKBZRR-ULGCULRUSA-N 0 2 314.429 0.131 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000834679192 671776075 /nfs/dbraw/zinc/77/60/75/671776075.db2.gz XQJSPKSXUCLHGV-BDAKNGLRSA-N 0 2 307.379 0.472 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCO[C@@H]2CCCCO2)S1 ZINC000834831305 671783546 /nfs/dbraw/zinc/78/35/46/671783546.db2.gz JDANQNVAWBSKMD-MWLCHTKSSA-N 0 2 315.395 0.592 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC12CCC(CC1)CC2 ZINC000836876055 671836762 /nfs/dbraw/zinc/83/67/62/671836762.db2.gz LNVVCVHIGNUXJK-UHFFFAOYSA-N 0 2 301.350 0.910 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@@H](CO)CC(C)(C)C ZINC000836970861 671841201 /nfs/dbraw/zinc/84/12/01/671841201.db2.gz VIJDZRTYMBYSNK-SNVBAGLBSA-N 0 2 321.381 0.327 20 0 DCADLN O=C([C@@H](O)c1cccnc1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843875263 672126661 /nfs/dbraw/zinc/12/66/61/672126661.db2.gz LAVPRXRTJGCDOO-NSHDSACASA-N 0 2 303.322 0.345 20 0 DCADLN O=C([C@H](O)c1ccccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843876882 672126812 /nfs/dbraw/zinc/12/68/12/672126812.db2.gz ATQGULPUKHZKFV-GXSJLCMTSA-N 0 2 303.322 0.345 20 0 DCADLN O=C([C@H](O)c1cccnc1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843878108 672126824 /nfs/dbraw/zinc/12/68/24/672126824.db2.gz LMGOSXNTULUHAD-WDEREUQCSA-N 0 2 303.322 0.345 20 0 DCADLN CC[C@H](C)C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000843940326 672129957 /nfs/dbraw/zinc/12/99/57/672129957.db2.gz RHAUHYIZGPCDTC-CIUDSAMLSA-N 0 2 315.395 0.637 20 0 DCADLN CC[C@H](C)C[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000843940329 672130027 /nfs/dbraw/zinc/13/00/27/672130027.db2.gz RHAUHYIZGPCDTC-YIZRAAEISA-N 0 2 315.395 0.637 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000845541064 672257717 /nfs/dbraw/zinc/25/77/17/672257717.db2.gz FODZILUHYAEXQV-VXGBXAGGSA-N 0 2 309.370 0.543 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)C[C@@H]1CCCOC1)n1cc[nH+]c1 ZINC000845566810 672263276 /nfs/dbraw/zinc/26/32/76/672263276.db2.gz PUWZNHZGUCMYHM-NWDGAFQWSA-N 0 2 315.395 0.707 20 0 DCADLN Cc1nc(S(=O)(=O)[N-]C(=O)C[C@@H](C)n2cc[nH+]c2)cn1C ZINC000845598750 672265610 /nfs/dbraw/zinc/26/56/10/672265610.db2.gz UZBOCNSBHPRANJ-SECBINFHSA-N 0 2 311.367 0.381 20 0 DCADLN O=C([O-])c1n[nH+]c2ccccc2c1N1CC[C@H](O)[C@@H](CO)C1 ZINC000396808582 685271827 /nfs/dbraw/zinc/27/18/27/685271827.db2.gz NIEXUGRTGCDPRT-SKDRFNHKSA-N 0 2 303.318 0.508 20 0 DCADLN O=C(NC1CC1)c1cccc(C(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000784260476 685342211 /nfs/dbraw/zinc/34/22/11/685342211.db2.gz DODKNFCWPBSART-UHFFFAOYSA-N 0 2 302.290 0.760 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OC1CCN(C#N)CC1 ZINC000816253039 685352815 /nfs/dbraw/zinc/35/28/15/685352815.db2.gz BWQBPTMHVYGLSI-UHFFFAOYSA-N 0 2 307.272 0.932 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024832768 693839904 /nfs/dbraw/zinc/83/99/04/693839904.db2.gz MDDHRPMBMDYPMV-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN Cc1ccc(C(=O)NNC(=O)c2ccc3c(c2)[nH]c(=O)n3C)o1 ZINC000084374068 685086836 /nfs/dbraw/zinc/08/68/36/685086836.db2.gz XLIZBTPRNAAFIE-UHFFFAOYSA-N 0 2 314.301 0.843 20 0 DCADLN COC[C@@H]1C[N@H+](CC(=O)[N-]OCc2ccccc2)C[C@H](C)O1 ZINC000251714506 685185669 /nfs/dbraw/zinc/18/56/69/685185669.db2.gz KRBQDUKUVQFGOB-ZFWWWQNUSA-N 0 2 308.378 0.970 20 0 DCADLN COC[C@@H]1C[N@@H+](CC(=O)[N-]OCc2ccccc2)C[C@H](C)O1 ZINC000251714506 685185673 /nfs/dbraw/zinc/18/56/73/685185673.db2.gz KRBQDUKUVQFGOB-ZFWWWQNUSA-N 0 2 308.378 0.970 20 0 DCADLN COC[C@@H]1C[N@H+](CC(=O)[N-]OCc2ccccc2)C[C@@H](C)O1 ZINC000251714505 685185703 /nfs/dbraw/zinc/18/57/03/685185703.db2.gz KRBQDUKUVQFGOB-HIFRSBDPSA-N 0 2 308.378 0.970 20 0 DCADLN COC[C@@H]1C[N@@H+](CC(=O)[N-]OCc2ccccc2)C[C@@H](C)O1 ZINC000251714505 685185706 /nfs/dbraw/zinc/18/57/06/685185706.db2.gz KRBQDUKUVQFGOB-HIFRSBDPSA-N 0 2 308.378 0.970 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]n1)[C@@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC000953559224 685736269 /nfs/dbraw/zinc/73/62/69/685736269.db2.gz MEXYUOKZLBIJFV-SKDRFNHKSA-N 0 2 317.353 0.341 20 0 DCADLN Cc1c[nH]nc1C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954235884 685976214 /nfs/dbraw/zinc/97/62/14/685976214.db2.gz ORSYOUWRSYKMRA-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1c[nH]nc1C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954235884 685976218 /nfs/dbraw/zinc/97/62/18/685976218.db2.gz ORSYOUWRSYKMRA-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1nc[nH]c1C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954738451 686125974 /nfs/dbraw/zinc/12/59/74/686125974.db2.gz PFYBHYWYNUICPO-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN Cc1nc[nH]c1C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000954738451 686125978 /nfs/dbraw/zinc/12/59/78/686125978.db2.gz PFYBHYWYNUICPO-VIFPVBQESA-N 0 2 322.262 0.901 20 0 DCADLN CN(C(=O)c1ncccn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955094899 686268174 /nfs/dbraw/zinc/26/81/74/686268174.db2.gz DRZMWSUHSMTTLX-QMMMGPOBSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1ncccn1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000955094899 686268180 /nfs/dbraw/zinc/26/81/80/686268180.db2.gz DRZMWSUHSMTTLX-QMMMGPOBSA-N 0 2 320.246 0.660 20 0 DCADLN CCOC1CC(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001038224259 694018498 /nfs/dbraw/zinc/01/84/98/694018498.db2.gz ZWOCZAXYQDGFQW-HSOILSAZSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1C=CC=CC=C1 ZINC001038304669 694039279 /nfs/dbraw/zinc/03/92/79/694039279.db2.gz MIPWBYUPOGDRGI-LBPRGKRZSA-N 0 2 301.350 0.499 20 0 DCADLN C[C@H]1CCCCN1C(=O)CN1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001038433967 694078729 /nfs/dbraw/zinc/07/87/29/694078729.db2.gz HGFCZKMPLITUPU-NWDGAFQWSA-N 0 2 320.397 0.010 20 0 DCADLN C[C@H]1CCCCN1C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001038433967 694078733 /nfs/dbraw/zinc/07/87/33/694078733.db2.gz HGFCZKMPLITUPU-NWDGAFQWSA-N 0 2 320.397 0.010 20 0 DCADLN C[C@H]1CCCCN1C(=O)C[N@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001038433967 694078739 /nfs/dbraw/zinc/07/87/39/694078739.db2.gz HGFCZKMPLITUPU-NWDGAFQWSA-N 0 2 320.397 0.010 20 0 DCADLN C[C@H](C(=O)NC1CCCC1)[N@@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001038447706 694084741 /nfs/dbraw/zinc/08/47/41/694084741.db2.gz ZYZQAIJABKRSOI-PWSUYJOCSA-N 0 2 320.397 0.056 20 0 DCADLN C[C@H](C(=O)NC1CCCC1)[N@H+]1CC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001038447706 694084743 /nfs/dbraw/zinc/08/47/43/694084743.db2.gz ZYZQAIJABKRSOI-PWSUYJOCSA-N 0 2 320.397 0.056 20 0 DCADLN Cc1nc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cs1 ZINC001038634323 694141125 /nfs/dbraw/zinc/14/11/25/694141125.db2.gz GRHZHMCLWFDUHS-QMMMGPOBSA-N 0 2 308.367 0.280 20 0 DCADLN Cc1sccc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958805419 687931977 /nfs/dbraw/zinc/93/19/77/687931977.db2.gz OEZJFTIGEOAWDT-IAZYJMLFSA-N 0 2 319.390 0.740 20 0 DCADLN Cc1sccc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958805419 687931982 /nfs/dbraw/zinc/93/19/82/687931982.db2.gz OEZJFTIGEOAWDT-IAZYJMLFSA-N 0 2 319.390 0.740 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)C1=CCCC1 ZINC000962245042 688858728 /nfs/dbraw/zinc/85/87/28/688858728.db2.gz KTSRHQSRWNLCIG-KXUCPTDWSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O)C1=CCCC1 ZINC000962245042 688858730 /nfs/dbraw/zinc/85/87/30/688858730.db2.gz KTSRHQSRWNLCIG-KXUCPTDWSA-N 0 2 324.274 0.685 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000965450476 689445581 /nfs/dbraw/zinc/44/55/81/689445581.db2.gz PVDDGINDZBHDKI-SCZZXKLOSA-N 0 2 320.353 0.447 20 0 DCADLN CCN(C(=O)c1cn[nH]c1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000967810076 690084817 /nfs/dbraw/zinc/08/48/17/690084817.db2.gz YKERMJSTKGZUBA-VIFPVBQESA-N 0 2 322.262 0.983 20 0 DCADLN CCN(C(=O)c1cn[nH]c1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000967810076 690084823 /nfs/dbraw/zinc/08/48/23/690084823.db2.gz YKERMJSTKGZUBA-VIFPVBQESA-N 0 2 322.262 0.983 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cocn1 ZINC000968777380 690389884 /nfs/dbraw/zinc/38/98/84/690389884.db2.gz DIOXCOHRPBWARD-JTQLQIEISA-N 0 2 320.353 0.673 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cocn1 ZINC000968777380 690389887 /nfs/dbraw/zinc/38/98/87/690389887.db2.gz DIOXCOHRPBWARD-JTQLQIEISA-N 0 2 320.353 0.673 20 0 DCADLN Cc1nonc1C[NH2+][C@@H]1CCN(C(=O)[C@H]2CCCC[N@@H+]2C)C1 ZINC000969099675 690469944 /nfs/dbraw/zinc/46/99/44/690469944.db2.gz RDJOIURKVFECMT-TZMCWYRMSA-N 0 2 307.398 0.553 20 0 DCADLN CC[C@H](OC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039525005 694377461 /nfs/dbraw/zinc/37/74/61/694377461.db2.gz ISABOQQODXDKKY-TUAOUCFPSA-N 0 2 323.397 0.501 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc[nH]c(=O)c1 ZINC001007088273 690626705 /nfs/dbraw/zinc/62/67/05/690626705.db2.gz WIZVQDBZSWLDJQ-JTQLQIEISA-N 0 2 318.337 0.005 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCCO1 ZINC001007120714 690630246 /nfs/dbraw/zinc/63/02/46/690630246.db2.gz LYCGVTSCINOSCR-MNOVXSKESA-N 0 2 309.370 0.160 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007215744 690643494 /nfs/dbraw/zinc/64/34/94/690643494.db2.gz QWVVOBKHRVBJJZ-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN CC(C)c1nnc(CN2CCC[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001007756972 690741118 /nfs/dbraw/zinc/74/11/18/690741118.db2.gz XZEZPJHYMQBDTH-JTQLQIEISA-N 0 2 318.385 0.441 20 0 DCADLN CCc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001009278066 691019243 /nfs/dbraw/zinc/01/92/43/691019243.db2.gz SGCMOVQIDAGTHO-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3cncn3C)C2)c1[O-] ZINC001010622495 691329092 /nfs/dbraw/zinc/32/90/92/691329092.db2.gz ZKQPCZRDMUGZJO-JTQLQIEISA-N 0 2 304.354 0.162 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3cncn3C)C2)c1[O-] ZINC001010622495 691329096 /nfs/dbraw/zinc/32/90/96/691329096.db2.gz ZKQPCZRDMUGZJO-JTQLQIEISA-N 0 2 304.354 0.162 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cnc(C2CC2)o1 ZINC001014766893 692088569 /nfs/dbraw/zinc/08/85/69/692088569.db2.gz IUUQNWXUINORIS-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN Cc1noc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001014862324 692115696 /nfs/dbraw/zinc/11/56/96/692115696.db2.gz HIKNFAHQMFKTCI-SECBINFHSA-N 0 2 306.326 0.119 20 0 DCADLN CCCc1n[nH]cc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015053326 692171347 /nfs/dbraw/zinc/17/13/47/692171347.db2.gz PQRXMXVJJHNUEE-SECBINFHSA-N 0 2 319.369 0.190 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccoc1C1CC1 ZINC001015617138 692365916 /nfs/dbraw/zinc/36/59/16/692365916.db2.gz VNIMDNKJFAWKGC-JTQLQIEISA-N 0 2 317.349 0.985 20 0 DCADLN Cc1ncccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015630794 692371883 /nfs/dbraw/zinc/37/18/83/692371883.db2.gz FKIIAGHDZZOEMK-SNVBAGLBSA-N 0 2 302.338 0.218 20 0 DCADLN Cc1nsc(C)c1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016353401 692662211 /nfs/dbraw/zinc/66/22/11/692662211.db2.gz HCVGDKZCJXUFRR-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN O=C(C(F)C(F)(F)F)N1[C@@H]2CC[C@H]1CN([C@H]1CCNC1=O)C2 ZINC001017572811 692941169 /nfs/dbraw/zinc/94/11/69/692941169.db2.gz JAYNEVGKWDNNLK-JXUBOQSCSA-N 0 2 323.290 0.451 20 0 DCADLN Cc1nc([C@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)no1 ZINC001020079563 693675573 /nfs/dbraw/zinc/67/55/73/693675573.db2.gz ZOUJYXVVJFGJRJ-HGNGGELXSA-N 0 2 320.353 0.727 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1C(=O)[C@H]1CCC[N@@H+]1C ZINC001020126660 693702045 /nfs/dbraw/zinc/70/20/45/693702045.db2.gz AUBBDXJSENNTEV-MELADBBJSA-N 0 2 319.409 0.083 20 0 DCADLN O=C(C1CCC1)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074133791 694825227 /nfs/dbraw/zinc/82/52/27/694825227.db2.gz QQMPSSPUCRQLFV-RYUDHWBXSA-N 0 2 321.381 0.112 20 0 DCADLN CC(C)=CC(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001074153977 694828343 /nfs/dbraw/zinc/82/83/43/694828343.db2.gz BBDLJRBXXZHRGH-NWDGAFQWSA-N 0 2 321.381 0.278 20 0 DCADLN O=C(CC1(O)CCC1)NCCNC(=O)C(F)C(F)(F)F ZINC001720087355 1158908006 /nfs/dbraw/zinc/90/80/06/1158908006.db2.gz YDMKTMDMPQLYIC-MRVPVSSYSA-N 0 2 300.252 0.424 20 0 DCADLN O=C(CC1(O)CCC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720087355 1158908009 /nfs/dbraw/zinc/90/80/09/1158908009.db2.gz YDMKTMDMPQLYIC-MRVPVSSYSA-N 0 2 300.252 0.424 20 0 DCADLN CCOC(=O)c1cn(C)cc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001365293301 1159183138 /nfs/dbraw/zinc/18/31/38/1159183138.db2.gz JZIJSUWXNMGJMX-UHFFFAOYSA-N 0 2 308.298 0.347 20 0 DCADLN COCC(C)(C)CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404896073 1159240864 /nfs/dbraw/zinc/24/08/64/1159240864.db2.gz BXZFRXQPBIWKHJ-UHFFFAOYSA-N 0 2 311.386 0.121 20 0 DCADLN O=C(CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F)N1CCC1 ZINC001446034479 1159329345 /nfs/dbraw/zinc/32/93/45/1159329345.db2.gz YQPXLJBDDVJDPD-SCZZXKLOSA-N 0 2 311.279 0.310 20 0 DCADLN O=C(CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F)N1CCC1 ZINC001446034479 1159329353 /nfs/dbraw/zinc/32/93/53/1159329353.db2.gz YQPXLJBDDVJDPD-SCZZXKLOSA-N 0 2 311.279 0.310 20 0 DCADLN C[C@@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001446388338 1159401157 /nfs/dbraw/zinc/40/11/57/1159401157.db2.gz KHDSDANFDKCSHU-LURJTMIESA-N 0 2 307.276 0.351 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001446644714 1159493883 /nfs/dbraw/zinc/49/38/83/1159493883.db2.gz ROAVVTJCKFLJEN-XPUUQOCRSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001446644714 1159493887 /nfs/dbraw/zinc/49/38/87/1159493887.db2.gz ROAVVTJCKFLJEN-XPUUQOCRSA-N 0 2 323.250 0.378 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)c1nn(C)cc1O)n1nnnc1C(C)(C)C ZINC001456799372 1159761888 /nfs/dbraw/zinc/76/18/88/1159761888.db2.gz VYZWZXRGUOZRIE-DTWKUNHWSA-N 0 2 321.385 0.848 20 0 DCADLN C[C@@H](NC(=O)c1ccccc1)C(=O)NCCc1n[nH]c(=S)o1 ZINC001567853275 1160501914 /nfs/dbraw/zinc/50/19/14/1160501914.db2.gz VEGJGGZWGVOJOA-SECBINFHSA-N 0 2 320.374 0.836 20 0 DCADLN C/C=C(/C)C(=O)N(C)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001569487048 1161074360 /nfs/dbraw/zinc/07/43/60/1161074360.db2.gz FSUMYSGWXYGNNX-WCIBSUBMSA-N 0 2 315.377 0.631 20 0 DCADLN CCCCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1n[nH]c(C)n1)CO2 ZINC001570240931 1161517673 /nfs/dbraw/zinc/51/76/73/1161517673.db2.gz CWZFCPWCHQQNOO-ZDUSSCGKSA-N 0 2 321.425 0.805 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2cnc3c(C(=O)[O-])cnn3c2)[C@@H](C(N)=O)C1 ZINC001573645453 1163520967 /nfs/dbraw/zinc/52/09/67/1163520967.db2.gz GEJIYVMAFRKNGG-BXKDBHETSA-N 0 2 317.349 0.513 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2cnc3c(C(=O)[O-])cnn3c2)[C@@H](C(N)=O)C1 ZINC001573645453 1163520974 /nfs/dbraw/zinc/52/09/74/1163520974.db2.gz GEJIYVMAFRKNGG-BXKDBHETSA-N 0 2 317.349 0.513 20 0 DCADLN COC(=O)c1c[nH]c(C[NH2+]Cc2nc(C(=O)[O-])n[nH]2)c1C1CC1 ZINC001573935254 1163590944 /nfs/dbraw/zinc/59/09/44/1163590944.db2.gz MSNKNJVOUQIJGR-UHFFFAOYSA-N 0 2 319.321 0.785 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](C(=O)[O-])[C@H](C(F)(F)F)C1 ZINC001574112574 1163639702 /nfs/dbraw/zinc/63/97/02/1163639702.db2.gz HPMQGPFJVHYTKV-HTQZYQBOSA-N 0 2 305.256 0.982 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ncsc1NC(=O)[O-] ZINC001574220705 1163668146 /nfs/dbraw/zinc/66/81/46/1163668146.db2.gz GCYJHHIEZRELQP-ZCFIWIBFSA-N 0 2 311.323 0.430 20 0 DCADLN O=C([O-])C1CCC(CC(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)CC1 ZINC001574293382 1163685879 /nfs/dbraw/zinc/68/58/79/1163685879.db2.gz GINDUCXBVKRXKP-XIVSLSHWSA-N 0 2 309.366 0.710 20 0 DCADLN O=C([O-])C1CCC(CC(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)CC1 ZINC001574293382 1163685881 /nfs/dbraw/zinc/68/58/81/1163685881.db2.gz GINDUCXBVKRXKP-XIVSLSHWSA-N 0 2 309.366 0.710 20 0 DCADLN C[C@H](CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001575312295 1163971120 /nfs/dbraw/zinc/97/11/20/1163971120.db2.gz SUZDZEOAVYQEIN-PSASIEDQSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@H](CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1cccn1 ZINC001575312295 1163971128 /nfs/dbraw/zinc/97/11/28/1163971128.db2.gz SUZDZEOAVYQEIN-PSASIEDQSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@@H]([NH2+]Cc1c[nH]nn1)[C@H]1CCCCN1C(=O)c1cnsn1 ZINC001575703962 1164112429 /nfs/dbraw/zinc/11/24/29/1164112429.db2.gz VCFZLEHBUYYFHV-BXKDBHETSA-N 0 2 321.410 0.829 20 0 DCADLN C[C@@H]([NH2+]Cc1c[nH]nn1)[C@@H]1CCN(C(=O)c2cscn2)C1 ZINC001575703119 1164112862 /nfs/dbraw/zinc/11/28/62/1164112862.db2.gz QVUHPFKXNLWQSI-NXEZZACHSA-N 0 2 306.395 0.902 20 0 DCADLN CO[C@@H](C)CC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001575750553 1164133944 /nfs/dbraw/zinc/13/39/44/1164133944.db2.gz PTXYGYKJJRRRKQ-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CO[C@@H](C)CC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001575750553 1164133946 /nfs/dbraw/zinc/13/39/46/1164133946.db2.gz PTXYGYKJJRRRKQ-IONNQARKSA-N 0 2 302.268 0.934 20 0 DCADLN CCO[C@H](CC(=O)N[C@H]1C[C@@H]([NH2+]Cc2c[nH]nn2)C1)C(C)C ZINC001576569842 1164396653 /nfs/dbraw/zinc/39/66/53/1164396653.db2.gz QLCXMSFNVXSCIY-MBNYWOFBSA-N 0 2 309.414 0.993 20 0 DCADLN Cc1c[nH]c(C(=O)NCC[C@@H]2C[N@H+](Cc3cnn[nH]3)C[C@H]2C)n1 ZINC001577246109 1164648042 /nfs/dbraw/zinc/64/80/42/1164648042.db2.gz SVGCPRKZJOCTRL-ZYHUDNBSSA-N 0 2 317.397 0.724 20 0 DCADLN Cn1nccc1C(=O)NCCC[C@H]1CCC[N@@H+]1Cc1cnn[nH]1 ZINC001577689321 1164765993 /nfs/dbraw/zinc/76/59/93/1164765993.db2.gz YFROWLVFHKEQHI-ZDUSSCGKSA-N 0 2 317.397 0.713 20 0 DCADLN Cn1nccc1C(=O)NCCC[C@H]1CCC[N@H+]1Cc1cnn[nH]1 ZINC001577689321 1164766001 /nfs/dbraw/zinc/76/60/01/1164766001.db2.gz YFROWLVFHKEQHI-ZDUSSCGKSA-N 0 2 317.397 0.713 20 0 DCADLN NC(=O)c1csc(C(=O)N[C@H]2C[C@@H]([NH2+]Cc3c[nH]nn3)C2)c1 ZINC001577740390 1164776450 /nfs/dbraw/zinc/77/64/50/1164776450.db2.gz NYOKDYWAOGIDKK-DTORHVGOSA-N 0 2 320.378 0.016 20 0 DCADLN COCC(C)(C)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001579658984 1165372937 /nfs/dbraw/zinc/37/29/37/1165372937.db2.gz ABZCDYUXFQLYCQ-UHFFFAOYSA-N 0 2 320.353 0.678 20 0 DCADLN COc1ccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cc1C ZINC001580032456 1165479194 /nfs/dbraw/zinc/47/91/94/1165479194.db2.gz FRULBNDUMALKTH-UHFFFAOYSA-N 0 2 314.305 0.494 20 0 DCADLN COc1ccc(OCCn2cnc(-c3nn[nH]n3)cc2=O)cc1 ZINC001580048532 1165486520 /nfs/dbraw/zinc/48/65/20/1165486520.db2.gz KKPDKQLYMHBEGA-UHFFFAOYSA-N 0 2 314.305 0.511 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(C/C=C\c2ccccc2)c(=O)n1C ZINC001580522441 1165629730 /nfs/dbraw/zinc/62/97/30/1165629730.db2.gz PWRHNWVSHPJIPE-TWGQIWQCSA-N 0 2 324.344 0.749 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)C[C@H](O)C1CC1 ZINC001580596176 1165649427 /nfs/dbraw/zinc/64/94/27/1165649427.db2.gz PQJAPVWYOZWIPK-JTQLQIEISA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)CC(F)(F)F ZINC001580596716 1165650272 /nfs/dbraw/zinc/65/02/72/1165650272.db2.gz XUGKBBBNJQOUAT-UHFFFAOYSA-N 0 2 316.243 0.910 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@@H]1[C@@H](C)O ZINC001580596688 1165650315 /nfs/dbraw/zinc/65/03/15/1165650315.db2.gz DIGNHEYEWADOSB-PSASIEDQSA-N 0 2 318.337 0.261 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@H](O)[C@@H](C)C1 ZINC001580598548 1165650543 /nfs/dbraw/zinc/65/05/43/1165650543.db2.gz YYFLRJNYRFVFQI-WPRPVWTQSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@]1(C)CCO[C@H]1C ZINC001580602297 1165652738 /nfs/dbraw/zinc/65/27/38/1165652738.db2.gz OYRDOUWPSFSUTO-RTHLEPHNSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1ncc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)s1 ZINC001581549505 1165840261 /nfs/dbraw/zinc/84/02/61/1165840261.db2.gz CXKUDUSEUDOGDD-UHFFFAOYSA-N 0 2 320.338 0.043 20 0 DCADLN C[C@H]1CCCC[C@@]1(CNC(=O)CC(N)=O)NC(=O)C(C)(C)F ZINC001582666337 1166062815 /nfs/dbraw/zinc/06/28/15/1166062815.db2.gz FHWWXHYYPZPBJA-BONVTDFDSA-N 0 2 315.389 0.791 20 0 DCADLN COCCCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001583760069 1166281754 /nfs/dbraw/zinc/28/17/54/1166281754.db2.gz HGEFUGDGAKKVFO-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN COCCCC(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001583760069 1166281766 /nfs/dbraw/zinc/28/17/66/1166281766.db2.gz HGEFUGDGAKKVFO-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CC(=O)C[C@H](C)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001588785702 1166589696 /nfs/dbraw/zinc/58/96/96/1166589696.db2.gz HUHNGHLUBZZIAO-ZETCQYMHSA-N 0 2 304.310 0.373 20 0 DCADLN CC(C)(C(=O)Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccccc1 ZINC001589036200 1166630447 /nfs/dbraw/zinc/63/04/47/1166630447.db2.gz XZDXOHZUPXEHHI-UHFFFAOYSA-N 0 2 324.344 0.970 20 0 DCADLN C[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1ccsc1 ZINC001589977991 1166736058 /nfs/dbraw/zinc/73/60/58/1166736058.db2.gz DCKCGOVUWGKMEH-ZCFIWIBFSA-N 0 2 319.350 0.901 20 0 DCADLN C[C@@](O)(C[C@H](O)CNCc1nc(-c2nn[nH]n2)cs1)C1CC1 ZINC001589992049 1166737841 /nfs/dbraw/zinc/73/78/41/1166737841.db2.gz YYVOTGRGVMWFDZ-TVQRCGJNSA-N 0 2 324.410 0.325 20 0 DCADLN C[C@@H]1CCC[C@@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001590256194 1166828048 /nfs/dbraw/zinc/82/80/48/1166828048.db2.gz XSXTUPIVUHGXBK-HTQZYQBOSA-N 0 2 305.342 0.657 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@H](C)C(C)(C)C)c1=O ZINC001590949898 1167056509 /nfs/dbraw/zinc/05/65/09/1167056509.db2.gz NAIAAXRJJKBGQN-VIFPVBQESA-N 0 2 306.370 0.892 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CC2CC(C)(C)C2)c1=O ZINC001590950111 1167057183 /nfs/dbraw/zinc/05/71/83/1167057183.db2.gz RCNHKXQNZJLLNB-UHFFFAOYSA-N 0 2 304.354 0.646 20 0 DCADLN CSCc1nnc(CNc2c(-c3nn[nH]n3)cnn2C)[nH]1 ZINC001591701852 1167357900 /nfs/dbraw/zinc/35/79/00/1167357900.db2.gz OWYNJTXWYZGBOK-UHFFFAOYSA-N 0 2 306.359 0.193 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(C[C@@]2(OC(C)C)CCOC2)c1 ZINC001591836704 1167391374 /nfs/dbraw/zinc/39/13/74/1167391374.db2.gz HZCUZXPPPOOOQL-HNNXBMFYSA-N 0 2 319.365 0.921 20 0 DCADLN Cc1ccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cc1F ZINC001592171413 1167468463 /nfs/dbraw/zinc/46/84/63/1167468463.db2.gz GEMJLIZDTSLICM-UHFFFAOYSA-N 0 2 302.269 0.625 20 0 DCADLN Cc1ccn(CN2CCn3cncc3C2)c(=O)c1-c1nn[nH]n1 ZINC001592267636 1167479428 /nfs/dbraw/zinc/47/94/28/1167479428.db2.gz SYOWFYOSUGIQKJ-UHFFFAOYSA-N 0 2 312.337 0.007 20 0 DCADLN Cc1ccn(CN2CC[C@@H](C)O[C@@H](C)C2)c(=O)c1-c1nn[nH]n1 ZINC001592266493 1167479556 /nfs/dbraw/zinc/47/95/56/1167479556.db2.gz DENZNFCEDCSURX-NEPJUHHUSA-N 0 2 318.381 0.794 20 0 DCADLN Cc1ccn(CN(C)C[C@H]2CCCCO2)c(=O)c1-c1nn[nH]n1 ZINC001592266015 1167480399 /nfs/dbraw/zinc/48/03/99/1167480399.db2.gz MOCGCRLEFRHTNK-GFCCVEGCSA-N 0 2 318.381 0.795 20 0 DCADLN Cc1noc(CCCn2ccc(C)c(-c3nn[nH]n3)c2=O)n1 ZINC001592462802 1167533206 /nfs/dbraw/zinc/53/32/06/1167533206.db2.gz PHPLKCBGBORUMS-UHFFFAOYSA-N 0 2 301.310 0.661 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H](C)[S@](=O)[C@@H](C)C1 ZINC001592497224 1167539290 /nfs/dbraw/zinc/53/92/90/1167539290.db2.gz VPKXQNYUFAHDSP-AOEQOEHISA-N 0 2 323.378 0.750 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC[N@H+](C)[C@@H](C)[C@@H]1C ZINC001592498953 1167539658 /nfs/dbraw/zinc/53/96/58/1167539658.db2.gz FGNNFCVRRGHGEP-IUCAKERBSA-N 0 2 304.354 0.933 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCN(C)[C@@H](C)[C@@H]1C ZINC001592498953 1167539660 /nfs/dbraw/zinc/53/96/60/1167539660.db2.gz FGNNFCVRRGHGEP-IUCAKERBSA-N 0 2 304.354 0.933 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c(-c2nn[nH]n2)c1=O ZINC001592546153 1167551152 /nfs/dbraw/zinc/55/11/52/1167551152.db2.gz KSZQCGHGEXKQSP-HLTSFMKQSA-N 0 2 317.353 0.514 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(N2CCCCCCC2)n(C)c1=O ZINC001592553535 1167558155 /nfs/dbraw/zinc/55/81/55/1167558155.db2.gz RYGGYTOUACTUOI-UHFFFAOYSA-N 0 2 319.369 0.035 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(Sc2ccccc2)n(C)c1=O ZINC001592557297 1167559474 /nfs/dbraw/zinc/55/94/74/1167559474.db2.gz XFQSSSXUYUYJII-UHFFFAOYSA-N 0 2 316.346 0.415 20 0 DCADLN Nc1[nH+]c(N[C@H]2CC[N@@H+]3CCC[C@H]3C2)ccc1-c1nn[nH]n1 ZINC001592763053 1167637404 /nfs/dbraw/zinc/63/74/04/1167637404.db2.gz IRHCSVJCQGCMMC-UWVGGRQHSA-N 0 2 300.370 0.883 20 0 DCADLN Nc1[nH+]c(N[C@H]2CC[N@H+]3CCC[C@H]3C2)ccc1-c1nn[nH]n1 ZINC001592763053 1167637408 /nfs/dbraw/zinc/63/74/08/1167637408.db2.gz IRHCSVJCQGCMMC-UWVGGRQHSA-N 0 2 300.370 0.883 20 0 DCADLN O=C1CC(c2cccc(-c3nn[nH]n3)c2)=NN1[C@@H]1CCCOC1 ZINC001593383770 1167793305 /nfs/dbraw/zinc/79/33/05/1167793305.db2.gz GWQKMMWUUMHYBS-GFCCVEGCSA-N 0 2 312.333 0.982 20 0 DCADLN O=c1cc(-c2cccc(-c3nn[nH]n3)c2)[nH]n1[C@@H]1CCCOC1 ZINC001593383770 1167793316 /nfs/dbraw/zinc/79/33/16/1167793316.db2.gz GWQKMMWUUMHYBS-GFCCVEGCSA-N 0 2 312.333 0.982 20 0 DCADLN O=S(=O)(NCCF)c1c(F)cc(-c2nn[nH]n2)cc1F ZINC001593438520 1167842618 /nfs/dbraw/zinc/84/26/18/1167842618.db2.gz NGLVBJUSYSJYGW-UHFFFAOYSA-N 0 2 307.257 0.393 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1c(F)cccc1F ZINC001593489219 1167873102 /nfs/dbraw/zinc/87/31/02/1167873102.db2.gz FKSFFEOBCQFWDG-UHFFFAOYSA-N 0 2 306.232 0.456 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CN1CCO[C@@H](C2CC2)C1 ZINC001593492976 1167875290 /nfs/dbraw/zinc/87/52/90/1167875290.db2.gz RZTUDBZUDNOCSF-GFCCVEGCSA-N 0 2 302.338 0.097 20 0 DCADLN O[C@@H](CNc1nc2ncccc2cc1-c1nn[nH]n1)c1cnc[nH]1 ZINC001593507585 1167885466 /nfs/dbraw/zinc/88/54/66/1167885466.db2.gz QTWAOFJZTKVHNJ-NSHDSACASA-N 0 2 323.320 0.679 20 0 DCADLN c1sc(CNCCOC[C@@H]2CCOC2)nc1-c1nn[nH]n1 ZINC001593595432 1167958403 /nfs/dbraw/zinc/95/84/03/1167958403.db2.gz SPHZQYURISNODX-SECBINFHSA-N 0 2 310.383 0.466 20 0 DCADLN Cc1c(Cl)c(C(=O)[O-])nn1C[N@H+](C)C[C@H]1COCCO1 ZINC001600012979 1168093303 /nfs/dbraw/zinc/09/33/03/1168093303.db2.gz VHARJCVSABSYJM-VIFPVBQESA-N 0 2 303.746 0.848 20 0 DCADLN Cc1c(Cl)c(C(=O)[O-])nn1C[N@@H+](C)C[C@H]1COCCO1 ZINC001600012979 1168093313 /nfs/dbraw/zinc/09/33/13/1168093313.db2.gz VHARJCVSABSYJM-VIFPVBQESA-N 0 2 303.746 0.848 20 0 DCADLN Cc1cc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@H]2C(=O)[O-])no1 ZINC001600196101 1168135226 /nfs/dbraw/zinc/13/52/26/1168135226.db2.gz KCECEMMGBLBWAG-OBPIAQAESA-N 0 2 305.334 0.250 20 0 DCADLN Cc1cc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@H]2C(=O)[O-])no1 ZINC001600196101 1168135230 /nfs/dbraw/zinc/13/52/30/1168135230.db2.gz KCECEMMGBLBWAG-OBPIAQAESA-N 0 2 305.334 0.250 20 0 DCADLN Cc1ccc2[nH+]cc(CN3CC(n4cc(C(=O)[O-])nn4)C3)n2c1 ZINC001600503380 1168192620 /nfs/dbraw/zinc/19/26/20/1168192620.db2.gz GPHWPJHUWLYREE-UHFFFAOYSA-N 0 2 312.333 0.989 20 0 DCADLN Cc1cccc2c1O[C@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])C2 ZINC001600559354 1168199507 /nfs/dbraw/zinc/19/95/07/1168199507.db2.gz ZKCVOSWRMNSXCZ-STQMWFEESA-N 0 2 315.329 0.765 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N[C@H](C(=O)[O-])c3ccn(C)n3)ccn12 ZINC001600626838 1168219323 /nfs/dbraw/zinc/21/93/23/1168219323.db2.gz PVVIYVQOVNPUGO-ZDUSSCGKSA-N 0 2 313.317 0.932 20 0 DCADLN Cc1nc(CN2CC[NH+](C[C@@H]3[C@H](C(=O)[O-])C3(F)F)CC2)n[nH]1 ZINC001600701166 1168257194 /nfs/dbraw/zinc/25/71/94/1168257194.db2.gz QALIWHJFAWZROM-MWLCHTKSSA-N 0 2 315.324 0.197 20 0 DCADLN Cc1nc(C[NH2+]C/C=C\CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])oc1C ZINC001600701677 1168258181 /nfs/dbraw/zinc/25/81/81/1168258181.db2.gz IXMVBHKWQJVIQE-OPVGQWETSA-N 0 2 307.350 0.774 20 0 DCADLN Cc1nc(N2CCC(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC001600750858 1168277206 /nfs/dbraw/zinc/27/72/06/1168277206.db2.gz OVMBIKZMLNTENO-QWHCGFSZSA-N 0 2 318.377 0.838 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCC[N@@H+]1CN1C[C@H](C(=O)[O-])CC1=O ZINC001600778854 1168290617 /nfs/dbraw/zinc/29/06/17/1168290617.db2.gz YEEMAFZZEJRJLG-DGCLKSJQSA-N 0 2 306.366 0.756 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCC[N@H+]1CN1C[C@H](C(=O)[O-])CC1=O ZINC001600778854 1168290628 /nfs/dbraw/zinc/29/06/28/1168290628.db2.gz YEEMAFZZEJRJLG-DGCLKSJQSA-N 0 2 306.366 0.756 20 0 DCADLN Cn1cc(C[NH2+]Cc2nnnn2-c2ccccc2)cc1C(=O)[O-] ZINC001600954105 1168530500 /nfs/dbraw/zinc/53/05/00/1168530500.db2.gz FDHCSGHVSLNWFH-UHFFFAOYSA-N 0 2 312.333 0.989 20 0 DCADLN Cn1cnc(-c2nnc3n2CC[N@@H+](CC2(C(=O)[O-])CC2)C3)c1 ZINC001600987981 1168547785 /nfs/dbraw/zinc/54/77/85/1168547785.db2.gz OREDKHUNOHFTMG-UHFFFAOYSA-N 0 2 302.338 0.359 20 0 DCADLN Cn1cnc(-c2nnc3n2CC[N@H+](CC2(C(=O)[O-])CC2)C3)c1 ZINC001600987981 1168547795 /nfs/dbraw/zinc/54/77/95/1168547795.db2.gz OREDKHUNOHFTMG-UHFFFAOYSA-N 0 2 302.338 0.359 20 0 DCADLN NC(=O)N[C@H]1CC[N@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001601157281 1168611487 /nfs/dbraw/zinc/61/14/87/1168611487.db2.gz IARCKQYPZAWGKI-VIFPVBQESA-N 0 2 303.322 0.504 20 0 DCADLN NC(=O)N[C@H]1CC[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001601157281 1168611491 /nfs/dbraw/zinc/61/14/91/1168611491.db2.gz IARCKQYPZAWGKI-VIFPVBQESA-N 0 2 303.322 0.504 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)N[C@@H](C(=O)[O-])c1cccnc1 ZINC001601231045 1168670537 /nfs/dbraw/zinc/67/05/37/1168670537.db2.gz IHWJRYIHAMHXEN-CTGSTSKSSA-N 0 2 305.334 0.212 20 0 DCADLN O=C([O-])c1ccc(/C=C/C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)o1 ZINC001601237923 1168672842 /nfs/dbraw/zinc/67/28/42/1168672842.db2.gz WLCPNXZUDNZUCI-KMUUFKDPSA-N 0 2 320.345 0.970 20 0 DCADLN O=C([O-])c1ccc(/C=C/C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)o1 ZINC001601237923 1168672848 /nfs/dbraw/zinc/67/28/48/1168672848.db2.gz WLCPNXZUDNZUCI-KMUUFKDPSA-N 0 2 320.345 0.970 20 0 DCADLN O=C([O-])c1ccn(CCCC(=O)N[C@@H]2CCn3c[nH+]cc3C2)n1 ZINC001601272676 1168684980 /nfs/dbraw/zinc/68/49/80/1168684980.db2.gz MWZFMQWTKYQARV-LLVKDONJSA-N 0 2 317.349 0.689 20 0 DCADLN O=C([O-])[C@@H](Cc1ccccc1O)NC(=O)CCc1[nH]cc[nH+]1 ZINC001601294895 1168693549 /nfs/dbraw/zinc/69/35/49/1168693549.db2.gz OHJYWMJPRNUXAI-LLVKDONJSA-N 0 2 303.318 0.860 20 0 DCADLN O=C([O-])C1=NOC2(C1)CC[NH+](CC(=O)Nc1ccon1)CC2 ZINC001601308851 1168700453 /nfs/dbraw/zinc/70/04/53/1168700453.db2.gz YBRQHSSVMWYWDJ-UHFFFAOYSA-N 0 2 308.294 0.309 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@H+]2CCC[C@@H](OCCO)C2)n1 ZINC001601312762 1168703456 /nfs/dbraw/zinc/70/34/56/1168703456.db2.gz JVOXPWPGBDCEOH-LLVKDONJSA-N 0 2 323.349 0.192 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@@H+]2CCC[C@@H](OCCO)C2)n1 ZINC001601312762 1168703466 /nfs/dbraw/zinc/70/34/66/1168703466.db2.gz JVOXPWPGBDCEOH-LLVKDONJSA-N 0 2 323.349 0.192 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cnc2[nH]cnc2c1 ZINC001601425996 1168742138 /nfs/dbraw/zinc/74/21/38/1168742138.db2.gz OYTABHMXHKEAIS-JTQLQIEISA-N 0 2 300.278 0.038 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)s1 ZINC001601548500 1168779912 /nfs/dbraw/zinc/77/99/12/1168779912.db2.gz TYBCCPGNOFBDJS-MRVPVSSYSA-N 0 2 321.362 0.852 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCO1)[NH2+]Cc1cc(=O)n2[nH]ccc2n1 ZINC001601641585 1168799227 /nfs/dbraw/zinc/79/92/27/1168799227.db2.gz MHQKCADXCWPWNW-WDEREUQCSA-N 0 2 306.322 0.135 20 0 DCADLN O=C([O-])C1=NOC2(C1)CCN(C(=O)CNc1cccc[nH+]1)CC2 ZINC001601740322 1168844327 /nfs/dbraw/zinc/84/43/27/1168844327.db2.gz AKZWWGNSQCZXNS-UHFFFAOYSA-N 0 2 318.333 0.716 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)C1 ZINC001601744144 1168846644 /nfs/dbraw/zinc/84/66/44/1168846644.db2.gz VKEZBCNCKKWIBZ-RFXMVYNHSA-N 0 2 304.306 0.345 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1ccon1)C2 ZINC001601776228 1168876019 /nfs/dbraw/zinc/87/60/19/1168876019.db2.gz WKBCDJGYLPRNNG-STQMWFEESA-N 0 2 319.361 0.820 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1ccon1)C2 ZINC001601776228 1168876029 /nfs/dbraw/zinc/87/60/29/1168876029.db2.gz WKBCDJGYLPRNNG-STQMWFEESA-N 0 2 319.361 0.820 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1Cc1cccc2c1CCOC2 ZINC001601941383 1168942962 /nfs/dbraw/zinc/94/29/62/1168942962.db2.gz ZSMXZMZGGLACQO-CQSZACIVSA-N 0 2 304.346 0.534 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1Cc1cccc2c1CCOC2 ZINC001601941383 1168942964 /nfs/dbraw/zinc/94/29/64/1168942964.db2.gz ZSMXZMZGGLACQO-CQSZACIVSA-N 0 2 304.346 0.534 20 0 DCADLN O=C([O-])CC[N@@H+](Cc1nnc2n1CCOC2)C[C@H]1CCCO1 ZINC001602008356 1168959869 /nfs/dbraw/zinc/95/98/69/1168959869.db2.gz PPQHACXXYXNHIT-LLVKDONJSA-N 0 2 310.354 0.264 20 0 DCADLN O=C([O-])CC[N@H+](Cc1nnc2n1CCOC2)C[C@H]1CCCO1 ZINC001602008356 1168959872 /nfs/dbraw/zinc/95/98/72/1168959872.db2.gz PPQHACXXYXNHIT-LLVKDONJSA-N 0 2 310.354 0.264 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCCN1c1cc(N2CCCC2)[nH+]cn1 ZINC001602067009 1168984935 /nfs/dbraw/zinc/98/49/35/1168984935.db2.gz VWTJGHROYYVMBJ-LLVKDONJSA-N 0 2 319.365 0.246 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCCN1c1cc(N2CCCC2)nc[nH+]1 ZINC001602067009 1168984941 /nfs/dbraw/zinc/98/49/41/1168984941.db2.gz VWTJGHROYYVMBJ-LLVKDONJSA-N 0 2 319.365 0.246 20 0 DCADLN O=C([O-])c1cc2n(n1)CCC[C@H]2[NH2+]Cc1nnnn1CC1CC1 ZINC001602309463 1169053868 /nfs/dbraw/zinc/05/38/68/1169053868.db2.gz WETHFOILVXTSRC-SNVBAGLBSA-N 0 2 317.353 0.603 20 0 DCADLN O=C([O-])c1ccc(C[NH+]2CC(CNC(=O)C(F)(F)F)C2)nc1 ZINC001602335154 1169060333 /nfs/dbraw/zinc/06/03/33/1169060333.db2.gz CVAGCEDWDPVVDK-UHFFFAOYSA-N 0 2 317.267 0.890 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@H+](Cc3cn(C4CC4)cn3)C2)nn1 ZINC001602498485 1169093961 /nfs/dbraw/zinc/09/39/61/1169093961.db2.gz BIJULMFXWCXLIU-LBPRGKRZSA-N 0 2 302.338 0.955 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@@H+](Cc3cn(C4CC4)cn3)C2)nn1 ZINC001602498485 1169093973 /nfs/dbraw/zinc/09/39/73/1169093973.db2.gz BIJULMFXWCXLIU-LBPRGKRZSA-N 0 2 302.338 0.955 20 0 DCADLN O=C([O-])c1coc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)c1 ZINC001602548983 1169114793 /nfs/dbraw/zinc/11/47/93/1169114793.db2.gz WRYDDSKVFPWMJU-LBPRGKRZSA-N 0 2 308.334 0.915 20 0 DCADLN O=C([O-])[C@]1(O)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)C1 ZINC001602624962 1169133484 /nfs/dbraw/zinc/13/34/84/1169133484.db2.gz OLVBNLQZTRANSU-HNNXBMFYSA-N 0 2 317.301 0.240 20 0 DCADLN O=C([O-])c1ccccc1OCC[N@@H+]1CCC(=O)NCC12COC2 ZINC001602646350 1169144193 /nfs/dbraw/zinc/14/41/93/1169144193.db2.gz GELMSANYOLKWNL-UHFFFAOYSA-N 0 2 320.345 0.355 20 0 DCADLN O=C([O-])c1ccccc1OCC[N@H+]1CCC(=O)NCC12COC2 ZINC001602646350 1169144199 /nfs/dbraw/zinc/14/41/99/1169144199.db2.gz GELMSANYOLKWNL-UHFFFAOYSA-N 0 2 320.345 0.355 20 0 DCADLN CC(C)Cc1noc(Cn2cnc(-c3nn[nH]n3)cc2=O)n1 ZINC001603311756 1169291182 /nfs/dbraw/zinc/29/11/82/1169291182.db2.gz VOXLWOJPDBVZGH-UHFFFAOYSA-N 0 2 302.298 0.053 20 0 DCADLN CC(C)O[C@]1(Cn2cncc(-c3nn[nH]n3)c2=O)CCOC1 ZINC001603346571 1169299872 /nfs/dbraw/zinc/29/98/72/1169299872.db2.gz AYWYBIGKKNEOKP-ZDUSSCGKSA-N 0 2 306.326 0.008 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001604166975 1169511164 /nfs/dbraw/zinc/51/11/64/1169511164.db2.gz KMDSLKDDWPBSRC-FJJYHAOUSA-N 0 2 311.382 0.260 20 0 DCADLN CC1(C)OC[C@H](C=CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])O1 ZINC001604561841 1169617636 /nfs/dbraw/zinc/61/76/36/1169617636.db2.gz MATVUMNLANGOBS-SEUFLZDSSA-N 0 2 309.322 0.229 20 0 DCADLN CC1(C)OC[C@H](/C=C/C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])O1 ZINC001604561841 1169617643 /nfs/dbraw/zinc/61/76/43/1169617643.db2.gz MATVUMNLANGOBS-SEUFLZDSSA-N 0 2 309.322 0.229 20 0 DCADLN CO[C@@H](Cn1cc(C)cc(-c2nn[nH]n2)c1=O)[C@H]1CCOC1 ZINC001605012650 1169778934 /nfs/dbraw/zinc/77/89/34/1169778934.db2.gz QAHJKRJXYSQQMP-JQWIXIFHSA-N 0 2 305.338 0.388 20 0 DCADLN CCCCC[C@H](C)NC(=O)C[N@@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001605255722 1169882512 /nfs/dbraw/zinc/88/25/12/1169882512.db2.gz ZJIMXRMWAMBKBL-OBJOEFQTSA-N 0 2 300.399 0.981 20 0 DCADLN CCCCC[C@H](C)NC(=O)C[N@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001605255722 1169882517 /nfs/dbraw/zinc/88/25/17/1169882517.db2.gz ZJIMXRMWAMBKBL-OBJOEFQTSA-N 0 2 300.399 0.981 20 0 DCADLN CC[N@H+](CCOC)CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001605450250 1169927073 /nfs/dbraw/zinc/92/70/73/1169927073.db2.gz VLMXSJQNHXGKOB-CYBMUJFWSA-N 0 2 301.343 0.088 20 0 DCADLN CC[N@@H+](CCOC)CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001605450250 1169927076 /nfs/dbraw/zinc/92/70/76/1169927076.db2.gz VLMXSJQNHXGKOB-CYBMUJFWSA-N 0 2 301.343 0.088 20 0 DCADLN CCc1nc(C)ncc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001605848857 1170011027 /nfs/dbraw/zinc/01/10/27/1170011027.db2.gz RMVONSOOJFDZPY-LBPRGKRZSA-N 0 2 303.322 0.496 20 0 DCADLN CCc1nc(C)ncc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001605848857 1170011034 /nfs/dbraw/zinc/01/10/34/1170011034.db2.gz RMVONSOOJFDZPY-LBPRGKRZSA-N 0 2 303.322 0.496 20 0 DCADLN CCc1[nH]c(=O)c(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1C ZINC001605856608 1170013288 /nfs/dbraw/zinc/01/32/88/1170013288.db2.gz UWQJVGDLGLZTRQ-GFCCVEGCSA-N 0 2 318.333 0.738 20 0 DCADLN CN(C)C(=O)C1([NH2+]Cc2nc3cc(C(=O)[O-])ccc3[nH]2)CC1 ZINC001605991381 1170043015 /nfs/dbraw/zinc/04/30/15/1170043015.db2.gz SMLDXRGCNWUOSF-UHFFFAOYSA-N 0 2 302.334 0.972 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])n2C)C1 ZINC001606298851 1170154600 /nfs/dbraw/zinc/15/46/00/1170154600.db2.gz JLHJLXVUQFGVDN-NSHDSACASA-N 0 2 315.395 0.237 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])n2C)C1 ZINC001606298851 1170154604 /nfs/dbraw/zinc/15/46/04/1170154604.db2.gz JLHJLXVUQFGVDN-NSHDSACASA-N 0 2 315.395 0.237 20 0 DCADLN COC(=O)[C@H]1C[C@H](C(=O)[O-])CCN1CCC[NH+]1CCOCC1 ZINC001606372838 1170173913 /nfs/dbraw/zinc/17/39/13/1170173913.db2.gz IIFXEJRDMRNNPV-CHWSQXEVSA-N 0 2 314.382 0.047 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2nccn2C(F)F)C[C@@H]1C(=O)[O-] ZINC001606431057 1170198720 /nfs/dbraw/zinc/19/87/20/1170198720.db2.gz HEZHHBZDBQSXCM-JGVFFNPUSA-N 0 2 303.265 0.584 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2nccn2C(F)F)C[C@@H]1C(=O)[O-] ZINC001606431057 1170198721 /nfs/dbraw/zinc/19/87/21/1170198721.db2.gz HEZHHBZDBQSXCM-JGVFFNPUSA-N 0 2 303.265 0.584 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1ccc2c(c1)CCC2 ZINC001606623677 1170246270 /nfs/dbraw/zinc/24/62/70/1170246270.db2.gz YJGAZRWVAXUZTD-UHFFFAOYSA-N 0 2 322.328 0.795 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)n1)Nc1ccc(O)c(F)c1F ZINC001606623243 1170246782 /nfs/dbraw/zinc/24/67/82/1170246782.db2.gz BSQPNCOSGXTWRN-UHFFFAOYSA-N 0 2 322.235 0.081 20 0 DCADLN O=C(c1ccc(-c2nn[nH]n2)c(O)c1)N1CCc2[nH]cnc2C1 ZINC001607014200 1170354603 /nfs/dbraw/zinc/35/46/03/1170354603.db2.gz PUQWLNORXMWJCV-UHFFFAOYSA-N 0 2 311.305 0.494 20 0 DCADLN O=C(c1ccc(-c2nn[nH]n2)c(O)c1)N1CCc2nc[nH]c2C1 ZINC001607014200 1170354609 /nfs/dbraw/zinc/35/46/09/1170354609.db2.gz PUQWLNORXMWJCV-UHFFFAOYSA-N 0 2 311.305 0.494 20 0 DCADLN O=S(=O)(NCC[C@@H]1CC1(F)F)c1ccc(-c2nn[nH]n2)o1 ZINC001607064190 1170375792 /nfs/dbraw/zinc/37/57/92/1170375792.db2.gz VAYHVKRUYBCPEH-ZCFIWIBFSA-N 0 2 319.293 0.783 20 0 DCADLN O=c1oc2ccccc2cc1Cn1cncc(-c2nn[nH]n2)c1=O ZINC001607092212 1170388155 /nfs/dbraw/zinc/38/81/55/1170388155.db2.gz SXTUKAJJKBWXFD-UHFFFAOYSA-N 0 2 322.284 0.578 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@@H+]1CCO[C@H](CC(N)=O)C1 ZINC001607215392 1170415388 /nfs/dbraw/zinc/41/53/88/1170415388.db2.gz AHHVGUZYXAPYNE-GFCCVEGCSA-N 0 2 308.334 0.470 20 0 DCADLN COc1ccc(C(=O)[O-])cc1C[N@H+]1CCO[C@H](CC(N)=O)C1 ZINC001607215392 1170415390 /nfs/dbraw/zinc/41/53/90/1170415390.db2.gz AHHVGUZYXAPYNE-GFCCVEGCSA-N 0 2 308.334 0.470 20 0 DCADLN COc1cccc(-c2n[nH]cc2C[NH+]2CC(O)(C(=O)[O-])C2)c1 ZINC001607303277 1170425068 /nfs/dbraw/zinc/42/50/68/1170425068.db2.gz PEUXSLYWXPTJLS-UHFFFAOYSA-N 0 2 303.318 0.717 20 0 DCADLN COc1cccc(CN(C)C(=O)C[N@@H+]2C[C@@H](O)C[C@@H]2C(=O)[O-])c1 ZINC001607322317 1170428932 /nfs/dbraw/zinc/42/89/32/1170428932.db2.gz PGEIOVBCOBDTOX-GXTWGEPZSA-N 0 2 322.361 0.173 20 0 DCADLN COc1cccc(CN(C)C(=O)C[N@H+]2C[C@@H](O)C[C@@H]2C(=O)[O-])c1 ZINC001607322317 1170428936 /nfs/dbraw/zinc/42/89/36/1170428936.db2.gz PGEIOVBCOBDTOX-GXTWGEPZSA-N 0 2 322.361 0.173 20 0 DCADLN C[C@H]1C[N@H+](Cc2cc(-c3cnn(C)c3)no2)C[C@@H](C(=O)[O-])O1 ZINC001607477657 1170463518 /nfs/dbraw/zinc/46/35/18/1170463518.db2.gz LLHVIFXMGPLDJC-ZANVPECISA-N 0 2 306.322 0.749 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cc(-c3cnn(C)c3)no2)C[C@@H](C(=O)[O-])O1 ZINC001607477657 1170463522 /nfs/dbraw/zinc/46/35/22/1170463522.db2.gz LLHVIFXMGPLDJC-ZANVPECISA-N 0 2 306.322 0.749 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CCC(CO)(CO)C2)c(C)c1C(=O)[O-] ZINC001607485214 1170466012 /nfs/dbraw/zinc/46/60/12/1170466012.db2.gz GIRGLGWWGNWPIY-UHFFFAOYSA-N 0 2 310.350 0.189 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CCC(CO)(CO)C2)c(C)c1C(=O)[O-] ZINC001607485214 1170466014 /nfs/dbraw/zinc/46/60/14/1170466014.db2.gz GIRGLGWWGNWPIY-UHFFFAOYSA-N 0 2 310.350 0.189 20 0 DCADLN Cc1c(-c2cc(C[N@@H+]3C[C@@H](O)C[C@H]3C(=O)[O-])on2)cnn1C ZINC001607500663 1170468386 /nfs/dbraw/zinc/46/83/86/1170468386.db2.gz XSDZDQQEOCTYOO-ZANVPECISA-N 0 2 306.322 0.403 20 0 DCADLN Cc1c(-c2cc(C[N@H+]3C[C@@H](O)C[C@H]3C(=O)[O-])on2)cnn1C ZINC001607500663 1170468396 /nfs/dbraw/zinc/46/83/96/1170468396.db2.gz XSDZDQQEOCTYOO-ZANVPECISA-N 0 2 306.322 0.403 20 0 DCADLN Cc1cc(C)c(CNC(=O)Cn2cccc(C(=O)[O-])c2=O)c[nH+]1 ZINC001607620231 1170484722 /nfs/dbraw/zinc/48/47/22/1170484722.db2.gz VXSAEUMWGPPHPV-UHFFFAOYSA-N 0 2 315.329 0.875 20 0 DCADLN Cc1ccc(C(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)cc1C(=O)[O-] ZINC001607733470 1170501582 /nfs/dbraw/zinc/50/15/82/1170501582.db2.gz JYLJRAVPBFZFKW-LBPRGKRZSA-N 0 2 303.318 0.750 20 0 DCADLN Cc1ccc(C(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)cc1C(=O)[O-] ZINC001607733470 1170501585 /nfs/dbraw/zinc/50/15/85/1170501585.db2.gz JYLJRAVPBFZFKW-LBPRGKRZSA-N 0 2 303.318 0.750 20 0 DCADLN Cc1ccc([C@H]([NH2+]CC(=O)N2CCOCC2)C(=O)[O-])cc1C ZINC001607756040 1170503484 /nfs/dbraw/zinc/50/34/84/1170503484.db2.gz FFKWHCDYKFEQBC-HNNXBMFYSA-N 0 2 306.362 0.878 20 0 DCADLN Cc1ccc(F)cc1C(=O)C(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001607789728 1170506317 /nfs/dbraw/zinc/50/63/17/1170506317.db2.gz BMCXWTURUZULDG-UHFFFAOYSA-N 0 2 308.309 0.546 20 0 DCADLN Cc1cn2cc(NC(=O)N3CC[C@@](O)(C(=O)[O-])C3)ccc2[nH+]1 ZINC001607902203 1170520678 /nfs/dbraw/zinc/52/06/78/1170520678.db2.gz YEKRXQKJEBEZMI-AWEZNQCLSA-N 0 2 304.306 0.696 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC1(CS(C)(=O)=O)CCOCC1 ZINC001607946606 1170531706 /nfs/dbraw/zinc/53/17/06/1170531706.db2.gz WDMNJKBRPCQFKR-UHFFFAOYSA-N 0 2 316.379 0.731 20 0 DCADLN Cc1noc(Cl)c1CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001608027497 1170552556 /nfs/dbraw/zinc/55/25/56/1170552556.db2.gz VOKCEEJGJOHABH-VIFPVBQESA-N 0 2 312.713 0.714 20 0 DCADLN Cc1noc(Cl)c1CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001608027497 1170552561 /nfs/dbraw/zinc/55/25/61/1170552561.db2.gz VOKCEEJGJOHABH-VIFPVBQESA-N 0 2 312.713 0.714 20 0 DCADLN Cn1nc(C(F)(F)F)cc1C[N@@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001608145840 1170594461 /nfs/dbraw/zinc/59/44/61/1170594461.db2.gz VPBDKDYFLKHMQM-CBAPKCEASA-N 0 2 307.272 0.706 20 0 DCADLN Cn1nc(C(F)(F)F)cc1C[N@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001608145840 1170594465 /nfs/dbraw/zinc/59/44/65/1170594465.db2.gz VPBDKDYFLKHMQM-CBAPKCEASA-N 0 2 307.272 0.706 20 0 DCADLN Cn1ncc(Br)c1C[N@@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001608153007 1170597308 /nfs/dbraw/zinc/59/73/08/1170597308.db2.gz AEIXIMSFDJNEGE-HTQZYQBOSA-N 0 2 318.171 0.450 20 0 DCADLN Cn1ncc(Br)c1C[N@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001608153007 1170597311 /nfs/dbraw/zinc/59/73/11/1170597311.db2.gz AEIXIMSFDJNEGE-HTQZYQBOSA-N 0 2 318.171 0.450 20 0 DCADLN [NH3+][C@H](C(=O)N1CCO[C@@H](CC(=O)[O-])C1)c1c(F)cccc1F ZINC001608247435 1170629620 /nfs/dbraw/zinc/62/96/20/1170629620.db2.gz GQKWZPLWTFLDDY-SDBXPKJASA-N 0 2 314.288 0.667 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)C[C@H]1Cc2ccccc2O1 ZINC001608299041 1170644686 /nfs/dbraw/zinc/64/46/86/1170644686.db2.gz AKMAMWPEVDZWFP-CHWSQXEVSA-N 0 2 315.329 0.846 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)NCCCCn2cc[nH+]c2)CC1 ZINC001608556104 1170701827 /nfs/dbraw/zinc/70/18/27/1170701827.db2.gz XSZBCHXDZPHHLX-CYBMUJFWSA-N 0 2 324.381 0.530 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)CN(C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC001608603530 1170714911 /nfs/dbraw/zinc/71/49/11/1170714911.db2.gz FLTRKOXKXUBUKP-KOLCDFICSA-N 0 2 304.306 0.634 20 0 DCADLN O=C([O-])[C@@H]1CCN2C(=O)N([C@@H]3CCn4cc[nH+]c4C3)C(=O)[C@H]2C1 ZINC001608640363 1170728665 /nfs/dbraw/zinc/72/86/65/1170728665.db2.gz RWSVVNLSRGEROO-GMTAPVOTSA-N 0 2 318.333 0.325 20 0 DCADLN O=C([O-])CCNC(=O)CCNc1cc(N2CCCC2)nc[nH+]1 ZINC001608729274 1170746658 /nfs/dbraw/zinc/74/66/58/1170746658.db2.gz NSDOZCKOIPTBOA-UHFFFAOYSA-N 0 2 307.354 0.470 20 0 DCADLN O=C([O-])CCNC(=O)CCNc1cc(N2CCCC2)[nH+]cn1 ZINC001608729274 1170746660 /nfs/dbraw/zinc/74/66/60/1170746660.db2.gz NSDOZCKOIPTBOA-UHFFFAOYSA-N 0 2 307.354 0.470 20 0 DCADLN O=C([O-])c1ccc(-c2nc(C[N@H+]3CC[C@@H](O)[C@@H](O)C3)co2)cc1 ZINC001608868971 1170767441 /nfs/dbraw/zinc/76/74/41/1170767441.db2.gz MTYPBMPHMOFXPO-KGLIPLIRSA-N 0 2 318.329 0.967 20 0 DCADLN O=C([O-])c1ccc(-c2nc(C[N@@H+]3CC[C@@H](O)[C@@H](O)C3)co2)cc1 ZINC001608868971 1170767445 /nfs/dbraw/zinc/76/74/45/1170767445.db2.gz MTYPBMPHMOFXPO-KGLIPLIRSA-N 0 2 318.329 0.967 20 0 DCADLN O=C([O-])c1ccccc1CS(=O)(=O)NCCn1cc[nH+]c1 ZINC001608947186 1170773845 /nfs/dbraw/zinc/77/38/45/1170773845.db2.gz MASKTOJSUJEACZ-UHFFFAOYSA-N 0 2 309.347 0.701 20 0 DCADLN CC(=O)NCC[N@@H+](C)CC(=O)Nc1cc(C(=O)[O-])ccc1C ZINC001609621966 1171053745 /nfs/dbraw/zinc/05/37/45/1171053745.db2.gz PTWFRICVSZTFGZ-UHFFFAOYSA-N 0 2 307.350 0.700 20 0 DCADLN CC(=O)NCC[N@H+](C)CC(=O)Nc1cc(C(=O)[O-])ccc1C ZINC001609621966 1171053753 /nfs/dbraw/zinc/05/37/53/1171053753.db2.gz PTWFRICVSZTFGZ-UHFFFAOYSA-N 0 2 307.350 0.700 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)N1CCC[C@](C)(C(=O)[O-])C1 ZINC001609750891 1171077929 /nfs/dbraw/zinc/07/79/29/1171077929.db2.gz GYQCHWLOXYLQME-NHYWBVRUSA-N 0 2 322.365 0.101 20 0 DCADLN C[C@H]([NH2+]Cc1nc(N)nc(N)n1)c1ccc(OCC(=O)[O-])cc1 ZINC001609778964 1171084154 /nfs/dbraw/zinc/08/41/54/1171084154.db2.gz JFSHUXFJUKIYAR-QMMMGPOBSA-N 0 2 318.337 0.350 20 0 DCADLN C[C@H](Oc1ccc(C[NH+]2CCN(C(=O)CO)CC2)cc1)C(=O)[O-] ZINC001609803925 1171090612 /nfs/dbraw/zinc/09/06/12/1171090612.db2.gz YVJGUQYDZRDYIP-LBPRGKRZSA-N 0 2 322.361 0.175 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[N@@H+]1CC[C@H](C)C[C@@H]1C(=O)[O-] ZINC001610015789 1171139638 /nfs/dbraw/zinc/13/96/38/1171139638.db2.gz HDHBYRPGPHDAFF-WDEREUQCSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[N@H+]1CC[C@H](C)C[C@@H]1C(=O)[O-] ZINC001610015789 1171139639 /nfs/dbraw/zinc/13/96/39/1171139639.db2.gz HDHBYRPGPHDAFF-WDEREUQCSA-N 0 2 300.355 0.193 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@@H+]2C[C@@H](OC)[C@@H](OC)C2)c1 ZINC001610218342 1171197935 /nfs/dbraw/zinc/19/79/35/1171197935.db2.gz NFMPPUIGHRAZBJ-HZSPNIEDSA-N 0 2 323.345 0.945 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@H+]2C[C@@H](OC)[C@@H](OC)C2)c1 ZINC001610218342 1171197951 /nfs/dbraw/zinc/19/79/51/1171197951.db2.gz NFMPPUIGHRAZBJ-HZSPNIEDSA-N 0 2 323.345 0.945 20 0 DCADLN COC(=O)c1coc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001610219719 1171198818 /nfs/dbraw/zinc/19/88/18/1171198818.db2.gz VXTPVYSVSJKOPX-SECBINFHSA-N 0 2 307.262 0.146 20 0 DCADLN COCC[N@H+](CC(=O)NCC(=O)[O-])Cc1scnc1C ZINC001610257936 1171211846 /nfs/dbraw/zinc/21/18/46/1171211846.db2.gz KJQMFEWTZSGIPL-UHFFFAOYSA-N 0 2 301.368 0.101 20 0 DCADLN COCC[N@@H+](CC(=O)NCC(=O)[O-])Cc1scnc1C ZINC001610257936 1171211857 /nfs/dbraw/zinc/21/18/57/1171211857.db2.gz KJQMFEWTZSGIPL-UHFFFAOYSA-N 0 2 301.368 0.101 20 0 DCADLN COCCN(C)c1ccc(C[N@@H+]2CCOC[C@H]2CC(=O)[O-])cn1 ZINC001610257612 1171211881 /nfs/dbraw/zinc/21/18/81/1171211881.db2.gz FSNABJZEATVRPZ-CQSZACIVSA-N 0 2 323.393 0.840 20 0 DCADLN COCCN(C)c1ccc(C[N@H+]2CCOC[C@H]2CC(=O)[O-])cn1 ZINC001610257612 1171211887 /nfs/dbraw/zinc/21/18/87/1171211887.db2.gz FSNABJZEATVRPZ-CQSZACIVSA-N 0 2 323.393 0.840 20 0 DCADLN COCc1nc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cs1 ZINC001610273243 1171215155 /nfs/dbraw/zinc/21/51/55/1171215155.db2.gz AXHITXYWLFVOQE-QMMMGPOBSA-N 0 2 310.335 0.438 20 0 DCADLN COCc1nc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cs1 ZINC001610273243 1171215158 /nfs/dbraw/zinc/21/51/58/1171215158.db2.gz AXHITXYWLFVOQE-QMMMGPOBSA-N 0 2 310.335 0.438 20 0 DCADLN COc1ccc(C[N@H+](C)[C@@H](C)C(=O)NCC(=O)[O-])c(OC)c1 ZINC001610330753 1171220336 /nfs/dbraw/zinc/22/03/36/1171220336.db2.gz VHKBWZVYGFJEBV-JTQLQIEISA-N 0 2 310.350 0.725 20 0 DCADLN COc1ccc(C[N@@H+](C)[C@@H](C)C(=O)NCC(=O)[O-])c(OC)c1 ZINC001610330753 1171220343 /nfs/dbraw/zinc/22/03/43/1171220343.db2.gz VHKBWZVYGFJEBV-JTQLQIEISA-N 0 2 310.350 0.725 20 0 DCADLN Cc1ccc(O)c(C[N@H+](CCN2CCOCC2)CC(=O)[O-])n1 ZINC001610509238 1171245986 /nfs/dbraw/zinc/24/59/86/1171245986.db2.gz CAXNTLLNQNQOLX-UHFFFAOYSA-N 0 2 309.366 0.314 20 0 DCADLN Cc1ccc(O)c(C[N@@H+](CCN2CCOCC2)CC(=O)[O-])n1 ZINC001610509238 1171245990 /nfs/dbraw/zinc/24/59/90/1171245990.db2.gz CAXNTLLNQNQOLX-UHFFFAOYSA-N 0 2 309.366 0.314 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1CCO[C@H]([C@@H](C)O)C1 ZINC001610570658 1171256963 /nfs/dbraw/zinc/25/69/63/1171256963.db2.gz GXUAVTQRQGVDMJ-OCCSQVGLSA-N 0 2 311.382 0.556 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1CCO[C@H]([C@@H](C)O)C1 ZINC001610570658 1171256966 /nfs/dbraw/zinc/25/69/66/1171256966.db2.gz GXUAVTQRQGVDMJ-OCCSQVGLSA-N 0 2 311.382 0.556 20 0 DCADLN Cn1cc2c(n1)CCC[C@H]2C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610607314 1171265873 /nfs/dbraw/zinc/26/58/73/1171265873.db2.gz TYNHKLZIIBSOMB-MFKMUULPSA-N 0 2 317.349 0.375 20 0 DCADLN Cn1cc2c(n1)CCC[C@H]2C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610607314 1171265876 /nfs/dbraw/zinc/26/58/76/1171265876.db2.gz TYNHKLZIIBSOMB-MFKMUULPSA-N 0 2 317.349 0.375 20 0 DCADLN NC(=[NH+]OCCCN1C(=O)CNC1=O)c1cccc(C(=O)[O-])c1 ZINC001610637585 1171275209 /nfs/dbraw/zinc/27/52/09/1171275209.db2.gz WCRGEJJPXHNHTJ-UHFFFAOYSA-N 0 2 320.305 0.173 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001610717133 1171297480 /nfs/dbraw/zinc/29/74/80/1171297480.db2.gz DHVAQAVLVRSJQA-OLZOCXBDSA-N 0 2 303.293 0.932 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC001610721957 1171297809 /nfs/dbraw/zinc/29/78/09/1171297809.db2.gz HQERDXOJOONLPD-DGCLKSJQSA-N 0 2 322.365 0.065 20 0 DCADLN O=C([O-])CC1([NH2+]CC2=Nc3ccccc3S(=O)(=O)N2)CCC1 ZINC001610758941 1171312413 /nfs/dbraw/zinc/31/24/13/1171312413.db2.gz XDVJCAWZELLOKV-UHFFFAOYSA-N 0 2 323.374 0.996 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1CCn1cc(Cl)cn1 ZINC001610782674 1171323028 /nfs/dbraw/zinc/32/30/28/1171323028.db2.gz ITORRBRRWKQQQT-SNVBAGLBSA-N 0 2 300.746 0.202 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1CCn1cc(Cl)cn1 ZINC001610782674 1171323038 /nfs/dbraw/zinc/32/30/38/1171323038.db2.gz ITORRBRRWKQQQT-SNVBAGLBSA-N 0 2 300.746 0.202 20 0 DCADLN COc1cc(CC(=O)NCCc2n[nH]c(=S)o2)ccc1O ZINC001617080692 1171384910 /nfs/dbraw/zinc/38/49/10/1171384910.db2.gz SZFOGLWMNIMTMI-UHFFFAOYSA-N 0 2 309.347 0.974 20 0 DCADLN CO[C@@H]1COCC[C@@H]1CC(=O)NCCc1n[nH]c(=S)o1 ZINC001634591260 1171678576 /nfs/dbraw/zinc/67/85/76/1171678576.db2.gz XANJMZZGYFPPSG-RKDXNWHRSA-N 0 2 301.368 0.459 20 0 DCADLN O=C(C[C@@H]1CC(=O)N1)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635456052 1171703273 /nfs/dbraw/zinc/70/32/73/1171703273.db2.gz MXPMZAPVKHINPJ-WDEREUQCSA-N 0 2 315.333 0.189 20 0 DCADLN COc1cc(O)ccc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001638249324 1171793120 /nfs/dbraw/zinc/79/31/20/1171793120.db2.gz PJIUAIHKIGZQIH-UHFFFAOYSA-N 0 2 315.289 0.424 20 0 DCADLN CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2n[nH]cc2C(=O)[O-])CC1 ZINC000055069016 1171865580 /nfs/dbraw/zinc/86/55/80/1171865580.db2.gz IVOLJWAEQBFVDM-VIFPVBQESA-N 0 2 316.383 0.213 20 0 DCADLN CCC(CC)(NC(=O)/C=C(\C)CN1CCOCC1)c1nn[nH]n1 ZINC001640154291 1171866639 /nfs/dbraw/zinc/86/66/39/1171866639.db2.gz BSQVFUARCKZXKN-ZRDIBKRKSA-N 0 2 322.413 0.610 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnn(-c2ccc(F)cc2)c1 ZINC001641666474 1171925245 /nfs/dbraw/zinc/92/52/45/1171925245.db2.gz CBFFBCUFVNATPT-UHFFFAOYSA-N 0 2 315.264 0.658 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)N[C@H]1CCNC1=O ZINC001645971652 1172201551 /nfs/dbraw/zinc/20/15/51/1172201551.db2.gz OLUXOSGPUGYNDC-QMMMGPOBSA-N 0 2 321.362 0.427 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)c1ncon1 ZINC001646387105 1172359335 /nfs/dbraw/zinc/35/93/35/1172359335.db2.gz MIPIQFQEIYIVTR-QMMMGPOBSA-N 0 2 301.310 0.727 20 0 DCADLN Cc1[nH+]ccn1C[C@H](C)CNC(=O)C[NH+]1CCC(CO)CC1 ZINC001646574670 1172428456 /nfs/dbraw/zinc/42/84/56/1172428456.db2.gz AHTXXFLUDKFPKU-CYBMUJFWSA-N 0 2 308.426 0.648 20 0 DCADLN [NH3+]Cc1ncc(C(=O)N[C@@H]2CCC[N@@H+]3CCSC[C@@H]23)cn1 ZINC001646791462 1172535400 /nfs/dbraw/zinc/53/54/00/1172535400.db2.gz MTHKPVJPJBDYDF-NEPJUHHUSA-N 0 2 307.423 0.245 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CCC(n2cc[nH+]c2)CC1 ZINC001647147254 1172701785 /nfs/dbraw/zinc/70/17/85/1172701785.db2.gz ILVGUXRLMDCEBQ-UHFFFAOYSA-N 0 2 308.367 0.663 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)[N-]S(=O)(=O)Cc1ccon1 ZINC001647249780 1172765100 /nfs/dbraw/zinc/76/51/00/1172765100.db2.gz COEBNQOYPCOKHK-UHFFFAOYSA-N 0 2 324.362 0.396 20 0 DCADLN C[C@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1Cc1nnn(CC(F)(F)F)n1 ZINC001649881669 1173280520 /nfs/dbraw/zinc/28/05/20/1173280520.db2.gz CNHAXCPMUAXWTJ-JGVFFNPUSA-N 0 2 307.276 0.921 20 0 DCADLN C[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cc1nnn(CC(F)(F)F)n1 ZINC001649881669 1173280524 /nfs/dbraw/zinc/28/05/24/1173280524.db2.gz CNHAXCPMUAXWTJ-JGVFFNPUSA-N 0 2 307.276 0.921 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@@H]3C[C@]34CCOC4)CC2)CC1 ZINC001649954578 1173335253 /nfs/dbraw/zinc/33/52/53/1173335253.db2.gz VBLYDRWCGRFZJK-RDJZCZTQSA-N 0 2 307.438 0.651 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)[C@@H]3C[C@@]34CCOC4)CC2)CC1 ZINC001649954576 1173335578 /nfs/dbraw/zinc/33/55/78/1173335578.db2.gz VBLYDRWCGRFZJK-DOTOQJQBSA-N 0 2 307.438 0.651 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N2CC[C@H](c3nnc[nH]3)C2)n[nH]1 ZINC001650021803 1173383652 /nfs/dbraw/zinc/38/36/52/1173383652.db2.gz BOWQWVBUNZHPGY-GXFFZTMASA-N 0 2 315.381 0.924 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)[C@H]([NH3+])CCSC)[C@H](C)C1 ZINC001650352630 1173587762 /nfs/dbraw/zinc/58/77/62/1173587762.db2.gz UGOSBDLKYDSZLS-VXGBXAGGSA-N 0 2 317.455 0.163 20 0 DCADLN CCNc1cc(CNC(=O)NCC[N@@H+]2CC[C@H](O)C2)cc[nH+]1 ZINC001650549435 1173681350 /nfs/dbraw/zinc/68/13/50/1173681350.db2.gz RDGWJAHUJNYPRL-ZDUSSCGKSA-N 0 2 307.398 0.379 20 0 DCADLN Cn1ncc(C2CCC2)c1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC001654366168 1173803376 /nfs/dbraw/zinc/80/33/76/1173803376.db2.gz QKTFOTYOTOWTDR-UHFFFAOYSA-N 0 2 304.354 0.874 20 0 DCADLN CCc1nc(CNS(=O)(=O)c2ccc(F)nc2F)n[nH]1 ZINC001662143971 1174181939 /nfs/dbraw/zinc/18/19/39/1174181939.db2.gz ANPALMKNSQCELP-UHFFFAOYSA-N 0 2 303.294 0.519 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccc[nH]1 ZINC001669799802 1174952140 /nfs/dbraw/zinc/95/21/40/1174952140.db2.gz BJLOKLMDDXWXFI-WWQCOOJYSA-N 0 2 304.354 0.488 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccc[nH]1 ZINC001669799802 1174952144 /nfs/dbraw/zinc/95/21/44/1174952144.db2.gz BJLOKLMDDXWXFI-WWQCOOJYSA-N 0 2 304.354 0.488 20 0 DCADLN CC(C)C(=O)NCCNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001677050426 1175515573 /nfs/dbraw/zinc/51/55/73/1175515573.db2.gz PQRPBGPEYOYBEW-JTQLQIEISA-N 0 2 324.385 0.172 20 0 DCADLN CO[N-]C(=O)CNC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC001677307329 1175554150 /nfs/dbraw/zinc/55/41/50/1175554150.db2.gz BMEUEWZHMJQBTE-UHFFFAOYSA-N 0 2 321.312 0.488 20 0 DCADLN O=C(NCCOCC1CC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001678616068 1175662535 /nfs/dbraw/zinc/66/25/35/1175662535.db2.gz DWXGQTRXEYDANT-NSHDSACASA-N 0 2 309.370 0.826 20 0 DCADLN C[C@H](CO)CCCNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001681304873 1175887500 /nfs/dbraw/zinc/88/75/00/1175887500.db2.gz NSNQWSKINZSKGI-WDEREUQCSA-N 0 2 311.386 0.808 20 0 DCADLN CC(C)=CCCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001681343760 1175889477 /nfs/dbraw/zinc/88/94/77/1175889477.db2.gz IURINUJXAMDLFY-UHFFFAOYSA-N 0 2 315.399 0.505 20 0 DCADLN COCCCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001686902490 1176244383 /nfs/dbraw/zinc/24/43/83/1176244383.db2.gz CBPPIEITFLVMFP-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN COCCCC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001686902490 1176244384 /nfs/dbraw/zinc/24/43/84/1176244384.db2.gz CBPPIEITFLVMFP-VIFPVBQESA-N 0 2 302.268 0.936 20 0 DCADLN Cc1cc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001715805870 1182014185 /nfs/dbraw/zinc/01/41/85/1182014185.db2.gz QDGPMLAWXXMODZ-ONEGZZNKSA-N 0 2 305.338 0.796 20 0 DCADLN CC(C(=O)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1)=C1CCC1 ZINC001717503536 1182868239 /nfs/dbraw/zinc/86/82/39/1182868239.db2.gz BQACMYATQSAKBY-UHFFFAOYSA-N 0 2 321.381 0.064 20 0 DCADLN O=C(C=C1CCC1)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721754920 1184021873 /nfs/dbraw/zinc/02/18/73/1184021873.db2.gz ZBDXTHDPYFCOEQ-SCZZXKLOSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001721754920 1184021880 /nfs/dbraw/zinc/02/18/80/1184021880.db2.gz ZBDXTHDPYFCOEQ-SCZZXKLOSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(CCc1cn[nH]c1)NCCNC(=O)C(F)C(F)(F)F ZINC001736769802 1187096269 /nfs/dbraw/zinc/09/62/69/1187096269.db2.gz MNRNGUSZKVZAKC-VIFPVBQESA-N 0 2 310.251 0.475 20 0 DCADLN O=C(CCc1cn[nH]c1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001736769802 1187096272 /nfs/dbraw/zinc/09/62/72/1187096272.db2.gz MNRNGUSZKVZAKC-VIFPVBQESA-N 0 2 310.251 0.475 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1ccc(=O)[nH]n1 ZINC001736875399 1187150507 /nfs/dbraw/zinc/15/05/07/1187150507.db2.gz HXYMFONAWDLKQN-MRVPVSSYSA-N 0 2 324.234 0.319 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1ccc(=O)[nH]n1 ZINC001736875399 1187150511 /nfs/dbraw/zinc/15/05/11/1187150511.db2.gz HXYMFONAWDLKQN-MRVPVSSYSA-N 0 2 324.234 0.319 20 0 DCADLN Cc1n[nH]c(C(=O)NCCCNC(=O)C[N@H+]2CCC[C@@H]2C)c1C ZINC001736902883 1187168897 /nfs/dbraw/zinc/16/88/97/1187168897.db2.gz RNYVGERNWOHFPK-NSHDSACASA-N 0 2 321.425 0.747 20 0 DCADLN C[C@H]1CCC[N@@H+]1CC(=O)NCCNC(=O)CCc1c[nH+]c[nH]1 ZINC001742534757 1188001540 /nfs/dbraw/zinc/00/15/40/1188001540.db2.gz OSWPNVVBOLVPKK-LBPRGKRZSA-N 0 2 307.398 0.059 20 0 DCADLN C/C(=C/C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001754667812 1188900775 /nfs/dbraw/zinc/90/07/75/1188900775.db2.gz YRNHGVCRUMAYRI-XMELGKRDSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C/C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001754667812 1188900778 /nfs/dbraw/zinc/90/07/78/1188900778.db2.gz YRNHGVCRUMAYRI-XMELGKRDSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCCOC1)C(F)C(F)(F)F ZINC001758140817 1189749362 /nfs/dbraw/zinc/74/93/62/1189749362.db2.gz QVJWESOMFNMJTJ-BDAKNGLRSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCNC(=O)[C@@H]1CCCOC1)[C@H](F)C(F)(F)F ZINC001758140817 1189749364 /nfs/dbraw/zinc/74/93/64/1189749364.db2.gz QVJWESOMFNMJTJ-BDAKNGLRSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@H]1CCC[N@@H+]1CC(=O)NCCCNC(=O)CCn1cc[nH+]c1 ZINC001758220644 1189786210 /nfs/dbraw/zinc/78/62/10/1189786210.db2.gz TXNYVACPGMPIBJ-AWEZNQCLSA-N 0 2 321.425 0.380 20 0 DCADLN O=C(CCc1nnc[nH]1)Nc1ccc(OCc2nn[nH]n2)cc1 ZINC000429105345 1190419804 /nfs/dbraw/zinc/41/98/04/1190419804.db2.gz RMDKXUAZPVIOLX-UHFFFAOYSA-N 0 2 314.309 0.468 20 0 DCADLN COCc1nsc(NC(=O)c2ccc(S(C)(=O)=O)o2)n1 ZINC001771649031 1190459643 /nfs/dbraw/zinc/45/96/43/1190459643.db2.gz IUYSWEJFFCNPDK-UHFFFAOYSA-N 0 2 317.348 0.933 20 0 DCADLN Cc1cc(-c2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)ccn1 ZINC001771678642 1190465106 /nfs/dbraw/zinc/46/51/06/1190465106.db2.gz KGRMESQRUKMZGE-UHFFFAOYSA-N 0 2 309.289 0.873 20 0 DCADLN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)COC(F)F)C1 ZINC001771847732 1190525295 /nfs/dbraw/zinc/52/52/95/1190525295.db2.gz HZBVBXSKIQZNHD-SECBINFHSA-N 0 2 304.215 0.895 20 0 DCADLN CN(C(=O)[C@@H]1CCC1(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043035316 752045985 /nfs/dbraw/zinc/04/59/85/752045985.db2.gz CVBWWJBFZNZXRW-QMMMGPOBSA-N 0 2 301.297 0.198 20 0 DCADLN COCc1noc([C@H](C)[NH2+]CCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001125734453 747543350 /nfs/dbraw/zinc/54/33/50/747543350.db2.gz QCZZRGUQQJPMSP-QMMMGPOBSA-N 0 2 324.341 0.034 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N[C@H]1C[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001059167071 748793191 /nfs/dbraw/zinc/79/31/91/748793191.db2.gz DLLRAYKVOJICPG-GMTAPVOTSA-N 0 2 315.381 0.506 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ncc[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071494170 761903754 /nfs/dbraw/zinc/90/37/54/761903754.db2.gz OVZPUMXOCRPHRX-RKDXNWHRSA-N 0 2 305.342 0.016 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccns2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001087589490 748989837 /nfs/dbraw/zinc/98/98/37/748989837.db2.gz FTIHJTIPBYEQRI-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN CC(=O)N1CCC[C@H](Oc2[nH]c(=O)nnc2Br)C1 ZINC001227065282 749132336 /nfs/dbraw/zinc/13/23/36/749132336.db2.gz ZUVZQUUYIXWFLK-ZETCQYMHSA-N 0 2 317.143 0.729 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cc(Cl)c[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088467051 749474589 /nfs/dbraw/zinc/47/45/89/749474589.db2.gz NOPITKXHBMYTAZ-APPZFPTMSA-N 0 2 324.772 0.885 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cc(Cl)c[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088467051 749474592 /nfs/dbraw/zinc/47/45/92/749474592.db2.gz NOPITKXHBMYTAZ-APPZFPTMSA-N 0 2 324.772 0.885 20 0 DCADLN Cc1cc(CC(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)no1 ZINC001088497919 749513739 /nfs/dbraw/zinc/51/37/39/749513739.db2.gz XUKBLSIZERKKEV-KOLCDFICSA-N 0 2 320.353 0.128 20 0 DCADLN Cc1cc(CC(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)no1 ZINC001088497919 749513741 /nfs/dbraw/zinc/51/37/41/749513741.db2.gz XUKBLSIZERKKEV-KOLCDFICSA-N 0 2 320.353 0.128 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccn(C)c2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088522515 749546033 /nfs/dbraw/zinc/54/60/33/749546033.db2.gz SCLLJSMZGBWXHK-KOLCDFICSA-N 0 2 304.354 0.242 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccn(C)c2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088522515 749546040 /nfs/dbraw/zinc/54/60/40/749546040.db2.gz SCLLJSMZGBWXHK-KOLCDFICSA-N 0 2 304.354 0.242 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCCO2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088526788 749550899 /nfs/dbraw/zinc/55/08/99/749550899.db2.gz VKIATIVSARFZNQ-OUAUKWLOSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCCO2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088526788 749550906 /nfs/dbraw/zinc/55/09/06/749550906.db2.gz VKIATIVSARFZNQ-OUAUKWLOSA-N 0 2 309.370 0.158 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)ncn1 ZINC001088529498 749553179 /nfs/dbraw/zinc/55/31/79/749553179.db2.gz YZNZHIHYTPYINX-ZJUUUORDSA-N 0 2 317.353 0.002 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088635412 749821018 /nfs/dbraw/zinc/82/10/18/749821018.db2.gz UGQWLLYNOBFOIJ-DCQANWLSSA-N 0 2 323.397 0.404 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001088635412 749821019 /nfs/dbraw/zinc/82/10/19/749821019.db2.gz UGQWLLYNOBFOIJ-DCQANWLSSA-N 0 2 323.397 0.404 20 0 DCADLN C[C@@H](CCNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001077229421 750276706 /nfs/dbraw/zinc/27/67/06/750276706.db2.gz BIWYQJLWZOXKJZ-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@H](CCNC(=O)c1cncn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001077229421 750276709 /nfs/dbraw/zinc/27/67/09/750276709.db2.gz BIWYQJLWZOXKJZ-CBAPKCEASA-N 0 2 324.278 0.945 20 0 DCADLN COCC1(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CCCC1 ZINC001112934584 762028959 /nfs/dbraw/zinc/02/89/59/762028959.db2.gz CHXUPCYPGHDHAZ-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CC2(F)F)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046300787 750950774 /nfs/dbraw/zinc/95/07/74/750950774.db2.gz BCPHUPYVWUWSOJ-CPCISQLKSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CC2(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300787 750950778 /nfs/dbraw/zinc/95/07/78/750950778.db2.gz BCPHUPYVWUWSOJ-CPCISQLKSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CC2(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046300787 750950781 /nfs/dbraw/zinc/95/07/81/750950781.db2.gz BCPHUPYVWUWSOJ-CPCISQLKSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@@H]1CC[C@@H](CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001043751661 752375656 /nfs/dbraw/zinc/37/56/56/752375656.db2.gz IZUJOGSMCCXDQQ-KOLCDFICSA-N 0 2 309.370 0.111 20 0 DCADLN Cc1ccoc1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043818698 752398984 /nfs/dbraw/zinc/39/89/84/752398984.db2.gz ZBMNEEZESKJATN-UHFFFAOYSA-N 0 2 305.338 0.297 20 0 DCADLN CN(C(=O)c1coc(C2CC2)n1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044014280 752517640 /nfs/dbraw/zinc/51/76/40/752517640.db2.gz QUTSSMQIVMWEID-UHFFFAOYSA-N 0 2 318.337 0.332 20 0 DCADLN C[C@@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001044168384 752606930 /nfs/dbraw/zinc/60/69/30/752606930.db2.gz IGVCRUBKLSQZRR-SNVBAGLBSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)[C@H]1CC2CCC1CC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044342980 752698381 /nfs/dbraw/zinc/69/83/81/752698381.db2.gz HSAXBGPFHCTMBC-XIVSLSHWSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@@H](CCNC(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001077992551 752963754 /nfs/dbraw/zinc/96/37/54/752963754.db2.gz GPAAGUYWCAALRH-FSPLSTOPSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@@H](CCNC(=O)c1cnon1)NC(=O)[C@H](F)C(F)(F)F ZINC001077992551 752963761 /nfs/dbraw/zinc/96/37/61/752963761.db2.gz GPAAGUYWCAALRH-FSPLSTOPSA-N 0 2 312.223 0.595 20 0 DCADLN CC(C)=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC001078018403 752983997 /nfs/dbraw/zinc/98/39/97/752983997.db2.gz ZTMSYMFJOLJUKF-GDBMZVCRSA-N 0 2 318.421 0.889 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2ccncn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071905135 762214009 /nfs/dbraw/zinc/21/40/09/762214009.db2.gz VCIZYOJUFZQHMR-NXEZZACHSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001046127638 753496419 /nfs/dbraw/zinc/49/64/19/753496419.db2.gz BSDYOGPUOJVIHY-ZDUSSCGKSA-N 0 2 306.326 0.201 20 0 DCADLN CCC(=O)NC[C@@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC001061158987 753521397 /nfs/dbraw/zinc/52/13/97/753521397.db2.gz KDXOVKJWEATYBN-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)NC[C@@H]1COCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001061158987 753521402 /nfs/dbraw/zinc/52/14/02/753521402.db2.gz KDXOVKJWEATYBN-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1ocnc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046178685 753532562 /nfs/dbraw/zinc/53/25/62/753532562.db2.gz SXYCFESLUDNFAH-CYBMUJFWSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2C[C@@H]2C(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046290277 753620512 /nfs/dbraw/zinc/62/05/12/753620512.db2.gz NNEQQHHXYWXZAG-UVPNAGLESA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@]1(NC(=O)[C@H]2C[C@@H]2C(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046290277 753620516 /nfs/dbraw/zinc/62/05/16/753620516.db2.gz NNEQQHHXYWXZAG-UVPNAGLESA-N 0 2 315.324 0.492 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046389783 753683828 /nfs/dbraw/zinc/68/38/28/753683828.db2.gz PLZTZGUQENXMKL-IFUGULHKSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046389783 753683831 /nfs/dbraw/zinc/68/38/31/753683831.db2.gz PLZTZGUQENXMKL-IFUGULHKSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@]1(NC(=O)c2ccsn2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046413330 753702801 /nfs/dbraw/zinc/70/28/01/753702801.db2.gz TUJUVEGTRQGVAG-LBPRGKRZSA-N 0 2 308.367 0.361 20 0 DCADLN CC(C)c1nnc(CN2CC[C@](C)(NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001046438667 753717875 /nfs/dbraw/zinc/71/78/75/753717875.db2.gz DQDPBOHHAIETQJ-AWEZNQCLSA-N 0 2 318.385 0.441 20 0 DCADLN COCCOCC[NH+]1CCN(C(=O)C[N@H+]2CCC[C@@H]2C)CC1 ZINC001113343997 762255319 /nfs/dbraw/zinc/25/53/19/762255319.db2.gz JNKORRIEUQQAJC-HNNXBMFYSA-N 0 2 313.442 0.278 20 0 DCADLN CCC(=O)N1CCOC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001061639771 754173699 /nfs/dbraw/zinc/17/36/99/754173699.db2.gz WBOWUOXXNXEJRJ-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)N1CCOC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001061639771 754173702 /nfs/dbraw/zinc/17/37/02/754173702.db2.gz WBOWUOXXNXEJRJ-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC[C@@H](C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113507646 762345695 /nfs/dbraw/zinc/34/56/95/762345695.db2.gz SCUUPOWYVJCNPJ-LLVKDONJSA-N 0 2 311.386 0.217 20 0 DCADLN CC(C)C(=O)N1CCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001064869909 755549304 /nfs/dbraw/zinc/54/93/04/755549304.db2.gz FMHIJELSECOGSD-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)N1CCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001064869909 755549307 /nfs/dbraw/zinc/54/93/07/755549307.db2.gz FMHIJELSECOGSD-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1ncsc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001079450449 755832744 /nfs/dbraw/zinc/83/27/44/755832744.db2.gz JLRCTVSGIDWDIQ-VXNVDRBHSA-N 0 2 322.394 0.526 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCOC3(C[NH+](CCC4CC4)C3)C2)c1[O-] ZINC001053226322 755963327 /nfs/dbraw/zinc/96/33/27/755963327.db2.gz QCNWWHQACIIVIK-UHFFFAOYSA-N 0 2 320.393 0.751 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCOC3(C[NH+](CCC(C)C)C3)C2)c1[O-] ZINC001053226334 755963333 /nfs/dbraw/zinc/96/33/33/755963333.db2.gz QRLKMXKANVOJSA-UHFFFAOYSA-N 0 2 322.409 0.997 20 0 DCADLN C[C@@H](O)CN1CC2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC001053263085 755979572 /nfs/dbraw/zinc/97/95/72/755979572.db2.gz IGNIWDGMLJLIET-BDAKNGLRSA-N 0 2 314.279 0.181 20 0 DCADLN CC(C)c1nnc(CN2C[C@@H](C)[C@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001080019045 756076603 /nfs/dbraw/zinc/07/66/03/756076603.db2.gz YRWXGMIEYLCUAB-MWLCHTKSSA-N 0 2 318.385 0.297 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053780890 756200373 /nfs/dbraw/zinc/20/03/73/756200373.db2.gz WFUOQIMHJNFHRH-NXEZZACHSA-N 0 2 321.381 0.016 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@@H]([NH2+]Cc3ccon3)C2)c1[O-] ZINC001054570638 756545191 /nfs/dbraw/zinc/54/51/91/756545191.db2.gz INZHQSYRLZAVBO-KCJUWKMLSA-N 0 2 305.338 0.662 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1CC2(C1)CCN(Cc1n[nH]c(=O)[nH]1)C2 ZINC001072556494 762568004 /nfs/dbraw/zinc/56/80/04/762568004.db2.gz MIFLEGRWRQJTQF-UHFFFAOYSA-N 0 2 321.381 0.160 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC=CCC1 ZINC001050811192 758102279 /nfs/dbraw/zinc/10/22/79/758102279.db2.gz ACEKNZLWRULPOY-NEPJUHHUSA-N 0 2 321.381 0.184 20 0 DCADLN Cc1coc(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001051077383 758419123 /nfs/dbraw/zinc/41/91/23/758419123.db2.gz DKHGTTNKDGRBJZ-JTQLQIEISA-N 0 2 321.337 0.043 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC2(CC2)C1 ZINC001051106235 758438425 /nfs/dbraw/zinc/43/84/25/758438425.db2.gz LHDNJYNZUSGKGY-LLVKDONJSA-N 0 2 321.381 0.018 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cscn1 ZINC001085515591 758987564 /nfs/dbraw/zinc/98/75/64/758987564.db2.gz AVIBWIDYXKNWRI-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccc(Cl)[nH]1 ZINC001085805316 759332876 /nfs/dbraw/zinc/33/28/76/759332876.db2.gz CWEBLVJRBFIMJA-MRVPVSSYSA-N 0 2 324.772 0.838 20 0 DCADLN CCc1oncc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085851500 759379807 /nfs/dbraw/zinc/37/98/07/759379807.db2.gz YAMQEVOZJKSBDL-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cncc(Br)n1 ZINC001147874357 767867395 /nfs/dbraw/zinc/86/73/95/767867395.db2.gz IIIUNHUFZYLFBQ-SSDOTTSWSA-N 0 2 317.124 0.278 20 0 DCADLN Cc1ncc(C)c(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122761383 767871129 /nfs/dbraw/zinc/87/11/29/767871129.db2.gz RRVGKTFPGATSTE-DTWKUNHWSA-N 0 2 324.278 0.883 20 0 DCADLN Cc1ncc(C)c(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001122761383 767871137 /nfs/dbraw/zinc/87/11/37/767871137.db2.gz RRVGKTFPGATSTE-DTWKUNHWSA-N 0 2 324.278 0.883 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058316377 759882361 /nfs/dbraw/zinc/88/23/61/759882361.db2.gz LZJBRLCZXPDRLE-HLTSFMKQSA-N 0 2 314.279 0.480 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001058316377 759882371 /nfs/dbraw/zinc/88/23/71/759882371.db2.gz LZJBRLCZXPDRLE-HLTSFMKQSA-N 0 2 314.279 0.480 20 0 DCADLN CCC(=O)N1CC2(C1)C[C@H](NC(=O)C(F)C(F)(F)F)CO2 ZINC001068897088 760593572 /nfs/dbraw/zinc/59/35/72/760593572.db2.gz RWANIOGGVVQLBX-CBAPKCEASA-N 0 2 312.263 0.783 20 0 DCADLN CCC(=O)N1CC2(C1)C[C@H](NC(=O)[C@H](F)C(F)(F)F)CO2 ZINC001068897088 760593581 /nfs/dbraw/zinc/59/35/81/760593581.db2.gz RWANIOGGVVQLBX-CBAPKCEASA-N 0 2 312.263 0.783 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001071327114 761780161 /nfs/dbraw/zinc/78/01/61/761780161.db2.gz KMGBCOQLURJCDR-NXEZZACHSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccc[nH]2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071342309 761787295 /nfs/dbraw/zinc/78/72/95/761787295.db2.gz GQNGPNBMBMSOQH-ZJUUUORDSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccc[nH]2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071342309 761787297 /nfs/dbraw/zinc/78/72/97/761787297.db2.gz GQNGPNBMBMSOQH-ZJUUUORDSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccnn2C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071383482 761812080 /nfs/dbraw/zinc/81/20/80/761812080.db2.gz IBVAHCNRWKPUBS-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@H+](Cc1n[nH]c(=O)[n-]1)C2)c1ccoc1 ZINC001098727783 762716772 /nfs/dbraw/zinc/71/67/72/762716772.db2.gz MFXKMCBTDRSLPD-NHYWBVRUSA-N 0 2 317.349 0.888 20 0 DCADLN O=C(N[C@]12CCC[C@H]1C[N@@H+](Cc1n[nH]c(=O)[n-]1)C2)c1ccoc1 ZINC001098727783 762716778 /nfs/dbraw/zinc/71/67/78/762716778.db2.gz MFXKMCBTDRSLPD-NHYWBVRUSA-N 0 2 317.349 0.888 20 0 DCADLN CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131899440 764114642 /nfs/dbraw/zinc/11/46/42/764114642.db2.gz MFXSGGNFRNQRKK-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131899440 764114645 /nfs/dbraw/zinc/11/46/45/764114645.db2.gz MFXSGGNFRNQRKK-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)C[C@@H](C)Nc1ncnc2c1nnn2C ZINC001115613587 765768634 /nfs/dbraw/zinc/76/86/34/765768634.db2.gz XNKZIHZGOBYMJQ-ZJUUUORDSA-N 0 2 321.385 0.442 20 0 DCADLN Cc1cccc2nc(C(=O)NCCCc3n[nH]c(=O)[nH]3)cn21 ZINC001116193491 765848630 /nfs/dbraw/zinc/84/86/30/765848630.db2.gz ALGWTMATGCNBKE-UHFFFAOYSA-N 0 2 300.322 0.829 20 0 DCADLN Cc1ccc(N2C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001090546302 766303437 /nfs/dbraw/zinc/30/34/37/766303437.db2.gz TZAKMPRZLBOQDD-NQMVMOMDSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1ccc(N2C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001090546302 766303441 /nfs/dbraw/zinc/30/34/41/766303441.db2.gz TZAKMPRZLBOQDD-NQMVMOMDSA-N 0 2 322.262 0.351 20 0 DCADLN CO[C@@H]1CCn2cc(C(=O)NCCc3n[nH]c(=S)o3)nc2C1 ZINC001119006639 766501162 /nfs/dbraw/zinc/50/11/62/766501162.db2.gz MOTKQQCZKBQWSQ-MRVPVSSYSA-N 0 2 323.378 0.489 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001095113303 769592347 /nfs/dbraw/zinc/59/23/47/769592347.db2.gz CEPGQPMVHRJTIZ-UTLUCORTSA-N 0 2 303.322 0.639 20 0 DCADLN CCO[C@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001234335520 769628565 /nfs/dbraw/zinc/62/85/65/769628565.db2.gz VIZNDGDVTQJOMX-YPMHNXCESA-N 0 2 323.397 0.358 20 0 DCADLN CC[N@H+](CCn1cncn1)[C@H](C)CNC(=O)c1n[nH]c(C)c1[O-] ZINC001152835655 769651872 /nfs/dbraw/zinc/65/18/72/769651872.db2.gz UEILSSZXFFSDPJ-SNVBAGLBSA-N 0 2 321.385 0.156 20 0 DCADLN CC[N@@H+](CCn1cncn1)[C@H](C)CNC(=O)c1n[nH]c(C)c1[O-] ZINC001152835655 769651878 /nfs/dbraw/zinc/65/18/78/769651878.db2.gz UEILSSZXFFSDPJ-SNVBAGLBSA-N 0 2 321.385 0.156 20 0 DCADLN Nc1c2c(=O)nccc-2[nH]n1[C@H]1CCC[C@H](n2ncnn2)C1 ZINC001173741143 769706250 /nfs/dbraw/zinc/70/62/50/769706250.db2.gz BBBUGBPQJJSHBV-IUCAKERBSA-N 0 2 300.326 0.601 20 0 DCADLN CCN(CC)C(=O)[C@@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153356246 769796997 /nfs/dbraw/zinc/79/69/97/769796997.db2.gz KQDXTBSALZJJKM-CQSZACIVSA-N 0 2 323.441 0.626 20 0 DCADLN CNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001235321973 769808513 /nfs/dbraw/zinc/80/85/13/769808513.db2.gz WBFGYNUYKASIFZ-CHWSQXEVSA-N 0 2 310.442 0.138 20 0 DCADLN CN(C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001235322027 769809795 /nfs/dbraw/zinc/80/97/95/769809795.db2.gz WNXICEVLBSGFFV-ZDUSSCGKSA-N 0 2 310.442 0.092 20 0 DCADLN C[C@@]1(CO)CN(C(=O)c2c[nH]c3cccnc3c2=O)CC[C@@H]1O ZINC001153856019 769965260 /nfs/dbraw/zinc/96/52/60/769965260.db2.gz GZKUJNUOZYOIOY-LRDDRELGSA-N 0 2 317.345 0.129 20 0 DCADLN Cc1nc(CC(=O)Nc2nc[nH]c(=O)c2Br)n[nH]1 ZINC001177434759 770461377 /nfs/dbraw/zinc/46/13/77/770461377.db2.gz ZDZNYCXKTDEDKP-UHFFFAOYSA-N 0 2 313.115 0.552 20 0 DCADLN CCCNC(=O)C[N@H+](CC)[C@H](C)CNC(=O)Cn1cc[nH+]c1C ZINC001156942172 770971739 /nfs/dbraw/zinc/97/17/39/770971739.db2.gz CBKUDRGSCXZEOQ-CYBMUJFWSA-N 0 2 323.441 0.544 20 0 DCADLN C[C@@H](NC(=O)CC(=O)NCC(F)(F)F)c1nn(C)cc1O ZINC001179926603 771034531 /nfs/dbraw/zinc/03/45/31/771034531.db2.gz HSTMCNLHDSRRQI-ZCFIWIBFSA-N 0 2 308.260 0.372 20 0 DCADLN O=C(Cc1c[nH]c2ccccc12)C(=O)N[C@H]1SC(=O)NC1=O ZINC001183096290 771607387 /nfs/dbraw/zinc/60/73/87/771607387.db2.gz BZLNNAMOMYSOLI-ZDUSSCGKSA-N 0 2 317.326 0.705 20 0 DCADLN O=C(Nc1nccnc1N1CCOCC1)C(CO)C(F)(F)F ZINC001183281264 771627059 /nfs/dbraw/zinc/62/70/59/771627059.db2.gz YTMHCJHPWADCAG-QMMMGPOBSA-N 0 2 320.271 0.423 20 0 DCADLN O=C(Nc1nccnc1N1CCOCC1)[C@H](CO)C(F)(F)F ZINC001183281264 771627063 /nfs/dbraw/zinc/62/70/63/771627063.db2.gz YTMHCJHPWADCAG-QMMMGPOBSA-N 0 2 320.271 0.423 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110357434 771860785 /nfs/dbraw/zinc/86/07/85/771860785.db2.gz RSUYEFQHYIFGIV-MXWKQRLJSA-N 0 2 309.370 0.157 20 0 DCADLN O=C(NCC[NH+]1CC2(COC2)C1)c1cc(F)c(F)c([O-])c1F ZINC001186797638 772091150 /nfs/dbraw/zinc/09/11/50/772091150.db2.gz FKBXVZYASGGHNW-UHFFFAOYSA-N 0 2 316.279 0.872 20 0 DCADLN COCC[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001190272101 772612951 /nfs/dbraw/zinc/61/29/51/772612951.db2.gz SDBDVPRDBBOEBM-SDDRHHMPSA-N 0 2 323.397 0.216 20 0 DCADLN COCC[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001190272101 772612953 /nfs/dbraw/zinc/61/29/53/772612953.db2.gz SDBDVPRDBBOEBM-SDDRHHMPSA-N 0 2 323.397 0.216 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2c(O)nc[nH]c2=O)cc1 ZINC001191578791 772785130 /nfs/dbraw/zinc/78/51/30/772785130.db2.gz FHLUNIIYRITNHX-UHFFFAOYSA-N 0 2 324.318 0.048 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2ncncc2C)cc1 ZINC001191584051 772785981 /nfs/dbraw/zinc/78/59/81/772785981.db2.gz PGFHVTUGCXMEJL-UHFFFAOYSA-N 0 2 306.347 0.945 20 0 DCADLN CS(=O)(=O)N1CC(NC(=O)c2c(F)ccc(F)c2O)C1 ZINC001192720460 772953206 /nfs/dbraw/zinc/95/32/06/772953206.db2.gz VEUWMVWJECFIKT-UHFFFAOYSA-N 0 2 306.290 0.044 20 0 DCADLN CS(=O)(=O)N1CCN(C(=O)c2ccc(O)c(F)c2F)CC1 ZINC001192833915 772961847 /nfs/dbraw/zinc/96/18/47/772961847.db2.gz XPPIDUXOODDOGI-UHFFFAOYSA-N 0 2 320.317 0.388 20 0 DCADLN C[C@@]1(CO)CCN(C(=O)c2ccc(F)c(F)c2O)C[C@@H]1O ZINC001192797905 772961873 /nfs/dbraw/zinc/96/18/73/772961873.db2.gz WHQOLRZKVDKCJM-HZMBPMFUSA-N 0 2 301.289 0.876 20 0 DCADLN CS(=O)(=O)N1CC(NC(=O)c2ccc(F)c(F)c2O)C1 ZINC001192810493 772963565 /nfs/dbraw/zinc/96/35/65/772963565.db2.gz HOZCCYPWDUXSHK-UHFFFAOYSA-N 0 2 306.290 0.044 20 0 DCADLN COc1cc(NS(=O)(=O)C[C@@H]2CCCO2)nc(OC)n1 ZINC001193205691 773024834 /nfs/dbraw/zinc/02/48/34/773024834.db2.gz LVVYMHRDUZFPSQ-QMMMGPOBSA-N 0 2 303.340 0.415 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccc(-n2cncn2)c1 ZINC001193898019 773126444 /nfs/dbraw/zinc/12/64/44/773126444.db2.gz IRVGIXATVILRIF-LLVKDONJSA-N 0 2 303.303 0.306 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2ccccc2N)n(C)n1 ZINC001193896248 773126498 /nfs/dbraw/zinc/12/64/98/773126498.db2.gz UTCHPRSPVAJYRB-UHFFFAOYSA-N 0 2 310.335 0.590 20 0 DCADLN Cc1ncoc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C(C)C)[NH+](C)C)C1 ZINC001193960939 773132530 /nfs/dbraw/zinc/13/25/30/773132530.db2.gz JQRPLTIWOKNNFG-NFAWXSAZSA-N 0 2 324.425 0.231 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc3c(c2)OCC(=O)N3)[nH]n1 ZINC001194288611 773177709 /nfs/dbraw/zinc/17/77/09/773177709.db2.gz JAPAPACZWWTXER-UHFFFAOYSA-N 0 2 316.273 0.780 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc3c(c2)OCC(=O)N3)n[nH]1 ZINC001194288611 773177711 /nfs/dbraw/zinc/17/77/11/773177711.db2.gz JAPAPACZWWTXER-UHFFFAOYSA-N 0 2 316.273 0.780 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(O)cc(C(N)=O)c2)[nH]n1 ZINC001194293877 773178350 /nfs/dbraw/zinc/17/83/50/773178350.db2.gz DVBLQBLMAWCZAD-UHFFFAOYSA-N 0 2 304.262 0.253 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cc(O)cc(C(N)=O)c2)n[nH]1 ZINC001194293877 773178353 /nfs/dbraw/zinc/17/83/53/773178353.db2.gz DVBLQBLMAWCZAD-UHFFFAOYSA-N 0 2 304.262 0.253 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1cnc2ccnn2c1 ZINC001194679220 773231274 /nfs/dbraw/zinc/23/12/74/773231274.db2.gz KZVZGFOQWQXCIF-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN CC[C@H](C)OCC(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195523676 773426488 /nfs/dbraw/zinc/42/64/88/773426488.db2.gz HNINEMKPYLSNRG-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1c2c(ccc1C)C(=O)NC2 ZINC001195967195 773508298 /nfs/dbraw/zinc/50/82/98/773508298.db2.gz CQYHVLLHDDKPOW-UHFFFAOYSA-N 0 2 312.347 0.543 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccc(N2CCCC2)n1 ZINC001196672609 773629742 /nfs/dbraw/zinc/62/97/42/773629742.db2.gz FENQVFWCTZCSCS-LBPRGKRZSA-N 0 2 306.347 0.721 20 0 DCADLN O=c1[nH]cc(-c2nc(-c3ccc4c(c3)OCO4)no2)[nH]c1=O ZINC001213928024 773901938 /nfs/dbraw/zinc/90/19/38/773901938.db2.gz NQCZFEPGXNKRAE-UHFFFAOYSA-N 0 2 300.230 0.509 20 0 DCADLN Cn1ncc2ccc(-c3noc(-c4c[nH]c(=O)c(=O)[nH]4)n3)cc21 ZINC001213928549 773903321 /nfs/dbraw/zinc/90/33/21/773903321.db2.gz ZSPQEBFHWLCXEJ-UHFFFAOYSA-N 0 2 310.273 0.667 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cccc(=O)n1CC1CC1 ZINC001198796828 773984454 /nfs/dbraw/zinc/98/44/54/773984454.db2.gz XZQFZFPRQMPGSC-GFCCVEGCSA-N 0 2 307.331 0.297 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cncn1-c1cccnc1 ZINC001199434263 774106958 /nfs/dbraw/zinc/10/69/58/774106958.db2.gz PEONUCBEFVWMNV-LLVKDONJSA-N 0 2 303.303 0.306 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnn(CC2CCOCC2)c1 ZINC001199569926 774142099 /nfs/dbraw/zinc/14/20/99/774142099.db2.gz AYEDTKNYPGWTOF-LBPRGKRZSA-N 0 2 324.362 0.349 20 0 DCADLN CC/C=C(/C)C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217442000 774176961 /nfs/dbraw/zinc/17/69/61/774176961.db2.gz GZXTUPAMADIGOA-WJQOWHFOSA-N 0 2 321.381 0.278 20 0 DCADLN O=c1cc(I)nc(O[C@H]2C[C@@H](O)C2)[nH]1 ZINC001218417543 774224223 /nfs/dbraw/zinc/22/42/23/774224223.db2.gz LZMXZEDXXQFGEQ-SYDPRGILSA-N 0 2 308.075 0.689 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc(C2OCCO2)cc1 ZINC001218912790 774324769 /nfs/dbraw/zinc/32/47/69/774324769.db2.gz MNOMLYWFQLRYDB-CYBMUJFWSA-N 0 2 302.334 0.964 20 0 DCADLN COC(=O)c1ccc(N)cc1S(=O)(=O)Nc1cncc(O)c1 ZINC001201646888 774537711 /nfs/dbraw/zinc/53/77/11/774537711.db2.gz RHEFSUGNUDPGGE-UHFFFAOYSA-N 0 2 323.330 0.957 20 0 DCADLN O=C(NNC(=O)c1ccc(Cl)nc1)c1cnn2ccncc12 ZINC000192751701 774708889 /nfs/dbraw/zinc/70/88/89/774708889.db2.gz JRGRPEZZASECBN-UHFFFAOYSA-N 0 2 316.708 0.853 20 0 DCADLN CC(C)CCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221261701 775047311 /nfs/dbraw/zinc/04/73/11/775047311.db2.gz FHDMMBUFWZDKLO-VXGBXAGGSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCO ZINC001095447892 775129493 /nfs/dbraw/zinc/12/94/93/775129493.db2.gz STQHCUSCGZCEJX-ILXRZTDVSA-N 0 2 318.421 0.476 20 0 DCADLN CC(C)[C@@H](F)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110918708 775346221 /nfs/dbraw/zinc/34/62/21/775346221.db2.gz SNNZCFFTESWDSL-MWGHHZFTSA-N 0 2 311.361 0.726 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)OCc1cscn1 ZINC001223562056 775500187 /nfs/dbraw/zinc/50/01/87/775500187.db2.gz FWEIILYNJHLZDM-LLVKDONJSA-N 0 2 322.408 0.248 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCc1ccoc1 ZINC001223782845 775516873 /nfs/dbraw/zinc/51/68/73/775516873.db2.gz OZJZCYORJIZSDP-LBPRGKRZSA-N 0 2 305.352 0.385 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CN(c2ncccn2)C[C@@H]1O ZINC001111201101 775591677 /nfs/dbraw/zinc/59/16/77/775591677.db2.gz RKBBNJMEXQDRRP-CIUDSAMLSA-N 0 2 322.262 0.385 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CN(c2ncccn2)C[C@@H]1O ZINC001111201101 775591680 /nfs/dbraw/zinc/59/16/80/775591680.db2.gz RKBBNJMEXQDRRP-CIUDSAMLSA-N 0 2 322.262 0.385 20 0 DCADLN O=C(CCC(F)(F)F)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226760096 775873421 /nfs/dbraw/zinc/87/34/21/775873421.db2.gz JULVVFSBUQHZGF-UHFFFAOYSA-N 0 2 321.303 0.933 20 0 DCADLN CC[NH+]1CC(Oc2[nH]c(=O)nc3nc(Br)[n-]c32)C1 ZINC001227593589 775987087 /nfs/dbraw/zinc/98/70/87/775987087.db2.gz FCFJXILMBIOHFT-UHFFFAOYSA-N 0 2 314.143 0.904 20 0 DCADLN CC(=O)OCC(COC(C)=O)Oc1nc(-c2cccnc2)n[nH]1 ZINC001228697713 776114847 /nfs/dbraw/zinc/11/48/47/776114847.db2.gz KVZVZWOHAYGXEU-UHFFFAOYSA-N 0 2 320.305 0.740 20 0 DCADLN CCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001230553540 776336363 /nfs/dbraw/zinc/33/63/63/776336363.db2.gz XCQJTNXNDKBDFR-GFCCVEGCSA-N 0 2 303.366 0.465 20 0 DCADLN CCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001230553540 776336372 /nfs/dbraw/zinc/33/63/72/776336372.db2.gz XCQJTNXNDKBDFR-GFCCVEGCSA-N 0 2 303.366 0.465 20 0 DCADLN CC(C)CC(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041247476 777151778 /nfs/dbraw/zinc/15/17/78/777151778.db2.gz HVSIEBCTTBAUJJ-SECBINFHSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)CC(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001041247476 777151785 /nfs/dbraw/zinc/15/17/85/777151785.db2.gz HVSIEBCTTBAUJJ-SECBINFHSA-N 0 2 314.279 0.622 20 0 DCADLN O=C([O-])CCNC(=O)C[N@@H+]1CCCN(CC(F)(F)F)CC1 ZINC001602022503 1168970158 /nfs/dbraw/zinc/97/01/58/1168970158.db2.gz YZIWAPWXSWSZPQ-UHFFFAOYSA-N 0 2 311.304 0.147 20 0 DCADLN O=C([O-])CCNC(=O)C[N@H+]1CCCN(CC(F)(F)F)CC1 ZINC001602022503 1168970162 /nfs/dbraw/zinc/97/01/62/1168970162.db2.gz YZIWAPWXSWSZPQ-UHFFFAOYSA-N 0 2 311.304 0.147 20 0 DCADLN O=C([O-])CCNC(=O)C[N@@H+]1CCCN(c2nccs2)CC1 ZINC001602022012 1168970299 /nfs/dbraw/zinc/97/02/99/1168970299.db2.gz OXSAOFGZCWIWBX-UHFFFAOYSA-N 0 2 312.395 0.246 20 0 DCADLN O=C([O-])CCNC(=O)C[N@H+]1CCCN(c2nccs2)CC1 ZINC001602022012 1168970304 /nfs/dbraw/zinc/97/03/04/1168970304.db2.gz OXSAOFGZCWIWBX-UHFFFAOYSA-N 0 2 312.395 0.246 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1Cc1nc2c(s1)CCC2 ZINC001602066576 1168982783 /nfs/dbraw/zinc/98/27/83/1168982783.db2.gz DDNSYQHRSGLQTF-JTQLQIEISA-N 0 2 309.391 0.797 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1Cc1nc2c(s1)CCC2 ZINC001602066576 1168982789 /nfs/dbraw/zinc/98/27/89/1168982789.db2.gz DDNSYQHRSGLQTF-JTQLQIEISA-N 0 2 309.391 0.797 20 0 DCADLN O=C(COCC(F)F)NCCNC(=O)C(F)C(F)(F)F ZINC001283057909 939215347 /nfs/dbraw/zinc/21/53/47/939215347.db2.gz JLRBPMQRZFWKDW-ZETCQYMHSA-N 0 2 310.194 0.401 20 0 DCADLN Cc1ncc(C[N@H+](C)CCNC(=O)C2([NH+](C)C)CCC2)cn1 ZINC001480873737 939117497 /nfs/dbraw/zinc/11/74/97/939117497.db2.gz SCDYIDQNIXRTSJ-UHFFFAOYSA-N 0 2 305.426 0.817 20 0 DCADLN O=C(COCC(F)F)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001283057909 939215354 /nfs/dbraw/zinc/21/53/54/939215354.db2.gz JLRBPMQRZFWKDW-ZETCQYMHSA-N 0 2 310.194 0.401 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001408589927 939395318 /nfs/dbraw/zinc/39/53/18/939395318.db2.gz BPSLNWLJXSQFGB-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001408589927 939395323 /nfs/dbraw/zinc/39/53/23/939395323.db2.gz BPSLNWLJXSQFGB-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN CC[C@H](CC(F)F)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481562209 939938836 /nfs/dbraw/zinc/93/88/36/939938836.db2.gz BXYPZJPFZRUYEG-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001481621560 939976502 /nfs/dbraw/zinc/97/65/02/939976502.db2.gz RXWJGDSGYTZPFJ-SNVBAGLBSA-N 0 2 304.354 0.121 20 0 DCADLN CC(C)[NH+](C)CC(=O)N(C)[C@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001481752721 940111599 /nfs/dbraw/zinc/11/15/99/940111599.db2.gz HZEIVOFUHCDSLU-ZDUSSCGKSA-N 0 2 308.430 0.188 20 0 DCADLN CCCCO[C@H](C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001269759917 940771278 /nfs/dbraw/zinc/77/12/78/940771278.db2.gz RPFODPJVSFMGDN-SNVBAGLBSA-N 0 2 311.386 0.358 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001409147045 941551735 /nfs/dbraw/zinc/55/17/35/941551735.db2.gz MCAGMXZLEMPIBS-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409147045 941551737 /nfs/dbraw/zinc/55/17/37/941551737.db2.gz MCAGMXZLEMPIBS-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1nccnc1C[NH2+]CC[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001482887400 941698827 /nfs/dbraw/zinc/69/88/27/941698827.db2.gz BFCWPXXFGVWUND-GFCCVEGCSA-N 0 2 316.409 0.746 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409548829 942045411 /nfs/dbraw/zinc/04/54/11/942045411.db2.gz XAULDYUBNDVZSQ-VHSXEESVSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409548829 942045415 /nfs/dbraw/zinc/04/54/15/942045415.db2.gz XAULDYUBNDVZSQ-VHSXEESVSA-N 0 2 311.386 0.262 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409549565 942046322 /nfs/dbraw/zinc/04/63/22/942046322.db2.gz XJIMRVLJCFWMIB-QWRGUYRKSA-N 0 2 313.402 0.508 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409549565 942046323 /nfs/dbraw/zinc/04/63/23/942046323.db2.gz XJIMRVLJCFWMIB-QWRGUYRKSA-N 0 2 313.402 0.508 20 0 DCADLN C[C@@H](CNC(=O)[C@]1(C)CCCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409636535 942111505 /nfs/dbraw/zinc/11/15/05/942111505.db2.gz CHILWBZSNQTLES-IINYFYTJSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)[C@]1(C)CCCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409636535 942111508 /nfs/dbraw/zinc/11/15/08/942111508.db2.gz CHILWBZSNQTLES-IINYFYTJSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NC(=O)NCc2ccco2)[C@@H](C(=O)[O-])C1 ZINC000322461534 970889260 /nfs/dbraw/zinc/88/92/60/970889260.db2.gz JDRJKZCHIQKOFG-ZYHUDNBSSA-N 0 2 323.349 0.791 20 0 DCADLN Cc1ncsc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483313420 942258672 /nfs/dbraw/zinc/25/86/72/942258672.db2.gz NGCOBVGXXDZEAS-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ncsc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483313420 942258675 /nfs/dbraw/zinc/25/86/75/942258675.db2.gz NGCOBVGXXDZEAS-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NC(=O)NCc2ccco2)[C@@H](C(=O)[O-])C1 ZINC000322461534 970889253 /nfs/dbraw/zinc/88/92/53/970889253.db2.gz JDRJKZCHIQKOFG-ZYHUDNBSSA-N 0 2 323.349 0.791 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)c1cccnc1 ZINC001483409182 942341707 /nfs/dbraw/zinc/34/17/07/942341707.db2.gz JMSYTIJGSCVRNX-QWRGUYRKSA-N 0 2 318.381 0.646 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)c1cccnc1 ZINC001483409182 942341711 /nfs/dbraw/zinc/34/17/11/942341711.db2.gz JMSYTIJGSCVRNX-QWRGUYRKSA-N 0 2 318.381 0.646 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)=C1CCCC1 ZINC001483644133 942617814 /nfs/dbraw/zinc/61/78/14/942617814.db2.gz LKFVFFMQZXHIID-JTQLQIEISA-N 0 2 309.345 0.998 20 0 DCADLN Cc1nonc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001483651163 942626271 /nfs/dbraw/zinc/62/62/71/942626271.db2.gz MJTUBRGSNWGSSV-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nonc1CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001483651163 942626276 /nfs/dbraw/zinc/62/62/76/942626276.db2.gz MJTUBRGSNWGSSV-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN COCCC1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001483697365 942701363 /nfs/dbraw/zinc/70/13/63/942701363.db2.gz RMANKKUWKRPTPN-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN COCCC1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001483697364 942701495 /nfs/dbraw/zinc/70/14/95/942701495.db2.gz RMANKKUWKRPTPN-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=S(=O)(N[C@@H]1CCOC[C@H]1O)c1onc(C2CC2)c1Cl ZINC001413432647 942976172 /nfs/dbraw/zinc/97/61/72/942976172.db2.gz VFMMMVMOPBMNIG-HTQZYQBOSA-N 0 2 322.770 0.634 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc(C(F)(F)F)nc2)n1 ZINC001413434078 942977313 /nfs/dbraw/zinc/97/73/13/942977313.db2.gz ZFJGNYMNGSHPOT-UHFFFAOYSA-N 0 2 308.245 0.425 20 0 DCADLN CCCC(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056805685 943425572 /nfs/dbraw/zinc/42/55/72/943425572.db2.gz FTTWBOAAZMURSO-VIFPVBQESA-N 0 2 302.268 0.624 20 0 DCADLN CCCC(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001056805685 943425575 /nfs/dbraw/zinc/42/55/75/943425575.db2.gz FTTWBOAAZMURSO-VIFPVBQESA-N 0 2 302.268 0.624 20 0 DCADLN CC/C(C)=C\C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001484799471 943573547 /nfs/dbraw/zinc/57/35/47/943573547.db2.gz UGPQIMIWUHFBFR-NEOSZVFXSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)OCC(F)(F)F)c1nn(C)cc1O ZINC001413752966 943665134 /nfs/dbraw/zinc/66/51/34/943665134.db2.gz AVNBCMSINCJJLD-ZCFIWIBFSA-N 0 2 324.259 0.591 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CCc2cnn(C)c2C1)c1nn(C)cc1O ZINC001413758254 943668386 /nfs/dbraw/zinc/66/83/86/943668386.db2.gz HRGXTQSOUVCXDD-ZJUUUORDSA-N 0 2 303.366 0.842 20 0 DCADLN C[C@@H](CNC(=O)c1nnc[nH]1)[N@@H+](C)[C@@H](C)C(=O)NC1CCCC1 ZINC001485366182 943881330 /nfs/dbraw/zinc/88/13/30/943881330.db2.gz RACPZJTUZGPOCN-QWRGUYRKSA-N 0 2 322.413 0.302 20 0 DCADLN C[C@@H](CNC(=O)c1ncn[nH]1)[N@H+](C)[C@@H](C)C(=O)NC1CCCC1 ZINC001485366182 943881339 /nfs/dbraw/zinc/88/13/39/943881339.db2.gz RACPZJTUZGPOCN-QWRGUYRKSA-N 0 2 322.413 0.302 20 0 DCADLN C[C@@H](CNC(=O)c1ncn[nH]1)[N@@H+](C)[C@@H](C)C(=O)NC1CCCC1 ZINC001485366182 943881341 /nfs/dbraw/zinc/88/13/41/943881341.db2.gz RACPZJTUZGPOCN-QWRGUYRKSA-N 0 2 322.413 0.302 20 0 DCADLN C[C@@H](NC(=O)CC(F)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485498987 943966057 /nfs/dbraw/zinc/96/60/57/943966057.db2.gz PUFWRYNYMZVVRV-ZCFIWIBFSA-N 0 2 307.276 0.399 20 0 DCADLN NS(=O)(=O)C[C@H]1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001413815332 944119984 /nfs/dbraw/zinc/11/99/84/944119984.db2.gz OHXDPUZXJFLCEQ-RRKCRQDMSA-N 0 2 306.281 0.460 20 0 DCADLN NS(=O)(=O)C[C@H]1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001413815332 944119991 /nfs/dbraw/zinc/11/99/91/944119991.db2.gz OHXDPUZXJFLCEQ-RRKCRQDMSA-N 0 2 306.281 0.460 20 0 DCADLN COCCOC[C@H]1CCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC001318808854 945447773 /nfs/dbraw/zinc/44/77/73/945447773.db2.gz JOVLBSGUFDVVIL-VHSXEESVSA-N 0 2 315.395 0.054 20 0 DCADLN CC(C)c1nnc([C@@H](C)NC(=O)CC[C@H]2NC(=O)NC2=O)[nH]1 ZINC001319817249 945672417 /nfs/dbraw/zinc/67/24/17/945672417.db2.gz PDLLSDWVLKIDDF-HTQZYQBOSA-N 0 2 308.342 0.094 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OC ZINC001251746718 945780028 /nfs/dbraw/zinc/78/00/28/945780028.db2.gz VRZMHPMWNNYRDD-UHFFFAOYSA-N 0 2 321.738 0.333 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001410222192 946058150 /nfs/dbraw/zinc/05/81/50/946058150.db2.gz WEJRBFMAKTVTDF-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)NC(=O)[C@H](F)C(F)(F)F ZINC001410222192 946058160 /nfs/dbraw/zinc/05/81/60/946058160.db2.gz WEJRBFMAKTVTDF-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1=CCOCC1 ZINC001410344948 946225149 /nfs/dbraw/zinc/22/51/49/946225149.db2.gz AFGOOJMJXWWSSR-CBAPKCEASA-N 0 2 312.263 0.854 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C1=CCOCC1 ZINC001410344948 946225160 /nfs/dbraw/zinc/22/51/60/946225160.db2.gz AFGOOJMJXWWSSR-CBAPKCEASA-N 0 2 312.263 0.854 20 0 DCADLN C[C@@H](CNC(=O)CCn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001410382039 946280870 /nfs/dbraw/zinc/28/08/70/946280870.db2.gz CRTJHXJNMWAMDN-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CNC(=O)CCn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001410382039 946280859 /nfs/dbraw/zinc/28/08/59/946280859.db2.gz CRTJHXJNMWAMDN-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN NC(=O)[C@@H]1CCC2(CN(C(=O)C=C(O)c3ccccc3)C2)O1 ZINC001273306470 946461483 /nfs/dbraw/zinc/46/14/83/946461483.db2.gz DYILQEBQJSBQAK-ZDUSSCGKSA-N 0 2 302.330 0.505 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)c1ccc(F)cc1F ZINC001255997979 946569537 /nfs/dbraw/zinc/56/95/37/946569537.db2.gz IQKDHRRAUHKKJI-UHFFFAOYSA-N 0 2 303.246 0.967 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc(F)cc2F)S1 ZINC001256089860 946583054 /nfs/dbraw/zinc/58/30/54/946583054.db2.gz LMWCIBRYBOYPBV-MRVPVSSYSA-N 0 2 308.287 0.552 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2nnco2)cc1 ZINC001259139431 946920530 /nfs/dbraw/zinc/92/05/30/946920530.db2.gz NCNRGUCQSMBYEB-UHFFFAOYSA-N 0 2 303.321 0.274 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cc(OC)cc(C(N)=O)c2)cn1 ZINC001259839711 946996325 /nfs/dbraw/zinc/99/63/25/946996325.db2.gz MMDSDKLTMDFBNI-UHFFFAOYSA-N 0 2 324.362 0.811 20 0 DCADLN CCOC(=O)c1ncncc1NS(=O)(=O)C1CCOCC1 ZINC001259908539 947013810 /nfs/dbraw/zinc/01/38/10/947013810.db2.gz TZIWWXVJTLYXKU-UHFFFAOYSA-N 0 2 315.351 0.574 20 0 DCADLN COCCS(=O)(=O)Nc1ncc(Br)nc1C ZINC001259965942 947039395 /nfs/dbraw/zinc/03/93/95/947039395.db2.gz ZVUVQYSIBAGKJD-UHFFFAOYSA-N 0 2 310.173 0.936 20 0 DCADLN CCOC(=O)[C@@H](NS(=O)(=O)C(F)F)C1CCOCC1 ZINC001259961285 947040839 /nfs/dbraw/zinc/04/08/39/947040839.db2.gz DDVNQHVGFFUWFD-QMMMGPOBSA-N 0 2 301.311 0.487 20 0 DCADLN NS(=O)(=O)Nc1ccc(Br)c2c1C(=O)NC2 ZINC001260081597 947063269 /nfs/dbraw/zinc/06/32/69/947063269.db2.gz IECGHNYIGMARRT-UHFFFAOYSA-N 0 2 306.141 0.308 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1cnc2cnccn21 ZINC001260106805 947066475 /nfs/dbraw/zinc/06/64/75/947066475.db2.gz MUOSHTKKIUBKJQ-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN CN1C(=O)Cc2cc(S(=O)(=O)Nc3ccncn3)ccc21 ZINC001260153691 947072939 /nfs/dbraw/zinc/07/29/39/947072939.db2.gz LSOVCRKEEAIRQO-UHFFFAOYSA-N 0 2 304.331 0.796 20 0 DCADLN O=S(=O)(NC1(CF)CC1)c1nc2nc(Cl)ccn2n1 ZINC001260166822 947077009 /nfs/dbraw/zinc/07/70/09/947077009.db2.gz KEJLYRXBJMUNAE-UHFFFAOYSA-N 0 2 305.722 0.558 20 0 DCADLN COc1nc(Cl)ccc1NS(=O)(=O)CS(C)(=O)=O ZINC001260584433 947115359 /nfs/dbraw/zinc/11/53/59/947115359.db2.gz FZELKAJDZVOOLY-UHFFFAOYSA-N 0 2 314.772 0.487 20 0 DCADLN CCc1cc2ncc(NS(=O)(=O)CS(C)(=O)=O)cn2n1 ZINC001260585094 947117947 /nfs/dbraw/zinc/11/79/47/947117947.db2.gz NROHSFUJBWCQFT-UHFFFAOYSA-N 0 2 318.380 0.036 20 0 DCADLN Cc1n[nH]c(C)c1S(=O)(=O)Nc1ccc(OC2COC2)nc1 ZINC001261023796 947166199 /nfs/dbraw/zinc/16/61/99/947166199.db2.gz SKXQYMOHRRIHHE-UHFFFAOYSA-N 0 2 324.362 1.000 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1CCc2ncncc2C1 ZINC001261500808 947322612 /nfs/dbraw/zinc/32/26/12/947322612.db2.gz HFSPWNDCLOMWHC-SECBINFHSA-N 0 2 302.338 0.154 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)C[C@H](O)C[N@H+](C)C/C=C/Cl ZINC001411184720 947451446 /nfs/dbraw/zinc/45/14/46/947451446.db2.gz GHECZRDTLSINJC-MUBLQREKSA-N 0 2 314.817 0.695 20 0 DCADLN CCC(=O)N(C)CCOCCNC(=O)C(F)C(F)(F)F ZINC001487583467 948128470 /nfs/dbraw/zinc/12/84/70/948128470.db2.gz HFGPBFMALIVOEN-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCC(=O)N(C)CCOCCNC(=O)[C@H](F)C(F)(F)F ZINC001487583467 948128477 /nfs/dbraw/zinc/12/84/77/948128477.db2.gz HFGPBFMALIVOEN-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN Cn1c(=O)[nH]c(Oc2cncc(Cl)c2)c(-c2nn[nH]n2)c1=O ZINC001570929902 948789732 /nfs/dbraw/zinc/78/97/32/948789732.db2.gz AZVNRTKOYFWKTC-UHFFFAOYSA-N 0 2 321.684 0.094 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccn1 ZINC001488628856 949029299 /nfs/dbraw/zinc/02/92/99/949029299.db2.gz BSNAGSXAAKYVEL-QWRGUYRKSA-N 0 2 319.369 0.001 20 0 DCADLN CC(C)NC(=O)N1CCC[C@H]1C(=O)N[C@H](C)c1nn(C)cc1O ZINC001364393728 949178123 /nfs/dbraw/zinc/17/81/23/949178123.db2.gz BKLMGGFCCDXCGM-MNOVXSKESA-N 0 2 323.397 0.885 20 0 DCADLN CC(C)[C@H](O)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001364444496 949309346 /nfs/dbraw/zinc/30/93/46/949309346.db2.gz HPZGEYXIRCOYDT-NEPJUHHUSA-N 0 2 304.350 0.927 20 0 DCADLN COC(=O)[C@]1(CNC(=O)c2cc(F)c(O)c(F)c2)CCOC1 ZINC001364465440 949361460 /nfs/dbraw/zinc/36/14/60/949361460.db2.gz WHICEFJIEXIDJI-AWEZNQCLSA-N 0 2 315.272 0.980 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2nnc(-c3cnn(C)c3)o2)n1C ZINC001329208901 949436135 /nfs/dbraw/zinc/43/61/35/949436135.db2.gz WHNMJDRHAFGBOT-UHFFFAOYSA-N 0 2 323.338 0.313 20 0 DCADLN CC[C@@H]1C[N@H+](Cc2cc(C(=O)[O-])nn2C)C[C@]2(CCOC2)O1 ZINC001589626912 949493853 /nfs/dbraw/zinc/49/38/53/949493853.db2.gz SVPPNBITMRWJQG-DOMZBBRYSA-N 0 2 309.366 0.888 20 0 DCADLN CC[C@@H]1C[N@@H+](Cc2cc(C(=O)[O-])nn2C)C[C@]2(CCOC2)O1 ZINC001589626912 949493861 /nfs/dbraw/zinc/49/38/61/949493861.db2.gz SVPPNBITMRWJQG-DOMZBBRYSA-N 0 2 309.366 0.888 20 0 DCADLN O=C(Cc1cscn1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493141858 949538520 /nfs/dbraw/zinc/53/85/20/949538520.db2.gz ZLWVQQZVNGLVGV-JTQLQIEISA-N 0 2 322.394 0.290 20 0 DCADLN O=C(Cc1cscn1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001493141858 949538528 /nfs/dbraw/zinc/53/85/28/949538528.db2.gz ZLWVQQZVNGLVGV-JTQLQIEISA-N 0 2 322.394 0.290 20 0 DCADLN Cc1cnc(CNCc2nnc(CS(C)(=O)=O)[nH]2)s1 ZINC001364630395 949665318 /nfs/dbraw/zinc/66/53/18/949665318.db2.gz XPWMFWDXIBATGY-UHFFFAOYSA-N 0 2 301.397 0.404 20 0 DCADLN CS(=O)(=O)N1CC[C@H](NCc2ccc(O)c(F)c2F)C1 ZINC001364700342 949777877 /nfs/dbraw/zinc/77/78/77/949777877.db2.gz XMSPRHAQFDJKPJ-VIFPVBQESA-N 0 2 306.334 0.794 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)c1ncc[nH]1)c1cccs1 ZINC001364771688 949916373 /nfs/dbraw/zinc/91/63/73/949916373.db2.gz ZPYMTOSSTHLNAK-QMMMGPOBSA-N 0 2 301.349 0.664 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H](C(=O)[O-])C1CCCC1 ZINC001329962281 950015076 /nfs/dbraw/zinc/01/50/76/950015076.db2.gz CPIRFCOBVASUAQ-TZMCWYRMSA-N 0 2 311.426 0.630 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NCc1noc2c1CCCC2 ZINC001364875347 950116189 /nfs/dbraw/zinc/11/61/89/950116189.db2.gz QFQVPBLTKRYIFM-UHFFFAOYSA-N 0 2 307.397 0.615 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](c2ncccn2)C2CC2)S1 ZINC001364918126 950188784 /nfs/dbraw/zinc/18/87/84/950188784.db2.gz LREBZVKWTHLQFB-PSASIEDQSA-N 0 2 305.363 0.600 20 0 DCADLN CN1Cc2c(cccc2NC(=O)NCc2n[nH]c(=O)[nH]2)C1=O ZINC001365061590 950441282 /nfs/dbraw/zinc/44/12/82/950441282.db2.gz NFRGGUHIPAKIRR-UHFFFAOYSA-N 0 2 302.294 0.418 20 0 DCADLN COC(=O)c1ccc(CC(=O)N[C@@H](C)c2nn(C)cc2O)cn1 ZINC001365121753 950557696 /nfs/dbraw/zinc/55/76/96/950557696.db2.gz VAMIBSJCCPBQQC-VIFPVBQESA-N 0 2 318.333 0.727 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000318686616 950933566 /nfs/dbraw/zinc/93/35/66/950933566.db2.gz BPJNYTPQFLLABD-UPJWGTAASA-N 0 2 313.398 0.459 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)N[C@H](C)C(F)(F)F)n[nH]1 ZINC001365442155 951133151 /nfs/dbraw/zinc/13/31/51/951133151.db2.gz FMSPLIFVVQDQSL-SCSAIBSYSA-N 0 2 301.246 0.425 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NCc1ncc2c(n1)CCC2 ZINC001365449733 951143198 /nfs/dbraw/zinc/14/31/98/951143198.db2.gz WRJLSZFCHFFVIU-UHFFFAOYSA-N 0 2 304.397 0.027 20 0 DCADLN C[C@@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)n1cccc1 ZINC001365623518 951336876 /nfs/dbraw/zinc/33/68/76/951336876.db2.gz LXBDKFRUMFQJDX-NSHDSACASA-N 0 2 304.354 0.217 20 0 DCADLN O=C([O-])CCCNC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001595049296 951462629 /nfs/dbraw/zinc/46/26/29/951462629.db2.gz DCNFEQKCXOYTCA-UHFFFAOYSA-N 0 2 321.381 0.211 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NCc1nnc(C2CC2)[nH]1 ZINC001365709619 951464155 /nfs/dbraw/zinc/46/41/55/951464155.db2.gz XXXDOFHFBFVROJ-UHFFFAOYSA-N 0 2 319.412 0.278 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NCc1n[nH]c(C2CC2)n1 ZINC001365709619 951464161 /nfs/dbraw/zinc/46/41/61/951464161.db2.gz XXXDOFHFBFVROJ-UHFFFAOYSA-N 0 2 319.412 0.278 20 0 DCADLN CO[C@@H](CS(=O)(=O)NNc1nncc(N)c1Cl)C(C)C ZINC001332317098 951581996 /nfs/dbraw/zinc/58/19/96/951581996.db2.gz CZQRYMOEIBSVBH-QMMMGPOBSA-N 0 2 323.806 0.630 20 0 DCADLN CCO[C@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)[C@H]1CCOC1 ZINC001412062709 952627408 /nfs/dbraw/zinc/62/74/08/952627408.db2.gz DOMQBESFRBYUTJ-WOPDTQHZSA-N 0 2 324.381 0.658 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N(C1CC1)C1COC1 ZINC001412168660 952674241 /nfs/dbraw/zinc/67/42/41/952674241.db2.gz BRMNHTVVCGOWJM-UHFFFAOYSA-N 0 2 316.317 0.270 20 0 DCADLN C[N@H+](Cc1ccc(C(=O)[O-])o1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000383295267 971862115 /nfs/dbraw/zinc/86/21/15/971862115.db2.gz OJVYPMAOFMAYQP-VIFPVBQESA-N 0 2 302.352 0.444 20 0 DCADLN C[N@@H+](Cc1ccc(C(=O)[O-])o1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000383295267 971862122 /nfs/dbraw/zinc/86/21/22/971862122.db2.gz OJVYPMAOFMAYQP-VIFPVBQESA-N 0 2 302.352 0.444 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC[C@H](O)[C@@H](C)O)=N1 ZINC001412420160 952790746 /nfs/dbraw/zinc/79/07/46/952790746.db2.gz VEDZMEJOGLZZLQ-MFKMUULPSA-N 0 2 319.361 0.612 20 0 DCADLN CS[C@H](C)CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412686085 952994175 /nfs/dbraw/zinc/99/41/75/952994175.db2.gz KGTASUWTXUQPEB-SSDOTTSWSA-N 0 2 306.413 0.107 20 0 DCADLN Cc1cccc(C)c1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412688629 952997175 /nfs/dbraw/zinc/99/71/75/952997175.db2.gz REHVPQZMPJPVHW-UHFFFAOYSA-N 0 2 322.390 0.896 20 0 DCADLN C[C@@H](NC(=O)c1ccoc1)C(=O)NCCc1n[nH]c(=S)o1 ZINC001338357791 953002847 /nfs/dbraw/zinc/00/28/47/953002847.db2.gz CAHLFFJUZOVHGT-SSDOTTSWSA-N 0 2 310.335 0.429 20 0 DCADLN C[C@@H]1OCC[C@]1(C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412817446 953110610 /nfs/dbraw/zinc/11/06/10/953110610.db2.gz QYZNJDDBKBBYDH-VFZGTOFNSA-N 0 2 318.333 0.564 20 0 DCADLN CC[C@H](NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2)C(=O)[O-] ZINC001593624760 953545226 /nfs/dbraw/zinc/54/52/26/953545226.db2.gz NSDOAMSIGCMRMX-LBPRGKRZSA-N 0 2 312.391 0.816 20 0 DCADLN CC[C@H](NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2)C(=O)[O-] ZINC001593624760 953545229 /nfs/dbraw/zinc/54/52/29/953545229.db2.gz NSDOAMSIGCMRMX-LBPRGKRZSA-N 0 2 312.391 0.816 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC001589290718 953597560 /nfs/dbraw/zinc/59/75/60/953597560.db2.gz YRYPLUAORWFLHB-AAEUAGOBSA-N 0 2 319.361 0.715 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NCCCON)cc2[nH]c1=S ZINC001345308374 953634748 /nfs/dbraw/zinc/63/47/48/953634748.db2.gz YHHIVPKSSRFHRM-UHFFFAOYSA-N 0 2 322.390 0.715 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c(F)c1 ZINC001366238330 953879315 /nfs/dbraw/zinc/87/93/15/953879315.db2.gz AJMZVGGYXKDCTM-UHFFFAOYSA-N 0 2 322.300 0.673 20 0 DCADLN C[C@@H]1CCN(C(=O)C(=O)N(C)CCC(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC001589332790 953957372 /nfs/dbraw/zinc/95/73/72/953957372.db2.gz QKNZQTZAHABQBU-NEPJUHHUSA-N 0 2 322.365 0.226 20 0 DCADLN CCC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1nonc1C ZINC001366712489 954696549 /nfs/dbraw/zinc/69/65/49/954696549.db2.gz COLYMCWYMRAMQD-UHFFFAOYSA-N 0 2 322.369 0.757 20 0 DCADLN CCC[N@@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1nonc1C ZINC001366712489 954696558 /nfs/dbraw/zinc/69/65/58/954696558.db2.gz COLYMCWYMRAMQD-UHFFFAOYSA-N 0 2 322.369 0.757 20 0 DCADLN Cc1ncoc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366730426 954728138 /nfs/dbraw/zinc/72/81/38/954728138.db2.gz FVNFRCVGIQOEDO-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1ncoc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366730426 954728141 /nfs/dbraw/zinc/72/81/41/954728141.db2.gz FVNFRCVGIQOEDO-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN CN(C(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001366923672 955063005 /nfs/dbraw/zinc/06/30/05/955063005.db2.gz WLNRVYAOMRKHRU-JTQLQIEISA-N 0 2 311.279 0.308 20 0 DCADLN CN(C(=O)CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1)C1CC1 ZINC001366923672 955063014 /nfs/dbraw/zinc/06/30/14/955063014.db2.gz WLNRVYAOMRKHRU-JTQLQIEISA-N 0 2 311.279 0.308 20 0 DCADLN C[C@@H](CC(=O)[O-])NC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001588999780 955313993 /nfs/dbraw/zinc/31/39/93/955313993.db2.gz RYUIDJKBKJFZQI-LBPRGKRZSA-N 0 2 321.381 0.210 20 0 DCADLN CC(C)C[C@@H](NC(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC001603032943 972094840 /nfs/dbraw/zinc/09/48/40/972094840.db2.gz YIHPJPWWFKLJIW-LLVKDONJSA-N 0 2 318.333 0.888 20 0 DCADLN CN(CCCNC(=O)c1cnon1)C(=O)C(F)C(F)(F)F ZINC001351866240 955436302 /nfs/dbraw/zinc/43/63/02/955436302.db2.gz CAICRFPUMVSOEE-SSDOTTSWSA-N 0 2 312.223 0.548 20 0 DCADLN CN(CCCNC(=O)c1cnon1)C(=O)[C@@H](F)C(F)(F)F ZINC001351866240 955436315 /nfs/dbraw/zinc/43/63/15/955436315.db2.gz CAICRFPUMVSOEE-SSDOTTSWSA-N 0 2 312.223 0.548 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)[C@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001589040056 955588970 /nfs/dbraw/zinc/58/89/70/955588970.db2.gz XNDJGIHNMXIHED-JHJVBQTASA-N 0 2 314.382 0.093 20 0 DCADLN CCCOCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001285713281 955927085 /nfs/dbraw/zinc/92/70/85/955927085.db2.gz BXOIKERJYWCUSY-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001285713281 955927090 /nfs/dbraw/zinc/92/70/90/955927090.db2.gz BXOIKERJYWCUSY-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN Cn1nccc1[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C(=O)[O-] ZINC001594588171 956018598 /nfs/dbraw/zinc/01/85/98/956018598.db2.gz RTYHNGNEQURISD-CABZTGNLSA-N 0 2 303.322 0.121 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)Nc1cc(N2CC[NH+](C)CC2)ccn1 ZINC001593929850 956161541 /nfs/dbraw/zinc/16/15/41/956161541.db2.gz IRRWCXLIFAIMMQ-OAHLLOKOSA-N 0 2 322.365 0.262 20 0 DCADLN C[C@H](CSCC[NH2+]Cc1nnn(C(C)(C)C)n1)C(=O)[O-] ZINC001333184609 956314008 /nfs/dbraw/zinc/31/40/08/956314008.db2.gz AEDRVYJJKDRGSZ-SECBINFHSA-N 0 2 301.416 0.972 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001593960303 956482298 /nfs/dbraw/zinc/48/22/98/956482298.db2.gz UMDCBQIRSPBUSN-NHYWBVRUSA-N 0 2 307.350 0.395 20 0 DCADLN COC[C@@]1(C(=O)[O-])CC[N@@H+]([C@@H](C(=O)OC)c2cccnc2)C1 ZINC001593967398 956570689 /nfs/dbraw/zinc/57/06/89/956570689.db2.gz HTUNXIROJRIODO-IUODEOHRSA-N 0 2 308.334 0.719 20 0 DCADLN COC[C@@]1(C(=O)[O-])CC[N@H+]([C@@H](C(=O)OC)c2cccnc2)C1 ZINC001593967398 956570694 /nfs/dbraw/zinc/57/06/94/956570694.db2.gz HTUNXIROJRIODO-IUODEOHRSA-N 0 2 308.334 0.719 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(C(=O)[C@H]1CCn3c[nH+]cc3C1)C2 ZINC001594667586 956592544 /nfs/dbraw/zinc/59/25/44/956592544.db2.gz JNWYZEAFHXRRLE-PGUXBMHVSA-N 0 2 305.334 0.005 20 0 DCADLN COC[C@]1(C(=O)[O-])CN(C(=O)c2cccc3[nH+]ccn32)CCO1 ZINC001593982283 956719831 /nfs/dbraw/zinc/71/98/31/956719831.db2.gz FUHFPFVULNVAJY-HNNXBMFYSA-N 0 2 319.317 0.277 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000035122401 957198712 /nfs/dbraw/zinc/19/87/12/957198712.db2.gz PCIHCGFAASZAQW-UHFFFAOYSA-N 0 2 316.354 0.607 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCCCNC(=O)C(F)C(F)(F)F ZINC001293212859 957314327 /nfs/dbraw/zinc/31/43/27/957314327.db2.gz FNECRPVYLMHRJJ-WCBMZHEXSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001293212859 957314338 /nfs/dbraw/zinc/31/43/38/957314338.db2.gz FNECRPVYLMHRJJ-WCBMZHEXSA-N 0 2 314.279 0.936 20 0 DCADLN Cn1ncc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)n1 ZINC001357889529 957398330 /nfs/dbraw/zinc/39/83/30/957398330.db2.gz ZOMYBOHKVOWHPD-YAJNLLPGSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1ncc(C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001357889529 957398343 /nfs/dbraw/zinc/39/83/43/957398343.db2.gz ZOMYBOHKVOWHPD-YAJNLLPGSA-N 0 2 323.250 0.118 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1CCCOC1 ZINC001367554278 958026888 /nfs/dbraw/zinc/02/68/88/958026888.db2.gz XGGHCJIKFPLVLA-NSHDSACASA-N 0 2 311.386 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1CCCOC1 ZINC001367554278 958026901 /nfs/dbraw/zinc/02/69/01/958026901.db2.gz XGGHCJIKFPLVLA-NSHDSACASA-N 0 2 311.386 0.217 20 0 DCADLN COC1([C@H](C)NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC001361622197 958145917 /nfs/dbraw/zinc/14/59/17/958145917.db2.gz XLIWVIBFMPFVST-VIFPVBQESA-N 0 2 305.338 0.145 20 0 DCADLN CCOc1cc(C(=O)Nc2ncn(CC(=O)N(C)C)n2)on1 ZINC001361714042 958245482 /nfs/dbraw/zinc/24/54/82/958245482.db2.gz BCMXXUWGUNBBHO-UHFFFAOYSA-N 0 2 308.298 0.005 20 0 DCADLN C/C(=C\C(=O)N1C[C@H](C)C[C@@H](C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001588480660 958589593 /nfs/dbraw/zinc/58/95/93/958589593.db2.gz SOEDYEDYGQTNTC-ZMCCTTKHSA-N 0 2 310.394 0.834 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC001362117549 958766686 /nfs/dbraw/zinc/76/66/86/958766686.db2.gz KQKHMKDJRNEMMN-VIFPVBQESA-N 0 2 308.367 0.663 20 0 DCADLN Cc1nnsc1C[NH2+][C@H](C)CNC(=O)CCc1cnn[nH]1 ZINC001491582764 958881481 /nfs/dbraw/zinc/88/14/81/958881481.db2.gz HDLVUWMXEBMSLZ-MRVPVSSYSA-N 0 2 309.399 0.192 20 0 DCADLN CC1(C)CO[C@H](CC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)C1 ZINC001367945951 958927037 /nfs/dbraw/zinc/92/70/37/958927037.db2.gz DTJVPAMTHRQQRE-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN COc1n[nH]c(NC(=O)c2ncc(Br)cc2O)n1 ZINC001362254703 959009706 /nfs/dbraw/zinc/00/97/06/959009706.db2.gz HSNJGQRMBYIMHI-UHFFFAOYSA-N 0 2 314.099 0.929 20 0 DCADLN C[C@@H](C(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)[O-])n1cc[nH+]c1 ZINC001588556388 959056869 /nfs/dbraw/zinc/05/68/69/959056869.db2.gz DWZSSKWMSSXCSI-GWCFXTLKSA-N 0 2 303.318 0.962 20 0 DCADLN COC[C@@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1CC1 ZINC001362348213 959187939 /nfs/dbraw/zinc/18/79/39/959187939.db2.gz AFENQRLOMKQJAX-GFCCVEGCSA-N 0 2 318.333 0.421 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC=C(F)C1 ZINC001362363657 959219980 /nfs/dbraw/zinc/21/99/80/959219980.db2.gz GTOSZOPXXNDOND-UHFFFAOYSA-N 0 2 304.281 0.966 20 0 DCADLN O=C(NC1(C(F)F)CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362384543 959254818 /nfs/dbraw/zinc/25/48/18/959254818.db2.gz YHNSRUFHKQEDGN-UHFFFAOYSA-N 0 2 310.260 0.794 20 0 DCADLN CC(C)[C@H](O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362431079 959339238 /nfs/dbraw/zinc/33/92/38/959339238.db2.gz DSGYFRTWVRKCTK-LLVKDONJSA-N 0 2 306.322 0.013 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c(=O)c1 ZINC001377060969 959406639 /nfs/dbraw/zinc/40/66/39/959406639.db2.gz UIYXSTJJCAJZJI-XRGYYRRGSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn[nH]c(=O)c1 ZINC001377060969 959406653 /nfs/dbraw/zinc/40/66/53/959406653.db2.gz UIYXSTJJCAJZJI-XRGYYRRGSA-N 0 2 324.234 0.317 20 0 DCADLN COC(=O)c1ccccc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000170571861 959414353 /nfs/dbraw/zinc/41/43/53/959414353.db2.gz MOGXGHIQZBYNNA-LBPRGKRZSA-N 0 2 317.301 0.622 20 0 DCADLN COC(=O)c1ccccc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000170571861 959414366 /nfs/dbraw/zinc/41/43/66/959414366.db2.gz MOGXGHIQZBYNNA-LBPRGKRZSA-N 0 2 317.301 0.622 20 0 DCADLN Cc1nccn1CC(=O)N1CCC[C@H](C[NH+]2CCOCC2)C1 ZINC001362569759 959617504 /nfs/dbraw/zinc/61/75/04/959617504.db2.gz IWIPXKYFNMQNPX-OAHLLOKOSA-N 0 2 306.410 0.762 20 0 DCADLN C[C@@](O)(CNC(=O)c1cc(-n2cc[nH+]c2)ccc1O)C(=O)[O-] ZINC001603261686 972446902 /nfs/dbraw/zinc/44/69/02/972446902.db2.gz RDPRAZZTZNWPEI-CQSZACIVSA-N 0 2 305.290 0.143 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNC(=O)[C@@]1(C)CCCOC1 ZINC001377204625 959690136 /nfs/dbraw/zinc/69/01/36/959690136.db2.gz SATDRTRZLOOSSI-BZNIZROVSA-N 0 2 322.409 0.698 20 0 DCADLN O=C(NN1CCOC1=O)c1ccc(Cn2ccccc2=O)o1 ZINC001362665587 959797262 /nfs/dbraw/zinc/79/72/62/959797262.db2.gz IURDOZLRYJALCY-UHFFFAOYSA-N 0 2 303.274 0.587 20 0 DCADLN O=Cc1cc(F)c(C(=O)NCC2=NC(=O)CC(=O)N2)cc1F ZINC001301577215 960004778 /nfs/dbraw/zinc/00/47/78/960004778.db2.gz VACXWCYCMCNRJU-UHFFFAOYSA-N 0 2 309.228 0.909 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C(F)=C2CCC2)[nH]1 ZINC001304087244 960077157 /nfs/dbraw/zinc/07/71/57/960077157.db2.gz VTEYJTXCQXSHEP-UHFFFAOYSA-N 0 2 302.331 0.373 20 0 DCADLN COC(=O)c1cc(C)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)o1 ZINC001306661923 960130823 /nfs/dbraw/zinc/13/08/23/960130823.db2.gz YESNEHSZXPTUKT-UHFFFAOYSA-N 0 2 308.294 0.561 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCO1 ZINC001377549612 960263889 /nfs/dbraw/zinc/26/38/89/960263889.db2.gz XERUYPVNHHJTAO-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCO1 ZINC001377549612 960263898 /nfs/dbraw/zinc/26/38/98/960263898.db2.gz XERUYPVNHHJTAO-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1ccc2[nH]cnc2c1 ZINC001363016012 960301836 /nfs/dbraw/zinc/30/18/36/960301836.db2.gz ORXCOYGSMMQQTM-UHFFFAOYSA-N 0 2 323.316 0.264 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1ncoc1C(F)(F)F ZINC001363036749 960327389 /nfs/dbraw/zinc/32/73/89/960327389.db2.gz JLFMRCFTGISLIP-UHFFFAOYSA-N 0 2 304.184 0.825 20 0 DCADLN COC(=O)c1cc(C)cc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001363042713 960334416 /nfs/dbraw/zinc/33/44/16/960334416.db2.gz YPWGPPWQGQJATJ-UHFFFAOYSA-N 0 2 317.301 0.913 20 0 DCADLN CCCN(C(=O)c1cocn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377644298 960389180 /nfs/dbraw/zinc/38/91/80/960389180.db2.gz AIBZBHZAOFOZHJ-SNVBAGLBSA-N 0 2 320.353 0.625 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)N2CCOC[C@@H]2c2nn[nH]n2)C1 ZINC001363115379 960418671 /nfs/dbraw/zinc/41/86/71/960418671.db2.gz AUQAFFYDVMRLOQ-DVVUODLYSA-N 0 2 317.353 0.189 20 0 DCADLN Cc1nc(C(=O)N2CC[C@H]2CNC(=O)C(F)C(F)(F)F)c[nH]1 ZINC001378380737 960674496 /nfs/dbraw/zinc/67/44/96/960674496.db2.gz UXNGVBGXQABENB-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc(C(=O)N2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)c[nH]1 ZINC001378380737 960674510 /nfs/dbraw/zinc/67/45/10/960674510.db2.gz UXNGVBGXQABENB-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN CC[C@@H](C)NC(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001377892736 960919410 /nfs/dbraw/zinc/91/94/10/960919410.db2.gz SNSZUKXTQVXPJL-XCBNKYQSSA-N 0 2 313.295 0.602 20 0 DCADLN CC[C@@H](C)NC(=O)CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001377892736 960919418 /nfs/dbraw/zinc/91/94/18/960919418.db2.gz SNSZUKXTQVXPJL-XCBNKYQSSA-N 0 2 313.295 0.602 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NC[C@H]2C[C@H]2C(=O)OC)cn1 ZINC001363354292 960966408 /nfs/dbraw/zinc/96/64/08/960966408.db2.gz ZNWXVKAQHTZZAJ-MWLCHTKSSA-N 0 2 317.349 0.832 20 0 DCADLN COC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001378644677 961185039 /nfs/dbraw/zinc/18/50/39/961185039.db2.gz QCLQHWMNNIATAE-NXEZZACHSA-N 0 2 311.386 0.119 20 0 DCADLN COC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001378644677 961185041 /nfs/dbraw/zinc/18/50/41/961185041.db2.gz QCLQHWMNNIATAE-NXEZZACHSA-N 0 2 311.386 0.119 20 0 DCADLN Cc1ncsc1CCC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001571103517 961262483 /nfs/dbraw/zinc/26/24/83/961262483.db2.gz YKXFMVVJWBHWFL-SNVBAGLBSA-N 0 2 308.363 0.850 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC001571129065 961529583 /nfs/dbraw/zinc/52/95/83/961529583.db2.gz WLDMOGZYLMFUMX-GFCCVEGCSA-N 0 2 316.317 0.425 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC001571129065 961529599 /nfs/dbraw/zinc/52/95/99/961529599.db2.gz WLDMOGZYLMFUMX-GFCCVEGCSA-N 0 2 316.317 0.425 20 0 DCADLN C/C(=C\C(=O)N1CC(F)(F)C[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001332639011 961617648 /nfs/dbraw/zinc/61/76/48/961617648.db2.gz XOUCDRIGWLDRIQ-RUYJGKKWSA-N 0 2 318.320 0.586 20 0 DCADLN CC(C)[C@H](CNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)C(=O)[O-] ZINC001588823241 961644246 /nfs/dbraw/zinc/64/42/46/961644246.db2.gz RSPDCJUBEVKFHK-OLZOCXBDSA-N 0 2 313.398 0.459 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001378208819 961669681 /nfs/dbraw/zinc/66/96/81/961669681.db2.gz AEAOTJJULJPZJT-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001378208819 961669687 /nfs/dbraw/zinc/66/96/87/961669687.db2.gz AEAOTJJULJPZJT-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN C[C@H](O)CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1CC1 ZINC001363717830 961753715 /nfs/dbraw/zinc/75/37/15/961753715.db2.gz PMOMDQCRTPKUEV-VIFPVBQESA-N 0 2 318.333 0.252 20 0 DCADLN CCOC(=O)CCc1cc(NCc2n[nH]c(=O)[nH]2)nc(C)n1 ZINC001363739091 961787650 /nfs/dbraw/zinc/78/76/50/961787650.db2.gz UPBLSLUJRPTYOW-UHFFFAOYSA-N 0 2 306.326 0.717 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001363761685 961828148 /nfs/dbraw/zinc/82/81/48/961828148.db2.gz JUUYZFCDTKJIHB-JGVFFNPUSA-N 0 2 301.368 0.247 20 0 DCADLN CCCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)no1 ZINC001571156222 961871202 /nfs/dbraw/zinc/87/12/02/961871202.db2.gz NZGOQVDXFBPHLF-DBIOUOCHSA-N 0 2 322.365 0.480 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC(C(=O)[O-])C1 ZINC001571156355 961877160 /nfs/dbraw/zinc/87/71/60/961877160.db2.gz SWBIPPGIJXKQMZ-LBPRGKRZSA-N 0 2 322.365 0.026 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC(C(=O)[O-])C1 ZINC001571156355 961877176 /nfs/dbraw/zinc/87/71/76/961877176.db2.gz SWBIPPGIJXKQMZ-LBPRGKRZSA-N 0 2 322.365 0.026 20 0 DCADLN COC(=O)c1[nH]c(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])cc1C ZINC001571157694 961883402 /nfs/dbraw/zinc/88/34/02/961883402.db2.gz AJSGPOIIXDLEFH-LLVKDONJSA-N 0 2 323.349 0.275 20 0 DCADLN COC(=O)c1[nH]c(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])cc1C ZINC001571157694 961883420 /nfs/dbraw/zinc/88/34/20/961883420.db2.gz AJSGPOIIXDLEFH-LLVKDONJSA-N 0 2 323.349 0.275 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001379735870 961900670 /nfs/dbraw/zinc/90/06/70/961900670.db2.gz DOYMSAQVUWISPE-SECBINFHSA-N 0 2 306.370 0.706 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)[nH]1 ZINC001379735870 961900678 /nfs/dbraw/zinc/90/06/78/961900678.db2.gz DOYMSAQVUWISPE-SECBINFHSA-N 0 2 306.370 0.706 20 0 DCADLN C[C@@H](c1nc(N)nc(Cl)n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363799991 961915903 /nfs/dbraw/zinc/91/59/03/961915903.db2.gz XJTGXZOKVFYCFS-BQBZGAKWSA-N 0 2 324.776 0.872 20 0 DCADLN Cc1nn(C)c(=O)c(C(=O)N[C@@H](C)c2nn(C)cc2O)c1C ZINC001363834265 961987577 /nfs/dbraw/zinc/98/75/77/961987577.db2.gz SUSVPRMZWBDLDU-VIFPVBQESA-N 0 2 305.338 0.327 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001379810427 962072676 /nfs/dbraw/zinc/07/26/76/962072676.db2.gz UCHKHUSDLKELNO-KPNLAOHISA-N 0 2 319.409 0.739 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001379810427 962072694 /nfs/dbraw/zinc/07/26/94/962072694.db2.gz UCHKHUSDLKELNO-KPNLAOHISA-N 0 2 319.409 0.739 20 0 DCADLN O=C(CCCc1nn[nH]n1)N[C@@H](c1nc[nH]n1)c1ccccc1 ZINC001447235012 1013324191 /nfs/dbraw/zinc/32/41/91/1013324191.db2.gz YQBFTPUHYNYBLY-CYBMUJFWSA-N 0 2 312.337 0.546 20 0 DCADLN C[NH+](C)CCn1nccc1C(=O)NCc1c[nH+]c2n1CCC2 ZINC001364028702 962325367 /nfs/dbraw/zinc/32/53/67/962325367.db2.gz SIFCCBCCWRYIOG-UHFFFAOYSA-N 0 2 302.382 0.517 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CCN(c3nc(C)cc(=O)[nH]3)C2)c1C ZINC001364057491 962384833 /nfs/dbraw/zinc/38/48/33/962384833.db2.gz ONCPQKGKVLJVPT-LLVKDONJSA-N 0 2 316.365 0.839 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001380006872 962487360 /nfs/dbraw/zinc/48/73/60/962487360.db2.gz BMNHVUCWCOCVTC-RNFRBKRXSA-N 0 2 307.276 0.399 20 0 DCADLN COc1ccnc(OC)c1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001364208554 962676150 /nfs/dbraw/zinc/67/61/50/962676150.db2.gz VGZJMMARLMRNEM-UHFFFAOYSA-N 0 2 306.278 0.230 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2CC3(CCC3)C2)[nH]1 ZINC001364285378 962820164 /nfs/dbraw/zinc/82/01/64/962820164.db2.gz NLIMDKRAJFPBLQ-UHFFFAOYSA-N 0 2 312.395 0.546 20 0 DCADLN O=C(Cc1ccon1)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001430347749 1013384493 /nfs/dbraw/zinc/38/44/93/1013384493.db2.gz IMIRFACQYWDKJD-SNVBAGLBSA-N 0 2 323.246 0.692 20 0 DCADLN O=C(Cc1ccon1)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430347749 1013384503 /nfs/dbraw/zinc/38/45/03/1013384503.db2.gz IMIRFACQYWDKJD-SNVBAGLBSA-N 0 2 323.246 0.692 20 0 DCADLN CCn1ccc(C[N@H+](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001379639045 963310228 /nfs/dbraw/zinc/31/02/28/963310228.db2.gz FUIXENSDWHWSSZ-JTQLQIEISA-N 0 2 320.397 0.890 20 0 DCADLN CCn1ccc(C[N@@H+](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001379639045 963310236 /nfs/dbraw/zinc/31/02/36/963310236.db2.gz FUIXENSDWHWSSZ-JTQLQIEISA-N 0 2 320.397 0.890 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CCC[N@H+](C)Cc2ncccn2)c1[O-] ZINC001380949456 963710053 /nfs/dbraw/zinc/71/00/53/963710053.db2.gz HFJRCLQFOIFUJN-UHFFFAOYSA-N 0 2 318.381 0.808 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CCC[N@@H+](C)Cc2ncccn2)c1[O-] ZINC001380949456 963710059 /nfs/dbraw/zinc/71/00/59/963710059.db2.gz HFJRCLQFOIFUJN-UHFFFAOYSA-N 0 2 318.381 0.808 20 0 DCADLN CC(=O)NCCCCCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314115509 963713753 /nfs/dbraw/zinc/71/37/53/963713753.db2.gz KYBDOBUBAZJMHU-GFCCVEGCSA-N 0 2 310.354 0.218 20 0 DCADLN CC(=O)NCCCCCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314115509 963713759 /nfs/dbraw/zinc/71/37/59/963713759.db2.gz KYBDOBUBAZJMHU-GFCCVEGCSA-N 0 2 310.354 0.218 20 0 DCADLN Cc1nn(C)c(C)c1CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000314183263 963721661 /nfs/dbraw/zinc/72/16/61/963721661.db2.gz XJCOYHAEGXGCKA-LBPRGKRZSA-N 0 2 305.338 0.115 20 0 DCADLN Cc1nn(C)c(C)c1CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000314183263 963721667 /nfs/dbraw/zinc/72/16/67/963721667.db2.gz XJCOYHAEGXGCKA-LBPRGKRZSA-N 0 2 305.338 0.115 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])nc1 ZINC001573442908 964075981 /nfs/dbraw/zinc/07/59/81/964075981.db2.gz NJPIUKHVBZTHAD-GFCCVEGCSA-N 0 2 317.305 0.120 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447549482 1013469485 /nfs/dbraw/zinc/46/94/85/1013469485.db2.gz OZFJMIVIBUZTFK-BKPPORCPSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001447549482 1013469490 /nfs/dbraw/zinc/46/94/90/1013469490.db2.gz OZFJMIVIBUZTFK-BKPPORCPSA-N 0 2 322.262 0.698 20 0 DCADLN C[C@H](CNC(=O)Cc1ccccc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368959729 964192643 /nfs/dbraw/zinc/19/26/43/964192643.db2.gz YSDIYHBOVVPVRL-LLVKDONJSA-N 0 2 303.366 0.690 20 0 DCADLN C[C@H](CNC(=O)Cc1ccccc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368959729 964192651 /nfs/dbraw/zinc/19/26/51/964192651.db2.gz YSDIYHBOVVPVRL-LLVKDONJSA-N 0 2 303.366 0.690 20 0 DCADLN COCc1noc([C@H](C)N(C)[C@@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001368966906 964207323 /nfs/dbraw/zinc/20/73/23/964207323.db2.gz UXBWNENPXNGLMB-IUCAKERBSA-N 0 2 323.357 0.145 20 0 DCADLN CC[C@H](SC)C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369068567 964361292 /nfs/dbraw/zinc/36/12/92/964361292.db2.gz CFYWJGRUFXQITF-SCZZXKLOSA-N 0 2 313.427 0.588 20 0 DCADLN Cc1nnc(CN2CC([C@@H](C)NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001369070820 964361321 /nfs/dbraw/zinc/36/13/21/964361321.db2.gz FFLROVPCMWBFCZ-LDWIPMOCSA-N 0 2 323.294 0.950 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+]Cc3nncs3)CC2)c1[O-] ZINC001375409277 964362763 /nfs/dbraw/zinc/36/27/63/964362763.db2.gz JXSXEIRVNQCGDL-UHFFFAOYSA-N 0 2 308.367 0.327 20 0 DCADLN CCN(C(=O)C[C@H]1CCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373705324 964500946 /nfs/dbraw/zinc/50/09/46/964500946.db2.gz VPZXTBMLEKNDKO-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)C[C@H]1CCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373705324 964500952 /nfs/dbraw/zinc/50/09/52/964500952.db2.gz VPZXTBMLEKNDKO-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)C[C@@H]1CCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373705328 964501750 /nfs/dbraw/zinc/50/17/50/964501750.db2.gz VPZXTBMLEKNDKO-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)C[C@@H]1CCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001373705328 964501755 /nfs/dbraw/zinc/50/17/55/964501755.db2.gz VPZXTBMLEKNDKO-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@]1(NC(=O)C[C@@H]2CCCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375820055 964866415 /nfs/dbraw/zinc/86/64/15/964866415.db2.gz KIGMPAXBWQTHNW-NHYWBVRUSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@]1(NC(=O)C[C@@H]2CCCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375820055 964866429 /nfs/dbraw/zinc/86/64/29/964866429.db2.gz KIGMPAXBWQTHNW-NHYWBVRUSA-N 0 2 323.397 0.550 20 0 DCADLN COCCN(CCNC(=O)[C@@H]1CC12CCC2)Cc1n[nH]c(=O)[nH]1 ZINC001369557023 965169188 /nfs/dbraw/zinc/16/91/88/965169188.db2.gz SAKNHPFJWNNKIF-NSHDSACASA-N 0 2 323.397 0.265 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N[C@@H]1CC[NH+](CC(=O)NC(C)(C)C)C1 ZINC001376155832 965302054 /nfs/dbraw/zinc/30/20/54/965302054.db2.gz KARNBDQOQQPWOE-CYBMUJFWSA-N 0 2 312.458 0.432 20 0 DCADLN CC[C@H](CNC(=O)CNC(C)=O)NC(=O)C(F)C(F)(F)F ZINC001374985165 966197801 /nfs/dbraw/zinc/19/78/01/966197801.db2.gz OEFDXNMQLFUMFM-APPZFPTMSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@H](CNC(=O)CNC(C)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001374985165 966197807 /nfs/dbraw/zinc/19/78/07/966197807.db2.gz OEFDXNMQLFUMFM-APPZFPTMSA-N 0 2 315.267 0.034 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@@H]1CCOC1 ZINC001375027502 966254447 /nfs/dbraw/zinc/25/44/47/966254447.db2.gz WZIIUCPVQNNAGT-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@@H]1CCOC1 ZINC001375027502 966254454 /nfs/dbraw/zinc/25/44/54/966254454.db2.gz WZIIUCPVQNNAGT-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)F)C(=O)C(F)C(F)(F)F ZINC001371004545 966658948 /nfs/dbraw/zinc/65/89/48/966658948.db2.gz IWCRQNXYADRTHO-UHNVWZDZSA-N 0 2 310.194 0.087 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)F)C(=O)[C@H](F)C(F)(F)F ZINC001371004545 966658952 /nfs/dbraw/zinc/65/89/52/966658952.db2.gz IWCRQNXYADRTHO-UHNVWZDZSA-N 0 2 310.194 0.087 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001382063653 966906065 /nfs/dbraw/zinc/90/60/65/966906065.db2.gz CCZZPUOIFCWLAW-FSPLSTOPSA-N 0 2 313.255 0.347 20 0 DCADLN C[C@@H](CN(C)C(=O)CC[NH+]1CCOCC1)[NH2+]Cc1nccn1C ZINC001382140509 966974990 /nfs/dbraw/zinc/97/49/90/966974990.db2.gz IGVWJBZXDOPLMC-AWEZNQCLSA-N 0 2 323.441 0.079 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1C(=O)c1nc[nH]n1 ZINC001371802873 967352416 /nfs/dbraw/zinc/35/24/16/967352416.db2.gz YSLIVPYHUBZBSU-DSYKOEDSSA-N 0 2 323.250 0.424 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CCN1C(=O)c1nc[nH]n1 ZINC001371802873 967352425 /nfs/dbraw/zinc/35/24/25/967352425.db2.gz YSLIVPYHUBZBSU-DSYKOEDSSA-N 0 2 323.250 0.424 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1CCCOCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027765 1013718738 /nfs/dbraw/zinc/71/87/38/1013718738.db2.gz XVZNTJPDDYRUGY-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1CCCOCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448027765 1013718740 /nfs/dbraw/zinc/71/87/40/1013718740.db2.gz XVZNTJPDDYRUGY-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN COCC[N@H+](CCNC(=O)c1ncn[nH]1)Cc1cccc(F)c1 ZINC001382546252 967485859 /nfs/dbraw/zinc/48/58/59/967485859.db2.gz CHHMRZUBTFDFHB-UHFFFAOYSA-N 0 2 321.356 0.822 20 0 DCADLN COCC[N@@H+](CCNC(=O)c1ncn[nH]1)Cc1cccc(F)c1 ZINC001382546252 967485863 /nfs/dbraw/zinc/48/58/63/967485863.db2.gz CHHMRZUBTFDFHB-UHFFFAOYSA-N 0 2 321.356 0.822 20 0 DCADLN CCc1c(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001448087701 1013744807 /nfs/dbraw/zinc/74/48/07/1013744807.db2.gz AFLPBAHFSKNBLA-JTQLQIEISA-N 0 2 320.397 0.662 20 0 DCADLN CCc1c(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001448087701 1013744814 /nfs/dbraw/zinc/74/48/14/1013744814.db2.gz AFLPBAHFSKNBLA-JTQLQIEISA-N 0 2 320.397 0.662 20 0 DCADLN CCCc1nc(C)c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001372183096 967720523 /nfs/dbraw/zinc/72/05/23/967720523.db2.gz KSIIYLJYGVVTMH-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN CCCc1nc(C)c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001372183096 967720533 /nfs/dbraw/zinc/72/05/33/967720533.db2.gz KSIIYLJYGVVTMH-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN CCOCC(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372272933 967820428 /nfs/dbraw/zinc/82/04/28/967820428.db2.gz YTEFOQAMYCWYHU-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001372272933 967820442 /nfs/dbraw/zinc/82/04/42/967820442.db2.gz YTEFOQAMYCWYHU-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372272924 967820751 /nfs/dbraw/zinc/82/07/51/967820751.db2.gz YTEFOQAMYCWYHU-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001372272924 967820761 /nfs/dbraw/zinc/82/07/61/967820761.db2.gz YTEFOQAMYCWYHU-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C1(C(F)F)CC1 ZINC001372508170 968063138 /nfs/dbraw/zinc/06/31/38/968063138.db2.gz FLSYQNJXNOLNLX-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1(C(F)F)CC1 ZINC001372508170 968063157 /nfs/dbraw/zinc/06/31/57/968063157.db2.gz FLSYQNJXNOLNLX-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1(C(F)F)CC1 ZINC001372508170 968063162 /nfs/dbraw/zinc/06/31/62/968063162.db2.gz FLSYQNJXNOLNLX-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@H]1CC[C@H](C)N1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001372758121 968330488 /nfs/dbraw/zinc/33/04/88/968330488.db2.gz HASKVNZXTKYHCF-DTORHVGOSA-N 0 2 315.399 0.432 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cncc(F)c1 ZINC001372784414 968369930 /nfs/dbraw/zinc/36/99/30/968369930.db2.gz FTKODOXSKXWQAQ-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cncc(F)c1 ZINC001372784414 968369939 /nfs/dbraw/zinc/36/99/39/968369939.db2.gz FTKODOXSKXWQAQ-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN CCCNC(=O)CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001373591906 969269625 /nfs/dbraw/zinc/26/96/25/969269625.db2.gz KMKWBQVIFIOFNJ-WPRPVWTQSA-N 0 2 313.295 0.604 20 0 DCADLN CC(C)N(C(=O)C[N@@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-])c1ccccc1 ZINC000318414631 970432606 /nfs/dbraw/zinc/43/26/06/970432606.db2.gz JEQJKFZICXQHPR-UONOGXRCSA-N 0 2 306.362 0.948 20 0 DCADLN CC(C)N(C(=O)C[N@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-])c1ccccc1 ZINC000318414631 970432613 /nfs/dbraw/zinc/43/26/13/970432613.db2.gz JEQJKFZICXQHPR-UONOGXRCSA-N 0 2 306.362 0.948 20 0 DCADLN CN(CCC(=O)[O-])C(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001604133787 972541155 /nfs/dbraw/zinc/54/11/55/972541155.db2.gz IPZMWHFERYBWME-UHFFFAOYSA-N 0 2 308.338 0.049 20 0 DCADLN C[C@H]1CCN(C(=O)c2cn(CC(=O)[O-])nn2)C[C@@H]1n1cc[nH+]c1 ZINC001603426124 973155621 /nfs/dbraw/zinc/15/56/21/973155621.db2.gz ABCLDMZLZNQFCQ-JQWIXIFHSA-N 0 2 318.337 0.283 20 0 DCADLN C[C@H]1C[N@H+](Cc2cc(C(=O)[O-])nn2C)C[C@]2(CCCOC2)O1 ZINC001603461079 973296228 /nfs/dbraw/zinc/29/62/28/973296228.db2.gz LBNLFCXLIGOFED-NHYWBVRUSA-N 0 2 309.366 0.888 20 0 DCADLN C[C@H]1C[N@@H+](Cc2cc(C(=O)[O-])nn2C)C[C@]2(CCCOC2)O1 ZINC001603461079 973296236 /nfs/dbraw/zinc/29/62/36/973296236.db2.gz LBNLFCXLIGOFED-NHYWBVRUSA-N 0 2 309.366 0.888 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)ncn1 ZINC001448924574 1014098880 /nfs/dbraw/zinc/09/88/80/1014098880.db2.gz VUZQTLAAAYFKCS-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001448924574 1014098884 /nfs/dbraw/zinc/09/88/84/1014098884.db2.gz VUZQTLAAAYFKCS-SECBINFHSA-N 0 2 322.262 0.874 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccnc(C(=O)[O-])c1 ZINC001603474348 973355891 /nfs/dbraw/zinc/35/58/91/973355891.db2.gz ULCYZEGJJHYYGG-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccnc(C(=O)[O-])c1 ZINC001603474348 973355895 /nfs/dbraw/zinc/35/58/95/973355895.db2.gz ULCYZEGJJHYYGG-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1cccc(C(=O)OC)n1 ZINC001603498375 973455012 /nfs/dbraw/zinc/45/50/12/973455012.db2.gz AKUVBZWZWCQZJY-JTQLQIEISA-N 0 2 309.322 0.602 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1cccc(C(=O)OC)n1 ZINC001603498375 973455021 /nfs/dbraw/zinc/45/50/21/973455021.db2.gz AKUVBZWZWCQZJY-JTQLQIEISA-N 0 2 309.322 0.602 20 0 DCADLN CCOC(=O)C[N@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)C(C)(C)C ZINC001603796909 974266663 /nfs/dbraw/zinc/26/66/63/974266663.db2.gz TYUVGBARXAGITH-SNVBAGLBSA-N 0 2 300.355 0.336 20 0 DCADLN CCOC(=O)C[N@@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)C(C)(C)C ZINC001603796909 974266669 /nfs/dbraw/zinc/26/66/69/974266669.db2.gz TYUVGBARXAGITH-SNVBAGLBSA-N 0 2 300.355 0.336 20 0 DCADLN CCn1c(C[N@@H+]2CCC[C@@H](O)C2)nnc1N1CC[C@H](C(=O)[O-])C1 ZINC001604008108 974516149 /nfs/dbraw/zinc/51/61/49/974516149.db2.gz KRVWGLDHTWILTL-NWDGAFQWSA-N 0 2 323.397 0.166 20 0 DCADLN CCn1c(C[N@H+]2CCC[C@@H](O)C2)nnc1N1CC[C@H](C(=O)[O-])C1 ZINC001604008108 974516155 /nfs/dbraw/zinc/51/61/55/974516155.db2.gz KRVWGLDHTWILTL-NWDGAFQWSA-N 0 2 323.397 0.166 20 0 DCADLN O=C(C[C@@H]1CC(=O)NC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001449244896 1014343992 /nfs/dbraw/zinc/34/39/92/1014343992.db2.gz WHBVEULGFUZQIU-MRVPVSSYSA-N 0 2 315.289 0.169 20 0 DCADLN Cn1cc(O[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2O)cn1 ZINC001549638373 1014365807 /nfs/dbraw/zinc/36/58/07/1014365807.db2.gz DCYOGIXOHJVMSG-DJLDLDEBSA-N 0 2 311.235 0.271 20 0 DCADLN Cn1cc(O[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]2O)cn1 ZINC001549638373 1014365815 /nfs/dbraw/zinc/36/58/15/1014365815.db2.gz DCYOGIXOHJVMSG-DJLDLDEBSA-N 0 2 311.235 0.271 20 0 DCADLN COCCN(CCNC(=O)[C@H]1C[C@H]2C[C@H]2C1)Cc1n[nH]c(=O)[nH]1 ZINC001449344578 1014426332 /nfs/dbraw/zinc/42/63/32/1014426332.db2.gz QZOGTJGZBWGSHR-GDNZZTSVSA-N 0 2 323.397 0.121 20 0 DCADLN CCC(CC)[C@H](C(=O)NC[C@H](O)CC(=O)[O-])[NH+]1CCOCC1 ZINC001595105641 979425165 /nfs/dbraw/zinc/42/51/65/979425165.db2.gz PEERQAASQBZUJI-TZMCWYRMSA-N 0 2 316.398 0.075 20 0 DCADLN C[C@H](C[S@](C)=O)[N@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001592822564 979529514 /nfs/dbraw/zinc/52/95/14/979529514.db2.gz QIJUCNQCZLBAFY-FIKIJFGZSA-N 0 2 318.439 0.589 20 0 DCADLN C[C@H](C[S@](C)=O)[N@@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001592822564 979529519 /nfs/dbraw/zinc/52/95/19/979529519.db2.gz QIJUCNQCZLBAFY-FIKIJFGZSA-N 0 2 318.439 0.589 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@@H]1C(=O)N1C[C@@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001550347042 1014674574 /nfs/dbraw/zinc/67/45/74/1014674574.db2.gz VQWCZVMGNCAHJJ-OZWUEAAUSA-N 0 2 305.334 0.083 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001449835489 1014715801 /nfs/dbraw/zinc/71/58/01/1014715801.db2.gz ZROVRZQZJLNGCD-SCZZXKLOSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@H](CNC(=O)Cn1cccn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001449835489 1014715811 /nfs/dbraw/zinc/71/58/11/1014715811.db2.gz ZROVRZQZJLNGCD-SCZZXKLOSA-N 0 2 324.278 0.747 20 0 DCADLN CCN(CCCNC(=O)C(F)C(F)(F)F)C(=O)COC ZINC001523889972 1014793605 /nfs/dbraw/zinc/79/36/05/1014793605.db2.gz PJHFVCLJZMWEFN-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)COC ZINC001523889972 1014793619 /nfs/dbraw/zinc/79/36/19/1014793619.db2.gz PJHFVCLJZMWEFN-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001594887654 982274281 /nfs/dbraw/zinc/27/42/81/982274281.db2.gz SIWYEWLUSBFVJZ-HZMBPMFUSA-N 0 2 309.366 0.835 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001594887654 982274287 /nfs/dbraw/zinc/27/42/87/982274287.db2.gz SIWYEWLUSBFVJZ-HZMBPMFUSA-N 0 2 309.366 0.835 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CN1C[C@@H](C(=O)OC(C)(C)C)CC1=O ZINC001595855319 982785406 /nfs/dbraw/zinc/78/54/06/982785406.db2.gz WALBRQMMIXPDLT-NSHDSACASA-N 0 2 314.382 0.931 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CN1C[C@@H](C(=O)OC(C)(C)C)CC1=O ZINC001595855319 982785410 /nfs/dbraw/zinc/78/54/10/982785410.db2.gz WALBRQMMIXPDLT-NSHDSACASA-N 0 2 314.382 0.931 20 0 DCADLN CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2cc(C(=O)[O-])[nH]n2)C[C@@H]1C ZINC001596449934 984150504 /nfs/dbraw/zinc/15/05/04/984150504.db2.gz PTLMXRAKJRTFAM-DTORHVGOSA-N 0 2 316.383 0.211 20 0 DCADLN CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2cc(C(=O)[O-])[nH]n2)C[C@@H]1C ZINC001596449934 984150506 /nfs/dbraw/zinc/15/05/06/984150506.db2.gz PTLMXRAKJRTFAM-DTORHVGOSA-N 0 2 316.383 0.211 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001524680388 1015038262 /nfs/dbraw/zinc/03/82/62/1015038262.db2.gz SQONNPSRHXSRTP-DJLDLDEBSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001524680388 1015038274 /nfs/dbraw/zinc/03/82/74/1015038274.db2.gz SQONNPSRHXSRTP-DJLDLDEBSA-N 0 2 314.279 0.621 20 0 DCADLN CCOC(=O)C1CCN(C(=O)Cn2cc(C(=O)[O-])[nH+]c2C)CC1 ZINC001596577135 984488900 /nfs/dbraw/zinc/48/89/00/984488900.db2.gz UOAXKKOUGRQXJA-UHFFFAOYSA-N 0 2 323.349 0.691 20 0 DCADLN C/C(=C/C(=O)N[C@@]1(CC(=O)[O-])CCOC1)C[NH+]1CCOCC1 ZINC001588938295 984620938 /nfs/dbraw/zinc/62/09/38/984620938.db2.gz AKXGQECGJARBRE-LDCOFTPGSA-N 0 2 312.366 0.015 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(C)C ZINC001383072858 984657284 /nfs/dbraw/zinc/65/72/84/984657284.db2.gz QFQDDVXHGYEBLS-HTQZYQBOSA-N 0 2 316.295 0.868 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(C)(C)C ZINC001383072858 984657286 /nfs/dbraw/zinc/65/72/86/984657286.db2.gz QFQDDVXHGYEBLS-HTQZYQBOSA-N 0 2 316.295 0.868 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001524858441 1015056998 /nfs/dbraw/zinc/05/69/98/1015056998.db2.gz NZGKUTDAKGBXGE-BGZDPUMWSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CCCO2)C1)[C@H](F)C(F)(F)F ZINC001524858441 1015057012 /nfs/dbraw/zinc/05/70/12/1015057012.db2.gz NZGKUTDAKGBXGE-BGZDPUMWSA-N 0 2 312.263 0.829 20 0 DCADLN CCOC(=O)c1cc2n(n1)C[C@H](C)[N@H+](C[C@@](C)(O)C(=O)[O-])C2 ZINC001596713480 984935262 /nfs/dbraw/zinc/93/52/62/984935262.db2.gz BQCPDYMSXXGUAQ-LKFCYVNXSA-N 0 2 311.338 0.100 20 0 DCADLN CCOC(=O)c1cc2n(n1)C[C@H](C)[N@@H+](C[C@@](C)(O)C(=O)[O-])C2 ZINC001596713480 984935275 /nfs/dbraw/zinc/93/52/75/984935275.db2.gz BQCPDYMSXXGUAQ-LKFCYVNXSA-N 0 2 311.338 0.100 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001383729391 985049773 /nfs/dbraw/zinc/04/97/73/985049773.db2.gz QZOVBEGBSRFVBS-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001383729391 985049787 /nfs/dbraw/zinc/04/97/87/985049787.db2.gz QZOVBEGBSRFVBS-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN Cn1cc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001450372351 1015094907 /nfs/dbraw/zinc/09/49/07/1015094907.db2.gz HYLZKVMPPNCDBL-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN Cn1cc(CC(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001450372351 1015094922 /nfs/dbraw/zinc/09/49/22/1015094922.db2.gz HYLZKVMPPNCDBL-JTQLQIEISA-N 0 2 322.262 0.190 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)CCC(C)(C)O)n[nH]1 ZINC001551461682 1015096668 /nfs/dbraw/zinc/09/66/68/1015096668.db2.gz RQSTWYPTOCDMJW-RYUDHWBXSA-N 0 2 310.398 0.995 20 0 DCADLN C[C@H]1C[N@H+](Cc2ccc(-n3ccnc3)nc2)C[C@@H](C(=O)[O-])O1 ZINC001599929675 985286245 /nfs/dbraw/zinc/28/62/45/985286245.db2.gz GFNQAJCTEHNCKU-AAEUAGOBSA-N 0 2 302.334 0.941 20 0 DCADLN C[C@H]1C[N@@H+](Cc2ccc(-n3ccnc3)nc2)C[C@@H](C(=O)[O-])O1 ZINC001599929675 985286252 /nfs/dbraw/zinc/28/62/52/985286252.db2.gz GFNQAJCTEHNCKU-AAEUAGOBSA-N 0 2 302.334 0.941 20 0 DCADLN Cc1[nH]c(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C)c1C=O ZINC001599953946 985654693 /nfs/dbraw/zinc/65/46/93/985654693.db2.gz NPEULHMWWPVKPS-LLVKDONJSA-N 0 2 304.306 0.593 20 0 DCADLN Cc1[nH]c(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C)c1C=O ZINC001599953946 985654697 /nfs/dbraw/zinc/65/46/97/985654697.db2.gz NPEULHMWWPVKPS-LLVKDONJSA-N 0 2 304.306 0.593 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+](C)[C@@H](C)CN1CCOCC1 ZINC001599957365 985712243 /nfs/dbraw/zinc/71/22/43/985712243.db2.gz KUWXQZVZNISJSI-NSHDSACASA-N 0 2 323.393 0.857 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+](C)[C@@H](C)CN1CCOCC1 ZINC001599957365 985712256 /nfs/dbraw/zinc/71/22/56/985712256.db2.gz KUWXQZVZNISJSI-NSHDSACASA-N 0 2 323.393 0.857 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)C[C@@H]2CCOC2)n[nH]1 ZINC001551658748 1015165167 /nfs/dbraw/zinc/16/51/67/1015165167.db2.gz AZBKXROLDOPNAF-XQQFMLRXSA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@H]2CCCN2C=O)n[nH]1 ZINC001551660596 1015166047 /nfs/dbraw/zinc/16/60/47/1015166047.db2.gz PZVUSCOVXZBFTG-AGIUHOORSA-N 0 2 321.381 0.065 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)Cn2cccn2)n[nH]1 ZINC001551668772 1015171319 /nfs/dbraw/zinc/17/13/19/1015171319.db2.gz RPJLPEFJWUIVTQ-RYUDHWBXSA-N 0 2 304.354 0.341 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2CO[C@@H](C)C2)n[nH]1 ZINC001551669115 1015171527 /nfs/dbraw/zinc/17/15/27/1015171527.db2.gz IQTJIWGCWIFSKJ-WKSBVSIWSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2ccn(C)n2)n[nH]1 ZINC001551671670 1015174343 /nfs/dbraw/zinc/17/43/43/1015174343.db2.gz XWEXZODUDMMIED-PWSUYJOCSA-N 0 2 304.354 0.491 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)C2(O)CCCC2)n[nH]1 ZINC001551678237 1015175385 /nfs/dbraw/zinc/17/53/85/1015175385.db2.gz XAEVDTPWQHHHPD-NEPJUHHUSA-N 0 2 308.382 0.749 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnco2)C1)C(F)C(F)(F)F ZINC001385326963 986255215 /nfs/dbraw/zinc/25/52/15/986255215.db2.gz AZJCZUNJJXVIFE-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cnco2)C1)[C@@H](F)C(F)(F)F ZINC001385326963 986255224 /nfs/dbraw/zinc/25/52/24/986255224.db2.gz AZJCZUNJJXVIFE-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cnon2)C1 ZINC001385407521 986327073 /nfs/dbraw/zinc/32/70/73/986327073.db2.gz ZKHYSJUSVMYAQR-SVRRBLITSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(C(=O)c2cnon2)C1 ZINC001385407521 986327082 /nfs/dbraw/zinc/32/70/82/986327082.db2.gz ZKHYSJUSVMYAQR-SVRRBLITSA-N 0 2 324.234 0.643 20 0 DCADLN C[C@@H](C(=O)N[C@H](C(=O)[O-])c1ccn(C)n1)[NH+]1CCSCC1 ZINC001589378376 986442944 /nfs/dbraw/zinc/44/29/44/986442944.db2.gz IPQUFWKZKYKEKF-ONGXEEELSA-N 0 2 312.395 0.099 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC001594607307 986578696 /nfs/dbraw/zinc/57/86/96/986578696.db2.gz HHUFHXFUZVGSJP-SWLSCSKDSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC001594607307 986578702 /nfs/dbraw/zinc/57/87/02/986578702.db2.gz HHUFHXFUZVGSJP-SWLSCSKDSA-N 0 2 313.398 0.603 20 0 DCADLN COCCC(=O)NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001385811442 986603559 /nfs/dbraw/zinc/60/35/59/986603559.db2.gz YXXSHQLTSQWUIC-PSASIEDQSA-N 0 2 314.279 0.934 20 0 DCADLN COCCC(=O)NC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001385811442 986603561 /nfs/dbraw/zinc/60/35/61/986603561.db2.gz YXXSHQLTSQWUIC-PSASIEDQSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1ccnn1CC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001386273082 987023078 /nfs/dbraw/zinc/02/30/78/987023078.db2.gz NUWNNRMLMCLHQZ-OIBJUYFYSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1ccnn1CC(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001386273082 987023084 /nfs/dbraw/zinc/02/30/84/987023084.db2.gz NUWNNRMLMCLHQZ-OIBJUYFYSA-N 0 2 324.278 0.713 20 0 DCADLN CO[C@H](C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001386931589 987511245 /nfs/dbraw/zinc/51/12/45/987511245.db2.gz ALDXDDIDEDFRNN-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@H](C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001386931589 987511247 /nfs/dbraw/zinc/51/12/47/987511247.db2.gz ALDXDDIDEDFRNN-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001387362632 987788619 /nfs/dbraw/zinc/78/86/19/987788619.db2.gz RDNGDXSISNQGKN-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001387362632 987788623 /nfs/dbraw/zinc/78/86/23/987788623.db2.gz RDNGDXSISNQGKN-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H](CNC(=O)c1cnon1)CNC(=O)C(F)C(F)(F)F ZINC001388458839 988330746 /nfs/dbraw/zinc/33/07/46/988330746.db2.gz UKUOOPNKPAFOGC-VDTYLAMSSA-N 0 2 312.223 0.452 20 0 DCADLN C[C@H](CNC(=O)c1cnon1)CNC(=O)[C@H](F)C(F)(F)F ZINC001388458839 988330754 /nfs/dbraw/zinc/33/07/54/988330754.db2.gz UKUOOPNKPAFOGC-VDTYLAMSSA-N 0 2 312.223 0.452 20 0 DCADLN CC[C@@H](CNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001388029462 988406282 /nfs/dbraw/zinc/40/62/82/988406282.db2.gz AMVBWZLUZSGKFK-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN CC[C@@H](CNC(=O)Cc1cc[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001388029462 988406289 /nfs/dbraw/zinc/40/62/89/988406289.db2.gz AMVBWZLUZSGKFK-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCn1cccn1 ZINC001389143503 988901548 /nfs/dbraw/zinc/90/15/48/988901548.db2.gz SXHHXICKOGISJB-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CCn1cccn1 ZINC001389143503 988901556 /nfs/dbraw/zinc/90/15/56/988901556.db2.gz SXHHXICKOGISJB-SCZZXKLOSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC[N@@H+]2CCOC(C)(C)C2)O[C@H]1C(=O)[O-] ZINC001599875291 989410187 /nfs/dbraw/zinc/41/01/87/989410187.db2.gz SJVWXUVCRULBML-IJLUTSLNSA-N 0 2 314.382 0.092 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NCC[N@H+]2CCOC(C)(C)C2)O[C@H]1C(=O)[O-] ZINC001599875291 989410194 /nfs/dbraw/zinc/41/01/94/989410194.db2.gz SJVWXUVCRULBML-IJLUTSLNSA-N 0 2 314.382 0.092 20 0 DCADLN C[C@@H]1C[C@H](C(=O)OCC2CN(c3cccc[nH+]3)C2)O[C@H]1C(=O)[O-] ZINC001599879048 989459214 /nfs/dbraw/zinc/45/92/14/989459214.db2.gz FZGLGARLCNJKOS-MPKXVKKWSA-N 0 2 320.345 0.939 20 0 DCADLN CC[C@@H](CNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001390370061 990034283 /nfs/dbraw/zinc/03/42/83/990034283.db2.gz JCCKAVLACIGWHB-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)c1cn(C)cn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001390370061 990034287 /nfs/dbraw/zinc/03/42/87/990034287.db2.gz JCCKAVLACIGWHB-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN C[N@H+](CCNC(=O)c1cccc2c1OCC2)Cc1n[nH]c(=O)[n-]1 ZINC001390819797 990412328 /nfs/dbraw/zinc/41/23/28/990412328.db2.gz OMYDEXDBVYAIGL-UHFFFAOYSA-N 0 2 317.349 0.307 20 0 DCADLN C[N@@H+](CCNC(=O)c1cccc2c1OCC2)Cc1n[nH]c(=O)[n-]1 ZINC001390819797 990412330 /nfs/dbraw/zinc/41/23/30/990412330.db2.gz OMYDEXDBVYAIGL-UHFFFAOYSA-N 0 2 317.349 0.307 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NCc1nnn(C)n1 ZINC001391681617 991088822 /nfs/dbraw/zinc/08/88/22/991088822.db2.gz DRGNJHLVCKIPOX-XPUUQOCRSA-N 0 2 312.271 0.095 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NCc1nnn(C)n1 ZINC001391681617 991088831 /nfs/dbraw/zinc/08/88/31/991088831.db2.gz DRGNJHLVCKIPOX-XPUUQOCRSA-N 0 2 312.271 0.095 20 0 DCADLN Cc1conc1C[NH2+]C[C@@H](C)CNC(=O)[C@H]1C[N@H+](C)CCO1 ZINC001391879161 991249965 /nfs/dbraw/zinc/24/99/65/991249965.db2.gz AKTGCFKZMCVCFY-BXUZGUMPSA-N 0 2 310.398 0.156 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001598360443 991503268 /nfs/dbraw/zinc/50/32/68/991503268.db2.gz UTMGIVUQCAEILM-DTWKUNHWSA-N 0 2 314.773 0.463 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001598360443 991503276 /nfs/dbraw/zinc/50/32/76/991503276.db2.gz UTMGIVUQCAEILM-DTWKUNHWSA-N 0 2 314.773 0.463 20 0 DCADLN CC(C)C1(S(=O)(=O)N2CC[NH+](CCC(=O)[O-])CC2)CC1 ZINC001591067997 991521282 /nfs/dbraw/zinc/52/12/82/991521282.db2.gz QFDBYPGNKKUFJO-UHFFFAOYSA-N 0 2 304.412 0.597 20 0 DCADLN CCc1nocc1C[N@@H+](C)CCN(C)C(=O)Cc1nnc[nH]1 ZINC001392317835 991744797 /nfs/dbraw/zinc/74/47/97/991744797.db2.gz AIWQNTSNIIHDCG-UHFFFAOYSA-N 0 2 306.370 0.488 20 0 DCADLN CN(CC[N@@H+](C)C[C@@H](O)CC(F)(F)F)C(=O)Cc1[nH]cc[nH+]1 ZINC001392318358 991745539 /nfs/dbraw/zinc/74/55/39/991745539.db2.gz XJUNHYGKZQFGLG-JTQLQIEISA-N 0 2 322.331 0.656 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CCO[C@H](C(F)F)CC1)C(=O)[O-] ZINC001591168437 991947403 /nfs/dbraw/zinc/94/74/03/991947403.db2.gz YJTQAUJHNWDQPI-MNOVXSKESA-N 0 2 322.352 0.958 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CCO[C@H](C(F)F)CC1)C(=O)[O-] ZINC001591168437 991947407 /nfs/dbraw/zinc/94/74/07/991947407.db2.gz YJTQAUJHNWDQPI-MNOVXSKESA-N 0 2 322.352 0.958 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1F ZINC001392631316 992179010 /nfs/dbraw/zinc/17/90/10/992179010.db2.gz WMIAAOVNPQLLCV-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1F ZINC001392631316 992179013 /nfs/dbraw/zinc/17/90/13/992179013.db2.gz WMIAAOVNPQLLCV-VIFPVBQESA-N 0 2 307.329 0.900 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c(=O)c1 ZINC001392885443 992497246 /nfs/dbraw/zinc/49/72/46/992497246.db2.gz UIYXSTJJCAJZJI-YLWLKBPMSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn[nH]c(=O)c1 ZINC001392885443 992497250 /nfs/dbraw/zinc/49/72/50/992497250.db2.gz UIYXSTJJCAJZJI-YLWLKBPMSA-N 0 2 324.234 0.317 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001598518668 992651185 /nfs/dbraw/zinc/65/11/85/992651185.db2.gz SAUJBBBLDFJMDS-DZGCQCFKSA-N 0 2 324.352 0.694 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001598518668 992651190 /nfs/dbraw/zinc/65/11/90/992651190.db2.gz SAUJBBBLDFJMDS-DZGCQCFKSA-N 0 2 324.352 0.694 20 0 DCADLN O=C(CCCC1CC1)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393255232 992797550 /nfs/dbraw/zinc/79/75/50/992797550.db2.gz KRVMWGKTGLEBHZ-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(CCCC1CC1)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393255232 992797557 /nfs/dbraw/zinc/79/75/57/992797557.db2.gz KRVMWGKTGLEBHZ-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1cnnn1C)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001591593966 992812844 /nfs/dbraw/zinc/81/28/44/992812844.db2.gz MUNNDDCZHWEHHX-OLZOCXBDSA-N 0 2 323.397 0.205 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1cnnn1C)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001591593966 992812851 /nfs/dbraw/zinc/81/28/51/992812851.db2.gz MUNNDDCZHWEHHX-OLZOCXBDSA-N 0 2 323.397 0.205 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cncnc1)C(F)C(F)(F)F ZINC001393351421 992905862 /nfs/dbraw/zinc/90/58/62/992905862.db2.gz YVVJOQMCUSPSGW-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cncnc1)[C@H](F)C(F)(F)F ZINC001393351421 992905872 /nfs/dbraw/zinc/90/58/72/992905872.db2.gz YVVJOQMCUSPSGW-BDAKNGLRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ncccn1)C(F)C(F)(F)F ZINC001393350847 992907044 /nfs/dbraw/zinc/90/70/44/992907044.db2.gz WTZUHODXPHPBLI-SFYZADRCSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1ncccn1)[C@H](F)C(F)(F)F ZINC001393350847 992907054 /nfs/dbraw/zinc/90/70/54/992907054.db2.gz WTZUHODXPHPBLI-SFYZADRCSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)CCC[N@H+](C)CCn2cncn2)c1[O-] ZINC001393614133 993127358 /nfs/dbraw/zinc/12/73/58/993127358.db2.gz KNZIUICLFSRIOP-UHFFFAOYSA-N 0 2 321.385 0.109 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)CCC[N@@H+](C)CCn2cncn2)c1[O-] ZINC001393614133 993127364 /nfs/dbraw/zinc/12/73/64/993127364.db2.gz KNZIUICLFSRIOP-UHFFFAOYSA-N 0 2 321.385 0.109 20 0 DCADLN C[N@@H+]1C[C@H](O)C[C@@]1(C)C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC001598568875 993173840 /nfs/dbraw/zinc/17/38/40/993173840.db2.gz GAZQKCURNLHXJX-GLEZIHRCSA-N 0 2 318.333 0.655 20 0 DCADLN C[N@H+]1C[C@H](O)C[C@@]1(C)C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC001598568875 993173842 /nfs/dbraw/zinc/17/38/42/993173842.db2.gz GAZQKCURNLHXJX-GLEZIHRCSA-N 0 2 318.333 0.655 20 0 DCADLN COCC[N@H+](C)[C@H](C)CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001394062931 993446098 /nfs/dbraw/zinc/44/60/98/993446098.db2.gz RWSSPIQNILPLJC-CYBMUJFWSA-N 0 2 308.426 0.845 20 0 DCADLN CC(C)c1nocc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394075985 993457901 /nfs/dbraw/zinc/45/79/01/993457901.db2.gz FGVPFGGBKJRXCB-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1nocc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394075985 993457903 /nfs/dbraw/zinc/45/79/03/993457903.db2.gz FGVPFGGBKJRXCB-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)(C)CC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001399785192 993771007 /nfs/dbraw/zinc/77/10/07/993771007.db2.gz NEDSTQRQYXBAEX-APPZFPTMSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)(C)CC(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001399785192 993771017 /nfs/dbraw/zinc/77/10/17/993771017.db2.gz NEDSTQRQYXBAEX-APPZFPTMSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC12CC2 ZINC001394806768 994176525 /nfs/dbraw/zinc/17/65/25/994176525.db2.gz FDGMAYMSOVLZAF-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC12CC2 ZINC001394806768 994176530 /nfs/dbraw/zinc/17/65/30/994176530.db2.gz FDGMAYMSOVLZAF-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@H]([NH2+][C@H]1CCN(CCC(=O)[O-])C1=O)c1nnc2ccccn21 ZINC001593217649 994274937 /nfs/dbraw/zinc/27/49/37/994274937.db2.gz BBTQXUPEYJPAIW-QWRGUYRKSA-N 0 2 317.349 0.456 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnon1)C(F)C(F)(F)F ZINC001400430752 994507012 /nfs/dbraw/zinc/50/70/12/994507012.db2.gz POTLSAAOAZABQC-IYSWYEEDSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cnon1)[C@@H](F)C(F)(F)F ZINC001400430752 994507025 /nfs/dbraw/zinc/50/70/25/994507025.db2.gz POTLSAAOAZABQC-IYSWYEEDSA-N 0 2 310.207 0.301 20 0 DCADLN COCCC(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001400434980 994510944 /nfs/dbraw/zinc/51/09/44/994510944.db2.gz UIKFRXXKIRFEAR-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001400434980 994510953 /nfs/dbraw/zinc/51/09/53/994510953.db2.gz UIKFRXXKIRFEAR-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN O=C(COCC1CCCC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400512795 994604028 /nfs/dbraw/zinc/60/40/28/994604028.db2.gz ZFVAXVDJRIVGPJ-UHFFFAOYSA-N 0 2 323.397 0.265 20 0 DCADLN O=C(Cc1ccccc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400521487 994610817 /nfs/dbraw/zinc/61/08/17/994610817.db2.gz CXVSSVGBZUAXGS-UHFFFAOYSA-N 0 2 301.350 0.301 20 0 DCADLN CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001400610673 994701490 /nfs/dbraw/zinc/70/14/90/994701490.db2.gz HKZPLNOJPSNCSO-NWDGAFQWSA-N 0 2 319.409 0.156 20 0 DCADLN COc1cccc(N2CC[NH+]([C@H](C)C(=O)NCC(=O)[O-])CC2)c1 ZINC001599678566 994939276 /nfs/dbraw/zinc/93/92/76/994939276.db2.gz GJMMXKDBWVLYQW-GFCCVEGCSA-N 0 2 321.377 0.407 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccoc1CC(=O)[O-] ZINC001598702073 995236526 /nfs/dbraw/zinc/23/65/26/995236526.db2.gz KVKJBUHQQRMJEF-SNVBAGLBSA-N 0 2 321.289 0.075 20 0 DCADLN COC(=O)[C@@H]([NH2+]CCC(=O)NCC(=O)[O-])C1CCCCC1 ZINC001598708181 995314247 /nfs/dbraw/zinc/31/42/47/995314247.db2.gz VSPVUNISTIKUHN-ZDUSSCGKSA-N 0 2 300.355 0.289 20 0 DCADLN Cc1n[nH]c(NC(=O)c2cc(S(C)(=O)=O)ncc2Cl)n1 ZINC001464806510 1015949912 /nfs/dbraw/zinc/94/99/12/1015949912.db2.gz LXRSEDYUZOCZCT-UHFFFAOYSA-N 0 2 315.742 0.817 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)C[NH+]1CC(C)(C)C1 ZINC001598752764 995676247 /nfs/dbraw/zinc/67/62/47/995676247.db2.gz YHFKPAFEMAPOPI-QWRGUYRKSA-N 0 2 312.366 0.193 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001396987039 995726256 /nfs/dbraw/zinc/72/62/56/995726256.db2.gz FIYDEAOKRDLZNB-YUMQZZPRSA-N 0 2 321.303 0.741 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])CN1C(=O)CNc1cccc[nH+]1 ZINC001598764874 995819514 /nfs/dbraw/zinc/81/95/14/995819514.db2.gz FGRMBATTWYSJME-GHMZBOCLSA-N 0 2 321.333 0.358 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@@H+]1Cc1cccc(C(N)=O)c1 ZINC001598776802 995938056 /nfs/dbraw/zinc/93/80/56/995938056.db2.gz KNQOLWKPJHDIQD-STQMWFEESA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cc1cccc(C(N)=O)c1 ZINC001598776802 995938060 /nfs/dbraw/zinc/93/80/60/995938060.db2.gz KNQOLWKPJHDIQD-STQMWFEESA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])N(C(=O)CNc2cccc[nH+]2)C1 ZINC001598777061 995940873 /nfs/dbraw/zinc/94/08/73/995940873.db2.gz QAYGEWIXBQEJGU-QWRGUYRKSA-N 0 2 321.333 0.358 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])N(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001598776999 995941033 /nfs/dbraw/zinc/94/10/33/995941033.db2.gz XVQLSPBFXUHDBP-DNRKLUKYSA-N 0 2 321.333 0.378 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])N(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001598776999 995941036 /nfs/dbraw/zinc/94/10/36/995941036.db2.gz XVQLSPBFXUHDBP-DNRKLUKYSA-N 0 2 321.333 0.378 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnsn1 ZINC001397458105 996010740 /nfs/dbraw/zinc/01/07/40/996010740.db2.gz IXACQYNCUVPBOB-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnsn1 ZINC001397458105 996010744 /nfs/dbraw/zinc/01/07/44/996010744.db2.gz IXACQYNCUVPBOB-UJURSFKZSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](Oc1cccnc1)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001593656316 996024394 /nfs/dbraw/zinc/02/43/94/996024394.db2.gz XKYNAPBVXPLNIM-PWSUYJOCSA-N 0 2 304.306 0.315 20 0 DCADLN C[C@H](c1ccc(S(C)(=O)=O)cc1)[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001593700170 996174813 /nfs/dbraw/zinc/17/48/13/996174813.db2.gz XMYQXMSYBOFWSN-QMTHXVAHSA-N 0 2 313.375 0.673 20 0 DCADLN C[C@H](c1ccc(S(C)(=O)=O)cc1)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001593700170 996174815 /nfs/dbraw/zinc/17/48/15/996174815.db2.gz XMYQXMSYBOFWSN-QMTHXVAHSA-N 0 2 313.375 0.673 20 0 DCADLN O=C(COc1ccccc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001398327880 996472065 /nfs/dbraw/zinc/47/20/65/996472065.db2.gz AYZXKXUIRKXWDC-LLVKDONJSA-N 0 2 317.349 0.280 20 0 DCADLN CC1(C(=O)[O-])C[NH+](Cc2ccc(S(N)(=O)=O)cc2F)C1 ZINC001593808129 996540585 /nfs/dbraw/zinc/54/05/85/996540585.db2.gz OVOMDVHMBACBTA-UHFFFAOYSA-N 0 2 302.327 0.380 20 0 DCADLN NC(=O)N[C@H](CO)C(=O)Nc1cc(Cl)cc(Cl)c1O ZINC001466105375 1016051411 /nfs/dbraw/zinc/05/14/11/1016051411.db2.gz JZXINYLUJDDKTK-SSDOTTSWSA-N 0 2 308.121 0.667 20 0 DCADLN CCc1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)[nH]n1 ZINC001404198661 996800365 /nfs/dbraw/zinc/80/03/65/996800365.db2.gz QLKBAZFYAQBBTA-UHFFFAOYSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)[nH]n1 ZINC001404198661 996800370 /nfs/dbraw/zinc/80/03/70/996800370.db2.gz QLKBAZFYAQBBTA-UHFFFAOYSA-N 0 2 319.369 0.190 20 0 DCADLN COC[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001599277360 996829624 /nfs/dbraw/zinc/82/96/24/996829624.db2.gz YEBWQONEQQEQLK-RKDXNWHRSA-N 0 2 314.363 0.466 20 0 DCADLN COC[C@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1ccsc1C(=O)[O-] ZINC001599277360 996829636 /nfs/dbraw/zinc/82/96/36/996829636.db2.gz YEBWQONEQQEQLK-RKDXNWHRSA-N 0 2 314.363 0.466 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@H+](Cn2c(=O)sc3c2CCCC3)C1 ZINC001599078212 996928298 /nfs/dbraw/zinc/92/82/98/996928298.db2.gz FJDLDEOFIDMDPZ-AWEZNQCLSA-N 0 2 312.391 0.922 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@@H+](Cn2c(=O)sc3c2CCCC3)C1 ZINC001599078212 996928310 /nfs/dbraw/zinc/92/83/10/996928310.db2.gz FJDLDEOFIDMDPZ-AWEZNQCLSA-N 0 2 312.391 0.922 20 0 DCADLN CCOCC(=O)NC[C@@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001404380888 997057097 /nfs/dbraw/zinc/05/70/97/997057097.db2.gz PWOZCIDDCUVOJN-GFCCVEGCSA-N 0 2 319.365 0.494 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn[nH]n1 ZINC001404382154 997057108 /nfs/dbraw/zinc/05/71/08/997057108.db2.gz MQYWVXSSWDAYOV-IYSWYEEDSA-N 0 2 311.239 0.282 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cn[nH]n1 ZINC001404382154 997057114 /nfs/dbraw/zinc/05/71/14/997057114.db2.gz MQYWVXSSWDAYOV-IYSWYEEDSA-N 0 2 311.239 0.282 20 0 DCADLN CC1(C)C[N@H+](CCOCCO)CC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001502839219 1016096624 /nfs/dbraw/zinc/09/66/24/1016096624.db2.gz ULYRXYUWTDDNMO-CQSZACIVSA-N 0 2 324.425 0.109 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001510974664 1016097758 /nfs/dbraw/zinc/09/77/58/1016097758.db2.gz YBUHXTLRIVGGAS-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1cccnc1 ZINC001510974664 1016097769 /nfs/dbraw/zinc/09/77/69/1016097769.db2.gz YBUHXTLRIVGGAS-RKDXNWHRSA-N 0 2 323.246 0.189 20 0 DCADLN COCc1nc(C)c(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])s1 ZINC001599301027 997233813 /nfs/dbraw/zinc/23/38/13/997233813.db2.gz VFRMIXJLXRLOQS-VIFPVBQESA-N 0 2 324.362 0.678 20 0 DCADLN Cc1cnc(CN[C@H](CO)CNC(=O)C(F)C(F)(F)F)o1 ZINC001507197620 1016114063 /nfs/dbraw/zinc/11/40/63/1016114063.db2.gz CILOZOKIEHDVGI-CBAPKCEASA-N 0 2 313.251 0.450 20 0 DCADLN Cc1cnc(CN[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)o1 ZINC001507197620 1016114071 /nfs/dbraw/zinc/11/40/71/1016114071.db2.gz CILOZOKIEHDVGI-CBAPKCEASA-N 0 2 313.251 0.450 20 0 DCADLN CO[C@@H]1CC[C@H]2OCC[N@H+](Cc3cnc(C(=O)[O-])cn3)[C@H]2C1 ZINC001599102166 997407661 /nfs/dbraw/zinc/40/76/61/997407661.db2.gz FSJUIBSQXIQBFR-KWCYVHTRSA-N 0 2 307.350 0.943 20 0 DCADLN CO[C@@H]1CC[C@H]2OCC[N@@H+](Cc3cnc(C(=O)[O-])cn3)[C@H]2C1 ZINC001599102166 997407669 /nfs/dbraw/zinc/40/76/69/997407669.db2.gz FSJUIBSQXIQBFR-KWCYVHTRSA-N 0 2 307.350 0.943 20 0 DCADLN CO[C@@H]1CC[N@@H+](CC(=O)N2C[C@H](C)O[C@@H](C)C2)[C@H](C(=O)[O-])C1 ZINC001599123028 997775854 /nfs/dbraw/zinc/77/58/54/997775854.db2.gz PDVLCPPHIZKNFN-RVMXOQNASA-N 0 2 314.382 0.186 20 0 DCADLN CO[C@@H]1CC[N@H+](CC(=O)N2C[C@H](C)O[C@@H](C)C2)[C@H](C(=O)[O-])C1 ZINC001599123028 997775842 /nfs/dbraw/zinc/77/58/42/997775842.db2.gz PDVLCPPHIZKNFN-RVMXOQNASA-N 0 2 314.382 0.186 20 0 DCADLN COCCOc1cncc(C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC001467312315 1016156319 /nfs/dbraw/zinc/15/63/19/1016156319.db2.gz CGUHEKPDWYGDNV-UHFFFAOYSA-N 0 2 324.362 0.751 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1COc2ccc(O)cc2O1 ZINC001467312342 1016156453 /nfs/dbraw/zinc/15/64/53/1016156453.db2.gz CRSNRHIESWQWOD-SNVBAGLBSA-N 0 2 323.330 0.563 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])o1 ZINC001598973715 998564683 /nfs/dbraw/zinc/56/46/83/998564683.db2.gz FEHCTFNHIXJUBX-SNVBAGLBSA-N 0 2 310.306 0.231 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])o1 ZINC001598973715 998564693 /nfs/dbraw/zinc/56/46/93/998564693.db2.gz FEHCTFNHIXJUBX-SNVBAGLBSA-N 0 2 310.306 0.231 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001594179384 998695158 /nfs/dbraw/zinc/69/51/58/998695158.db2.gz LUYBIVSWVAPSNA-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001594179384 998695161 /nfs/dbraw/zinc/69/51/61/998695161.db2.gz LUYBIVSWVAPSNA-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@H+](C)[C@@H]2COC[C@H]2O)c1 ZINC001598996026 998779926 /nfs/dbraw/zinc/77/99/26/998779926.db2.gz LGAMJDTUGYJVHE-JHJVBQTASA-N 0 2 309.318 0.290 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@@H+](C)[C@@H]2COC[C@H]2O)c1 ZINC001598996026 998779931 /nfs/dbraw/zinc/77/99/31/998779931.db2.gz LGAMJDTUGYJVHE-JHJVBQTASA-N 0 2 309.318 0.290 20 0 DCADLN C[C@@H]1CCc2nc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cn2C1 ZINC001594449005 999645530 /nfs/dbraw/zinc/64/55/30/999645530.db2.gz XSAOPKYTDBCMOV-MWLCHTKSSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@@H]1CCc2nc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cn2C1 ZINC001594449005 999645531 /nfs/dbraw/zinc/64/55/31/999645531.db2.gz XSAOPKYTDBCMOV-MWLCHTKSSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@H]1CN(C(=O)C[N@H+](C)Cc2ccc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC001594465111 999682436 /nfs/dbraw/zinc/68/24/36/999682436.db2.gz LGJWTAFBUMYSTF-RYUDHWBXSA-N 0 2 321.377 0.847 20 0 DCADLN C[C@H]1CN(C(=O)C[N@@H+](C)Cc2ccc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC001594465111 999682438 /nfs/dbraw/zinc/68/24/38/999682438.db2.gz LGJWTAFBUMYSTF-RYUDHWBXSA-N 0 2 321.377 0.847 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001469771527 1016363412 /nfs/dbraw/zinc/36/34/12/1016363412.db2.gz IHZKQWXVRZQDCV-UTUOFQBUSA-N 0 2 316.317 0.316 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](C)CC(=O)NC(C)(C)C)c1[O-] ZINC001418452512 1000234335 /nfs/dbraw/zinc/23/43/35/1000234335.db2.gz IFLYSSCHVAMXCI-UHFFFAOYSA-N 0 2 311.386 0.000 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](C)CC(=O)NC(C)(C)C)c1[O-] ZINC001418452512 1000234343 /nfs/dbraw/zinc/23/43/43/1000234343.db2.gz IFLYSSCHVAMXCI-UHFFFAOYSA-N 0 2 311.386 0.000 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001401362740 1000379442 /nfs/dbraw/zinc/37/94/42/1000379442.db2.gz NCVNGRIIFBWOKF-PJXYFTJBSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001401362740 1000379454 /nfs/dbraw/zinc/37/94/54/1000379454.db2.gz NCVNGRIIFBWOKF-PJXYFTJBSA-N 0 2 307.398 0.837 20 0 DCADLN Cc1cc(C[N@H+]2CCC[C@@H]2CNC(=O)C[NH+]2CCCC2)ncn1 ZINC001418643771 1000416350 /nfs/dbraw/zinc/41/63/50/1000416350.db2.gz AGKTYTQLKHLICB-MRXNPFEDSA-N 0 2 317.437 0.961 20 0 DCADLN Cc1ncccc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418777190 1000511310 /nfs/dbraw/zinc/51/13/10/1000511310.db2.gz JNQDAQHNNXBFFI-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ncccc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418777190 1000511316 /nfs/dbraw/zinc/51/13/16/1000511316.db2.gz JNQDAQHNNXBFFI-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1(CCF)CC1 ZINC001419100069 1000769817 /nfs/dbraw/zinc/76/98/17/1000769817.db2.gz ZHAWQIVKTFEOLJ-JTQLQIEISA-N 0 2 311.361 0.731 20 0 DCADLN CC[C@H](CC(F)F)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401893092 1000826762 /nfs/dbraw/zinc/82/67/62/1000826762.db2.gz KNIVPIRLHVKJOC-BDAKNGLRSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(CCC1CCOCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402208143 1001109464 /nfs/dbraw/zinc/10/94/64/1001109464.db2.gz QWWCEIJLCJDIQJ-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1COCCN1CCCO)C(F)C(F)(F)F ZINC001402519193 1001378598 /nfs/dbraw/zinc/37/85/98/1001378598.db2.gz SVXOTMZEJIKCQK-DTWKUNHWSA-N 0 2 302.268 0.086 20 0 DCADLN O=C(NC[C@H]1COCCN1CCCO)[C@@H](F)C(F)(F)F ZINC001402519193 1001378602 /nfs/dbraw/zinc/37/86/02/1001378602.db2.gz SVXOTMZEJIKCQK-DTWKUNHWSA-N 0 2 302.268 0.086 20 0 DCADLN O=C(NC[C@@H]1COCCN1CCCO)C(F)C(F)(F)F ZINC001402519189 1001378400 /nfs/dbraw/zinc/37/84/00/1001378400.db2.gz SVXOTMZEJIKCQK-BDAKNGLRSA-N 0 2 302.268 0.086 20 0 DCADLN O=C(NC[C@@H]1COCCN1CCCO)[C@H](F)C(F)(F)F ZINC001402519189 1001378405 /nfs/dbraw/zinc/37/84/05/1001378405.db2.gz SVXOTMZEJIKCQK-BDAKNGLRSA-N 0 2 302.268 0.086 20 0 DCADLN CCN(C)C(=O)C[N@@H+]1CC[C@H](NC(=O)c2nc[nH]n2)C(C)(C)C1 ZINC001402787632 1001502153 /nfs/dbraw/zinc/50/21/53/1001502153.db2.gz BCYCJQTUPCELOC-NSHDSACASA-N 0 2 322.413 0.113 20 0 DCADLN CCN(C)C(=O)C[N@H+]1CC[C@H](NC(=O)c2nc[nH]n2)C(C)(C)C1 ZINC001402787632 1001502154 /nfs/dbraw/zinc/50/21/54/1001502154.db2.gz BCYCJQTUPCELOC-NSHDSACASA-N 0 2 322.413 0.113 20 0 DCADLN C[C@@H](CNC(=O)C1CCC(F)CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403169242 1001704696 /nfs/dbraw/zinc/70/46/96/1001704696.db2.gz NHRNNSYIIGVXNG-WHXUTIOJSA-N 0 2 313.377 0.975 20 0 DCADLN C[C@@H](CNC(=O)C1CCC(F)CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403169242 1001704699 /nfs/dbraw/zinc/70/46/99/1001704699.db2.gz NHRNNSYIIGVXNG-WHXUTIOJSA-N 0 2 313.377 0.975 20 0 DCADLN C[C@H](CNC(=O)C1(C)CC(F)(F)C1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403193704 1001718506 /nfs/dbraw/zinc/71/85/06/1001718506.db2.gz OPJOYURFDTYOJW-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](CNC(=O)C1(C)CC(F)(F)C1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403193704 1001718508 /nfs/dbraw/zinc/71/85/08/1001718508.db2.gz OPJOYURFDTYOJW-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001403203482 1001728354 /nfs/dbraw/zinc/72/83/54/1001728354.db2.gz XMKAKNSQELINRC-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001403203482 1001728358 /nfs/dbraw/zinc/72/83/58/1001728358.db2.gz XMKAKNSQELINRC-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001505749097 1016518631 /nfs/dbraw/zinc/51/86/31/1016518631.db2.gz CDPVBPZMMWZFLJ-XCBNKYQSSA-N 0 2 322.262 0.570 20 0 DCADLN CCCc1nc(C[NH2+]C[C@H](O)CNC(=O)c2ncn[nH]2)cs1 ZINC001420196615 1002018068 /nfs/dbraw/zinc/01/80/68/1002018068.db2.gz NVENPFYWVWIKGT-JTQLQIEISA-N 0 2 324.410 0.094 20 0 DCADLN CCCc1nc(C[NH2+]C[C@H](O)CNC(=O)c2nc[nH]n2)cs1 ZINC001420196615 1002018079 /nfs/dbraw/zinc/01/80/79/1002018079.db2.gz NVENPFYWVWIKGT-JTQLQIEISA-N 0 2 324.410 0.094 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CC[C@H]1CCOC1 ZINC001424833597 1002073686 /nfs/dbraw/zinc/07/36/86/1002073686.db2.gz WUIXCSYNKZCRAH-MRVPVSSYSA-N 0 2 301.311 0.141 20 0 DCADLN COC[C@@H](O)CNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001424875115 1002112739 /nfs/dbraw/zinc/11/27/39/1002112739.db2.gz CIESIOJOZWRIRS-ZETCQYMHSA-N 0 2 310.759 0.491 20 0 DCADLN C[C@@H](NC(=O)C[N@H+](C)CCNC(=O)C[NH+]1CCCC1)C1CC1 ZINC001420343703 1002256099 /nfs/dbraw/zinc/25/60/99/1002256099.db2.gz HUNRNYHKDQYKKO-CYBMUJFWSA-N 0 2 310.442 0.045 20 0 DCADLN CCn1nc(C)c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001403727026 1002429967 /nfs/dbraw/zinc/42/99/67/1002429967.db2.gz UTYOPXPLBNBDKE-UHFFFAOYSA-N 0 2 321.385 0.205 20 0 DCADLN CCn1nc(C)c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1C ZINC001403727026 1002429972 /nfs/dbraw/zinc/42/99/72/1002429972.db2.gz UTYOPXPLBNBDKE-UHFFFAOYSA-N 0 2 321.385 0.205 20 0 DCADLN C[C@H](NC(=O)CCc1ccon1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425226834 1002459110 /nfs/dbraw/zinc/45/91/10/1002459110.db2.gz KXVYGBPNRZKJQP-VIFPVBQESA-N 0 2 320.353 0.068 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420637060 1002725864 /nfs/dbraw/zinc/72/58/64/1002725864.db2.gz PXGRFKLDKXLRFM-WDEREUQCSA-N 0 2 309.370 0.016 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420637060 1002725871 /nfs/dbraw/zinc/72/58/71/1002725871.db2.gz PXGRFKLDKXLRFM-WDEREUQCSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(C[C@@H]1CCCCO1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403971807 1002829519 /nfs/dbraw/zinc/82/95/19/1002829519.db2.gz AOXWAVRWIXYVBM-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@@H]1CCCCO1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403971807 1002829536 /nfs/dbraw/zinc/82/95/36/1002829536.db2.gz AOXWAVRWIXYVBM-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(CC[C@H]1CCOC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420815607 1002976324 /nfs/dbraw/zinc/97/63/24/1002976324.db2.gz LCPUXNUQVDNBIY-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN COCC(=O)NC1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001404077266 1002977665 /nfs/dbraw/zinc/97/76/65/1002977665.db2.gz YQKCWKNNZOKPJO-IEIXJENWSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NC1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404077266 1002977673 /nfs/dbraw/zinc/97/76/73/1002977673.db2.gz YQKCWKNNZOKPJO-IEIXJENWSA-N 0 2 300.252 0.544 20 0 DCADLN COc1ncc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)cn1 ZINC001472061249 1016717909 /nfs/dbraw/zinc/71/79/09/1016717909.db2.gz KJLDUQGSVYAGFD-UHFFFAOYSA-N 0 2 319.321 0.310 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420910488 1003120519 /nfs/dbraw/zinc/12/05/19/1003120519.db2.gz ZELIFMGYOYNGCK-WDEREUQCSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)C(=O)NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001425812888 1003138908 /nfs/dbraw/zinc/13/89/08/1003138908.db2.gz MPYOVXVMHLAISI-JGVFFNPUSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)C(=O)NC[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001425812888 1003138919 /nfs/dbraw/zinc/13/89/19/1003138919.db2.gz MPYOVXVMHLAISI-JGVFFNPUSA-N 0 2 302.268 0.478 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN([C@@H]2CCN(C)C2=O)C1 ZINC001421059638 1003279931 /nfs/dbraw/zinc/27/99/31/1003279931.db2.gz YVWIJMCSDUQRFU-RKDXNWHRSA-N 0 2 311.279 0.260 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN([C@@H]2CCN(C)C2=O)C1 ZINC001421059638 1003279937 /nfs/dbraw/zinc/27/99/37/1003279937.db2.gz YVWIJMCSDUQRFU-RKDXNWHRSA-N 0 2 311.279 0.260 20 0 DCADLN CCC(=O)N1CCC[C@H](C(=O)N[C@@H](C)c2nn(C)cc2O)C1 ZINC001472237493 1016768485 /nfs/dbraw/zinc/76/84/85/1016768485.db2.gz SSKNAYUVUPGOLV-QWRGUYRKSA-N 0 2 308.382 0.952 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001421197566 1003432515 /nfs/dbraw/zinc/43/25/15/1003432515.db2.gz QADXSIOAWXGCLJ-ABAIWWIYSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001421197566 1003432519 /nfs/dbraw/zinc/43/25/19/1003432519.db2.gz QADXSIOAWXGCLJ-ABAIWWIYSA-N 0 2 318.381 0.654 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001421327692 1003547067 /nfs/dbraw/zinc/54/70/67/1003547067.db2.gz IXYPTSYCBJHMLY-DTWKUNHWSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001421327692 1003547073 /nfs/dbraw/zinc/54/70/73/1003547073.db2.gz IXYPTSYCBJHMLY-DTWKUNHWSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(C)(F)F ZINC001421327692 1003547080 /nfs/dbraw/zinc/54/70/80/1003547080.db2.gz IXYPTSYCBJHMLY-DTWKUNHWSA-N 0 2 317.340 0.834 20 0 DCADLN CNC(=O)Nc1cccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC001472519381 1016816156 /nfs/dbraw/zinc/81/61/56/1016816156.db2.gz XUZYSALLKRYRHE-UHFFFAOYSA-N 0 2 318.337 0.624 20 0 DCADLN CN(C[C@@H](O)CN(C)C(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001428269755 1003808221 /nfs/dbraw/zinc/80/82/21/1003808221.db2.gz SKIAFONHFMZUEX-DTWKUNHWSA-N 0 2 314.279 0.575 20 0 DCADLN CN(C[C@@H](O)CN(C)C(=O)C1CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001428269755 1003808231 /nfs/dbraw/zinc/80/82/31/1003808231.db2.gz SKIAFONHFMZUEX-DTWKUNHWSA-N 0 2 314.279 0.575 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428314309 1003856773 /nfs/dbraw/zinc/85/67/73/1003856773.db2.gz GKMJZFKOPNTLJT-OOZYFLPDSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001428314309 1003856783 /nfs/dbraw/zinc/85/67/83/1003856783.db2.gz GKMJZFKOPNTLJT-OOZYFLPDSA-N 0 2 322.262 0.996 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001406576901 1003869619 /nfs/dbraw/zinc/86/96/19/1003869619.db2.gz QDAWZHFTMQVUNT-KHQFGBGNSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001406576901 1003869633 /nfs/dbraw/zinc/86/96/33/1003869633.db2.gz QDAWZHFTMQVUNT-KHQFGBGNSA-N 0 2 314.279 0.934 20 0 DCADLN Cc1nnccc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001428656192 1004093492 /nfs/dbraw/zinc/09/34/92/1004093492.db2.gz XLYGIJXYKOAWAF-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1nnccc1C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001428656192 1004093499 /nfs/dbraw/zinc/09/34/99/1004093499.db2.gz XLYGIJXYKOAWAF-IMTBSYHQSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)n1C ZINC001428742776 1004142468 /nfs/dbraw/zinc/14/24/68/1004142468.db2.gz RTHLYQKINCPBML-SECBINFHSA-N 0 2 318.381 0.237 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1C(=O)c1cnon1 ZINC001427044798 1004181892 /nfs/dbraw/zinc/18/18/92/1004181892.db2.gz HMYVABSRUNKCCG-CHKWXVPMSA-N 0 2 324.234 0.689 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CCN1C(=O)c1cnon1 ZINC001427044798 1004181895 /nfs/dbraw/zinc/18/18/95/1004181895.db2.gz HMYVABSRUNKCCG-CHKWXVPMSA-N 0 2 324.234 0.689 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cccnc1 ZINC001406893335 1004193451 /nfs/dbraw/zinc/19/34/51/1004193451.db2.gz YTTXCFRMNPHMCT-CMPLNLGQSA-N 0 2 316.365 0.400 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001427760969 1004589046 /nfs/dbraw/zinc/58/90/46/1004589046.db2.gz SZQIWVFXHJPALM-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001427760969 1004589049 /nfs/dbraw/zinc/58/90/49/1004589049.db2.gz SZQIWVFXHJPALM-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN CCCCC(=O)N[C@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407611221 1004759692 /nfs/dbraw/zinc/75/96/92/1004759692.db2.gz LJILJRSYFGXRGH-CQSZACIVSA-N 0 2 311.386 0.144 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001407764010 1004845659 /nfs/dbraw/zinc/84/56/59/1004845659.db2.gz UFXOJODRLWYKMR-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001407764010 1004845668 /nfs/dbraw/zinc/84/56/68/1004845668.db2.gz UFXOJODRLWYKMR-IONNQARKSA-N 0 2 322.262 0.651 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cnoc1 ZINC001408194844 1005102366 /nfs/dbraw/zinc/10/23/66/1005102366.db2.gz LSNFFBTTZTYOTN-IMTBSYHQSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cnoc1 ZINC001408194844 1005102369 /nfs/dbraw/zinc/10/23/69/1005102369.db2.gz LSNFFBTTZTYOTN-IMTBSYHQSA-N 0 2 311.235 0.738 20 0 DCADLN COCC(=O)N[C@@H]1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001414243797 1005264239 /nfs/dbraw/zinc/26/42/39/1005264239.db2.gz XQHCFQPHXCLYDQ-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)N[C@@H]1CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001414243797 1005264240 /nfs/dbraw/zinc/26/42/40/1005264240.db2.gz XQHCFQPHXCLYDQ-XKSSXDPKSA-N 0 2 314.279 0.934 20 0 DCADLN CCNC(=O)CNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001414310188 1005283014 /nfs/dbraw/zinc/28/30/14/1005283014.db2.gz SRXJYXJUOJXVAC-UHFFFAOYSA-N 0 2 307.759 0.620 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001414687303 1005384103 /nfs/dbraw/zinc/38/41/03/1005384103.db2.gz ZJAOHALPGBMSDR-BQBZGAKWSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001414687303 1005384104 /nfs/dbraw/zinc/38/41/04/1005384104.db2.gz ZJAOHALPGBMSDR-BQBZGAKWSA-N 0 2 323.250 0.378 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cn[nH]c2)C1)C(F)C(F)(F)F ZINC001414785123 1005402113 /nfs/dbraw/zinc/40/21/13/1005402113.db2.gz ZZFFXEUBVKGZBI-JGVFFNPUSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cn[nH]c2)C1)[C@@H](F)C(F)(F)F ZINC001414785123 1005402114 /nfs/dbraw/zinc/40/21/14/1005402114.db2.gz ZZFFXEUBVKGZBI-JGVFFNPUSA-N 0 2 308.235 0.641 20 0 DCADLN Cc1nonc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001415545282 1005558609 /nfs/dbraw/zinc/55/86/09/1005558609.db2.gz WKTIYFKKVCFJMW-SSDOTTSWSA-N 0 2 312.223 0.467 20 0 DCADLN Cc1nonc1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001415545282 1005558610 /nfs/dbraw/zinc/55/86/10/1005558610.db2.gz WKTIYFKKVCFJMW-SSDOTTSWSA-N 0 2 312.223 0.467 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416033678 1005652994 /nfs/dbraw/zinc/65/29/94/1005652994.db2.gz VBKOJVVRHVFIRE-SVRRBLITSA-N 0 2 300.252 0.496 20 0 DCADLN COC[C@@H](C)C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001416033678 1005652995 /nfs/dbraw/zinc/65/29/95/1005652995.db2.gz VBKOJVVRHVFIRE-SVRRBLITSA-N 0 2 300.252 0.496 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)CNC(=O)C(F)C(F)(F)F ZINC001416463187 1005702259 /nfs/dbraw/zinc/70/22/59/1005702259.db2.gz DFVGULAIJDBQBY-APPZFPTMSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@H](CNC(=O)c1ccn(C)n1)CNC(=O)[C@H](F)C(F)(F)F ZINC001416463187 1005702262 /nfs/dbraw/zinc/70/22/62/1005702262.db2.gz DFVGULAIJDBQBY-APPZFPTMSA-N 0 2 324.278 0.803 20 0 DCADLN Cc1cnc([C@@H](C)[NH2+][C@H]2C[C@H](CNC(=O)Cc3nnc[nH]3)C2)o1 ZINC001417797671 1005892880 /nfs/dbraw/zinc/89/28/80/1005892880.db2.gz IDMDRGZHGLYVAU-IJLUTSLNSA-N 0 2 318.381 0.889 20 0 DCADLN CC[C@@H](C)CC(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001417924540 1005927098 /nfs/dbraw/zinc/92/70/98/1005927098.db2.gz GFZLHVDVSBMIKV-GFCCVEGCSA-N 0 2 324.429 0.180 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@H](CO)[C@H](O)C1 ZINC001417934824 1005931434 /nfs/dbraw/zinc/93/14/34/1005931434.db2.gz VJBGSACIDBZQIE-BXKDBHETSA-N 0 2 321.308 0.142 20 0 DCADLN Cc1nn[nH]c1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001506095444 1017010646 /nfs/dbraw/zinc/01/06/46/1017010646.db2.gz DICDMYWIIIDRPX-ZETCQYMHSA-N 0 2 323.250 0.392 20 0 DCADLN Cc1nn[nH]c1C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001506095444 1017010656 /nfs/dbraw/zinc/01/06/56/1017010656.db2.gz DICDMYWIIIDRPX-ZETCQYMHSA-N 0 2 323.250 0.392 20 0 DCADLN C[S@@](=O)C1(C(=O)[O-])CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001574060157 1163632519 /nfs/dbraw/zinc/63/25/19/1163632519.db2.gz ZECDEZMVIPYRHZ-OAQYLSRUSA-N 0 2 313.379 0.167 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCc2cnn[nH]2)CC[N@H+]1Cc1cccnc1 ZINC001436494929 1006220696 /nfs/dbraw/zinc/22/06/96/1006220696.db2.gz ZEPCYQJZTUTUOR-DOMZBBRYSA-N 0 2 314.393 0.912 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccccn1 ZINC001439351600 1006739628 /nfs/dbraw/zinc/73/96/28/1006739628.db2.gz GQCJFOOGDNFLIJ-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccccn1 ZINC001439351600 1006739634 /nfs/dbraw/zinc/73/96/34/1006739634.db2.gz GQCJFOOGDNFLIJ-LLVKDONJSA-N 0 2 318.381 0.475 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)CNC(=O)C[N@@H+]2CCC[C@@H]2C)c1[O-] ZINC001452490740 1006870479 /nfs/dbraw/zinc/87/04/79/1006870479.db2.gz RIDMLZSMIUMPOW-ZJUUUORDSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@H](C)CNC(=O)C[N@H+]2CCC[C@@H]2C)c1[O-] ZINC001452490740 1006870490 /nfs/dbraw/zinc/87/04/90/1006870490.db2.gz RIDMLZSMIUMPOW-ZJUUUORDSA-N 0 2 323.397 0.143 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001452828196 1007098962 /nfs/dbraw/zinc/09/89/62/1007098962.db2.gz NVNRSLGHTJSXKA-RNJXMRFFSA-N 0 2 302.268 0.525 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001452828196 1007098977 /nfs/dbraw/zinc/09/89/77/1007098977.db2.gz NVNRSLGHTJSXKA-RNJXMRFFSA-N 0 2 302.268 0.525 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(C)n1C)Cc1n[nH]c(=O)[n-]1 ZINC001437573143 1007141793 /nfs/dbraw/zinc/14/17/93/1007141793.db2.gz MXPUDHVLVDVAIY-UHFFFAOYSA-N 0 2 306.370 0.409 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(C)n1C)Cc1n[nH]c(=O)[n-]1 ZINC001437573143 1007141797 /nfs/dbraw/zinc/14/17/97/1007141797.db2.gz MXPUDHVLVDVAIY-UHFFFAOYSA-N 0 2 306.370 0.409 20 0 DCADLN O=C(Cc1cccs1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437648401 1007209315 /nfs/dbraw/zinc/20/93/15/1007209315.db2.gz CCKQKSOIMLSGCC-SNVBAGLBSA-N 0 2 321.406 0.895 20 0 DCADLN O=C(Cc1cccs1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437648401 1007209319 /nfs/dbraw/zinc/20/93/19/1007209319.db2.gz CCKQKSOIMLSGCC-SNVBAGLBSA-N 0 2 321.406 0.895 20 0 DCADLN CCN(C(=O)C(C)(F)F)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438255102 1007745993 /nfs/dbraw/zinc/74/59/93/1007745993.db2.gz VXEAGHACPSKARG-QMMMGPOBSA-N 0 2 303.313 0.588 20 0 DCADLN C[C@@H](CNC(=O)CC[C@H]1CCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440911101 1008107455 /nfs/dbraw/zinc/10/74/55/1008107455.db2.gz FLIVMZGWLVYTIH-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)CC[C@H]1CCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440911101 1008107456 /nfs/dbraw/zinc/10/74/56/1008107456.db2.gz FLIVMZGWLVYTIH-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCCO1)C(=O)C(F)C(F)(F)F ZINC001433145613 1008546383 /nfs/dbraw/zinc/54/63/83/1008546383.db2.gz MCUBXSHODWTWFX-IUCAKERBSA-N 0 2 314.279 0.983 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCCO1)C(=O)[C@H](F)C(F)(F)F ZINC001433145613 1008546395 /nfs/dbraw/zinc/54/63/95/1008546395.db2.gz MCUBXSHODWTWFX-IUCAKERBSA-N 0 2 314.279 0.983 20 0 DCADLN COCCOc1cncc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1 ZINC001453748469 1008697495 /nfs/dbraw/zinc/69/74/95/1008697495.db2.gz JRZDBYDKPQPEIR-SECBINFHSA-N 0 2 322.321 0.034 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001454028461 1008863135 /nfs/dbraw/zinc/86/31/35/1008863135.db2.gz RYTKLHUEKQXFEF-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001454028461 1008863148 /nfs/dbraw/zinc/86/31/48/1008863148.db2.gz RYTKLHUEKQXFEF-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN CSc1cncc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC001433531967 1008906390 /nfs/dbraw/zinc/90/63/90/1008906390.db2.gz LHMMOLIRSWXRBM-UHFFFAOYSA-N 0 2 316.346 0.827 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001454333754 1009079801 /nfs/dbraw/zinc/07/98/01/1009079801.db2.gz LSGFKBCPVSJVQL-ZXFLCMHBSA-N 0 2 322.262 0.996 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001454333754 1009079815 /nfs/dbraw/zinc/07/98/15/1009079815.db2.gz LSGFKBCPVSJVQL-ZXFLCMHBSA-N 0 2 322.262 0.996 20 0 DCADLN COCC(=O)NC[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001454415633 1009125177 /nfs/dbraw/zinc/12/51/77/1009125177.db2.gz FZZBVCOKVOPBCQ-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NC[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001454415633 1009125184 /nfs/dbraw/zinc/12/51/84/1009125184.db2.gz FZZBVCOKVOPBCQ-WPRPVWTQSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NC[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001454415626 1009126554 /nfs/dbraw/zinc/12/65/54/1009126554.db2.gz FZZBVCOKVOPBCQ-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NC[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001454415626 1009126556 /nfs/dbraw/zinc/12/65/56/1009126556.db2.gz FZZBVCOKVOPBCQ-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN Cc1nnc(CCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001433941086 1009377662 /nfs/dbraw/zinc/37/76/62/1009377662.db2.gz BPFVLJQKJVMGRW-VIFPVBQESA-N 0 2 306.326 0.541 20 0 DCADLN CC(C)(C)CC[C@@H](CO)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001433940390 1009378181 /nfs/dbraw/zinc/37/81/81/1009378181.db2.gz RLTGMELZXGGYFC-IUCAKERBSA-N 0 2 301.412 0.846 20 0 DCADLN Cc1c[nH]nc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455281369 1009629604 /nfs/dbraw/zinc/62/96/04/1009629604.db2.gz JZYWSOKZSNDQEU-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1c[nH]nc1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001455281369 1009629609 /nfs/dbraw/zinc/62/96/09/1009629609.db2.gz JZYWSOKZSNDQEU-MRVPVSSYSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1c(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)cnn1C ZINC001455284198 1009631947 /nfs/dbraw/zinc/63/19/47/1009631947.db2.gz ZTCMPFLQUNANAX-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1c(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)cnn1C ZINC001455284198 1009631971 /nfs/dbraw/zinc/63/19/71/1009631971.db2.gz ZTCMPFLQUNANAX-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001434383546 1009872760 /nfs/dbraw/zinc/87/27/60/1009872760.db2.gz GPGTZHDSVXIAEB-ZETCQYMHSA-N 0 2 324.353 0.647 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=O)c1CC(=O)N[C@H](C)c1nn(C)cc1O ZINC001434406436 1009911466 /nfs/dbraw/zinc/91/14/66/1009911466.db2.gz HNOUCXJWVPXYBI-SSDOTTSWSA-N 0 2 307.310 0.055 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC1(c2nc[nH]n2)CCC1 ZINC001475234460 1017080955 /nfs/dbraw/zinc/08/09/55/1017080955.db2.gz GGJXRXFTSRZYPU-UHFFFAOYSA-N 0 2 321.428 0.526 20 0 DCADLN CCC[C@H](OCC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456303813 1010180273 /nfs/dbraw/zinc/18/02/73/1010180273.db2.gz BRULVDYFVBPPIX-VIFPVBQESA-N 0 2 318.399 0.171 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nncn1C ZINC001422507794 1010207101 /nfs/dbraw/zinc/20/71/01/1010207101.db2.gz LETHIAAFXUSBSW-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1nncn1C ZINC001422507794 1010207115 /nfs/dbraw/zinc/20/71/15/1010207115.db2.gz LETHIAAFXUSBSW-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001422537352 1010259789 /nfs/dbraw/zinc/25/97/89/1010259789.db2.gz OJRHUCYEYLWQBO-SNVBAGLBSA-N 0 2 306.370 0.706 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001422537352 1010259796 /nfs/dbraw/zinc/25/97/96/1010259796.db2.gz OJRHUCYEYLWQBO-SNVBAGLBSA-N 0 2 306.370 0.706 20 0 DCADLN CCO[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001443581221 1010510604 /nfs/dbraw/zinc/51/06/04/1010510604.db2.gz PJEVAGJTTVBWJK-GFCCVEGCSA-N 0 2 311.386 0.119 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(C)(F)F)CC1 ZINC001422874379 1010691742 /nfs/dbraw/zinc/69/17/42/1010691742.db2.gz FHHOSNNLDMYUOC-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(C)(F)F)CC1 ZINC001422874379 1010691749 /nfs/dbraw/zinc/69/17/49/1010691749.db2.gz FHHOSNNLDMYUOC-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN Cc1csc(CNCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001422925522 1010745333 /nfs/dbraw/zinc/74/53/33/1010745333.db2.gz LHXNIPKPMAWIPV-UHFFFAOYSA-N 0 2 301.397 0.404 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H](C)[NH2+]Cc2nc(=O)n(C)[nH]2)oc1C ZINC001423038897 1010828922 /nfs/dbraw/zinc/82/89/22/1010828922.db2.gz HWDBABRNWGLUCY-SNVBAGLBSA-N 0 2 321.381 0.569 20 0 DCADLN Cc1cc(C[NH2+][C@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])no1 ZINC001423040739 1010830692 /nfs/dbraw/zinc/83/06/92/1010830692.db2.gz MISKOUJMKNNKPR-MRVPVSSYSA-N 0 2 307.354 0.970 20 0 DCADLN C[C@H](CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001423106988 1010892009 /nfs/dbraw/zinc/89/20/09/1010892009.db2.gz FAPQCVYEMBSEBU-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccco1 ZINC001423135078 1010916952 /nfs/dbraw/zinc/91/69/52/1010916952.db2.gz WMYSRAPMZJZSBF-VHSXEESVSA-N 0 2 305.338 0.598 20 0 DCADLN O=C(NC[C@@H](CO)NCc1cscn1)C(F)C(F)(F)F ZINC001423210872 1010982951 /nfs/dbraw/zinc/98/29/51/1010982951.db2.gz KWSYUXIYSHIEHL-XPUUQOCRSA-N 0 2 315.292 0.610 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cncnc1 ZINC001423562248 1011264512 /nfs/dbraw/zinc/26/45/12/1011264512.db2.gz MDKBWKLEHXFSSH-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cncnc1 ZINC001423562248 1011264526 /nfs/dbraw/zinc/26/45/26/1011264526.db2.gz MDKBWKLEHXFSSH-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN CCCn1nccc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001444994616 1011296897 /nfs/dbraw/zinc/29/68/97/1011296897.db2.gz SKJMWVJORXKHFY-UHFFFAOYSA-N 0 2 321.385 0.321 20 0 DCADLN CCCn1nccc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001444994616 1011296907 /nfs/dbraw/zinc/29/69/07/1011296907.db2.gz SKJMWVJORXKHFY-UHFFFAOYSA-N 0 2 321.385 0.321 20 0 DCADLN O=C(NCC1CN(C(=O)C2=CCOCC2)C1)C(F)C(F)(F)F ZINC001456504421 1011310845 /nfs/dbraw/zinc/31/08/45/1011310845.db2.gz DEFSXVSBNAQIIF-JTQLQIEISA-N 0 2 324.274 0.808 20 0 DCADLN O=C(NCC1CN(C(=O)C2=CCOCC2)C1)[C@H](F)C(F)(F)F ZINC001456504421 1011310864 /nfs/dbraw/zinc/31/08/64/1011310864.db2.gz DEFSXVSBNAQIIF-JTQLQIEISA-N 0 2 324.274 0.808 20 0 DCADLN C[C@H](NC(=O)CCCN1C(=O)CCC1=O)c1nn(C)cc1O ZINC001456795617 1011465806 /nfs/dbraw/zinc/46/58/06/1011465806.db2.gz QQIIXPRPLDEFJQ-VIFPVBQESA-N 0 2 308.338 0.232 20 0 DCADLN C[C@H](CNC(=O)c1cnns1)NC(=O)C(F)C(F)(F)F ZINC001431654980 1011507972 /nfs/dbraw/zinc/50/79/72/1011507972.db2.gz JWGGBPOGLUJBIE-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)c1cnns1)NC(=O)[C@H](F)C(F)(F)F ZINC001431654980 1011507986 /nfs/dbraw/zinc/50/79/86/1011507986.db2.gz JWGGBPOGLUJBIE-XINAWCOVSA-N 0 2 314.264 0.673 20 0 DCADLN Cc1ccc2c(c1)C(=O)O[C@](C)(C(=O)NCc1n[nH]c(=O)[nH]1)C2 ZINC001445413261 1011743477 /nfs/dbraw/zinc/74/34/77/1011743477.db2.gz JTBVWHGQWAXBRY-HNNXBMFYSA-N 0 2 316.317 0.607 20 0 DCADLN CC(C)C[C@]1(C(=O)NCCCc2n[nH]c(=O)[nH]2)CCNC1=O ZINC001457671790 1011799829 /nfs/dbraw/zinc/79/98/29/1011799829.db2.gz QBWJNSSVBXRXAI-AWEZNQCLSA-N 0 2 309.370 0.112 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1(CNC(=O)[C@@H]2C[C@@H](C)CO2)CC1 ZINC001535727291 1011967746 /nfs/dbraw/zinc/96/77/46/1011967746.db2.gz VAIRGHIPKNITTE-MFKMUULPSA-N 0 2 320.393 0.451 20 0 DCADLN CO[C@H](C)C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001432192673 1012114131 /nfs/dbraw/zinc/11/41/31/1012114131.db2.gz IFCSMCGHGYDGKG-RQJHMYQMSA-N 0 2 300.252 0.687 20 0 DCADLN CO[C@H](C)C(=O)NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001432192673 1012114140 /nfs/dbraw/zinc/11/41/40/1012114140.db2.gz IFCSMCGHGYDGKG-RQJHMYQMSA-N 0 2 300.252 0.687 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cccc2nsnc21 ZINC001557702095 1012290912 /nfs/dbraw/zinc/29/09/12/1012290912.db2.gz DPJJJFHUAPXZME-UHFFFAOYSA-N 0 2 303.303 0.823 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cccc2nsnc21 ZINC001557702095 1012290915 /nfs/dbraw/zinc/29/09/15/1012290915.db2.gz DPJJJFHUAPXZME-UHFFFAOYSA-N 0 2 303.303 0.823 20 0 DCADLN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)c2ncc[nH]2)C1=O ZINC001558895740 1012396319 /nfs/dbraw/zinc/39/63/19/1012396319.db2.gz LKZUEPUMCPDJNN-OAHLLOKOSA-N 0 2 313.317 0.912 20 0 DCADLN CC(C)[NH+](C)CC(=O)N(C)CCC[N@H+](C)Cc1cn(C)nn1 ZINC001424340082 1012398486 /nfs/dbraw/zinc/39/84/86/1012398486.db2.gz MUWWSFDPGWEBEE-UHFFFAOYSA-N 0 2 310.446 0.436 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CCN(Cc2ccccc2)C1=O ZINC001545329318 1012561768 /nfs/dbraw/zinc/56/17/68/1012561768.db2.gz FEYDTISNQBIXKT-LLVKDONJSA-N 0 2 315.333 0.175 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)C2=CCOCC2)C1 ZINC001506293100 1017186538 /nfs/dbraw/zinc/18/65/38/1017186538.db2.gz HFEYDQRZIZNLBN-SNVBAGLBSA-N 0 2 324.274 0.903 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)C2=CCOCC2)C1 ZINC001506293100 1017186544 /nfs/dbraw/zinc/18/65/44/1017186544.db2.gz HFEYDQRZIZNLBN-SNVBAGLBSA-N 0 2 324.274 0.903 20 0 DCADLN CC(C)[C@@H]1C[C@H]1NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001478786261 1017389635 /nfs/dbraw/zinc/38/96/35/1017389635.db2.gz MQBCLPVZRNAQCC-DTWKUNHWSA-N 0 2 315.399 0.193 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@]1(C)C=CCC1 ZINC001479235746 1017440205 /nfs/dbraw/zinc/44/02/05/1017440205.db2.gz KHZVZSVCCFXIJF-IAQYHMDHSA-N 0 2 305.382 0.899 20 0 DCADLN C[C@@H](CN(C)C(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001510506167 1017676344 /nfs/dbraw/zinc/67/63/44/1017676344.db2.gz YTEAWLORFXFMFQ-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN CCC[C@H](OCC)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001494583341 1017812532 /nfs/dbraw/zinc/81/25/32/1017812532.db2.gz FRDRHTQJXUUVER-NSHDSACASA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@@H](CNC(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001499298338 1017821103 /nfs/dbraw/zinc/82/11/03/1017821103.db2.gz OCSSJSYDYSHRGY-CAHLUQPWSA-N 0 2 312.223 0.595 20 0 DCADLN CC[C@@H](CNC(=O)c1cnon1)NC(=O)[C@@H](F)C(F)(F)F ZINC001499298338 1017821122 /nfs/dbraw/zinc/82/11/22/1017821122.db2.gz OCSSJSYDYSHRGY-CAHLUQPWSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@@H](Cc1ccco1)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001499756578 1018066182 /nfs/dbraw/zinc/06/61/82/1018066182.db2.gz UZSQFMZYERFHMX-JTQLQIEISA-N 0 2 319.365 0.625 20 0 DCADLN CC(F)(F)C(C)(C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001479969924 1018079691 /nfs/dbraw/zinc/07/96/91/1018079691.db2.gz RVZAILUXAAQNBD-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(Cc2nnnn2C)C1 ZINC001494826877 1018081042 /nfs/dbraw/zinc/08/10/42/1018081042.db2.gz JDTZBKNZXOBNJM-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@H]1CCN(Cc2nnnn2C)C1 ZINC001494826877 1018081050 /nfs/dbraw/zinc/08/10/50/1018081050.db2.gz JDTZBKNZXOBNJM-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN C[C@H](C[NH2+]Cc1ncnn1C(C)(C)C)NC(=O)c1nnc[nH]1 ZINC001497944548 1018531397 /nfs/dbraw/zinc/53/13/97/1018531397.db2.gz JNHJVZKIKSBXSB-SECBINFHSA-N 0 2 306.374 0.059 20 0 DCADLN O=C(NC1CN(C(=O)[C@]23C[C@H]2COC3)C1)C(F)C(F)(F)F ZINC001498224565 1018773528 /nfs/dbraw/zinc/77/35/28/1018773528.db2.gz YMOHAIZDJVGRIH-QXHCQDJKSA-N 0 2 310.247 0.250 20 0 DCADLN O=C(NC1CN(C(=O)[C@]23C[C@H]2COC3)C1)[C@@H](F)C(F)(F)F ZINC001498224565 1018773546 /nfs/dbraw/zinc/77/35/46/1018773546.db2.gz YMOHAIZDJVGRIH-QXHCQDJKSA-N 0 2 310.247 0.250 20 0 DCADLN CCn1nc(C)cc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498387398 1019043304 /nfs/dbraw/zinc/04/33/04/1019043304.db2.gz APUZHQQZWISGSF-SNVBAGLBSA-N 0 2 321.385 0.285 20 0 DCADLN CCn1nc(C)cc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498387398 1019043323 /nfs/dbraw/zinc/04/33/23/1019043323.db2.gz APUZHQQZWISGSF-SNVBAGLBSA-N 0 2 321.385 0.285 20 0 DCADLN O=C(N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001493580623 1019538970 /nfs/dbraw/zinc/53/89/70/1019538970.db2.gz PJUVPXVSKBBRPU-IUCAKERBSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001493580623 1019538977 /nfs/dbraw/zinc/53/89/77/1019538977.db2.gz PJUVPXVSKBBRPU-IUCAKERBSA-N 0 2 322.262 0.935 20 0 DCADLN CCCn1ncnc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001494388317 1020167291 /nfs/dbraw/zinc/16/72/91/1020167291.db2.gz BLVFFBGXFXHGRW-JTQLQIEISA-N 0 2 323.294 0.889 20 0 DCADLN CCCn1ncnc1CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001494388317 1020167297 /nfs/dbraw/zinc/16/72/97/1020167297.db2.gz BLVFFBGXFXHGRW-JTQLQIEISA-N 0 2 323.294 0.889 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001606299154 1170154265 /nfs/dbraw/zinc/15/42/65/1170154265.db2.gz UPHDYGOHUZJNMM-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001606299154 1170154268 /nfs/dbraw/zinc/15/42/68/1170154268.db2.gz UPHDYGOHUZJNMM-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN COC(=O)Cc1occc1C(=O)NCCc1n[nH]c(=S)o1 ZINC001639103614 1171821536 /nfs/dbraw/zinc/82/15/36/1171821536.db2.gz DBHUBFFYEUMATO-UHFFFAOYSA-N 0 2 311.319 0.639 20 0 DCADLN CCC(C)(C)N(C)C(=O)N[C@H](C[NH+]1CCOCC1)C(=O)[O-] ZINC000684895341 548659226 /nfs/dbraw/zinc/65/92/26/548659226.db2.gz VKBVACJHWFTJCO-LLVKDONJSA-N 0 2 301.387 0.602 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000092618616 185329194 /nfs/dbraw/zinc/32/91/94/185329194.db2.gz ASWHJTWLIGIWRU-LLVKDONJSA-N 0 2 321.768 0.989 20 0 DCADLN CO[C@@H](C)CN(C)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000286651002 219103779 /nfs/dbraw/zinc/10/37/79/219103779.db2.gz WJJHYQFHIZXFFB-QMMMGPOBSA-N 0 2 306.322 0.851 20 0 DCADLN COc1ccc(S(O)=CC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000108015286 185498752 /nfs/dbraw/zinc/49/87/52/185498752.db2.gz DFWJJYYVSVJGOG-QFIPXVFZSA-N 0 2 324.402 0.494 20 0 DCADLN CC(=O)C[C@@H]1COCCN1C(=O)COc1c(C)nn(C)c1C ZINC000183851274 186224476 /nfs/dbraw/zinc/22/44/76/186224476.db2.gz XLWKIDMAKGBUHS-CYBMUJFWSA-N 0 2 309.366 0.622 20 0 DCADLN CNC(=O)Cn1cnc(NS(=O)(=O)c2ccc(C)c(C)c2)n1 ZINC000174011010 198280182 /nfs/dbraw/zinc/28/01/82/198280182.db2.gz QJGFRELIUIPRKQ-UHFFFAOYSA-N 0 2 323.378 0.442 20 0 DCADLN CCc1nc(NS(=O)(=O)c2ccc3c(c2)oc(=O)n3C)no1 ZINC000180299377 199142918 /nfs/dbraw/zinc/14/29/18/199142918.db2.gz PVULPSOAFOYIOW-UHFFFAOYSA-N 0 2 324.318 0.878 20 0 DCADLN COCC(=O)N1CCN(C(=O)c2cnc(C)nc2C2CC2)CC1 ZINC000281092431 216073343 /nfs/dbraw/zinc/07/33/43/216073343.db2.gz AQTOTGQWMBMODZ-UHFFFAOYSA-N 0 2 318.377 0.593 20 0 DCADLN COC(=O)[C@@H](C)N(C)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000281146974 216111065 /nfs/dbraw/zinc/11/10/65/216111065.db2.gz IWARCAQYIVOMPQ-SSDOTTSWSA-N 0 2 320.305 0.378 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)NC(=O)/C=C/c1cnn(C)c1C ZINC000156183567 291223823 /nfs/dbraw/zinc/22/38/23/291223823.db2.gz IPAVHDWZJDYMTH-AATRIKPKSA-N 0 2 324.362 0.852 20 0 DCADLN O=C([O-])c1cccc2c1CCCN2C[C@H](O)C[NH+]1CCOCC1 ZINC000323989654 291258963 /nfs/dbraw/zinc/25/89/63/291258963.db2.gz LEYUIKQTZKHBIG-CYBMUJFWSA-N 0 2 320.389 0.831 20 0 DCADLN CC(C)(C)OC(=O)Nc1cccc(C(=O)Nn2cn[nH]c2=O)n1 ZINC000281532576 216366562 /nfs/dbraw/zinc/36/65/62/216366562.db2.gz LRCHOPBIVRHFJD-UHFFFAOYSA-N 0 2 320.309 0.697 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCOCC3)nc[nH+]2)C[C@@]1(C)C(=O)[O-] ZINC000566297074 291291760 /nfs/dbraw/zinc/29/17/60/291291760.db2.gz IEHSAYFSNFPMGD-IAQYHMDHSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCOCC3)[nH+]cn2)C[C@@]1(C)C(=O)[O-] ZINC000566297074 291291762 /nfs/dbraw/zinc/29/17/62/291291762.db2.gz IEHSAYFSNFPMGD-IAQYHMDHSA-N 0 2 306.366 0.860 20 0 DCADLN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1O)c1cc(F)c(O)c(Cl)c1 ZINC000566458403 291303753 /nfs/dbraw/zinc/30/37/53/291303753.db2.gz ZVPJFTIQUWCGLG-RKDXNWHRSA-N 0 2 323.729 0.072 20 0 DCADLN CCCc1nc(=NC(=O)NCC[N@@H+]2CCOC[C@H]2C)s[n-]1 ZINC000566707686 291319553 /nfs/dbraw/zinc/31/95/53/291319553.db2.gz UZGNMHINPXAICK-SNVBAGLBSA-N 0 2 313.427 0.755 20 0 DCADLN CCCc1nc(=NC(=O)NCC[N@H+]2CCOC[C@H]2C)s[n-]1 ZINC000566707686 291319556 /nfs/dbraw/zinc/31/95/56/291319556.db2.gz UZGNMHINPXAICK-SNVBAGLBSA-N 0 2 313.427 0.755 20 0 DCADLN CC(CC[NH+]1CC(=O)N[C@@H](C)C1)CC[N@@H+]1CC(=O)N[C@@H](C)C1 ZINC000566960575 291330450 /nfs/dbraw/zinc/33/04/50/291330450.db2.gz TXMPZVFYCPMUNM-KBPBESRZSA-N 0 2 310.442 0.043 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)c2ncon2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000479597107 241074067 /nfs/dbraw/zinc/07/40/67/241074067.db2.gz XXAKRZFDFWFBQX-ZCFIWIBFSA-N 0 2 316.277 0.618 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000569103592 291461649 /nfs/dbraw/zinc/46/16/49/291461649.db2.gz NKNVKTQAMXPSBA-UWVGGRQHSA-N 0 2 321.333 0.598 20 0 DCADLN O=C([C@@H]1OC[C@@H]2COCC[C@@H]12)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000569344029 291474712 /nfs/dbraw/zinc/47/47/12/291474712.db2.gz XTBIBQXXZPJZJE-WRWGMCAJSA-N 0 2 322.365 0.268 20 0 DCADLN CCC[C@H](NC(=O)c1cc(F)cc2n[nH]nc21)c1nn[nH]n1 ZINC000282467744 217016611 /nfs/dbraw/zinc/01/66/11/217016611.db2.gz LLYFECJWNBEUGT-QMMMGPOBSA-N 0 2 304.289 0.881 20 0 DCADLN CC[C@@H](CSC)NC(=O)NCCCN1C(=O)CNC1=O ZINC000271680177 209121320 /nfs/dbraw/zinc/12/13/20/209121320.db2.gz JRXQWKHTFZLKDA-VIFPVBQESA-N 0 2 302.400 0.369 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)[C@@H]2COC[C@H]2O)c1 ZINC000572476419 291570048 /nfs/dbraw/zinc/57/00/48/291570048.db2.gz XNYUSWOKYBQVES-SQHYZVFZSA-N 0 2 313.375 0.021 20 0 DCADLN C[NH+]1CCN(S(=O)(=O)c2ccc(CCC(=O)[O-])cc2)CC1 ZINC000020226883 182199631 /nfs/dbraw/zinc/19/96/31/182199631.db2.gz XRWVHXNRTDSURZ-UHFFFAOYSA-N 0 2 312.391 0.640 20 0 DCADLN O=C(c1cccc2ncnn21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000572725375 291585054 /nfs/dbraw/zinc/58/50/54/291585054.db2.gz JZNMBJOGDZEMEZ-VIFPVBQESA-N 0 2 313.321 0.573 20 0 DCADLN CNC(=O)C1CN(C(=O)C(=O)Nc2cc(SC)ccc2C)C1 ZINC000274513366 211680020 /nfs/dbraw/zinc/68/00/20/211680020.db2.gz NUOGXLBMHNRUTB-UHFFFAOYSA-N 0 2 321.402 0.860 20 0 DCADLN O=C([O-])[C@H]1CCCN1c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000262171321 304188165 /nfs/dbraw/zinc/18/81/65/304188165.db2.gz VBXOUPIQHBBXNM-NWDGAFQWSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@H]1CCCN1c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000262171321 304188167 /nfs/dbraw/zinc/18/81/67/304188167.db2.gz VBXOUPIQHBBXNM-NWDGAFQWSA-N 0 2 306.366 0.739 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1Cc2ccccc2C1 ZINC000106027238 296284079 /nfs/dbraw/zinc/28/40/79/296284079.db2.gz UFCSURQAQLEHPK-UHFFFAOYSA-N 0 2 308.297 0.767 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2ccc(-n3cnnn3)cc2)n1 ZINC000340076908 253196867 /nfs/dbraw/zinc/19/68/67/253196867.db2.gz LWIQUJMKXAWPOG-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)OCC(=O)N3)cn1 ZINC000340332623 253239473 /nfs/dbraw/zinc/23/94/73/253239473.db2.gz GFUZAPBKQPOBKW-UHFFFAOYSA-N 0 2 308.319 0.552 20 0 DCADLN Cn1cnc(=NC(=O)C(=O)N=c2cc(C3CCCCC3)[nH][nH]2)[nH]1 ZINC000340372723 253244969 /nfs/dbraw/zinc/24/49/69/253244969.db2.gz XCLWRKIEIOZWCS-UHFFFAOYSA-N 0 2 317.353 0.007 20 0 DCADLN CC(=O)c1ccc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)nc1 ZINC000340583790 253280266 /nfs/dbraw/zinc/28/02/66/253280266.db2.gz LGHQFHQQVDXQMI-UHFFFAOYSA-N 0 2 322.346 0.522 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccccc1S(C)(=O)=O ZINC000340969546 253329784 /nfs/dbraw/zinc/32/97/84/253329784.db2.gz SLBDCSKXIDSPDM-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN COCC[C@H](NC(=O)N=c1nc(C(C)(C)C)[nH]s1)C(N)=O ZINC000343317037 253636767 /nfs/dbraw/zinc/63/67/67/253636767.db2.gz FQTGSNSQHCUCKZ-ZETCQYMHSA-N 0 2 315.399 0.269 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2CC[C@@H](O)C2)s1 ZINC000346218412 254023991 /nfs/dbraw/zinc/02/39/91/254023991.db2.gz HRJUPDSBLCQWHO-PHDIDXHHSA-N 0 2 306.369 0.009 20 0 DCADLN C[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)NC(C)(C)C ZINC000352470711 254416070 /nfs/dbraw/zinc/41/60/70/254416070.db2.gz MEKFUFZGYRZELC-LURJTMIESA-N 0 2 301.372 0.022 20 0 DCADLN COc1ccc(C)nc1NS(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000285193368 131146333 /nfs/dbraw/zinc/14/63/33/131146333.db2.gz KYKPGDMAYRNUSE-VIFPVBQESA-N 0 2 301.368 0.617 20 0 DCADLN Cc1cc(N2CCN(C(=O)CNC(=O)[O-])CC2)nc(C(C)C)[nH+]1 ZINC000285641339 131188997 /nfs/dbraw/zinc/18/89/97/131188997.db2.gz KXTIYSDBVDPNMV-UHFFFAOYSA-N 0 2 321.381 0.825 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)CC1 ZINC000035215991 395719787 /nfs/dbraw/zinc/71/97/87/395719787.db2.gz RGBBWNFJBIWHNE-UHFFFAOYSA-N 0 2 315.395 0.438 20 0 DCADLN O=C(c1ccsc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092617810 395732326 /nfs/dbraw/zinc/73/23/26/395732326.db2.gz BGHZRVLQDGELDR-UHFFFAOYSA-N 0 2 307.379 0.508 20 0 DCADLN COc1ccc(Cn2nnnc2SCc2n[nH]c(=O)[nH]2)cc1 ZINC000091578984 395725789 /nfs/dbraw/zinc/72/57/89/395725789.db2.gz HCSAAWBCTKUNHD-UHFFFAOYSA-N 0 2 319.350 0.434 20 0 DCADLN CCC(CC)[C@H](O)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000111655038 395811309 /nfs/dbraw/zinc/81/13/09/395811309.db2.gz ZQYJGTQUPBASMZ-LLVKDONJSA-N 0 2 320.349 0.138 20 0 DCADLN CN(C(=O)c1nc(=O)[nH][nH]1)[C@H]1CCN(c2ccccc2F)C1=O ZINC000112402524 395816770 /nfs/dbraw/zinc/81/67/70/395816770.db2.gz MIDNWBFRJXYJRR-JTQLQIEISA-N 0 2 319.296 0.115 20 0 DCADLN O=C(Nc1cccc(C[NH+]2CCOCC2)c1)c1nc(=O)[nH][n-]1 ZINC000127682536 395915793 /nfs/dbraw/zinc/91/57/93/395915793.db2.gz OOUFQJXXFDBYOO-UHFFFAOYSA-N 0 2 303.322 0.183 20 0 DCADLN CNC(=O)[C@H]1CN(C(=O)c2cc(F)c(F)c(O)c2F)CCO1 ZINC000273504741 395925701 /nfs/dbraw/zinc/92/57/01/395925701.db2.gz RNPZSOATBOZCRK-MRVPVSSYSA-N 0 2 318.251 0.397 20 0 DCADLN COC[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)C ZINC000150751946 395971678 /nfs/dbraw/zinc/97/16/78/395971678.db2.gz WZMWCWBXENCYCK-SNVBAGLBSA-N 0 2 306.322 0.012 20 0 DCADLN CC(=O)c1ccc(S(=O)(=O)Nc2cnn(CCO)c2)cc1 ZINC000067659834 396029913 /nfs/dbraw/zinc/02/99/13/396029913.db2.gz GLCYSXIKRVDDAI-UHFFFAOYSA-N 0 2 309.347 0.879 20 0 DCADLN Cn1cc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)cc1C(N)=O ZINC000277128363 396051192 /nfs/dbraw/zinc/05/11/92/396051192.db2.gz OIJJYPZMGWCXKA-UHFFFAOYSA-N 0 2 320.271 0.520 20 0 DCADLN CCc1ccccc1S(=O)(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000277289439 396060055 /nfs/dbraw/zinc/06/00/55/396060055.db2.gz QRQXADRLJXWYRO-LBPRGKRZSA-N 0 2 323.374 0.946 20 0 DCADLN CCc1ccccc1S(=O)(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000277289439 396060058 /nfs/dbraw/zinc/06/00/58/396060058.db2.gz QRQXADRLJXWYRO-LBPRGKRZSA-N 0 2 323.374 0.946 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CC(C)(O)C3)=N2)cc1 ZINC000277793658 396087633 /nfs/dbraw/zinc/08/76/33/396087633.db2.gz SMSFQMOYVLHEGR-UHFFFAOYSA-N 0 2 303.318 0.793 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(C(=O)N(C)C)c2)c1O ZINC000278242725 396114143 /nfs/dbraw/zinc/11/41/43/396114143.db2.gz ZGIGXGYOILKPRB-NSHDSACASA-N 0 2 319.317 0.376 20 0 DCADLN Cc1cccc(S(=O)(=O)Nc2ccn(CCC(N)=O)n2)c1 ZINC000172097002 396128577 /nfs/dbraw/zinc/12/85/77/396128577.db2.gz SDCDYEOHISMESQ-UHFFFAOYSA-N 0 2 308.363 0.868 20 0 DCADLN CC(=O)N(CCc1ccccc1)CC(=O)NOCC(C)(C)O ZINC000278700225 396140380 /nfs/dbraw/zinc/14/03/80/396140380.db2.gz RMCOUIKPWOVCNP-UHFFFAOYSA-N 0 2 308.378 0.896 20 0 DCADLN CN1CCN(c2cc(N3CC[C@@](C)(C(=O)[O-])C3)nc[nH+]2)CC1=O ZINC000263447591 396217523 /nfs/dbraw/zinc/21/75/23/396217523.db2.gz ZDKLSQZPEUBWIO-OAHLLOKOSA-N 0 2 319.365 0.056 20 0 DCADLN C[C@@H](O)CN(C)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185906732 396336406 /nfs/dbraw/zinc/33/64/06/396336406.db2.gz PPDPIUFTEDDRTK-LLVKDONJSA-N 0 2 319.365 0.906 20 0 DCADLN CNC(=O)C1CN(C(=O)C2=NN(c3ccc(F)cc3)CC2=O)C1 ZINC000186167612 396342950 /nfs/dbraw/zinc/34/29/50/396342950.db2.gz BKQNJAJWOORDSW-UHFFFAOYSA-N 0 2 318.308 0.535 20 0 DCADLN CNC(=O)C1(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CC1 ZINC000289093768 396454564 /nfs/dbraw/zinc/45/45/64/396454564.db2.gz QZAVACFJRGHBGZ-UHFFFAOYSA-N 0 2 301.306 0.230 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(OC(=O)NC)c2)c1O ZINC000292001893 396524173 /nfs/dbraw/zinc/52/41/73/396524173.db2.gz XQIBGYHYSHHNBQ-SNVBAGLBSA-N 0 2 321.289 0.393 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(-c2nnnn2C)c1 ZINC000372564103 396527525 /nfs/dbraw/zinc/52/75/25/396527525.db2.gz SERANFMKEBXIAD-UHFFFAOYSA-N 0 2 319.350 0.411 20 0 DCADLN C[C@@H]1CCN(C(=O)NCC[N@@H+]2CCOC[C@H]2C)C[C@H]1C(=O)[O-] ZINC000581487195 396559046 /nfs/dbraw/zinc/55/90/46/396559046.db2.gz YHBVWWIHOFXRNX-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CCN(C(=O)NCC[N@H+]2CCOC[C@H]2C)C[C@H]1C(=O)[O-] ZINC000581487195 396559047 /nfs/dbraw/zinc/55/90/47/396559047.db2.gz YHBVWWIHOFXRNX-JHJVBQTASA-N 0 2 313.398 0.459 20 0 DCADLN CCCc1nnc(SCC(=O)NOCCSC)n1N ZINC000292912060 396575990 /nfs/dbraw/zinc/57/59/90/396575990.db2.gz NOYCPLBSCPFVPE-UHFFFAOYSA-N 0 2 305.429 0.447 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000581726223 396579118 /nfs/dbraw/zinc/57/91/18/396579118.db2.gz DFZPXGGAKAFQOX-GHMZBOCLSA-N 0 2 315.333 0.845 20 0 DCADLN O=C(COC[C@H]1CCCO1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000584723782 396618445 /nfs/dbraw/zinc/61/84/45/396618445.db2.gz UQVNNDKAMJDOIT-WDEREUQCSA-N 0 2 310.354 0.412 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)NC(=O)NC1CCCCC1 ZINC000314829527 396785871 /nfs/dbraw/zinc/78/58/71/396785871.db2.gz QKGIPKXBFNYOQG-UHFFFAOYSA-N 0 2 315.370 0.178 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)NC(=O)NC1CCCCC1 ZINC000314829527 396785875 /nfs/dbraw/zinc/78/58/75/396785875.db2.gz QKGIPKXBFNYOQG-UHFFFAOYSA-N 0 2 315.370 0.178 20 0 DCADLN C[N@@H+]1CCN(C(=O)Nc2ccc(-n3cccn3)cc2)[C@H](C[NH3+])C1 ZINC000563429536 396732567 /nfs/dbraw/zinc/73/25/67/396732567.db2.gz GMWRWNDRSHKKMS-OAHLLOKOSA-N 0 2 314.393 0.979 20 0 DCADLN O=C(Nc1ccc2c(c1)OCCO2)c1c(=O)[nH][nH]c1C1CC1 ZINC000563528456 396746500 /nfs/dbraw/zinc/74/65/00/396746500.db2.gz UMTLXVGLDLKWBW-LBPRGKRZSA-N 0 2 301.302 0.908 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C2(OC)CCOCC2)s[nH]1 ZINC000634150094 396796518 /nfs/dbraw/zinc/79/65/18/396796518.db2.gz VFGLRRQXBLAQQY-UHFFFAOYSA-N 0 2 315.395 0.976 20 0 DCADLN O=S(=O)(NC[C@@H]1CCC[C@@H](CO)C1)NCC(F)(F)F ZINC000311964377 396761485 /nfs/dbraw/zinc/76/14/85/396761485.db2.gz MBBIXDWIHKKTGR-RKDXNWHRSA-N 0 2 304.334 0.771 20 0 DCADLN O=S(=O)(NC[C@@H]1CCC[C@H](CO)C1)NCC(F)(F)F ZINC000311964370 396761864 /nfs/dbraw/zinc/76/18/64/396761864.db2.gz MBBIXDWIHKKTGR-BDAKNGLRSA-N 0 2 304.334 0.771 20 0 DCADLN CCOC(=O)c1cnc(NC(=O)c2cccn3c(=O)[nH]nc23)[nH]1 ZINC000590356006 396762045 /nfs/dbraw/zinc/76/20/45/396762045.db2.gz OTHOVRIXPQCXAD-UHFFFAOYSA-N 0 2 316.277 0.587 20 0 DCADLN C[C@@H]1CC[C@H](C)[N@H+]1CC(=O)NC[C@](C)(O)C[NH+]1CCOCC1 ZINC000332556225 396887726 /nfs/dbraw/zinc/88/77/26/396887726.db2.gz NQGCZGMABHPWTD-LZWOXQAQSA-N 0 2 313.442 0.059 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3c(c2)nnn3C)nn1C ZINC000634790698 396918612 /nfs/dbraw/zinc/91/86/12/396918612.db2.gz RKVKMEKMDGKCDH-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN O=C([O-])[C@H]1CC[C@@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000630167819 396953147 /nfs/dbraw/zinc/95/31/47/396953147.db2.gz XQMPGOYZJCBDRQ-OUCADQQQSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])[C@H]1CC[C@@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000630167819 396953152 /nfs/dbraw/zinc/95/31/52/396953152.db2.gz XQMPGOYZJCBDRQ-OUCADQQQSA-N 0 2 310.394 0.999 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC000630183230 396955993 /nfs/dbraw/zinc/95/59/93/396955993.db2.gz UNIOOPBFWTZHKI-XHDPSFHLSA-N 0 2 309.366 0.928 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC000630183230 396955997 /nfs/dbraw/zinc/95/59/97/396955997.db2.gz UNIOOPBFWTZHKI-XHDPSFHLSA-N 0 2 309.366 0.928 20 0 DCADLN Cc1cc(C(=O)Nc2cnns2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000571500027 396957995 /nfs/dbraw/zinc/95/79/95/396957995.db2.gz UOHLIARIISZDID-UHFFFAOYSA-N 0 2 304.291 0.848 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)N1CCc2c(cccc2C(=O)[O-])C1 ZINC000630215695 396963049 /nfs/dbraw/zinc/96/30/49/396963049.db2.gz HRJYKXVLKQRQFC-HNNXBMFYSA-N 0 2 318.373 0.990 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)N1CCc2c(cccc2C(=O)[O-])C1 ZINC000630215695 396963053 /nfs/dbraw/zinc/96/30/53/396963053.db2.gz HRJYKXVLKQRQFC-HNNXBMFYSA-N 0 2 318.373 0.990 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@H]2CCCNC2=O)c1 ZINC000591762954 396998530 /nfs/dbraw/zinc/99/85/30/396998530.db2.gz NWFZLADUSLYXDR-RKFFSXRUSA-N 0 2 324.402 0.445 20 0 DCADLN O=C([O-])[C@@]1([NH2+]Cc2cn(-c3ccc(F)cc3)nn2)CCOC1 ZINC000630455621 397026045 /nfs/dbraw/zinc/02/60/45/397026045.db2.gz IFVXWVPTUOKSQU-CQSZACIVSA-N 0 2 306.297 0.740 20 0 DCADLN CCOC(=O)[C@H](CO)NC(=O)c1cc(F)c(F)c(O)c1F ZINC000612556451 397095887 /nfs/dbraw/zinc/09/58/87/397095887.db2.gz BKADXDAFLMYJKT-ZETCQYMHSA-N 0 2 307.224 0.463 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCN(C(=O)c2ccccc2)C1 ZINC000625973464 397043987 /nfs/dbraw/zinc/04/39/87/397043987.db2.gz OBYXMIPLYJYUGQ-LLVKDONJSA-N 0 2 315.333 0.289 20 0 DCADLN COC(=O)c1nccnc1N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000591940924 397045336 /nfs/dbraw/zinc/04/53/36/397045336.db2.gz VEKOATQEFDBSAM-MRVPVSSYSA-N 0 2 304.310 0.471 20 0 DCADLN Cn1cc([C@H]2[C@H](C[N@H+](C)[C@H]3COC[C@H]3O)CCC[NH+]2C)cn1 ZINC000573501942 397174316 /nfs/dbraw/zinc/17/43/16/397174316.db2.gz DZJHJSCDAFWPIQ-ARLBYUKCSA-N 0 2 308.426 0.495 20 0 DCADLN C[C@@H](O)[C@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000613353672 397247704 /nfs/dbraw/zinc/24/77/04/397247704.db2.gz GYTXBKWEJPVYFO-SKDRFNHKSA-N 0 2 303.318 0.873 20 0 DCADLN COC[C@H](O)CCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613143908 397202950 /nfs/dbraw/zinc/20/29/50/397202950.db2.gz KPAATFLVLYNOCQ-LLVKDONJSA-N 0 2 307.306 0.157 20 0 DCADLN O=C(NCCCOCCO)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613362310 397248721 /nfs/dbraw/zinc/24/87/21/397248721.db2.gz KIRXEZDIOGLIOT-UHFFFAOYSA-N 0 2 307.306 0.159 20 0 DCADLN C[C@@H]1CN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CC[C@H]1C(=O)[O-] ZINC000626761217 397233702 /nfs/dbraw/zinc/23/37/02/397233702.db2.gz UCWRUYYRZNFJKJ-GRYCIOLGSA-N 0 2 306.366 0.950 20 0 DCADLN C[C@@H](O)C[N@@H+]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)[C@H](C)C1 ZINC000613657052 397295966 /nfs/dbraw/zinc/29/59/66/397295966.db2.gz YWTMPLDJVVJDBM-AAVRWANBSA-N 0 2 306.410 0.437 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)[C@H](C)C(=O)N(C)C)n1 ZINC000593282838 397348053 /nfs/dbraw/zinc/34/80/53/397348053.db2.gz RIYVNLURODASHZ-MRVPVSSYSA-N 0 2 315.351 0.087 20 0 DCADLN CCc1nsc(NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)n1 ZINC000370206151 397455152 /nfs/dbraw/zinc/45/51/52/397455152.db2.gz JYCWOESBQYDBII-AMIZOPFISA-N 0 2 309.395 0.655 20 0 DCADLN COC(=O)c1[nH]c(C)cc1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000614367035 397428292 /nfs/dbraw/zinc/42/82/92/397428292.db2.gz GMTVONFTKFEWRV-UHFFFAOYSA-N 0 2 311.323 0.664 20 0 DCADLN CCOC(=O)C1([N@H+](C)CC(=O)NCC(=O)[O-])CCCCC1 ZINC000578134973 397394990 /nfs/dbraw/zinc/39/49/90/397394990.db2.gz LORMNVYJJNOCGQ-UHFFFAOYSA-N 0 2 300.355 0.385 20 0 DCADLN CCOC(=O)C1([N@@H+](C)CC(=O)NCC(=O)[O-])CCCCC1 ZINC000578134973 397394995 /nfs/dbraw/zinc/39/49/95/397394995.db2.gz LORMNVYJJNOCGQ-UHFFFAOYSA-N 0 2 300.355 0.385 20 0 DCADLN CC1(C)CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C[C@@H]1O ZINC000614216354 397402159 /nfs/dbraw/zinc/40/21/59/397402159.db2.gz ZYIQTPGOXQQBJB-NSHDSACASA-N 0 2 303.318 0.873 20 0 DCADLN C[C@H](C[NH2+]C1CC[NH+]([C@@H]2CCC[C@@H]2O)CC1)S(C)(=O)=O ZINC000578315857 397417921 /nfs/dbraw/zinc/41/79/21/397417921.db2.gz CTRPHFVSECZZBQ-BNOWGMLFSA-N 0 2 304.456 0.387 20 0 DCADLN Cn1ncc(Cl)c1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518019 397463344 /nfs/dbraw/zinc/46/33/44/397463344.db2.gz DSCHZZCVQCCHLN-ZETCQYMHSA-N 0 2 310.745 0.917 20 0 DCADLN CCN(CCOC)c1cccc(CN2CCOC[C@@H]2C(=O)[O-])[nH+]1 ZINC000579602592 397584114 /nfs/dbraw/zinc/58/41/14/397584114.db2.gz JOVPXTRXMOVXTB-CQSZACIVSA-N 0 2 323.393 0.840 20 0 DCADLN C[C@H]1CN(CC(C)(C)O)CC[N@@H+]1C[C@@H](O)CCC1(O)CCC1 ZINC001647453211 1172906134 /nfs/dbraw/zinc/90/61/34/1172906134.db2.gz RGEMJUUMUDLEAW-GJZGRUSLSA-N 0 2 314.470 0.820 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)s1 ZINC000047251717 158003281 /nfs/dbraw/zinc/00/32/81/158003281.db2.gz KYUXZRSVJZTZBC-UHFFFAOYSA-N 0 2 300.365 0.539 20 0 DCADLN COC(=O)[C@H]1C[C@H](OC)CN1Cc1nc(=O)c2sccc2[nH]1 ZINC000116282379 158163957 /nfs/dbraw/zinc/16/39/57/158163957.db2.gz RFTRKUOAVDOGDF-WCBMZHEXSA-N 0 2 323.374 0.747 20 0 DCADLN CC(C)COc1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC000119046778 158182621 /nfs/dbraw/zinc/18/26/21/158182621.db2.gz HMJWTWOGNATAKP-UHFFFAOYSA-N 0 2 306.326 0.850 20 0 DCADLN Cc1n[nH]cc1CCCNS(=O)(=O)NCC(F)(F)F ZINC000127357986 158272650 /nfs/dbraw/zinc/27/26/50/158272650.db2.gz NDCNUNBGQOPBBP-UHFFFAOYSA-N 0 2 300.306 0.637 20 0 DCADLN C[C@@H]1CCN(S(=O)(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000328723304 159024407 /nfs/dbraw/zinc/02/44/07/159024407.db2.gz AMMROKJHBTZBCZ-NXEZZACHSA-N 0 2 315.399 0.276 20 0 DCADLN O=C(COC[C@@H]1CCOC1)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000329203832 159073082 /nfs/dbraw/zinc/07/30/82/159073082.db2.gz XKVFYCZGQFJBRI-MNOVXSKESA-N 0 2 310.354 0.617 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)[C@H]2COCCO2)[nH]1 ZINC000329541254 159101007 /nfs/dbraw/zinc/10/10/07/159101007.db2.gz DHZQYPZPXWHKEK-WDEREUQCSA-N 0 2 310.354 0.420 20 0 DCADLN Cc1nc2ccc(NS(=O)(=O)c3cnc4n3CCC4)cn2n1 ZINC000330277173 159156363 /nfs/dbraw/zinc/15/63/63/159156363.db2.gz NWMBJJSOTNVALZ-UHFFFAOYSA-N 0 2 318.362 0.981 20 0 DCADLN Cc1[nH+]ccn1CC[N@@H+]1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000330366254 159163300 /nfs/dbraw/zinc/16/33/00/159163300.db2.gz MUQHSOGPPPNCBV-CYBMUJFWSA-N 0 2 300.428 0.453 20 0 DCADLN CCC(=O)Nc1cccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000080973724 286927484 /nfs/dbraw/zinc/92/74/84/286927484.db2.gz OKMYUVZHLZOXPO-UHFFFAOYSA-N 0 2 317.349 0.809 20 0 DCADLN CC(C)COCCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000340927428 287150853 /nfs/dbraw/zinc/15/08/53/287150853.db2.gz LBWRWNPDXNSOQV-UHFFFAOYSA-N 0 2 322.412 0.911 20 0 DCADLN CN(CC(=O)Nc1ccccc1)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000352371131 415152784 /nfs/dbraw/zinc/15/27/84/415152784.db2.gz PEENLKBZMZVTHN-UHFFFAOYSA-N 0 2 321.362 0.700 20 0 DCADLN CCCCCNC(=O)[C@H](C)S(=O)(=O)c1n[nH]c(COC)n1 ZINC000268493249 415225507 /nfs/dbraw/zinc/22/55/07/415225507.db2.gz PCMBMZYWPRVRBB-VIFPVBQESA-N 0 2 318.399 0.420 20 0 DCADLN CC(C)[C@@H](Sc1n[nH]c(=O)[nH]1)C(=O)NC[C@H]1CCCO1 ZINC000332367060 415365773 /nfs/dbraw/zinc/36/57/73/415365773.db2.gz ZKQHYCLIGBNWES-RKDXNWHRSA-N 0 2 300.384 0.922 20 0 DCADLN C[C@H]1OCC[C@@]1(C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000332874184 415499521 /nfs/dbraw/zinc/49/95/21/415499521.db2.gz RJLFSGJQAFHWDY-NXSYQRQQSA-N 0 2 304.306 0.733 20 0 DCADLN Cc1cc(NC(=O)CSc2n[nH]c(=O)[nH]2)ccc1C(N)=O ZINC000353075830 415430780 /nfs/dbraw/zinc/43/07/80/415430780.db2.gz GGUMOUZFZWNHOD-UHFFFAOYSA-N 0 2 307.335 0.648 20 0 DCADLN O=C(CSCc1ccncc1)NCCCc1n[nH]c(=O)[nH]1 ZINC000080973737 415478784 /nfs/dbraw/zinc/47/87/84/415478784.db2.gz GKOOEPKLRQRFTO-UHFFFAOYSA-N 0 2 307.379 0.475 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCCC(=O)N(C)C)c1 ZINC000424611597 287310530 /nfs/dbraw/zinc/31/05/30/287310530.db2.gz XLQXTLSJDUSVMJ-NRFANRHFSA-N 0 2 312.391 0.397 20 0 DCADLN CN(C(=O)N=c1nc(-c2ccccc2)[nH]s1)[C@H]1COC[C@H]1O ZINC000353356673 415517210 /nfs/dbraw/zinc/51/72/10/415517210.db2.gz SXQXIAAOHQLYNZ-WDEREUQCSA-N 0 2 320.374 0.850 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2CCS(=O)CC2)s[nH]1 ZINC000353396238 415530425 /nfs/dbraw/zinc/53/04/25/415530425.db2.gz HHGHLIPUTRAVGJ-UHFFFAOYSA-N 0 2 302.425 0.854 20 0 DCADLN COC(=O)CCNC(=O)C[N@@H+](C)Cc1cc(OC)cc(OC)c1 ZINC000353435607 415540674 /nfs/dbraw/zinc/54/06/74/415540674.db2.gz MSKKRCMWUJUDKF-UHFFFAOYSA-N 0 2 324.377 0.815 20 0 DCADLN CC(=O)Nc1ccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000343550841 415634364 /nfs/dbraw/zinc/63/43/64/415634364.db2.gz HEOWRDZGUHHVDR-UHFFFAOYSA-N 0 2 317.349 0.760 20 0 DCADLN COCc1nc(S(=O)(=O)[C@@H](C)C(=O)Nc2ccccc2)n[nH]1 ZINC000353893665 415684607 /nfs/dbraw/zinc/68/46/07/415684607.db2.gz JVEGHNGZSBOKFF-VIFPVBQESA-N 0 2 324.362 0.752 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C[NH+]1C1CC1 ZINC000333674577 415712782 /nfs/dbraw/zinc/71/27/82/415712782.db2.gz YTCZTXKYMQFAOU-CLWVCHIJSA-N 0 2 322.453 0.774 20 0 DCADLN CCc1nnc(NC(=O)c2ccc(S(N)(=O)=O)o2)s1 ZINC000344132443 415844863 /nfs/dbraw/zinc/84/48/63/415844863.db2.gz XYCHFLMAZHXKSY-UHFFFAOYSA-N 0 2 302.337 0.593 20 0 DCADLN CCOC(=O)[C@@H](NC(=O)c1cn(C)nc1C)[C@H]1CCCOC1 ZINC000334028906 415788295 /nfs/dbraw/zinc/78/82/95/415788295.db2.gz PCDMXGARTZLABS-AAEUAGOBSA-N 0 2 309.366 0.817 20 0 DCADLN CCOc1ncc(C)cc1NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000296134957 415907664 /nfs/dbraw/zinc/90/76/64/415907664.db2.gz PBVCCADEOYMKCE-UHFFFAOYSA-N 0 2 309.351 0.931 20 0 DCADLN CC(C)(C)n1cnc(=NC(=O)C(=O)N2CCC[C@@H](CO)CC2)[nH]1 ZINC000344150316 415852447 /nfs/dbraw/zinc/85/24/47/415852447.db2.gz JXEPIWRIBIYHNP-LLVKDONJSA-N 0 2 323.397 0.015 20 0 DCADLN COCC(=O)N[C@H](C(=O)Nc1ccc2nn[nH]c2c1)C(C)C ZINC000153674556 415986482 /nfs/dbraw/zinc/98/64/82/415986482.db2.gz VDFCAYLPEPLUKW-ZDUSSCGKSA-N 0 2 305.338 0.684 20 0 DCADLN CCc1ccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)cc1 ZINC000344919919 416008661 /nfs/dbraw/zinc/00/86/61/416008661.db2.gz DCRDUPORQZQLEK-UHFFFAOYSA-N 0 2 308.363 0.882 20 0 DCADLN COc1c(NS(=O)(=O)C[C@@H](C)OC)cccc1C(N)=O ZINC000337868673 416017898 /nfs/dbraw/zinc/01/78/98/416017898.db2.gz PPDWXMYYSDDOLS-MRVPVSSYSA-N 0 2 302.352 0.571 20 0 DCADLN CCN(CC)S(=O)(=O)CCNc1nc([C@H](C)OC)ns1 ZINC000337938344 416025050 /nfs/dbraw/zinc/02/50/50/416025050.db2.gz UWQBGQBTHADABL-VIFPVBQESA-N 0 2 322.456 0.751 20 0 DCADLN CCc1csc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)n1 ZINC000357521888 416093018 /nfs/dbraw/zinc/09/30/18/416093018.db2.gz JQXBHYUOYSRQMX-UHFFFAOYSA-N 0 2 314.392 0.944 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000311748582 416106442 /nfs/dbraw/zinc/10/64/42/416106442.db2.gz CLXODUBJBHWDJG-RRFJBIMHSA-N 0 2 318.373 0.724 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000311748582 416106446 /nfs/dbraw/zinc/10/64/46/416106446.db2.gz CLXODUBJBHWDJG-RRFJBIMHSA-N 0 2 318.373 0.724 20 0 DCADLN C[NH+](CCC[N@H+](C)C1(C(N)=O)CCCC1)C1(C(N)=O)CCCC1 ZINC000180697403 416199696 /nfs/dbraw/zinc/19/96/96/416199696.db2.gz BEHJEDYNOVUPKR-UHFFFAOYSA-N 0 2 324.469 0.836 20 0 DCADLN O=C(N[C@@H]1C=C[C@H](CO)C1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000341734958 416227800 /nfs/dbraw/zinc/22/78/00/416227800.db2.gz YPFLYCWNIBVOIW-IONNQARKSA-N 0 2 302.290 0.103 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(N2CCCC2=O)cc1 ZINC000358357777 416227865 /nfs/dbraw/zinc/22/78/65/416227865.db2.gz OONBWZGIELBTDC-UHFFFAOYSA-N 0 2 301.306 0.567 20 0 DCADLN CCN(C)C(=O)c1cccc(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000358368586 416229273 /nfs/dbraw/zinc/22/92/73/416229273.db2.gz WPRYGGXDIJZZRW-UHFFFAOYSA-N 0 2 303.322 0.532 20 0 DCADLN O=S(=O)(Nc1nc2ccccn2n1)c1ccc2c(c1)OCO2 ZINC000358803850 416276717 /nfs/dbraw/zinc/27/67/17/416276717.db2.gz UDKQCRQRJSFTNQ-UHFFFAOYSA-N 0 2 318.314 1.259 20 0 DCADLN CC(C)(CNS(=O)(=O)NCC(F)(F)F)N1CCOCC1 ZINC000195048296 416325116 /nfs/dbraw/zinc/32/51/16/416325116.db2.gz IHDFKAAQWDBXEM-UHFFFAOYSA-N 0 2 319.349 0.084 20 0 DCADLN CC(C)(C)OC(=O)CCNS(=O)(=O)NCC(F)(F)F ZINC000195298093 416325731 /nfs/dbraw/zinc/32/57/31/416325731.db2.gz ALRJBSBHHDKKLW-UHFFFAOYSA-N 0 2 306.306 0.705 20 0 DCADLN CC(C)CN1CCN(S(=O)(=O)NCC(F)(F)F)CC1=O ZINC000195462695 416326415 /nfs/dbraw/zinc/32/64/15/416326415.db2.gz QKHHAWISQNDKBJ-UHFFFAOYSA-N 0 2 317.333 0.183 20 0 DCADLN CCOC(=O)[C@H](C)[C@H](C)NS(=O)(=O)NCC(F)(F)F ZINC000195451344 416326445 /nfs/dbraw/zinc/32/64/45/416326445.db2.gz SMIBPDNKSQORHJ-RQJHMYQMSA-N 0 2 306.306 0.560 20 0 DCADLN Cc1cccc(C(=O)N[C@H](C)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000359835666 416362253 /nfs/dbraw/zinc/36/22/53/416362253.db2.gz XMEMYIAUOSCLAY-SECBINFHSA-N 0 2 303.322 0.253 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc(-c2ncccn2)s1 ZINC000359812818 416360314 /nfs/dbraw/zinc/36/03/14/416360314.db2.gz XLAOSMDHBLZBOS-UHFFFAOYSA-N 0 2 303.307 0.354 20 0 DCADLN O=C(COc1ccc(F)cc1Cl)NCc1n[nH]c(=O)[nH]1 ZINC000359816755 416360328 /nfs/dbraw/zinc/36/03/28/416360328.db2.gz LBRNEDJTMSENGA-UHFFFAOYSA-N 0 2 300.677 0.998 20 0 DCADLN Cc1nc(-c2ncccn2)sc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000359809871 416360413 /nfs/dbraw/zinc/36/04/13/416360413.db2.gz TZYLVKBUIUTBON-UHFFFAOYSA-N 0 2 317.334 0.662 20 0 DCADLN CC(=O)Nc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)nc1 ZINC000360881838 416415665 /nfs/dbraw/zinc/41/56/65/416415665.db2.gz WMSCNAKGNQNUII-UHFFFAOYSA-N 0 2 304.310 0.226 20 0 DCADLN COc1c(NS(=O)(=O)CCOC(C)C)cccc1C(N)=O ZINC000360288040 416384582 /nfs/dbraw/zinc/38/45/82/416384582.db2.gz ZWWAFAJQQWVEJS-UHFFFAOYSA-N 0 2 316.379 0.961 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2cccnc2)cn1 ZINC000360764293 416409014 /nfs/dbraw/zinc/40/90/14/416409014.db2.gz MTUSNPWYRWNZHP-UHFFFAOYSA-N 0 2 313.360 0.681 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1n[nH]c(=O)[n-]1 ZINC000532899465 416466354 /nfs/dbraw/zinc/46/63/54/416466354.db2.gz SXNJZZQBSDXPDB-UHFFFAOYSA-N 0 2 304.310 0.303 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000361133496 416422492 /nfs/dbraw/zinc/42/24/92/416422492.db2.gz XHQYWWVJAIGHDH-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN C[C@@H]1CC[NH2+][C@@H](C(=O)N2CC[NH+](Cc3cnn(C)c3)CC2)C1 ZINC000423433764 416511241 /nfs/dbraw/zinc/51/12/41/416511241.db2.gz PMMOBXQRCNEEPV-UKRRQHHQSA-N 0 2 305.426 0.452 20 0 DCADLN CCOC(=O)[C@](C)(O)CNC(=O)c1cc(F)c(O)c(F)c1 ZINC000436821923 416572378 /nfs/dbraw/zinc/57/23/78/416572378.db2.gz QZXZIRVFIIGOAL-CYBMUJFWSA-N 0 2 303.261 0.714 20 0 DCADLN Nc1noc(CCNC(=O)c2cc(F)c(O)c(Cl)c2)n1 ZINC000436916784 416573918 /nfs/dbraw/zinc/57/39/18/416573918.db2.gz XQPPSMYXTTYREH-UHFFFAOYSA-N 0 2 300.677 1.122 20 0 DCADLN COC(=O)Cn1cnc(NS(=O)(=O)c2ccc(F)cc2)n1 ZINC000437346650 416581990 /nfs/dbraw/zinc/58/19/90/416581990.db2.gz YECOQJNBQPWKGQ-UHFFFAOYSA-N 0 2 314.298 0.391 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N(C)[C@H]1CC[N@H+](C)C[C@@H]1C ZINC000441042540 416658994 /nfs/dbraw/zinc/65/89/94/416658994.db2.gz VCKGWLBVUSOMJW-AAEUAGOBSA-N 0 2 320.393 0.827 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC[C@@H]2C(N)=O)c1 ZINC000424556902 416663043 /nfs/dbraw/zinc/66/30/43/416663043.db2.gz HTOZFRXAGVWUDO-XUSGNXJCSA-N 0 2 310.375 0.279 20 0 DCADLN COc1cccc(S([O-])=CC(=O)NCCc2[nH]cc[nH+]2)c1 ZINC000424666052 416667016 /nfs/dbraw/zinc/66/70/16/416667016.db2.gz OMMUSHSYYMXNMS-OAQYLSRUSA-N 0 2 307.375 0.885 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@]2(CO)CCOC2)c1 ZINC000424784679 416668045 /nfs/dbraw/zinc/66/80/45/416668045.db2.gz RIJGPOCFHPFJJW-LHSJRXKWSA-N 0 2 313.375 0.070 20 0 DCADLN O=C([O-])c1ccc(N2CCN(c3nccc(CO)n3)CC2)[nH+]c1 ZINC000516185306 416717629 /nfs/dbraw/zinc/71/76/29/416717629.db2.gz ZCQRNVASBNBIJE-UHFFFAOYSA-N 0 2 315.333 0.389 20 0 DCADLN C[C@@H]1NC(=O)CC[C@@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000443032209 416726117 /nfs/dbraw/zinc/72/61/17/416726117.db2.gz IKERRVFDBPAKHF-XVKPBYJWSA-N 0 2 303.322 0.071 20 0 DCADLN C[C@@](O)(CNS(=O)(=O)NCC(F)(F)F)C(F)(F)F ZINC000443049924 416728251 /nfs/dbraw/zinc/72/82/51/416728251.db2.gz QIWNXFPPOUQZIU-SCSAIBSYSA-N 0 2 304.212 0.286 20 0 DCADLN O=S(=O)(NC[C@@H]1CC12CCOCC2)NCC(F)(F)F ZINC000443217170 416736801 /nfs/dbraw/zinc/73/68/01/416736801.db2.gz RHQGSJGISVTVPC-QMMMGPOBSA-N 0 2 302.318 0.789 20 0 DCADLN CC(C)C[C@@H]1CCN(c2nc(NC(C)C)nc(NCCO)[nH+]2)C1 ZINC000557629587 416740537 /nfs/dbraw/zinc/74/05/37/416740537.db2.gz WVJBZAGYWCPBOC-ZDUSSCGKSA-N 0 2 322.457 0.812 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc2ccoc2c1 ZINC000443706213 416775407 /nfs/dbraw/zinc/77/54/07/416775407.db2.gz PKFWUODKGXLAQT-UHFFFAOYSA-N 0 2 301.262 0.511 20 0 DCADLN CCn1nc(C[N@H+]2CCN3C(=O)[C@H]([NH3+])C[C@@H]3C2)c2ccccc21 ZINC000521271494 417003564 /nfs/dbraw/zinc/00/35/64/417003564.db2.gz IQVAZVHIOBEVCB-TZMCWYRMSA-N 0 2 313.405 0.800 20 0 DCADLN CC(C)(OCC(=O)NCCCc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC000448315521 417070240 /nfs/dbraw/zinc/07/02/40/417070240.db2.gz DTLGBLLKTZUDON-UHFFFAOYSA-N 0 2 310.276 0.917 20 0 DCADLN Cn1nnnc1CSc1nc(=O)cc(O)n1C1CCCC1 ZINC000436194372 287360304 /nfs/dbraw/zinc/36/03/04/287360304.db2.gz AAPDKHBXCLFGAG-UHFFFAOYSA-N 0 2 308.367 0.880 20 0 DCADLN CCc1n[nH]c(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)c1C ZINC000438222469 287368370 /nfs/dbraw/zinc/36/83/70/287368370.db2.gz DEMMRHSXSSCLBZ-UHFFFAOYSA-N 0 2 311.367 0.519 20 0 DCADLN CCCc1nsc(NC[C@@H]2C[C@@H](c3nnc[nH]3)C[C@@H]2O)n1 ZINC000527566721 417262621 /nfs/dbraw/zinc/26/26/21/417262621.db2.gz WCPAXUIKDZVXNK-UTLUCORTSA-N 0 2 308.411 0.997 20 0 DCADLN CCCc1nsc(NC[C@@H]2C[C@@H](c3nc[nH]n3)C[C@@H]2O)n1 ZINC000527566721 417262623 /nfs/dbraw/zinc/26/26/23/417262623.db2.gz WCPAXUIKDZVXNK-UTLUCORTSA-N 0 2 308.411 0.997 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1ccc(-n2cccn2)nc1 ZINC000570781506 417392865 /nfs/dbraw/zinc/39/28/65/417392865.db2.gz RJZBGZGSOFBOII-UHFFFAOYSA-N 0 2 320.334 0.947 20 0 DCADLN CO[C@H]1COCC[C@H]1CNS(=O)(=O)NCC(F)(F)F ZINC000451795378 417401788 /nfs/dbraw/zinc/40/17/88/417401788.db2.gz ZDOOAEGGLXERNN-YUMQZZPRSA-N 0 2 306.306 0.024 20 0 DCADLN CN(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)N(C)Cc2ccco2)C1 ZINC000451994717 417428050 /nfs/dbraw/zinc/42/80/50/417428050.db2.gz OIQNMTKIKROYNB-ZDUSSCGKSA-N 0 2 322.409 0.974 20 0 DCADLN Cc1ccc2[nH+]c(CNC(=O)c3n[nH]c(=O)[n-]c3=O)cn2c1 ZINC000644123426 417477123 /nfs/dbraw/zinc/47/71/23/417477123.db2.gz YTTSLTJXPMTNLD-UHFFFAOYSA-N 0 2 300.278 0.169 20 0 DCADLN COc1cccc2c1[nH+]cc(C(=O)[O-])c2N1C[C@@H](O)[C@H](CO)C1 ZINC000574991891 417499472 /nfs/dbraw/zinc/49/94/72/417499472.db2.gz NPGOQCMUSDDESG-JOYOIKCWSA-N 0 2 318.329 0.731 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2ccc(C)nc2C)cn1 ZINC000531038122 417603476 /nfs/dbraw/zinc/60/34/76/417603476.db2.gz DEJYVMWKCNJBFY-UHFFFAOYSA-N 0 2 324.362 0.869 20 0 DCADLN C[C@@H]1C[NH+](C2CC2)C[C@@H]1NC(=O)N(C)[C@@H]1CC[N@H+]2CCO[C@H]1C2 ZINC000454663666 417575686 /nfs/dbraw/zinc/57/56/86/417575686.db2.gz KLQMDFWVPLPQNB-BVUBDWEXSA-N 0 2 322.453 0.584 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@H+](C)CCN1CCOC(C)(C)C1 ZINC000635314448 417633991 /nfs/dbraw/zinc/63/39/91/417633991.db2.gz YJWOSIQEQQSTHX-UHFFFAOYSA-N 0 2 310.398 0.661 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@@H+](C)CCN1CCOC(C)(C)C1 ZINC000635314448 417633997 /nfs/dbraw/zinc/63/39/97/417633997.db2.gz YJWOSIQEQQSTHX-UHFFFAOYSA-N 0 2 310.398 0.661 20 0 DCADLN Cc1nc(N2CCN(C(=O)NCCCCC(=O)[O-])CC2)cc[nH+]1 ZINC000635520557 417687785 /nfs/dbraw/zinc/68/77/85/417687785.db2.gz FEMRRYOLVNXXGP-UHFFFAOYSA-N 0 2 321.381 0.872 20 0 DCADLN CNC(=O)NCCC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000645267912 417720789 /nfs/dbraw/zinc/72/07/89/417720789.db2.gz IJJUJGBSCHWNAN-UHFFFAOYSA-N 0 2 305.294 0.288 20 0 DCADLN CC[NH+](CCNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)C1CC1 ZINC000458197510 417729529 /nfs/dbraw/zinc/72/95/29/417729529.db2.gz OHVBTLWWXMEDEO-GASCZTMLSA-N 0 2 310.442 0.633 20 0 DCADLN CCN(C(=O)C(=O)N=c1ncn(C(C)(C)C)[nH]1)[C@H]1CCCOC1 ZINC000652027042 417837392 /nfs/dbraw/zinc/83/73/92/417837392.db2.gz GHVSLRIIKKUYKM-NSHDSACASA-N 0 2 323.397 0.421 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccc3c(c2)CCNC3=O)n1C ZINC000629302994 417775999 /nfs/dbraw/zinc/77/59/99/417775999.db2.gz JIPZAONHAIELJA-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN COCC[N@H+](C)C[C@H](O)C[NH+]1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC000640043995 417779674 /nfs/dbraw/zinc/77/96/74/417779674.db2.gz LRBQMZCAZALCSS-SDDRHHMPSA-N 0 2 314.348 0.028 20 0 DCADLN CCO[C@@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@H]1C ZINC000651836165 417793204 /nfs/dbraw/zinc/79/32/04/417793204.db2.gz LAOIDQCJGJSALJ-VXGBXAGGSA-N 0 2 323.397 0.493 20 0 DCADLN COCc1nnc(CNS(=O)(=O)C[C@H]2CCCC2(F)F)[nH]1 ZINC000657105109 417812223 /nfs/dbraw/zinc/81/22/23/417812223.db2.gz SEDPATMNWZTUPG-MRVPVSSYSA-N 0 2 324.353 0.806 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N(C)[C@H](C)C2CC2)[nH]1 ZINC000657103574 417812931 /nfs/dbraw/zinc/81/29/31/417812931.db2.gz HKSJENULJZBWMR-MRVPVSSYSA-N 0 2 303.388 0.016 20 0 DCADLN COCc1n[nH]c(CNS(=O)(=O)c2c(C)noc2C)n1 ZINC000657105059 417813111 /nfs/dbraw/zinc/81/31/11/417813111.db2.gz XZWADJPUBDNSOC-UHFFFAOYSA-N 0 2 301.328 0.034 20 0 DCADLN COCc1nc(CNS(=O)(=O)c2c(C)noc2C)n[nH]1 ZINC000657105059 417813115 /nfs/dbraw/zinc/81/31/15/417813115.db2.gz XZWADJPUBDNSOC-UHFFFAOYSA-N 0 2 301.328 0.034 20 0 DCADLN CC1(c2nc(=NC(=O)C[C@H]3CCCS3(=O)=O)s[nH]2)CC1 ZINC000636254015 417913202 /nfs/dbraw/zinc/91/32/02/417913202.db2.gz ROVACRHVNBOGOD-MRVPVSSYSA-N 0 2 315.420 0.917 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@]2(C)CCN(C)C2=O)s[nH]1 ZINC000636312372 417930662 /nfs/dbraw/zinc/93/06/62/417930662.db2.gz MLBUEOZSFCLPGG-ZDUSSCGKSA-N 0 2 312.395 0.648 20 0 DCADLN CCO[C@@H]1C[C@@H](NC(=O)N=c2cccn[nH]2)[C@H]1n1cccn1 ZINC000652678365 417942666 /nfs/dbraw/zinc/94/26/66/417942666.db2.gz PLRFFQAVEPFOOL-NQBHXWOUSA-N 0 2 302.338 0.635 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC[C@]2(O)CCOC2)cn1 ZINC000652802499 417971108 /nfs/dbraw/zinc/97/11/08/417971108.db2.gz KEHNCGGQUHGGJJ-AWEZNQCLSA-N 0 2 305.338 0.082 20 0 DCADLN Cc1cc(C)n2nc(NC(=O)c3c(=O)[nH][nH]c3C(C)C)nc2n1 ZINC000663219752 418001233 /nfs/dbraw/zinc/00/12/33/418001233.db2.gz RSYXDGIILBUBKM-SECBINFHSA-N 0 2 315.337 0.438 20 0 DCADLN CS(=O)(=O)N1CCC(CNc2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000647452413 418011688 /nfs/dbraw/zinc/01/16/88/418011688.db2.gz YUBFSMDHDVQJFZ-UHFFFAOYSA-N 0 2 313.379 0.285 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)C(=O)NCCCn1cc[nH+]c1)C1CCC1 ZINC000663618996 418038240 /nfs/dbraw/zinc/03/82/40/418038240.db2.gz OVPYBBYZBZHQSE-LBPRGKRZSA-N 0 2 322.365 0.007 20 0 DCADLN O=C(c1cccc(C(=O)N2CCCCN2)c1O)N1CCCCN1 ZINC000647693368 418042343 /nfs/dbraw/zinc/04/23/43/418042343.db2.gz KEDPRUKOHMBQBW-UHFFFAOYSA-N 0 2 318.377 0.873 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+](Cc3ccncc3)CC2)CCC1 ZINC000663038706 417980584 /nfs/dbraw/zinc/98/05/84/417980584.db2.gz RCKJFDVAUVHCFR-UHFFFAOYSA-N 0 2 303.362 0.981 20 0 DCADLN CCN(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@H]1CC[NH+](C)C1 ZINC000663898458 418065715 /nfs/dbraw/zinc/06/57/15/418065715.db2.gz FKMBKSIXNDUGLB-AWEZNQCLSA-N 0 2 312.458 0.833 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000664240718 418101095 /nfs/dbraw/zinc/10/10/95/418101095.db2.gz QCXUZWRWZZJIHQ-KBPBESRZSA-N 0 2 316.409 0.914 20 0 DCADLN Cc1ccc(-n2[n-]nnc2=NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000664240718 418101098 /nfs/dbraw/zinc/10/10/98/418101098.db2.gz QCXUZWRWZZJIHQ-KBPBESRZSA-N 0 2 316.409 0.914 20 0 DCADLN COCC1(CNC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)CC1 ZINC000654092623 418126378 /nfs/dbraw/zinc/12/63/78/418126378.db2.gz FMVAKLIFCRINOI-UHFFFAOYSA-N 0 2 318.333 0.901 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000659786340 418181989 /nfs/dbraw/zinc/18/19/89/418181989.db2.gz WBFAOIDMLYHBND-DZGCQCFKSA-N 0 2 319.405 0.640 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000659786340 418181993 /nfs/dbraw/zinc/18/19/93/418181993.db2.gz WBFAOIDMLYHBND-DZGCQCFKSA-N 0 2 319.405 0.640 20 0 DCADLN C[C@]1(CCC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC(=O)N1 ZINC000648893712 418171759 /nfs/dbraw/zinc/17/17/59/418171759.db2.gz URCGBJFVZYLYAP-MEBBXXQBSA-N 0 2 321.381 0.665 20 0 DCADLN O=C(NC[C@H]1C[NH2+]CCO1)[C@H]1CCC[N@@H+]1Cc1ccccn1 ZINC000649367748 418248538 /nfs/dbraw/zinc/24/85/38/418248538.db2.gz LMSVQRSQGPWIIQ-HUUCEWRRSA-N 0 2 304.394 0.151 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000649399764 418250288 /nfs/dbraw/zinc/25/02/88/418250288.db2.gz JVNUYFDABDJXLG-YNEHKIRRSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000649399764 418250290 /nfs/dbraw/zinc/25/02/90/418250290.db2.gz JVNUYFDABDJXLG-YNEHKIRRSA-N 0 2 320.393 0.985 20 0 DCADLN CC[C@@H](C)C[C@@H](CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000649051038 418187284 /nfs/dbraw/zinc/18/72/84/418187284.db2.gz JTKGFARJEOKBQB-SCZZXKLOSA-N 0 2 320.349 0.963 20 0 DCADLN C[C@@]1(C[C@@H]2CCCN2c2cc[nH+]c(C(=O)[O-])c2)NC(=O)NC1=O ZINC000650770957 418323263 /nfs/dbraw/zinc/32/32/63/418323263.db2.gz IWUXKWXCRSRNSA-BONVTDFDSA-N 0 2 318.333 0.737 20 0 DCADLN O=C([O-])c1cc(N2CCN(CC(=O)N3CCCC3)CC2)cc[nH+]1 ZINC000650765897 418323917 /nfs/dbraw/zinc/32/39/17/418323917.db2.gz LAXROMXHOFKGEV-UHFFFAOYSA-N 0 2 318.377 0.524 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)COc2cc(C)cc(C)c2)[nH]n1 ZINC000650814323 418325829 /nfs/dbraw/zinc/32/58/29/418325829.db2.gz LCFTZQANVCTDDJ-UHFFFAOYSA-N 0 2 314.345 0.892 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)c2ccc(C(=O)[O-])cn2)CCO1 ZINC000649461958 418255570 /nfs/dbraw/zinc/25/55/70/418255570.db2.gz TUARSPHXERTQCQ-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)c2ccc(C(=O)[O-])cn2)CCO1 ZINC000649461958 418255573 /nfs/dbraw/zinc/25/55/73/418255573.db2.gz TUARSPHXERTQCQ-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000655598212 418279643 /nfs/dbraw/zinc/27/96/43/418279643.db2.gz YZRFOUWSGLPVIC-STQMWFEESA-N 0 2 314.345 0.653 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H]2CCC[C@@H]3OCC[C@H]32)[nH]1 ZINC000651622390 418397644 /nfs/dbraw/zinc/39/76/44/418397644.db2.gz XRPCKLMSYIXCBZ-TUAOUCFPSA-N 0 2 321.381 0.293 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1n[nH]c(C)c1C)[C@@H]1CCOC1 ZINC000656730096 418374263 /nfs/dbraw/zinc/37/42/63/418374263.db2.gz FGNPQMFZHKENPS-MNOVXSKESA-N 0 2 303.384 0.820 20 0 DCADLN COc1cncc(NS(=O)(=O)C[C@@H](OC)[C@H]2CCOC2)n1 ZINC000656734743 418374718 /nfs/dbraw/zinc/37/47/18/418374718.db2.gz HRMDFTPEOHCDPT-VHSXEESVSA-N 0 2 317.367 0.278 20 0 DCADLN Cc1ccccc1CCNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651496321 418384364 /nfs/dbraw/zinc/38/43/64/418384364.db2.gz SMWAWEFPASXDJX-UHFFFAOYSA-N 0 2 315.377 0.887 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCS[C@H](C)[C@@H]2C)[nH]1 ZINC000651576722 418392912 /nfs/dbraw/zinc/39/29/12/418392912.db2.gz PEQBZHWEVPBNCY-VHSXEESVSA-N 0 2 311.411 0.572 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N(C)CC[NH+]1CCCCC1 ZINC000650844322 418327870 /nfs/dbraw/zinc/32/78/70/418327870.db2.gz CMZHTDOLGAFQSZ-HNNXBMFYSA-N 0 2 312.458 0.834 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCCO1 ZINC000651771091 418409577 /nfs/dbraw/zinc/40/95/77/418409577.db2.gz NMHNGFLCDBYKKF-LLVKDONJSA-N 0 2 309.370 0.247 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cc3c(cn2)CCC3)cc1C(N)=O ZINC000451050980 287455070 /nfs/dbraw/zinc/45/50/70/287455070.db2.gz SSCOQXHTRQZLKM-UHFFFAOYSA-N 0 2 320.374 0.809 20 0 DCADLN CC(C)(CO)C(C)(C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000359516882 261752286 /nfs/dbraw/zinc/75/22/86/261752286.db2.gz UMICSWBRMDMEGG-UHFFFAOYSA-N 0 2 320.349 0.963 20 0 DCADLN CN(C)C(=O)c1cccc(S(=O)(=O)Nc2cncnc2)c1 ZINC000362219379 262011273 /nfs/dbraw/zinc/01/12/73/262011273.db2.gz VSGOBEDPNNBLAC-UHFFFAOYSA-N 0 2 306.347 0.979 20 0 DCADLN C[C@@H]1C[N@H+](CC2CC[NH+](Cc3nnnn3C)CC2)C[C@H](C)O1 ZINC000363660829 262125843 /nfs/dbraw/zinc/12/58/43/262125843.db2.gz OHOWICVZGUVXPE-BETUJISGSA-N 0 2 308.430 0.531 20 0 DCADLN COC(=O)c1cc(C[NH2+]C[C@@H]2C[N@@H+]3CCC[C@H]3CO2)cn1C ZINC000368017258 262144326 /nfs/dbraw/zinc/14/43/26/262144326.db2.gz HMHNKKGYVVHXNV-UONOGXRCSA-N 0 2 307.394 0.765 20 0 DCADLN CCCN1C[C@H](CNS(=O)(=O)NCC(F)(F)F)CC1=O ZINC000420710859 262381987 /nfs/dbraw/zinc/38/19/87/262381987.db2.gz WRJXYHMGXOAHAS-QMMMGPOBSA-N 0 2 317.333 0.231 20 0 DCADLN CC[C@@H](CS(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)OC ZINC000420721554 262383064 /nfs/dbraw/zinc/38/30/64/262383064.db2.gz ILVABPNNNWQQTE-UWVGGRQHSA-N 0 2 318.399 0.445 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc(C)c(C(N)=O)c2)nn1C ZINC000427631806 271434354 /nfs/dbraw/zinc/43/43/54/271434354.db2.gz AKQMXLRMBKHRKR-UHFFFAOYSA-N 0 2 309.351 0.332 20 0 DCADLN COC(=O)[C@H]1CCN(S(=O)(=O)NCC(F)(F)F)[C@@H]1C ZINC000443228467 271702238 /nfs/dbraw/zinc/70/22/38/271702238.db2.gz YSLJYJDUTUZVGH-RQJHMYQMSA-N 0 2 304.290 0.267 20 0 DCADLN COc1cncc(/C=C/C(=O)NS(=O)(=O)c2ccnn2C)c1 ZINC000492594089 272136627 /nfs/dbraw/zinc/13/66/27/272136627.db2.gz RLOXGOKFONJRLS-ONEGZZNKSA-N 0 2 322.346 0.342 20 0 DCADLN Cc1noc(C)c1S(=O)(=O)NC(=O)/C=C\c1ccncn1 ZINC000492764603 272148000 /nfs/dbraw/zinc/14/80/00/272148000.db2.gz PQNQEIDJUZIGOH-ARJAWSKDSA-N 0 2 308.319 0.600 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C\c1cccnc1 ZINC000493643124 272201573 /nfs/dbraw/zinc/20/15/73/272201573.db2.gz LWNRPDMFLXGKSI-WAYWQWQTSA-N 0 2 306.347 0.642 20 0 DCADLN CCOC(=O)NCCC(=O)NS(=O)(=O)c1cc(C)oc1C ZINC000494608770 272226378 /nfs/dbraw/zinc/22/63/78/272226378.db2.gz UKJYLFKNNFAQEP-UHFFFAOYSA-N 0 2 318.351 0.838 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCCC(=O)OC)cn1 ZINC000494894648 272233308 /nfs/dbraw/zinc/23/33/08/272233308.db2.gz BFWYQGRRXLUOHA-UHFFFAOYSA-N 0 2 305.338 0.976 20 0 DCADLN O=C(N[C@H]1CC[C@H](O)CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000512640558 272398003 /nfs/dbraw/zinc/39/80/03/272398003.db2.gz XPZHFHZACYRNDL-KYZUINATSA-N 0 2 304.306 0.469 20 0 DCADLN COC[C@H](NC(=O)c1cc(F)c(F)c(O)c1F)C(=O)OC ZINC000543605739 287960816 /nfs/dbraw/zinc/96/08/16/287960816.db2.gz VFYQNZGYBZZQEN-ZETCQYMHSA-N 0 2 307.224 0.727 20 0 DCADLN CCc1nncn1CCNC(=O)c1ccc([N+](=O)[O-])cc1O ZINC000547477338 288050949 /nfs/dbraw/zinc/05/09/49/288050949.db2.gz HOVNSDJUTHUXJH-UHFFFAOYSA-N 0 2 305.294 0.884 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)CSCC(N)=O ZINC000549730959 288202586 /nfs/dbraw/zinc/20/25/86/288202586.db2.gz OFLGSALWOVABPC-UHFFFAOYSA-N 0 2 321.362 0.643 20 0 DCADLN CC(C)c1noc(=NC[C@@H]2CC(=O)N(C)[C@H]2c2[nH+]ccn2C)[n-]1 ZINC000551777135 288235217 /nfs/dbraw/zinc/23/52/17/288235217.db2.gz XYQIRLAXPRBHJY-CMPLNLGQSA-N 0 2 318.381 0.980 20 0 DCADLN CC1(C)NC(=O)CC[C@H]1NS(=O)(=O)NCC(F)(F)F ZINC000338426376 281390969 /nfs/dbraw/zinc/39/09/69/281390969.db2.gz FGRJROTXACXFNG-ZCFIWIBFSA-N 0 2 303.306 0.030 20 0 DCADLN Cn1nccc1[C@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000558728791 288459472 /nfs/dbraw/zinc/45/94/72/288459472.db2.gz AMYGSNAVMHFQEF-VIFPVBQESA-N 0 2 322.394 0.742 20 0 DCADLN CN(C)C(=O)c1cccc(NC(=O)C[N@@H+]2CCC[C@@H]2C(=O)[O-])c1 ZINC000262153444 289032879 /nfs/dbraw/zinc/03/28/79/289032879.db2.gz RMCPGTKHUJGQER-CYBMUJFWSA-N 0 2 319.361 0.876 20 0 DCADLN CN(C)C(=O)c1cccc(NC(=O)C[N@H+]2CCC[C@@H]2C(=O)[O-])c1 ZINC000262153444 289032881 /nfs/dbraw/zinc/03/28/81/289032881.db2.gz RMCPGTKHUJGQER-CYBMUJFWSA-N 0 2 319.361 0.876 20 0 DCADLN C[C@@H]1C[NH+]=C(N2CCN(c3cnc(C(=O)[O-])cn3)CC2)S1 ZINC000263421515 297101405 /nfs/dbraw/zinc/10/14/05/297101405.db2.gz PQGOBDSTZOLHSY-SECBINFHSA-N 0 2 307.379 0.788 20 0 DCADLN Cc1cc(C)c(S(=O)(=O)N2CC[NH+](C)CC2)cc1C(=O)[O-] ZINC000037246313 301193773 /nfs/dbraw/zinc/19/37/73/301193773.db2.gz RKAJPOXDDGQLJV-UHFFFAOYSA-N 0 2 312.391 0.938 20 0 DCADLN Cc1cc(C(=O)N2CCn3nccc32)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000570949739 333145392 /nfs/dbraw/zinc/14/53/92/333145392.db2.gz KSCPZLBIAUJNSE-UHFFFAOYSA-N 0 2 312.289 0.601 20 0 DCADLN [NH3+]CC(F)(F)CNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001601183159 1159780340 /nfs/dbraw/zinc/78/03/40/1159780340.db2.gz RJOPCWKYPOBPEM-UHFFFAOYSA-N 0 2 312.269 0.396 20 0 DCADLN C[C@@H](CC(=O)[O-])[NH+]1CCN(S(=O)(=O)c2cscn2)CC1 ZINC001604114069 1159788053 /nfs/dbraw/zinc/78/80/53/1159788053.db2.gz AIDFCCMLEFSGEL-VIFPVBQESA-N 0 2 319.408 0.313 20 0 DCADLN C[C@H](CS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1)C1CC1 ZINC001604206339 1159788551 /nfs/dbraw/zinc/78/85/51/1159788551.db2.gz HAJRJVGBZJNSPS-LLVKDONJSA-N 0 2 304.412 0.455 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cncnc2-n2cccn2)cn1 ZINC000163320947 523452225 /nfs/dbraw/zinc/45/22/25/523452225.db2.gz PJTBTTJIWPMMMQ-UHFFFAOYSA-N 0 2 319.350 0.680 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnc3ccccc3n2)C1=O ZINC000267614298 523734071 /nfs/dbraw/zinc/73/40/71/523734071.db2.gz XMNQSTAECDMCTA-OAHLLOKOSA-N 0 2 313.317 0.995 20 0 DCADLN CO[C@H]1CCCN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000266837655 523971246 /nfs/dbraw/zinc/97/12/46/523971246.db2.gz NODWMZHSRKWJDF-VIFPVBQESA-N 0 2 318.333 0.995 20 0 DCADLN CC[NH+]1CCC(NC(=O)C[N@H+](C)CC(=O)N2CCCC2)CC1 ZINC000053149924 545655777 /nfs/dbraw/zinc/65/57/77/545655777.db2.gz QIEFVGLUKVOHLY-UHFFFAOYSA-N 0 2 310.442 0.141 20 0 DCADLN C[C@H](NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CCC1 ZINC000157553771 545853425 /nfs/dbraw/zinc/85/34/25/545853425.db2.gz RHRXMXXAYRBMPL-ZETCQYMHSA-N 0 2 324.362 0.078 20 0 DCADLN O=c1[nH]nc(CNc2ncc(I)cn2)[nH]1 ZINC000306997080 546021260 /nfs/dbraw/zinc/02/12/60/546021260.db2.gz UYXLETKPTXXELO-UHFFFAOYSA-N 0 2 318.078 0.105 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000357148491 546186886 /nfs/dbraw/zinc/18/68/86/546186886.db2.gz KVTNHRLRFLTQCW-UHFFFAOYSA-N 0 2 301.349 0.658 20 0 DCADLN CC[C@H]1C(=O)NCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000668392596 546752379 /nfs/dbraw/zinc/75/23/79/546752379.db2.gz SYZCLAOXDBFLSU-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN CC[C@@H]1CN(C(=O)c2n[nH]c(C)c2O)C[C@@H](C)S1(=O)=O ZINC000672514980 547368347 /nfs/dbraw/zinc/36/83/47/547368347.db2.gz TVVCNRDDAIXJEF-VXNVDRBHSA-N 0 2 301.368 0.461 20 0 DCADLN Cc1ccccc1CNC(=O)[C@@H](C)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231850 547748032 /nfs/dbraw/zinc/74/80/32/547748032.db2.gz QQSAOUYCESNSMD-TZMCWYRMSA-N 0 2 306.362 0.785 20 0 DCADLN Cc1ccccc1CNC(=O)[C@@H](C)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231850 547748035 /nfs/dbraw/zinc/74/80/35/547748035.db2.gz QQSAOUYCESNSMD-TZMCWYRMSA-N 0 2 306.362 0.785 20 0 DCADLN C[C@H]1COCCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000676537396 547786129 /nfs/dbraw/zinc/78/61/29/547786129.db2.gz RNSQEENRYMNDKH-QMMMGPOBSA-N 0 2 304.306 0.687 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[N@@H+](Cc3cnn(C)c3)CC2)c1[O-] ZINC000676630819 547797066 /nfs/dbraw/zinc/79/70/66/547797066.db2.gz AKFPDWPZPTXZML-UHFFFAOYSA-N 0 2 318.381 0.505 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[N@H+](Cc3cnn(C)c3)CC2)c1[O-] ZINC000676630819 547797071 /nfs/dbraw/zinc/79/70/71/547797071.db2.gz AKFPDWPZPTXZML-UHFFFAOYSA-N 0 2 318.381 0.505 20 0 DCADLN Cc1cccc2[nH]c(CNC(=O)CC[C@H]3NC(=O)NC3=O)nc21 ZINC000677967320 547930865 /nfs/dbraw/zinc/93/08/65/547930865.db2.gz XXRXBVQMDYJOLV-SNVBAGLBSA-N 0 2 315.333 0.476 20 0 DCADLN Cc1cccc2nc(CNC(=O)CC[C@H]3NC(=O)NC3=O)[nH]c21 ZINC000677967320 547930868 /nfs/dbraw/zinc/93/08/68/547930868.db2.gz XXRXBVQMDYJOLV-SNVBAGLBSA-N 0 2 315.333 0.476 20 0 DCADLN CS(=O)(=O)c1ccc2c(c1)N(Cc1n[nH]c(=O)[nH]1)CCC2 ZINC000678717334 548000152 /nfs/dbraw/zinc/00/01/52/548000152.db2.gz OSESZGHTCVRKTA-UHFFFAOYSA-N 0 2 308.363 0.867 20 0 DCADLN CN1C(=O)CC[C@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000681205233 548216800 /nfs/dbraw/zinc/21/68/00/548216800.db2.gz ZVMSRFOWMUORPG-JTQLQIEISA-N 0 2 302.290 0.589 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC(C)(CO)CO)=N2)cc1 ZINC000681339501 548238630 /nfs/dbraw/zinc/23/86/30/548238630.db2.gz OOFUJPSEEFDRDO-UHFFFAOYSA-N 0 2 321.333 0.060 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H](C(=O)NCC(F)(F)F)C2)c1O ZINC000681757240 548290611 /nfs/dbraw/zinc/29/06/11/548290611.db2.gz RTDITCCMPXXUID-ZETCQYMHSA-N 0 2 320.271 0.564 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc(NC(=O)C2CC2)c1 ZINC000682832773 548429926 /nfs/dbraw/zinc/42/99/26/548429926.db2.gz IFODCWXOTDZPOG-UHFFFAOYSA-N 0 2 321.362 0.964 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(-n2cncn2)cn1 ZINC000682901067 548437224 /nfs/dbraw/zinc/43/72/24/548437224.db2.gz WZMGNQOWPIFWPI-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN C[C@]1(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CCC(=O)NC1 ZINC000684899458 548659390 /nfs/dbraw/zinc/65/93/90/548659390.db2.gz JQQHQYICQCRHDP-HNNXBMFYSA-N 0 2 316.317 0.885 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)cc1S(=O)(=O)Nc1cnn(C)c1 ZINC000737301699 598930788 /nfs/dbraw/zinc/93/07/88/598930788.db2.gz QUPSIWIVICZCNS-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN COC(=O)/C(C)=C\Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC000821639232 599342486 /nfs/dbraw/zinc/34/24/86/599342486.db2.gz SQFBDWABQGOWEI-ALCCZGGFSA-N 0 2 304.310 0.160 20 0 DCADLN CCc1nn(Cc2ncc(C)o2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736708590 599166883 /nfs/dbraw/zinc/16/68/83/599166883.db2.gz YGQPTDNUEIHXAT-UHFFFAOYSA-N 0 2 315.337 0.893 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000818578938 597534772 /nfs/dbraw/zinc/53/47/72/597534772.db2.gz FGPPYYICNDEAQY-LLVKDONJSA-N 0 2 306.318 0.358 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000818578938 597534773 /nfs/dbraw/zinc/53/47/73/597534773.db2.gz FGPPYYICNDEAQY-LLVKDONJSA-N 0 2 306.318 0.358 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NCC2(C)CCCC2)n(C)c1=O ZINC000737598076 598538188 /nfs/dbraw/zinc/53/81/88/598538188.db2.gz YBDVOGMERUFNES-UHFFFAOYSA-N 0 2 319.369 0.256 20 0 DCADLN CCc1nn(C[C@@H]2CCOC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705605 598642287 /nfs/dbraw/zinc/64/22/87/598642287.db2.gz LLAYBJFQLWZRTM-VIFPVBQESA-N 0 2 304.354 0.585 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCc2ccc(C(=O)[O-])cc2)CCO1 ZINC000736813142 599735033 /nfs/dbraw/zinc/73/50/33/599735033.db2.gz YSYGDEYARQWECX-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCc2ccc(C(=O)[O-])cc2)CCO1 ZINC000736813142 599735034 /nfs/dbraw/zinc/73/50/34/599735034.db2.gz YSYGDEYARQWECX-LBPRGKRZSA-N 0 2 321.377 0.905 20 0 DCADLN O=C([O-])NCCC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000739937636 599742611 /nfs/dbraw/zinc/74/26/11/599742611.db2.gz CYCFLMFHCRJTKV-UHFFFAOYSA-N 0 2 321.377 0.793 20 0 DCADLN Cc1ccc(OCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000314067181 599777227 /nfs/dbraw/zinc/77/72/27/599777227.db2.gz BRDXKZUGQIWPJM-ZDUSSCGKSA-N 0 2 303.318 0.909 20 0 DCADLN Cc1ccc(OCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000314067181 599777230 /nfs/dbraw/zinc/77/72/30/599777230.db2.gz BRDXKZUGQIWPJM-ZDUSSCGKSA-N 0 2 303.318 0.909 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCCCCCC(=O)[O-])CCO1 ZINC000736809512 599793553 /nfs/dbraw/zinc/79/35/53/599793553.db2.gz LQNVTFHWROKSIN-GFCCVEGCSA-N 0 2 301.387 0.651 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCCCCCC(=O)[O-])CCO1 ZINC000736809512 599793554 /nfs/dbraw/zinc/79/35/54/599793554.db2.gz LQNVTFHWROKSIN-GFCCVEGCSA-N 0 2 301.387 0.651 20 0 DCADLN O=C([O-])C1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)CC1 ZINC000262081353 599913997 /nfs/dbraw/zinc/91/39/97/599913997.db2.gz FFVMWEJJFPXQSX-LBPRGKRZSA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])C1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)CC1 ZINC000262081353 599913999 /nfs/dbraw/zinc/91/39/99/599913999.db2.gz FFVMWEJJFPXQSX-LBPRGKRZSA-N 0 2 320.393 0.986 20 0 DCADLN COC(=O)C1CC[NH+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])CC1 ZINC000737586564 599967545 /nfs/dbraw/zinc/96/75/45/599967545.db2.gz AUFYWESYRTUTSA-GFCCVEGCSA-N 0 2 314.382 0.487 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NC1CCC(C(=O)[O-])CC1 ZINC000736823561 600023524 /nfs/dbraw/zinc/02/35/24/600023524.db2.gz XQCXMPYLPRHRJX-HIFPTAJRSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NC1CCC(C(=O)[O-])CC1 ZINC000736823561 600023521 /nfs/dbraw/zinc/02/35/21/600023521.db2.gz XQCXMPYLPRHRJX-HIFPTAJRSA-N 0 2 313.398 0.650 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736880003 600546730 /nfs/dbraw/zinc/54/67/30/600546730.db2.gz SREXETWKPMTGKK-FZMZJTMJSA-N 0 2 313.398 0.156 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736880003 600546734 /nfs/dbraw/zinc/54/67/34/600546734.db2.gz SREXETWKPMTGKK-FZMZJTMJSA-N 0 2 313.398 0.156 20 0 DCADLN O=C([O-])C[C@H]1CSCC[N@@H+]1CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000739657048 600703107 /nfs/dbraw/zinc/70/31/07/600703107.db2.gz AUQHXUMLGRNOEL-SDDRHHMPSA-N 0 2 324.402 0.787 20 0 DCADLN O=C([O-])C[C@H]1CSCC[N@H+]1CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000739657048 600703108 /nfs/dbraw/zinc/70/31/08/600703108.db2.gz AUQHXUMLGRNOEL-SDDRHHMPSA-N 0 2 324.402 0.787 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCC[NH+]2CCSCC2)c[nH]1 ZINC000230933804 600746372 /nfs/dbraw/zinc/74/63/72/600746372.db2.gz UNUVZUJAZCTPSE-UHFFFAOYSA-N 0 2 319.408 0.040 20 0 DCADLN CCc1oc(C(=O)[O-])cc1C[NH+]1CCN(C(C)(C)C(N)=O)CC1 ZINC000830374358 601154165 /nfs/dbraw/zinc/15/41/65/601154165.db2.gz DHALNOCFORAIJO-UHFFFAOYSA-N 0 2 323.393 0.922 20 0 DCADLN O=C([O-])c1cn(CC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)nn1 ZINC000833339103 601282050 /nfs/dbraw/zinc/28/20/50/601282050.db2.gz ABABXVMRSMXWIE-VIFPVBQESA-N 0 2 304.310 0.106 20 0 DCADLN C[C@H](C(=O)O[C@@H](C)C(=O)NCC(=O)[O-])[N@H+](C)Cc1ccccc1 ZINC000827809412 601403320 /nfs/dbraw/zinc/40/33/20/601403320.db2.gz GVTPIPBSGFZUIX-NEPJUHHUSA-N 0 2 322.361 0.639 20 0 DCADLN C[C@H](C(=O)O[C@@H](C)C(=O)NCC(=O)[O-])[N@@H+](C)Cc1ccccc1 ZINC000827809412 601403321 /nfs/dbraw/zinc/40/33/21/601403321.db2.gz GVTPIPBSGFZUIX-NEPJUHHUSA-N 0 2 322.361 0.639 20 0 DCADLN COc1ccc(CNC(=O)C[N@H+](CC(=O)[O-])C2CC2)cc1OC ZINC000832323769 601465599 /nfs/dbraw/zinc/46/55/99/601465599.db2.gz QLQGBYSSDUQFLR-UHFFFAOYSA-N 0 2 322.361 0.869 20 0 DCADLN COc1ccc(CNC(=O)C[N@@H+](CC(=O)[O-])C2CC2)cc1OC ZINC000832323769 601465601 /nfs/dbraw/zinc/46/56/01/601465601.db2.gz QLQGBYSSDUQFLR-UHFFFAOYSA-N 0 2 322.361 0.869 20 0 DCADLN C[C@@H](CS(=O)(=O)N1CCC(n2cc[nH+]c2)CC1)C(=O)[O-] ZINC000827540182 601784032 /nfs/dbraw/zinc/78/40/32/601784032.db2.gz AGTWTYTWODULRP-JTQLQIEISA-N 0 2 301.368 0.571 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@@H+]1CCSC[C@@H]1CCO)C(=O)[O-] ZINC000826785699 601959151 /nfs/dbraw/zinc/95/91/51/601959151.db2.gz BIBCSQZYZOVGPF-NWDGAFQWSA-N 0 2 318.439 0.402 20 0 DCADLN CC(C)C[C@@H](NC(=O)C[N@H+]1CCSC[C@@H]1CCO)C(=O)[O-] ZINC000826785699 601959154 /nfs/dbraw/zinc/95/91/54/601959154.db2.gz BIBCSQZYZOVGPF-NWDGAFQWSA-N 0 2 318.439 0.402 20 0 DCADLN C[C@H](CC(=O)[O-])C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000827324555 602146431 /nfs/dbraw/zinc/14/64/31/602146431.db2.gz HYZXBHPHQIYUNU-LLVKDONJSA-N 0 2 310.354 0.265 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC000821543359 602298342 /nfs/dbraw/zinc/29/83/42/602298342.db2.gz LADDPFYSUBGDMR-AWEZNQCLSA-N 0 2 304.346 0.932 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000736367255 602521160 /nfs/dbraw/zinc/52/11/60/602521160.db2.gz ZMMJPFKEDUXQAR-SRVKXCTJSA-N 0 2 301.387 0.504 20 0 DCADLN COC1(C(=O)NC[C@H](C)Cn2cc[nH+]c2)CCN(C(=O)[O-])CC1 ZINC000828856970 603496128 /nfs/dbraw/zinc/49/61/28/603496128.db2.gz XLGRHIKWFXWRCG-LBPRGKRZSA-N 0 2 324.381 0.794 20 0 DCADLN Cc1ccc(OCC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000830407965 603509667 /nfs/dbraw/zinc/50/96/67/603509667.db2.gz YBBJBAZNBSXHAU-CYBMUJFWSA-N 0 2 321.377 0.784 20 0 DCADLN Cc1ccc(OCC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000830407965 603509671 /nfs/dbraw/zinc/50/96/71/603509671.db2.gz YBBJBAZNBSXHAU-CYBMUJFWSA-N 0 2 321.377 0.784 20 0 DCADLN COc1cccc(CC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000829549616 603512371 /nfs/dbraw/zinc/51/23/71/603512371.db2.gz GIUQBWGBCONURA-ZDUSSCGKSA-N 0 2 321.377 0.648 20 0 DCADLN COc1cccc(CC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000829549616 603512377 /nfs/dbraw/zinc/51/23/77/603512377.db2.gz GIUQBWGBCONURA-ZDUSSCGKSA-N 0 2 321.377 0.648 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)CC[C@@H]1CCOC1 ZINC000828312746 603534940 /nfs/dbraw/zinc/53/49/40/603534940.db2.gz RGQSRMSWIITIKF-CYBMUJFWSA-N 0 2 313.398 0.557 20 0 DCADLN CC(C)(CNC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000823956848 603657299 /nfs/dbraw/zinc/65/72/99/603657299.db2.gz SBRHNQVAZHZLQQ-UHFFFAOYSA-N 0 2 301.387 0.507 20 0 DCADLN CC(C)(CNC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000823956848 603657302 /nfs/dbraw/zinc/65/73/02/603657302.db2.gz SBRHNQVAZHZLQQ-UHFFFAOYSA-N 0 2 301.387 0.507 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000831689410 603694478 /nfs/dbraw/zinc/69/44/78/603694478.db2.gz QRBBYLXEEYIHFM-KBPBESRZSA-N 0 2 319.361 0.232 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCN(C(=O)[O-])[C@@H](C)C1 ZINC000824909365 603696791 /nfs/dbraw/zinc/69/67/91/603696791.db2.gz ZRQAFHLYOQCHIA-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000831274519 603742898 /nfs/dbraw/zinc/74/28/98/603742898.db2.gz XDBVCWXCXYIFJU-VWYCJHECSA-N 0 2 308.338 0.229 20 0 DCADLN O=C([O-])NC1(C(=O)NCCc2[nH]cc[nH+]2)Cc2ccccc2C1 ZINC000832171852 603778124 /nfs/dbraw/zinc/77/81/24/603778124.db2.gz FOOBIDWJZQTMGJ-UHFFFAOYSA-N 0 2 314.345 0.874 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)[C@@]1(F)CCN(C(=O)[O-])C1)C2 ZINC000830799770 603902929 /nfs/dbraw/zinc/90/29/29/603902929.db2.gz PWHOGAFPVOKUIS-CQSZACIVSA-N 0 2 310.329 0.934 20 0 DCADLN COC(=O)c1cn(C[N@@H+]2CCC[C@@H]([C@H](C)NC(=O)[O-])C2)nn1 ZINC000828805295 604019398 /nfs/dbraw/zinc/01/93/98/604019398.db2.gz WADDTRYGVSZDPG-VHSXEESVSA-N 0 2 311.342 0.390 20 0 DCADLN COC(=O)c1cn(C[N@H+]2CCC[C@@H]([C@H](C)NC(=O)[O-])C2)nn1 ZINC000828805295 604019403 /nfs/dbraw/zinc/01/94/03/604019403.db2.gz WADDTRYGVSZDPG-VHSXEESVSA-N 0 2 311.342 0.390 20 0 DCADLN Cc1cccn2cc(CCNC(=O)NCCNC(=O)[O-])[nH+]c12 ZINC000830590541 604033083 /nfs/dbraw/zinc/03/30/83/604033083.db2.gz FTPULXLRAVIVTG-UHFFFAOYSA-N 0 2 305.338 0.752 20 0 DCADLN O=C([O-])NCCCOC1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000832625401 604074159 /nfs/dbraw/zinc/07/41/59/604074159.db2.gz NUGMEBNEZZDUHK-UHFFFAOYSA-N 0 2 324.381 0.939 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CCC[C@H]2CNC(=O)[O-])CCO1 ZINC000826057661 604083383 /nfs/dbraw/zinc/08/33/83/604083383.db2.gz JGYAEXRTAVWNIC-NEPJUHHUSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CCC[C@H]2CNC(=O)[O-])CCO1 ZINC000826057661 604083388 /nfs/dbraw/zinc/08/33/88/604083388.db2.gz JGYAEXRTAVWNIC-NEPJUHHUSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@H]1CNC(=O)[O-] ZINC000826093826 604112545 /nfs/dbraw/zinc/11/25/45/604112545.db2.gz MOPXWCGHHNGLMQ-NEPJUHHUSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@H]1CNC(=O)[O-] ZINC000826093826 604112548 /nfs/dbraw/zinc/11/25/48/604112548.db2.gz MOPXWCGHHNGLMQ-NEPJUHHUSA-N 0 2 314.386 0.149 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cnn3ccccc23)[C@H](CNC(=O)[O-])C1 ZINC000828513448 604396096 /nfs/dbraw/zinc/39/60/96/604396096.db2.gz LSYWKDQSAKFIIJ-LLVKDONJSA-N 0 2 317.349 0.358 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cnn3ccccc23)[C@H](CNC(=O)[O-])C1 ZINC000828513448 604396100 /nfs/dbraw/zinc/39/61/00/604396100.db2.gz LSYWKDQSAKFIIJ-LLVKDONJSA-N 0 2 317.349 0.358 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc3[nH]ncc32)[C@H](CNC(=O)[O-])C1 ZINC000828514358 604411134 /nfs/dbraw/zinc/41/11/34/604411134.db2.gz YAXNWJBZHAHKIQ-SNVBAGLBSA-N 0 2 317.349 0.587 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc3[nH]ncc32)[C@H](CNC(=O)[O-])C1 ZINC000828514358 604411135 /nfs/dbraw/zinc/41/11/35/604411135.db2.gz YAXNWJBZHAHKIQ-SNVBAGLBSA-N 0 2 317.349 0.587 20 0 DCADLN CC(C)c1nn(C)c(N(C)C)c1C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000820061956 604631787 /nfs/dbraw/zinc/63/17/87/604631787.db2.gz VGGNOVBTGQQBFJ-LBPRGKRZSA-N 0 2 310.398 0.895 20 0 DCADLN CC(C)c1nn(C)c(N(C)C)c1C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000820061956 604631790 /nfs/dbraw/zinc/63/17/90/604631790.db2.gz VGGNOVBTGQQBFJ-LBPRGKRZSA-N 0 2 310.398 0.895 20 0 DCADLN CN(C[C@H]1CC[N@H+](Cn2c3cnccc3n(C)c2=O)C1)C(=O)[O-] ZINC000828260344 604734059 /nfs/dbraw/zinc/73/40/59/604734059.db2.gz SFBKPXJJVJFZIE-LLVKDONJSA-N 0 2 319.365 0.624 20 0 DCADLN CN(C[C@H]1CC[N@@H+](Cn2c3cnccc3n(C)c2=O)C1)C(=O)[O-] ZINC000828260344 604734065 /nfs/dbraw/zinc/73/40/65/604734065.db2.gz SFBKPXJJVJFZIE-LLVKDONJSA-N 0 2 319.365 0.624 20 0 DCADLN O=C([O-])N1CCOC[C@@H]1C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000831726184 604874927 /nfs/dbraw/zinc/87/49/27/604874927.db2.gz XPQVADCKVRGDOL-LLVKDONJSA-N 0 2 304.306 0.329 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+](C)[C@H](COC(C)C)C(=O)[O-] ZINC000833656601 604920638 /nfs/dbraw/zinc/92/06/38/604920638.db2.gz FLCIINXCRGOXFG-VHSXEESVSA-N 0 2 303.359 0.031 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)[C@H](COC(C)C)C(=O)[O-] ZINC000833656601 604920642 /nfs/dbraw/zinc/92/06/42/604920642.db2.gz FLCIINXCRGOXFG-VHSXEESVSA-N 0 2 303.359 0.031 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+](CC(=O)[O-])Cc1ccccc1 ZINC000692415620 604967531 /nfs/dbraw/zinc/96/75/31/604967531.db2.gz ZGMSNFOAIWAVDT-LLVKDONJSA-N 0 2 307.350 0.807 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+](CC(=O)[O-])Cc1ccccc1 ZINC000692415620 604967535 /nfs/dbraw/zinc/96/75/35/604967535.db2.gz ZGMSNFOAIWAVDT-LLVKDONJSA-N 0 2 307.350 0.807 20 0 DCADLN C[C@@H](C(=O)NCCSCCC(=O)[O-])[NH+]1CCSCC1 ZINC000833404807 605011790 /nfs/dbraw/zinc/01/17/90/605011790.db2.gz PWFCMSYIKGHFCM-JTQLQIEISA-N 0 2 306.453 0.748 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000833503847 605013365 /nfs/dbraw/zinc/01/33/65/605013365.db2.gz KSOCWXLIWFUDJX-DGCLKSJQSA-N 0 2 309.366 0.782 20 0 DCADLN CC(C)CCn1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nn1 ZINC000833483569 605080665 /nfs/dbraw/zinc/08/06/65/605080665.db2.gz CFUSYEMWSIBDTH-NSHDSACASA-N 0 2 320.353 0.473 20 0 DCADLN CC(C)CCn1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nn1 ZINC000833483569 605080673 /nfs/dbraw/zinc/08/06/73/605080673.db2.gz CFUSYEMWSIBDTH-NSHDSACASA-N 0 2 320.353 0.473 20 0 DCADLN C[C@@H]1CN(C(=O)c2cncc(C(=O)[O-])c2)C[C@@H]1[NH+]1CCOCC1 ZINC000833622948 605114391 /nfs/dbraw/zinc/11/43/91/605114391.db2.gz NACPMZILWCFXPA-RISCZKNCSA-N 0 2 319.361 0.573 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cncc(C(=O)[O-])c1 ZINC000833630740 605182315 /nfs/dbraw/zinc/18/23/15/605182315.db2.gz BIZZMXIDXLTBHE-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)Nc1cncc(C(=O)[O-])c1 ZINC000833630740 605182321 /nfs/dbraw/zinc/18/23/21/605182321.db2.gz BIZZMXIDXLTBHE-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)C1(NC(=O)[O-])CC1 ZINC000830765527 605265046 /nfs/dbraw/zinc/26/50/46/605265046.db2.gz SXKSQPHLDXPYGT-UHFFFAOYSA-N 0 2 320.349 0.965 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](CN2CC[NH+](CC[S@](C)=O)CC2)O1 ZINC000833580864 605363425 /nfs/dbraw/zinc/36/34/25/605363425.db2.gz SSGKSQRQAVKLLE-QKVFXAPYSA-N 0 2 316.423 0.130 20 0 DCADLN COc1ccc(NC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000829458957 605453608 /nfs/dbraw/zinc/45/36/08/605453608.db2.gz BQTWEMCKZPETMZ-NSHDSACASA-N 0 2 323.353 0.506 20 0 DCADLN COc1ccc(NC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cn1 ZINC000829458957 605453610 /nfs/dbraw/zinc/45/36/10/605453610.db2.gz BQTWEMCKZPETMZ-NSHDSACASA-N 0 2 323.353 0.506 20 0 DCADLN O=C([O-])NC1CC[NH+](CC(=O)Nc2ccc(CCO)cc2)CC1 ZINC000832386956 605777809 /nfs/dbraw/zinc/77/78/09/605777809.db2.gz UJAUKJNDGVGQMO-UHFFFAOYSA-N 0 2 321.377 0.892 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000834209624 605844281 /nfs/dbraw/zinc/84/42/81/605844281.db2.gz DHWWHGITHVTRKF-GMXVVIOVSA-N 0 2 306.366 0.998 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NC[C@H](NC(=O)[O-])C2CC2)C1 ZINC000833953855 605855234 /nfs/dbraw/zinc/85/52/34/605855234.db2.gz CUZKLPZPMAAKKZ-NEPJUHHUSA-N 0 2 321.381 0.965 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834017745 605975496 /nfs/dbraw/zinc/97/54/96/605975496.db2.gz JLZMYPASWLIXBG-VXGBXAGGSA-N 0 2 320.349 0.155 20 0 DCADLN O=C([O-])NC[C@@H]1CCN(C(=O)C2([NH+]3CCOCC3)CCC2)C1 ZINC000834221613 605975850 /nfs/dbraw/zinc/97/58/50/605975850.db2.gz UCVBSJAMPGZAPJ-LBPRGKRZSA-N 0 2 311.382 0.357 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)[C@@H]2COCCN2C(=O)[O-])C1 ZINC000833954368 606082039 /nfs/dbraw/zinc/08/20/39/606082039.db2.gz HWBVYWAZVNYHSN-MNOVXSKESA-N 0 2 308.338 0.115 20 0 DCADLN Cc1noc(C)c1CCn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC000826334591 607893390 /nfs/dbraw/zinc/89/33/90/607893390.db2.gz AZBKTGHZXNIIPZ-UHFFFAOYSA-N 0 2 315.337 0.888 20 0 DCADLN CC[C@@H](C)C(=O)Cn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC000825049719 608022652 /nfs/dbraw/zinc/02/26/52/608022652.db2.gz DLDVXTZFKLESNP-MRVPVSSYSA-N 0 2 319.321 0.430 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC000978189425 660494396 /nfs/dbraw/zinc/49/43/96/660494396.db2.gz FRSICWMWLGFLMX-NKWVEPMBSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C(=O)c1nc[nH]n1 ZINC000978189425 660494398 /nfs/dbraw/zinc/49/43/98/660494398.db2.gz FRSICWMWLGFLMX-NKWVEPMBSA-N 0 2 323.250 0.378 20 0 DCADLN Cc1cccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)n1 ZINC001027761919 660703402 /nfs/dbraw/zinc/70/34/02/660703402.db2.gz HVYNDMIHKLZDTA-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)n1 ZINC001027761919 660703404 /nfs/dbraw/zinc/70/34/04/660703404.db2.gz HVYNDMIHKLZDTA-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ncsc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027769070 660710946 /nfs/dbraw/zinc/71/09/46/660710946.db2.gz LQPCNGXDSZZISN-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[C@H]3C[N@H+](CC(N)=O)C[C@@H]3C2)c1C ZINC000979602853 660786149 /nfs/dbraw/zinc/78/61/49/660786149.db2.gz PJCSMGCZOGPQTR-RWMBFGLXSA-N 0 2 319.409 0.342 20 0 DCADLN CCn1cc(CC[N@@H+]2CC[C@@H]2CNC(=O)c2nnc[nH]2)cn1 ZINC001038826133 660972885 /nfs/dbraw/zinc/97/28/85/660972885.db2.gz NVGKRBMRMBMPNN-GFCCVEGCSA-N 0 2 303.370 0.068 20 0 DCADLN CCn1cc(CC[N@H+]2CC[C@@H]2CNC(=O)c2nnc[nH]2)cn1 ZINC001038826133 660972888 /nfs/dbraw/zinc/97/28/88/660972888.db2.gz NVGKRBMRMBMPNN-GFCCVEGCSA-N 0 2 303.370 0.068 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000981270840 661122367 /nfs/dbraw/zinc/12/23/67/661122367.db2.gz OGRQLKXWJXDEJW-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN Cc1cccnc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038155745 661125163 /nfs/dbraw/zinc/12/51/63/661125163.db2.gz ZRMFJWGBPBDNSF-SNVBAGLBSA-N 0 2 302.338 0.218 20 0 DCADLN O=C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981497255 661163404 /nfs/dbraw/zinc/16/34/04/661163404.db2.gz BTCJJCRUKWLDNT-XQQFMLRXSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@H](C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2)C1CC1 ZINC000981694664 661198566 /nfs/dbraw/zinc/19/85/66/661198566.db2.gz JOGMFEDDGRWONY-NSHDSACASA-N 0 2 319.409 0.981 20 0 DCADLN C[C@H](C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2)C1CC1 ZINC000981694664 661198567 /nfs/dbraw/zinc/19/85/67/661198567.db2.gz JOGMFEDDGRWONY-NSHDSACASA-N 0 2 319.409 0.981 20 0 DCADLN CC(C)C1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)CC1 ZINC001032616618 665426585 /nfs/dbraw/zinc/42/65/85/665426585.db2.gz NQIJQQLRPIFFAC-QWRGUYRKSA-N 0 2 305.382 0.732 20 0 DCADLN Cc1nc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)s1 ZINC001031763014 665461471 /nfs/dbraw/zinc/46/14/71/665461471.db2.gz DZZZACVKBYRBGF-UHFFFAOYSA-N 0 2 322.394 0.445 20 0 DCADLN Cc1c(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC000970390265 657467159 /nfs/dbraw/zinc/46/71/59/657467159.db2.gz MBNLRNQMNPPDLQ-SECBINFHSA-N 0 2 318.381 0.408 20 0 DCADLN Cc1nc(C[NH2+][C@@H]2CCN(C(=O)Cc3nnc[nH]3)C2)oc1C ZINC000970443913 657521552 /nfs/dbraw/zinc/52/15/52/657521552.db2.gz SMVSNRRAOJWQNH-LLVKDONJSA-N 0 2 304.354 0.343 20 0 DCADLN CCS(=O)(=O)N1CCN(C(=O)C(F)C(F)(F)F)[C@H](C)C1 ZINC000811527075 657631985 /nfs/dbraw/zinc/63/19/85/657631985.db2.gz IOBQTIVKAJYESU-HTQZYQBOSA-N 0 2 320.308 0.769 20 0 DCADLN CCS(=O)(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)[C@H](C)C1 ZINC000811527075 657631993 /nfs/dbraw/zinc/63/19/93/657631993.db2.gz IOBQTIVKAJYESU-HTQZYQBOSA-N 0 2 320.308 0.769 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC000969263243 657640004 /nfs/dbraw/zinc/64/00/04/657640004.db2.gz HCKVGCDMYXKRPZ-QMMMGPOBSA-N 0 2 306.326 0.057 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC000969271838 657646302 /nfs/dbraw/zinc/64/63/02/657646302.db2.gz CHTOJARLRAJRRH-MRVPVSSYSA-N 0 2 306.326 0.057 20 0 DCADLN Cc1cccc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC000969312883 657686705 /nfs/dbraw/zinc/68/67/05/657686705.db2.gz BYUQMVVBHWTXGT-SNVBAGLBSA-N 0 2 316.365 0.464 20 0 DCADLN C[C@@H](NC(=O)c1cscn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969398700 657801634 /nfs/dbraw/zinc/80/16/34/657801634.db2.gz JLMNPNJINRSFOR-SSDOTTSWSA-N 0 2 308.367 0.217 20 0 DCADLN C[C@H](NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969448791 657899375 /nfs/dbraw/zinc/89/93/75/657899375.db2.gz LUFLHFKQTUAALJ-PQFRYHKHSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969482260 657919330 /nfs/dbraw/zinc/91/93/30/657919330.db2.gz CLIIMHKXTLRBMN-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1nscc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969520965 657944992 /nfs/dbraw/zinc/94/49/92/657944992.db2.gz ARSRYXGMFVKCOA-ZETCQYMHSA-N 0 2 322.394 0.526 20 0 DCADLN Cc1ncc(C(=O)N2CCC[C@@H]3C[N@@H+](CC(N)=O)CC[C@@H]32)[nH]1 ZINC001021541871 657952469 /nfs/dbraw/zinc/95/24/69/657952469.db2.gz CCOXEXZYMJHYAY-YPMHNXCESA-N 0 2 305.382 0.130 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)N[C@@H](C)C1C[NH+](CC(=O)NC)C1 ZINC000970930351 658089387 /nfs/dbraw/zinc/08/93/87/658089387.db2.gz YNQSNHQAEOPQRM-GXTWGEPZSA-N 0 2 310.442 0.043 20 0 DCADLN CC(C)(C)C(=O)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972650425 658173547 /nfs/dbraw/zinc/17/35/47/658173547.db2.gz NIFBUWLRUWAARU-HNNXBMFYSA-N 0 2 323.397 0.360 20 0 DCADLN COCC(=O)N1C[C@H]2CCN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC000971071668 658243566 /nfs/dbraw/zinc/24/35/66/658243566.db2.gz FBSAVEBOUKCTGJ-MRTMQBJTSA-N 0 2 312.263 0.593 20 0 DCADLN COCC(=O)N1C[C@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21 ZINC000971071668 658243570 /nfs/dbraw/zinc/24/35/70/658243570.db2.gz FBSAVEBOUKCTGJ-MRTMQBJTSA-N 0 2 312.263 0.593 20 0 DCADLN Cc1noc([C@@H](C)[NH+]2CC([C@H](C)NC(=O)c3cnn[n-]3)C2)n1 ZINC000970034660 658377445 /nfs/dbraw/zinc/37/74/45/658377445.db2.gz ISCVDWRGXRHJOJ-JGVFFNPUSA-N 0 2 305.342 0.307 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N(C)CCO)cc2[nH]c1=S ZINC000127998201 658539715 /nfs/dbraw/zinc/53/97/15/658539715.db2.gz IADZJRBGXFICQH-UHFFFAOYSA-N 0 2 307.375 0.769 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@@]3(C2)C[N@H+](CC2CC2)CCO3)c1[O-] ZINC000972347522 658598638 /nfs/dbraw/zinc/59/86/38/658598638.db2.gz VXASALZDTGLKDN-INIZCTEOSA-N 0 2 320.393 0.751 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[C@@]3(C2)C[N@@H+](CC2CC2)CCO3)c1[O-] ZINC000972347522 658598640 /nfs/dbraw/zinc/59/86/40/658598640.db2.gz VXASALZDTGLKDN-INIZCTEOSA-N 0 2 320.393 0.751 20 0 DCADLN CCc1nc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001038179691 658635505 /nfs/dbraw/zinc/63/55/05/658635505.db2.gz HBBLSYFZYYVFEJ-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN Cn1nnc(CN[C@H]2CCCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000999211791 665601980 /nfs/dbraw/zinc/60/19/80/665601980.db2.gz WPQYLQRMSYEIJB-CBAPKCEASA-N 0 2 324.282 0.191 20 0 DCADLN COCc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001031831054 665604877 /nfs/dbraw/zinc/60/48/77/665604877.db2.gz WRYHVGUPCJGLCE-UHFFFAOYSA-N 0 2 321.337 0.112 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@@](O)(C(F)(F)F)C2)S1 ZINC000746291603 667870090 /nfs/dbraw/zinc/87/00/90/667870090.db2.gz HYAYFQIZJMSVNV-CDUCUWFYSA-N 0 2 311.285 0.069 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccon1 ZINC000972974873 658845375 /nfs/dbraw/zinc/84/53/75/658845375.db2.gz FCPIMBAHNMPIMY-SNVBAGLBSA-N 0 2 320.353 0.068 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccon1 ZINC000972974873 658845381 /nfs/dbraw/zinc/84/53/81/658845381.db2.gz FCPIMBAHNMPIMY-SNVBAGLBSA-N 0 2 320.353 0.068 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccon1 ZINC000972974872 658845725 /nfs/dbraw/zinc/84/57/25/658845725.db2.gz FCPIMBAHNMPIMY-JTQLQIEISA-N 0 2 320.353 0.068 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccon1 ZINC000972974872 658845730 /nfs/dbraw/zinc/84/57/30/658845730.db2.gz FCPIMBAHNMPIMY-JTQLQIEISA-N 0 2 320.353 0.068 20 0 DCADLN Cc1nc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC000970320029 658856039 /nfs/dbraw/zinc/85/60/39/658856039.db2.gz CVDGXBIVKMYPLC-SSDOTTSWSA-N 0 2 322.394 0.526 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001011238780 658862918 /nfs/dbraw/zinc/86/29/18/658862918.db2.gz XBGHDUZABYJWOH-AUTRQRHGSA-N 0 2 324.274 0.594 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001011238780 658862927 /nfs/dbraw/zinc/86/29/27/658862927.db2.gz XBGHDUZABYJWOH-AUTRQRHGSA-N 0 2 324.274 0.594 20 0 DCADLN Cc1ncccc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970348258 658878303 /nfs/dbraw/zinc/87/83/03/658878303.db2.gz KHJJQUPZZSYEMI-VIFPVBQESA-N 0 2 316.365 0.464 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@H]1CCCCO1 ZINC000973045073 658977330 /nfs/dbraw/zinc/97/73/30/658977330.db2.gz ZDVHANGJODPZPU-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038361128 659091764 /nfs/dbraw/zinc/09/17/64/659091764.db2.gz TYELPQJWTGEQFG-FOGDFJRCSA-N 0 2 309.370 0.016 20 0 DCADLN Cn1ccc(C[NH+](C)[C@H]2CCCN(C(=O)[C@@H]3CCC[N@@H+]3C)C2)n1 ZINC001027331783 659266493 /nfs/dbraw/zinc/26/64/93/659266493.db2.gz IVUSMKUJJPCRSM-HOTGVXAUSA-N 0 2 319.453 0.937 20 0 DCADLN Cc1nc(CN(C)[C@@H]2CCCN(C(=O)[C@H]3CCC[N@@H+]3C)C2)n[nH]1 ZINC001027334496 659273505 /nfs/dbraw/zinc/27/35/05/659273505.db2.gz OWBJEOZYKVYGKG-ZIAGYGMSSA-N 0 2 320.441 0.630 20 0 DCADLN CC[C@@H](C[NH+]1CC(N(CC)C(=O)c2n[nH]c(C)c2[O-])C1)OC ZINC000949900745 659399576 /nfs/dbraw/zinc/39/95/76/659399576.db2.gz OZKJGESCNULAAI-LBPRGKRZSA-N 0 2 310.398 0.995 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)C[C@H]3C[C@@H]3C)C[C@H]21 ZINC000976927940 659498102 /nfs/dbraw/zinc/49/81/02/659498102.db2.gz RWKVDCJLBPLFHW-LRCXCSSRSA-N 0 2 316.405 0.880 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000940538172 665793333 /nfs/dbraw/zinc/79/33/33/665793333.db2.gz ROLIYNJGPCFHDP-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000940538172 665793336 /nfs/dbraw/zinc/79/33/36/665793336.db2.gz ROLIYNJGPCFHDP-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(C[NH2+][C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1)N1CCCC1 ZINC001000321515 665819098 /nfs/dbraw/zinc/81/90/98/665819098.db2.gz SXBROQULBZHDOQ-ZDUSSCGKSA-N 0 2 319.409 0.155 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000950189951 662097675 /nfs/dbraw/zinc/09/76/75/662097675.db2.gz DYKFFCLLUTXEAM-SFYZADRCSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@H]2CCN2C(=O)[C@H](F)C(F)(F)F)n1 ZINC000950189951 662097676 /nfs/dbraw/zinc/09/76/76/662097676.db2.gz DYKFFCLLUTXEAM-SFYZADRCSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(c1ccsn1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029353756 662115273 /nfs/dbraw/zinc/11/52/73/662115273.db2.gz UQICQNBLJZSJJS-DTORHVGOSA-N 0 2 320.378 0.456 20 0 DCADLN Cc1ccc(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)o1 ZINC001000411314 665838117 /nfs/dbraw/zinc/83/81/17/665838117.db2.gz HFAKFKDGEZLSGV-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN Cc1ccc(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)o1 ZINC001000411314 665838119 /nfs/dbraw/zinc/83/81/19/665838119.db2.gz HFAKFKDGEZLSGV-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC001000478947 665853896 /nfs/dbraw/zinc/85/38/96/665853896.db2.gz RFCCTFJTPRVRCX-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC001000478947 665853901 /nfs/dbraw/zinc/85/39/01/665853901.db2.gz RFCCTFJTPRVRCX-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000485648 665857579 /nfs/dbraw/zinc/85/75/79/665857579.db2.gz ZVXJTPMAWIXESB-NEPJUHHUSA-N 0 2 321.381 0.184 20 0 DCADLN CCO[C@H]1C[C@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000485648 665857581 /nfs/dbraw/zinc/85/75/81/665857581.db2.gz ZVXJTPMAWIXESB-NEPJUHHUSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1[C@@H]([NH2+]Cc2nccs2)CCN1C(=O)CCc1nc[nH]n1 ZINC000986114474 662556717 /nfs/dbraw/zinc/55/67/17/662556717.db2.gz JRVPMIZCSQHLSD-MNOVXSKESA-N 0 2 320.422 0.973 20 0 DCADLN C[C@@H]1[C@H]([NH2+]Cc2ncccn2)CCN1C(=O)c1ncccc1O ZINC000986174749 662564640 /nfs/dbraw/zinc/56/46/40/662564640.db2.gz ZDUHJOBHVIKLFH-VXGBXAGGSA-N 0 2 313.361 0.970 20 0 DCADLN O=C([O-])[C@@H](CC(F)(F)F)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000909669207 662642759 /nfs/dbraw/zinc/64/27/59/662642759.db2.gz PXOOKHPANCXJKW-JGVFFNPUSA-N 0 2 305.256 0.967 20 0 DCADLN CC(C)Nc1nc(N2CCC[C@@H]2C(=O)[O-])nc(NCCO)[nH+]1 ZINC000263398345 662684114 /nfs/dbraw/zinc/68/41/14/662684114.db2.gz IFJDYEYPEXQPLZ-SECBINFHSA-N 0 2 310.358 0.150 20 0 DCADLN CCn1ccnc1C[NH2+][C@H]1C[C@@H](C)N(C(=O)Cc2ccn[nH]2)C1 ZINC000988437429 662774320 /nfs/dbraw/zinc/77/43/20/662774320.db2.gz LXGVQRFMIXEZIG-OCCSQVGLSA-N 0 2 316.409 0.948 20 0 DCADLN O=C(CO)NNC(=O)c1cc(-c2ccc(Cl)s2)on1 ZINC000182753916 665903560 /nfs/dbraw/zinc/90/35/60/665903560.db2.gz XQWMIYKOINXGTN-UHFFFAOYSA-N 0 2 301.711 0.810 20 0 DCADLN CC(C)(F)C(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC000989901278 662986591 /nfs/dbraw/zinc/98/65/91/662986591.db2.gz YBSWBKPHSIFZRV-YMTOWFKASA-N 0 2 311.361 0.872 20 0 DCADLN CC(C)(F)C(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC000989901278 662986592 /nfs/dbraw/zinc/98/65/92/662986592.db2.gz YBSWBKPHSIFZRV-YMTOWFKASA-N 0 2 311.361 0.872 20 0 DCADLN Cc1cnc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000990124581 663006327 /nfs/dbraw/zinc/00/63/27/663006327.db2.gz OMNZIFAOUZZQNJ-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cnc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC000990124581 663006328 /nfs/dbraw/zinc/00/63/28/663006328.db2.gz OMNZIFAOUZZQNJ-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ncncc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990331421 663034345 /nfs/dbraw/zinc/03/43/45/663034345.db2.gz AQOMYUFANMWWIO-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ncncc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990331421 663034347 /nfs/dbraw/zinc/03/43/47/663034347.db2.gz AQOMYUFANMWWIO-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN O=C(C1C2CCC3(COC(=O)C13)O2)N1CCC2(CO)CCCC12 ZINC000899431056 663144390 /nfs/dbraw/zinc/14/43/90/663144390.db2.gz TZUGWDCFDRJYOX-OVWIKQPISA-N 0 2 321.373 0.471 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2ccc3c(n2)CCCN3)C(=O)N1C ZINC000899462069 663145898 /nfs/dbraw/zinc/14/58/98/663145898.db2.gz AMRUYOYBEHGAFT-MRVPVSSYSA-N 0 2 303.322 0.367 20 0 DCADLN CC[C@H](CNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000900975872 663297039 /nfs/dbraw/zinc/29/70/39/663297039.db2.gz GVJRNKABQLQRLJ-SNVBAGLBSA-N 0 2 307.354 0.278 20 0 DCADLN CC[C@H](CNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000900975872 663297043 /nfs/dbraw/zinc/29/70/43/663297043.db2.gz GVJRNKABQLQRLJ-SNVBAGLBSA-N 0 2 307.354 0.278 20 0 DCADLN C[C@@H]([NH2+]Cc1cn(CC(=O)[O-])nn1)c1ccc2c(c1)OCO2 ZINC000902089279 663384368 /nfs/dbraw/zinc/38/43/68/663384368.db2.gz UBDPHLMOKGBTAH-SECBINFHSA-N 0 2 304.306 0.942 20 0 DCADLN CN(C)CC(N)=NOCC(=O)N(C)CCCOc1ccccc1 ZINC000902613581 663422236 /nfs/dbraw/zinc/42/22/36/663422236.db2.gz SRZWROQVQRQHRG-UHFFFAOYSA-N 0 2 322.409 0.974 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC000902667375 663426947 /nfs/dbraw/zinc/42/69/47/663426947.db2.gz HEWHOYIVNOASKO-NWDGAFQWSA-N 0 2 300.399 0.960 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC000902667375 663426949 /nfs/dbraw/zinc/42/69/49/663426949.db2.gz HEWHOYIVNOASKO-NWDGAFQWSA-N 0 2 300.399 0.960 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C(=O)[O-])nc1 ZINC000902840787 663436647 /nfs/dbraw/zinc/43/66/47/663436647.db2.gz GYXUYBPCIKDUHF-GFCCVEGCSA-N 0 2 307.350 0.620 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C(=O)[O-])cn1 ZINC000902842039 663436822 /nfs/dbraw/zinc/43/68/22/663436822.db2.gz USMLXCXBLMKPMM-GFCCVEGCSA-N 0 2 307.350 0.620 20 0 DCADLN C[N@@H+]1CCC[C@H]1CNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000906675762 663630426 /nfs/dbraw/zinc/63/04/26/663630426.db2.gz DZCXCUDXLCOEHV-HNNXBMFYSA-N 0 2 320.437 0.644 20 0 DCADLN COc1cccc(C(F)(F)C(=O)NN2CC(=O)N(C)C2=O)c1 ZINC000907187284 663676647 /nfs/dbraw/zinc/67/66/47/663676647.db2.gz FJCYSYILBXAPQK-UHFFFAOYSA-N 0 2 313.260 0.712 20 0 DCADLN O=C(C[C@H]1NC(=O)c2ccccc21)NCCc1n[nH]c(=S)o1 ZINC000907462201 663699030 /nfs/dbraw/zinc/69/90/30/663699030.db2.gz SFOBVHCARQVTQS-SNVBAGLBSA-N 0 2 318.358 0.892 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@H+](CC(=O)NCC[NH+]2CC=CCC2)C1 ZINC000907886244 663722430 /nfs/dbraw/zinc/72/24/30/663722430.db2.gz PXJALLZOHVBCTO-OAHLLOKOSA-N 0 2 323.437 0.640 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N[C@H](CC2CC2)C(=O)[O-])CCO1 ZINC000908912776 663774960 /nfs/dbraw/zinc/77/49/60/663774960.db2.gz BUQORODKCVVEDS-GFCCVEGCSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N[C@H](CC2CC2)C(=O)[O-])CCO1 ZINC000908912776 663774962 /nfs/dbraw/zinc/77/49/62/663774962.db2.gz BUQORODKCVVEDS-GFCCVEGCSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])C[C@H]1CSCCN1C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000909011089 663777528 /nfs/dbraw/zinc/77/75/28/663777528.db2.gz NWQAGLGVODRMLY-QWRGUYRKSA-N 0 2 309.391 0.864 20 0 DCADLN CCOCCCN(C[C@H](C)C(=O)[O-])C(=O)Cc1c[nH+]cn1C ZINC000908985774 663777858 /nfs/dbraw/zinc/77/78/58/663777858.db2.gz WESGNDGDVJAXFR-LBPRGKRZSA-N 0 2 311.382 0.939 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000908988617 663777885 /nfs/dbraw/zinc/77/78/85/663777885.db2.gz KKEJJXFFCJQDHI-OCCSQVGLSA-N 0 2 321.377 0.928 20 0 DCADLN O=C([O-])[C@H](NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)C1CC1 ZINC000909082714 663780512 /nfs/dbraw/zinc/78/05/12/663780512.db2.gz PGHAOICRQCJSHX-DGCLKSJQSA-N 0 2 304.350 0.823 20 0 DCADLN C/C(=C\C(=O)N1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC000909678391 663792856 /nfs/dbraw/zinc/79/28/56/663792856.db2.gz LUMYZEZQPJFIOI-QLRPBECVSA-N 0 2 322.405 0.977 20 0 DCADLN C/C(=C\C(=O)N1CCC[C@@](C)(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC000909839873 663811667 /nfs/dbraw/zinc/81/16/67/663811667.db2.gz HABYQIALBDGWNH-QSOAKEGCSA-N 0 2 310.394 0.978 20 0 DCADLN CC(C)(C(=O)[O-])[NH+]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000910178324 663852288 /nfs/dbraw/zinc/85/22/88/663852288.db2.gz WKETYQFEDBYSDM-NSHDSACASA-N 0 2 320.393 0.837 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000910407401 663883582 /nfs/dbraw/zinc/88/35/82/663883582.db2.gz ZBOIIORPYIEPTO-TUAOUCFPSA-N 0 2 306.366 0.595 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000910407401 663883585 /nfs/dbraw/zinc/88/35/85/663883585.db2.gz ZBOIIORPYIEPTO-TUAOUCFPSA-N 0 2 306.366 0.595 20 0 DCADLN O=C([O-])[C@H](CC1CCOCC1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000910449917 663884913 /nfs/dbraw/zinc/88/49/13/663884913.db2.gz BUAQXZNMHJYUNQ-JSGCOSHPSA-N 0 2 321.377 0.832 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@@](C)(Cc2ccc(F)cc2)C(=O)[O-])C1 ZINC000910511244 663893271 /nfs/dbraw/zinc/89/32/71/663893271.db2.gz APMFRFISWHICQJ-BBRMVZONSA-N 0 2 324.352 0.658 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N[C@@](C)(Cc2ccc(F)cc2)C(=O)[O-])C1 ZINC000910511244 663893274 /nfs/dbraw/zinc/89/32/74/663893274.db2.gz APMFRFISWHICQJ-BBRMVZONSA-N 0 2 324.352 0.658 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)CCOCC1 ZINC000911232041 664012221 /nfs/dbraw/zinc/01/22/21/664012221.db2.gz DJKSVAINCNXJBL-NSHDSACASA-N 0 2 307.350 0.997 20 0 DCADLN CCOCC(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911306139 664024374 /nfs/dbraw/zinc/02/43/74/664024374.db2.gz QJQZOFBDFRQGBH-UHFFFAOYSA-N 0 2 307.350 0.855 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)c2cc(C(=O)[O-])ccn2)CCO1 ZINC000911319972 664032782 /nfs/dbraw/zinc/03/27/82/664032782.db2.gz LSFRLKXDPAPCIF-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)c2cc(C(=O)[O-])ccn2)CCO1 ZINC000911319972 664032784 /nfs/dbraw/zinc/03/27/84/664032784.db2.gz LSFRLKXDPAPCIF-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN Cc1nc(N2CCN(C(=O)C[C@@H](C)CC(=O)[O-])CC2)cc[nH+]1 ZINC000911457389 664060423 /nfs/dbraw/zinc/06/04/23/664060423.db2.gz CRYWGYVYLUFKCI-LLVKDONJSA-N 0 2 306.366 0.935 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@@H]3CC[C@@H]3C(=O)[O-])CC2)cc[nH+]1 ZINC000911458024 664060759 /nfs/dbraw/zinc/06/07/59/664060759.db2.gz IRQFCMSGDNLPNC-NEPJUHHUSA-N 0 2 304.350 0.544 20 0 DCADLN O=C([O-])c1ccc(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)nc1 ZINC000911732598 664106466 /nfs/dbraw/zinc/10/64/66/664106466.db2.gz WFEJKHGJIPUTHC-NSHDSACASA-N 0 2 300.318 0.855 20 0 DCADLN C[C@@H]1[C@H](C)N(C(=O)C[C@@H]2SC(=N)NC2=O)[C@@H](C)C(=O)N1C ZINC000912004834 664131880 /nfs/dbraw/zinc/13/18/80/664131880.db2.gz CAZAKGPKTSQNSL-XGEHTFHBSA-N 0 2 312.395 0.009 20 0 DCADLN COC(=O)c1c[nH]nc1S(=O)(=O)Nc1noc(C2CC2)n1 ZINC000912558711 664188489 /nfs/dbraw/zinc/18/84/89/664188489.db2.gz RKKFPJJOVXYMJD-UHFFFAOYSA-N 0 2 313.295 0.258 20 0 DCADLN CCc1cnc(NS(=O)(=O)c2n[nH]cc2C(=O)OC)o1 ZINC000912558426 664188498 /nfs/dbraw/zinc/18/84/98/664188498.db2.gz NVOCZOSZWSQENP-UHFFFAOYSA-N 0 2 300.296 0.548 20 0 DCADLN C[C@H]1C(=O)N([N-]C(=O)c2ccc[nH+]c2N2CCCC2)C(=O)N1C ZINC000912823755 664233260 /nfs/dbraw/zinc/23/32/60/664233260.db2.gz IRRYHUGKVGOTPE-JTQLQIEISA-N 0 2 317.349 0.609 20 0 DCADLN C[C@H](C(=O)Nc1nccc(Br)c1O)S(C)(=O)=O ZINC000913026311 664255799 /nfs/dbraw/zinc/25/57/99/664255799.db2.gz NOVZSSFVOSWDAR-RXMQYKEDSA-N 0 2 323.168 0.921 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCC2(CC2)C1 ZINC001030308487 664508757 /nfs/dbraw/zinc/50/87/57/664508757.db2.gz HRAYJJJTAZWIGG-SNVBAGLBSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(Cc1ccc(F)cc1F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030347548 664518530 /nfs/dbraw/zinc/51/85/30/664518530.db2.gz WNWMLSYYTYVUJS-UHFFFAOYSA-N 0 2 323.303 0.332 20 0 DCADLN CCc1ccccc1CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030510926 664572925 /nfs/dbraw/zinc/57/29/25/664572925.db2.gz GKYWPJDJZFSWCL-UHFFFAOYSA-N 0 2 315.377 0.616 20 0 DCADLN CN(C)c1cccc(CN2CC(NC(=O)c3cnn[nH]3)C2)c1 ZINC001030598590 664598818 /nfs/dbraw/zinc/59/88/18/664598818.db2.gz YIQDNNBIHMTEMM-UHFFFAOYSA-N 0 2 300.366 0.485 20 0 DCADLN Cc1cc(C)cc(OCC[NH+]2CC(NC(=O)c3cnn[n-]3)C2)c1 ZINC001030599554 664598967 /nfs/dbraw/zinc/59/89/67/664598967.db2.gz GUIRZGBDJYHXIQ-UHFFFAOYSA-N 0 2 315.377 0.915 20 0 DCADLN O=C(CC1COC1)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC000913558952 664636950 /nfs/dbraw/zinc/63/69/50/664636950.db2.gz AYJUWPCUHYAXJE-LBPRGKRZSA-N 0 2 302.334 0.947 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(F)c1F ZINC001030865411 664690488 /nfs/dbraw/zinc/69/04/88/664690488.db2.gz MAGGTFRTMLUWAW-UHFFFAOYSA-N 0 2 309.276 0.403 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2ncccc2c1 ZINC001030889495 664695545 /nfs/dbraw/zinc/69/55/45/664695545.db2.gz LHMXLWBEFJFMOM-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1Cl ZINC001031001295 664752676 /nfs/dbraw/zinc/75/26/76/664752676.db2.gz LWIULNHRSSFMPA-UHFFFAOYSA-N 0 2 308.729 0.173 20 0 DCADLN CC(C)[N@@H+]1CCCC[C@@H]1C(=O)NC1CN(Cc2c[nH+]cn2C)C1 ZINC001031050606 664776365 /nfs/dbraw/zinc/77/63/65/664776365.db2.gz QZANUFIHEADIMA-MRXNPFEDSA-N 0 2 319.453 0.983 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C(C)(F)F)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992985029 664788760 /nfs/dbraw/zinc/78/87/60/664788760.db2.gz UTAKARSFCVRGTF-HTQZYQBOSA-N 0 2 303.313 0.635 20 0 DCADLN COc1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1C ZINC001031104364 664793093 /nfs/dbraw/zinc/79/30/93/664793093.db2.gz PPEBRZPZXVIRKE-UHFFFAOYSA-N 0 2 317.349 0.442 20 0 DCADLN Cc1nc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)oc1C ZINC000730658280 664799675 /nfs/dbraw/zinc/79/96/75/664799675.db2.gz SMSSCPBIJCJYIQ-UHFFFAOYSA-N 0 2 303.278 0.419 20 0 DCADLN CC[C@]1(C(C)C)C[C@@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031175830 664830042 /nfs/dbraw/zinc/83/00/42/664830042.db2.gz RDNKPOGHVNNBHJ-IAQYHMDHSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1cc(NC(=O)c2nc(Cn3ccccc3=O)no2)no1 ZINC000731790386 664884003 /nfs/dbraw/zinc/88/40/03/664884003.db2.gz CRCZFZNCIXMBMK-UHFFFAOYSA-N 0 2 301.262 0.828 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cn(C)cn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993202362 664885279 /nfs/dbraw/zinc/88/52/79/664885279.db2.gz SMKCQCSBNOOMIQ-NXEZZACHSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2=CCOCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993218634 664904986 /nfs/dbraw/zinc/90/49/86/664904986.db2.gz BVMKKEVDBMHBQI-PWSUYJOCSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2=CCOCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993218634 664904988 /nfs/dbraw/zinc/90/49/88/664904988.db2.gz BVMKKEVDBMHBQI-PWSUYJOCSA-N 0 2 321.381 0.326 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)C[C@@]23CC[N@H+](C2)CCC3)[C@H](C)C1 ZINC000915551067 664929059 /nfs/dbraw/zinc/92/90/59/664929059.db2.gz OAGLEYVMYGCBHQ-CABCVRRESA-N 0 2 315.483 0.828 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccsn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993487341 664975297 /nfs/dbraw/zinc/97/52/97/664975297.db2.gz FSUPSPHIOJUQEY-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2nccs2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994064368 665034377 /nfs/dbraw/zinc/03/43/77/665034377.db2.gz VHJKSFLWNFOQDO-BDAKNGLRSA-N 0 2 322.394 0.750 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCCN2S(=O)(=O)CC2(F)CC2)[nH]1 ZINC000916226707 665064348 /nfs/dbraw/zinc/06/43/48/665064348.db2.gz YDKJQFTZHMJUHZ-QMMMGPOBSA-N 0 2 304.347 0.869 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1Cl ZINC001015746067 665088733 /nfs/dbraw/zinc/08/87/33/665088733.db2.gz AGAJQJFIQXGOGO-QMMMGPOBSA-N 0 2 322.756 0.563 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccsn1 ZINC000994483952 665115643 /nfs/dbraw/zinc/11/56/43/665115643.db2.gz KHFCJXVVHFDSMZ-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccsn1 ZINC000994483952 665115645 /nfs/dbraw/zinc/11/56/45/665115645.db2.gz KHFCJXVVHFDSMZ-SSDOTTSWSA-N 0 2 311.260 0.984 20 0 DCADLN C[C@@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(F)c1 ZINC001031413767 665140814 /nfs/dbraw/zinc/14/08/14/665140814.db2.gz HAEBVIRCDUAABR-SECBINFHSA-N 0 2 319.340 0.754 20 0 DCADLN CO[C@H]1CCCC[C@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031467216 665162470 /nfs/dbraw/zinc/16/24/70/665162470.db2.gz ZSKHJTGBGJNSKF-MNOVXSKESA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC(F)(F)C1 ZINC001031609286 665227245 /nfs/dbraw/zinc/22/72/45/665227245.db2.gz ULKFKAAKCWBAMQ-UHFFFAOYSA-N 0 2 301.297 0.104 20 0 DCADLN Cc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)oc1C ZINC001031615657 665232449 /nfs/dbraw/zinc/23/24/49/665232449.db2.gz CIHSTUFRVZCRMZ-UHFFFAOYSA-N 0 2 305.338 0.582 20 0 DCADLN C[C@H](CNC(=S)NC1CC[NH+](C)CC1)[NH+]1CCOCC1 ZINC000920308804 665266443 /nfs/dbraw/zinc/26/64/43/665266443.db2.gz DTYUVAUXJGTZMT-GFCCVEGCSA-N 0 2 300.472 0.265 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000941450376 666002250 /nfs/dbraw/zinc/00/22/50/666002250.db2.gz JIJRYRIQGBKYAB-IYSWYEEDSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC001001140355 666003817 /nfs/dbraw/zinc/00/38/17/666003817.db2.gz BBTFJSXOCNQKKM-IUCAKERBSA-N 0 2 312.263 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC001001140355 666003818 /nfs/dbraw/zinc/00/38/18/666003818.db2.gz BBTFJSXOCNQKKM-IUCAKERBSA-N 0 2 312.263 0.498 20 0 DCADLN CCc1n[nH]cc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000995158325 666013100 /nfs/dbraw/zinc/01/31/00/666013100.db2.gz KILWHHXQQKVPAE-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN CCc1n[nH]cc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000995158325 666013102 /nfs/dbraw/zinc/01/31/02/666013102.db2.gz KILWHHXQQKVPAE-VIFPVBQESA-N 0 2 322.262 0.813 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000941953828 666086178 /nfs/dbraw/zinc/08/61/78/666086178.db2.gz NPWZXTLGPNMODF-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000941953828 666086179 /nfs/dbraw/zinc/08/61/79/666086179.db2.gz NPWZXTLGPNMODF-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cn1ccc(C(=O)NCC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC001002005285 666124102 /nfs/dbraw/zinc/12/41/02/666124102.db2.gz CTHDIVFTZSCVMX-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cn1ccc(C(=O)NCC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001002005285 666124104 /nfs/dbraw/zinc/12/41/04/666124104.db2.gz CTHDIVFTZSCVMX-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cc1cccc(C)c1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032000529 666124084 /nfs/dbraw/zinc/12/40/84/666124084.db2.gz PJWHQHOFVJGCRO-UHFFFAOYSA-N 0 2 315.377 0.989 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000942258468 666140083 /nfs/dbraw/zinc/14/00/83/666140083.db2.gz OYRDXPYMFUSZSX-VDTYLAMSSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC000942258468 666140084 /nfs/dbraw/zinc/14/00/84/666140084.db2.gz OYRDXPYMFUSZSX-VDTYLAMSSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(Cc1ccsc1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002273054 666150175 /nfs/dbraw/zinc/15/01/75/666150175.db2.gz AOWAHXMXSGKCNS-UHFFFAOYSA-N 0 2 321.406 0.895 20 0 DCADLN C[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)n1cccc1 ZINC001002611793 666197915 /nfs/dbraw/zinc/19/79/15/666197915.db2.gz WXEYZXFCPCBVCI-LLVKDONJSA-N 0 2 318.381 0.654 20 0 DCADLN Cc1nc[nH]c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000995263589 666204109 /nfs/dbraw/zinc/20/41/09/666204109.db2.gz SEUZPQNTTPQVBT-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1nc[nH]c1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000995263589 666204112 /nfs/dbraw/zinc/20/41/12/666204112.db2.gz SEUZPQNTTPQVBT-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1C1CCC1 ZINC001032043294 666210335 /nfs/dbraw/zinc/21/03/35/666210335.db2.gz ZKCWUJKMTWFHRT-NWDGAFQWSA-N 0 2 305.382 0.495 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)O1 ZINC001002947055 666249904 /nfs/dbraw/zinc/24/99/04/666249904.db2.gz WSTUFLPKGWGURG-NWDGAFQWSA-N 0 2 323.397 0.549 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@]12C[C@H]1COC21CCC1 ZINC000922106859 666253010 /nfs/dbraw/zinc/25/30/10/666253010.db2.gz SBKNOAUSFFGPIH-XUPINFNUSA-N 0 2 308.425 0.650 20 0 DCADLN Cc1ncoc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032979549 666417507 /nfs/dbraw/zinc/41/75/07/666417507.db2.gz PMAWBBPBHOCFSU-VIFPVBQESA-N 0 2 306.326 0.153 20 0 DCADLN CN(C(=O)Cc1cn2c([nH+]1)CCCC2)[C@@H]1CC[N@H+](CCCO)C1 ZINC001033096478 666503442 /nfs/dbraw/zinc/50/34/42/666503442.db2.gz LSZHHIFZWQHLKE-OAHLLOKOSA-N 0 2 320.437 0.677 20 0 DCADLN CO[C@H]1CCCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032220657 666527171 /nfs/dbraw/zinc/52/71/71/666527171.db2.gz NBHKPAJEKODVJU-NEPJUHHUSA-N 0 2 323.397 0.264 20 0 DCADLN CCn1cnc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001033587724 666764617 /nfs/dbraw/zinc/76/46/17/666764617.db2.gz IFPBZYXUGBPHQV-JTQLQIEISA-N 0 2 319.369 0.073 20 0 DCADLN C[C@@H](C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001005232008 666769960 /nfs/dbraw/zinc/76/99/60/666769960.db2.gz MIEUACKIDCXGGO-SNVBAGLBSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)C[C@H]1CCCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033666719 666787050 /nfs/dbraw/zinc/78/70/50/666787050.db2.gz FCLTYYIFGAATLC-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)C[C@H]1CCCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033666719 666787052 /nfs/dbraw/zinc/78/70/52/666787052.db2.gz FCLTYYIFGAATLC-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CC(C)c1cc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)on1 ZINC000733944938 666829353 /nfs/dbraw/zinc/82/93/53/666829353.db2.gz QMTMTLPNOFHSJW-UHFFFAOYSA-N 0 2 316.321 0.499 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1[O-])[C@@H]1CC[N@@H+]([C@@H](C)C(=O)NC)C1 ZINC001033804759 666835720 /nfs/dbraw/zinc/83/57/20/666835720.db2.gz YVNBOTDXNKFPPK-WDEREUQCSA-N 0 2 323.397 0.095 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1[O-])[C@@H]1CC[N@H+]([C@@H](C)C(=O)NC)C1 ZINC001033804759 666835721 /nfs/dbraw/zinc/83/57/21/666835721.db2.gz YVNBOTDXNKFPPK-WDEREUQCSA-N 0 2 323.397 0.095 20 0 DCADLN CCN(C(=O)[C@H]1CCn2c[nH+]cc2C1)[C@@H]1CC[N@H+](CCO)C1 ZINC001033876766 666849365 /nfs/dbraw/zinc/84/93/65/666849365.db2.gz WYAPJDUNBSUJPH-UONOGXRCSA-N 0 2 306.410 0.361 20 0 DCADLN CCN(C(=O)[C@@H]1CCc2[nH+]ccn2C1)[C@H]1CC[N@H+](CCO)C1 ZINC001033881335 666855436 /nfs/dbraw/zinc/85/54/36/666855436.db2.gz PROPVQYDOLZPBE-KGLIPLIRSA-N 0 2 306.410 0.361 20 0 DCADLN CCN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033942033 666879963 /nfs/dbraw/zinc/87/99/63/666879963.db2.gz ULQIAECFYXQHLY-MROQNXINSA-N 0 2 319.409 0.979 20 0 DCADLN CCN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033942033 666879966 /nfs/dbraw/zinc/87/99/66/666879966.db2.gz ULQIAECFYXQHLY-MROQNXINSA-N 0 2 319.409 0.979 20 0 DCADLN CCN(C(=O)c1ccnnc1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033965525 666880275 /nfs/dbraw/zinc/88/02/75/666880275.db2.gz RLPAPDZTSFXQRL-NSHDSACASA-N 0 2 317.353 0.037 20 0 DCADLN Cc1nnccc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000996759271 666890314 /nfs/dbraw/zinc/89/03/14/666890314.db2.gz OJWJXXGMDLRBQS-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1nnccc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000996759271 666890318 /nfs/dbraw/zinc/89/03/18/666890318.db2.gz OJWJXXGMDLRBQS-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCOC1 ZINC001034126090 666921999 /nfs/dbraw/zinc/92/19/99/666921999.db2.gz SVTGSWHODYYQQB-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCOC1 ZINC001034126090 666922003 /nfs/dbraw/zinc/92/20/03/666922003.db2.gz SVTGSWHODYYQQB-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCCO1 ZINC001034170551 666943108 /nfs/dbraw/zinc/94/31/08/666943108.db2.gz VUIKLHVFJNTKES-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCCO1 ZINC001034170551 666943110 /nfs/dbraw/zinc/94/31/10/666943110.db2.gz VUIKLHVFJNTKES-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1nc(C)c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)o1 ZINC001032333872 666943217 /nfs/dbraw/zinc/94/32/17/666943217.db2.gz XLURDTJXIYTGQU-UWVGGRQHSA-N 0 2 318.337 0.214 20 0 DCADLN COC[C@@H](O)CNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861570420 666946009 /nfs/dbraw/zinc/94/60/09/666946009.db2.gz PYMGMTQHXYMTEL-ZETCQYMHSA-N 0 2 324.255 0.195 20 0 DCADLN Cc1nnc(CN2CCCC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001034291624 666979929 /nfs/dbraw/zinc/97/99/29/666979929.db2.gz CCRPJEOJCNXHFY-SNVBAGLBSA-N 0 2 304.358 0.016 20 0 DCADLN Cc1conc1C(=O)N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034478330 667038864 /nfs/dbraw/zinc/03/88/64/667038864.db2.gz XSQWCFZQHCHTKC-JTQLQIEISA-N 0 2 320.353 0.591 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000862377152 667039655 /nfs/dbraw/zinc/03/96/55/667039655.db2.gz OGBMITHFSZDWFH-OUAUKWLOSA-N 0 2 301.350 0.624 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC1([C@@H]2CCOC2)CC1 ZINC000735909721 667056168 /nfs/dbraw/zinc/05/61/68/667056168.db2.gz ISBGPLXACUVERI-LLVKDONJSA-N 0 2 317.345 0.986 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2CCCN2CC(F)F)S1 ZINC000862692951 667070323 /nfs/dbraw/zinc/07/03/23/667070323.db2.gz BIXXIQDXFBXGJE-HTQZYQBOSA-N 0 2 320.365 0.389 20 0 DCADLN O=C(NN1CCS(=O)(=O)CC1)c1cc(F)c(O)c(F)c1 ZINC000862733773 667076850 /nfs/dbraw/zinc/07/68/50/667076850.db2.gz KTVFJVUGGSDBFQ-UHFFFAOYSA-N 0 2 306.290 0.046 20 0 DCADLN COC(=O)CCNC(=O)CCNC(=O)C(F)C(F)(F)F ZINC000863158237 667114993 /nfs/dbraw/zinc/11/49/93/667114993.db2.gz SCTUWWJTFLVCSC-MRVPVSSYSA-N 0 2 302.224 0.072 20 0 DCADLN COC(=O)CCNC(=O)CCNC(=O)[C@@H](F)C(F)(F)F ZINC000863158237 667114994 /nfs/dbraw/zinc/11/49/94/667114994.db2.gz SCTUWWJTFLVCSC-MRVPVSSYSA-N 0 2 302.224 0.072 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)C[C@H](OC)C(C)C)nn1C ZINC000863449277 667146484 /nfs/dbraw/zinc/14/64/84/667146484.db2.gz BCGXNSASBJSJRS-JTQLQIEISA-N 0 2 319.383 0.619 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccnc1Br ZINC000119556885 667161768 /nfs/dbraw/zinc/16/17/68/667161768.db2.gz BODKTEOBACIQOW-UHFFFAOYSA-N 0 2 313.115 0.577 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)C2 ZINC000864212124 667224827 /nfs/dbraw/zinc/22/48/27/667224827.db2.gz VHWVCUHUEPLZET-GNNTXSLPSA-N 0 2 322.405 0.999 20 0 DCADLN O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)[C@H]1COCC[N@H+]1C1CCCC1)C2 ZINC000864212124 667224829 /nfs/dbraw/zinc/22/48/29/667224829.db2.gz VHWVCUHUEPLZET-GNNTXSLPSA-N 0 2 322.405 0.999 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)c1ccsc1 ZINC001035279175 667286321 /nfs/dbraw/zinc/28/63/21/667286321.db2.gz INYALBPXRBVRBC-JTQLQIEISA-N 0 2 323.378 0.203 20 0 DCADLN CO[C@@H](C)C[NH+]1CC2(C1)CCN(C(=O)c1[nH]nc(C)c1[O-])CC2 ZINC001035686549 667398595 /nfs/dbraw/zinc/39/85/95/667398595.db2.gz IUUUPMHYQHDGQB-NSHDSACASA-N 0 2 322.409 0.997 20 0 DCADLN O=C([C@H]1CC12CC2)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035806427 667412709 /nfs/dbraw/zinc/41/27/09/667412709.db2.gz FSYOANVLTUDMML-LLVKDONJSA-N 0 2 317.393 0.735 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@H](CN2CCOCC2)C1 ZINC000870063095 667703849 /nfs/dbraw/zinc/70/38/49/667703849.db2.gz TZWVBBVTYYAMLM-NXEZZACHSA-N 0 2 314.279 0.446 20 0 DCADLN CC1(C)[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H]2CCO[C@H]21 ZINC000741201976 667761091 /nfs/dbraw/zinc/76/10/91/667761091.db2.gz XMIBGBNFSGTSDR-GGZOMVNGSA-N 0 2 317.349 0.001 20 0 DCADLN COC(=O)CCCCCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742520635 667793057 /nfs/dbraw/zinc/79/30/57/667793057.db2.gz MPEPMXDHLRVSGV-SECBINFHSA-N 0 2 315.395 0.783 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCSC(F)(F)F)S1 ZINC000742544208 667793555 /nfs/dbraw/zinc/79/35/55/667793555.db2.gz DYAJFRDMPPSUQR-SCSAIBSYSA-N 0 2 301.315 0.912 20 0 DCADLN CCCCOC(=O)[C@H](C)OC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000744988206 667838257 /nfs/dbraw/zinc/83/82/57/667838257.db2.gz UJBBWKMMANPRLD-VIFPVBQESA-N 0 2 322.321 0.346 20 0 DCADLN CC(=O)Nc1cccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000745434613 667847654 /nfs/dbraw/zinc/84/76/54/667847654.db2.gz FCYYDQAZJBAMMQ-NSHDSACASA-N 0 2 320.374 0.818 20 0 DCADLN COC[C@H](CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)OC ZINC000872471508 667900259 /nfs/dbraw/zinc/90/02/59/667900259.db2.gz NQDQMGMSPUMHKJ-YYSFKGJASA-N 0 2 316.445 0.379 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(F)cc2[nH]c(=S)[nH]c21 ZINC000748418297 667927819 /nfs/dbraw/zinc/92/78/19/667927819.db2.gz HCHIGYDAVMSMHM-UHFFFAOYSA-N 0 2 308.298 0.744 20 0 DCADLN C[C@@](O)(CNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccsc1 ZINC000748550426 667931789 /nfs/dbraw/zinc/93/17/89/667931789.db2.gz JALRXGFZUIBXSI-QPUJVOFHSA-N 0 2 313.404 0.628 20 0 DCADLN C[C@]1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCC[C@@H]1O ZINC000748580301 667933003 /nfs/dbraw/zinc/93/30/03/667933003.db2.gz BNTVZKFSBDRQRK-XHDPSFHLSA-N 0 2 319.365 0.129 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](Cn3ccnn3)C2)S1 ZINC000752252835 668014633 /nfs/dbraw/zinc/01/46/33/668014633.db2.gz FWLTXMSGPFHGNT-VHSXEESVSA-N 0 2 322.394 0.073 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@]2(O)CCc3ccccc32)S1 ZINC000752566350 668019359 /nfs/dbraw/zinc/01/93/59/668019359.db2.gz VQIHBTDLWXPJPR-XHDPSFHLSA-N 0 2 319.386 0.493 20 0 DCADLN C[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC(C)(C)C1 ZINC000753015798 668024679 /nfs/dbraw/zinc/02/46/79/668024679.db2.gz IJJUZLZICFHCMB-SNVBAGLBSA-N 0 2 303.366 0.966 20 0 DCADLN CO[C@@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000753261200 668028352 /nfs/dbraw/zinc/02/83/52/668028352.db2.gz NICWEUOQLPJTQI-VHSXEESVSA-N 0 2 305.338 0.001 20 0 DCADLN CCc1noc(CC)c1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000755576039 668062469 /nfs/dbraw/zinc/06/24/69/668062469.db2.gz JMZXXWRUOCHOQG-JTQLQIEISA-N 0 2 310.379 0.972 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@@H+]1CCN(C)c2ncccc2C1 ZINC000874052281 668143277 /nfs/dbraw/zinc/14/32/77/668143277.db2.gz DTLBHDIOTGZZQY-UHFFFAOYSA-N 0 2 301.350 0.965 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@H+]1CCN(C)c2ncccc2C1 ZINC000874052281 668143278 /nfs/dbraw/zinc/14/32/78/668143278.db2.gz DTLBHDIOTGZZQY-UHFFFAOYSA-N 0 2 301.350 0.965 20 0 DCADLN Cc1[nH]nc2ncc(NC(=O)CC3SC(=N)NC3=O)cc12 ZINC000761455184 668328703 /nfs/dbraw/zinc/32/87/03/668328703.db2.gz NADVDBRXHARSLR-QMMMGPOBSA-N 0 2 304.335 0.761 20 0 DCADLN O=C(CN1CCN(C(=O)C(F)C(F)(F)F)CC1)NC1CC1 ZINC000763951923 668427161 /nfs/dbraw/zinc/42/71/61/668427161.db2.gz ISUWYRRMYACQEZ-SNVBAGLBSA-N 0 2 311.279 0.310 20 0 DCADLN O=C(CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1)NC1CC1 ZINC000763951923 668427165 /nfs/dbraw/zinc/42/71/65/668427165.db2.gz ISUWYRRMYACQEZ-SNVBAGLBSA-N 0 2 311.279 0.310 20 0 DCADLN CCS(=O)(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC000764287921 668442828 /nfs/dbraw/zinc/44/28/28/668442828.db2.gz LXUHXTFSPKAJLW-ZETCQYMHSA-N 0 2 308.297 0.675 20 0 DCADLN CCS(=O)(=O)N(C)CCCNC(=O)[C@H](F)C(F)(F)F ZINC000764287921 668442832 /nfs/dbraw/zinc/44/28/32/668442832.db2.gz LXUHXTFSPKAJLW-ZETCQYMHSA-N 0 2 308.297 0.675 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2OCC[C@@H]3O)S1 ZINC000768469846 668611185 /nfs/dbraw/zinc/61/11/85/668611185.db2.gz WZSASKWIVVGLRA-VHSXEESVSA-N 0 2 321.358 0.997 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2OCC[C@H]3O)S1 ZINC000768469835 668611432 /nfs/dbraw/zinc/61/14/32/668611432.db2.gz WZSASKWIVVGLRA-NXEZZACHSA-N 0 2 321.358 0.997 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H](CO)Cc2ccco2)S1 ZINC000769111118 668635012 /nfs/dbraw/zinc/63/50/12/668635012.db2.gz NLHNOTTUIUETNF-PSASIEDQSA-N 0 2 311.363 0.103 20 0 DCADLN Cc1cccc([C@@H](O)CNC(=O)C[C@@H]2SC(=N)NC2=O)c1 ZINC000769303329 668642881 /nfs/dbraw/zinc/64/28/81/668642881.db2.gz JRMIYZYZRTXCSX-QWRGUYRKSA-N 0 2 307.375 0.701 20 0 DCADLN COc1cc(CCNC(=O)C[C@H]2SC(=N)NC2=O)ccc1O ZINC000769354464 668644119 /nfs/dbraw/zinc/64/41/19/668644119.db2.gz MELVMFZCBAKMJS-LLVKDONJSA-N 0 2 323.374 0.616 20 0 DCADLN COc1cc(CNC(=O)C[C@@H]2SC(=N)NC2=O)ccc1O ZINC000769356423 668644558 /nfs/dbraw/zinc/64/45/58/668644558.db2.gz HFSRDPIXSBYVMU-JTQLQIEISA-N 0 2 309.347 0.573 20 0 DCADLN CSc1nc(C(=O)NN2CC(=O)N(C)C2=O)c2ccccn21 ZINC000770456125 668694379 /nfs/dbraw/zinc/69/43/79/668694379.db2.gz ZKTNIZTUHJLUTM-UHFFFAOYSA-N 0 2 319.346 0.595 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCC[C@H]2CCCC[C@H]2O)S1 ZINC000771746976 668749342 /nfs/dbraw/zinc/74/93/42/668749342.db2.gz HBPYGOGCPJVHEY-MXWKQRLJSA-N 0 2 313.423 0.990 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc2c(c1)OCC(=O)N2 ZINC000773103764 668792811 /nfs/dbraw/zinc/79/28/11/668792811.db2.gz PNUPXUXVACKADO-UHFFFAOYSA-N 0 2 320.330 0.662 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccnc(-n2ccnc2)c1 ZINC000773107192 668792878 /nfs/dbraw/zinc/79/28/78/668792878.db2.gz ZRPBSUXIICANGK-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN O=C(COc1ccc2ccc(=O)oc2c1)OCc1n[nH]c(=O)[nH]1 ZINC000774874084 668849337 /nfs/dbraw/zinc/84/93/37/668849337.db2.gz UHHPRFOCIGKPIS-UHFFFAOYSA-N 0 2 317.257 0.739 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CC[C@@H](C(F)(F)F)NC1=O ZINC000774919940 668851504 /nfs/dbraw/zinc/85/15/04/668851504.db2.gz QGZXCFWOSZCXEJ-UHNVWZDZSA-N 0 2 308.216 0.011 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cc(F)ccc1N1CCOCC1 ZINC000774941302 668851880 /nfs/dbraw/zinc/85/18/80/668851880.db2.gz CIWSIKNQOPSBOJ-UHFFFAOYSA-N 0 2 322.296 0.843 20 0 DCADLN CSCCCCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000775919070 668869316 /nfs/dbraw/zinc/86/93/16/668869316.db2.gz IACMSDRFJRTBJW-UHFFFAOYSA-N 0 2 309.395 0.721 20 0 DCADLN CO[C@H](C)c1nc(C)c(C(=O)NN2CC(=O)N(C)C2=O)s1 ZINC000776241714 668873774 /nfs/dbraw/zinc/87/37/74/668873774.db2.gz LKPVUQLDBDQAJW-SSDOTTSWSA-N 0 2 312.351 0.698 20 0 DCADLN CN(CCO)c1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000777429307 668887162 /nfs/dbraw/zinc/88/71/62/668887162.db2.gz IGRGFLWZDRXNIZ-LLVKDONJSA-N 0 2 322.390 0.610 20 0 DCADLN CCC[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000780341122 668980400 /nfs/dbraw/zinc/98/04/00/668980400.db2.gz GXGLSWCKKIENDK-NSHDSACASA-N 0 2 301.387 0.650 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2CCCC[C@H]2C(=O)[O-])CCO1 ZINC000780511664 668990915 /nfs/dbraw/zinc/99/09/15/668990915.db2.gz RCZLBMRLPOJTJR-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2CCCC[C@H]2C(=O)[O-])CCO1 ZINC000780511664 668990917 /nfs/dbraw/zinc/99/09/17/668990917.db2.gz RCZLBMRLPOJTJR-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCC2(C)OCCO2)cn1 ZINC000781019798 669027257 /nfs/dbraw/zinc/02/72/57/669027257.db2.gz JZYHITQMSZEFPN-UHFFFAOYSA-N 0 2 305.338 0.693 20 0 DCADLN COC(=O)[C@@H]1CCCCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000781375496 669039765 /nfs/dbraw/zinc/03/97/65/669039765.db2.gz LBCKKAFQWJDSQN-DTWKUNHWSA-N 0 2 313.379 0.487 20 0 DCADLN O=C(NN1CN=NC1=O)c1csc(Nc2ccccn2)n1 ZINC000782126346 669080422 /nfs/dbraw/zinc/08/04/22/669080422.db2.gz VYQWAEOWTYOUOV-UHFFFAOYSA-N 0 2 303.307 0.963 20 0 DCADLN CS[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)(C)C ZINC000784151939 669191812 /nfs/dbraw/zinc/19/18/12/669191812.db2.gz DZXQKKRPALLASP-JTQLQIEISA-N 0 2 323.422 0.965 20 0 DCADLN CC1(C)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC(C)(C)O1 ZINC000785425562 669255957 /nfs/dbraw/zinc/25/59/57/669255957.db2.gz JYWLHRFQEGYOBW-UHFFFAOYSA-N 0 2 319.365 0.487 20 0 DCADLN Cc1cc(C(F)(F)C(=O)NCc2nn[nH]n2)cnc1Cl ZINC000932532062 669380786 /nfs/dbraw/zinc/38/07/86/669380786.db2.gz CRMOREGWIWACAP-UHFFFAOYSA-N 0 2 302.672 0.965 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)[N-]N2CCCNC2=O)C1 ZINC000882107959 669458875 /nfs/dbraw/zinc/45/88/75/669458875.db2.gz VDGDAJPBLWMEHJ-UHFFFAOYSA-N 0 2 324.406 0.283 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)[N-]N2CCCNC2=O)C1 ZINC000882107959 669458877 /nfs/dbraw/zinc/45/88/77/669458877.db2.gz VDGDAJPBLWMEHJ-UHFFFAOYSA-N 0 2 324.406 0.283 20 0 DCADLN CC(C)C[C@@]1(CO)CCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000789875402 669515213 /nfs/dbraw/zinc/51/52/13/669515213.db2.gz SNNQEGAEDQQGBM-IINYFYTJSA-N 0 2 313.423 0.942 20 0 DCADLN O=c1cc(CN2CCOC[C@@H]2C[C@@H]2CCCO2)nc2cc[nH]n21 ZINC000933693008 669519586 /nfs/dbraw/zinc/51/95/86/669519586.db2.gz RFWZBOXXXPJWBY-KBPBESRZSA-N 0 2 318.377 0.793 20 0 DCADLN CC(C)(C)[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000791083323 669592754 /nfs/dbraw/zinc/59/27/54/669592754.db2.gz QNZWOWTZSUIVTG-LLVKDONJSA-N 0 2 319.365 0.345 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])Cc1ccc(C(=O)OC)s1 ZINC000883413086 669681687 /nfs/dbraw/zinc/68/16/87/669681687.db2.gz ZEMQMHGLXOISBT-UHFFFAOYSA-N 0 2 315.347 0.984 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])Cc1ccc(C(=O)OC)s1 ZINC000883413086 669681692 /nfs/dbraw/zinc/68/16/92/669681692.db2.gz ZEMQMHGLXOISBT-UHFFFAOYSA-N 0 2 315.347 0.984 20 0 DCADLN CC(=O)N[C@](C)(C(=O)NCCc1n[nH]c(=S)o1)C(C)C ZINC000935449358 669723836 /nfs/dbraw/zinc/72/38/36/669723836.db2.gz VUSYLFQXBPRHQR-LBPRGKRZSA-N 0 2 300.384 0.568 20 0 DCADLN Cn1nccc1C(=O)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949050859 669946081 /nfs/dbraw/zinc/94/60/81/669946081.db2.gz HWRNCDKFYZDAEQ-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000949050859 669946084 /nfs/dbraw/zinc/94/60/84/669946084.db2.gz HWRNCDKFYZDAEQ-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnccn1 ZINC000948786654 670181285 /nfs/dbraw/zinc/18/12/85/670181285.db2.gz HBHOEUJGSVZBAZ-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cnccn1 ZINC000948786654 670181287 /nfs/dbraw/zinc/18/12/87/670181287.db2.gz HBHOEUJGSVZBAZ-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)[nH]n1 ZINC000948980218 670230388 /nfs/dbraw/zinc/23/03/88/670230388.db2.gz COTUQGWYRZDREB-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2C(=O)[C@H](F)C(F)(F)F)[nH]n1 ZINC000948980218 670230392 /nfs/dbraw/zinc/23/03/92/670230392.db2.gz COTUQGWYRZDREB-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN COCCO[N-]C(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000803667362 670257078 /nfs/dbraw/zinc/25/70/78/670257078.db2.gz RSUNTOOXPKGFLF-UHFFFAOYSA-N 0 2 311.338 0.017 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ncccn1 ZINC000951790095 670370793 /nfs/dbraw/zinc/37/07/93/670370793.db2.gz DCPISGZEDNCAEM-JGVFFNPUSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ncccn1 ZINC000951790095 670370796 /nfs/dbraw/zinc/37/07/96/670370796.db2.gz DCPISGZEDNCAEM-JGVFFNPUSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cccnn1 ZINC000951801391 670375857 /nfs/dbraw/zinc/37/58/57/670375857.db2.gz LXHBKCLNWRCHLY-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cccnn1 ZINC000951801391 670375861 /nfs/dbraw/zinc/37/58/61/670375861.db2.gz LXHBKCLNWRCHLY-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN Cn1cc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)nn1 ZINC000949755973 670423664 /nfs/dbraw/zinc/42/36/64/670423664.db2.gz WIZBQUKSELPTND-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)NC[C@H]2CCN2C(=O)[C@H](F)C(F)(F)F)nn1 ZINC000949755973 670423665 /nfs/dbraw/zinc/42/36/65/670423665.db2.gz WIZBQUKSELPTND-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN CCOC1CC(CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949804407 670436289 /nfs/dbraw/zinc/43/62/89/670436289.db2.gz RUMCPIQKOBXSGT-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)c1cnn(CC)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949803938 670436329 /nfs/dbraw/zinc/43/63/29/670436329.db2.gz MAPBIMZZPKVVMJ-UHFFFAOYSA-N 0 2 319.369 0.073 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ncc[nH]1 ZINC000949825561 670441322 /nfs/dbraw/zinc/44/13/22/670441322.db2.gz VQDKHMARZWFDTF-BQBZGAKWSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ncc[nH]1 ZINC000949825561 670441325 /nfs/dbraw/zinc/44/13/25/670441325.db2.gz VQDKHMARZWFDTF-BQBZGAKWSA-N 0 2 308.235 0.641 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000949860064 670449635 /nfs/dbraw/zinc/44/96/35/670449635.db2.gz SCHKXJHGNDLZAN-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000949860064 670449637 /nfs/dbraw/zinc/44/96/37/670449637.db2.gz SCHKXJHGNDLZAN-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1C[C@@H](c2ccccc2)OC1=O ZINC000809706438 670459300 /nfs/dbraw/zinc/45/93/00/670459300.db2.gz YUAJXKSRNNVQKN-ZJUUUORDSA-N 0 2 302.290 0.431 20 0 DCADLN COCCNC(=O)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810039750 670472240 /nfs/dbraw/zinc/47/22/40/670472240.db2.gz HCHSLMWBYQBBOX-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN COCCNC(=O)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000810039750 670472245 /nfs/dbraw/zinc/47/22/45/670472245.db2.gz HCHSLMWBYQBBOX-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN CC(C)(C)NS(=O)(=O)CCNC(=O)C(F)C(F)(F)F ZINC000810091988 670475024 /nfs/dbraw/zinc/47/50/24/670475024.db2.gz NFOUXPOPYJMAAP-ZCFIWIBFSA-N 0 2 308.297 0.721 20 0 DCADLN CC(C)(C)NS(=O)(=O)CCNC(=O)[C@@H](F)C(F)(F)F ZINC000810091988 670475027 /nfs/dbraw/zinc/47/50/27/670475027.db2.gz NFOUXPOPYJMAAP-ZCFIWIBFSA-N 0 2 308.297 0.721 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000810113915 670476899 /nfs/dbraw/zinc/47/68/99/670476899.db2.gz HVTMQOMTTZBIOU-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000810113915 670476901 /nfs/dbraw/zinc/47/69/01/670476901.db2.gz HVTMQOMTTZBIOU-RQJHMYQMSA-N 0 2 306.281 0.427 20 0 DCADLN COc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000952384823 670485395 /nfs/dbraw/zinc/48/53/95/670485395.db2.gz DITONAQLZGIOLU-UHFFFAOYSA-N 0 2 307.310 0.070 20 0 DCADLN O=C(c1ncccc1F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000952396993 670487909 /nfs/dbraw/zinc/48/79/09/670487909.db2.gz JMZUKPALDJGTDM-UHFFFAOYSA-N 0 2 306.301 0.002 20 0 DCADLN O=C(CCn1cccc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000952406604 670489072 /nfs/dbraw/zinc/48/90/72/670489072.db2.gz DAJNVDSSZUGCLQ-UHFFFAOYSA-N 0 2 304.354 0.046 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCc1cncs1 ZINC000811119088 670507188 /nfs/dbraw/zinc/50/71/88/670507188.db2.gz FKMHBGFWFUJYJT-UHFFFAOYSA-N 0 2 305.319 0.314 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2C[C@H]2c2cccnc2)S1 ZINC000847155146 670522023 /nfs/dbraw/zinc/52/20/23/670522023.db2.gz KCZUBTLNLOHZHO-GARJFASQSA-N 0 2 304.375 0.858 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cnccc2C(F)(F)F)C1=O ZINC000847639218 670584746 /nfs/dbraw/zinc/58/47/46/670584746.db2.gz YVHAGIXVZXUZAZ-UHFFFAOYSA-N 0 2 302.212 0.639 20 0 DCADLN CCCCOC(=O)C(C)(C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000848328622 670670092 /nfs/dbraw/zinc/67/00/92/670670092.db2.gz OHAGWFXDUKPGIN-QMMMGPOBSA-N 0 2 315.395 0.781 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000814609279 670699512 /nfs/dbraw/zinc/69/95/12/670699512.db2.gz ZXZVWAOSQMDXSP-LLVKDONJSA-N 0 2 316.317 0.997 20 0 DCADLN CC(C)(C)OCCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855705257 670749713 /nfs/dbraw/zinc/74/97/13/670749713.db2.gz LILKERBVGXJLJZ-UHFFFAOYSA-N 0 2 307.354 0.393 20 0 DCADLN C[C@H](c1ccccc1)[C@@H](O)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000856289131 670801745 /nfs/dbraw/zinc/80/17/45/670801745.db2.gz LGWZHABOXJXLLA-ADEWGFFLSA-N 0 2 321.402 0.824 20 0 DCADLN CO[C@@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCC1 ZINC000849726631 670843791 /nfs/dbraw/zinc/84/37/91/670843791.db2.gz MNPLBRLEWPEUBH-NSHDSACASA-N 0 2 306.322 0.430 20 0 DCADLN CCC[C@@H](C)C(=O)NCCNC(=O)C[C@H]1SC(=N)NC1=O ZINC000817225777 670906381 /nfs/dbraw/zinc/90/63/81/670906381.db2.gz UFBXOHNZFPNIJL-RKDXNWHRSA-N 0 2 314.411 0.211 20 0 DCADLN CONC(=O)CNc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000857589786 670935445 /nfs/dbraw/zinc/93/54/45/670935445.db2.gz KKAYMALKNABHEV-UHFFFAOYSA-N 0 2 313.379 0.561 20 0 DCADLN CC(C)Oc1ccc([C@H](O)CNC(=O)c2nc(=O)[nH][nH]2)cc1 ZINC000116463481 671058063 /nfs/dbraw/zinc/05/80/63/671058063.db2.gz JHWONPABPZZVNA-LLVKDONJSA-N 0 2 306.322 0.349 20 0 DCADLN COC1CN(C(=O)c2ccc(CNC(=O)C(F)(F)F)o2)C1 ZINC000859337642 671100707 /nfs/dbraw/zinc/10/07/07/671100707.db2.gz ZDIHBJOCRGSVBS-UHFFFAOYSA-N 0 2 306.240 0.929 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOC[C@H]2CC2CCC2)S1 ZINC000819307274 671110220 /nfs/dbraw/zinc/11/02/20/671110220.db2.gz SVZSYWDUWRJCFZ-MNOVXSKESA-N 0 2 311.407 0.960 20 0 DCADLN COC(=O)[C@@H](C)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860061645 671173525 /nfs/dbraw/zinc/17/35/25/671173525.db2.gz MDIFCYVLHJKISF-ZCFIWIBFSA-N 0 2 322.239 0.749 20 0 DCADLN CCn1ncn(NC(=O)c2cn(CC(F)F)nc2C)c1=O ZINC000820398938 671199037 /nfs/dbraw/zinc/19/90/37/671199037.db2.gz FXROANKCVMIVLG-UHFFFAOYSA-N 0 2 300.269 0.219 20 0 DCADLN Cc1cc(C)nc(NS(=O)(=O)c2cnn3c2OCCC3)n1 ZINC000820802317 671229322 /nfs/dbraw/zinc/22/93/22/671229322.db2.gz NUZWXTMTKCBGAE-UHFFFAOYSA-N 0 2 309.351 0.873 20 0 DCADLN Cc1cc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c(C)nn1 ZINC000861063498 671262218 /nfs/dbraw/zinc/26/22/18/671262218.db2.gz GARJMHIQDYSXIQ-UHFFFAOYSA-N 0 2 314.305 0.221 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+](CN2C(=O)N[C@@](C)(C3CC3)C2=O)C1 ZINC000827889440 671539064 /nfs/dbraw/zinc/53/90/64/671539064.db2.gz HKLOBTXKULYXKQ-YGRLFVJLSA-N 0 2 310.354 0.349 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+](CN2C(=O)N[C@@](C)(C3CC3)C2=O)C1 ZINC000827889440 671539065 /nfs/dbraw/zinc/53/90/65/671539065.db2.gz HKLOBTXKULYXKQ-YGRLFVJLSA-N 0 2 310.354 0.349 20 0 DCADLN Cc1cc(=O)[nH]c(CN(C)CC[NH+]2CCN(C(=O)[O-])CC2)n1 ZINC000830122375 671613589 /nfs/dbraw/zinc/61/35/89/671613589.db2.gz LUKVYHSCGMRRFE-UHFFFAOYSA-N 0 2 309.370 0.218 20 0 DCADLN O=C(NC[C@H]1C[C@H](O)C1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000834742130 671778410 /nfs/dbraw/zinc/77/84/10/671778410.db2.gz XGESCGCKKAFPBD-ZKCHVHJHSA-N 0 2 320.267 0.959 20 0 DCADLN CC[C@H](NS(=O)(=O)N=S(=O)(CC)CC)[C@@H](O)C(F)F ZINC000867330947 672115739 /nfs/dbraw/zinc/11/57/39/672115739.db2.gz MXAJQTDSBRSCHR-JGVFFNPUSA-N 0 2 322.399 0.733 20 0 DCADLN C[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC2(CCC2)O1 ZINC000843732431 672118343 /nfs/dbraw/zinc/11/83/43/672118343.db2.gz HBRXUUWLNZNMLR-JTQLQIEISA-N 0 2 317.349 0.241 20 0 DCADLN Cc1cc(OCC(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)no1 ZINC000843875766 672126907 /nfs/dbraw/zinc/12/69/07/672126907.db2.gz SUWPSHIUXICVRG-UHFFFAOYSA-N 0 2 307.310 0.592 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCO[C@]3(CCSC3)C2)S1 ZINC000843946231 672130538 /nfs/dbraw/zinc/13/05/38/672130538.db2.gz MJGCGWJMSGNAII-PRHODGIISA-N 0 2 315.420 0.277 20 0 DCADLN CCN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@H]1CCCOC1 ZINC000844857750 672206787 /nfs/dbraw/zinc/20/67/87/672206787.db2.gz CJAMNKGHVCQEKL-JTQLQIEISA-N 0 2 305.338 0.099 20 0 DCADLN O=C(CC1(Cn2cnnn2)CCCCC1)OCc1n[nH]c(=O)[nH]1 ZINC000845255677 672236912 /nfs/dbraw/zinc/23/69/12/672236912.db2.gz CBCGIXHEZRTEFU-UHFFFAOYSA-N 0 2 321.341 0.581 20 0 DCADLN Cc1nc(S(=O)(=O)[N-]C(=O)C[C@H](C)n2cc[nH+]c2)cn1C ZINC000845598752 672265620 /nfs/dbraw/zinc/26/56/20/672265620.db2.gz UZBOCNSBHPRANJ-VIFPVBQESA-N 0 2 311.367 0.381 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)[C@](C)(O)c1ccccc1 ZINC000846411776 672311701 /nfs/dbraw/zinc/31/17/01/672311701.db2.gz SRJDNXOXVFPSSA-ISOBSLSZSA-N 0 2 321.402 0.955 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1ccccn1 ZINC000846493953 672318543 /nfs/dbraw/zinc/31/85/43/672318543.db2.gz CCQRPOHRWXNYFQ-CYBMUJFWSA-N 0 2 306.366 0.230 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1ccccn1 ZINC000846493953 672318546 /nfs/dbraw/zinc/31/85/46/672318546.db2.gz CCQRPOHRWXNYFQ-CYBMUJFWSA-N 0 2 306.366 0.230 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000846495972 672318758 /nfs/dbraw/zinc/31/87/58/672318758.db2.gz GQUVSKLPQPOIAP-MBNYWOFBSA-N 0 2 315.414 0.304 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000846495972 672318759 /nfs/dbraw/zinc/31/87/59/672318759.db2.gz GQUVSKLPQPOIAP-MBNYWOFBSA-N 0 2 315.414 0.304 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)[N-]CC1([NH+](C)C)CC1 ZINC000867438380 672342022 /nfs/dbraw/zinc/34/20/22/672342022.db2.gz CSPWMMNUXCRUIW-UHFFFAOYSA-N 0 2 302.352 0.439 20 0 DCADLN CN(C(=O)Cc1ccon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953929735 685904225 /nfs/dbraw/zinc/90/42/25/685904225.db2.gz JSOJFYOHFMWOAA-SNVBAGLBSA-N 0 2 323.246 0.787 20 0 DCADLN CN(C(=O)Cc1ccon1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953929735 685904233 /nfs/dbraw/zinc/90/42/33/685904233.db2.gz JSOJFYOHFMWOAA-SNVBAGLBSA-N 0 2 323.246 0.787 20 0 DCADLN Cc1nonc1C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954671445 686096139 /nfs/dbraw/zinc/09/61/39/686096139.db2.gz ZFEFFTBHOLMGGX-MRVPVSSYSA-N 0 2 324.234 0.561 20 0 DCADLN Cc1nonc1C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954671445 686096141 /nfs/dbraw/zinc/09/61/41/686096141.db2.gz ZFEFFTBHOLMGGX-MRVPVSSYSA-N 0 2 324.234 0.561 20 0 DCADLN CCN(C(=O)[C@H]1C[C@H]1C(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957059024 686949091 /nfs/dbraw/zinc/94/90/91/686949091.db2.gz MNWQVFUKPFJOBO-RYUDHWBXSA-N 0 2 307.398 0.835 20 0 DCADLN C[C@]1(NC(=O)c2cn[nH]n2)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000957186756 686990171 /nfs/dbraw/zinc/99/01/71/686990171.db2.gz UNMBYIDXZMHNHN-XCBNKYQSSA-N 0 2 323.250 0.426 20 0 DCADLN CCc1noc(C)c1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957623785 687087601 /nfs/dbraw/zinc/08/76/01/687087601.db2.gz SLVSFWDEIPGNIZ-UHFFFAOYSA-N 0 2 320.353 0.327 20 0 DCADLN Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1 ZINC000958470227 687686155 /nfs/dbraw/zinc/68/61/55/687686155.db2.gz SSIZZZKELLWKSG-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1 ZINC000958470227 687686162 /nfs/dbraw/zinc/68/61/62/687686162.db2.gz SSIZZZKELLWKSG-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncccn4)C[C@H]32)c1[O-] ZINC000958582692 687772044 /nfs/dbraw/zinc/77/20/44/687772044.db2.gz FFUFCCYHTXFQJQ-IAZYJMLFSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncccn4)C[C@H]32)c1[O-] ZINC000958582692 687772051 /nfs/dbraw/zinc/77/20/51/687772051.db2.gz FFUFCCYHTXFQJQ-IAZYJMLFSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1csc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1 ZINC000958795717 687928706 /nfs/dbraw/zinc/92/87/06/687928706.db2.gz MLXRAYLYNAGREP-GDGBQDQQSA-N 0 2 319.390 0.740 20 0 DCADLN Cc1csc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1 ZINC000958795717 687928713 /nfs/dbraw/zinc/92/87/13/687928713.db2.gz MLXRAYLYNAGREP-GDGBQDQQSA-N 0 2 319.390 0.740 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ocnc1C1CC1 ZINC001038696926 694165276 /nfs/dbraw/zinc/16/52/76/694165276.db2.gz JCKFUBXRHFLZDI-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ncccc1Cl ZINC001038932450 694245536 /nfs/dbraw/zinc/24/55/36/694245536.db2.gz DEABDLOOVLNGMP-QMMMGPOBSA-N 0 2 322.756 0.563 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)C(C)(F)F ZINC000964619198 689271834 /nfs/dbraw/zinc/27/18/34/689271834.db2.gz RDMBGJPOGYGCCH-SFYZADRCSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccco1 ZINC000964653061 689280861 /nfs/dbraw/zinc/28/08/61/689280861.db2.gz BZGZSPJUPOLPSL-UWVGGRQHSA-N 0 2 305.338 0.744 20 0 DCADLN CCC(=O)N1CCOC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000965255299 689382522 /nfs/dbraw/zinc/38/25/22/689382522.db2.gz YFSYFPBDNKWPJM-SECBINFHSA-N 0 2 312.263 0.737 20 0 DCADLN CCC(=O)N1CCOC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC000965255299 689382526 /nfs/dbraw/zinc/38/25/26/689382526.db2.gz YFSYFPBDNKWPJM-SECBINFHSA-N 0 2 312.263 0.737 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC[C@@H]1C ZINC000965857472 689567958 /nfs/dbraw/zinc/56/79/58/689567958.db2.gz AIAILZKGQWTOKG-JOYOIKCWSA-N 0 2 318.381 0.787 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC[C@@H]1C ZINC000965857472 689567960 /nfs/dbraw/zinc/56/79/60/689567960.db2.gz AIAILZKGQWTOKG-JOYOIKCWSA-N 0 2 318.381 0.787 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@H]2C)co1 ZINC000965941472 689589941 /nfs/dbraw/zinc/58/99/41/689589941.db2.gz QYKGDMIATLENRY-PSASIEDQSA-N 0 2 320.353 0.447 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000973012075 690507802 /nfs/dbraw/zinc/50/78/02/690507802.db2.gz ZCVIRPOJMMWCBH-XZUYRWCXSA-N 0 2 319.409 0.883 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000973012075 690507807 /nfs/dbraw/zinc/50/78/07/690507807.db2.gz ZCVIRPOJMMWCBH-XZUYRWCXSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001010563783 691313763 /nfs/dbraw/zinc/31/37/63/691313763.db2.gz BGMXLUOBIMAZSN-GHMZBOCLSA-N 0 2 304.354 0.264 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)cn3)C2)c1[O-] ZINC001010638261 691332723 /nfs/dbraw/zinc/33/27/23/691332723.db2.gz JUPBEKMNEDYLEL-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)c1[O-] ZINC001010638261 691332728 /nfs/dbraw/zinc/33/27/28/691332728.db2.gz JUPBEKMNEDYLEL-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN CC(C)C(=O)N1CC[C@@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040070200 694476359 /nfs/dbraw/zinc/47/63/59/694476359.db2.gz QMNMLKJOXVGZNG-GZMMTYOYSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)N1CC[C@@](O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001040070200 694476362 /nfs/dbraw/zinc/47/63/62/694476362.db2.gz QMNMLKJOXVGZNG-GZMMTYOYSA-N 0 2 314.279 0.622 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001014487800 692030256 /nfs/dbraw/zinc/03/02/56/692030256.db2.gz WFAMCLRZICFENE-MROQNXINSA-N 0 2 317.393 0.803 20 0 DCADLN C[C@]1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC=CCC1 ZINC001014555235 692042143 /nfs/dbraw/zinc/04/21/43/692042143.db2.gz XMOXXYMVTWTDIB-ABAIWWIYSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cnc(C2CC2)o1 ZINC001014766894 692088819 /nfs/dbraw/zinc/08/88/19/692088819.db2.gz IUUQNWXUINORIS-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN CCc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001014971105 692147941 /nfs/dbraw/zinc/14/79/41/692147941.db2.gz FHBWMBLAJYTIJY-SECBINFHSA-N 0 2 305.338 0.670 20 0 DCADLN COC1CCC(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001015630188 692371212 /nfs/dbraw/zinc/37/12/12/692371212.db2.gz UVDPBSHBCLFJHE-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1Cc2ccccc21 ZINC001015636096 692375033 /nfs/dbraw/zinc/37/50/33/692375033.db2.gz BSJTYFSRKLXJHY-WCQYABFASA-N 0 2 313.361 0.541 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(C2CC2)no1 ZINC001015775583 692437122 /nfs/dbraw/zinc/43/71/22/692437122.db2.gz PGAZIYIPGDCZJV-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1coc(C2CC2)n1 ZINC001015807564 692451486 /nfs/dbraw/zinc/45/14/86/692451486.db2.gz XXWGPVJYVRZOPP-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN CCc1cc(C)c(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015999585 692541920 /nfs/dbraw/zinc/54/19/20/692541920.db2.gz QTWJJZVWKVMEBO-SNVBAGLBSA-N 0 2 319.365 0.978 20 0 DCADLN O=C(Cc1cccc(F)c1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016188523 692593816 /nfs/dbraw/zinc/59/38/16/692593816.db2.gz RTWBOZCFYJDSDF-LBPRGKRZSA-N 0 2 319.340 0.583 20 0 DCADLN CCCC(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074144357 694826941 /nfs/dbraw/zinc/82/69/41/694826941.db2.gz OVIOAMLVTFSJEU-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN CC1(C(=O)N2CC[C@H]3OCCN(Cc4n[nH]c(=O)[nH]4)[C@H]3C2)CC1 ZINC001074253506 694852173 /nfs/dbraw/zinc/85/21/73/694852173.db2.gz WEXGFGGEKVGVMS-WDEREUQCSA-N 0 2 321.381 0.112 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076856588 695077447 /nfs/dbraw/zinc/07/74/47/695077447.db2.gz AJEUDVXBUDCMLF-RNJXMRFFSA-N 0 2 302.268 0.933 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001076856588 695077451 /nfs/dbraw/zinc/07/74/51/695077451.db2.gz AJEUDVXBUDCMLF-RNJXMRFFSA-N 0 2 302.268 0.933 20 0 DCADLN COCCCC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001745142152 1157813929 /nfs/dbraw/zinc/81/39/29/1157813929.db2.gz VFKSXJACCHWVPP-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN COCCCC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001745142152 1157813931 /nfs/dbraw/zinc/81/39/31/1157813931.db2.gz VFKSXJACCHWVPP-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN Cc1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nn1 ZINC001720089974 1158944429 /nfs/dbraw/zinc/94/44/29/1158944429.db2.gz WWVFINGZJAGIME-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1ccc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001720089974 1158944435 /nfs/dbraw/zinc/94/44/35/1158944435.db2.gz WWVFINGZJAGIME-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1cnc([C@@H](C)[N@@H+](C)[C@H](C)CNC(=O)c2ncn[nH]2)cn1 ZINC001448106459 1159718507 /nfs/dbraw/zinc/71/85/07/1159718507.db2.gz UIZPQONCQFHFEV-GHMZBOCLSA-N 0 2 303.370 0.714 20 0 DCADLN Cc1cnc([C@@H](C)[N@H+](C)[C@H](C)CNC(=O)c2ncn[nH]2)cn1 ZINC001448106459 1159718509 /nfs/dbraw/zinc/71/85/09/1159718509.db2.gz UIZPQONCQFHFEV-GHMZBOCLSA-N 0 2 303.370 0.714 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CC[N@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001607374269 1159796974 /nfs/dbraw/zinc/79/69/74/1159796974.db2.gz RYFNPGQJJMRHMC-QMMMGPOBSA-N 0 2 319.408 0.212 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CC[N@@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001607374269 1159796982 /nfs/dbraw/zinc/79/69/82/1159796982.db2.gz RYFNPGQJJMRHMC-QMMMGPOBSA-N 0 2 319.408 0.212 20 0 DCADLN CCOCCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567359495 1160327297 /nfs/dbraw/zinc/32/72/97/1160327297.db2.gz MGXAFEYLJMVTJI-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CCOCCCC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567359495 1160327305 /nfs/dbraw/zinc/32/73/05/1160327305.db2.gz MGXAFEYLJMVTJI-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN C[C@H](C(=O)NCCc1n[nH]c(=S)o1)N(C)CC(F)(F)F ZINC001567853157 1160502057 /nfs/dbraw/zinc/50/20/57/1160502057.db2.gz SLNHRBIJFYLYSR-ZCFIWIBFSA-N 0 2 312.317 0.900 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C(=O)[O-])[C@H](O)c1ccncc1 ZINC001573551344 1163486702 /nfs/dbraw/zinc/48/67/02/1163486702.db2.gz SFOXPVZCAGPLGG-OASPWFOLSA-N 0 2 318.333 0.286 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C(=O)[O-])[C@H](O)c1ccncc1 ZINC001573551344 1163486725 /nfs/dbraw/zinc/48/67/25/1163486725.db2.gz SFOXPVZCAGPLGG-OASPWFOLSA-N 0 2 318.333 0.286 20 0 DCADLN CCn1cc(C(=O)[O-])c(NC(=O)[C@@H]2CCn3c[nH+]cc3C2)n1 ZINC001573844649 1163564852 /nfs/dbraw/zinc/56/48/52/1163564852.db2.gz KIDBLEWBXUIINU-SECBINFHSA-N 0 2 303.322 0.999 20 0 DCADLN C[N@H+](Cc1nc(C(=O)[O-])no1)[C@@H](CC(N)=O)c1ccccc1 ZINC001573877249 1163575202 /nfs/dbraw/zinc/57/52/02/1163575202.db2.gz LLGZJYOXEGYCIR-JTQLQIEISA-N 0 2 304.306 0.816 20 0 DCADLN C[N@@H+](Cc1nc(C(=O)[O-])no1)[C@@H](CC(N)=O)c1ccccc1 ZINC001573877249 1163575206 /nfs/dbraw/zinc/57/52/06/1163575206.db2.gz LLGZJYOXEGYCIR-JTQLQIEISA-N 0 2 304.306 0.816 20 0 DCADLN Cn1cc(C[N@@H+]2CCO[C@H](C(=O)[O-])C2)c(C2CCOCC2)n1 ZINC001574213391 1163667617 /nfs/dbraw/zinc/66/76/17/1163667617.db2.gz ZFPGCFAVLDKRGZ-ZDUSSCGKSA-N 0 2 309.366 0.600 20 0 DCADLN Cn1cc(C[N@H+]2CCO[C@H](C(=O)[O-])C2)c(C2CCOCC2)n1 ZINC001574213391 1163667622 /nfs/dbraw/zinc/66/76/22/1163667622.db2.gz ZFPGCFAVLDKRGZ-ZDUSSCGKSA-N 0 2 309.366 0.600 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCn1cnc(C(=O)[O-])c1 ZINC001574220632 1163668672 /nfs/dbraw/zinc/66/86/72/1163668672.db2.gz FEBHFORPYIZKNV-NXEZZACHSA-N 0 2 303.322 0.235 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)[C@H](O)c1ccncc1 ZINC001574352863 1163701895 /nfs/dbraw/zinc/70/18/95/1163701895.db2.gz KKGWQPOOLBUXQJ-FPQZTECRSA-N 0 2 316.317 0.211 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)[C@H](O)c1ccncc1 ZINC001574352863 1163701897 /nfs/dbraw/zinc/70/18/97/1163701897.db2.gz KKGWQPOOLBUXQJ-FPQZTECRSA-N 0 2 316.317 0.211 20 0 DCADLN O=C([O-])[C@@H]1[C@H]2CC[C@H](C2)[N@@H+]1Cc1nnnn1CC(F)(F)F ZINC001574402786 1163714553 /nfs/dbraw/zinc/71/45/53/1163714553.db2.gz GNGKOHVEHTYPLQ-OOZYFLPDSA-N 0 2 305.260 0.673 20 0 DCADLN O=C([O-])[C@@H]1[C@H]2CC[C@H](C2)[N@H+]1Cc1nnnn1CC(F)(F)F ZINC001574402786 1163714556 /nfs/dbraw/zinc/71/45/56/1163714556.db2.gz GNGKOHVEHTYPLQ-OOZYFLPDSA-N 0 2 305.260 0.673 20 0 DCADLN O=C([O-])c1csc(N2CC[NH+]([C@H]3CCCNC3=O)CC2)n1 ZINC001574423296 1163720014 /nfs/dbraw/zinc/72/00/14/1163720014.db2.gz SVFIIVLOOJYKJV-JTQLQIEISA-N 0 2 310.379 0.242 20 0 DCADLN O=C(C[C@H]1CCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001574986394 1163835045 /nfs/dbraw/zinc/83/50/45/1163835045.db2.gz OCRLSBWPKHXUHM-VXNVDRBHSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(C[C@H]1CCOC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001574986394 1163835048 /nfs/dbraw/zinc/83/50/48/1163835048.db2.gz OCRLSBWPKHXUHM-VXNVDRBHSA-N 0 2 300.252 0.546 20 0 DCADLN CC(C)=CC(=O)N[C@H](C)C[N@H+](C)[C@@H](C)C(=O)NCc1c[nH]nn1 ZINC001575308713 1163968901 /nfs/dbraw/zinc/96/89/01/1163968901.db2.gz IBHCUSAKOXHUIA-NEPJUHHUSA-N 0 2 322.413 0.212 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cccc(=O)[nH]1 ZINC001575765957 1164141672 /nfs/dbraw/zinc/14/16/72/1164141672.db2.gz BLSOAGVRWMIQGO-SECBINFHSA-N 0 2 323.246 0.924 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1cccc(=O)[nH]1 ZINC001575765957 1164141676 /nfs/dbraw/zinc/14/16/76/1164141676.db2.gz BLSOAGVRWMIQGO-SECBINFHSA-N 0 2 323.246 0.924 20 0 DCADLN Cc1nnccc1C(=O)N[C@@H]1CCC[N@H+](CCc2c[nH]nn2)C1 ZINC001577607765 1164737421 /nfs/dbraw/zinc/73/74/21/1164737421.db2.gz YQQIEANYFUBLTA-CYBMUJFWSA-N 0 2 315.381 0.340 20 0 DCADLN O=C(Cc1csc(C2CC2)n1)NC1CN(Cc2cn[nH]n2)C1 ZINC001577964540 1164865921 /nfs/dbraw/zinc/86/59/21/1164865921.db2.gz AHLRPLDKPIFHSM-UHFFFAOYSA-N 0 2 318.406 0.682 20 0 DCADLN O=C(Cc1csc(C2CC2)n1)NC1CN(Cc2c[nH]nn2)C1 ZINC001577964540 1164865931 /nfs/dbraw/zinc/86/59/31/1164865931.db2.gz AHLRPLDKPIFHSM-UHFFFAOYSA-N 0 2 318.406 0.682 20 0 DCADLN O=C(NOCc1c[nH]nn1)[C@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001578014422 1164894926 /nfs/dbraw/zinc/89/49/26/1164894926.db2.gz CMROOOPAHYEXHH-VIFPVBQESA-N 0 2 319.296 0.545 20 0 DCADLN COCc1cccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)c1 ZINC001579835116 1165405915 /nfs/dbraw/zinc/40/59/15/1165405915.db2.gz UVMKRYVQBZXOAI-UHFFFAOYSA-N 0 2 314.305 0.324 20 0 DCADLN CO[C@@H]1CCCC[C@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001579850419 1165410883 /nfs/dbraw/zinc/41/08/83/1165410883.db2.gz RQQURTVQRWONMG-HTQZYQBOSA-N 0 2 321.341 0.036 20 0 DCADLN C[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1cccnc1 ZINC001580441015 1165603476 /nfs/dbraw/zinc/60/34/76/1165603476.db2.gz GMLBROCZWPUYJF-ZETCQYMHSA-N 0 2 314.309 0.234 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H](CO)C(C)C ZINC001580604298 1165653279 /nfs/dbraw/zinc/65/32/79/1165653279.db2.gz PYSHBBZWEBWAQW-VIFPVBQESA-N 0 2 320.353 0.270 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CCCOCC1 ZINC001580604023 1165653389 /nfs/dbraw/zinc/65/33/89/1165653389.db2.gz ZDKZVQJSDFFVQC-VIFPVBQESA-N 0 2 318.337 0.575 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCC1)c1ccc(-c2nn[nH]n2)s1 ZINC001582246124 1165991557 /nfs/dbraw/zinc/99/15/57/1165991557.db2.gz FSJWGXDNPWQAIP-UHFFFAOYSA-N 0 2 317.338 0.251 20 0 DCADLN Cc1cc(C(=O)NC[C@@](C)(NC(=O)C(N)=O)C2CC2)c(C)[nH]1 ZINC001582658418 1166063342 /nfs/dbraw/zinc/06/33/42/1166063342.db2.gz DCNNEQJLQUQNHX-OAHLLOKOSA-N 0 2 306.366 0.132 20 0 DCADLN CC(C)(C(=O)NC[C@@](C)(NC(=O)C(N)=O)C1CC1)C1CCC1 ZINC001582659156 1166063687 /nfs/dbraw/zinc/06/36/87/1166063687.db2.gz NHWDHVKHRQACFY-MRXNPFEDSA-N 0 2 309.410 0.699 20 0 DCADLN C[C@H](CN(C)C(=O)c1sccc1-c1nn[nH]n1)c1nn[nH]n1 ZINC001589842494 1166696832 /nfs/dbraw/zinc/69/68/32/1166696832.db2.gz XVCICFYLVDCCSN-ZCFIWIBFSA-N 0 2 319.354 0.317 20 0 DCADLN C[C@@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)CC(F)F ZINC001589875507 1166703750 /nfs/dbraw/zinc/70/37/50/1166703750.db2.gz GSICJYPBKFHVFB-RXMQYKEDSA-N 0 2 315.284 0.369 20 0 DCADLN CC1CCC(N(C)c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CC1 ZINC001590231235 1166821738 /nfs/dbraw/zinc/82/17/38/1166821738.db2.gz RKMMTLVQBMWFDX-UHFFFAOYSA-N 0 2 319.369 0.269 20 0 DCADLN C[C@@H]1CC[C@H]([NH2+]Cc2ccc(-c3nn[nH]n3)o2)CS1(=O)=O ZINC001590236417 1166824599 /nfs/dbraw/zinc/82/45/99/1166824599.db2.gz JFKVXWIUBDEHTF-BDAKNGLRSA-N 0 2 311.367 0.515 20 0 DCADLN C[C@@H]1CC[C@@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C[C@@H]1C ZINC001590239290 1166824897 /nfs/dbraw/zinc/82/48/97/1166824897.db2.gz XYHVBRFLRXFPCG-HRDYMLBCSA-N 0 2 319.369 0.903 20 0 DCADLN CC[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)[C@H]1CC1(C)C ZINC001590472031 1166917254 /nfs/dbraw/zinc/91/72/54/1166917254.db2.gz QILXMDSAEJYPKO-SFYZADRCSA-N 0 2 319.369 0.903 20 0 DCADLN CCc1nc(CNC(=O)Nc2cccc(-c3nn[nH]n3)n2)n[nH]1 ZINC001590913180 1167049550 /nfs/dbraw/zinc/04/95/50/1167049550.db2.gz BALGABFKUVDEII-UHFFFAOYSA-N 0 2 314.313 0.264 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(C[C@H]2C[C@@H](OC)C2)c1 ZINC001591285765 1167200432 /nfs/dbraw/zinc/20/04/32/1167200432.db2.gz OGVSYUVLMYLORQ-WAAGHKOSSA-N 0 2 319.321 0.240 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC(=O)C(C)(C)C)c1 ZINC001591286583 1167201917 /nfs/dbraw/zinc/20/19/17/1167201917.db2.gz KQIXGLBHKVNNEY-UHFFFAOYSA-N 0 2 319.321 0.430 20 0 DCADLN CO[C@@H](C)Cn1cc(Br)cc(-c2nn[nH]n2)c1=O ZINC001591324880 1167215234 /nfs/dbraw/zinc/21/52/34/1167215234.db2.gz SABSKGPPUZPBQK-LURJTMIESA-N 0 2 314.143 0.826 20 0 DCADLN CO[C@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)CC[C@H]1C ZINC001591363083 1167235778 /nfs/dbraw/zinc/23/57/78/1167235778.db2.gz SINMBZXFTHPXRE-PWSUYJOCSA-N 0 2 318.381 0.651 20 0 DCADLN COCCOCc1cc(=O)n(-c2ccc(-c3nn[nH]n3)cc2)[nH]1 ZINC001591437627 1167285713 /nfs/dbraw/zinc/28/57/13/1167285713.db2.gz VDESIOBYZNUVII-UHFFFAOYSA-N 0 2 316.321 0.622 20 0 DCADLN CSCCCSc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001591699820 1167358884 /nfs/dbraw/zinc/35/88/84/1167358884.db2.gz MHUBKOUFLLNYID-UHFFFAOYSA-N 0 2 314.396 0.099 20 0 DCADLN Cc1[nH]c(-c2nn[nH]n2)cc1C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001591782076 1167376821 /nfs/dbraw/zinc/37/68/21/1167376821.db2.gz ABSVBQLINNFSPT-ZETCQYMHSA-N 0 2 316.325 0.433 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)Cc1ccon1 ZINC001591835119 1167389684 /nfs/dbraw/zinc/38/96/84/1167389684.db2.gz GVRHLZVFACZRSP-UHFFFAOYSA-N 0 2 315.293 0.536 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@]1(C)CCOC1 ZINC001591834911 1167390801 /nfs/dbraw/zinc/39/08/01/1167390801.db2.gz XCYJEOPNIVOBSJ-ZDUSSCGKSA-N 0 2 304.310 0.185 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NOCC(F)F ZINC001591835302 1167391003 /nfs/dbraw/zinc/39/10/03/1167391003.db2.gz FAPZOGBMPMHCSF-UHFFFAOYSA-N 0 2 300.225 0.202 20 0 DCADLN Cc1c[nH]c(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)n1 ZINC001592293746 1167484228 /nfs/dbraw/zinc/48/42/28/1167484228.db2.gz KYSKIVHRYSJLTM-UHFFFAOYSA-N 0 2 300.282 0.560 20 0 DCADLN Cc1nn(Cc2cc(Cl)n(C)n2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592438325 1167523474 /nfs/dbraw/zinc/52/34/74/1167523474.db2.gz XDYHUZXJIZJZRD-UHFFFAOYSA-N 0 2 320.744 0.475 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC(=O)N(C)C[C@H]1C ZINC001592497053 1167539441 /nfs/dbraw/zinc/53/94/41/1167539441.db2.gz STTRNAAFTIJSJG-SSDOTTSWSA-N 0 2 304.310 0.071 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@H]2CC=CCC2)c(-c2nn[nH]n2)c1=O ZINC001592546998 1167552488 /nfs/dbraw/zinc/55/24/88/1167552488.db2.gz ZVPAXPZQQQPHLD-QMMMGPOBSA-N 0 2 303.326 0.434 20 0 DCADLN O=C(NC1(c2nnc[nH]2)CCC1)c1ccc(-c2nn[nH]n2)nc1 ZINC001592962231 1167674407 /nfs/dbraw/zinc/67/44/07/1167674407.db2.gz CRKGIUSVIDIPKJ-UHFFFAOYSA-N 0 2 311.309 0.189 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ncccc1Cl ZINC001593489511 1167874242 /nfs/dbraw/zinc/87/42/42/1167874242.db2.gz QZPHMWOUGRSMRY-UHFFFAOYSA-N 0 2 305.685 0.226 20 0 DCADLN Cc1cc(COCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])no1 ZINC001600215477 1168139795 /nfs/dbraw/zinc/13/97/95/1168139795.db2.gz VRWXCDLBIRZBGL-NSHDSACASA-N 0 2 308.294 0.035 20 0 DCADLN Cc1cc(COCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])no1 ZINC001600215477 1168139800 /nfs/dbraw/zinc/13/98/00/1168139800.db2.gz VRWXCDLBIRZBGL-NSHDSACASA-N 0 2 308.294 0.035 20 0 DCADLN Cc1cc(NC(=O)NCC[N@@H+]2CCO[C@H](C)C2)ncc1C(=O)[O-] ZINC001600270079 1168154742 /nfs/dbraw/zinc/15/47/42/1168154742.db2.gz QACAWXRUOBBCDJ-LLVKDONJSA-N 0 2 322.365 0.930 20 0 DCADLN Cc1cc(NC(=O)NCC[N@H+]2CCO[C@H](C)C2)ncc1C(=O)[O-] ZINC001600270079 1168154748 /nfs/dbraw/zinc/15/47/48/1168154748.db2.gz QACAWXRUOBBCDJ-LLVKDONJSA-N 0 2 322.365 0.930 20 0 DCADLN Cc1ccc(F)c(N)c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600428959 1168181539 /nfs/dbraw/zinc/18/15/39/1168181539.db2.gz OHHSOKZJRHZTAW-JTQLQIEISA-N 0 2 306.297 0.865 20 0 DCADLN Cc1ccc(F)c(N)c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600428959 1168181543 /nfs/dbraw/zinc/18/15/43/1168181543.db2.gz OHHSOKZJRHZTAW-JTQLQIEISA-N 0 2 306.297 0.865 20 0 DCADLN Cc1ccn(C[C@H](C)C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001600596989 1168206730 /nfs/dbraw/zinc/20/67/30/1168206730.db2.gz AANBPVNRARGWNC-CMPLNLGQSA-N 0 2 305.338 0.294 20 0 DCADLN Cc1ccnc(C[NH2+]CC(=O)NCC(=O)[O-])c1Br ZINC001600601899 1168209615 /nfs/dbraw/zinc/20/96/15/1168209615.db2.gz ZEQGILNGQCDVNG-UHFFFAOYSA-N 0 2 316.155 0.443 20 0 DCADLN Cc1n[nH]c(C)c1[C@@H]1COCC[N@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001600668272 1168236920 /nfs/dbraw/zinc/23/69/20/1168236920.db2.gz SAGBALVWEAHIMV-LBPRGKRZSA-N 0 2 324.381 0.333 20 0 DCADLN Cc1n[nH]c(C)c1[C@@H]1COCC[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001600668272 1168236929 /nfs/dbraw/zinc/23/69/29/1168236929.db2.gz SAGBALVWEAHIMV-LBPRGKRZSA-N 0 2 324.381 0.333 20 0 DCADLN Cc1nn(C)c2ncc(C[N@@H+]3CCOC[C@H]3CC(=O)[O-])cc12 ZINC001600776363 1168289061 /nfs/dbraw/zinc/28/90/61/1168289061.db2.gz WWSVIDDNTLUPCE-GFCCVEGCSA-N 0 2 304.350 0.952 20 0 DCADLN Cc1nn(C)c2ncc(C[N@H+]3CCOC[C@H]3CC(=O)[O-])cc12 ZINC001600776363 1168289070 /nfs/dbraw/zinc/28/90/70/1168289070.db2.gz WWSVIDDNTLUPCE-GFCCVEGCSA-N 0 2 304.350 0.952 20 0 DCADLN Cc1nnc(C[N@@H+](C)CCCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])o1 ZINC001600794107 1168297570 /nfs/dbraw/zinc/29/75/70/1168297570.db2.gz KXCKEJDXTPZDPP-QWRGUYRKSA-N 0 2 310.354 0.379 20 0 DCADLN Cc1nnc(C[N@H+](C)CCCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])o1 ZINC001600794107 1168297573 /nfs/dbraw/zinc/29/75/73/1168297573.db2.gz KXCKEJDXTPZDPP-QWRGUYRKSA-N 0 2 310.354 0.379 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@H]3CCCC[C@H]3C(=O)[O-])CC[NH2+]2)cn1 ZINC001600944330 1168523876 /nfs/dbraw/zinc/52/38/76/1168523876.db2.gz TUSILEBWDRPZCT-MJBXVCDLSA-N 0 2 320.393 0.784 20 0 DCADLN Cn1nc(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1C(=O)[O-] ZINC001601015221 1168564267 /nfs/dbraw/zinc/56/42/67/1168564267.db2.gz FCMIQTWIUHRVEJ-MRVPVSSYSA-N 0 2 304.310 0.451 20 0 DCADLN [NH3+][C@H](C(=O)N1CCO[C@H](C(=O)[O-])C1)c1c(F)cccc1F ZINC001601173420 1168621746 /nfs/dbraw/zinc/62/17/46/1168621746.db2.gz LRKMSZKIJOHHQY-ONGXEEELSA-N 0 2 300.261 0.277 20 0 DCADLN O=C([O-])c1ccn(CCCC(=O)N[C@H]2CCn3cc[nH+]c3C2)n1 ZINC001601272531 1168685625 /nfs/dbraw/zinc/68/56/25/1168685625.db2.gz GBEXZASWZCJZLX-NSHDSACASA-N 0 2 317.349 0.689 20 0 DCADLN O=C([O-])c1cnc(NCCCNC(=O)CCn2cc[nH+]c2)nc1 ZINC001601299788 1168694815 /nfs/dbraw/zinc/69/48/15/1168694815.db2.gz OZPYXWGHYUTWQN-UHFFFAOYSA-N 0 2 318.337 0.380 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@@H+]2CC[C@@H](n3cncn3)C2)n1 ZINC001601308317 1168699866 /nfs/dbraw/zinc/69/98/66/1168699866.db2.gz PCQBOQOCUOITBN-SNVBAGLBSA-N 0 2 316.321 0.257 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@H+]2CC[C@@H](n3cncn3)C2)n1 ZINC001601308317 1168699884 /nfs/dbraw/zinc/69/98/84/1168699884.db2.gz PCQBOQOCUOITBN-SNVBAGLBSA-N 0 2 316.321 0.257 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc2n[nH]cc2c1 ZINC001601360939 1168718429 /nfs/dbraw/zinc/71/84/29/1168718429.db2.gz FYGMZDBXLYEXIZ-CYBMUJFWSA-N 0 2 313.317 0.572 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC001601425856 1168742994 /nfs/dbraw/zinc/74/29/94/1168742994.db2.gz LQWJSCBMJVRPDH-LLVKDONJSA-N 0 2 315.289 0.749 20 0 DCADLN O=C([O-])C1(CNC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)CC1 ZINC001601467747 1168756913 /nfs/dbraw/zinc/75/69/13/1168756913.db2.gz LPNLXMLHKCYQPS-UHFFFAOYSA-N 0 2 302.290 0.254 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H](O)Cc1ccccc1 ZINC001601565677 1168783456 /nfs/dbraw/zinc/78/34/56/1168783456.db2.gz KVDZBDJUDXYVFR-STQMWFEESA-N 0 2 303.318 0.125 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H](O)Cc1ccccc1 ZINC001601565677 1168783463 /nfs/dbraw/zinc/78/34/63/1168783463.db2.gz KVDZBDJUDXYVFR-STQMWFEESA-N 0 2 303.318 0.125 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCCc2n[nH]cc21 ZINC001601663700 1168809605 /nfs/dbraw/zinc/80/96/05/1168809605.db2.gz WWAGPWXDLGVIGB-CABZTGNLSA-N 0 2 303.322 0.296 20 0 DCADLN O=C([O-])C1=CC[C@@H](NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)C1 ZINC001601734417 1168840050 /nfs/dbraw/zinc/84/00/50/1168840050.db2.gz KRLXCCIBLPWQSE-ZIAGYGMSSA-N 0 2 323.393 0.666 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@@H+]2CC3(CCC3)[C@H]2[C@@H]2CCCO2)C1 ZINC001601759058 1168856457 /nfs/dbraw/zinc/85/64/57/1168856457.db2.gz JFMBWLBJQBUIIK-MBNYWOFBSA-N 0 2 308.378 0.911 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@H+]2CC3(CCC3)[C@H]2[C@@H]2CCCO2)C1 ZINC001601759058 1168856468 /nfs/dbraw/zinc/85/64/68/1168856468.db2.gz JFMBWLBJQBUIIK-MBNYWOFBSA-N 0 2 308.378 0.911 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCC[NH+]1CCN(C/C=C\Cl)CC1 ZINC001601784913 1168896123 /nfs/dbraw/zinc/89/61/23/1168896123.db2.gz WGZFJPYOFDAEFU-JZIZGNRHSA-N 0 2 315.801 0.193 20 0 DCADLN O=C([O-])C[C@@]1([NH2+]Cc2cc(=O)n3[nH]ccc3n2)CCCOC1 ZINC001601935869 1168939933 /nfs/dbraw/zinc/93/99/33/1168939933.db2.gz BIAXHRGXLXTAPQ-AWEZNQCLSA-N 0 2 306.322 0.136 20 0 DCADLN O=C([O-])CCN1CCC[C@@H](SCC[NH+]2CCOCC2)C1=O ZINC001602013547 1168963257 /nfs/dbraw/zinc/96/32/57/1168963257.db2.gz RTFIGKRXNNTWGV-GFCCVEGCSA-N 0 2 316.423 0.518 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@H+]1Cc1cc(CO)ccc1F ZINC001602068513 1168985116 /nfs/dbraw/zinc/98/51/16/1168985116.db2.gz PTNCUHGQWZDIJA-CYBMUJFWSA-N 0 2 310.325 0.483 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCC[N@@H+]1Cc1cc(CO)ccc1F ZINC001602068513 1168985123 /nfs/dbraw/zinc/98/51/23/1168985123.db2.gz PTNCUHGQWZDIJA-CYBMUJFWSA-N 0 2 310.325 0.483 20 0 DCADLN O=C([O-])Cn1cc(CC[N@@H+]2Cc3ccccc3NC(=O)C2)nn1 ZINC001602160000 1169007179 /nfs/dbraw/zinc/00/71/79/1169007179.db2.gz XNWDQRYUTPADRY-UHFFFAOYSA-N 0 2 315.333 0.360 20 0 DCADLN O=C([O-])Cn1cc(CC[N@H+]2Cc3ccccc3NC(=O)C2)nn1 ZINC001602160000 1169007185 /nfs/dbraw/zinc/00/71/85/1169007185.db2.gz XNWDQRYUTPADRY-UHFFFAOYSA-N 0 2 315.333 0.360 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2C[C@@H](O)C[C@@H]2c2ccccc2)nn1 ZINC001602161208 1169009345 /nfs/dbraw/zinc/00/93/45/1169009345.db2.gz UCKRUXVLIOMETB-UONOGXRCSA-N 0 2 302.334 0.671 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2C[C@@H](O)C[C@@H]2c2ccccc2)nn1 ZINC001602161208 1169009349 /nfs/dbraw/zinc/00/93/49/1169009349.db2.gz UCKRUXVLIOMETB-UONOGXRCSA-N 0 2 302.334 0.671 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)N2CC[NH2+][C@H](c3ccc(F)cc3)C2)O1 ZINC001602176898 1169017027 /nfs/dbraw/zinc/01/70/27/1169017027.db2.gz UQQJALOIVJRTSP-MJBXVCDLSA-N 0 2 322.336 0.931 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](S(=O)(=O)NCCNc2cccc[nH+]2)C1 ZINC001602180224 1169019101 /nfs/dbraw/zinc/01/91/01/1169019101.db2.gz LLGZVKBCUMTIIT-MNOVXSKESA-N 0 2 313.379 0.666 20 0 DCADLN O=C([O-])c1ccn(CC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)n1 ZINC001602477523 1169085661 /nfs/dbraw/zinc/08/56/61/1169085661.db2.gz YQTLPBWYYTVEGW-SNVBAGLBSA-N 0 2 303.322 0.711 20 0 DCADLN O=C([O-])c1cn([C@H]2CCCN(c3nccn4c[nH+]cc34)C2)nn1 ZINC001602493705 1169093477 /nfs/dbraw/zinc/09/34/77/1169093477.db2.gz LBIWAUPLYXWHPE-JTQLQIEISA-N 0 2 313.321 0.861 20 0 DCADLN O=Cc1ccc(F)c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001602666565 1169154091 /nfs/dbraw/zinc/15/40/91/1169154091.db2.gz DQJROXDRRKTTAF-LBPRGKRZSA-N 0 2 305.265 0.787 20 0 DCADLN O=Cc1ccc(F)c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001602666565 1169154097 /nfs/dbraw/zinc/15/40/97/1169154097.db2.gz DQJROXDRRKTTAF-LBPRGKRZSA-N 0 2 305.265 0.787 20 0 DCADLN C/C(=C/CNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2)C(=O)[O-] ZINC001602976379 1169224076 /nfs/dbraw/zinc/22/40/76/1169224076.db2.gz WMGPZCGYKRDABA-WDZFZDKYSA-N 0 2 324.402 0.984 20 0 DCADLN C/C(=C/CNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2)C(=O)[O-] ZINC001602976379 1169224086 /nfs/dbraw/zinc/22/40/86/1169224086.db2.gz WMGPZCGYKRDABA-WDZFZDKYSA-N 0 2 324.402 0.984 20 0 DCADLN C[C@H](CO[C@@H]1CCOC1)NCc1nc(-c2nn[nH]n2)cs1 ZINC001603569162 1169327397 /nfs/dbraw/zinc/32/73/97/1169327397.db2.gz LFFWAEWYIPTKHV-RKDXNWHRSA-N 0 2 310.383 0.607 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001604155180 1169507965 /nfs/dbraw/zinc/50/79/65/1169507965.db2.gz IRDIHZLQCVWQGW-ZDUSSCGKSA-N 0 2 323.393 0.613 20 0 DCADLN CCCCOC(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001604186640 1169516908 /nfs/dbraw/zinc/51/69/08/1169516908.db2.gz UPJYLXPYEKZXPG-UHFFFAOYSA-N 0 2 317.349 0.860 20 0 DCADLN C[C@@H](CNC(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001604184468 1169517130 /nfs/dbraw/zinc/51/71/30/1169517130.db2.gz WAEYVEVNVAYAER-LBPRGKRZSA-N 0 2 309.366 0.271 20 0 DCADLN C[C@@H](NC(=O)Cc1[nH]cc[nH+]1)[C@H](C)Nc1ncc(C(=O)[O-])cn1 ZINC001604277744 1169546305 /nfs/dbraw/zinc/54/63/05/1169546305.db2.gz RQGUDWDNANSFTI-BDAKNGLRSA-N 0 2 318.337 0.446 20 0 DCADLN C[C@](O)(C[N@@H+]1CCc2c(nnn2-c2ccccc2)C1)C(=O)[O-] ZINC001604374189 1169575293 /nfs/dbraw/zinc/57/52/93/1169575293.db2.gz VXLBZAJLGGUXKP-HNNXBMFYSA-N 0 2 302.334 0.461 20 0 DCADLN C[C@](O)(C[N@H+]1CCc2c(nnn2-c2ccccc2)C1)C(=O)[O-] ZINC001604374189 1169575298 /nfs/dbraw/zinc/57/52/98/1169575298.db2.gz VXLBZAJLGGUXKP-HNNXBMFYSA-N 0 2 302.334 0.461 20 0 DCADLN CCOCCCCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001604430313 1169591976 /nfs/dbraw/zinc/59/19/76/1169591976.db2.gz GPQOYQXXTXNUJF-UHFFFAOYSA-N 0 2 320.353 0.822 20 0 DCADLN CC1(C)[C@@H]2CC[C@@]1(C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C(=O)C2 ZINC001604560925 1169617186 /nfs/dbraw/zinc/61/71/86/1169617186.db2.gz ORRBOJIBSOQGSP-RBIVETJNSA-N 0 2 319.361 0.917 20 0 DCADLN CC1(C)[C@@H]2CC[C@@]1(C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C(=O)C2 ZINC001604560925 1169617191 /nfs/dbraw/zinc/61/71/91/1169617191.db2.gz ORRBOJIBSOQGSP-RBIVETJNSA-N 0 2 319.361 0.917 20 0 DCADLN CC1=CC[N@H+](CCNS(=O)(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC001604616143 1169631463 /nfs/dbraw/zinc/63/14/63/1169631463.db2.gz JHYMTSHCDYJGGB-UHFFFAOYSA-N 0 2 314.363 0.908 20 0 DCADLN CC1=CC[N@@H+](CCNS(=O)(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC001604616143 1169631469 /nfs/dbraw/zinc/63/14/69/1169631469.db2.gz JHYMTSHCDYJGGB-UHFFFAOYSA-N 0 2 314.363 0.908 20 0 DCADLN C[C@H]1[C@H](C(=O)[O-])CCN1C(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC001604627234 1169634894 /nfs/dbraw/zinc/63/48/94/1169634894.db2.gz PTXRSMGTEKMYDN-NWDGAFQWSA-N 0 2 322.365 0.101 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cccs1 ZINC001604793535 1169686859 /nfs/dbraw/zinc/68/68/59/1169686859.db2.gz AACAPDMMTCZYOF-SNVBAGLBSA-N 0 2 318.420 0.918 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cccs1 ZINC001604793535 1169686866 /nfs/dbraw/zinc/68/68/66/1169686866.db2.gz AACAPDMMTCZYOF-SNVBAGLBSA-N 0 2 318.420 0.918 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@H]1Cn1cncc(-c2nn[nH]n2)c1=O ZINC001604926182 1169748895 /nfs/dbraw/zinc/74/88/95/1169748895.db2.gz UWCXSNAXKBBUSX-VHSXEESVSA-N 0 2 318.337 0.403 20 0 DCADLN CO[C@H](Cn1ccc(C)c(-c2nn[nH]n2)c1=O)[C@H]1CCOC1 ZINC001605012608 1169779248 /nfs/dbraw/zinc/77/92/48/1169779248.db2.gz PPYDPDNEKYFGKY-WDEREUQCSA-N 0 2 305.338 0.388 20 0 DCADLN CCN(CC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])c1ccccc1 ZINC001605413882 1169915677 /nfs/dbraw/zinc/91/56/77/1169915677.db2.gz NGIPELIGTLACCH-AWEZNQCLSA-N 0 2 316.361 0.979 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1COC[C@H]1C ZINC001605510612 1169942928 /nfs/dbraw/zinc/94/29/28/1169942928.db2.gz SBRWDZRNBTXJAI-APPZFPTMSA-N 0 2 304.310 0.040 20 0 DCADLN CCOC(=O)c1cn(C[N@@H+]2CC[C@@](OC)(C(=O)[O-])C2)nc1C ZINC001605577551 1169964855 /nfs/dbraw/zinc/96/48/55/1169964855.db2.gz QANMEJILEPFNPS-AWEZNQCLSA-N 0 2 311.338 0.501 20 0 DCADLN CCOC(=O)c1cn(C[N@H+]2CC[C@@](OC)(C(=O)[O-])C2)nc1C ZINC001605577551 1169964858 /nfs/dbraw/zinc/96/48/58/1169964858.db2.gz QANMEJILEPFNPS-AWEZNQCLSA-N 0 2 311.338 0.501 20 0 DCADLN Cc1ccn(CN(C)Cc2cnn(C)c2)c(=O)c1-c1nn[nH]n1 ZINC001605949996 1170032751 /nfs/dbraw/zinc/03/27/51/1170032751.db2.gz VTUVULJTLAPUDF-UHFFFAOYSA-N 0 2 314.353 0.160 20 0 DCADLN C[N@H+](CC(=O)Nc1cccc(C(=O)[O-])c1)[C@]1(CO)CCOC1 ZINC001606085081 1170073054 /nfs/dbraw/zinc/07/30/54/1170073054.db2.gz GGHFMHWLYALBQF-HNNXBMFYSA-N 0 2 308.334 0.407 20 0 DCADLN C[N@@H+](CC(=O)Nc1cccc(C(=O)[O-])c1)[C@]1(CO)CCOC1 ZINC001606085081 1170073061 /nfs/dbraw/zinc/07/30/61/1170073061.db2.gz GGHFMHWLYALBQF-HNNXBMFYSA-N 0 2 308.334 0.407 20 0 DCADLN Cn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@H](CC(=O)[O-])C1 ZINC001606224465 1170122826 /nfs/dbraw/zinc/12/28/26/1170122826.db2.gz JFEYMZJVTSUREL-NEPJUHHUSA-N 0 2 323.397 0.652 20 0 DCADLN Cn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCO[C@H](CC(=O)[O-])C1 ZINC001606224465 1170122827 /nfs/dbraw/zinc/12/28/27/1170122827.db2.gz JFEYMZJVTSUREL-NEPJUHHUSA-N 0 2 323.397 0.652 20 0 DCADLN Cn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@@H](CC(=O)[O-])C1 ZINC001606224467 1170123154 /nfs/dbraw/zinc/12/31/54/1170123154.db2.gz JFEYMZJVTSUREL-NWDGAFQWSA-N 0 2 323.397 0.652 20 0 DCADLN Cn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCO[C@@H](CC(=O)[O-])C1 ZINC001606224467 1170123159 /nfs/dbraw/zinc/12/31/59/1170123159.db2.gz JFEYMZJVTSUREL-NWDGAFQWSA-N 0 2 323.397 0.652 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1CCC[NH+]1CCOCC1 ZINC001606372839 1170173332 /nfs/dbraw/zinc/17/33/32/1170173332.db2.gz IIFXEJRDMRNNPV-OLZOCXBDSA-N 0 2 314.382 0.047 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@@H+]1CCCc1nc(C)no1 ZINC001606384983 1170177071 /nfs/dbraw/zinc/17/70/71/1170177071.db2.gz HIOVSQZHGKYAQS-QWRGUYRKSA-N 0 2 311.338 0.649 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1CCCc1nc(C)no1 ZINC001606384983 1170177072 /nfs/dbraw/zinc/17/70/72/1170177072.db2.gz HIOVSQZHGKYAQS-QWRGUYRKSA-N 0 2 311.338 0.649 20 0 DCADLN COCC1(O)CC[NH+](CC(=O)Nc2cccc(C(=O)[O-])n2)CC1 ZINC001606842851 1170310731 /nfs/dbraw/zinc/31/07/31/1170310731.db2.gz UDJFJDQREXCCAN-UHFFFAOYSA-N 0 2 323.349 0.192 20 0 DCADLN c1nnc([C@H](Nc2ccc(-c3nn[nH]n3)nn2)c2ccccc2)[nH]1 ZINC001607126849 1170406556 /nfs/dbraw/zinc/40/65/56/1170406556.db2.gz QPIMHCRWKJIRQF-GFCCVEGCSA-N 0 2 320.320 0.976 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2C[C@@H](O)[C@H](OC)C2)c1 ZINC001607186798 1170413042 /nfs/dbraw/zinc/41/30/42/1170413042.db2.gz FRVSGMDGVVIAQH-MGPQQGTHSA-N 0 2 311.334 0.521 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@@H+]2C[C@@H](O)[C@H](OC)C2)c1 ZINC001607186798 1170413044 /nfs/dbraw/zinc/41/30/44/1170413044.db2.gz FRVSGMDGVVIAQH-MGPQQGTHSA-N 0 2 311.334 0.521 20 0 DCADLN Cc1[nH]c(C(=O)N[C@@H](C)C[NH+]2CCOCC2)c(C)c1C(=O)[O-] ZINC001607490695 1170465696 /nfs/dbraw/zinc/46/56/96/1170465696.db2.gz UZDPALZOVOEFGM-VIFPVBQESA-N 0 2 309.366 0.780 20 0 DCADLN Cc1[nH]c(C(=O)N[C@@H](CO)c2c[nH+]cn2C)c(C)c1C(=O)[O-] ZINC001607490609 1170466367 /nfs/dbraw/zinc/46/63/67/1170466367.db2.gz RZYGPWWXPOTJGG-VIFPVBQESA-N 0 2 306.322 0.527 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+](C)[C@@H]1CCC(=O)N(C)C1 ZINC001607496145 1170467428 /nfs/dbraw/zinc/46/74/28/1170467428.db2.gz ULWOKWXYSFEHHF-SNVBAGLBSA-N 0 2 307.350 0.757 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+](C)[C@@H]1CCC(=O)N(C)C1 ZINC001607496145 1170467431 /nfs/dbraw/zinc/46/74/31/1170467431.db2.gz ULWOKWXYSFEHHF-SNVBAGLBSA-N 0 2 307.350 0.757 20 0 DCADLN Cc1cccc(OC[C@@H](O)C[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC001607858850 1170514040 /nfs/dbraw/zinc/51/40/40/1170514040.db2.gz JYDOWSXKNAVJEJ-GXTWGEPZSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1cccc(OC[C@@H](O)C[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])c1 ZINC001607858850 1170514047 /nfs/dbraw/zinc/51/40/47/1170514047.db2.gz JYDOWSXKNAVJEJ-GXTWGEPZSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1nc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c2ccccn12 ZINC001607945003 1170530541 /nfs/dbraw/zinc/53/05/41/1170530541.db2.gz HZCADUJLODGTPP-LLVKDONJSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1nc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c2ccccn12 ZINC001607945003 1170530545 /nfs/dbraw/zinc/53/05/45/1170530545.db2.gz HZCADUJLODGTPP-LLVKDONJSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1nc(N(C)CCNC(=O)[C@H]2C[C@H]2C(=O)[O-])c(C)c(C)[nH+]1 ZINC001607947322 1170531680 /nfs/dbraw/zinc/53/16/80/1170531680.db2.gz KIHWJCWSFKQXDK-NWDGAFQWSA-N 0 2 306.366 0.675 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@@H+]1CCN2C(=O)OC[C@H]2C1 ZINC001608008530 1170547117 /nfs/dbraw/zinc/54/71/17/1170547117.db2.gz KPSYBSXATPOQCD-GFCCVEGCSA-N 0 2 322.365 0.611 20 0 DCADLN Cc1nn(CCC(=O)[O-])c(C)c1C[N@H+]1CCN2C(=O)OC[C@H]2C1 ZINC001608008530 1170547122 /nfs/dbraw/zinc/54/71/22/1170547122.db2.gz KPSYBSXATPOQCD-GFCCVEGCSA-N 0 2 322.365 0.611 20 0 DCADLN Cc1nnc(N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)n1C ZINC001608015416 1170549172 /nfs/dbraw/zinc/54/91/72/1170549172.db2.gz LXNDDRDVQYLBQV-UHFFFAOYSA-N 0 2 302.338 0.543 20 0 DCADLN Cc1oc(C[N@@H+]2CCC[C@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001608049608 1170559516 /nfs/dbraw/zinc/55/95/16/1170559516.db2.gz VGGXYWOQLWKBBB-JTQLQIEISA-N 0 2 302.352 0.539 20 0 DCADLN Cc1oc(C[N@H+]2CCC[C@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001608049608 1170559525 /nfs/dbraw/zinc/55/95/25/1170559525.db2.gz VGGXYWOQLWKBBB-JTQLQIEISA-N 0 2 302.352 0.539 20 0 DCADLN Cn1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C2CCC2)n1 ZINC001608097879 1170571210 /nfs/dbraw/zinc/57/12/10/1170571210.db2.gz WYEWZXSCSCAZPU-LBPRGKRZSA-N 0 2 317.349 0.836 20 0 DCADLN Cn1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C2CCC2)n1 ZINC001608097879 1170571214 /nfs/dbraw/zinc/57/12/14/1170571214.db2.gz WYEWZXSCSCAZPU-LBPRGKRZSA-N 0 2 317.349 0.836 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@@H](C[C@H]1CCCOC1)C(=O)[O-] ZINC001608132360 1170586395 /nfs/dbraw/zinc/58/63/95/1170586395.db2.gz DQEBPNFZWMCICT-NEPJUHHUSA-N 0 2 309.366 0.739 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)CC2(C(=O)[O-])CCCC2)c1 ZINC001608135407 1170587960 /nfs/dbraw/zinc/58/79/60/1170587960.db2.gz CHDBXYOAVFIUNH-UHFFFAOYSA-N 0 2 315.395 0.527 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccc(CC(=O)[O-])cc1 ZINC001608136725 1170590471 /nfs/dbraw/zinc/59/04/71/1170590471.db2.gz HXVWKDYITNNRMW-UHFFFAOYSA-N 0 2 316.317 0.302 20 0 DCADLN [NH3+][C@@H](C(=O)N1CCO[C@H](CC(=O)[O-])C1)c1c(F)cccc1F ZINC001608247432 1170629699 /nfs/dbraw/zinc/62/96/99/1170629699.db2.gz GQKWZPLWTFLDDY-AMIZOPFISA-N 0 2 314.288 0.667 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CC[N@H+](CC(=O)NCc3ccccn3)C2)C1 ZINC001608329335 1170650601 /nfs/dbraw/zinc/65/06/01/1170650601.db2.gz PVDOSWWFHSEODU-HNNXBMFYSA-N 0 2 318.333 0.003 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CC[N@@H+](CC(=O)NCc3ccccn3)C2)C1 ZINC001608329335 1170650605 /nfs/dbraw/zinc/65/06/05/1170650605.db2.gz PVDOSWWFHSEODU-HNNXBMFYSA-N 0 2 318.333 0.003 20 0 DCADLN O=C([O-])[C@H](CCC1OCCO1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001608397603 1170668386 /nfs/dbraw/zinc/66/83/86/1170668386.db2.gz WEXVSAOGJAOJBE-NSHDSACASA-N 0 2 319.317 0.899 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)C1=Cc2ccccc2OC1 ZINC001608402158 1170670458 /nfs/dbraw/zinc/67/04/58/1170670458.db2.gz GTNXCGDHSZWDTI-CYBMUJFWSA-N 0 2 313.313 0.929 20 0 DCADLN O=C([O-])C1(CNC(=O)NCCc2cn3c([nH+]2)CCCC3)CC1 ZINC001608454896 1170683353 /nfs/dbraw/zinc/68/33/53/1170683353.db2.gz SFANALVPYUCBSN-UHFFFAOYSA-N 0 2 306.366 0.926 20 0 DCADLN O=C([O-])[C@@H](O)CNC(=O)[C@H](c1ccccc1)[NH+]1CCSCC1 ZINC001608459508 1170683986 /nfs/dbraw/zinc/68/39/86/1170683986.db2.gz DJVJMXYFNLBFSO-STQMWFEESA-N 0 2 324.402 0.338 20 0 DCADLN O=C([O-])C[C@H]1CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CCO1 ZINC001608699174 1170739505 /nfs/dbraw/zinc/73/95/05/1170739505.db2.gz AKBFRDKEVUUSHN-MNOVXSKESA-N 0 2 308.338 0.083 20 0 DCADLN O=C([O-])CCN1CC[C@H]([NH+]2CCC(c3nc[nH]n3)CC2)C1=O ZINC001608723739 1170744027 /nfs/dbraw/zinc/74/40/27/1170744027.db2.gz XXYSJJJRGJCALE-NSHDSACASA-N 0 2 307.354 0.060 20 0 DCADLN O=C([O-])CCN1CCC[C@H](N2CC[N@@H+]3C[C@@H](F)C[C@H]3C2)C1=O ZINC001608726003 1170744925 /nfs/dbraw/zinc/74/49/25/1170744925.db2.gz YQBGHEUTPIYRFW-AVGNSLFASA-N 0 2 313.373 0.180 20 0 DCADLN O=C([O-])CCN1CCC[C@H](N2CC[N@H+]3C[C@@H](F)C[C@H]3C2)C1=O ZINC001608726003 1170744927 /nfs/dbraw/zinc/74/49/27/1170744927.db2.gz YQBGHEUTPIYRFW-AVGNSLFASA-N 0 2 313.373 0.180 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1[NH2+]CC1=NS(=O)(=O)c2ccccc21 ZINC001608814932 1170759824 /nfs/dbraw/zinc/75/98/24/1170759824.db2.gz GZCDKDJPBLXWTE-JOYOIKCWSA-N 0 2 310.331 0.010 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCOC[C@@H]2C[C@@H]2CCOC2)cn1 ZINC001608971258 1170778253 /nfs/dbraw/zinc/77/82/53/1170778253.db2.gz CFIUQZJAGJVLQC-AAEUAGOBSA-N 0 2 307.350 0.802 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCOC[C@@H]2C[C@@H]2CCOC2)cn1 ZINC001608971258 1170778255 /nfs/dbraw/zinc/77/82/55/1170778255.db2.gz CFIUQZJAGJVLQC-AAEUAGOBSA-N 0 2 307.350 0.802 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001609165530 1170834041 /nfs/dbraw/zinc/83/40/41/1170834041.db2.gz POOQVPWOICXCEQ-ZJUUUORDSA-N 0 2 317.353 0.118 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2nccn2C(F)F)c1 ZINC001609386811 1170958531 /nfs/dbraw/zinc/95/85/31/1170958531.db2.gz XHFVXEQQFJAJJD-UHFFFAOYSA-N 0 2 307.264 0.977 20 0 DCADLN CC(=O)Nc1nc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cs1 ZINC001609626116 1171053902 /nfs/dbraw/zinc/05/39/02/1171053902.db2.gz WZRZDSNLSFXOHA-MRVPVSSYSA-N 0 2 323.334 0.181 20 0 DCADLN CC(C)c1noc(CCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001609722981 1171074099 /nfs/dbraw/zinc/07/40/99/1171074099.db2.gz SHKUUAFSTHYJCN-JTQLQIEISA-N 0 2 321.337 0.661 20 0 DCADLN CC(C)c1noc(CCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001609722981 1171074105 /nfs/dbraw/zinc/07/41/05/1171074105.db2.gz SHKUUAFSTHYJCN-JTQLQIEISA-N 0 2 321.337 0.661 20 0 DCADLN C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC001609825434 1171095539 /nfs/dbraw/zinc/09/55/39/1171095539.db2.gz NGKAWAWDPZNOJC-CYBMUJFWSA-N 0 2 316.354 0.707 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)CC2(C(=O)[O-])CCCCC2)CC1 ZINC001610009223 1171136248 /nfs/dbraw/zinc/13/62/48/1171136248.db2.gz OIBIAVBCUNVLQC-UHFFFAOYSA-N 0 2 318.439 0.989 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[N@@H+]1CC[C@@H](C)C[C@H]1C(=O)[O-] ZINC001610015785 1171140139 /nfs/dbraw/zinc/14/01/39/1171140139.db2.gz HDHBYRPGPHDAFF-MNOVXSKESA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[N@H+]1CC[C@@H](C)C[C@H]1C(=O)[O-] ZINC001610015785 1171140141 /nfs/dbraw/zinc/14/01/41/1171140141.db2.gz HDHBYRPGPHDAFF-MNOVXSKESA-N 0 2 300.355 0.193 20 0 DCADLN CN(C[C@@H](O)C[NH+]1CCOCC1)C(=O)CC(C)(C)CC(=O)[O-] ZINC001610111035 1171160519 /nfs/dbraw/zinc/16/05/19/1171160519.db2.gz NYUBYYQYFSTRQC-GFCCVEGCSA-N 0 2 316.398 0.029 20 0 DCADLN C[N@H+](CCN1CCCS1(=O)=O)Cc1ccc(C(=O)[O-])[nH]1 ZINC001610116351 1171161408 /nfs/dbraw/zinc/16/14/08/1171161408.db2.gz MOBWKCFVZOUXSM-UHFFFAOYSA-N 0 2 301.368 0.180 20 0 DCADLN C[N@@H+](CCN1CCCS1(=O)=O)Cc1ccc(C(=O)[O-])[nH]1 ZINC001610116351 1171161411 /nfs/dbraw/zinc/16/14/11/1171161411.db2.gz MOBWKCFVZOUXSM-UHFFFAOYSA-N 0 2 301.368 0.180 20 0 DCADLN CN1CCc2cc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])ccc21 ZINC001610139233 1171173677 /nfs/dbraw/zinc/17/36/77/1171173677.db2.gz XGJZCVBUHMKCPO-ZDUSSCGKSA-N 0 2 314.345 0.828 20 0 DCADLN CN1CCc2cc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])ccc21 ZINC001610139233 1171173680 /nfs/dbraw/zinc/17/36/80/1171173680.db2.gz XGJZCVBUHMKCPO-ZDUSSCGKSA-N 0 2 314.345 0.828 20 0 DCADLN CNC(=O)c1cccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001610145027 1171176115 /nfs/dbraw/zinc/17/61/15/1171176115.db2.gz LFEMPFFHDZNQAG-GFCCVEGCSA-N 0 2 316.317 0.126 20 0 DCADLN COC(=O)[C@H]([NH2+]CCc1cn(CC(=O)[O-])nn1)C1CCCCC1 ZINC001610154836 1171180889 /nfs/dbraw/zinc/18/08/89/1171180889.db2.gz AMXFAKNVSXIJCY-CQSZACIVSA-N 0 2 324.381 0.617 20 0 DCADLN COC(=O)C1CC[NH+](CC(=O)N[C@H](C(=O)[O-])C(C)C)CC1 ZINC001610170188 1171184741 /nfs/dbraw/zinc/18/47/41/1171184741.db2.gz KZYWIXUEOLHUAI-LBPRGKRZSA-N 0 2 300.355 0.097 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1C[C@@H]1CC2(CC2)C(=O)O1 ZINC001610170529 1171185375 /nfs/dbraw/zinc/18/53/75/1171185375.db2.gz XEQKXXNMAYPLLQ-DCAQKATOSA-N 0 2 311.334 0.420 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1C[C@@H]1CC2(CC2)C(=O)O1 ZINC001610170529 1171185377 /nfs/dbraw/zinc/18/53/77/1171185377.db2.gz XEQKXXNMAYPLLQ-DCAQKATOSA-N 0 2 311.334 0.420 20 0 DCADLN CO[C@@H]1C[N@H+](CC(=O)N(C)c2ccccc2C(=O)[O-])C[C@H]1O ZINC001610275632 1171215300 /nfs/dbraw/zinc/21/53/00/1171215300.db2.gz UQBWXKDOXNMPJT-CHWSQXEVSA-N 0 2 308.334 0.039 20 0 DCADLN CO[C@@H]1C[N@@H+](CC(=O)N(C)c2ccccc2C(=O)[O-])C[C@H]1O ZINC001610275632 1171215308 /nfs/dbraw/zinc/21/53/08/1171215308.db2.gz UQBWXKDOXNMPJT-CHWSQXEVSA-N 0 2 308.334 0.039 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)Nc1ccc(C(=O)NCC(=O)[O-])cc1 ZINC001610403675 1171230599 /nfs/dbraw/zinc/23/05/99/1171230599.db2.gz ICUUWQJVXDKBJT-UHFFFAOYSA-N 0 2 316.317 0.714 20 0 DCADLN Cc1ccc(C[S@@](=O)CCc2cn(CC(=O)[O-])nn2)c(C)[nH+]1 ZINC001610501407 1171244009 /nfs/dbraw/zinc/24/40/09/1171244009.db2.gz ACJKCMWYIWRUBT-QFIPXVFZSA-N 0 2 322.390 0.866 20 0 DCADLN O=C(CCOC[C@@H]1CCCO1)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001610658078 1171283947 /nfs/dbraw/zinc/28/39/47/1171283947.db2.gz NBPXKPVFTICCAF-RYUDHWBXSA-N 0 2 311.338 0.038 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC001610682228 1171290211 /nfs/dbraw/zinc/29/02/11/1171290211.db2.gz STCBYYNRHDKJAK-GFCCVEGCSA-N 0 2 316.317 0.356 20 0 DCADLN O=C([O-])c1ccc2[nH]cc(C(=O)C[N@H+]3C[C@H](O)C[C@H]3CO)c2c1 ZINC001610842671 1171337795 /nfs/dbraw/zinc/33/77/95/1171337795.db2.gz RCKZDFLTLOEOMX-WDEREUQCSA-N 0 2 318.329 0.476 20 0 DCADLN O=C([O-])c1ccc2[nH]cc(C(=O)C[N@@H+]3C[C@H](O)C[C@H]3CO)c2c1 ZINC001610842671 1171337801 /nfs/dbraw/zinc/33/78/01/1171337801.db2.gz RCKZDFLTLOEOMX-WDEREUQCSA-N 0 2 318.329 0.476 20 0 DCADLN O=C([O-])c1ncn(C[NH+]2CCC(OC[C@H]3CCOC3)CC2)n1 ZINC001610872521 1171346747 /nfs/dbraw/zinc/34/67/47/1171346747.db2.gz RCEKZXQZXIGCGJ-NSHDSACASA-N 0 2 310.354 0.451 20 0 DCADLN O=C(CNC(=O)C1CCCCC1)NCCc1n[nH]c(=S)o1 ZINC001617080216 1171385489 /nfs/dbraw/zinc/38/54/89/1171385489.db2.gz OHEUONVXOXCQPC-UHFFFAOYSA-N 0 2 312.395 0.714 20 0 DCADLN O=C(c1cnc2nccn2c1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001617922386 1171391304 /nfs/dbraw/zinc/39/13/04/1171391304.db2.gz VOCRJMGBBYJRIF-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN COC(=O)c1ccc(C=CC(=O)NCc2nc(O)cc(=O)[nH]2)o1 ZINC001630646452 1171577004 /nfs/dbraw/zinc/57/70/04/1171577004.db2.gz LBQHRQUGXBALIG-HWKANZROSA-N 0 2 319.273 0.597 20 0 DCADLN COc1ncc(C=CC(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC001633467835 1171642982 /nfs/dbraw/zinc/64/29/82/1171642982.db2.gz BRWNZRRAWNYQDE-IHWYPQMZSA-N 0 2 307.335 0.529 20 0 DCADLN CO[C@H]1COCC[C@H]1CC(=O)NCCc1n[nH]c(=S)o1 ZINC001634591259 1171677736 /nfs/dbraw/zinc/67/77/36/1171677736.db2.gz XANJMZZGYFPPSG-IUCAKERBSA-N 0 2 301.368 0.459 20 0 DCADLN C/C=C\[C@H](O)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635457295 1171703817 /nfs/dbraw/zinc/70/38/17/1171703817.db2.gz WMCYWIFBTACKKS-TXHOQGCJSA-N 0 2 302.334 0.847 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NC/C=C\C2CC2)[nH]1 ZINC001635713488 1171712641 /nfs/dbraw/zinc/71/26/41/1171712641.db2.gz MGDAFWORABZREY-IHWYPQMZSA-N 0 2 313.383 0.115 20 0 DCADLN O=C(CCc1nn[nH]n1)NCc1ccccc1Cn1ccnc1 ZINC001638439642 1171797475 /nfs/dbraw/zinc/79/74/75/1171797475.db2.gz GMAJIACUMFIALL-UHFFFAOYSA-N 0 2 311.349 0.694 20 0 DCADLN O=C(/C=C/Cn1cncn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001639544384 1171842746 /nfs/dbraw/zinc/84/27/46/1171842746.db2.gz HNZGHQJYJCQTCL-YWNRKNDBSA-N 0 2 303.326 0.064 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc2ccccc2c(=O)o1 ZINC001641493379 1171916906 /nfs/dbraw/zinc/91/69/06/1171916906.db2.gz NTQMVLPVDUPOPA-UHFFFAOYSA-N 0 2 313.269 0.924 20 0 DCADLN O=C(c1c2nc[nH]c2ccc1F)N1CC[C@H](c2nn[nH]n2)C1 ZINC001643391180 1171974254 /nfs/dbraw/zinc/97/42/54/1171974254.db2.gz QMJVZRKCABPKMC-ZETCQYMHSA-N 0 2 301.285 0.845 20 0 DCADLN O=C(c1c2[nH]cnc2ccc1F)N1CC[C@H](c2nn[nH]n2)C1 ZINC001643391180 1171974259 /nfs/dbraw/zinc/97/42/59/1171974259.db2.gz QMJVZRKCABPKMC-ZETCQYMHSA-N 0 2 301.285 0.845 20 0 DCADLN O=C(c1cnc2ccccc2c1O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001644299272 1172001482 /nfs/dbraw/zinc/00/14/82/1172001482.db2.gz LJJJGTIFBFPWHM-VIFPVBQESA-N 0 2 310.317 0.671 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCC[C@H]2CCOC2=O)cn1 ZINC001644887327 1172023964 /nfs/dbraw/zinc/02/39/64/1172023964.db2.gz KKUSQHCQEUXQLT-JTQLQIEISA-N 0 2 317.349 0.883 20 0 DCADLN COCCC[C@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001645432297 1172041630 /nfs/dbraw/zinc/04/16/30/1172041630.db2.gz BUPMZKDHJYSUJE-VIFPVBQESA-N 0 2 318.399 0.028 20 0 DCADLN CC(=O)N(C)C1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC001645577434 1172061636 /nfs/dbraw/zinc/06/16/36/1172061636.db2.gz MBERFEMDQSEFPD-JTQLQIEISA-N 0 2 312.395 0.012 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2cccc(NC(C)=O)c2)n[nH]1 ZINC001645608297 1172073928 /nfs/dbraw/zinc/07/39/28/1172073928.db2.gz RXGAUNOJVFMPBK-UHFFFAOYSA-N 0 2 324.362 0.883 20 0 DCADLN Cc1noc(C)c1[C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001647087574 1172665753 /nfs/dbraw/zinc/66/57/53/1172665753.db2.gz GCKUORPRLVHNEG-ZETCQYMHSA-N 0 2 316.321 0.553 20 0 DCADLN O=C(C[C@@H]1CCC(=O)NC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001647246905 1172762335 /nfs/dbraw/zinc/76/23/35/1172762335.db2.gz UVJMPIVCSJMNGC-UWVGGRQHSA-N 0 2 307.354 0.133 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1Cc1cc(C(=O)[O-])nn1C ZINC001647344930 1172828229 /nfs/dbraw/zinc/82/82/29/1172828229.db2.gz XYEICUUZEMTFFP-WCQYABFASA-N 0 2 308.382 0.413 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1Cc1cc(C(=O)[O-])nn1C ZINC001647344931 1172828447 /nfs/dbraw/zinc/82/84/47/1172828447.db2.gz XYEICUUZEMTFFP-YPMHNXCESA-N 0 2 308.382 0.413 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1ccc(C(C)(C)C)nn1 ZINC001649778740 1173218374 /nfs/dbraw/zinc/21/83/74/1173218374.db2.gz GHIVKOUUJQZGJY-VIFPVBQESA-N 0 2 314.411 0.993 20 0 DCADLN Cc1[nH]ncc1C1CCN(C(=O)c2cn(C)c(=O)[nH]c2=O)CC1 ZINC001649795446 1173230076 /nfs/dbraw/zinc/23/00/76/1173230076.db2.gz CWBGEQOAGAKATJ-UHFFFAOYSA-N 0 2 317.349 0.537 20 0 DCADLN CC(C)(CNC(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-])[NH+]1CCOCC1 ZINC001650024186 1173386924 /nfs/dbraw/zinc/38/69/24/1173386924.db2.gz ZQWKQRWZDVKSJD-QWRGUYRKSA-N 0 2 317.361 0.304 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)N2CC[C@@H](c3nn[nH]n3)C2)c1 ZINC001650857895 1173734838 /nfs/dbraw/zinc/73/48/38/1173734838.db2.gz LEMHGDDDVLWVQI-SECBINFHSA-N 0 2 301.306 0.656 20 0 DCADLN C=C/C(C)=C\CC(=O)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001672019372 1175065224 /nfs/dbraw/zinc/06/52/24/1175065224.db2.gz XOWJRSUZQNFGCX-XVCALJJASA-N 0 2 321.381 0.086 20 0 DCADLN C=C/C(C)=C\CC(=O)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001672019372 1175065229 /nfs/dbraw/zinc/06/52/29/1175065229.db2.gz XOWJRSUZQNFGCX-XVCALJJASA-N 0 2 321.381 0.086 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001680188738 1175784522 /nfs/dbraw/zinc/78/45/22/1175784522.db2.gz WYJFNIGHMNWEOH-IONNQARKSA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001680188738 1175784525 /nfs/dbraw/zinc/78/45/25/1175784525.db2.gz WYJFNIGHMNWEOH-IONNQARKSA-N 0 2 300.252 0.446 20 0 DCADLN Cn1cncc1CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720130356 1178681706 /nfs/dbraw/zinc/68/17/06/1178681706.db2.gz QQKACIYZTSOVBX-SECBINFHSA-N 0 2 310.251 0.095 20 0 DCADLN CN(CCOCC[NH2+]CCF)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001701037924 1178891596 /nfs/dbraw/zinc/89/15/96/1178891596.db2.gz RFLBJPZFTNTVAJ-CYBMUJFWSA-N 0 2 312.389 0.480 20 0 DCADLN Cc1ncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1C ZINC001703218746 1179399962 /nfs/dbraw/zinc/39/99/62/1179399962.db2.gz PJZMKUFKKXKWQT-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1ncc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1C ZINC001703218746 1179399967 /nfs/dbraw/zinc/39/99/67/1179399967.db2.gz PJZMKUFKKXKWQT-QMMMGPOBSA-N 0 2 310.251 0.475 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2CC[N@H+](CCOCC3CC3)C2)c1[O-] ZINC001713996778 1181194016 /nfs/dbraw/zinc/19/40/16/1181194016.db2.gz YSOOOSRIMOCQMJ-ZDUSSCGKSA-N 0 2 322.409 0.997 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)[C@H]2CC[N@@H+](CCOCC3CC3)C2)c1[O-] ZINC001713996778 1181194020 /nfs/dbraw/zinc/19/40/20/1181194020.db2.gz YSOOOSRIMOCQMJ-ZDUSSCGKSA-N 0 2 322.409 0.997 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001714630958 1181450663 /nfs/dbraw/zinc/45/06/63/1181450663.db2.gz STJLXGHLNINLHU-VIFPVBQESA-N 0 2 309.370 0.064 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001714630958 1181450673 /nfs/dbraw/zinc/45/06/73/1181450673.db2.gz STJLXGHLNINLHU-VIFPVBQESA-N 0 2 309.370 0.064 20 0 DCADLN O=C(C=C1CCC1)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001719103165 1183427468 /nfs/dbraw/zinc/42/74/68/1183427468.db2.gz GQPNTCIBJAXYGB-KKZNHRDASA-N 0 2 324.274 0.685 20 0 DCADLN O=C(C=C1CCC1)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001719103165 1183427470 /nfs/dbraw/zinc/42/74/70/1183427470.db2.gz GQPNTCIBJAXYGB-KKZNHRDASA-N 0 2 324.274 0.685 20 0 DCADLN Cc1ncc(C[NH2+]CCN(CCO)C(=O)Cn2cc[nH+]c2C)o1 ZINC001724684962 1184519222 /nfs/dbraw/zinc/51/92/22/1184519222.db2.gz PKLJIYWJSDOPTR-UHFFFAOYSA-N 0 2 321.381 0.099 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)c1cnn(C)c1 ZINC001736785200 1187104917 /nfs/dbraw/zinc/10/49/17/1187104917.db2.gz JUUYOMAQTJYXJJ-CBAPKCEASA-N 0 2 324.278 0.656 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1cnn(C)c1 ZINC001736785200 1187104920 /nfs/dbraw/zinc/10/49/20/1187104920.db2.gz JUUYOMAQTJYXJJ-CBAPKCEASA-N 0 2 324.278 0.656 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@]12C[C@H]1COC2)C(F)C(F)(F)F ZINC001738525174 1187582890 /nfs/dbraw/zinc/58/28/90/1187582890.db2.gz JDWQJPLGALAGTE-UBNUDWGHSA-N 0 2 324.274 0.712 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@]12C[C@H]1COC2)[C@@H](F)C(F)(F)F ZINC001738525174 1187582892 /nfs/dbraw/zinc/58/28/92/1187582892.db2.gz JDWQJPLGALAGTE-UBNUDWGHSA-N 0 2 324.274 0.712 20 0 DCADLN O=C(Cc1cnoc1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001747245334 1188255721 /nfs/dbraw/zinc/25/57/21/1188255721.db2.gz WVAFNOKTFZQXFW-YOLVWIGZSA-N 0 2 323.246 0.906 20 0 DCADLN O=C(Cc1cnoc1)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F ZINC001747245334 1188255724 /nfs/dbraw/zinc/25/57/24/1188255724.db2.gz WVAFNOKTFZQXFW-YOLVWIGZSA-N 0 2 323.246 0.906 20 0 DCADLN C[C@H]1C[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC001771641238 1190389179 /nfs/dbraw/zinc/38/91/79/1190389179.db2.gz IUADWMUODCGZSS-VHSXEESVSA-N 0 2 305.338 0.003 20 0 DCADLN CCc1onc(C)c1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001771635160 1190457403 /nfs/dbraw/zinc/45/74/03/1190457403.db2.gz PUECRXSGKDZLBE-UHFFFAOYSA-N 0 2 302.294 0.569 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107116588 751863093 /nfs/dbraw/zinc/86/30/93/751863093.db2.gz LDSMBTFKKDEQCU-VUDBWIFFSA-N 0 2 315.381 0.483 20 0 DCADLN C[N@H+]1CCC[C@H]1C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107116588 751863100 /nfs/dbraw/zinc/86/31/00/751863100.db2.gz LDSMBTFKKDEQCU-VUDBWIFFSA-N 0 2 315.381 0.483 20 0 DCADLN Cc1c(F)cccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042758115 751891865 /nfs/dbraw/zinc/89/18/65/751891865.db2.gz PGXIWCGQNNKTQA-UHFFFAOYSA-N 0 2 319.340 0.914 20 0 DCADLN CN(C(=O)C1(C)CC1)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001042761298 751893680 /nfs/dbraw/zinc/89/36/80/751893680.db2.gz CQWARADTPFMEPS-UHFFFAOYSA-N 0 2 315.377 0.465 20 0 DCADLN CN(C(=O)C[C@@H]1C=CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043055487 752054398 /nfs/dbraw/zinc/05/43/98/752054398.db2.gz LTOVUJARRRVQHV-LLVKDONJSA-N 0 2 305.382 0.899 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043374575 752201740 /nfs/dbraw/zinc/20/17/40/752201740.db2.gz GXBLCPNPABSRGF-FXQIFTODSA-N 0 2 312.263 0.232 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043374575 752201748 /nfs/dbraw/zinc/20/17/48/752201748.db2.gz GXBLCPNPABSRGF-FXQIFTODSA-N 0 2 312.263 0.232 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnns2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001087512480 748907570 /nfs/dbraw/zinc/90/75/70/748907570.db2.gz UDWOQUVXFGAXEY-JGVFFNPUSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2csc(=O)[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088805832 750048672 /nfs/dbraw/zinc/04/86/72/750048672.db2.gz AKCFYLHPZMSUSV-RQJHMYQMSA-N 0 2 324.366 0.065 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2csc(=O)[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088805832 750048678 /nfs/dbraw/zinc/04/86/78/750048678.db2.gz AKCFYLHPZMSUSV-RQJHMYQMSA-N 0 2 324.366 0.065 20 0 DCADLN CC[C@@H](F)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)[NH+]2CCCC2)C1 ZINC001077505274 750870714 /nfs/dbraw/zinc/87/07/14/750870714.db2.gz XUIJJAPAFAJYNF-MGPQQGTHSA-N 0 2 315.433 0.770 20 0 DCADLN Cc1cc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001122760769 751154160 /nfs/dbraw/zinc/15/41/60/751154160.db2.gz KUIANHXCJFMFPP-CBAPKCEASA-N 0 2 310.251 0.574 20 0 DCADLN Cc1cc(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001122760769 751154164 /nfs/dbraw/zinc/15/41/64/751154164.db2.gz KUIANHXCJFMFPP-CBAPKCEASA-N 0 2 310.251 0.574 20 0 DCADLN C[C@H]1CCC[N@@H+]1CC(=O)N(C)C1C[NH+](CCc2ccnn2C)C1 ZINC001043870418 752433302 /nfs/dbraw/zinc/43/33/02/752433302.db2.gz USMPWTLZKXJDQT-AWEZNQCLSA-N 0 2 319.453 0.590 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071782921 762134060 /nfs/dbraw/zinc/13/40/60/762134060.db2.gz GVFGZRDYBBYQHV-WCBMZHEXSA-N 0 2 320.353 0.590 20 0 DCADLN CC(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC2(CCOCC2)C1 ZINC001089911132 752608521 /nfs/dbraw/zinc/60/85/21/752608521.db2.gz HVPQGUPEOMXYDM-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN CC(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC2(CCOCC2)C1 ZINC001089911132 752608524 /nfs/dbraw/zinc/60/85/24/752608524.db2.gz HVPQGUPEOMXYDM-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN COCC(C)(C)CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113148204 762167280 /nfs/dbraw/zinc/16/72/80/762167280.db2.gz VMKOIINBEPGTEE-UHFFFAOYSA-N 0 2 311.386 0.217 20 0 DCADLN Cc1cnoc1C(=O)NC1(C)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045609576 753260974 /nfs/dbraw/zinc/26/09/74/753260974.db2.gz YWXMISAEZHIAGV-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN O=C(c1ccon1)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045723803 753304204 /nfs/dbraw/zinc/30/42/04/753304204.db2.gz OTTWVBWJRGACDJ-ZJUUUORDSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1ccon1)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045723803 753304207 /nfs/dbraw/zinc/30/42/07/753304207.db2.gz OTTWVBWJRGACDJ-ZJUUUORDSA-N 0 2 318.337 0.377 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2C[C@H](NC(=O)c3cnn[nH]3)C23CCC3)no1 ZINC001078664962 753332765 /nfs/dbraw/zinc/33/27/65/753332765.db2.gz CQTFHTRORHUBOR-OLZOCXBDSA-N 0 2 316.365 0.932 20 0 DCADLN C[C@@]1(NC(=O)C2CCC(O)CC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046271839 753604782 /nfs/dbraw/zinc/60/47/82/753604782.db2.gz RFFXRFGUGBIKHK-QKFMDRJYSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@]1(NC(=O)C2CCC(O)CC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046271839 753604784 /nfs/dbraw/zinc/60/47/84/753604784.db2.gz RFFXRFGUGBIKHK-QKFMDRJYSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2nccs2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071927181 762233596 /nfs/dbraw/zinc/23/35/96/762233596.db2.gz KBMSLHGEVSSSIO-IUCAKERBSA-N 0 2 322.394 0.750 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046389782 753684000 /nfs/dbraw/zinc/68/40/00/753684000.db2.gz PLZTZGUQENXMKL-GMXABZIVSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046389782 753684004 /nfs/dbraw/zinc/68/40/04/753684004.db2.gz PLZTZGUQENXMKL-GMXABZIVSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O)C1CCCCC1 ZINC001090035114 753752391 /nfs/dbraw/zinc/75/23/91/753752391.db2.gz UKAVYMQUGPQTTI-VXGBXAGGSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1cnco1 ZINC001097600530 755685727 /nfs/dbraw/zinc/68/57/27/755685727.db2.gz SEUHWCWHDBPNCC-HLTSFMKQSA-N 0 2 304.310 0.034 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)n1cccc1 ZINC001079582768 755917708 /nfs/dbraw/zinc/91/77/08/755917708.db2.gz DRUKAKLQEFOVEB-IJLUTSLNSA-N 0 2 318.381 0.510 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)n1cccc1 ZINC001079582768 755917711 /nfs/dbraw/zinc/91/77/11/755917711.db2.gz DRUKAKLQEFOVEB-IJLUTSLNSA-N 0 2 318.381 0.510 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001080709717 756314579 /nfs/dbraw/zinc/31/45/79/756314579.db2.gz SVPOKOUCCFIJLX-RKDXNWHRSA-N 0 2 306.326 0.057 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@H](C)[C@H]([NH2+]Cc3nncs3)C2)c1[O-] ZINC001054569772 756545432 /nfs/dbraw/zinc/54/54/32/756545432.db2.gz GUNMSAFDVGNSIP-IONNQARKSA-N 0 2 322.394 0.526 20 0 DCADLN CCC(=O)N[C@]1(CO)CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001055664581 756848663 /nfs/dbraw/zinc/84/86/63/756848663.db2.gz XGQRSFZTKWSZMW-MWLCHTKSSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N[C@]1(CO)CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001055664581 756848669 /nfs/dbraw/zinc/84/86/69/756848669.db2.gz XGQRSFZTKWSZMW-MWLCHTKSSA-N 0 2 314.279 0.767 20 0 DCADLN CC(C)(C)CC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084191461 757716888 /nfs/dbraw/zinc/71/68/88/757716888.db2.gz MNAIACIRTPLQQW-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN Cn1cccc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084193245 757718826 /nfs/dbraw/zinc/71/88/26/757718826.db2.gz CDEGVJXGWHUFFE-ZYHUDNBSSA-N 0 2 316.365 0.195 20 0 DCADLN COC(=O)Cc1cc(Nc2[nH]c(=O)nc3[nH]c(=O)[nH]c32)cc[nH+]1 ZINC001170218172 762570097 /nfs/dbraw/zinc/57/00/97/762570097.db2.gz HDLRIAJZALDKGL-UHFFFAOYSA-N 0 2 316.277 0.570 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)c1cccs1 ZINC001050803104 758085384 /nfs/dbraw/zinc/08/53/84/758085384.db2.gz SEWOQLVPBRJKAZ-SECBINFHSA-N 0 2 323.378 0.203 20 0 DCADLN CCC(=O)NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001065236550 758300564 /nfs/dbraw/zinc/30/05/64/758300564.db2.gz IOJQOAPOWFTIOZ-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)NC[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001065236550 758300572 /nfs/dbraw/zinc/30/05/72/758300572.db2.gz IOJQOAPOWFTIOZ-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CCCC1 ZINC001090471167 762659763 /nfs/dbraw/zinc/65/97/63/762659763.db2.gz ZBLJEGPRPUTZIT-MNOVXSKESA-N 0 2 323.397 0.142 20 0 DCADLN Cc1ncc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001085511009 758974202 /nfs/dbraw/zinc/97/42/02/758974202.db2.gz IYUKGFUXADCHST-VIFPVBQESA-N 0 2 322.394 0.622 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001085532461 759011067 /nfs/dbraw/zinc/01/10/67/759011067.db2.gz NVIGLFMIRCGJRT-NMKXLXIOSA-N 0 2 319.409 0.979 20 0 DCADLN CC[C@@H](C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-])OC ZINC001085549890 759030245 /nfs/dbraw/zinc/03/02/45/759030245.db2.gz GUGUVIUSYXNMGI-NEPJUHHUSA-N 0 2 310.398 0.995 20 0 DCADLN CC[C@@H](C[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-])OC ZINC001085549890 759030252 /nfs/dbraw/zinc/03/02/52/759030252.db2.gz GUGUVIUSYXNMGI-NEPJUHHUSA-N 0 2 310.398 0.995 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccn(C)c1 ZINC001085549264 759033184 /nfs/dbraw/zinc/03/31/84/759033184.db2.gz QZFMKEQWDSVHMB-LLVKDONJSA-N 0 2 304.354 0.195 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CCCOCC1 ZINC001085572172 759071441 /nfs/dbraw/zinc/07/14/41/759071441.db2.gz STRJWKMJOFXBAX-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)c([O-])c(Cl)c2)[C@H](CO)C1 ZINC000828716620 759074318 /nfs/dbraw/zinc/07/43/18/759074318.db2.gz OBFZGEHCRIANAK-VIFPVBQESA-N 0 2 302.733 0.933 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)c([O-])c(Cl)c2)[C@H](CO)C1 ZINC000828716620 759074330 /nfs/dbraw/zinc/07/43/30/759074330.db2.gz OBFZGEHCRIANAK-VIFPVBQESA-N 0 2 302.733 0.933 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)ns1 ZINC001085567605 759077744 /nfs/dbraw/zinc/07/77/44/759077744.db2.gz MVLJDQZBRDIFGC-VIFPVBQESA-N 0 2 322.394 0.622 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CCC1(F)F ZINC001085663659 759172752 /nfs/dbraw/zinc/17/27/52/759172752.db2.gz PPENFDLBTZQONH-RKDXNWHRSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1CC2(CC2)C1 ZINC001085809414 759338069 /nfs/dbraw/zinc/33/80/69/759338069.db2.gz CXZUJTZDKWSOAU-LLVKDONJSA-N 0 2 305.382 0.733 20 0 DCADLN CCCC(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829852 759573827 /nfs/dbraw/zinc/57/38/27/759573827.db2.gz ZEWGFUDFRMJADA-SECBINFHSA-N 0 2 302.268 0.624 20 0 DCADLN CCCC(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057829852 759573834 /nfs/dbraw/zinc/57/38/34/759573834.db2.gz ZEWGFUDFRMJADA-SECBINFHSA-N 0 2 302.268 0.624 20 0 DCADLN CCC(=O)N1CC2(C1)C[C@H](NC(=O)C(F)C(F)(F)F)CO2 ZINC001068897089 760593965 /nfs/dbraw/zinc/59/39/65/760593965.db2.gz RWANIOGGVVQLBX-IONNQARKSA-N 0 2 312.263 0.783 20 0 DCADLN CCC(=O)N1CC2(C1)C[C@H](NC(=O)[C@@H](F)C(F)(F)F)CO2 ZINC001068897089 760593967 /nfs/dbraw/zinc/59/39/67/760593967.db2.gz RWANIOGGVVQLBX-IONNQARKSA-N 0 2 312.263 0.783 20 0 DCADLN CCOCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001108974238 761179508 /nfs/dbraw/zinc/17/95/08/761179508.db2.gz OHJXYSOJUNPGPP-WOPDTQHZSA-N 0 2 323.397 0.549 20 0 DCADLN CCOCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001108974238 761179511 /nfs/dbraw/zinc/17/95/11/761179511.db2.gz OHJXYSOJUNPGPP-WOPDTQHZSA-N 0 2 323.397 0.549 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)Nc1ccc2nnnn2n1 ZINC001098327718 761650548 /nfs/dbraw/zinc/65/05/48/761650548.db2.gz NKEHXSZGPOTBFX-XNCJUZBTSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)Nc1ccc2nnnn2n1 ZINC001098327718 761650551 /nfs/dbraw/zinc/65/05/51/761650551.db2.gz NKEHXSZGPOTBFX-XNCJUZBTSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cccnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071319324 761776136 /nfs/dbraw/zinc/77/61/36/761776136.db2.gz MXMRJPFTGZGSKA-JQWIXIFHSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cccnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071319325 761776873 /nfs/dbraw/zinc/77/68/73/761776873.db2.gz MXMRJPFTGZGSKA-PWSUYJOCSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccn[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071369634 761800730 /nfs/dbraw/zinc/80/07/30/761800730.db2.gz UVRUGVGJJAZJEV-DTWKUNHWSA-N 0 2 305.342 0.016 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cncnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071447421 761865071 /nfs/dbraw/zinc/86/50/71/761865071.db2.gz MQGPALFSILLWDO-MWLCHTKSSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)Cc2ccoc2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071451195 761869610 /nfs/dbraw/zinc/86/96/10/761869610.db2.gz QGHVTJTWJGQTFN-CMPLNLGQSA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)Cc2ccoc2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071451195 761869622 /nfs/dbraw/zinc/86/96/22/761869622.db2.gz QGHVTJTWJGQTFN-CMPLNLGQSA-N 0 2 319.365 0.815 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC3(C[C@H]2C)CC[NH+](CCO)CC3)c1[O-] ZINC001086916559 768090821 /nfs/dbraw/zinc/09/08/21/768090821.db2.gz NAQLKBDSFUUEAK-LLVKDONJSA-N 0 2 322.409 0.733 20 0 DCADLN CCC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CCC1 ZINC001099964173 763170803 /nfs/dbraw/zinc/17/08/03/763170803.db2.gz HVRIZEKPHSSAFJ-MNOVXSKESA-N 0 2 323.397 0.142 20 0 DCADLN CC(C)(C(=O)N[C@@H]1CC[N@H+](CCF)C[C@H]1O)[NH+]1CCCC1 ZINC001090489173 763413036 /nfs/dbraw/zinc/41/30/36/763413036.db2.gz ISDKLLLGTFVGRR-CHWSQXEVSA-N 0 2 301.406 0.382 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C2(CF)CC2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001132301432 764364645 /nfs/dbraw/zinc/36/46/45/764364645.db2.gz ZVQRGPPKATZSLK-NXEZZACHSA-N 0 2 311.361 0.729 20 0 DCADLN O=C(NC[C@H]1CC[C@@H]([NH2+]Cc2cnsn2)C1)c1nnc[nH]1 ZINC001086832568 766272630 /nfs/dbraw/zinc/27/26/30/766272630.db2.gz XSYRONOMYOFFJX-DTWKUNHWSA-N 0 2 307.383 0.345 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccn(C2CCOCC2)n1 ZINC001118909322 766468286 /nfs/dbraw/zinc/46/82/86/766468286.db2.gz BKMJOWZYFRTXKA-UHFFFAOYSA-N 0 2 320.353 0.421 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H](O)c1ccc(C(F)(F)F)cc1 ZINC001143652952 766687340 /nfs/dbraw/zinc/68/73/40/766687340.db2.gz QYRIDKXDRHGTNC-SECBINFHSA-N 0 2 316.239 0.879 20 0 DCADLN Cc1cc(N2C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C2)ncn1 ZINC001091190174 767015171 /nfs/dbraw/zinc/01/51/71/767015171.db2.gz JIGXVYLHABHGTM-KHQFGBGNSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1cc(N2C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C2)ncn1 ZINC001091190174 767015182 /nfs/dbraw/zinc/01/51/82/767015182.db2.gz JIGXVYLHABHGTM-KHQFGBGNSA-N 0 2 322.262 0.351 20 0 DCADLN CN(C)c1ncc(C[N@H+]2CCC(=O)N(CC[NH+](C)C)CC2)cn1 ZINC001138350948 768409418 /nfs/dbraw/zinc/40/94/18/768409418.db2.gz BGSQPINTMDSNOR-UHFFFAOYSA-N 0 2 320.441 0.139 20 0 DCADLN O=C(C1CC1)N1CCC(OC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001093495608 768577989 /nfs/dbraw/zinc/57/79/89/768577989.db2.gz HSOPSSYSCMINTA-UHFFFAOYSA-N 0 2 321.381 0.112 20 0 DCADLN COCCCn1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)cn1 ZINC001149285156 768723117 /nfs/dbraw/zinc/72/31/17/768723117.db2.gz XPRTUZDXOWDXBY-UHFFFAOYSA-N 0 2 307.310 0.051 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@H]1CCCO1 ZINC001230868251 768895435 /nfs/dbraw/zinc/89/54/35/768895435.db2.gz ZQUQBDBTBFCHRK-MNOVXSKESA-N 0 2 309.370 0.112 20 0 DCADLN CCO[C@H](CC)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231025607 769153523 /nfs/dbraw/zinc/15/35/23/769153523.db2.gz JXTLBVCCTZFHQZ-WDEREUQCSA-N 0 2 311.386 0.358 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCC(F)(F)F ZINC001231410262 769260535 /nfs/dbraw/zinc/26/05/35/769260535.db2.gz DWZPHLKHKQIMOU-QMMMGPOBSA-N 0 2 321.303 0.886 20 0 DCADLN Cn1ncc(C(=O)N[C@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)n1 ZINC001151369959 769326940 /nfs/dbraw/zinc/32/69/40/769326940.db2.gz KYJFLWOGTYTQER-SNVBAGLBSA-N 0 2 313.321 0.353 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@H]1CCCCO1 ZINC001233664795 769422893 /nfs/dbraw/zinc/42/28/93/769422893.db2.gz WWYNNIRLEIWFRT-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN CCO[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001234335517 769629102 /nfs/dbraw/zinc/62/91/02/769629102.db2.gz VIZNDGDVTQJOMX-DGCLKSJQSA-N 0 2 323.397 0.358 20 0 DCADLN CCO[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001234335516 769629400 /nfs/dbraw/zinc/62/94/00/769629400.db2.gz VIZNDGDVTQJOMX-AAEUAGOBSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@]1(CO)CN(C(=O)c2c[nH]c3cccnc3c2=O)CC[C@H]1O ZINC001153856022 769965117 /nfs/dbraw/zinc/96/51/17/769965117.db2.gz GZKUJNUOZYOIOY-WBMJQRKESA-N 0 2 317.345 0.129 20 0 DCADLN CN1C[C@@H](NC(=O)c2c[nH]c3cccnc3c2=O)CCC1=O ZINC001153860376 769967164 /nfs/dbraw/zinc/96/71/64/769967164.db2.gz NQCCUIXEWRPMFS-VIFPVBQESA-N 0 2 300.318 0.274 20 0 DCADLN Nc1ccc(N)c(S(=O)(=O)Nc2ccc3nn[nH]c3c2)c1 ZINC001176005403 770002554 /nfs/dbraw/zinc/00/25/54/770002554.db2.gz SXUOEJHELIHGQU-UHFFFAOYSA-N 0 2 304.335 0.923 20 0 DCADLN O=C([O-])Cn1cc(Nc2cnn(CC[NH+]3CCOCC3)c2)cn1 ZINC001176266777 770067482 /nfs/dbraw/zinc/06/74/82/770067482.db2.gz GYTHGWGOBHIATH-UHFFFAOYSA-N 0 2 320.353 0.240 20 0 DCADLN O=C([O-])c1cc(F)ccc1C[N@@H+]1CCN2C(=O)COC[C@@H]2C1 ZINC001238239254 770109362 /nfs/dbraw/zinc/10/93/62/770109362.db2.gz SZKLMZLGINCPHH-LBPRGKRZSA-N 0 2 308.309 0.567 20 0 DCADLN O=C([O-])c1cc(F)ccc1C[N@H+]1CCN2C(=O)COC[C@@H]2C1 ZINC001238239254 770109367 /nfs/dbraw/zinc/10/93/67/770109367.db2.gz SZKLMZLGINCPHH-LBPRGKRZSA-N 0 2 308.309 0.567 20 0 DCADLN C/C=C/C(=O)Nc1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1 ZINC001176641618 770142510 /nfs/dbraw/zinc/14/25/10/770142510.db2.gz RLBFWONXMYAERM-ONEGZZNKSA-N 0 2 322.350 0.526 20 0 DCADLN CCNC(=O)C[N@H+](CC)[C@H](C)CNC(=O)Cn1cncc1C ZINC001154345169 770150258 /nfs/dbraw/zinc/15/02/58/770150258.db2.gz SVCMKPDOUREANH-CYBMUJFWSA-N 0 2 309.414 0.154 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H]1CCCN(c2ccccn2)C1 ZINC001176971725 770263325 /nfs/dbraw/zinc/26/33/25/770263325.db2.gz XNEKXYLANCEVTC-RNCFNFMXSA-N 0 2 320.374 0.723 20 0 DCADLN COC[C@@H](NC(=O)C=CCN(C)CC(F)(F)F)c1nn[nH]n1 ZINC001155067688 770382644 /nfs/dbraw/zinc/38/26/44/770382644.db2.gz ADMVDOBSYRDWAH-TUUFMJSCSA-N 0 2 322.291 0.054 20 0 DCADLN COC[C@@H](NC(=O)/C=C\CN(C)CC(F)(F)F)c1nn[nH]n1 ZINC001155067688 770382651 /nfs/dbraw/zinc/38/26/51/770382651.db2.gz ADMVDOBSYRDWAH-TUUFMJSCSA-N 0 2 322.291 0.054 20 0 DCADLN C[C@H]([NH2+]CCCNC(=O)CCc1nc[nH]n1)c1ncccn1 ZINC001156336774 770788945 /nfs/dbraw/zinc/78/89/45/770788945.db2.gz RUOQPUMLHSGCFH-NSHDSACASA-N 0 2 303.370 0.384 20 0 DCADLN CC(C)(C)c1nnc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccn21 ZINC001156391001 770804517 /nfs/dbraw/zinc/80/45/17/770804517.db2.gz WVCMBUMLPWAXKC-UHFFFAOYSA-N 0 2 315.337 0.781 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1nc(Cl)nc2[nH]ccc21 ZINC001157126727 771542506 /nfs/dbraw/zinc/54/25/06/771542506.db2.gz VLHMGMXLAJNSCI-MRVPVSSYSA-N 0 2 311.710 0.602 20 0 DCADLN CN(C(=O)OC(C)(C)C)C(C)(C)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001182821617 771562136 /nfs/dbraw/zinc/56/21/36/771562136.db2.gz BDZZOFWZYNEZLO-UHFFFAOYSA-N 0 2 313.358 0.772 20 0 DCADLN CSc1[nH]nc(NC(=O)C(CO)C(F)(F)F)c1C(N)=O ZINC001183281376 771626872 /nfs/dbraw/zinc/62/68/72/771626872.db2.gz VXEKPQNLIYCRKW-GSVOUGTGSA-N 0 2 312.273 0.340 20 0 DCADLN COc1nc(NC(=O)C(CO)C(F)(F)F)c(C)c(OC)n1 ZINC001183281918 771627044 /nfs/dbraw/zinc/62/70/44/771627044.db2.gz ZYWZOZQYQMJILC-ZCFIWIBFSA-N 0 2 309.244 0.912 20 0 DCADLN COc1nc(NC(=O)[C@@H](CO)C(F)(F)F)c(C)c(OC)n1 ZINC001183281918 771627047 /nfs/dbraw/zinc/62/70/47/771627047.db2.gz ZYWZOZQYQMJILC-ZCFIWIBFSA-N 0 2 309.244 0.912 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cncc(C(F)(F)F)n1 ZINC001188353198 772297876 /nfs/dbraw/zinc/29/78/76/772297876.db2.gz RYAVJGYNEFZWFJ-UHFFFAOYSA-N 0 2 313.257 0.800 20 0 DCADLN CS(=O)(=O)c1ccc(O)c(NS(=O)(=O)CCCF)c1 ZINC001189916362 772557369 /nfs/dbraw/zinc/55/73/69/772557369.db2.gz YFUQGKNKLNSGMV-UHFFFAOYSA-N 0 2 311.356 0.897 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ncc(F)cc2F)cc(=O)[nH]1 ZINC001190141192 772588867 /nfs/dbraw/zinc/58/88/67/772588867.db2.gz JYXGKPYIWORVNK-UHFFFAOYSA-N 0 2 302.262 0.965 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc(-c2ccccc2)[nH]c1=O ZINC001190267394 772612421 /nfs/dbraw/zinc/61/24/21/772612421.db2.gz GHKKPRMWMRKTKK-UHFFFAOYSA-N 0 2 312.289 0.603 20 0 DCADLN COC(=O)c1nc2nc(NCCCc3c[nH][nH]c3=O)ccc2[nH]1 ZINC001164393550 772653871 /nfs/dbraw/zinc/65/38/71/772653871.db2.gz BFUPEZDKMQYALC-QMMMGPOBSA-N 0 2 316.321 0.668 20 0 DCADLN CCN(C)C(=O)C[NH+](C)[C@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190946856 772696573 /nfs/dbraw/zinc/69/65/73/772696573.db2.gz OFMPRCXYXJLKRR-GJZGRUSLSA-N 0 2 324.469 0.482 20 0 DCADLN COc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)c(F)c(F)c1F ZINC001193055160 773003753 /nfs/dbraw/zinc/00/37/53/773003753.db2.gz LIFXSDCZFGPUCD-UHFFFAOYSA-N 0 2 302.212 0.866 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cnc(Cl)nc2OC)[nH]n1 ZINC001194283641 773175774 /nfs/dbraw/zinc/17/57/74/773175774.db2.gz OPQHGOPICKREJF-UHFFFAOYSA-N 0 2 311.685 0.901 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cnc(Cl)nc2OC)n[nH]1 ZINC001194283641 773175775 /nfs/dbraw/zinc/17/57/75/773175775.db2.gz OPQHGOPICKREJF-UHFFFAOYSA-N 0 2 311.685 0.901 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc3c(c2)nnn3C)[nH]n1 ZINC001194289127 773177861 /nfs/dbraw/zinc/17/78/61/773177861.db2.gz YAXUYMOCQQIMIN-UHFFFAOYSA-N 0 2 300.278 0.730 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccc3c(c2)nnn3C)n[nH]1 ZINC001194289127 773177863 /nfs/dbraw/zinc/17/78/63/773177863.db2.gz YAXUYMOCQQIMIN-UHFFFAOYSA-N 0 2 300.278 0.730 20 0 DCADLN CSC[C@H](C)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001195329873 773371999 /nfs/dbraw/zinc/37/19/99/773371999.db2.gz IROMWCVDOGSZQF-JTQLQIEISA-N 0 2 313.427 0.544 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CCC1 ZINC001208221181 773411177 /nfs/dbraw/zinc/41/11/77/773411177.db2.gz XSBMZLLBHVBELB-XWIASGKRSA-N 0 2 323.397 0.262 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CCC1 ZINC001208221181 773411180 /nfs/dbraw/zinc/41/11/80/773411180.db2.gz XSBMZLLBHVBELB-XWIASGKRSA-N 0 2 323.397 0.262 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cc(=O)[nH]c(C2CC2)n1 ZINC001195934176 773506539 /nfs/dbraw/zinc/50/65/39/773506539.db2.gz ODCKMJOEWXAULU-UHFFFAOYSA-N 0 2 301.324 0.364 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc(Cl)cn2)S1 ZINC001196255492 773564477 /nfs/dbraw/zinc/56/44/77/773564477.db2.gz UNCSNXGEKZDKPM-SSDOTTSWSA-N 0 2 307.740 0.322 20 0 DCADLN CCC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CCCC1 ZINC001197403986 773739657 /nfs/dbraw/zinc/73/96/57/773739657.db2.gz LTCXOPULVLESIV-GHMZBOCLSA-N 0 2 323.397 0.142 20 0 DCADLN COc1cc(F)ccc1-c1noc(-c2c[nH]c(=O)c(=O)[nH]2)n1 ZINC001213927862 773901959 /nfs/dbraw/zinc/90/19/59/773901959.db2.gz FVQVPFIGKXNPRD-UHFFFAOYSA-N 0 2 304.237 0.928 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1nccn1CC(F)(F)F ZINC001198307169 773904888 /nfs/dbraw/zinc/90/48/88/773904888.db2.gz NZTINKJYHXJLKC-ZETCQYMHSA-N 0 2 308.241 0.484 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cnc3c(c2)ncn3C)n1C ZINC001198354315 773914501 /nfs/dbraw/zinc/91/45/01/773914501.db2.gz SSSAWRZCHQORQH-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN COc1ccccc1[C@H](CNC(C)=O)NCc1n[nH]c(=O)[nH]1 ZINC001198453346 773925093 /nfs/dbraw/zinc/92/50/93/773925093.db2.gz FWDMAEADOVYIBI-NSHDSACASA-N 0 2 305.338 0.486 20 0 DCADLN O=C(Cc1ccc(S)cc1)NCCN1CCCS1(=O)=O ZINC000405909245 774187002 /nfs/dbraw/zinc/18/70/02/774187002.db2.gz VGVCSGVAHMDUON-UHFFFAOYSA-N 0 2 314.432 0.670 20 0 DCADLN CC[C@@H](C)CC(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217737569 774195328 /nfs/dbraw/zinc/19/53/28/774195328.db2.gz XTAJUZBRQUYPDT-GRYCIOLGSA-N 0 2 323.397 0.358 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCc1ccncc1 ZINC001222123514 775280450 /nfs/dbraw/zinc/28/04/50/775280450.db2.gz LGBRYASKBRAPED-ZDUSSCGKSA-N 0 2 316.379 0.187 20 0 DCADLN CCO[C@H](CC)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226385361 775809920 /nfs/dbraw/zinc/80/99/20/775809920.db2.gz XALVRKMBOUKHDK-LLVKDONJSA-N 0 2 311.386 0.406 20 0 DCADLN NN1CCC(Oc2ncnc3[nH]nc(Br)c32)CC1 ZINC001226669789 775857541 /nfs/dbraw/zinc/85/75/41/775857541.db2.gz TXJXTZKKYJXQLR-UHFFFAOYSA-N 0 2 313.159 0.832 20 0 DCADLN O=c1nnc(Br)c(OC2CCS(=O)(=O)CC2)[nH]1 ZINC001227065055 775920548 /nfs/dbraw/zinc/92/05/48/775920548.db2.gz WZVJFSWQRCZVPN-UHFFFAOYSA-N 0 2 324.156 0.296 20 0 DCADLN Cn1cnnc1CN1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001227474720 775978635 /nfs/dbraw/zinc/97/86/35/775978635.db2.gz ZHFJHQDFUYOTLA-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CCC(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001227474720 775978640 /nfs/dbraw/zinc/97/86/40/775978640.db2.gz ZHFJHQDFUYOTLA-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN COc1cc2c(cc1OC(=O)[C@H](O)CCC(=O)[O-])C=[NH+]CC2 ZINC001228086754 776045852 /nfs/dbraw/zinc/04/58/52/776045852.db2.gz AAKGSWBCLNPATI-LLVKDONJSA-N 0 2 307.302 0.801 20 0 DCADLN CCN1CC(Oc2nc(I)cc(=O)[nH]2)C1 ZINC001228947490 776140660 /nfs/dbraw/zinc/14/06/60/776140660.db2.gz YQNMQGOGXCFNAA-UHFFFAOYSA-N 0 2 321.118 0.870 20 0 DCADLN CC(C)(F)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041144058 777086844 /nfs/dbraw/zinc/08/68/44/777086844.db2.gz MOTAEWNEBLRWBO-LURJTMIESA-N 0 2 318.242 0.324 20 0 DCADLN CC(C)(F)C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001041144058 777086847 /nfs/dbraw/zinc/08/68/47/777086847.db2.gz MOTAEWNEBLRWBO-LURJTMIESA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@@H](C)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041560608 777360111 /nfs/dbraw/zinc/36/01/11/777360111.db2.gz USENXOWQIWGECW-HTQZYQBOSA-N 0 2 314.279 0.622 20 0 DCADLN CC[C@@H](C)C(=O)NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001041560608 777360119 /nfs/dbraw/zinc/36/01/19/777360119.db2.gz USENXOWQIWGECW-HTQZYQBOSA-N 0 2 314.279 0.622 20 0 DCADLN O=C([O-])[C@@H]1[C@H](C[NH+]2CC(CNC(=O)C(F)(F)F)C2)C1(F)F ZINC001601749498 1168847069 /nfs/dbraw/zinc/84/70/69/1168847069.db2.gz KVKMFQHPLZXAPP-BQBZGAKWSA-N 0 2 316.226 0.563 20 0 DCADLN C/C=C(/C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001318016846 945240771 /nfs/dbraw/zinc/24/07/71/945240771.db2.gz VIJPZOGJJABPBG-KMKOMSMNSA-N 0 2 301.350 0.289 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ccon1 ZINC001408590584 939396968 /nfs/dbraw/zinc/39/69/68/939396968.db2.gz ZFZHAZPGDDQPKI-VIFPVBQESA-N 0 2 311.235 0.692 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cc1ccon1 ZINC001408590584 939396971 /nfs/dbraw/zinc/39/69/71/939396971.db2.gz ZFZHAZPGDDQPKI-VIFPVBQESA-N 0 2 311.235 0.692 20 0 DCADLN CCCSCC(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001267260772 939406857 /nfs/dbraw/zinc/40/68/57/939406857.db2.gz NENRNUVRLUBTJX-SNVBAGLBSA-N 0 2 313.427 0.734 20 0 DCADLN CC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1ccn(C)n1 ZINC001480970554 939506204 /nfs/dbraw/zinc/50/62/04/939506204.db2.gz ZKFBGGITRYMBGU-UHFFFAOYSA-N 0 2 306.370 0.409 20 0 DCADLN CC[N@@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1ccn(C)n1 ZINC001480970554 939506205 /nfs/dbraw/zinc/50/62/05/939506205.db2.gz ZKFBGGITRYMBGU-UHFFFAOYSA-N 0 2 306.370 0.409 20 0 DCADLN CN(C)c1nc(Cl)c(C(=O)NCc2n[nH]c(=O)[nH]2)s1 ZINC001362221206 940595622 /nfs/dbraw/zinc/59/56/22/940595622.db2.gz USGGWXODPYVXDH-UHFFFAOYSA-N 0 2 302.747 0.616 20 0 DCADLN O=C(C=Cc1ccco1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001269194349 940644021 /nfs/dbraw/zinc/64/40/21/940644021.db2.gz HDSOQADNMUEMRX-FSIBCCDJSA-N 0 2 303.322 0.507 20 0 DCADLN C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)C([O-])(C(F)(F)F)C(F)(F)F ZINC001270462244 940906024 /nfs/dbraw/zinc/90/60/24/940906024.db2.gz IQCJGWSZJKHDRS-OLQVQODUSA-N 0 2 306.206 0.757 20 0 DCADLN C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)C([O-])(C(F)(F)F)C(F)(F)F ZINC001270462244 940906026 /nfs/dbraw/zinc/90/60/26/940906026.db2.gz IQCJGWSZJKHDRS-OLQVQODUSA-N 0 2 306.206 0.757 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@@H](O)c1ccc(C)o1 ZINC001363779321 940928927 /nfs/dbraw/zinc/92/89/27/940928927.db2.gz GMFGCOQSHJYOOP-SNVBAGLBSA-N 0 2 324.424 0.964 20 0 DCADLN CNC(=O)[C@@H](C)[NH2+]C[C@H](C)N(C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001482298901 940931872 /nfs/dbraw/zinc/93/18/72/940931872.db2.gz LOIWNGWYVQEVBN-WDEREUQCSA-N 0 2 317.393 0.748 20 0 DCADLN C=C(F)C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001270951821 941230375 /nfs/dbraw/zinc/23/03/75/941230375.db2.gz KBXUDWCDKDZPIT-UHFFFAOYSA-N 0 2 307.241 0.678 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001482638278 941606401 /nfs/dbraw/zinc/60/64/01/941606401.db2.gz MARFNCUGMMUQBT-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001482638278 941606403 /nfs/dbraw/zinc/60/64/03/941606403.db2.gz MARFNCUGMMUQBT-GHMZBOCLSA-N 0 2 311.386 0.216 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+][C@H](C)c2csnn2)c1[O-] ZINC001482997713 941766097 /nfs/dbraw/zinc/76/60/97/941766097.db2.gz RPDUZUMLRUIOET-MPJRPATESA-N 0 2 322.394 0.912 20 0 DCADLN COc1cccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001409663940 942127778 /nfs/dbraw/zinc/12/77/78/942127778.db2.gz SLWFUIZQAJHYJF-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1cccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001409663940 942127783 /nfs/dbraw/zinc/12/77/83/942127783.db2.gz SLWFUIZQAJHYJF-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COC[C@@H](C)N1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001409695095 942158195 /nfs/dbraw/zinc/15/81/95/942158195.db2.gz CZORKZFZXBUOET-RKDXNWHRSA-N 0 2 316.295 0.475 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483327737 942269900 /nfs/dbraw/zinc/26/99/00/942269900.db2.gz LLJXJTMRPXIILQ-BDAKNGLRSA-N 0 2 301.416 0.588 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483327737 942269904 /nfs/dbraw/zinc/26/99/04/942269904.db2.gz LLJXJTMRPXIILQ-BDAKNGLRSA-N 0 2 301.416 0.588 20 0 DCADLN COc1cccc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001483402790 942333480 /nfs/dbraw/zinc/33/34/80/942333480.db2.gz TWLBMSOBTCEFAM-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1cccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001483402790 942333484 /nfs/dbraw/zinc/33/34/84/942333484.db2.gz TWLBMSOBTCEFAM-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(Cl)no1 ZINC001483408682 942340265 /nfs/dbraw/zinc/34/02/65/942340265.db2.gz LAYHHWBXSNKAOR-LURJTMIESA-N 0 2 314.733 0.402 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(Cl)no1 ZINC001483408682 942340268 /nfs/dbraw/zinc/34/02/68/942340268.db2.gz LAYHHWBXSNKAOR-LURJTMIESA-N 0 2 314.733 0.402 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)c1cccnc1 ZINC001483409175 942341891 /nfs/dbraw/zinc/34/18/91/942341891.db2.gz JMSYTIJGSCVRNX-GHMZBOCLSA-N 0 2 318.381 0.646 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)c1cccnc1 ZINC001483409175 942341895 /nfs/dbraw/zinc/34/18/95/942341895.db2.gz JMSYTIJGSCVRNX-GHMZBOCLSA-N 0 2 318.381 0.646 20 0 DCADLN C[C@H]([NH2+]C[C@H](NC(=O)CCn1cc[nH+]c1)C1CC1)C(=O)N(C)C ZINC001483578275 942437570 /nfs/dbraw/zinc/43/75/70/942437570.db2.gz BVSKEFVJTCAFSS-JSGCOSHPSA-N 0 2 321.425 0.234 20 0 DCADLN CC[C@H]1CCCC[N@@H+]1CCNS(=O)(=O)N1CC[NH+](C)CC1 ZINC001413297697 942613137 /nfs/dbraw/zinc/61/31/37/942613137.db2.gz XOOLTLALWIIUAE-AWEZNQCLSA-N 0 2 318.487 0.333 20 0 DCADLN CC(C)c1nnc(CNS(=O)(=O)N=S2(=O)CCCC2)[nH]1 ZINC001365405835 942780741 /nfs/dbraw/zinc/78/07/41/942780741.db2.gz AYCRIUFGKONNKA-UHFFFAOYSA-N 0 2 321.428 0.524 20 0 DCADLN CC[C@@H](F)C[NH2+]C1(CNC(=O)Cn2cc[nH+]c2)CCOCC1 ZINC001484192875 943186637 /nfs/dbraw/zinc/18/66/37/943186637.db2.gz SXIRMKSTWCXSJX-CYBMUJFWSA-N 0 2 312.389 0.886 20 0 DCADLN O=C(NCCN(CCO)C(=O)C(F)F)C(F)C(F)(F)F ZINC001056752534 943391823 /nfs/dbraw/zinc/39/18/23/943391823.db2.gz YXONSXQWNJCNBF-YFKPBYRVSA-N 0 2 310.194 0.089 20 0 DCADLN O=C(NCCN(CCO)C(=O)C(F)F)[C@H](F)C(F)(F)F ZINC001056752534 943391829 /nfs/dbraw/zinc/39/18/29/943391829.db2.gz YXONSXQWNJCNBF-YFKPBYRVSA-N 0 2 310.194 0.089 20 0 DCADLN O=C([O-])C1(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC001601473359 971004458 /nfs/dbraw/zinc/00/44/58/971004458.db2.gz QSXWCWUIBUTDGC-NSHDSACASA-N 0 2 322.365 0.379 20 0 DCADLN COCCC(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001298203895 943518209 /nfs/dbraw/zinc/51/82/09/943518209.db2.gz CUKXOALUJMKOTP-GKQMSVHHSA-N 0 2 300.252 0.712 20 0 DCADLN COCCC(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F ZINC001298203895 943518215 /nfs/dbraw/zinc/51/82/15/943518215.db2.gz CUKXOALUJMKOTP-GKQMSVHHSA-N 0 2 300.252 0.712 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1nnc([C@@H]2CCCO2)s1 ZINC001413626062 943534401 /nfs/dbraw/zinc/53/44/01/943534401.db2.gz KTXKKPLRWXYHND-YFKPBYRVSA-N 0 2 311.327 0.535 20 0 DCADLN COCC[N@@H+](C)C[C@@H]1CCCN1C(=O)CCc1[nH+]ccn1C ZINC001485060176 943678613 /nfs/dbraw/zinc/67/86/13/943678613.db2.gz IPQAYYAUTWCUFH-AWEZNQCLSA-N 0 2 308.426 0.922 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)[C@@H](C)[NH2+]Cc2cnsn2)c1[O-] ZINC001485140700 943726755 /nfs/dbraw/zinc/72/67/55/943726755.db2.gz UHZGPWMAACMSDU-RNFRBKRXSA-N 0 2 310.383 0.572 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C(F)C(F)(F)F ZINC001491352168 943934375 /nfs/dbraw/zinc/93/43/75/943934375.db2.gz AYBAKGREYKUGEX-VJILJNLWSA-N 0 2 324.274 0.543 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)[C@@H](F)C(F)(F)F ZINC001491352168 943934377 /nfs/dbraw/zinc/93/43/77/943934377.db2.gz AYBAKGREYKUGEX-VJILJNLWSA-N 0 2 324.274 0.543 20 0 DCADLN C[C@@H](NC(=O)C=Cc1ccc[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485503725 943971095 /nfs/dbraw/zinc/97/10/95/943971095.db2.gz KFIJQKAVRDUAQW-ORAHPGNNSA-N 0 2 316.365 0.488 20 0 DCADLN CC1(NC(=O)NCc2nnc(COc3ccccc3)[nH]2)COC1 ZINC001413798385 944102535 /nfs/dbraw/zinc/10/25/35/944102535.db2.gz RCXWFLRKJJCFSH-UHFFFAOYSA-N 0 2 317.349 0.972 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)C2C[NH+](CCCOC(C)C)C2)c1[O-] ZINC001277580700 944890924 /nfs/dbraw/zinc/89/09/24/944890924.db2.gz NDDGCGORLHNEDT-UHFFFAOYSA-N 0 2 310.398 0.995 20 0 DCADLN O=C(CC1CCC1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001486506661 944935990 /nfs/dbraw/zinc/93/59/90/944935990.db2.gz IJMRSPDFMRUAMU-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN O=C(CC1CCC1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001486506661 944936000 /nfs/dbraw/zinc/93/60/00/944936000.db2.gz IJMRSPDFMRUAMU-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN COC[C@H](O)C[N@H+]1C[C@H](NC(=O)CCn2cc[nH+]c2)C(C)(C)C1 ZINC001410020676 945692028 /nfs/dbraw/zinc/69/20/28/945692028.db2.gz ASOIYEDBSQEYEK-KGLIPLIRSA-N 0 2 324.425 0.107 20 0 DCADLN CC1(NS(=O)(=O)CC(F)(F)F)CCS(=O)(=O)CC1 ZINC001253255858 946101783 /nfs/dbraw/zinc/10/17/83/946101783.db2.gz YCZCPWVJUCOCDS-UHFFFAOYSA-N 0 2 309.331 0.435 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@H](C)c1nnc(C)[nH]1 ZINC001364841509 946143454 /nfs/dbraw/zinc/14/34/54/946143454.db2.gz VDSXNCSBMGKCBZ-SSDOTTSWSA-N 0 2 309.417 0.516 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC001364841509 946143461 /nfs/dbraw/zinc/14/34/61/946143461.db2.gz VDSXNCSBMGKCBZ-SSDOTTSWSA-N 0 2 309.417 0.516 20 0 DCADLN C[C@@H](C(=O)NCCC[N@@H+]1CCC[C@H]1C(=O)N(C)C)n1cc[nH+]c1 ZINC001321489337 946349919 /nfs/dbraw/zinc/34/99/19/946349919.db2.gz IMYNAWGICCHHBD-KBPBESRZSA-N 0 2 321.425 0.503 20 0 DCADLN CC(C)c1csc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC001256212160 946597923 /nfs/dbraw/zinc/59/79/23/946597923.db2.gz NYBBGEUYMXGZTC-UHFFFAOYSA-N 0 2 310.339 0.345 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NNc1nncc(N)c1Cl ZINC001323634770 946669200 /nfs/dbraw/zinc/66/92/00/946669200.db2.gz JSHVDFYMLNWLNR-UHFFFAOYSA-N 0 2 317.762 0.060 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1c(F)cccc1F)=C(C)O ZINC001259071546 946910687 /nfs/dbraw/zinc/91/06/87/946910687.db2.gz CIZYRNMNWUENTB-SECBINFHSA-N 0 2 307.274 0.374 20 0 DCADLN COC(=O)C(NS(=O)(=O)c1ccc(C)c(F)c1)C(=O)OC ZINC001259481537 946961748 /nfs/dbraw/zinc/96/17/48/946961748.db2.gz DLCKFFKLIRZCKZ-UHFFFAOYSA-N 0 2 319.310 0.127 20 0 DCADLN O=S(=O)(Nc1ncccn1)c1nc2nc(Cl)ccn2n1 ZINC001260165983 947075323 /nfs/dbraw/zinc/07/53/23/947075323.db2.gz MHMYDVDHFHXQDW-UHFFFAOYSA-N 0 2 311.714 0.369 20 0 DCADLN CC[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC001260226292 947086000 /nfs/dbraw/zinc/08/60/00/947086000.db2.gz BZUDWHRQNVHVDN-RYUDHWBXSA-N 0 2 310.375 0.852 20 0 DCADLN CC[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC001260226292 947086009 /nfs/dbraw/zinc/08/60/09/947086009.db2.gz BZUDWHRQNVHVDN-RYUDHWBXSA-N 0 2 310.375 0.852 20 0 DCADLN Cc1c(C(=O)OCc2nc(=O)n(C)[nH]2)cnn1-c1ccncc1 ZINC001323968814 947270938 /nfs/dbraw/zinc/27/09/38/947270938.db2.gz UCMQEVCGBLPBFA-UHFFFAOYSA-N 0 2 314.305 0.355 20 0 DCADLN CC[C@@H](C(=O)N(CC[N@H+](C)Cc1cnnn1C)C(C)C)[NH+](C)C ZINC001411196392 947471126 /nfs/dbraw/zinc/47/11/26/947471126.db2.gz VHIYJPAEIWRUIL-HNNXBMFYSA-N 0 2 324.473 0.824 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001415181207 947509621 /nfs/dbraw/zinc/50/96/21/947509621.db2.gz BHNCSCBNQLFDMW-IONNQARKSA-N 0 2 310.251 0.404 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001415181207 947509631 /nfs/dbraw/zinc/50/96/31/947509631.db2.gz BHNCSCBNQLFDMW-IONNQARKSA-N 0 2 310.251 0.404 20 0 DCADLN C[C@@H](NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1ncn(C)n1 ZINC001475199742 947803129 /nfs/dbraw/zinc/80/31/29/947803129.db2.gz UJZBNUCKQPEZEQ-YLVJLNSGSA-N 0 2 323.444 0.607 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001487801117 948285105 /nfs/dbraw/zinc/28/51/05/948285105.db2.gz YMFJMNSARHNCLI-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccnnc1 ZINC001487801117 948285115 /nfs/dbraw/zinc/28/51/15/948285115.db2.gz YMFJMNSARHNCLI-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN Cn1ncc2c1C[C@@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)CC2 ZINC001327014044 948363965 /nfs/dbraw/zinc/36/39/65/948363965.db2.gz WXXORYQOLOBJHJ-VIFPVBQESA-N 0 2 304.354 0.098 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)C[C@H]1CCCO1 ZINC001570921749 948627121 /nfs/dbraw/zinc/62/71/21/948627121.db2.gz NFZBVWSQQYHOLU-SECBINFHSA-N 0 2 318.337 0.527 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC[C@H]2CCOC2)c1 ZINC001570922366 948639627 /nfs/dbraw/zinc/63/96/27/948639627.db2.gz YNZMEDNCCYKSJS-VIFPVBQESA-N 0 2 319.321 0.242 20 0 DCADLN CCCSCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001264132951 948901255 /nfs/dbraw/zinc/90/12/55/948901255.db2.gz ISZZMGBMXJKFKE-ZJUUUORDSA-N 0 2 313.427 0.733 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CC[N@@H+]1CCOCC(F)F ZINC001264723444 949092553 /nfs/dbraw/zinc/09/25/53/949092553.db2.gz HHQDBGUJEPOMFZ-BDAKNGLRSA-N 0 2 303.313 0.279 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCc2nncn2CC1)c1nn(C)cc1O ZINC001364394729 949183282 /nfs/dbraw/zinc/18/32/82/949183282.db2.gz FQAAMXWNJUPUCM-NXEZZACHSA-N 0 2 304.354 0.547 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC(c2cccnc2)=NO1)c1nn(C)cc1O ZINC001364402199 949194369 /nfs/dbraw/zinc/19/43/69/949194369.db2.gz SRGRGWHVZYSUSF-ZANVPECISA-N 0 2 315.333 0.891 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC001602806258 971588270 /nfs/dbraw/zinc/58/82/70/971588270.db2.gz FNIWJQJKFOPNRO-SECBINFHSA-N 0 2 305.334 0.189 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC001602806258 971588275 /nfs/dbraw/zinc/58/82/75/971588275.db2.gz FNIWJQJKFOPNRO-SECBINFHSA-N 0 2 305.334 0.189 20 0 DCADLN O=C(NCc1ncccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364743275 949858971 /nfs/dbraw/zinc/85/89/71/949858971.db2.gz IKYZJGNRGQABOW-VIFPVBQESA-N 0 2 303.326 0.390 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@@H]1O)C(F)C(F)(F)F ZINC001489588017 949916530 /nfs/dbraw/zinc/91/65/30/949916530.db2.gz HJCQZMKFSYPDNT-VGMNWLOBSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@@H]1O)[C@H](F)C(F)(F)F ZINC001489588017 949916535 /nfs/dbraw/zinc/91/65/35/949916535.db2.gz HJCQZMKFSYPDNT-VGMNWLOBSA-N 0 2 323.246 0.217 20 0 DCADLN CN(C)[S@](C)(=O)=NS(=O)(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001364797894 949961680 /nfs/dbraw/zinc/96/16/80/949961680.db2.gz WBQNMWWTAZEOQV-ZRNGKTOUSA-N 0 2 321.428 0.196 20 0 DCADLN CCC1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCC1 ZINC001364998624 950329415 /nfs/dbraw/zinc/32/94/15/950329415.db2.gz WORYRRQZZSJUMQ-UHFFFAOYSA-N 0 2 300.384 0.546 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC001365110518 950535724 /nfs/dbraw/zinc/53/57/24/950535724.db2.gz ZXXROMYDLVOTFC-ZJUUUORDSA-N 0 2 319.369 0.894 20 0 DCADLN C[C@H](NC(=O)COc1ccc(C(N)=O)cc1)c1nn(C)cc1O ZINC001365120028 950552422 /nfs/dbraw/zinc/55/24/22/950552422.db2.gz MCDSXTZJLCBVSR-VIFPVBQESA-N 0 2 318.333 0.481 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NC[C@@H](O)CO)=N1 ZINC001365121930 950556939 /nfs/dbraw/zinc/55/69/39/950556939.db2.gz UXLNGJQZXFNIHV-SNVBAGLBSA-N 0 2 309.297 0.108 20 0 DCADLN Cc1ccc([C@H](O)CNS(=O)(=O)N=S2(=O)CCCC2)o1 ZINC001365147523 950608077 /nfs/dbraw/zinc/60/80/77/950608077.db2.gz RUDVDZPXUGFZRK-SNVBAGLBSA-N 0 2 322.408 0.718 20 0 DCADLN C=C/C(C)=C/CC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001280412184 950848219 /nfs/dbraw/zinc/84/82/19/950848219.db2.gz IDEZJUHLECFMNX-QNCMIEPLSA-N 0 2 321.381 0.350 20 0 DCADLN CO[C@H](CC(C)C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001490560834 950878990 /nfs/dbraw/zinc/87/89/90/950878990.db2.gz WYHJOXHMEBTEQM-GHMZBOCLSA-N 0 2 311.386 0.262 20 0 DCADLN COc1cnc([C@@H]2CCC[N@@H+]2CCc2nnnn2C)[n-]c1=O ZINC001365339566 950994976 /nfs/dbraw/zinc/99/49/76/950994976.db2.gz OYFBMVSPGDATHR-VIFPVBQESA-N 0 2 305.342 0.094 20 0 DCADLN COc1cnc([C@@H]2CCC[N@H+]2CCc2nnnn2C)[n-]c1=O ZINC001365339566 950994998 /nfs/dbraw/zinc/99/49/98/950994998.db2.gz OYFBMVSPGDATHR-VIFPVBQESA-N 0 2 305.342 0.094 20 0 DCADLN COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)C(F)C(F)(F)F ZINC001490733338 951062061 /nfs/dbraw/zinc/06/20/61/951062061.db2.gz ZIJDSHKRASNNGU-BDNRQGISSA-N 0 2 312.263 0.781 20 0 DCADLN COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H](F)C(F)(F)F ZINC001490733338 951062073 /nfs/dbraw/zinc/06/20/73/951062073.db2.gz ZIJDSHKRASNNGU-BDNRQGISSA-N 0 2 312.263 0.781 20 0 DCADLN CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001280946960 951183491 /nfs/dbraw/zinc/18/34/91/951183491.db2.gz HDCKJMGHWZKQFW-NSHDSACASA-N 0 2 324.381 0.033 20 0 DCADLN C[C@@]1(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCCO1 ZINC001365567464 951272412 /nfs/dbraw/zinc/27/24/12/951272412.db2.gz JVAQUXOFVAQBSU-YGRLFVJLSA-N 0 2 309.370 0.968 20 0 DCADLN Cn1ncc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001365692632 951441945 /nfs/dbraw/zinc/44/19/45/951441945.db2.gz MSWHZIFJQAWIQO-VIFPVBQESA-N 0 2 309.267 0.360 20 0 DCADLN Cn1ncc(CN2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001365692632 951441954 /nfs/dbraw/zinc/44/19/54/951441954.db2.gz MSWHZIFJQAWIQO-VIFPVBQESA-N 0 2 309.267 0.360 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CCCN(CC(F)(F)F)CC2)C1=O ZINC001595074400 951630690 /nfs/dbraw/zinc/63/06/90/951630690.db2.gz QVAWPHSBIPTWMC-SNVBAGLBSA-N 0 2 323.315 0.242 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CCCN(CC(F)(F)F)CC2)C1=O ZINC001595074400 951630698 /nfs/dbraw/zinc/63/06/98/951630698.db2.gz QVAWPHSBIPTWMC-SNVBAGLBSA-N 0 2 323.315 0.242 20 0 DCADLN O=C([O-])CN1CCCC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1=O ZINC001595094632 951805388 /nfs/dbraw/zinc/80/53/88/951805388.db2.gz VHWPUGYOEVLKPL-MXWKQRLJSA-N 0 2 320.349 0.095 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@H](CC(F)(F)F)C2=O)[nH]1 ZINC001333145896 951932561 /nfs/dbraw/zinc/93/25/61/951932561.db2.gz SKGRRQPYLQZWHJ-YFKPBYRVSA-N 0 2 307.232 0.324 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+](CCO)CCc1ccccc1 ZINC001595124185 951997297 /nfs/dbraw/zinc/99/72/97/951997297.db2.gz ZOSKBWDHYBSMOF-UHFFFAOYSA-N 0 2 315.391 0.023 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+](CCO)CCc1ccccc1 ZINC001595124185 951997302 /nfs/dbraw/zinc/99/73/02/951997302.db2.gz ZOSKBWDHYBSMOF-UHFFFAOYSA-N 0 2 315.391 0.023 20 0 DCADLN C/C(=C/C(=O)N1CC([C@H](F)C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001334204052 952259496 /nfs/dbraw/zinc/25/94/96/952259496.db2.gz VWRWBVDUOLXVGS-HKBVPSITSA-N 0 2 300.330 0.146 20 0 DCADLN CC(=O)C[C@@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001411950915 952565972 /nfs/dbraw/zinc/56/59/72/952565972.db2.gz JCAFMYPZPACWDB-MRVPVSSYSA-N 0 2 304.306 0.364 20 0 DCADLN C[C@H](NC(=O)Cc1nnc[nH]1)[C@H](C)C(=O)Nc1ncccc1O ZINC001412107043 952647647 /nfs/dbraw/zinc/64/76/47/952647647.db2.gz NGCBODUJLPTNDO-IUCAKERBSA-N 0 2 318.337 0.227 20 0 DCADLN CO[C@H](C)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412157739 952668635 /nfs/dbraw/zinc/66/86/35/952668635.db2.gz HRGPTQUHDQNUAB-SECBINFHSA-N 0 2 306.322 0.421 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CCC2(CCC2)O1 ZINC001412272533 952725533 /nfs/dbraw/zinc/72/55/33/952725533.db2.gz KNWAHPWUEMTJKI-JTQLQIEISA-N 0 2 317.349 0.289 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC[C@@H](O)[C@H](C)O)=N1 ZINC001412420149 952791113 /nfs/dbraw/zinc/79/11/13/952791113.db2.gz VEDZMEJOGLZZLQ-GXFFZTMASA-N 0 2 319.361 0.612 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)C1=COCCO1 ZINC001412464228 952820073 /nfs/dbraw/zinc/82/00/73/952820073.db2.gz RCHZNHXNVOSVIS-LLVKDONJSA-N 0 2 316.317 0.799 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[C@]1(O)C(=O)Nc2ccccc21 ZINC001412521189 952864602 /nfs/dbraw/zinc/86/46/02/952864602.db2.gz JZBXIUKEUVCSTB-MRXNPFEDSA-N 0 2 314.345 0.607 20 0 DCADLN CCOCC(=O)N[C@H](C)CN(C)C(=O)C(F)C(F)(F)F ZINC001412564310 952900907 /nfs/dbraw/zinc/90/09/07/952900907.db2.gz QYZVSTVMBUOGDC-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)N[C@H](C)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001412564310 952900911 /nfs/dbraw/zinc/90/09/11/952900911.db2.gz QYZVSTVMBUOGDC-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)c1cnn(C)c1N ZINC001337826761 952919665 /nfs/dbraw/zinc/91/96/65/952919665.db2.gz RJXXNCOVXSDRFX-UHFFFAOYSA-N 0 2 318.362 0.985 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001365892046 953366546 /nfs/dbraw/zinc/36/65/46/953366546.db2.gz RVOWABOEXJFTJE-AXFHLTTASA-N 0 2 311.386 0.404 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001365892046 953366553 /nfs/dbraw/zinc/36/65/53/953366553.db2.gz RVOWABOEXJFTJE-AXFHLTTASA-N 0 2 311.386 0.404 20 0 DCADLN CC(C)C(=O)N1CCC[N@H+](Cc2cc(C(=O)[O-])nn2C)CC1 ZINC001602959119 971941089 /nfs/dbraw/zinc/94/10/89/971941089.db2.gz JHWMGQXIHMYQCP-UHFFFAOYSA-N 0 2 308.382 0.809 20 0 DCADLN CC(C)C(=O)N1CCC[N@@H+](Cc2cc(C(=O)[O-])nn2C)CC1 ZINC001602959119 971941091 /nfs/dbraw/zinc/94/10/91/971941091.db2.gz JHWMGQXIHMYQCP-UHFFFAOYSA-N 0 2 308.382 0.809 20 0 DCADLN O=C([N-]OC[C@@H]1CCOC1)C(=O)NCCCNc1cccc[nH+]1 ZINC001345112410 953593604 /nfs/dbraw/zinc/59/36/04/953593604.db2.gz UJHPLHIEHDWGBS-GFCCVEGCSA-N 0 2 322.365 0.084 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001589290777 953599641 /nfs/dbraw/zinc/59/96/41/953599641.db2.gz ZDTJEJIEGKLLLC-CRWXNKLISA-N 0 2 310.394 0.809 20 0 DCADLN CCn1ccnc1C[NH+]1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001593667286 953856272 /nfs/dbraw/zinc/85/62/72/953856272.db2.gz BCSZOBBNOITSDL-GFCCVEGCSA-N 0 2 320.393 0.801 20 0 DCADLN O=C([O-])[C@@]1(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)CC=CCC1 ZINC001594849921 954172418 /nfs/dbraw/zinc/17/24/18/954172418.db2.gz XBTKLDJPTBBJOI-DOMZBBRYSA-N 0 2 304.350 0.694 20 0 DCADLN O=C([O-])[C@]1(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)CC=CCC1 ZINC001594849923 954172760 /nfs/dbraw/zinc/17/27/60/954172760.db2.gz XBTKLDJPTBBJOI-SWLSCSKDSA-N 0 2 304.350 0.694 20 0 DCADLN O=C([O-])[C@@]1(C(=O)NCC[NH+]2Cc3ccccc3C2)CCCO1 ZINC001594861511 954279415 /nfs/dbraw/zinc/27/94/15/954279415.db2.gz VDSAJFPAPANTSD-INIZCTEOSA-N 0 2 304.346 0.752 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](C)CC(=O)NC2CCCC2)c1[O-] ZINC001366519607 954317981 /nfs/dbraw/zinc/31/79/81/954317981.db2.gz FVJUAIAGWWJOEI-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@H+](C)CC(=O)NC2CCCC2)c1[O-] ZINC001366519607 954317991 /nfs/dbraw/zinc/31/79/91/954317991.db2.gz FVJUAIAGWWJOEI-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CN(CCCNC(=O)[C@@]1(C(=O)[O-])CCCO1)c1cccc[nH+]1 ZINC001593750324 954398058 /nfs/dbraw/zinc/39/80/58/954398058.db2.gz IIIXHFIZQDVBET-OAHLLOKOSA-N 0 2 307.350 0.658 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001283564533 954451070 /nfs/dbraw/zinc/45/10/70/954451070.db2.gz ZLEWZBAEZXDZLG-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001283564533 954451075 /nfs/dbraw/zinc/45/10/75/954451075.db2.gz ZLEWZBAEZXDZLG-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001366616373 954523166 /nfs/dbraw/zinc/52/31/66/954523166.db2.gz ZFXYIXQOBPMWIF-UHFFFAOYSA-N 0 2 304.354 0.086 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001366616373 954523177 /nfs/dbraw/zinc/52/31/77/954523177.db2.gz ZFXYIXQOBPMWIF-UHFFFAOYSA-N 0 2 304.354 0.086 20 0 DCADLN Cn1c(N2CCC(C(=O)[O-])CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001593773734 954568900 /nfs/dbraw/zinc/56/89/00/954568900.db2.gz ISBHEMSXRCGRNC-ABAIWWIYSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC(C(=O)[O-])CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001593773734 954568910 /nfs/dbraw/zinc/56/89/10/954568910.db2.gz ISBHEMSXRCGRNC-ABAIWWIYSA-N 0 2 323.397 0.028 20 0 DCADLN CC[N@H+]1CCCC[C@@H]1C(=O)NCCNC(=O)Cc1c[nH]c[nH+]1 ZINC001349182522 954633948 /nfs/dbraw/zinc/63/39/48/954633948.db2.gz XMEVZVWEAICIOM-CYBMUJFWSA-N 0 2 307.398 0.059 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC[C@H](F)C1 ZINC001366754136 954777719 /nfs/dbraw/zinc/77/77/19/954777719.db2.gz RBSWPFRDEAPHEK-ZJUUUORDSA-N 0 2 311.361 0.729 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC[C@H](F)C1 ZINC001366754136 954777732 /nfs/dbraw/zinc/77/77/32/954777732.db2.gz RBSWPFRDEAPHEK-ZJUUUORDSA-N 0 2 311.361 0.729 20 0 DCADLN Cc1ccnc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001349961435 954944391 /nfs/dbraw/zinc/94/43/91/954944391.db2.gz AOAGBKNDEYXKRU-MRVPVSSYSA-N 0 2 322.262 0.922 20 0 DCADLN Cc1ccnc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001349961435 954944399 /nfs/dbraw/zinc/94/43/99/954944399.db2.gz AOAGBKNDEYXKRU-MRVPVSSYSA-N 0 2 322.262 0.922 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)N[C@H](C)c1nnc[nH]1 ZINC001463452612 1013131373 /nfs/dbraw/zinc/13/13/73/1013131373.db2.gz ONTFEAJDEVKDKM-ZCFIWIBFSA-N 0 2 300.296 0.224 20 0 DCADLN CCC(CC)(CN1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O)C(=O)[O-] ZINC001589482024 954983368 /nfs/dbraw/zinc/98/33/68/954983368.db2.gz VNWNSMVUJRDBGB-JTQLQIEISA-N 0 2 308.338 0.764 20 0 DCADLN CCC(CC)(CN1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O)C(=O)[O-] ZINC001589482024 954983377 /nfs/dbraw/zinc/98/33/77/954983377.db2.gz VNWNSMVUJRDBGB-JTQLQIEISA-N 0 2 308.338 0.764 20 0 DCADLN C[C@H]1CCC[C@@H](OCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001366948150 955104761 /nfs/dbraw/zinc/10/47/61/955104761.db2.gz VFACJMCUKJEGIG-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN CN(CCCNC(=O)c1cnn(C)c1)C(=O)C(F)C(F)(F)F ZINC001351232286 955269757 /nfs/dbraw/zinc/26/97/57/955269757.db2.gz XFVOAZKAUOWZST-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)c1cnn(C)c1)C(=O)[C@@H](F)C(F)(F)F ZINC001351232286 955269764 /nfs/dbraw/zinc/26/97/64/955269764.db2.gz XFVOAZKAUOWZST-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN COCCCCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001284889716 955293679 /nfs/dbraw/zinc/29/36/79/955293679.db2.gz NNBZAMZGCDCRCJ-UHFFFAOYSA-N 0 2 323.397 0.575 20 0 DCADLN COCCCCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001284889716 955293685 /nfs/dbraw/zinc/29/36/85/955293685.db2.gz NNBZAMZGCDCRCJ-UHFFFAOYSA-N 0 2 323.397 0.575 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC001593909240 955957886 /nfs/dbraw/zinc/95/78/86/955957886.db2.gz SPRKUYNJIQDWBA-LBPRGKRZSA-N 0 2 307.281 0.727 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)C2(C(=O)[O-])CCSCC2)CCO1 ZINC001353915880 956230230 /nfs/dbraw/zinc/23/02/30/956230230.db2.gz OTUYKJIHMOKXOU-LLVKDONJSA-N 0 2 316.423 0.421 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)C2(C(=O)[O-])CCSCC2)CCO1 ZINC001353915880 956230240 /nfs/dbraw/zinc/23/02/40/956230240.db2.gz OTUYKJIHMOKXOU-LLVKDONJSA-N 0 2 316.423 0.421 20 0 DCADLN COC(OC)C(=O)N1CC[NH+](Cc2ccc(C(=O)[O-])cc2)CC1 ZINC001593944679 956319025 /nfs/dbraw/zinc/31/90/25/956319025.db2.gz OHROITUNRAWXPD-UHFFFAOYSA-N 0 2 322.361 0.648 20 0 DCADLN C[C@@H](c1ncccn1)[NH+]1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001589181655 956526569 /nfs/dbraw/zinc/52/65/69/956526569.db2.gz AUTCVFRTVUZMLH-RYUDHWBXSA-N 0 2 318.377 0.935 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cc[n+]([O-])cc1 ZINC001355657579 956694389 /nfs/dbraw/zinc/69/43/89/956694389.db2.gz KAGFEZFYTVINRZ-VIFPVBQESA-N 0 2 323.246 0.409 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cc[n+]([O-])cc1 ZINC001355657579 956694394 /nfs/dbraw/zinc/69/43/94/956694394.db2.gz KAGFEZFYTVINRZ-VIFPVBQESA-N 0 2 323.246 0.409 20 0 DCADLN O=C(CCc1c[nH]c[nH+]1)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594687877 956721049 /nfs/dbraw/zinc/72/10/49/956721049.db2.gz NWOVONMWWVYWEB-WBFHCKIUSA-N 0 2 307.350 0.871 20 0 DCADLN O=C(CCc1c[nH+]c[nH]1)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594687877 956721053 /nfs/dbraw/zinc/72/10/53/956721053.db2.gz NWOVONMWWVYWEB-WBFHCKIUSA-N 0 2 307.350 0.871 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000035275775 957203569 /nfs/dbraw/zinc/20/35/69/957203569.db2.gz PHRUHUHUJWIAJM-JTQLQIEISA-N 0 2 309.347 0.948 20 0 DCADLN CC[C@H](OC)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001293186906 957301200 /nfs/dbraw/zinc/30/12/00/957301200.db2.gz LBILECSDLNBJIG-JGVFFNPUSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](OC)C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001293186906 957301210 /nfs/dbraw/zinc/30/12/10/957301210.db2.gz LBILECSDLNBJIG-JGVFFNPUSA-N 0 2 302.268 0.934 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1ccon1 ZINC001357624809 957338680 /nfs/dbraw/zinc/33/86/80/957338680.db2.gz AYZXROMHAXKSSM-SLYZXXNYSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1ccon1 ZINC001357624809 957338682 /nfs/dbraw/zinc/33/86/82/957338682.db2.gz AYZXROMHAXKSSM-SLYZXXNYSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cnco1 ZINC001357887480 957395216 /nfs/dbraw/zinc/39/52/16/957395216.db2.gz KGJWDQXMYIADKG-SLYZXXNYSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1cnco1 ZINC001357887480 957395232 /nfs/dbraw/zinc/39/52/32/957395232.db2.gz KGJWDQXMYIADKG-SLYZXXNYSA-N 0 2 309.219 0.977 20 0 DCADLN Cc1[nH]nc(S(=O)(=O)N2CC[N@@H+](C)C(C)(C)C2)c1C(=O)[O-] ZINC000070446672 957673669 /nfs/dbraw/zinc/67/36/69/957673669.db2.gz AYJUBDKLURBACL-UHFFFAOYSA-N 0 2 316.383 0.131 20 0 DCADLN Cc1[nH]nc(S(=O)(=O)N2CC[N@H+](C)C(C)(C)C2)c1C(=O)[O-] ZINC000070446672 957673674 /nfs/dbraw/zinc/67/36/74/957673674.db2.gz AYJUBDKLURBACL-UHFFFAOYSA-N 0 2 316.383 0.131 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](C)NC(=O)C(=O)C(C)(C)C ZINC001295895927 957844594 /nfs/dbraw/zinc/84/45/94/957844594.db2.gz YCQZNKJIUDKIRZ-SNVBAGLBSA-N 0 2 322.409 0.887 20 0 DCADLN CCOC(=O)N[C@H](C(=O)NCCc1n[nH]c(=S)o1)C(C)C ZINC001296732264 958042393 /nfs/dbraw/zinc/04/23/93/958042393.db2.gz MRPJVGVVYQDORD-VIFPVBQESA-N 0 2 316.383 0.788 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CCC(=O)N(c3cnn(C)c3)C2)n1 ZINC001361671262 958198975 /nfs/dbraw/zinc/19/89/75/958198975.db2.gz NVSRZHIZSXFCRB-SECBINFHSA-N 0 2 303.326 0.228 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1C[C@@H]2C(=O)OC[C@@H]2C1 ZINC001361696262 958224668 /nfs/dbraw/zinc/22/46/68/958224668.db2.gz PRBVNWODQBMBKV-BQBZGAKWSA-N 0 2 308.256 0.075 20 0 DCADLN CC(C)Cc1coc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC001361760633 958296914 /nfs/dbraw/zinc/29/69/14/958296914.db2.gz CORTYMBIPMZGJO-UHFFFAOYSA-N 0 2 316.321 0.896 20 0 DCADLN CCOC1CC2(C[C@H]2NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001361895103 958458925 /nfs/dbraw/zinc/45/89/25/958458925.db2.gz ZMECRTKJSRLVLU-QMJNDHNRSA-N 0 2 317.349 0.145 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cncc(F)c1 ZINC001367792822 958597425 /nfs/dbraw/zinc/59/74/25/958597425.db2.gz IVKODXMPXXQIBH-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cncc(F)c1 ZINC001367792822 958597435 /nfs/dbraw/zinc/59/74/35/958597435.db2.gz IVKODXMPXXQIBH-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN CNC(=O)Cn1cc(NC(=O)c2cc(F)cc(F)c2O)cn1 ZINC001362061547 958673075 /nfs/dbraw/zinc/67/30/75/958673075.db2.gz AVCBSECLUFFJJF-UHFFFAOYSA-N 0 2 310.260 0.865 20 0 DCADLN NC(=O)CCn1ccc(NC(=O)c2cc(F)cc(F)c2O)n1 ZINC001362232846 958969819 /nfs/dbraw/zinc/96/98/19/958969819.db2.gz LMQKGFRZJUJHKE-UHFFFAOYSA-N 0 2 310.260 0.995 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H](F)c1ccccc1 ZINC001367968734 958982137 /nfs/dbraw/zinc/98/21/37/958982137.db2.gz HQZSLGIDCCUXHD-YPMHNXCESA-N 0 2 319.340 0.912 20 0 DCADLN Cc1cnc(C[NH+]2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)o1 ZINC001594429005 959156114 /nfs/dbraw/zinc/15/61/14/959156114.db2.gz PYEMQZCLFWPBFD-LLVKDONJSA-N 0 2 307.350 0.881 20 0 DCADLN CC(C)CC(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001377055389 959401166 /nfs/dbraw/zinc/40/11/66/959401166.db2.gz FUYPEXRXGCJZRI-JTQLQIEISA-N 0 2 309.370 0.016 20 0 DCADLN Cc1cc(S(=O)(=O)NCC[NH+]2CC=CC2)ccc1C(=O)[O-] ZINC000392260657 972435136 /nfs/dbraw/zinc/43/51/36/972435136.db2.gz QQOIAZMDCIQTIW-UHFFFAOYSA-N 0 2 310.375 0.843 20 0 DCADLN CC(C)(NC(=O)Cc1n[nH]c(C2CCOCC2)n1)c1cn[nH]n1 ZINC001362534534 959540834 /nfs/dbraw/zinc/54/08/34/959540834.db2.gz RCKZPQLSUJJIQH-UHFFFAOYSA-N 0 2 319.369 0.411 20 0 DCADLN Cc1[nH+]cc(CN2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)n1C ZINC001594469762 959545697 /nfs/dbraw/zinc/54/56/97/959545697.db2.gz WUKPIIJUTJJBMY-GFCCVEGCSA-N 0 2 320.393 0.626 20 0 DCADLN CSCC[C@H](NC(=O)c1ncc(C)cc1O)c1nn[nH]n1 ZINC001362688271 959833300 /nfs/dbraw/zinc/83/33/00/959833300.db2.gz LWDFVEYOBSRFKU-QMMMGPOBSA-N 0 2 308.367 0.833 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccnc(OC(F)F)c1 ZINC001362723563 959894995 /nfs/dbraw/zinc/89/49/95/959894995.db2.gz ZSTQYUGABKUZCZ-UHFFFAOYSA-N 0 2 312.232 0.814 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1ccnc(OC(F)F)c1 ZINC001362723563 959895005 /nfs/dbraw/zinc/89/50/05/959895005.db2.gz ZSTQYUGABKUZCZ-UHFFFAOYSA-N 0 2 312.232 0.814 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCCOC1 ZINC001377413303 960057056 /nfs/dbraw/zinc/05/70/56/960057056.db2.gz SJYHXLGEGMAZCM-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCCOC1 ZINC001377413303 960057062 /nfs/dbraw/zinc/05/70/62/960057062.db2.gz SJYHXLGEGMAZCM-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1nc(SCC(=O)N(Cc2nn[nH]n2)CC(C)C)n[nH]1 ZINC001362831281 960059250 /nfs/dbraw/zinc/05/92/50/960059250.db2.gz PCOMFTUYVFIQOP-UHFFFAOYSA-N 0 2 310.387 0.403 20 0 DCADLN Cc1cnc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)c(O)c1 ZINC001362904692 960158181 /nfs/dbraw/zinc/15/81/81/960158181.db2.gz KBHSLSNDBFLVAB-SNVBAGLBSA-N 0 2 302.338 0.704 20 0 DCADLN CCN1CC[C@@H]([N@H+](C)CCCNC(=O)Cc2c[nH+]cn2C)C1=O ZINC001316858355 960191862 /nfs/dbraw/zinc/19/18/62/960191862.db2.gz CUXFTSUOZQNEFT-CQSZACIVSA-N 0 2 321.425 0.022 20 0 DCADLN Cc1ccc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001377513628 960211235 /nfs/dbraw/zinc/21/12/35/960211235.db2.gz HJYQVGYFQOEBCM-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1ccc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001377513628 960211240 /nfs/dbraw/zinc/21/12/40/960211240.db2.gz HJYQVGYFQOEBCM-UHFFFAOYSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(N[C@@H](CO)C1CCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363053517 960350540 /nfs/dbraw/zinc/35/05/40/960350540.db2.gz JMFGONMZVXEONL-LBPRGKRZSA-N 0 2 318.333 0.157 20 0 DCADLN NC(=O)c1ncccc1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363058433 960355174 /nfs/dbraw/zinc/35/51/74/960355174.db2.gz ZCMOYAUVQFMZLK-MRVPVSSYSA-N 0 2 316.321 0.024 20 0 DCADLN CC(C)N(C[C@@H]1CCC(=O)N1)C(=O)C[C@H]1SC(=N)NC1=O ZINC001363068569 960365915 /nfs/dbraw/zinc/36/59/15/960365915.db2.gz OGIJNUPMJMOPKH-DTWKUNHWSA-N 0 2 312.395 0.058 20 0 DCADLN Cc1noc([C@@H](C)[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001316820176 960390901 /nfs/dbraw/zinc/39/09/01/960390901.db2.gz TZBUVIVXPUTHRI-SECBINFHSA-N 0 2 322.369 0.928 20 0 DCADLN Cc1noc([C@@H](C)[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001316820176 960390907 /nfs/dbraw/zinc/39/09/07/960390907.db2.gz TZBUVIVXPUTHRI-SECBINFHSA-N 0 2 322.369 0.928 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc(OC(F)F)nc1 ZINC001363154444 960495913 /nfs/dbraw/zinc/49/59/13/960495913.db2.gz WLEIUSPBDDJCJG-UHFFFAOYSA-N 0 2 312.232 0.814 20 0 DCADLN C[C@@H]1CSC[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363175086 960538576 /nfs/dbraw/zinc/53/85/76/960538576.db2.gz BUBUMNOOYSBQQB-KCJUWKMLSA-N 0 2 320.374 0.748 20 0 DCADLN O=C(N[C@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1=CCCC1 ZINC001447016138 1013199553 /nfs/dbraw/zinc/19/95/53/1013199553.db2.gz ORMBHNSMLWYWAD-OAHLLOKOSA-N 0 2 321.381 0.064 20 0 DCADLN CC[C@@H](F)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001377912457 960963178 /nfs/dbraw/zinc/96/31/78/960963178.db2.gz RNXWTEPUAQHXEA-LLVKDONJSA-N 0 2 307.329 0.071 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCC[N@@H+]1Cc1cc(C(=O)[O-])co1 ZINC001571080624 961000493 /nfs/dbraw/zinc/00/04/93/961000493.db2.gz YWULSZSPBIKZPL-SNVBAGLBSA-N 0 2 302.352 0.621 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCC[N@H+]1Cc1cc(C(=O)[O-])co1 ZINC001571080624 961000516 /nfs/dbraw/zinc/00/05/16/961000516.db2.gz YWULSZSPBIKZPL-SNVBAGLBSA-N 0 2 302.352 0.621 20 0 DCADLN O=C([O-])[C@@H](CC1OCCO1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001571084340 961028707 /nfs/dbraw/zinc/02/87/07/961028707.db2.gz JYEGLKXJFYTJDW-LLVKDONJSA-N 0 2 305.290 0.509 20 0 DCADLN O=C([O-])c1ccc(NC2CC[NH+]([C@H]3CCOC3=O)CC2)nn1 ZINC001571092261 961119645 /nfs/dbraw/zinc/11/96/45/961119645.db2.gz PYPXXPCISMIPNS-NSHDSACASA-N 0 2 306.322 0.367 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001571106804 961289733 /nfs/dbraw/zinc/28/97/33/961289733.db2.gz RCTCZEYSPFBVQK-NEPJUHHUSA-N 0 2 309.391 0.898 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001571106804 961289751 /nfs/dbraw/zinc/28/97/51/961289751.db2.gz RCTCZEYSPFBVQK-NEPJUHHUSA-N 0 2 309.391 0.898 20 0 DCADLN CN1C[C@H](C(=O)[O-])N(Cc2ccc(-n3cc[nH+]c3)cc2)CC1=O ZINC001571123768 961476004 /nfs/dbraw/zinc/47/60/04/961476004.db2.gz UIZCYFMLADHFRC-CQSZACIVSA-N 0 2 314.345 0.600 20 0 DCADLN C[C@H](CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccn1 ZINC001378141816 961515056 /nfs/dbraw/zinc/51/50/56/961515056.db2.gz TVNCRAHGLYSCAG-GHMZBOCLSA-N 0 2 319.369 0.049 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2cnnn2C)C1 ZINC001378151156 961536807 /nfs/dbraw/zinc/53/68/07/961536807.db2.gz LAZXTTBCFKZHJG-PSASIEDQSA-N 0 2 323.294 0.748 20 0 DCADLN Cc1ncc(CC(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)o1 ZINC001363630754 961588053 /nfs/dbraw/zinc/58/80/53/961588053.db2.gz XKUIAQUUOAIRQX-UHFFFAOYSA-N 0 2 306.322 0.736 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2C[C@H]2C2CCC2)[nH]1 ZINC001363672833 961671387 /nfs/dbraw/zinc/67/13/87/961671387.db2.gz NSZZKLFXXWDSKA-VHSXEESVSA-N 0 2 312.395 0.402 20 0 DCADLN CC(C)(C)OC(=O)C[N@H+](CCC(=O)[O-])C[C@@H](O)CC(C)(C)O ZINC001571144494 961708514 /nfs/dbraw/zinc/70/85/14/961708514.db2.gz NTWAGBABNOJXHA-NSHDSACASA-N 0 2 319.398 0.627 20 0 DCADLN CC(C)(C)OC(=O)C[N@@H+](CCC(=O)[O-])C[C@@H](O)CC(C)(C)O ZINC001571144494 961708524 /nfs/dbraw/zinc/70/85/24/961708524.db2.gz NTWAGBABNOJXHA-NSHDSACASA-N 0 2 319.398 0.627 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)N2CC[N@@H+]3C[C@@H](F)C[C@H]3C2)CC1 ZINC001571148775 961754597 /nfs/dbraw/zinc/75/45/97/961754597.db2.gz BAHIIIZVNOTPFG-QWRGUYRKSA-N 0 2 320.386 0.299 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)N2CC[N@H+]3C[C@@H](F)C[C@H]3C2)CC1 ZINC001571148775 961754611 /nfs/dbraw/zinc/75/46/11/961754611.db2.gz BAHIIIZVNOTPFG-QWRGUYRKSA-N 0 2 320.386 0.299 20 0 DCADLN C/C(=C/C(=O)NCc1ccc(C(=O)[O-])nc1)C[NH+]1CCOCC1 ZINC001334214749 961786596 /nfs/dbraw/zinc/78/65/96/961786596.db2.gz NWBKFCMYALNBOF-WQLSENKSSA-N 0 2 319.361 0.675 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)nc1 ZINC001571153858 961829934 /nfs/dbraw/zinc/82/99/34/961829934.db2.gz SCAQICQNDXIBIX-NSHDSACASA-N 0 2 322.283 0.887 20 0 DCADLN O=C([O-])c1ccc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)nc1 ZINC001571153858 961829947 /nfs/dbraw/zinc/82/99/47/961829947.db2.gz SCAQICQNDXIBIX-NSHDSACASA-N 0 2 322.283 0.887 20 0 DCADLN COC(=O)C1(NC(=O)CCc2[nH]cc[nH+]2)CCC(C(=O)[O-])CC1 ZINC001571158203 961889527 /nfs/dbraw/zinc/88/95/27/961889527.db2.gz IJEISIFUKKCXSC-UHFFFAOYSA-N 0 2 323.349 0.645 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1C[C@@H]1C(F)F ZINC001379037411 961943116 /nfs/dbraw/zinc/94/31/16/961943116.db2.gz UOQJQHDDKANKHW-YUMQZZPRSA-N 0 2 303.313 0.302 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1C[C@@H]1C(F)F ZINC001379037411 961943119 /nfs/dbraw/zinc/94/31/19/961943119.db2.gz UOQJQHDDKANKHW-YUMQZZPRSA-N 0 2 303.313 0.302 20 0 DCADLN C[C@H](NC(=O)c1ccnc2c1CC(=O)N2)c1nn(C)cc1O ZINC001363850534 962020255 /nfs/dbraw/zinc/02/02/55/962020255.db2.gz LZEJQYRDBVTHCP-ZETCQYMHSA-N 0 2 301.306 0.506 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCCCCNC(=O)CC2)S1 ZINC001363948076 962175357 /nfs/dbraw/zinc/17/53/57/962175357.db2.gz QKUPTCYHMCAZIQ-SECBINFHSA-N 0 2 312.395 0.062 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@@H](O)C[N@H+](C)CCCF)c1[O-] ZINC001379907474 962262325 /nfs/dbraw/zinc/26/23/25/962262325.db2.gz HUVHEJGEVBTTKY-JTQLQIEISA-N 0 2 302.350 0.148 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@@H](O)C[N@@H+](C)CCCF)c1[O-] ZINC001379907474 962262343 /nfs/dbraw/zinc/26/23/43/962262343.db2.gz HUVHEJGEVBTTKY-JTQLQIEISA-N 0 2 302.350 0.148 20 0 DCADLN Cc1nnc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001379971897 962426597 /nfs/dbraw/zinc/42/65/97/962426597.db2.gz QFVRFFQETYPLOZ-IONNQARKSA-N 0 2 309.267 0.704 20 0 DCADLN Cc1nnc(CN2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)[nH]1 ZINC001379971897 962426603 /nfs/dbraw/zinc/42/66/03/962426603.db2.gz QFVRFFQETYPLOZ-IONNQARKSA-N 0 2 309.267 0.704 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cccc3c2OCO3)n1 ZINC001364109865 962489507 /nfs/dbraw/zinc/48/95/07/962489507.db2.gz SPENHUJTRFXHHN-UHFFFAOYSA-N 0 2 311.323 0.784 20 0 DCADLN COC(=O)[C@H]1C[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001364314749 962879703 /nfs/dbraw/zinc/87/97/03/962879703.db2.gz DVGDITCISPNDQA-OPRDCNLKSA-N 0 2 323.353 0.351 20 0 DCADLN C[C@]1(NC(=O)Cc2ccsc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380344326 963068614 /nfs/dbraw/zinc/06/86/14/963068614.db2.gz WJBKMCMSDUKNSX-AWEZNQCLSA-N 0 2 321.406 0.895 20 0 DCADLN C[C@]1(NC(=O)Cc2ccsc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001380344326 963068618 /nfs/dbraw/zinc/06/86/18/963068618.db2.gz WJBKMCMSDUKNSX-AWEZNQCLSA-N 0 2 321.406 0.895 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1Cc2ccccc2O1 ZINC000314801979 963812777 /nfs/dbraw/zinc/81/27/77/963812777.db2.gz SXDVUFUZNRVDNN-DGCLKSJQSA-N 0 2 301.302 0.525 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1Cc2ccccc2O1 ZINC000314801979 963812782 /nfs/dbraw/zinc/81/27/82/963812782.db2.gz SXDVUFUZNRVDNN-DGCLKSJQSA-N 0 2 301.302 0.525 20 0 DCADLN COc1cccc(F)c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000315686635 963926773 /nfs/dbraw/zinc/92/67/73/963926773.db2.gz CWUIKDHECWRRRD-JTQLQIEISA-N 0 2 307.281 0.983 20 0 DCADLN COc1cccc(F)c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000315686635 963926778 /nfs/dbraw/zinc/92/67/78/963926778.db2.gz CWUIKDHECWRRRD-JTQLQIEISA-N 0 2 307.281 0.983 20 0 DCADLN COCCC(C)(C)C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375135076 964036113 /nfs/dbraw/zinc/03/61/13/964036113.db2.gz QYXWUAPXPZAGCT-SNVBAGLBSA-N 0 2 313.402 0.510 20 0 DCADLN COCCC(C)(C)C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375135076 964036119 /nfs/dbraw/zinc/03/61/19/964036119.db2.gz QYXWUAPXPZAGCT-SNVBAGLBSA-N 0 2 313.402 0.510 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCCn1cccc1 ZINC001375165177 964088196 /nfs/dbraw/zinc/08/81/96/964088196.db2.gz WEILDPAQIOZJCJ-GFCCVEGCSA-N 0 2 320.397 0.729 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCCn1cccc1 ZINC001375165177 964088210 /nfs/dbraw/zinc/08/82/10/964088210.db2.gz WEILDPAQIOZJCJ-GFCCVEGCSA-N 0 2 320.397 0.729 20 0 DCADLN CCn1ncnc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001375314689 964243602 /nfs/dbraw/zinc/24/36/02/964243602.db2.gz PGDCVSRCUJWPER-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncnc1CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001375314689 964243611 /nfs/dbraw/zinc/24/36/11/964243611.db2.gz PGDCVSRCUJWPER-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN O=C(COC1CCCCC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001375323344 964255302 /nfs/dbraw/zinc/25/53/02/964255302.db2.gz MOBVEOJXUHVIKD-LLVKDONJSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@@H](CNC(=O)c1cc2c([nH]1)CCC2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369004340 964275821 /nfs/dbraw/zinc/27/58/21/964275821.db2.gz VEZCMGLAJBKCTJ-VIFPVBQESA-N 0 2 318.381 0.577 20 0 DCADLN C[C@@H](CNC(=O)c1cc2c([nH]1)CCC2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369004340 964275830 /nfs/dbraw/zinc/27/58/30/964275830.db2.gz VEZCMGLAJBKCTJ-VIFPVBQESA-N 0 2 318.381 0.577 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CC12CCOCC2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013134 964292119 /nfs/dbraw/zinc/29/21/19/964292119.db2.gz UJUWOGMQDDHUOU-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1CC12CCOCC2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001369013134 964292133 /nfs/dbraw/zinc/29/21/33/964292133.db2.gz UJUWOGMQDDHUOU-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN CCC[NH+](C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001375478755 964452926 /nfs/dbraw/zinc/45/29/26/964452926.db2.gz XRYRHWWMDORSDL-ZFWWWQNUSA-N 0 2 324.469 0.528 20 0 DCADLN CN(C(=O)C1([NH+](C)C)CCC1)[C@H]1CC[N@H+](Cc2cncn2C)C1 ZINC001373669123 964455438 /nfs/dbraw/zinc/45/54/38/964455438.db2.gz BOLDWRSOXCSYAX-AWEZNQCLSA-N 0 2 319.453 0.937 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)CNC(=O)C(F)C(F)(F)F ZINC001375681947 964709257 /nfs/dbraw/zinc/70/92/57/964709257.db2.gz VUUCBOQYELCRLV-CBAPKCEASA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001375681947 964709266 /nfs/dbraw/zinc/70/92/66/964709266.db2.gz VUUCBOQYELCRLV-CBAPKCEASA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@@H]1CO ZINC001447740570 1013517547 /nfs/dbraw/zinc/51/75/47/1013517547.db2.gz AQPJDBZDVAYKAE-BXKDBHETSA-N 0 2 318.333 0.109 20 0 DCADLN CCCN(CCNC(=O)COC)C(=O)C(F)C(F)(F)F ZINC001374074172 964978690 /nfs/dbraw/zinc/97/86/90/964978690.db2.gz LVIBSBPWVNRUCE-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCCN(CCNC(=O)COC)C(=O)[C@@H](F)C(F)(F)F ZINC001374074172 964978697 /nfs/dbraw/zinc/97/86/97/964978697.db2.gz LVIBSBPWVNRUCE-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN C[C@H](c1nnnn1C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001374086463 964996598 /nfs/dbraw/zinc/99/65/98/964996598.db2.gz YBPPPPNNUKKJIB-HTQZYQBOSA-N 0 2 324.282 0.316 20 0 DCADLN C[C@H](c1nnnn1C)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001374086463 964996609 /nfs/dbraw/zinc/99/66/09/964996609.db2.gz YBPPPPNNUKKJIB-HTQZYQBOSA-N 0 2 324.282 0.316 20 0 DCADLN COCCC(=O)N(C)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001369865541 965495709 /nfs/dbraw/zinc/49/57/09/965495709.db2.gz VJQWBWQGTUDAKS-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N(C)[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001369865541 965495717 /nfs/dbraw/zinc/49/57/17/965495717.db2.gz VJQWBWQGTUDAKS-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN O=C(CC[C@H]1CCCO1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369951737 965604648 /nfs/dbraw/zinc/60/46/48/965604648.db2.gz GICNKTGWXAEHFF-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H]1CC[N@H+](Cc2cnn(C)c2)C1)[NH+](C)C ZINC001370038701 965717534 /nfs/dbraw/zinc/71/75/34/965717534.db2.gz BFHHPTDWNRTFGV-CABCVRRESA-N 0 2 307.442 0.697 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)on1 ZINC001375076394 966322090 /nfs/dbraw/zinc/32/20/90/966322090.db2.gz ALTZNJDBDBNIDV-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)on1 ZINC001375076394 966322098 /nfs/dbraw/zinc/32/20/98/966322098.db2.gz ALTZNJDBDBNIDV-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001370712698 966407530 /nfs/dbraw/zinc/40/75/30/966407530.db2.gz OKWVLRQJZIGBBJ-DTWKUNHWSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C1CCCC1)[C@@H](F)C(F)(F)F ZINC001370712698 966407537 /nfs/dbraw/zinc/40/75/37/966407537.db2.gz OKWVLRQJZIGBBJ-DTWKUNHWSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@H](NC(=O)C1(CF)CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381804324 966694605 /nfs/dbraw/zinc/69/46/05/966694605.db2.gz LKVVPAQDQXIQNC-VIFPVBQESA-N 0 2 311.361 0.587 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001381856297 966732616 /nfs/dbraw/zinc/73/26/16/966732616.db2.gz QFOZGVBMPDAGIC-LLVKDONJSA-N 0 2 323.397 0.596 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001381856302 966733130 /nfs/dbraw/zinc/73/31/30/966733130.db2.gz QFOZGVBMPDAGIC-NSHDSACASA-N 0 2 323.397 0.596 20 0 DCADLN CCC1(C(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001382602759 967535272 /nfs/dbraw/zinc/53/52/72/967535272.db2.gz GBBVJSSMAFOWDK-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001382602759 967535281 /nfs/dbraw/zinc/53/52/81/967535281.db2.gz GBBVJSSMAFOWDK-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@H](CNC(=O)c1cc(C2CC2)on1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448058334 1013736956 /nfs/dbraw/zinc/73/69/56/1013736956.db2.gz GYOLAROMTCUOHT-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](CNC(=O)c1cc(C2CC2)on1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448058334 1013736958 /nfs/dbraw/zinc/73/69/58/1013736958.db2.gz GYOLAROMTCUOHT-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN Cc1cc(F)cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001372122884 967665258 /nfs/dbraw/zinc/66/52/58/967665258.db2.gz ILEYDEKBPQAKJZ-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1cc(F)cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001372122884 967665261 /nfs/dbraw/zinc/66/52/61/967665261.db2.gz ILEYDEKBPQAKJZ-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN CCCC(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001382896951 967732017 /nfs/dbraw/zinc/73/20/17/967732017.db2.gz ASUYNFGYGZXUGY-APPZFPTMSA-N 0 2 302.268 0.622 20 0 DCADLN CCCC(=O)N(C)C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001382896951 967732019 /nfs/dbraw/zinc/73/20/19/967732019.db2.gz ASUYNFGYGZXUGY-APPZFPTMSA-N 0 2 302.268 0.622 20 0 DCADLN C[C@@H]1CC[C@H](C)[N@H+]1CC(=O)NCC[NH+](C)CC(=O)N1CCC1 ZINC001372232344 967773167 /nfs/dbraw/zinc/77/31/67/967773167.db2.gz BYANCMAWSRDVJF-OKILXGFUSA-N 0 2 310.442 0.140 20 0 DCADLN CC[C@@H](CNC(=O)NC(C)(C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000308616248 967930239 /nfs/dbraw/zinc/93/02/39/967930239.db2.gz JKVGRQRRRPOMAU-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN CO[C@H](C)CCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372518230 968074705 /nfs/dbraw/zinc/07/47/05/968074705.db2.gz WMDYMYVZDFCSGG-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@H](C)CCC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372518230 968074717 /nfs/dbraw/zinc/07/47/17/968074717.db2.gz WMDYMYVZDFCSGG-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN Cc1nc(C)n(CC[N@@H+](C)[C@H](C)CNC(=O)Cn2cc[nH+]c2)n1 ZINC001448137638 1013777177 /nfs/dbraw/zinc/77/71/77/1013777177.db2.gz CUYPBSMHYHHLDD-GFCCVEGCSA-N 0 2 319.413 0.228 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372546226 968108301 /nfs/dbraw/zinc/10/83/01/968108301.db2.gz QQYHDWFKLFMLGX-ZXFLCMHBSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001372546226 968108304 /nfs/dbraw/zinc/10/83/04/968108304.db2.gz QQYHDWFKLFMLGX-ZXFLCMHBSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1nccc1C[N@H+]1CC[C@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001373236018 968835471 /nfs/dbraw/zinc/83/54/71/968835471.db2.gz CYEGUKNLZISKMN-ZDUSSCGKSA-N 0 2 316.409 0.714 20 0 DCADLN C[C@@H]1CCC[C@@]1(C)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373532525 969192873 /nfs/dbraw/zinc/19/28/73/969192873.db2.gz OEJNMBJCDLICFL-MEBBXXQBSA-N 0 2 307.398 0.885 20 0 DCADLN CO[C@@H](C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)C1CC1 ZINC001431238535 1013962505 /nfs/dbraw/zinc/96/25/05/1013962505.db2.gz QVSCQSXTLJUONC-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@@H](C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001431238535 1013962509 /nfs/dbraw/zinc/96/25/09/1013962509.db2.gz QVSCQSXTLJUONC-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN CN(CCNC(=O)CCn1cccn1)C(=O)C(F)C(F)(F)F ZINC001448712783 1014007645 /nfs/dbraw/zinc/00/76/45/1014007645.db2.gz FFEMVQYTGIOOBO-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CN(CCNC(=O)CCn1cccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001448712783 1014007650 /nfs/dbraw/zinc/00/76/50/1014007650.db2.gz FFEMVQYTGIOOBO-SNVBAGLBSA-N 0 2 324.278 0.748 20 0 DCADLN CC[C@H](c1ccncc1)[N@H+](C)CCS(=O)(=O)CC(=O)[O-] ZINC001610184511 970693197 /nfs/dbraw/zinc/69/31/97/970693197.db2.gz JCZYPWWBNOYMQX-GFCCVEGCSA-N 0 2 300.380 0.964 20 0 DCADLN CC[C@H](c1ccncc1)[N@@H+](C)CCS(=O)(=O)CC(=O)[O-] ZINC001610184511 970693206 /nfs/dbraw/zinc/69/32/06/970693206.db2.gz JCZYPWWBNOYMQX-GFCCVEGCSA-N 0 2 300.380 0.964 20 0 DCADLN CN1CC[NH+](C(C)(C)CNC(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC001604220899 972708544 /nfs/dbraw/zinc/70/85/44/972708544.db2.gz KGUAKXVWIOTGOX-UHFFFAOYSA-N 0 2 320.393 0.536 20 0 DCADLN O=C([O-])[C@@]1(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CCCO1 ZINC001605916661 972795797 /nfs/dbraw/zinc/79/57/97/972795797.db2.gz ZFSZXTDZTLLMPZ-DOMZBBRYSA-N 0 2 306.318 0.695 20 0 DCADLN O=C([O-])CC1(C(=O)O[C@@H]2CC[N@H+](CCF)C2)CCOCC1 ZINC001606074772 973231286 /nfs/dbraw/zinc/23/12/86/973231286.db2.gz KWXSSHKBXIRWND-LLVKDONJSA-N 0 2 303.330 0.845 20 0 DCADLN O=C([O-])CC1(C(=O)O[C@@H]2CC[N@@H+](CCF)C2)CCOCC1 ZINC001606074772 973231294 /nfs/dbraw/zinc/23/12/94/973231294.db2.gz KWXSSHKBXIRWND-LLVKDONJSA-N 0 2 303.330 0.845 20 0 DCADLN Cc1cnn(CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F)c1 ZINC001448920498 1014097177 /nfs/dbraw/zinc/09/71/77/1014097177.db2.gz LVBQZNCKXICHNQ-SNVBAGLBSA-N 0 2 324.278 0.667 20 0 DCADLN Cc1cnn(CC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001448920498 1014097180 /nfs/dbraw/zinc/09/71/80/1014097180.db2.gz LVBQZNCKXICHNQ-SNVBAGLBSA-N 0 2 324.278 0.667 20 0 DCADLN Cn1ccc(C[NH+]2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)n1 ZINC001605506036 973683451 /nfs/dbraw/zinc/68/34/51/973683451.db2.gz WZNPNJHDIAKQRX-LLVKDONJSA-N 0 2 306.366 0.318 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(N3CCOCC3)nc[nH+]2)C[C@H]1C1CC1 ZINC001549119380 1014139584 /nfs/dbraw/zinc/13/95/84/1014139584.db2.gz STEKJOKTLVZCCL-STQMWFEESA-N 0 2 318.377 0.860 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(N3CCOCC3)[nH+]cn2)C[C@H]1C1CC1 ZINC001549119380 1014139594 /nfs/dbraw/zinc/13/95/94/1014139594.db2.gz STEKJOKTLVZCCL-STQMWFEESA-N 0 2 318.377 0.860 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)[C@@H](C)C1 ZINC001603764430 974213284 /nfs/dbraw/zinc/21/32/84/974213284.db2.gz YNRKHGWGNRMBPE-NSHDSACASA-N 0 2 319.427 0.054 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)[C@@H](C)C1 ZINC001603764430 974213290 /nfs/dbraw/zinc/21/32/90/974213290.db2.gz YNRKHGWGNRMBPE-NSHDSACASA-N 0 2 319.427 0.054 20 0 DCADLN C[C@H](CC(=O)N1CCC[C@@H]1C(=O)NCC(=O)[O-])n1cc[nH+]c1 ZINC001592233576 977433100 /nfs/dbraw/zinc/43/31/00/977433100.db2.gz IXDPOBUPMMVBFR-GHMZBOCLSA-N 0 2 308.338 0.026 20 0 DCADLN CC[N@@H+]1CC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])cs1 ZINC000710170048 977489572 /nfs/dbraw/zinc/48/95/72/977489572.db2.gz HTRARDZKLQDZBF-VIFPVBQESA-N 0 2 304.393 0.819 20 0 DCADLN CC[N@H+]1CC[C@H]1CNS(=O)(=O)c1cc(C(=O)[O-])cs1 ZINC000710170048 977489574 /nfs/dbraw/zinc/48/95/74/977489574.db2.gz HTRARDZKLQDZBF-VIFPVBQESA-N 0 2 304.393 0.819 20 0 DCADLN C[C@@H](C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001522846718 1014420957 /nfs/dbraw/zinc/42/09/57/1014420957.db2.gz LAVYFWDBBRTHLY-IUCAKERBSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@@H](C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)n1cccn1 ZINC001522846718 1014420966 /nfs/dbraw/zinc/42/09/66/1014420966.db2.gz LAVYFWDBBRTHLY-IUCAKERBSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@H](C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001522846714 1014421221 /nfs/dbraw/zinc/42/12/21/1014421221.db2.gz LAVYFWDBBRTHLY-BDAKNGLRSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@H](C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)n1cccn1 ZINC001522846714 1014421227 /nfs/dbraw/zinc/42/12/27/1014421227.db2.gz LAVYFWDBBRTHLY-BDAKNGLRSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@H](CN(C)c1ccnc(C(=O)[O-])n1)NC(=O)Cn1cc[nH+]c1 ZINC001592588928 978514369 /nfs/dbraw/zinc/51/43/69/978514369.db2.gz SOXXSWVTGKYKLV-SNVBAGLBSA-N 0 2 318.337 0.012 20 0 DCADLN C[C@@H](CNS(=O)(=O)c1c[nH]c(C(=O)[O-])c1)Cn1cc[nH+]c1 ZINC001592716119 979215580 /nfs/dbraw/zinc/21/55/80/979215580.db2.gz PZDORTDRRLECAV-VIFPVBQESA-N 0 2 312.351 0.524 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cncc(C(=O)[O-])c1 ZINC001592930375 979968371 /nfs/dbraw/zinc/96/83/71/979968371.db2.gz KKRLWDJFNDEBKN-SECBINFHSA-N 0 2 310.335 0.343 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001523437839 1014704360 /nfs/dbraw/zinc/70/43/60/1014704360.db2.gz LNXLQSJDMCSLKZ-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001523437839 1014704366 /nfs/dbraw/zinc/70/43/66/1014704366.db2.gz LNXLQSJDMCSLKZ-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCCC(=O)N1CCC[C@@H]1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001595523668 981348509 /nfs/dbraw/zinc/34/85/09/981348509.db2.gz NSCIOZUFUXFJEE-VXGBXAGGSA-N 0 2 322.365 0.313 20 0 DCADLN CCCC(=O)N1CCC[C@@H]1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001595523668 981348513 /nfs/dbraw/zinc/34/85/13/981348513.db2.gz NSCIOZUFUXFJEE-VXGBXAGGSA-N 0 2 322.365 0.313 20 0 DCADLN CCCC(=O)N1CCC[C@H]1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001595523665 981348793 /nfs/dbraw/zinc/34/87/93/981348793.db2.gz NSCIOZUFUXFJEE-NEPJUHHUSA-N 0 2 322.365 0.313 20 0 DCADLN CCCC(=O)N1CCC[C@H]1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001595523665 981348798 /nfs/dbraw/zinc/34/87/98/981348798.db2.gz NSCIOZUFUXFJEE-NEPJUHHUSA-N 0 2 322.365 0.313 20 0 DCADLN Cc1nc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC001530485114 1014768210 /nfs/dbraw/zinc/76/82/10/1014768210.db2.gz OSXOTASPJDTXFC-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1nc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)c[nH]1 ZINC001530485114 1014768224 /nfs/dbraw/zinc/76/82/24/1014768224.db2.gz OSXOTASPJDTXFC-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1nc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC001530485115 1014769963 /nfs/dbraw/zinc/76/99/63/1014769963.db2.gz OSXOTASPJDTXFC-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1nc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)c[nH]1 ZINC001530485115 1014769970 /nfs/dbraw/zinc/76/99/70/1014769970.db2.gz OSXOTASPJDTXFC-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN C[C@@H](CNC(=O)Cc1ncc[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001450060324 1014878967 /nfs/dbraw/zinc/87/89/67/1014878967.db2.gz DMUITWRKSNVCEY-XVKPBYJWSA-N 0 2 324.278 0.816 20 0 DCADLN CCCN1CCC[N@@H+]([C@@H]2CCN(CCC(=O)[O-])C2=O)CC1=O ZINC001595908980 982992533 /nfs/dbraw/zinc/99/25/33/982992533.db2.gz AMKFYGKGQCPZPD-GFCCVEGCSA-N 0 2 311.382 0.006 20 0 DCADLN CCCN1CCC[N@H+]([C@@H]2CCN(CCC(=O)[O-])C2=O)CC1=O ZINC001595908980 982992538 /nfs/dbraw/zinc/99/25/38/982992538.db2.gz AMKFYGKGQCPZPD-GFCCVEGCSA-N 0 2 311.382 0.006 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCOCC4)nc[nH+]3)CC[C@@H]21 ZINC001550919100 1014912880 /nfs/dbraw/zinc/91/28/80/1014912880.db2.gz JLXOISKFHRZPPZ-MISXGVKJSA-N 0 2 304.350 0.470 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3cc(N4CCOCC4)[nH+]cn3)CC[C@@H]21 ZINC001550919100 1014912889 /nfs/dbraw/zinc/91/28/89/1014912889.db2.gz JLXOISKFHRZPPZ-MISXGVKJSA-N 0 2 304.350 0.470 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)NCc1ccc(OC)c(OC)c1 ZINC001596222036 983613069 /nfs/dbraw/zinc/61/30/69/983613069.db2.gz ALWIGIZGDUHJAW-UHFFFAOYSA-N 0 2 310.350 0.727 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)NCc1ccc(OC)c(OC)c1 ZINC001596222036 983613073 /nfs/dbraw/zinc/61/30/73/983613073.db2.gz ALWIGIZGDUHJAW-UHFFFAOYSA-N 0 2 310.350 0.727 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)NC1CCC2(CC1)OCCO2 ZINC001596222233 983613580 /nfs/dbraw/zinc/61/35/80/983613580.db2.gz KGYOKZGSIPESID-UHFFFAOYSA-N 0 2 300.355 0.195 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)NC1CCC2(CC1)OCCO2 ZINC001596222233 983613582 /nfs/dbraw/zinc/61/35/82/983613582.db2.gz KGYOKZGSIPESID-UHFFFAOYSA-N 0 2 300.355 0.195 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1snnc1C ZINC001596333663 983773865 /nfs/dbraw/zinc/77/38/65/983773865.db2.gz UXLZOBCMNDWAJQ-ZJUUUORDSA-N 0 2 312.395 0.505 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1snnc1C ZINC001596333663 983773869 /nfs/dbraw/zinc/77/38/69/983773869.db2.gz UXLZOBCMNDWAJQ-ZJUUUORDSA-N 0 2 312.395 0.505 20 0 DCADLN CCN(CC[NH2+]Cc1nc(C2CC2)no1)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001596339993 983785959 /nfs/dbraw/zinc/78/59/59/983785959.db2.gz TVWJHLWUDHPHIP-WDEREUQCSA-N 0 2 322.365 0.606 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)[C@@H]2CCCC[C@@H]2C(=O)[O-])CC1 ZINC001596436500 984121031 /nfs/dbraw/zinc/12/10/31/984121031.db2.gz SVYWUALLZIRYNY-NWDGAFQWSA-N 0 2 304.412 0.597 20 0 DCADLN CNC(=O)[C@@]12CN(C(=O)C(F)C(F)(F)F)C[C@@H]1COCC2 ZINC001551437257 1015077975 /nfs/dbraw/zinc/07/79/75/1015077975.db2.gz LHPMARLGIPVDTM-XLDPMVHQSA-N 0 2 312.263 0.498 20 0 DCADLN CNC(=O)[C@@]12CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1COCC2 ZINC001551437257 1015077985 /nfs/dbraw/zinc/07/79/85/1015077985.db2.gz LHPMARLGIPVDTM-XLDPMVHQSA-N 0 2 312.263 0.498 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001383725779 985046604 /nfs/dbraw/zinc/04/66/04/985046604.db2.gz DSMPKCDFOPHETO-IYSWYEEDSA-N 0 2 311.239 0.282 20 0 DCADLN Cn1cc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001450372353 1015094628 /nfs/dbraw/zinc/09/46/28/1015094628.db2.gz HYLZKVMPPNCDBL-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN Cn1cc(CC(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001450372353 1015094639 /nfs/dbraw/zinc/09/46/39/1015094639.db2.gz HYLZKVMPPNCDBL-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ncccn1)NC(=O)C(F)C(F)(F)F ZINC001383807498 985109634 /nfs/dbraw/zinc/10/96/34/985109634.db2.gz ZIFKKOXAIOXFIX-YUMQZZPRSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ncccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001383807498 985109642 /nfs/dbraw/zinc/10/96/42/985109642.db2.gz ZIFKKOXAIOXFIX-YUMQZZPRSA-N 0 2 322.262 0.954 20 0 DCADLN CC(=O)N[C@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C1CCCC1 ZINC001589100255 985311400 /nfs/dbraw/zinc/31/14/00/985311400.db2.gz IASSAZNILCYHNF-OLZOCXBDSA-N 0 2 322.365 0.217 20 0 DCADLN CC(=O)N[C@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C1CCCC1 ZINC001589100255 985311407 /nfs/dbraw/zinc/31/14/07/985311407.db2.gz IASSAZNILCYHNF-OLZOCXBDSA-N 0 2 322.365 0.217 20 0 DCADLN CC(=O)NC1CC[NH+](CC(=O)Nc2cccc(C(=O)[O-])n2)CC1 ZINC001589121015 985391394 /nfs/dbraw/zinc/39/13/94/985391394.db2.gz GHRLBFJDKVJCEW-UHFFFAOYSA-N 0 2 320.349 0.319 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C1(C)CC1 ZINC001384219531 985445093 /nfs/dbraw/zinc/44/50/93/985445093.db2.gz DZAYVAQWYCVHRI-JGVFFNPUSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C1(C)CC1 ZINC001384219531 985445097 /nfs/dbraw/zinc/44/50/97/985445097.db2.gz DZAYVAQWYCVHRI-JGVFFNPUSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1nc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001384380600 985538695 /nfs/dbraw/zinc/53/86/95/985538695.db2.gz XMNWAFRIGYXOOE-NKWVEPMBSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1nc[nH]n1)C(=O)[C@@H](F)C(F)(F)F ZINC001384380600 985538700 /nfs/dbraw/zinc/53/87/00/985538700.db2.gz XMNWAFRIGYXOOE-NKWVEPMBSA-N 0 2 323.250 0.378 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001599954712 985668001 /nfs/dbraw/zinc/66/80/01/985668001.db2.gz SRHYCFCLNLIQMJ-LLVKDONJSA-N 0 2 317.349 0.840 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@](C)(O)C2CC2)n[nH]1 ZINC001551660205 1015164924 /nfs/dbraw/zinc/16/49/24/1015164924.db2.gz OAEBHYRSCAMWCG-LALPHHSUSA-N 0 2 308.382 0.605 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@@H]1O)C(F)C(F)(F)F ZINC001384817609 985857906 /nfs/dbraw/zinc/85/79/06/985857906.db2.gz BTPVBAGVFJFEMJ-HRDYMLBCSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@@H]1O)[C@@H](F)C(F)(F)F ZINC001384817609 985857919 /nfs/dbraw/zinc/85/79/19/985857919.db2.gz BTPVBAGVFJFEMJ-HRDYMLBCSA-N 0 2 323.246 0.217 20 0 DCADLN CC(F)(F)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001384818684 985860524 /nfs/dbraw/zinc/86/05/24/985860524.db2.gz OKGXXVGSBNHMSV-SRQIZXRXSA-N 0 2 322.205 0.230 20 0 DCADLN CC(F)(F)C(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001384818684 985860539 /nfs/dbraw/zinc/86/05/39/985860539.db2.gz OKGXXVGSBNHMSV-SRQIZXRXSA-N 0 2 322.205 0.230 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)Cc2conc2C)n[nH]1 ZINC001551663593 1015168580 /nfs/dbraw/zinc/16/85/80/1015168580.db2.gz HSACCPGBIINKFF-QWHCGFSZSA-N 0 2 319.365 0.983 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)Cc2conc2C)n[nH]1 ZINC001551663604 1015169137 /nfs/dbraw/zinc/16/91/37/1015169137.db2.gz HSACCPGBIINKFF-STQMWFEESA-N 0 2 319.365 0.983 20 0 DCADLN CC[C@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C(C)(C)O ZINC001450497420 1015176961 /nfs/dbraw/zinc/17/69/61/1015176961.db2.gz YCPJJHYDPQSSKZ-NSHDSACASA-N 0 2 320.349 0.546 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)Cc2cccc(C(=O)[O-])c2)CCO1 ZINC001594527315 986072569 /nfs/dbraw/zinc/07/25/69/986072569.db2.gz IXBHRVCASOWXHE-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)Cc2cccc(C(=O)[O-])c2)CCO1 ZINC001594527315 986072581 /nfs/dbraw/zinc/07/25/81/986072581.db2.gz IXBHRVCASOWXHE-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@@H]2CCC[C@H]2CC(=O)[O-])CCO1 ZINC001594528713 986102792 /nfs/dbraw/zinc/10/27/92/986102792.db2.gz YFHNSFVFUCNUNS-FRRDWIJNSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N[C@@H]2CCC[C@H]2CC(=O)[O-])CCO1 ZINC001594528713 986102796 /nfs/dbraw/zinc/10/27/96/986102796.db2.gz YFHNSFVFUCNUNS-FRRDWIJNSA-N 0 2 313.398 0.650 20 0 DCADLN COCCC(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385283166 986210660 /nfs/dbraw/zinc/21/06/60/986210660.db2.gz ZZRWQFFUOVKCKX-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385283166 986210666 /nfs/dbraw/zinc/21/06/66/986210666.db2.gz ZZRWQFFUOVKCKX-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001450546089 1015201672 /nfs/dbraw/zinc/20/16/72/1015201672.db2.gz IVIAHLFZSAHJLN-VIFPVBQESA-N 0 2 300.252 0.498 20 0 DCADLN CCOCC(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001450546089 1015201679 /nfs/dbraw/zinc/20/16/79/1015201679.db2.gz IVIAHLFZSAHJLN-VIFPVBQESA-N 0 2 300.252 0.498 20 0 DCADLN CC[C@H](C(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1)[NH+](C)C ZINC001385504605 986393499 /nfs/dbraw/zinc/39/34/99/986393499.db2.gz HNZOIWJXZKZLBZ-QWHCGFSZSA-N 0 2 321.425 0.400 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)C[N@@H+]1CCC[C@@H]1C ZINC001387005563 987560514 /nfs/dbraw/zinc/56/05/14/987560514.db2.gz FUCIJTKPHWVGHK-NEPJUHHUSA-N 0 2 321.425 0.366 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](C)NC(=O)C[C@H]1CCCO1 ZINC001387552340 987897103 /nfs/dbraw/zinc/89/71/03/987897103.db2.gz BKPUYVSIQABVSD-DGCLKSJQSA-N 0 2 322.409 0.841 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F)C(=O)[O-] ZINC001599844701 988911884 /nfs/dbraw/zinc/91/18/84/988911884.db2.gz UOVIMAFKDVYUHY-FJXKBIBVSA-N 0 2 309.244 0.327 20 0 DCADLN CCc1ncc(Cl)c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001597699751 988917329 /nfs/dbraw/zinc/91/73/29/988917329.db2.gz ATSBHFUEYVXICF-VIFPVBQESA-N 0 2 323.740 0.841 20 0 DCADLN CCc1ncc(Cl)c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001597699751 988917338 /nfs/dbraw/zinc/91/73/38/988917338.db2.gz ATSBHFUEYVXICF-VIFPVBQESA-N 0 2 323.740 0.841 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)cn1 ZINC001389198644 988976106 /nfs/dbraw/zinc/97/61/06/988976106.db2.gz RVZHLXVRTLFRDH-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001389198644 988976114 /nfs/dbraw/zinc/97/61/14/988976114.db2.gz RVZHLXVRTLFRDH-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN CC(C)OCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001389379241 989180080 /nfs/dbraw/zinc/18/00/80/989180080.db2.gz FGBGGPNDGCVODB-CBAPKCEASA-N 0 2 302.268 0.933 20 0 DCADLN CC(C)OCC(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001389379241 989180084 /nfs/dbraw/zinc/18/00/84/989180084.db2.gz FGBGGPNDGCVODB-CBAPKCEASA-N 0 2 302.268 0.933 20 0 DCADLN C[C@@H]1C[C@H](C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)O[C@H]1C(=O)[O-] ZINC001599879368 989462889 /nfs/dbraw/zinc/46/28/89/989462889.db2.gz NGFBCTXKPVYXRO-UJPOAAIJSA-N 0 2 313.350 0.271 20 0 DCADLN C[C@@H]1C[C@H](C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)O[C@H]1C(=O)[O-] ZINC001599879368 989462897 /nfs/dbraw/zinc/46/28/97/989462897.db2.gz NGFBCTXKPVYXRO-UJPOAAIJSA-N 0 2 313.350 0.271 20 0 DCADLN CC(C)(C)OC(=O)NCC[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001590201178 989566371 /nfs/dbraw/zinc/56/63/71/989566371.db2.gz PAQFXROXCQGLAT-SNVBAGLBSA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)NCC[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001590201178 989566375 /nfs/dbraw/zinc/56/63/75/989566375.db2.gz PAQFXROXCQGLAT-SNVBAGLBSA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)NCC[N@@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001590201759 989570938 /nfs/dbraw/zinc/57/09/38/989570938.db2.gz YBRRTAZFZXYDNN-UHFFFAOYSA-N 0 2 310.354 0.991 20 0 DCADLN CC(C)(C)OC(=O)NCC[N@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001590201759 989570947 /nfs/dbraw/zinc/57/09/47/989570947.db2.gz YBRRTAZFZXYDNN-UHFFFAOYSA-N 0 2 310.354 0.991 20 0 DCADLN CC(C)(C)n1ncc2c1nc[nH+]c2N1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001590601947 990259598 /nfs/dbraw/zinc/25/95/98/990259598.db2.gz SGUMTRRBMSICBI-VHSXEESVSA-N 0 2 319.365 0.853 20 0 DCADLN CCC[N@H+](CCNC(=O)c1ncccc1O)Cc1n[nH]c(=O)[n-]1 ZINC001391123398 990606125 /nfs/dbraw/zinc/60/61/25/990606125.db2.gz CZKCAWXMHSSHJH-UHFFFAOYSA-N 0 2 320.353 0.253 20 0 DCADLN CCC[N@@H+](CCNC(=O)c1ncccc1O)Cc1n[nH]c(=O)[n-]1 ZINC001391123398 990606132 /nfs/dbraw/zinc/60/61/32/990606132.db2.gz CZKCAWXMHSSHJH-UHFFFAOYSA-N 0 2 320.353 0.253 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCCO1 ZINC001391161342 990629756 /nfs/dbraw/zinc/62/97/56/990629756.db2.gz ZUYRYDQIJFWCHK-NSHDSACASA-N 0 2 309.370 0.160 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCCO1 ZINC001391161342 990629758 /nfs/dbraw/zinc/62/97/58/990629758.db2.gz ZUYRYDQIJFWCHK-NSHDSACASA-N 0 2 309.370 0.160 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1(CF)CCC1 ZINC001391193334 990657301 /nfs/dbraw/zinc/65/73/01/990657301.db2.gz WYMOSCJHDXNRCF-UHFFFAOYSA-N 0 2 311.361 0.731 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1(CF)CCC1 ZINC001391193334 990657303 /nfs/dbraw/zinc/65/73/03/990657303.db2.gz WYMOSCJHDXNRCF-UHFFFAOYSA-N 0 2 311.361 0.731 20 0 DCADLN O=C(NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1)C1(CF)CCC1 ZINC001391193334 990657304 /nfs/dbraw/zinc/65/73/04/990657304.db2.gz WYMOSCJHDXNRCF-UHFFFAOYSA-N 0 2 311.361 0.731 20 0 DCADLN CCc1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)no1 ZINC001391200963 990664392 /nfs/dbraw/zinc/66/43/92/990664392.db2.gz PTNWVIKPOLQJTO-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN CCc1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)no1 ZINC001391200963 990664395 /nfs/dbraw/zinc/66/43/95/990664395.db2.gz PTNWVIKPOLQJTO-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN CN(C)[C@@H](C(=O)Nc1cccc(C(=O)[O-])c1N)c1c[nH+]cn1C ZINC001598164649 990675478 /nfs/dbraw/zinc/67/54/78/990675478.db2.gz BQWZXCKTACEBOR-CYBMUJFWSA-N 0 2 317.349 0.942 20 0 DCADLN Cc1nccnc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001391418407 990822203 /nfs/dbraw/zinc/82/22/03/990822203.db2.gz DXGXEWNFLDHIIF-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN Cc1nccnc1CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001391418407 990822212 /nfs/dbraw/zinc/82/22/12/990822212.db2.gz DXGXEWNFLDHIIF-JTQLQIEISA-N 0 2 306.263 0.986 20 0 DCADLN C[C@H]1CC[C@@H](CCC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001391519788 990910661 /nfs/dbraw/zinc/91/06/61/990910661.db2.gz KXIVTIRYLRUHEU-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(CCn1cccc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391593773 990994555 /nfs/dbraw/zinc/99/45/55/990994555.db2.gz ZUZRSGSANVIQOM-LLVKDONJSA-N 0 2 304.354 0.093 20 0 DCADLN CC[C@H](CNC(=O)CCc1nnc[nH]1)[NH2+]Cc1ncccn1 ZINC001391686517 991095564 /nfs/dbraw/zinc/09/55/64/991095564.db2.gz RZFMSFHJSKRIMI-LLVKDONJSA-N 0 2 303.370 0.212 20 0 DCADLN CN(C1(C(=O)[O-])CCCC1)S(=O)(=O)CCn1cc[nH+]c1 ZINC001598364461 991506449 /nfs/dbraw/zinc/50/64/49/991506449.db2.gz KOOJQOCYAUQKDA-UHFFFAOYSA-N 0 2 301.368 0.542 20 0 DCADLN C[N@H+](CC(=O)N1CCCC1)[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598380691 991585335 /nfs/dbraw/zinc/58/53/35/991585335.db2.gz NSSOQTALGUTOTC-GFCCVEGCSA-N 0 2 311.382 0.006 20 0 DCADLN C[N@@H+](CC(=O)N1CCCC1)[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598380691 991585342 /nfs/dbraw/zinc/58/53/42/991585342.db2.gz NSSOQTALGUTOTC-GFCCVEGCSA-N 0 2 311.382 0.006 20 0 DCADLN CC(C)C[C@H](C(=O)N1C[C@@H](O)C[C@H](C(=O)[O-])C1)n1cc[nH+]c1 ZINC001591118714 991785462 /nfs/dbraw/zinc/78/54/62/991785462.db2.gz YFYMCMXCPDPMTA-RWMBFGLXSA-N 0 2 309.366 0.764 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@H+](C)Cc1ncc(Cl)n1C ZINC001598468476 991987536 /nfs/dbraw/zinc/98/75/36/991987536.db2.gz DWUOSFLSPBTVID-UHFFFAOYSA-N 0 2 302.762 0.438 20 0 DCADLN CN(CC(=O)[O-])C(=O)CC[N@@H+](C)Cc1ncc(Cl)n1C ZINC001598468476 991987545 /nfs/dbraw/zinc/98/75/45/991987545.db2.gz DWUOSFLSPBTVID-UHFFFAOYSA-N 0 2 302.762 0.438 20 0 DCADLN Cc1cnccc1CC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392653810 992210511 /nfs/dbraw/zinc/21/05/11/992210511.db2.gz HCEZSNDKRGUUEW-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1cnccc1CC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001392653810 992210519 /nfs/dbraw/zinc/21/05/19/992210519.db2.gz HCEZSNDKRGUUEW-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN CN(C)C(=O)NCC[N@H+](C)CC(=O)Nc1ccccc1C(=O)[O-] ZINC001598490620 992284463 /nfs/dbraw/zinc/28/44/63/992284463.db2.gz ISHBQHXDSGJCLY-UHFFFAOYSA-N 0 2 322.365 0.526 20 0 DCADLN CN(C)C(=O)NCC[N@@H+](C)CC(=O)Nc1ccccc1C(=O)[O-] ZINC001598490620 992284467 /nfs/dbraw/zinc/28/44/67/992284467.db2.gz ISHBQHXDSGJCLY-UHFFFAOYSA-N 0 2 322.365 0.526 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN([C@H](C(=O)[O-])c2ccsc2)CC1 ZINC001599777085 992317349 /nfs/dbraw/zinc/31/73/49/992317349.db2.gz OYWRQHSODSGKQZ-FKIZINRSSA-N 0 2 316.448 0.870 20 0 DCADLN CN(CC[NH2+]Cc1nc(C(F)F)no1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001598495037 992347437 /nfs/dbraw/zinc/34/74/37/992347437.db2.gz GFERLBKOKHXGKB-BQBZGAKWSA-N 0 2 318.280 0.276 20 0 DCADLN C[S@](=O)c1cccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001599780754 992360628 /nfs/dbraw/zinc/36/06/28/992360628.db2.gz VDOPFNMJAOGXNT-IPQOISQHSA-N 0 2 321.358 0.504 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)CC[NH2+]Cc1cc(C(=O)[O-])co1 ZINC001599781062 992364683 /nfs/dbraw/zinc/36/46/83/992364683.db2.gz KGIMQGWXHAGJHP-UHFFFAOYSA-N 0 2 324.380 0.125 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)cnn1 ZINC001392766751 992367722 /nfs/dbraw/zinc/36/77/22/992367722.db2.gz JUYSJKYWZGVRBG-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)cnn1 ZINC001392766751 992367726 /nfs/dbraw/zinc/36/77/26/992367726.db2.gz JUYSJKYWZGVRBG-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN C[N@H+](CCOCCO)CC(=O)Nc1ccsc1C(=O)[O-] ZINC001598497762 992386614 /nfs/dbraw/zinc/38/66/14/992386614.db2.gz GOKZNOYDMPDDHV-UHFFFAOYSA-N 0 2 302.352 0.326 20 0 DCADLN C[N@@H+](CCOCCO)CC(=O)Nc1ccsc1C(=O)[O-] ZINC001598497762 992386624 /nfs/dbraw/zinc/38/66/24/992386624.db2.gz GOKZNOYDMPDDHV-UHFFFAOYSA-N 0 2 302.352 0.326 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001392853484 992464479 /nfs/dbraw/zinc/46/44/79/992464479.db2.gz RMLHLNXPQNXURY-HTQZYQBOSA-N 0 2 303.313 0.492 20 0 DCADLN Cc1nc(CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cs1 ZINC001392868486 992478936 /nfs/dbraw/zinc/47/89/36/992478936.db2.gz NPJGYBFJNIESEX-SNVBAGLBSA-N 0 2 322.394 0.208 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c(=O)c1 ZINC001392885440 992496460 /nfs/dbraw/zinc/49/64/60/992496460.db2.gz UIYXSTJJCAJZJI-XNCJUZBTSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn[nH]c(=O)c1 ZINC001392885440 992496466 /nfs/dbraw/zinc/49/64/66/992496466.db2.gz UIYXSTJJCAJZJI-XNCJUZBTSA-N 0 2 324.234 0.317 20 0 DCADLN CC(C)Cn1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001591523954 992699282 /nfs/dbraw/zinc/69/92/82/992699282.db2.gz WVVCGCBLBWYJHU-LBPRGKRZSA-N 0 2 305.338 0.619 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001393347082 992902655 /nfs/dbraw/zinc/90/26/55/992902655.db2.gz NYPDPASHTVJNJH-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001393347082 992902667 /nfs/dbraw/zinc/90/26/67/992902667.db2.gz NYPDPASHTVJNJH-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2ccc(Cn3cccn3)o2)C[C@H]1C(=O)[O-] ZINC001598575501 993267940 /nfs/dbraw/zinc/26/79/40/993267940.db2.gz OPKKHUZMJVKPGZ-RYUDHWBXSA-N 0 2 319.317 0.839 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2ccc(Cn3cccn3)o2)C[C@H]1C(=O)[O-] ZINC001598575501 993267945 /nfs/dbraw/zinc/26/79/45/993267945.db2.gz OPKKHUZMJVKPGZ-RYUDHWBXSA-N 0 2 319.317 0.839 20 0 DCADLN C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(Cl)nc1C(=O)[O-] ZINC001598588976 993426020 /nfs/dbraw/zinc/42/60/20/993426020.db2.gz OCLLKARYRJTWKY-ZETCQYMHSA-N 0 2 319.770 0.416 20 0 DCADLN C[N@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(Cl)nc1C(=O)[O-] ZINC001598588976 993426023 /nfs/dbraw/zinc/42/60/23/993426023.db2.gz OCLLKARYRJTWKY-ZETCQYMHSA-N 0 2 319.770 0.416 20 0 DCADLN CS[C@@H](C)CC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394241106 993562193 /nfs/dbraw/zinc/56/21/93/993562193.db2.gz XSASWZGLMVFDNP-DTWKUNHWSA-N 0 2 313.427 0.588 20 0 DCADLN CS[C@H](C)CC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394241100 993562600 /nfs/dbraw/zinc/56/26/00/993562600.db2.gz XSASWZGLMVFDNP-BDAKNGLRSA-N 0 2 313.427 0.588 20 0 DCADLN CCc1nc(C)c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001399597457 993600542 /nfs/dbraw/zinc/60/05/42/993600542.db2.gz MTRGWBWHFISWGF-UHFFFAOYSA-N 0 2 324.410 0.699 20 0 DCADLN CCc1nc(C)c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001399597457 993600545 /nfs/dbraw/zinc/60/05/45/993600545.db2.gz MTRGWBWHFISWGF-UHFFFAOYSA-N 0 2 324.410 0.699 20 0 DCADLN COCC(=O)N1CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001394297450 993605484 /nfs/dbraw/zinc/60/54/84/993605484.db2.gz WINZFKDNRTZFMJ-PSASIEDQSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CC[C@@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001394297450 993605489 /nfs/dbraw/zinc/60/54/89/993605489.db2.gz WINZFKDNRTZFMJ-PSASIEDQSA-N 0 2 300.252 0.640 20 0 DCADLN CN1CC[N@H+](C)[C@H](CNC(=O)Cc2cccc(C(=O)[O-])c2)C1 ZINC001598612175 993799023 /nfs/dbraw/zinc/79/90/23/993799023.db2.gz PMSVOUYASRISNZ-CQSZACIVSA-N 0 2 305.378 0.289 20 0 DCADLN CN1CC[N@@H+](C)[C@H](CNC(=O)Cc2cccc(C(=O)[O-])c2)C1 ZINC001598612175 993799031 /nfs/dbraw/zinc/79/90/31/993799031.db2.gz PMSVOUYASRISNZ-CQSZACIVSA-N 0 2 305.378 0.289 20 0 DCADLN CCc1nnc(C[N@@H+]2CC[C@@H](CNC(=O)Cc3c[nH]cn3)C2)o1 ZINC001399925704 993938423 /nfs/dbraw/zinc/93/84/23/993938423.db2.gz HJJVRIOVIREBFI-NSHDSACASA-N 0 2 318.381 0.536 20 0 DCADLN Cc1cc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)ccn1 ZINC001400037353 994086249 /nfs/dbraw/zinc/08/62/49/994086249.db2.gz PIFIHMOLBMYHKR-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)ccn1 ZINC001400037353 994086260 /nfs/dbraw/zinc/08/62/60/994086260.db2.gz PIFIHMOLBMYHKR-UHFFFAOYSA-N 0 2 316.365 0.608 20 0 DCADLN C[C@H](CNC(=O)c1ccn[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001395051068 994382954 /nfs/dbraw/zinc/38/29/54/994382954.db2.gz WSPMXSHQWFZLCN-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)c1ccn[nH]1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001395051068 994382967 /nfs/dbraw/zinc/38/29/67/994382967.db2.gz WSPMXSHQWFZLCN-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN CC(C)CCO[C@@H](C)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400464240 994542857 /nfs/dbraw/zinc/54/28/57/994542857.db2.gz OMCLMXGNWHIVPI-JTQLQIEISA-N 0 2 311.386 0.262 20 0 DCADLN CN(C(=O)C[N@@H+](C)C1CCC1)[C@@H]1CC[NH+](Cc2cnnn2C)C1 ZINC001400705344 994818334 /nfs/dbraw/zinc/81/83/34/994818334.db2.gz FHVAQMROWLCLJD-CQSZACIVSA-N 0 2 320.441 0.332 20 0 DCADLN C[C@H](CNC(=O)C[N@@H+]1CCC[C@@H]1C)NC(=O)CCn1cc[nH+]c1 ZINC001502485516 1015942278 /nfs/dbraw/zinc/94/22/78/1015942278.db2.gz RKRPIBIMCPKECL-KGLIPLIRSA-N 0 2 321.425 0.378 20 0 DCADLN CC(C)OCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001396724376 995562345 /nfs/dbraw/zinc/56/23/45/995562345.db2.gz KSQYTLVKCMYAJE-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CC(C)OCC(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001396724376 995562355 /nfs/dbraw/zinc/56/23/55/995562355.db2.gz KSQYTLVKCMYAJE-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCO[C@@H]1CCCCO1 ZINC001598751226 995655921 /nfs/dbraw/zinc/65/59/21/995655921.db2.gz ADMWIWNPMHHGHK-RWMBFGLXSA-N 0 2 315.366 0.868 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCO[C@@H]1CCCCO1 ZINC001598751226 995655925 /nfs/dbraw/zinc/65/59/25/995655925.db2.gz ADMWIWNPMHHGHK-RWMBFGLXSA-N 0 2 315.366 0.868 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001396930790 995689588 /nfs/dbraw/zinc/68/95/88/995689588.db2.gz PSRHFDZYQLHUGM-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC001396930790 995689591 /nfs/dbraw/zinc/68/95/91/995689591.db2.gz PSRHFDZYQLHUGM-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)C[N@@H+]([C@H](C)c2ncc(C(=O)[O-])s2)C1 ZINC001598757508 995737600 /nfs/dbraw/zinc/73/76/00/995737600.db2.gz PDDXVKSNJHXVJR-IWSPIJDZSA-N 0 2 314.363 0.758 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)C[N@H+]([C@H](C)c2ncc(C(=O)[O-])s2)C1 ZINC001598757508 995737603 /nfs/dbraw/zinc/73/76/03/995737603.db2.gz PDDXVKSNJHXVJR-IWSPIJDZSA-N 0 2 314.363 0.758 20 0 DCADLN C[C@@H](O)C[C@@H](C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001465339926 1015997336 /nfs/dbraw/zinc/99/73/36/1015997336.db2.gz VLNFXGHNEGGDKI-NXEZZACHSA-N 0 2 320.349 0.403 20 0 DCADLN COC(=O)[C@H]1CN(C(=O)C(C)(C)n2c[nH+]c(C)c2)C[C@H]1C(=O)[O-] ZINC001598804363 996169922 /nfs/dbraw/zinc/16/99/22/996169922.db2.gz URXQPATYHOQIMB-MNOVXSKESA-N 0 2 323.349 0.259 20 0 DCADLN C[C@H](c1ncc(C(=O)[O-])s1)[N@@H+]1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001593731214 996301112 /nfs/dbraw/zinc/30/11/12/996301112.db2.gz DKGDFWOFBGZUPQ-UHLUBPPHSA-N 0 2 324.362 0.576 20 0 DCADLN C[C@H](c1ncc(C(=O)[O-])s1)[N@H+]1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001593731214 996301114 /nfs/dbraw/zinc/30/11/14/996301114.db2.gz DKGDFWOFBGZUPQ-UHLUBPPHSA-N 0 2 324.362 0.576 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](CC(=O)c2ccccc2F)C[C@H]1C(=O)[O-] ZINC001598819745 996311608 /nfs/dbraw/zinc/31/16/08/996311608.db2.gz FZXCRMWEJBSPOX-GHMZBOCLSA-N 0 2 309.293 0.814 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](CC(=O)c2ccccc2F)C[C@H]1C(=O)[O-] ZINC001598819745 996311614 /nfs/dbraw/zinc/31/16/14/996311614.db2.gz FZXCRMWEJBSPOX-GHMZBOCLSA-N 0 2 309.293 0.814 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2c(F)cncc2F)C[C@H]1C(=O)[O-] ZINC001598827302 996396426 /nfs/dbraw/zinc/39/64/26/996396426.db2.gz KLDDZBPXDPGJSV-HTQZYQBOSA-N 0 2 300.261 0.665 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2c(F)cncc2F)C[C@H]1C(=O)[O-] ZINC001598827302 996396432 /nfs/dbraw/zinc/39/64/32/996396432.db2.gz KLDDZBPXDPGJSV-HTQZYQBOSA-N 0 2 300.261 0.665 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001398185780 996404119 /nfs/dbraw/zinc/40/41/19/996404119.db2.gz QRXKJFWFSKWNLI-SNVBAGLBSA-N 0 2 311.386 0.358 20 0 DCADLN CC1(C)C[N@H+](CN2C[C@H](C(=O)[O-])CC2=O)C[C@@]2(CCOC2)O1 ZINC001593942026 996961494 /nfs/dbraw/zinc/96/14/94/996961494.db2.gz ABRLCEFESZLGEX-IAQYHMDHSA-N 0 2 312.366 0.147 20 0 DCADLN CC1(C)C[N@@H+](CN2C[C@H](C(=O)[O-])CC2=O)C[C@@]2(CCOC2)O1 ZINC001593942026 996961505 /nfs/dbraw/zinc/96/15/05/996961505.db2.gz ABRLCEFESZLGEX-IAQYHMDHSA-N 0 2 312.366 0.147 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001598903678 997222275 /nfs/dbraw/zinc/22/22/75/997222275.db2.gz MQJJCNJNVFVPQG-FOGDFJRCSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001598903678 997222281 /nfs/dbraw/zinc/22/22/81/997222281.db2.gz MQJJCNJNVFVPQG-FOGDFJRCSA-N 0 2 323.349 0.501 20 0 DCADLN CO[C@H]1CC(=O)N(C[N@@H+]2CCc3cccc(C(=O)[O-])c3C2)C1 ZINC001599095672 997277153 /nfs/dbraw/zinc/27/71/53/997277153.db2.gz IGWQNENJKRNDJA-LBPRGKRZSA-N 0 2 304.346 0.948 20 0 DCADLN CO[C@H]1CC(=O)N(C[N@H+]2CCc3cccc(C(=O)[O-])c3C2)C1 ZINC001599095672 997277165 /nfs/dbraw/zinc/27/71/65/997277165.db2.gz IGWQNENJKRNDJA-LBPRGKRZSA-N 0 2 304.346 0.948 20 0 DCADLN COc1cc(C[N@H+](CCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])C2CC2)on1 ZINC001599406480 997313299 /nfs/dbraw/zinc/31/32/99/997313299.db2.gz GFHYSZZPERGKMC-VXGBXAGGSA-N 0 2 323.349 0.485 20 0 DCADLN COc1cc(C[N@@H+](CCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])C2CC2)on1 ZINC001599406480 997313310 /nfs/dbraw/zinc/31/33/10/997313310.db2.gz GFHYSZZPERGKMC-VXGBXAGGSA-N 0 2 323.349 0.485 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001404666818 997356669 /nfs/dbraw/zinc/35/66/69/997356669.db2.gz ZQGKFNVTUCSYST-CYBMUJFWSA-N 0 2 321.425 0.188 20 0 DCADLN CC[C@H](CC(F)F)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404892918 997568664 /nfs/dbraw/zinc/56/86/64/997568664.db2.gz ZICNNBRDHKBEKE-SECBINFHSA-N 0 2 317.340 0.740 20 0 DCADLN COc1ccc([C@H]([NH2+]CC(=O)Nc2cnccn2)C(=O)[O-])cc1 ZINC001599529906 997630738 /nfs/dbraw/zinc/63/07/38/997630738.db2.gz VRLMOTGAVCTEQT-AWEZNQCLSA-N 0 2 316.317 0.839 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@@H](C)NC(=O)CCc2cn[nH]n2)[nH]n1 ZINC001405009898 997680818 /nfs/dbraw/zinc/68/08/18/997680818.db2.gz NIGYTEMBJIAEDW-SNVBAGLBSA-N 0 2 319.369 0.046 20 0 DCADLN COc1c(NCC[N@@H+]2CCC3(C2)OCCO3)ncnc1C(=O)[O-] ZINC001599345507 997998075 /nfs/dbraw/zinc/99/80/75/997998075.db2.gz VMMOBZLHGGQIAX-UHFFFAOYSA-N 0 2 324.337 0.044 20 0 DCADLN COc1c(NCC[N@H+]2CCC3(C2)OCCO3)ncnc1C(=O)[O-] ZINC001599345507 997998082 /nfs/dbraw/zinc/99/80/82/997998082.db2.gz VMMOBZLHGGQIAX-UHFFFAOYSA-N 0 2 324.337 0.044 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@H]1CCOC1 ZINC001467534236 1016180280 /nfs/dbraw/zinc/18/02/80/1016180280.db2.gz WEZDFGSTCIAKOO-KOLCDFICSA-N 0 2 318.333 0.421 20 0 DCADLN COCC[NH+]1CCN(CC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)CC1 ZINC001599243636 998096121 /nfs/dbraw/zinc/09/61/21/998096121.db2.gz VJIZYPDJARKXQR-UHFFFAOYSA-N 0 2 323.393 0.776 20 0 DCADLN O=C(C[C@H](n1cc[nH+]c1)C(F)(F)F)NCCc1nc(=O)o[n-]1 ZINC001467597815 1016186386 /nfs/dbraw/zinc/18/63/86/1016186386.db2.gz JASFWNADGORSIY-ZETCQYMHSA-N 0 2 319.243 0.412 20 0 DCADLN CC[C@H](CNC(=O)C[N@H+](C)C1CCC1)[NH2+]Cc1nc(C)no1 ZINC001405373275 998164588 /nfs/dbraw/zinc/16/45/88/998164588.db2.gz LOVKLHDYWRVWAF-GFCCVEGCSA-N 0 2 309.414 0.847 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)C1(CO)CCOCC1 ZINC001467898049 1016207835 /nfs/dbraw/zinc/20/78/35/1016207835.db2.gz CILRIPCIRCFVGJ-UHFFFAOYSA-N 0 2 318.333 0.905 20 0 DCADLN COC(=O)c1cccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001598992108 998747372 /nfs/dbraw/zinc/74/73/72/998747372.db2.gz IAYVFRFYNVMYRA-LBPRGKRZSA-N 0 2 317.301 0.553 20 0 DCADLN COC(=O)c1ccccc1C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001599002978 998834392 /nfs/dbraw/zinc/83/43/92/998834392.db2.gz PKGQPYLRPNCCIW-CYBMUJFWSA-N 0 2 320.345 0.638 20 0 DCADLN COC(=O)c1ccccc1C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001599002978 998834395 /nfs/dbraw/zinc/83/43/95/998834395.db2.gz PKGQPYLRPNCCIW-CYBMUJFWSA-N 0 2 320.345 0.638 20 0 DCADLN COC(=O)c1cnc([C@H](C)[N@@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)s1 ZINC001599007757 998870029 /nfs/dbraw/zinc/87/00/29/998870029.db2.gz ODWGRSDURNBTQD-DJLDLDEBSA-N 0 2 314.363 0.758 20 0 DCADLN COC(=O)c1cnc([C@H](C)[N@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)s1 ZINC001599007757 998870036 /nfs/dbraw/zinc/87/00/36/998870036.db2.gz ODWGRSDURNBTQD-DJLDLDEBSA-N 0 2 314.363 0.758 20 0 DCADLN COC(=O)c1coc(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c1 ZINC001599012034 998906896 /nfs/dbraw/zinc/90/68/96/998906896.db2.gz POXLHHQUICOVMI-NSHDSACASA-N 0 2 310.306 0.231 20 0 DCADLN COC(=O)c1coc(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c1 ZINC001599012034 998906900 /nfs/dbraw/zinc/90/69/00/998906900.db2.gz POXLHHQUICOVMI-NSHDSACASA-N 0 2 310.306 0.231 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N[C@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC001469341432 1016330234 /nfs/dbraw/zinc/33/02/34/1016330234.db2.gz HDAWHAAYZWZYEN-LLVKDONJSA-N 0 2 307.354 0.933 20 0 DCADLN C[C@H]1CCn2cc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])nc2C1 ZINC001594452681 999652850 /nfs/dbraw/zinc/65/28/50/999652850.db2.gz VBSUOLGBONNGQY-GXSJLCMTSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@H]1CCn2cc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])nc2C1 ZINC001594452681 999652852 /nfs/dbraw/zinc/65/28/52/999652852.db2.gz VBSUOLGBONNGQY-GXSJLCMTSA-N 0 2 317.349 0.614 20 0 DCADLN CC[N@H+](CCNC(=O)C1(COC)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001418555962 1000341586 /nfs/dbraw/zinc/34/15/86/1000341586.db2.gz HPCDZDQCQYWCOY-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)C1(COC)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001418555962 1000341588 /nfs/dbraw/zinc/34/15/88/1000341588.db2.gz HPCDZDQCQYWCOY-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(Cl)no1)Cc1n[nH]c(=O)[n-]1 ZINC001418574255 1000358366 /nfs/dbraw/zinc/35/83/66/1000358366.db2.gz YZZRMCWMXNNXOU-UHFFFAOYSA-N 0 2 314.733 0.404 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(Cl)no1)Cc1n[nH]c(=O)[n-]1 ZINC001418574255 1000358368 /nfs/dbraw/zinc/35/83/68/1000358368.db2.gz YZZRMCWMXNNXOU-UHFFFAOYSA-N 0 2 314.733 0.404 20 0 DCADLN CO[C@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001418583855 1000364306 /nfs/dbraw/zinc/36/43/06/1000364306.db2.gz TYYWAQVRWSQHGA-YPMHNXCESA-N 0 2 323.397 0.358 20 0 DCADLN CN(CCN(C)C(=O)c1ccncc1Cl)Cc1n[nH]c(=O)[nH]1 ZINC001401396590 1000406642 /nfs/dbraw/zinc/40/66/42/1000406642.db2.gz VXUKGLZCWNYCTK-UHFFFAOYSA-N 0 2 324.772 0.763 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccncc1Cl ZINC001401396590 1000406646 /nfs/dbraw/zinc/40/66/46/1000406646.db2.gz VXUKGLZCWNYCTK-UHFFFAOYSA-N 0 2 324.772 0.763 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccncc1Cl ZINC001401396590 1000406649 /nfs/dbraw/zinc/40/66/49/1000406649.db2.gz VXUKGLZCWNYCTK-UHFFFAOYSA-N 0 2 324.772 0.763 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401893093 1000826448 /nfs/dbraw/zinc/82/64/48/1000826448.db2.gz KNIVPIRLHVKJOC-DTWKUNHWSA-N 0 2 317.340 0.882 20 0 DCADLN O=C(COCC1CCCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419158625 1000829112 /nfs/dbraw/zinc/82/91/12/1000829112.db2.gz FYAOESAODBEJGJ-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@H]1CCCN1C(=O)c1cnc(C)[nH]1 ZINC001419444879 1001053853 /nfs/dbraw/zinc/05/38/53/1001053853.db2.gz SYQMMFDSNVRQGL-SNVBAGLBSA-N 0 2 318.337 0.990 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1ccc(OCC(F)(F)F)o1 ZINC001470660919 1016479289 /nfs/dbraw/zinc/47/92/89/1016479289.db2.gz GRIIJFHDRUSOPI-UHFFFAOYSA-N 0 2 319.195 0.867 20 0 DCADLN CCC[C@@H](C)C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001402677094 1001468198 /nfs/dbraw/zinc/46/81/98/1001468198.db2.gz MWZQSVHILJKCIM-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@H](CNC(=O)CC[C@H]1CCCO1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403104442 1001675297 /nfs/dbraw/zinc/67/52/97/1001675297.db2.gz BFTBRICXAHKFLW-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](CNC(=O)CC[C@H]1CCCO1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403104442 1001675298 /nfs/dbraw/zinc/67/52/98/1001675298.db2.gz BFTBRICXAHKFLW-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cnnn1C ZINC001403134369 1001689418 /nfs/dbraw/zinc/68/94/18/1001689418.db2.gz YSYBGISICHMFBO-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN Cc1cc(CCC(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001403158648 1001700053 /nfs/dbraw/zinc/70/00/53/1001700053.db2.gz DYRIRKLUSJSENX-SECBINFHSA-N 0 2 322.369 0.376 20 0 DCADLN Cc1cc(CCC(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001403158648 1001700055 /nfs/dbraw/zinc/70/00/55/1001700055.db2.gz DYRIRKLUSJSENX-SECBINFHSA-N 0 2 322.369 0.376 20 0 DCADLN COCC(=O)NC[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21 ZINC001424592828 1001832630 /nfs/dbraw/zinc/83/26/30/1001832630.db2.gz OAGGXPKIACSJFE-BDNRQGISSA-N 0 2 312.263 0.354 20 0 DCADLN COCC(=O)NC[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001424592828 1001832613 /nfs/dbraw/zinc/83/26/13/1001832613.db2.gz OAGGXPKIACSJFE-BDNRQGISSA-N 0 2 312.263 0.354 20 0 DCADLN Cc1noc(C[NH2+][C@H](C)CCNC(=O)CCc2nc[nH]n2)n1 ZINC001403353298 1001857035 /nfs/dbraw/zinc/85/70/35/1001857035.db2.gz DAFJSMBTEWUVMH-SECBINFHSA-N 0 2 307.358 0.113 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)[nH]1 ZINC001420145349 1001936180 /nfs/dbraw/zinc/93/61/80/1001936180.db2.gz JUEYQWXJQZPKGF-OPRDCNLKSA-N 0 2 312.395 0.402 20 0 DCADLN COc1ccc(F)cc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420278684 1002156134 /nfs/dbraw/zinc/15/61/34/1002156134.db2.gz XFNFNKXPSZMFLU-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN COc1ccc(F)cc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420278684 1002156141 /nfs/dbraw/zinc/15/61/41/1002156141.db2.gz XFNFNKXPSZMFLU-UHFFFAOYSA-N 0 2 323.328 0.520 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001424989168 1002220606 /nfs/dbraw/zinc/22/06/06/1002220606.db2.gz NGMYVLQRJGVOSX-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001424989168 1002220614 /nfs/dbraw/zinc/22/06/14/1002220614.db2.gz NGMYVLQRJGVOSX-VIFPVBQESA-N 0 2 322.369 0.872 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H](OC)C1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420408522 1002372078 /nfs/dbraw/zinc/37/20/78/1002372078.db2.gz OKNOZTDVPIZYDF-GFCCVEGCSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H](OC)C1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001420408522 1002372090 /nfs/dbraw/zinc/37/20/90/1002372090.db2.gz OKNOZTDVPIZYDF-GFCCVEGCSA-N 0 2 311.386 0.264 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425246395 1002474222 /nfs/dbraw/zinc/47/42/22/1002474222.db2.gz VDOBVNYVUWXMII-ONGXEEELSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001425255630 1002483460 /nfs/dbraw/zinc/48/34/60/1002483460.db2.gz QYJGYIGCKDWQJY-OCCSQVGLSA-N 0 2 306.410 0.958 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1Cc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001403884885 1002681510 /nfs/dbraw/zinc/68/15/10/1002681510.db2.gz FQOVEEFFMZRGBI-ZDUSSCGKSA-N 0 2 315.377 0.788 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1Cc2ccccc21)Cc1n[nH]c(=O)[n-]1 ZINC001403884885 1002681517 /nfs/dbraw/zinc/68/15/17/1002681517.db2.gz FQOVEEFFMZRGBI-ZDUSSCGKSA-N 0 2 315.377 0.788 20 0 DCADLN CCn1ccc(C[NH+](CC)CCNC(=O)[C@@H]2CCC[N@@H+]2C)n1 ZINC001492925796 1002719714 /nfs/dbraw/zinc/71/97/14/1002719714.db2.gz ODYTUBCADPZTOM-HNNXBMFYSA-N 0 2 307.442 0.935 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cn[nH]c1 ZINC001403916226 1002738352 /nfs/dbraw/zinc/73/83/52/1002738352.db2.gz WRNNZIKOSGXZKG-UHFFFAOYSA-N 0 2 307.358 0.216 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cn[nH]c1 ZINC001403916226 1002738363 /nfs/dbraw/zinc/73/83/63/1002738363.db2.gz WRNNZIKOSGXZKG-UHFFFAOYSA-N 0 2 307.358 0.216 20 0 DCADLN Cc1cc(CCC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001420856542 1003044060 /nfs/dbraw/zinc/04/40/60/1003044060.db2.gz KBFJXNVPRUCSNW-LLVKDONJSA-N 0 2 320.353 0.130 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc2c(n1)CCCN2 ZINC001421002238 1003224593 /nfs/dbraw/zinc/22/45/93/1003224593.db2.gz RBUHZWBAHLRPTL-UHFFFAOYSA-N 0 2 301.306 0.571 20 0 DCADLN CCCn1nnnc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001421028601 1003247741 /nfs/dbraw/zinc/24/77/41/1003247741.db2.gz FXPCLGARZWVDHR-VIFPVBQESA-N 0 2 324.282 0.284 20 0 DCADLN CCCn1nnnc1CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001421028601 1003247748 /nfs/dbraw/zinc/24/77/48/1003247748.db2.gz FXPCLGARZWVDHR-VIFPVBQESA-N 0 2 324.282 0.284 20 0 DCADLN C[C@@H](NC(=O)c1cccc(-n2cncn2)n1)c1nn(C)cc1O ZINC001472236791 1016766753 /nfs/dbraw/zinc/76/67/53/1016766753.db2.gz OIRYPJMUYZPGJY-SECBINFHSA-N 0 2 313.321 0.592 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421248973 1003481156 /nfs/dbraw/zinc/48/11/56/1003481156.db2.gz WYHJOXHMEBTEQM-QWRGUYRKSA-N 0 2 311.386 0.262 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2Cc3ccccc3[C@H]2O)S1 ZINC001472309300 1016782631 /nfs/dbraw/zinc/78/26/31/1016782631.db2.gz LOQZCRCBFGROMD-JFGNBEQYSA-N 0 2 305.359 0.317 20 0 DCADLN CN(C(=O)CCn1cccc1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421316302 1003533705 /nfs/dbraw/zinc/53/37/05/1003533705.db2.gz ROALGZLRMZIXNX-GFCCVEGCSA-N 0 2 318.381 0.435 20 0 DCADLN CN(C(=O)CCn1cccc1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421316302 1003533712 /nfs/dbraw/zinc/53/37/12/1003533712.db2.gz ROALGZLRMZIXNX-GFCCVEGCSA-N 0 2 318.381 0.435 20 0 DCADLN O=C(NC1CC(CNC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001508417843 1016799674 /nfs/dbraw/zinc/79/96/74/1016799674.db2.gz ZRFUMQRKMRNXKJ-QIECLKSESA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NC1CC(CNC(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC001508417843 1016799691 /nfs/dbraw/zinc/79/96/91/1016799691.db2.gz ZRFUMQRKMRNXKJ-QIECLKSESA-N 0 2 324.234 0.595 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCCC(N)=O ZINC001428655035 1004092086 /nfs/dbraw/zinc/09/20/86/1004092086.db2.gz PGPAFSXBWLAJKM-RCOVLWMOSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CCCC(N)=O ZINC001428655035 1004092092 /nfs/dbraw/zinc/09/20/92/1004092092.db2.gz PGPAFSXBWLAJKM-RCOVLWMOSA-N 0 2 315.267 0.163 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccoc2)C[C@H]1O)C(F)C(F)(F)F ZINC001428800161 1004183496 /nfs/dbraw/zinc/18/34/96/1004183496.db2.gz ZGCHTJCCDGDJQN-HLTSFMKQSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccoc2)C[C@H]1O)[C@H](F)C(F)(F)F ZINC001428800161 1004183501 /nfs/dbraw/zinc/18/35/01/1004183501.db2.gz ZGCHTJCCDGDJQN-HLTSFMKQSA-N 0 2 324.230 0.482 20 0 DCADLN COC[C@@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001427233905 1004270682 /nfs/dbraw/zinc/27/06/82/1004270682.db2.gz RIUWGHIDYWXAQD-WDEREUQCSA-N 0 2 309.370 0.824 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1cn[nH]c1 ZINC001427298315 1004308297 /nfs/dbraw/zinc/30/82/97/1004308297.db2.gz QNYIMZGIDJJFRJ-DTWKUNHWSA-N 0 2 305.342 0.884 20 0 DCADLN CN(C(=O)CCC(C)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407053939 1004337909 /nfs/dbraw/zinc/33/79/09/1004337909.db2.gz GZEPZWDJFIREGC-UHFFFAOYSA-N 0 2 303.313 0.588 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001429100762 1004385633 /nfs/dbraw/zinc/38/56/33/1004385633.db2.gz QMALZNBWGSAAQP-OOZYFLPDSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001429100762 1004385644 /nfs/dbraw/zinc/38/56/44/1004385644.db2.gz QMALZNBWGSAAQP-OOZYFLPDSA-N 0 2 322.262 0.935 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407188766 1004456208 /nfs/dbraw/zinc/45/62/08/1004456208.db2.gz YPWRWJOAPSMLDI-NOZJJQNGSA-N 0 2 313.427 0.733 20 0 DCADLN CCCCC(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001427824264 1004631135 /nfs/dbraw/zinc/63/11/35/1004631135.db2.gz KBGROLMINOWDBP-IONNQARKSA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001427824264 1004631141 /nfs/dbraw/zinc/63/11/41/1004631141.db2.gz KBGROLMINOWDBP-IONNQARKSA-N 0 2 302.268 0.670 20 0 DCADLN O=C(NCC1(O)CN(Cc2cccnc2)C1)C(F)C(F)(F)F ZINC001407517633 1004705835 /nfs/dbraw/zinc/70/58/35/1004705835.db2.gz NRWRIJOKAYZLNJ-JTQLQIEISA-N 0 2 321.274 0.645 20 0 DCADLN O=C(NCC1(O)CN(Cc2cccnc2)C1)[C@H](F)C(F)(F)F ZINC001407517633 1004705841 /nfs/dbraw/zinc/70/58/41/1004705841.db2.gz NRWRIJOKAYZLNJ-JTQLQIEISA-N 0 2 321.274 0.645 20 0 DCADLN CC1CC(C[N@@H+]2CCOC[C@@H]2CNC(=O)CCc2c[nH]nn2)C1 ZINC001407753051 1004837365 /nfs/dbraw/zinc/83/73/65/1004837365.db2.gz BIPMIVOWRCFQBG-PIMMBPRGSA-N 0 2 321.425 0.600 20 0 DCADLN CCCCC(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001413974313 1005236192 /nfs/dbraw/zinc/23/61/92/1005236192.db2.gz YIYYEHNMNBKIFY-NQMVMOMDSA-N 0 2 314.279 0.765 20 0 DCADLN CCCCC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001413974313 1005236196 /nfs/dbraw/zinc/23/61/96/1005236196.db2.gz YIYYEHNMNBKIFY-NQMVMOMDSA-N 0 2 314.279 0.765 20 0 DCADLN C[C@]1(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCOC1=O ZINC001414729982 1005391984 /nfs/dbraw/zinc/39/19/84/1005391984.db2.gz LHZAHCDLAHWCPB-SDBXPKJASA-N 0 2 309.326 0.105 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CNC(=O)C1CCC(O)CC1 ZINC001415154974 1005471558 /nfs/dbraw/zinc/47/15/58/1005471558.db2.gz ZJBMDQSKZWTYLP-PKSQDBQZSA-N 0 2 322.409 0.433 20 0 DCADLN CN(CCNC(=O)c1nccnc1N)C(=O)C(F)C(F)(F)F ZINC001415463088 1005535248 /nfs/dbraw/zinc/53/52/48/1005535248.db2.gz OMMSVPRIRPQWEA-SSDOTTSWSA-N 0 2 323.250 0.147 20 0 DCADLN CN(CCNC(=O)c1nccnc1N)C(=O)[C@@H](F)C(F)(F)F ZINC001415463088 1005535250 /nfs/dbraw/zinc/53/52/50/1005535250.db2.gz OMMSVPRIRPQWEA-SSDOTTSWSA-N 0 2 323.250 0.147 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)CNC(=O)C(F)C(F)(F)F ZINC001416465084 1005702702 /nfs/dbraw/zinc/70/27/02/1005702702.db2.gz SNJMMDYKUHUJLP-JGVFFNPUSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1ncccn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001416465084 1005702704 /nfs/dbraw/zinc/70/27/04/1005702704.db2.gz SNJMMDYKUHUJLP-JGVFFNPUSA-N 0 2 322.262 0.859 20 0 DCADLN Cc1c(NC(=O)c2cc(S(N)(=O)=O)ccc2O)cnn1C ZINC001417674778 1005865150 /nfs/dbraw/zinc/86/51/50/1005865150.db2.gz MBZPYUJPQMLKKA-UHFFFAOYSA-N 0 2 310.335 0.334 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1nnn(C)n1 ZINC001417844924 1005902002 /nfs/dbraw/zinc/90/20/02/1005902002.db2.gz ZADOMUNLPRFUTN-FJXKBIBVSA-N 0 2 324.282 0.190 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CCN1Cc1nnn(C)n1 ZINC001417844924 1005902005 /nfs/dbraw/zinc/90/20/05/1005902005.db2.gz ZADOMUNLPRFUTN-FJXKBIBVSA-N 0 2 324.282 0.190 20 0 DCADLN CCC[C@@H](CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)OC ZINC001417878049 1005912794 /nfs/dbraw/zinc/91/27/94/1005912794.db2.gz FEMVARZVYONSIO-LBPRGKRZSA-N 0 2 320.349 0.811 20 0 DCADLN CC(C)[C@H]1CCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001434835330 1006025871 /nfs/dbraw/zinc/02/58/71/1006025871.db2.gz RAFBEOYAGKWZRJ-SECBINFHSA-N 0 2 315.399 0.289 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(F)cc1 ZINC001438837555 1006154276 /nfs/dbraw/zinc/15/42/76/1006154276.db2.gz INRJKZIYQYMYML-UHFFFAOYSA-N 0 2 307.329 0.853 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(F)cc1 ZINC001438837555 1006154283 /nfs/dbraw/zinc/15/42/83/1006154283.db2.gz INRJKZIYQYMYML-UHFFFAOYSA-N 0 2 307.329 0.853 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H](C)[NH2+]Cc2nnc(C(C)C)[nH]2)c1[O-] ZINC001437124824 1006618279 /nfs/dbraw/zinc/61/82/79/1006618279.db2.gz LWSAOYLXLDVYLE-MRVPVSSYSA-N 0 2 321.385 0.573 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CC(NC(=O)c2cnon2)C1 ZINC001452211234 1006659611 /nfs/dbraw/zinc/65/96/11/1006659611.db2.gz CVNYDAQUQUVCIM-NPWHJSNTSA-N 0 2 324.234 0.689 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CC(NC(=O)c2cnon2)C1 ZINC001452211234 1006659619 /nfs/dbraw/zinc/65/96/19/1006659619.db2.gz CVNYDAQUQUVCIM-NPWHJSNTSA-N 0 2 324.234 0.689 20 0 DCADLN CC(C)(F)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452225100 1006669534 /nfs/dbraw/zinc/66/95/34/1006669534.db2.gz NHAAKQLQIVUAGC-RITPCOANSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001452225100 1006669542 /nfs/dbraw/zinc/66/95/42/1006669542.db2.gz NHAAKQLQIVUAGC-RITPCOANSA-N 0 2 306.231 0.228 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001452272228 1006703285 /nfs/dbraw/zinc/70/32/85/1006703285.db2.gz RBTAMLVKZVIKTO-JGVFFNPUSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccoc1 ZINC001452272228 1006703296 /nfs/dbraw/zinc/70/32/96/1006703296.db2.gz RBTAMLVKZVIKTO-JGVFFNPUSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001452318555 1006738989 /nfs/dbraw/zinc/73/89/89/1006738989.db2.gz XUYOQFHXMRVSDM-IUCAKERBSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)C1=CCCC1 ZINC001452318555 1006738998 /nfs/dbraw/zinc/73/89/98/1006738998.db2.gz XUYOQFHXMRVSDM-IUCAKERBSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(CC1CCC1)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452317561 1006739133 /nfs/dbraw/zinc/73/91/33/1006739133.db2.gz PXWPHLGBJHLWJZ-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001452317561 1006739134 /nfs/dbraw/zinc/73/91/34/1006739134.db2.gz PXWPHLGBJHLWJZ-SCZZXKLOSA-N 0 2 314.279 0.670 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001439410042 1006833713 /nfs/dbraw/zinc/83/37/13/1006833713.db2.gz QUOBCJMEXXKTFW-SECBINFHSA-N 0 2 320.397 0.716 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001439410042 1006833719 /nfs/dbraw/zinc/83/37/19/1006833719.db2.gz QUOBCJMEXXKTFW-SECBINFHSA-N 0 2 320.397 0.716 20 0 DCADLN Cc1[nH+]ccn1CCCC(=O)N1CCC[C@H]([N@@H+](C)CC(N)=O)C1 ZINC001437346640 1006871083 /nfs/dbraw/zinc/87/10/83/1006871083.db2.gz FXCUMDKTQWJSHQ-AWEZNQCLSA-N 0 2 321.425 0.380 20 0 DCADLN CCn1nncc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001439523853 1006955027 /nfs/dbraw/zinc/95/50/27/1006955027.db2.gz LCAJKQCAUYNRCO-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1nncc1CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001439523853 1006955039 /nfs/dbraw/zinc/95/50/39/1006955039.db2.gz LCAJKQCAUYNRCO-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001452639979 1006959635 /nfs/dbraw/zinc/95/96/35/1006959635.db2.gz WASNZUAGGCUYRA-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001452639979 1006959654 /nfs/dbraw/zinc/95/96/54/1006959654.db2.gz WASNZUAGGCUYRA-XRGYYRRGSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ncncc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001452827068 1007097692 /nfs/dbraw/zinc/09/76/92/1007097692.db2.gz DFNIQJLULYFGMZ-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ncncc1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001452827068 1007097703 /nfs/dbraw/zinc/09/77/03/1007097703.db2.gz DFNIQJLULYFGMZ-RCOVLWMOSA-N 0 2 322.262 0.920 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001452961375 1007174012 /nfs/dbraw/zinc/17/40/12/1007174012.db2.gz OTHGZWTZTAJDAC-BETUJISGSA-N 0 2 321.425 0.305 20 0 DCADLN O=C(Cc1cccc(F)c1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001438153823 1007670929 /nfs/dbraw/zinc/67/09/29/1007670929.db2.gz FXLXKLVHYHKCSP-UHFFFAOYSA-N 0 2 319.340 0.440 20 0 DCADLN CCn1ncc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001438184826 1007694257 /nfs/dbraw/zinc/69/42/57/1007694257.db2.gz UCYLPTQITWLPRT-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncc(CN2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001438184826 1007694266 /nfs/dbraw/zinc/69/42/66/1007694266.db2.gz UCYLPTQITWLPRT-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN CO[C@H](C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[N@@H+](C)C(C)C)CO2 ZINC001440515588 1007856359 /nfs/dbraw/zinc/85/63/59/1007856359.db2.gz JDMQNGMRMOBNDX-KGLIPLIRSA-N 0 2 313.442 0.321 20 0 DCADLN O=C(CCC1CC1)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001433250393 1008645917 /nfs/dbraw/zinc/64/59/17/1008645917.db2.gz RJRRICPGQXPYJA-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001433250393 1008645924 /nfs/dbraw/zinc/64/59/24/1008645924.db2.gz RJRRICPGQXPYJA-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN CC(C)(C)CC[C@H](CO)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001433940342 1009377828 /nfs/dbraw/zinc/37/78/28/1009377828.db2.gz RLTGMELZXGGYFC-BDAKNGLRSA-N 0 2 301.412 0.846 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)CC(C)(C)O)CC1 ZINC001433954986 1009396817 /nfs/dbraw/zinc/39/68/17/1009396817.db2.gz VQIRPINDIVYFNP-UHFFFAOYSA-N 0 2 308.382 0.187 20 0 DCADLN C[C@@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2nc[nH]n2)C1 ZINC001434176578 1009637463 /nfs/dbraw/zinc/63/74/63/1009637463.db2.gz DAYRURQBUVWKAT-QUBYGPBYSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@@]1(NC(=O)[C@H](F)C(F)(F)F)CCN(C(=O)c2nc[nH]n2)C1 ZINC001434176578 1009637469 /nfs/dbraw/zinc/63/74/69/1009637469.db2.gz DAYRURQBUVWKAT-QUBYGPBYSA-N 0 2 323.250 0.426 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1c[nH]cc1C1CC1 ZINC001422215573 1009662404 /nfs/dbraw/zinc/66/24/04/1009662404.db2.gz FANGJHGJFBUYLY-UHFFFAOYSA-N 0 2 318.381 0.920 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1c[nH]cc1C1CC1 ZINC001422215573 1009662409 /nfs/dbraw/zinc/66/24/09/1009662409.db2.gz FANGJHGJFBUYLY-UHFFFAOYSA-N 0 2 318.381 0.920 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(C)[nH]c1=O)Cc1n[nH]c(=O)[n-]1 ZINC001442719178 1009666583 /nfs/dbraw/zinc/66/65/83/1009666583.db2.gz FDIDFODIEKDWRO-UHFFFAOYSA-N 0 2 320.353 0.171 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(C)[nH]c1=O)Cc1n[nH]c(=O)[n-]1 ZINC001442719178 1009666585 /nfs/dbraw/zinc/66/65/85/1009666585.db2.gz FDIDFODIEKDWRO-UHFFFAOYSA-N 0 2 320.353 0.171 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1CC(CO)(CO)C1 ZINC001434353818 1009818360 /nfs/dbraw/zinc/81/83/60/1009818360.db2.gz UIEODPYSRLPEEU-UHFFFAOYSA-N 0 2 321.308 0.144 20 0 DCADLN CCCN(CCNC(=O)C(F)C(F)(F)F)C(=O)COC ZINC001455810336 1009981024 /nfs/dbraw/zinc/98/10/24/1009981024.db2.gz RTCUWZXFHVWHFU-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)COC ZINC001455810336 1009981038 /nfs/dbraw/zinc/98/10/38/1009981038.db2.gz RTCUWZXFHVWHFU-VIFPVBQESA-N 0 2 302.268 0.888 20 0 DCADLN CCNC(=O)C[N@H+](CCNC(=O)C(C)(C)c1c[nH]cn1)C1CC1 ZINC001443047278 1010089220 /nfs/dbraw/zinc/08/92/20/1010089220.db2.gz UINWQDKNZHVWRR-UHFFFAOYSA-N 0 2 321.425 0.404 20 0 DCADLN Cc1cncc(S(=O)(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001434573314 1010107583 /nfs/dbraw/zinc/10/75/83/1010107583.db2.gz RXGMFXBRSYLHJH-SNVBAGLBSA-N 0 2 323.378 0.782 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cncn1C ZINC001508898938 1017093582 /nfs/dbraw/zinc/09/35/82/1017093582.db2.gz IPJHPNBHHPQTBJ-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cncn1C ZINC001508898938 1017093589 /nfs/dbraw/zinc/09/35/89/1017093589.db2.gz IPJHPNBHHPQTBJ-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1)[NH+](C)C ZINC001443773431 1010644701 /nfs/dbraw/zinc/64/47/01/1010644701.db2.gz ZOGHFXOHBNPDPA-CFVMTHIKSA-N 0 2 324.469 0.432 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)F)CC1 ZINC001422828244 1010651814 /nfs/dbraw/zinc/65/18/14/1010651814.db2.gz LGYBJNATHWBOOX-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)F)CC1 ZINC001422828244 1010651820 /nfs/dbraw/zinc/65/18/20/1010651820.db2.gz LGYBJNATHWBOOX-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001443844551 1010697079 /nfs/dbraw/zinc/69/70/79/1010697079.db2.gz KELTXBQYJUEHQB-WPRPVWTQSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001443844551 1010697081 /nfs/dbraw/zinc/69/70/81/1010697081.db2.gz KELTXBQYJUEHQB-WPRPVWTQSA-N 0 2 324.278 0.747 20 0 DCADLN CCc1noc(C[NH2+][C@H](CC)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001443918912 1010746645 /nfs/dbraw/zinc/74/66/45/1010746645.db2.gz GVPNMARIXCNTHY-SECBINFHSA-N 0 2 322.369 0.667 20 0 DCADLN Cc1cnoc1C(=O)NC[C@H](CO)NCc1nnc(C)s1 ZINC001423282817 1011045013 /nfs/dbraw/zinc/04/50/13/1011045013.db2.gz KGTGANSANPJWRV-SECBINFHSA-N 0 2 311.367 0.023 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@H]1C ZINC001423380851 1011113100 /nfs/dbraw/zinc/11/31/00/1011113100.db2.gz RYFQXBBXUOFZQP-NWDGAFQWSA-N 0 2 323.397 0.739 20 0 DCADLN COCC1(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC1 ZINC001445523583 1011876520 /nfs/dbraw/zinc/87/65/20/1011876520.db2.gz KEHDAZFXSWWMQK-UHFFFAOYSA-N 0 2 304.306 0.175 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001457798582 1011879221 /nfs/dbraw/zinc/87/92/21/1011879221.db2.gz TUDKNENYIKIZOX-ZETCQYMHSA-N 0 2 311.239 0.236 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001457798582 1011879239 /nfs/dbraw/zinc/87/92/39/1011879239.db2.gz TUDKNENYIKIZOX-ZETCQYMHSA-N 0 2 311.239 0.236 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)NC[C@H]2CCCO2)n[nH]1 ZINC001553342468 1011903346 /nfs/dbraw/zinc/90/33/46/1011903346.db2.gz GTGUFWXXSSXNJH-JHJVBQTASA-N 0 2 323.397 0.806 20 0 DCADLN CC[C@H](C)[C@H](NC(C)=O)C(=O)NCCc1n[nH]c(=S)o1 ZINC001556283941 1012194026 /nfs/dbraw/zinc/19/40/26/1012194026.db2.gz LISISWUYLTUAQN-XVKPBYJWSA-N 0 2 300.384 0.568 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)N(C)C(=O)C(F)C(F)(F)F ZINC001424276493 1012285444 /nfs/dbraw/zinc/28/54/44/1012285444.db2.gz JCYOGICKAABJRY-YUMQZZPRSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001424276493 1012285456 /nfs/dbraw/zinc/28/54/56/1012285456.db2.gz JCYOGICKAABJRY-YUMQZZPRSA-N 0 2 322.262 0.954 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001458733454 1012329575 /nfs/dbraw/zinc/32/95/75/1012329575.db2.gz WICFTECVXDNJGE-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001458733454 1012329584 /nfs/dbraw/zinc/32/95/84/1012329584.db2.gz WICFTECVXDNJGE-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC001558940661 1012395350 /nfs/dbraw/zinc/39/53/50/1012395350.db2.gz DUMIOMLTHLZQDU-VHSXEESVSA-N 0 2 310.354 0.539 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432378551 1012400052 /nfs/dbraw/zinc/40/00/52/1012400052.db2.gz YLQFRIWTJJQLOT-CBAPKCEASA-N 0 2 314.279 0.981 20 0 DCADLN CCO[C@@H](C)C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432378551 1012400064 /nfs/dbraw/zinc/40/00/64/1012400064.db2.gz YLQFRIWTJJQLOT-CBAPKCEASA-N 0 2 314.279 0.981 20 0 DCADLN COCCC(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001458828502 1012400112 /nfs/dbraw/zinc/40/01/12/1012400112.db2.gz CIJWQLOFCMYWCW-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN COCCC(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001458828502 1012400121 /nfs/dbraw/zinc/40/01/21/1012400121.db2.gz CIJWQLOFCMYWCW-MRVPVSSYSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(C=Cc1ccco1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001565499540 1012551474 /nfs/dbraw/zinc/55/14/74/1012551474.db2.gz DIPMLMOJJWNCHK-ARJAWSKDSA-N 0 2 303.322 0.461 20 0 DCADLN O=C(c1ccc(F)nc1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001565500561 1012554099 /nfs/dbraw/zinc/55/40/99/1012554099.db2.gz MIZHRKZAPYVNPC-UHFFFAOYSA-N 0 2 306.301 0.002 20 0 DCADLN COCC(=O)N1CC[C@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001459444037 1012728052 /nfs/dbraw/zinc/72/80/52/1012728052.db2.gz GLIUSWZMVBWAQD-MWLCHTKSSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CC[C@](C)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001459444037 1012728068 /nfs/dbraw/zinc/72/80/68/1012728068.db2.gz GLIUSWZMVBWAQD-MWLCHTKSSA-N 0 2 314.279 0.888 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccc(Cl)nc1 ZINC001459683463 1012818788 /nfs/dbraw/zinc/81/87/88/1012818788.db2.gz WNQBLSUVCIBVJP-UHFFFAOYSA-N 0 2 318.724 0.783 20 0 DCADLN Cc1nc([C@H]2CCC[N@@H+](CCN3C(=O)N[C@@H](C)C3=O)C2)n[nH]1 ZINC001459843601 1012828195 /nfs/dbraw/zinc/82/81/95/1012828195.db2.gz XCZQDMMEGUQMQC-ONGXEEELSA-N 0 2 306.370 0.233 20 0 DCADLN Cc1nc([C@H]2CCC[N@H+](CCN3C(=O)N[C@@H](C)C3=O)C2)n[nH]1 ZINC001459843601 1012828215 /nfs/dbraw/zinc/82/82/15/1012828215.db2.gz XCZQDMMEGUQMQC-ONGXEEELSA-N 0 2 306.370 0.233 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cocn2)C1)C(F)C(F)(F)F ZINC001429838937 1012844283 /nfs/dbraw/zinc/84/42/83/1012844283.db2.gz NDRUBXIXNHEQTL-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cocn2)C1)[C@@H](F)C(F)(F)F ZINC001429838937 1012844302 /nfs/dbraw/zinc/84/43/02/1012844302.db2.gz NDRUBXIXNHEQTL-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN CC(=O)Nc1cc(S(=O)(=O)Nc2nnn(C)n2)ccc1F ZINC001475644061 1017129455 /nfs/dbraw/zinc/12/94/55/1017129455.db2.gz ALZYSVBZAJYOEW-UHFFFAOYSA-N 0 2 314.302 0.108 20 0 DCADLN CNC(=O)NCCCOC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001517224772 1017164576 /nfs/dbraw/zinc/16/45/76/1017164576.db2.gz VHDZQVLKJBRIFX-UHFFFAOYSA-N 0 2 313.276 0.306 20 0 DCADLN CC1(CNC(=O)c2cn[nH]n2)CCN(C(=O)c2ccn[nH]2)CC1 ZINC001506664010 1017447847 /nfs/dbraw/zinc/44/78/47/1017447847.db2.gz HJZWKCUUTHNWJW-UHFFFAOYSA-N 0 2 317.353 0.200 20 0 DCADLN CC1(CNC(=O)c2cnn[nH]2)CCN(C(=O)c2cc[nH]n2)CC1 ZINC001506664010 1017447860 /nfs/dbraw/zinc/44/78/60/1017447860.db2.gz HJZWKCUUTHNWJW-UHFFFAOYSA-N 0 2 317.353 0.200 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001509870053 1017454857 /nfs/dbraw/zinc/45/48/57/1017454857.db2.gz CWZOTXFWKDGZCM-ZKWXMUAHSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001509870053 1017454861 /nfs/dbraw/zinc/45/48/61/1017454861.db2.gz CWZOTXFWKDGZCM-ZKWXMUAHSA-N 0 2 322.262 0.935 20 0 DCADLN COCCCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001522433227 1017500803 /nfs/dbraw/zinc/50/08/03/1017500803.db2.gz HRAFUIOUAHFNJF-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN COCCCC(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001522433227 1017500812 /nfs/dbraw/zinc/50/08/12/1017500812.db2.gz HRAFUIOUAHFNJF-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN CCc1nnc(C[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001479767269 1017719012 /nfs/dbraw/zinc/71/90/12/1017719012.db2.gz ZUTIZALNYMEKAM-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN CCc1nnc(C[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001479767269 1017719027 /nfs/dbraw/zinc/71/90/27/1017719027.db2.gz ZUTIZALNYMEKAM-UHFFFAOYSA-N 0 2 322.369 0.621 20 0 DCADLN Cc1[nH]nc(C(=O)NCCN2CC[NH+](CC(C)C)CC2)c1[O-] ZINC001479930081 1017992110 /nfs/dbraw/zinc/99/21/10/1017992110.db2.gz DZGICBYQKPHMOR-UHFFFAOYSA-N 0 2 309.414 0.427 20 0 DCADLN O=C(Cc1ccoc1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480317460 1018424270 /nfs/dbraw/zinc/42/42/70/1018424270.db2.gz WBEAKUCZJJDSQD-GFCCVEGCSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(Cc1ccoc1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001480317460 1018424276 /nfs/dbraw/zinc/42/42/76/1018424276.db2.gz WBEAKUCZJJDSQD-GFCCVEGCSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001495276780 1018439222 /nfs/dbraw/zinc/43/92/22/1018439222.db2.gz QTWFJQIIYCIHRH-HTRCEHHLSA-N 0 2 324.234 0.548 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cnon2)C1)[C@@H](F)C(F)(F)F ZINC001495276780 1018439228 /nfs/dbraw/zinc/43/92/28/1018439228.db2.gz QTWFJQIIYCIHRH-HTRCEHHLSA-N 0 2 324.234 0.548 20 0 DCADLN Cc1nc[nH]c1CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001498222716 1018770226 /nfs/dbraw/zinc/77/02/26/1018770226.db2.gz IJWFKTUCPNERDS-JTQLQIEISA-N 0 2 322.262 0.488 20 0 DCADLN CN(CCN(C)C(=O)[C@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001501059180 1018848801 /nfs/dbraw/zinc/84/88/01/1018848801.db2.gz BVVLQDUTGYXMII-IUCAKERBSA-N 0 2 314.279 0.840 20 0 DCADLN CN(CCN(C)C(=O)[C@H]1CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001501059180 1018848824 /nfs/dbraw/zinc/84/88/24/1018848824.db2.gz BVVLQDUTGYXMII-IUCAKERBSA-N 0 2 314.279 0.840 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCOCC1 ZINC001498267294 1018871803 /nfs/dbraw/zinc/87/18/03/1018871803.db2.gz WWLIKPDUDXHVSY-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCOCC1 ZINC001498267294 1018871824 /nfs/dbraw/zinc/87/18/24/1018871824.db2.gz WWLIKPDUDXHVSY-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001498342275 1018977181 /nfs/dbraw/zinc/97/71/81/1018977181.db2.gz HVPYFUSFOQAUNL-USWWRNFRSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001498342275 1018977200 /nfs/dbraw/zinc/97/72/00/1018977200.db2.gz HVPYFUSFOQAUNL-USWWRNFRSA-N 0 2 307.398 0.883 20 0 DCADLN CC[N@H+](CCNC(=O)CCc1cc(C)on1)Cc1n[nH]c(=O)[n-]1 ZINC001493022720 1019012536 /nfs/dbraw/zinc/01/25/36/1019012536.db2.gz QBCFFXSYBRTQST-UHFFFAOYSA-N 0 2 322.369 0.378 20 0 DCADLN CC[N@@H+](CCNC(=O)CCc1cc(C)on1)Cc1n[nH]c(=O)[n-]1 ZINC001493022720 1019012542 /nfs/dbraw/zinc/01/25/42/1019012542.db2.gz QBCFFXSYBRTQST-UHFFFAOYSA-N 0 2 322.369 0.378 20 0 DCADLN CCc1c(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001498380877 1019029940 /nfs/dbraw/zinc/02/99/40/1019029940.db2.gz KYGZGTCPDOXDCQ-SNVBAGLBSA-N 0 2 320.397 0.662 20 0 DCADLN CCc1c(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001498380877 1019029953 /nfs/dbraw/zinc/02/99/53/1019029953.db2.gz KYGZGTCPDOXDCQ-SNVBAGLBSA-N 0 2 320.397 0.662 20 0 DCADLN CCn1cnc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001493435789 1019418650 /nfs/dbraw/zinc/41/86/50/1019418650.db2.gz LQSVDULGNPOOMT-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cnc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001493435789 1019418663 /nfs/dbraw/zinc/41/86/63/1019418663.db2.gz LQSVDULGNPOOMT-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN O=C(Cc1ncc[nH]1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001501888172 1019539753 /nfs/dbraw/zinc/53/97/53/1019539753.db2.gz MIZHQLAZHYVUIJ-JWXWKVPASA-N 0 2 322.262 0.641 20 0 DCADLN CCN(CCNC(=O)c1cncnc1)C(=O)C(F)C(F)(F)F ZINC001496724816 1019579729 /nfs/dbraw/zinc/57/97/29/1019579729.db2.gz LIGVVEXKDXZHSK-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1cncnc1)C(=O)[C@@H](F)C(F)(F)F ZINC001496724816 1019579745 /nfs/dbraw/zinc/57/97/45/1019579745.db2.gz LIGVVEXKDXZHSK-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cnoc1C1CC1 ZINC001496814796 1019663426 /nfs/dbraw/zinc/66/34/26/1019663426.db2.gz QHVOEOPKMDUTLU-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cnoc1C1CC1 ZINC001496814796 1019663440 /nfs/dbraw/zinc/66/34/40/1019663440.db2.gz QHVOEOPKMDUTLU-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCCOC1 ZINC001499089727 1019725455 /nfs/dbraw/zinc/72/54/55/1019725455.db2.gz LZXYXPVBJLZERM-HZMBPMFUSA-N 0 2 309.370 0.018 20 0 DCADLN CO[C@@H](C)CCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001493912633 1019811196 /nfs/dbraw/zinc/81/11/96/1019811196.db2.gz BGEDJNUPSFJEPA-SDDRHHMPSA-N 0 2 323.397 0.501 20 0 DCADLN CNS(=O)(=O)[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001606298709 1170154209 /nfs/dbraw/zinc/15/42/09/1170154209.db2.gz DEGNPFDWGQDOSW-LLVKDONJSA-N 0 2 316.354 0.647 20 0 DCADLN CNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001606298709 1170154212 /nfs/dbraw/zinc/15/42/12/1170154212.db2.gz DEGNPFDWGQDOSW-LLVKDONJSA-N 0 2 316.354 0.647 20 0 DCADLN CNS(=O)(=O)CC[N@@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001606303226 1170155182 /nfs/dbraw/zinc/15/51/82/1170155182.db2.gz XGUCAXVWJKLUOX-UHFFFAOYSA-N 0 2 314.363 0.128 20 0 DCADLN CNS(=O)(=O)CC[N@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001606303226 1170155193 /nfs/dbraw/zinc/15/51/93/1170155193.db2.gz XGUCAXVWJKLUOX-UHFFFAOYSA-N 0 2 314.363 0.128 20 0 DCADLN [NH3+][C@H](CC(=O)N1CC[C@H](C(=O)[O-])C[C@@H]1[C@@H]1CCCO1)C(F)F ZINC001608247466 1170630183 /nfs/dbraw/zinc/63/01/83/1170630183.db2.gz HJAFHCMKTAYDTJ-ZDCRXTMVSA-N 0 2 320.336 0.840 20 0 DCADLN O=C([O-])[C@@H](CC(F)F)NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC001610715355 1171297876 /nfs/dbraw/zinc/29/78/76/1171297876.db2.gz JYDZGIKHMPWXEP-MNOVXSKESA-N 0 2 320.336 0.854 20 0 DCADLN O=C([O-])[C@@H](CC(F)F)NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC001610715355 1171297881 /nfs/dbraw/zinc/29/78/81/1171297881.db2.gz JYDZGIKHMPWXEP-MNOVXSKESA-N 0 2 320.336 0.854 20 0 DCADLN O=C(c1ccc(F)cc1F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000092618453 185329427 /nfs/dbraw/zinc/32/94/27/185329427.db2.gz IRVIJIZWEQIACL-UHFFFAOYSA-N 0 2 323.303 0.334 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccccc1 ZINC000092617046 185329460 /nfs/dbraw/zinc/32/94/60/185329460.db2.gz WVOTUBDHLYAVLF-UHFFFAOYSA-N 0 2 301.350 0.492 20 0 DCADLN Cc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cc1F ZINC000092617600 185329527 /nfs/dbraw/zinc/32/95/27/185329527.db2.gz VCBMMPTWAJMBLX-UHFFFAOYSA-N 0 2 319.340 0.504 20 0 DCADLN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1ccc2nn[nH]c2c1 ZINC000272684356 210088633 /nfs/dbraw/zinc/08/86/33/210088633.db2.gz SNPIKDRMLSBYMS-JVXZTZIISA-N 0 2 303.322 0.172 20 0 DCADLN CO[C@H](CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)(C)C ZINC000174767547 198381375 /nfs/dbraw/zinc/38/13/75/198381375.db2.gz YCKVGAGRKLPNRB-SNVBAGLBSA-N 0 2 320.349 0.402 20 0 DCADLN Cc1c(S(=O)(=O)Nc2cccc3c2CNC3=O)cnn1C ZINC000427298722 240357749 /nfs/dbraw/zinc/35/77/49/240357749.db2.gz FYCCVNKOUQHBAG-UHFFFAOYSA-N 0 2 306.347 0.773 20 0 DCADLN C[C@@]1(C(=O)N2CCN(C(=O)c3ccco3)CC2)CCC(=O)NC1 ZINC000281091874 216073740 /nfs/dbraw/zinc/07/37/40/216073740.db2.gz VKKMSNHRHQUSLT-MRXNPFEDSA-N 0 2 319.361 0.480 20 0 DCADLN Cc1nc(C)c(S(=O)(=O)NC[C@@H](CO)[C@@H]2CCOC2)s1 ZINC000281141011 216106038 /nfs/dbraw/zinc/10/60/38/216106038.db2.gz ZOHTWSRHPWMAGH-MNOVXSKESA-N 0 2 320.436 0.683 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000566297600 291292099 /nfs/dbraw/zinc/29/20/99/291292099.db2.gz JVNUYFDABDJXLG-XQQFMLRXSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000566297600 291292103 /nfs/dbraw/zinc/29/21/03/291292103.db2.gz JVNUYFDABDJXLG-XQQFMLRXSA-N 0 2 320.393 0.985 20 0 DCADLN CCNC(=O)c1cccc(-n2c(O)c(C(=O)OCC)[nH]c2=O)c1 ZINC000566541789 291311656 /nfs/dbraw/zinc/31/16/56/291311656.db2.gz MQBQIJQSXPGEBG-NSHDSACASA-N 0 2 319.317 0.424 20 0 DCADLN CC(C)(CCNC(=O)c1ccc(-n2cc[nH+]c2)nn1)C(=O)[O-] ZINC000567041715 291333403 /nfs/dbraw/zinc/33/34/03/291333403.db2.gz AITOGTVZYGPLLW-UHFFFAOYSA-N 0 2 303.322 0.893 20 0 DCADLN C[C@H](NS(=O)(=O)C[C@H]1CCCS(=O)(=O)C1)C(F)(F)F ZINC000425187581 240304641 /nfs/dbraw/zinc/30/46/41/240304641.db2.gz FSMSSWCNAGSAHH-YUMQZZPRSA-N 0 2 323.358 0.681 20 0 DCADLN O=C(NC[C@H]1CCCS(=O)(=O)C1)c1cccc2[nH]nnc21 ZINC000567325130 291348506 /nfs/dbraw/zinc/34/85/06/291348506.db2.gz QAFQCCSKULQCLM-SECBINFHSA-N 0 2 308.363 0.513 20 0 DCADLN C[C@H](C[C@@H](O)c1ccccc1)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274991910 212061710 /nfs/dbraw/zinc/06/17/10/212061710.db2.gz RTFKMSPQSCZPFB-MWLCHTKSSA-N 0 2 322.390 0.819 20 0 DCADLN NC(=O)[C@@H]1CCC[C@@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000571645814 291525350 /nfs/dbraw/zinc/52/53/50/291525350.db2.gz VAHQVGVZNLGFMR-GMTAPVOTSA-N 0 2 321.381 0.508 20 0 DCADLN O=C(CN1CCCC1=O)N[C@@H](CO)C[C@@H](O)c1ccccc1 ZINC000271679349 209121207 /nfs/dbraw/zinc/12/12/07/209121207.db2.gz QCVSXRLOMJXNEI-ZIAGYGMSSA-N 0 2 306.362 0.210 20 0 DCADLN CCN(C[C@H]1COc2ccccc2O1)C(=O)C[N@H+](C)CC(=O)[O-] ZINC000262667383 285090949 /nfs/dbraw/zinc/09/09/49/285090949.db2.gz PZDSLTXCIVXVDZ-LBPRGKRZSA-N 0 2 322.361 0.691 20 0 DCADLN CCN(C[C@H]1COc2ccccc2O1)C(=O)C[N@@H+](C)CC(=O)[O-] ZINC000262667383 285090956 /nfs/dbraw/zinc/09/09/56/285090956.db2.gz PZDSLTXCIVXVDZ-LBPRGKRZSA-N 0 2 322.361 0.691 20 0 DCADLN Cc1nn2c(nc(C)cc2C)c1C(=O)NN1CCCNC1=O ZINC000273092073 210470813 /nfs/dbraw/zinc/47/08/13/210470813.db2.gz OCTBJRPBFQHCKA-UHFFFAOYSA-N 0 2 302.338 0.715 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ncc(C(N)=O)s2)cn1 ZINC000340040564 253189879 /nfs/dbraw/zinc/18/98/79/253189879.db2.gz JJFUEUFJXQWYAH-UHFFFAOYSA-N 0 2 301.353 0.259 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2ccc(-n3cncn3)nc2)n1 ZINC000340077229 253197259 /nfs/dbraw/zinc/19/72/59/253197259.db2.gz TYWJYLZOHWEJHC-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc([C@H]3CCCO3)nn2C)c1O ZINC000340792020 253304064 /nfs/dbraw/zinc/30/40/64/253304064.db2.gz ISISMNGHEUKUSX-KOLCDFICSA-N 0 2 322.321 0.260 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cc(C(N)=O)ccc1C ZINC000340855282 253314583 /nfs/dbraw/zinc/31/45/83/253314583.db2.gz SZHIZQMFTBLVEE-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN CCC(O)(CC)CCNC(=O)C(=O)NCCCc1cnn(C)c1 ZINC000288649502 220320865 /nfs/dbraw/zinc/32/08/65/220320865.db2.gz ZROHCJDDURZKLQ-UHFFFAOYSA-N 0 2 324.425 0.526 20 0 DCADLN C[C@@](NC(=O)C[C@@H]1CCCC(=O)N1)(C(N)=O)c1ccccc1 ZINC000288648542 220322130 /nfs/dbraw/zinc/32/21/30/220322130.db2.gz UIIZXJSAUNMVSL-LRDDRELGSA-N 0 2 303.362 0.562 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccn(-c3ccccc3)n2)c1O ZINC000348590567 254246471 /nfs/dbraw/zinc/24/64/71/254246471.db2.gz ULWVNGIPKPPTNG-GFCCVEGCSA-N 0 2 314.301 0.860 20 0 DCADLN Cc1[nH+]ccn1CCC[N@H+]1CCC[C@H](N2CC[NH2+]CC2=O)C1 ZINC000348756099 254251976 /nfs/dbraw/zinc/25/19/76/254251976.db2.gz LXZOSHGALQXLKO-HNNXBMFYSA-N 0 2 305.426 0.478 20 0 DCADLN O=C(NC[C@H]1CC[C@H](CO)O1)C1=NN(c2ccccc2)CC1=O ZINC000349670367 254292205 /nfs/dbraw/zinc/29/22/05/254292205.db2.gz RNMDHZIXNDSXON-CHWSQXEVSA-N 0 2 317.345 0.848 20 0 DCADLN Cn1cc(NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)ccc1=O ZINC000348882392 254262688 /nfs/dbraw/zinc/26/26/88/254262688.db2.gz TWWYSKGXMRKZDB-UHFFFAOYSA-N 0 2 312.285 0.981 20 0 DCADLN C[C@H]1C[C@@H](O)CN1C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000350866429 254328174 /nfs/dbraw/zinc/32/81/74/254328174.db2.gz QVIJGMAQRWBMBI-JGVFFNPUSA-N 0 2 312.395 0.178 20 0 DCADLN NS(=O)(=O)c1cccc(NS(=O)(=O)c2ccoc2)c1 ZINC000349944476 254305717 /nfs/dbraw/zinc/30/57/17/254305717.db2.gz GYXQDYKZXGXLBP-UHFFFAOYSA-N 0 2 302.333 0.728 20 0 DCADLN CO[C@@H]1CC[C@@H](NC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC000351688887 254358864 /nfs/dbraw/zinc/35/88/64/254358864.db2.gz ZVEHNLMCDIJOTI-GHMZBOCLSA-N 0 2 304.350 0.557 20 0 DCADLN COC[C@@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(=O)OC ZINC000351785288 254367993 /nfs/dbraw/zinc/36/79/93/254367993.db2.gz GNPCKXDLHJJHMY-LLVKDONJSA-N 0 2 319.317 0.496 20 0 DCADLN Cc1ccc(NC(=O)C(N)C(F)(F)F)cc1S(N)(=O)=O ZINC000353157189 254446083 /nfs/dbraw/zinc/44/60/83/254446083.db2.gz BMWJONYUSXRAAS-QMMMGPOBSA-N 0 2 311.285 0.471 20 0 DCADLN Cc1ccc(NC(=O)[C@H](N)C(F)(F)F)cc1S(N)(=O)=O ZINC000353157189 254446084 /nfs/dbraw/zinc/44/60/84/254446084.db2.gz BMWJONYUSXRAAS-QMMMGPOBSA-N 0 2 311.285 0.471 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cnc2ccccc2n1 ZINC000285309361 131157326 /nfs/dbraw/zinc/15/73/26/131157326.db2.gz RZTHBSYZGODOHG-SECBINFHSA-N 0 2 308.363 0.848 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NCC(=O)NC)=N1 ZINC000084382485 395697102 /nfs/dbraw/zinc/69/71/02/395697102.db2.gz KOPPFXUAJRWVJK-UHFFFAOYSA-N 0 2 302.334 0.616 20 0 DCADLN O=C(N[C@H]1CCN(c2ccc(F)cc2F)C1)c1nc(=O)[nH][nH]1 ZINC000106923005 395784663 /nfs/dbraw/zinc/78/46/63/395784663.db2.gz OCAHFOSMJZFFNY-QMMMGPOBSA-N 0 2 309.276 0.385 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)CN1CCOCC1 ZINC000270778512 395807454 /nfs/dbraw/zinc/80/74/54/395807454.db2.gz SIJQJQLLAGDIAK-UHFFFAOYSA-N 0 2 322.390 0.688 20 0 DCADLN NC(=O)CS(=O)(=O)c1n[nH]c(-c2ccc(Cl)cc2)n1 ZINC000195112593 395817060 /nfs/dbraw/zinc/81/70/60/395817060.db2.gz UGISQHFFWGCDCK-UHFFFAOYSA-N 0 2 300.727 0.384 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCS[C@@H]2COCC[C@@H]21 ZINC000195425406 395826356 /nfs/dbraw/zinc/82/63/56/395826356.db2.gz WZSKDSNUGWALPK-JGVFFNPUSA-N 0 2 320.358 0.589 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)C(=O)N(C)CC3)cn1 ZINC000122822312 395889656 /nfs/dbraw/zinc/88/96/56/395889656.db2.gz CPAKWSYBYYUOKF-UHFFFAOYSA-N 0 2 320.374 0.849 20 0 DCADLN COC(=O)[C@H]1CCC[C@H]1S(=O)(=O)NCc1cn[nH]c1C ZINC000234620056 395890345 /nfs/dbraw/zinc/89/03/45/395890345.db2.gz UGYQZOUOMXYAAR-WDEREUQCSA-N 0 2 301.368 0.479 20 0 DCADLN O=C(NC[C@@H]1CCN(c2ccc(F)c(F)c2)C1)c1nc(=O)[nH][nH]1 ZINC000123507492 395895057 /nfs/dbraw/zinc/89/50/57/395895057.db2.gz XMQMANZXPZYNGK-QMMMGPOBSA-N 0 2 323.303 0.633 20 0 DCADLN CO[C@@H]1C[C@H](C(=O)[O-])N(C(=O)Cc2cn3ccccc3[nH+]2)C1 ZINC000237141218 395903739 /nfs/dbraw/zinc/90/37/39/395903739.db2.gz BBBLKSLLJHJCFX-VXGBXAGGSA-N 0 2 303.318 0.577 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[S@@](C)=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000273256419 395910243 /nfs/dbraw/zinc/91/02/43/395910243.db2.gz YRXHCVHSNRVBBN-CGQWYARDSA-N 0 2 324.362 0.241 20 0 DCADLN CCS(=O)(=O)CCS(=O)(=O)c1nc2ccccc2[nH]1 ZINC000118589592 395863095 /nfs/dbraw/zinc/86/30/95/395863095.db2.gz YHYDCGWHZYGVIN-UHFFFAOYSA-N 0 2 302.377 0.771 20 0 DCADLN CN(C[C@H](O)CO)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000273662365 395936355 /nfs/dbraw/zinc/93/63/55/395936355.db2.gz CKRMYRIXLPATPW-NSHDSACASA-N 0 2 309.297 0.142 20 0 DCADLN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC000275602812 395985734 /nfs/dbraw/zinc/98/57/34/395985734.db2.gz PVHFMENHNAGLCW-MNOVXSKESA-N 0 2 315.272 0.809 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CCN(c2ncnc3[nH]cnc32)CC1 ZINC000151630371 395989670 /nfs/dbraw/zinc/98/96/70/395989670.db2.gz IJBVAOPVWOXPEU-LLVKDONJSA-N 0 2 315.381 0.096 20 0 DCADLN COCCN1C[C@@H](C(=O)Nc2ccc3nn[nH]c3c2)CC1=O ZINC000154080160 396030446 /nfs/dbraw/zinc/03/04/46/396030446.db2.gz ADASWEPJTWZAJY-VIFPVBQESA-N 0 2 303.322 0.391 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCc2n[nH]cc2C1 ZINC000277074843 396048231 /nfs/dbraw/zinc/04/82/31/396048231.db2.gz LNYQFJYHHNNXQE-UHFFFAOYSA-N 0 2 304.272 0.752 20 0 DCADLN COC(=O)[C@@H]1C[C@H]1C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000171203528 396110731 /nfs/dbraw/zinc/11/07/31/396110731.db2.gz DJIJMTXZBLYMCH-HTQZYQBOSA-N 0 2 321.358 0.752 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(OC)c(OC)c2)c1O ZINC000278233984 396114097 /nfs/dbraw/zinc/11/40/97/396114097.db2.gz YOHIOSHAYAQVQB-NSHDSACASA-N 0 2 308.290 0.692 20 0 DCADLN CCCNC(=O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000176970005 396163764 /nfs/dbraw/zinc/16/37/64/396163764.db2.gz CPLNVFRJFFFXQX-UHFFFAOYSA-N 0 2 320.324 0.973 20 0 DCADLN COC(=O)c1sc(NS(=O)(=O)c2ccnn2C)nc1C ZINC000263857985 396237811 /nfs/dbraw/zinc/23/78/11/396237811.db2.gz URRVKBFXNFOACI-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN C[C@@H]1CCSCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000190099027 396401993 /nfs/dbraw/zinc/40/19/93/396401993.db2.gz QBIHGBXEMMXYGL-MRVPVSSYSA-N 0 2 320.374 0.579 20 0 DCADLN O=C1NCCc2ccc(S(=O)(=O)Nc3cccnn3)cc21 ZINC000191043398 396414636 /nfs/dbraw/zinc/41/46/36/396414636.db2.gz NEMRAQQMSPGXML-UHFFFAOYSA-N 0 2 304.331 0.563 20 0 DCADLN CC1=C(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCCO1 ZINC000270090536 396461081 /nfs/dbraw/zinc/46/10/81/396461081.db2.gz OOIFXKUXWLKJNU-UHFFFAOYSA-N 0 2 318.380 0.933 20 0 DCADLN COC(=O)CCCONC(=O)CN1Cc2ccccc2C1=O ZINC000290803785 396472721 /nfs/dbraw/zinc/47/27/21/396472721.db2.gz YKAUSSORGCOQMA-UHFFFAOYSA-N 0 2 306.318 0.643 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cccc3c2OCCO3)nn1C ZINC000291913064 396519274 /nfs/dbraw/zinc/51/92/74/396519274.db2.gz AHDSMSVAAPFMIB-UHFFFAOYSA-N 0 2 310.335 0.696 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2nc(-c3ccoc3)no2)[C@@H](C[NH3+])C1 ZINC000581189655 396532912 /nfs/dbraw/zinc/53/29/12/396532912.db2.gz BRJRDXWDXGLQQJ-LBPRGKRZSA-N 0 2 319.365 0.364 20 0 DCADLN CO[C@H]1COC[C@@H]1NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000292465023 396551415 /nfs/dbraw/zinc/55/14/15/396551415.db2.gz HBHMQBLRCWQBRF-AAEUAGOBSA-N 0 2 321.308 0.861 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccn(C)n2)ccc1-n1cnnn1 ZINC000589429586 396714017 /nfs/dbraw/zinc/71/40/17/396714017.db2.gz DPMQQUJWTNMOAQ-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN CCC(CC)(CO)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000563374139 396724804 /nfs/dbraw/zinc/72/48/04/396724804.db2.gz NPHZDFPGOMATQT-UHFFFAOYSA-N 0 2 322.412 0.647 20 0 DCADLN CC(C)[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)C1(CO)CCC1 ZINC000563402373 396728743 /nfs/dbraw/zinc/72/87/43/396728743.db2.gz OKDAZLMLKFDMKF-SNVBAGLBSA-N 0 2 314.411 0.906 20 0 DCADLN C[C@H](C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1)S(C)(=O)=O ZINC000589973369 396731687 /nfs/dbraw/zinc/73/16/87/396731687.db2.gz ZWLPXELFFAJAQB-SSDOTTSWSA-N 0 2 311.319 0.402 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000312040032 396763038 /nfs/dbraw/zinc/76/30/38/396763038.db2.gz QQRHSUYMTWIXIF-JSGCOSHPSA-N 0 2 306.362 0.724 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000312040032 396763042 /nfs/dbraw/zinc/76/30/42/396763042.db2.gz QQRHSUYMTWIXIF-JSGCOSHPSA-N 0 2 306.362 0.724 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@@H]2CC(=O)N(C3CC3)C2)s[nH]1 ZINC000634154088 396797819 /nfs/dbraw/zinc/79/78/19/396797819.db2.gz YZJBCQNEBHFZOR-MRVPVSSYSA-N 0 2 324.406 0.791 20 0 DCADLN CCOC[C@H]1C[N@@H+]([C@@H]2CCCCN(CC(=O)[O-])C2=O)CCO1 ZINC000629503871 396773677 /nfs/dbraw/zinc/77/36/77/396773677.db2.gz QZGSFVVCUIIMFR-CHWSQXEVSA-N 0 2 314.382 0.189 20 0 DCADLN CCOC[C@H]1C[N@H+]([C@@H]2CCCCN(CC(=O)[O-])C2=O)CCO1 ZINC000629503871 396773679 /nfs/dbraw/zinc/77/36/79/396773679.db2.gz QZGSFVVCUIIMFR-CHWSQXEVSA-N 0 2 314.382 0.189 20 0 DCADLN COC(=O)C[C@@H](O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000600458231 396849721 /nfs/dbraw/zinc/84/97/21/396849721.db2.gz YJQKJDZZADFUPB-LLVKDONJSA-N 0 2 319.317 0.232 20 0 DCADLN COc1ccc(-c2cc(=O)n([C@@H]3CCS(=O)(=O)C3)[nH]2)cc1 ZINC000634584861 396888245 /nfs/dbraw/zinc/88/82/45/396888245.db2.gz PMSLJCPWTBGQRD-LLVKDONJSA-N 0 2 308.359 0.819 20 0 DCADLN CC(=O)N[C@H]1C(C)=NN(c2cccc(S(C)(=O)=O)c2)C1=O ZINC000634607748 396890685 /nfs/dbraw/zinc/89/06/85/396890685.db2.gz HCBNJFCGZWZPDT-LBPRGKRZSA-N 0 2 309.347 0.317 20 0 DCADLN C[C@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)[C@@H]1CCO ZINC000618018399 396892765 /nfs/dbraw/zinc/89/27/65/396892765.db2.gz HKKAXQFQDHREMO-DTWKUNHWSA-N 0 2 300.384 0.612 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@]1(C2CCCC2)CCNC1=O ZINC000629968064 396898317 /nfs/dbraw/zinc/89/83/17/396898317.db2.gz ZUJWHXGLLGTSSU-HNNXBMFYSA-N 0 2 321.381 0.256 20 0 DCADLN COC(=O)[C@H](C)N(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000600836083 396955191 /nfs/dbraw/zinc/95/51/91/396955191.db2.gz ZHLMUKLSGGFDCK-QMMMGPOBSA-N 0 2 305.290 0.663 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000571759547 396983932 /nfs/dbraw/zinc/98/39/32/396983932.db2.gz WTBWSVAYQBCCLQ-YNEHKIRRSA-N 0 2 313.398 0.459 20 0 DCADLN Cc1cc(C)[nH+]c(S([O-])=CC(=O)N[C@H](C)C(=O)N(C)C)c1 ZINC000618534101 396990278 /nfs/dbraw/zinc/99/02/78/396990278.db2.gz OPKNVNKJUQVPIU-WSVYEEACSA-N 0 2 311.407 0.399 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCNC(=O)C[C@@H]2C)c1 ZINC000591767315 397000554 /nfs/dbraw/zinc/00/05/54/397000554.db2.gz KLFNLEBPVFAVQU-KPWVOAKYSA-N 0 2 324.402 0.540 20 0 DCADLN COC(=O)C1(CNC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000597531146 397004200 /nfs/dbraw/zinc/00/42/00/397004200.db2.gz GGUVHKLDHGDVHJ-UHFFFAOYSA-N 0 2 317.301 0.713 20 0 DCADLN C[C@@H]1C[NH+](C[C@@H](O)C[NH2+]Cc2ccccc2CO)C[C@@H](C)O1 ZINC000573248892 397149883 /nfs/dbraw/zinc/14/98/83/397149883.db2.gz MOTLVTIUJDWGDF-CPUCHLNUSA-N 0 2 308.422 0.739 20 0 DCADLN C[C@@H]1OCC[C@]1(C)[NH2+]CC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000573275173 397152988 /nfs/dbraw/zinc/15/29/88/397152988.db2.gz PFPFZLXVYVGQAV-BBRMVZONSA-N 0 2 313.442 0.371 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCC(CO)CC1 ZINC000612673798 397116277 /nfs/dbraw/zinc/11/62/77/397116277.db2.gz UCHBXAXBGPJDNM-UHFFFAOYSA-N 0 2 303.318 0.874 20 0 DCADLN COC(=O)c1cccc(N2N=C(C)[C@H](NC(C)=O)C2=O)c1F ZINC000601951519 397271921 /nfs/dbraw/zinc/27/19/21/397271921.db2.gz ZREJOYAYNAPROB-LBPRGKRZSA-N 0 2 307.281 0.840 20 0 DCADLN COC(=O)c1cccc(-n2[nH]c(C)c(NC(C)=O)c2=O)c1F ZINC000601951519 397271926 /nfs/dbraw/zinc/27/19/26/397271926.db2.gz ZREJOYAYNAPROB-LBPRGKRZSA-N 0 2 307.281 0.840 20 0 DCADLN CC[NH+]1CCN([C@H]2CCN(C(=O)CC(F)(F)C[NH3+])C2)CC1 ZINC000577764534 397359123 /nfs/dbraw/zinc/35/91/23/397359123.db2.gz NYHPLEKQOMHMCQ-LBPRGKRZSA-N 0 2 304.385 0.209 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C[C@@H]1CC(=O)N1 ZINC000614386908 397430072 /nfs/dbraw/zinc/43/00/72/397430072.db2.gz JMKYNUHYEUEBPS-VIFPVBQESA-N 0 2 301.306 0.703 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCCO[C@@H]1CCCCO1 ZINC000614276540 397411706 /nfs/dbraw/zinc/41/17/06/397411706.db2.gz OSXXAQNYQMWGTE-SNVBAGLBSA-N 0 2 316.383 0.652 20 0 DCADLN Cn1nnnc1N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000578631156 397463627 /nfs/dbraw/zinc/46/36/27/397463627.db2.gz SLHNBVZWGWNWCK-UHFFFAOYSA-N 0 2 303.326 0.020 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C/C2CCOCC2)cn1 ZINC000492092719 397510815 /nfs/dbraw/zinc/51/08/15/397510815.db2.gz UOTBJHWMHCDZJE-ONEGZZNKSA-N 0 2 313.379 0.691 20 0 DCADLN CN(CC(=O)NCCc1n[nH]c(=S)o1)C(=O)OC(C)(C)C ZINC000495512930 397543050 /nfs/dbraw/zinc/54/30/50/397543050.db2.gz JWCRIQZWLIBJMO-UHFFFAOYSA-N 0 2 316.383 0.884 20 0 DCADLN CN(CC(=O)NCCC1N=NC(=S)O1)C(=O)OC(C)(C)C ZINC000495512930 397543056 /nfs/dbraw/zinc/54/30/56/397543056.db2.gz JWCRIQZWLIBJMO-UHFFFAOYSA-N 0 2 316.383 0.884 20 0 DCADLN O=C(CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)NCC1CC1 ZINC000579956270 397600412 /nfs/dbraw/zinc/60/04/12/397600412.db2.gz IYEQSSUXWDMWKL-JTQLQIEISA-N 0 2 307.354 0.133 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)C(=O)NCC2 ZINC000604972509 397657720 /nfs/dbraw/zinc/65/77/20/397657720.db2.gz DDOYVNNYDGNNCP-UHFFFAOYSA-N 0 2 306.347 0.507 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NC[C@H](O)[C@H]2CCOC2)s[nH]1 ZINC000605920621 397674191 /nfs/dbraw/zinc/67/41/91/397674191.db2.gz QVJHTFXQIZDSRQ-IUCAKERBSA-N 0 2 314.411 0.776 20 0 DCADLN COC(=O)Cc1csc(NS(=O)(=O)c2ccn(C)n2)n1 ZINC000608927370 397759485 /nfs/dbraw/zinc/75/94/85/397759485.db2.gz YIWQIRDXFLXFNE-UHFFFAOYSA-N 0 2 316.364 0.393 20 0 DCADLN CN(C)C(=O)c1cccc(S(=O)(=O)Nc2cnn(C)c2)c1 ZINC000055588768 158023218 /nfs/dbraw/zinc/02/32/18/158023218.db2.gz DLYKLFLWCAMMFQ-UHFFFAOYSA-N 0 2 308.363 0.923 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(C[C@@H]3CCCO3)c2)cn1 ZINC000077956777 158071801 /nfs/dbraw/zinc/07/18/01/158071801.db2.gz AFZGLAHOELLRTB-NSHDSACASA-N 0 2 311.367 0.596 20 0 DCADLN Cc1n[nH]cc1C(=O)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC000091751731 158109400 /nfs/dbraw/zinc/10/94/00/158109400.db2.gz JPHXPCPFIIDOCK-GFCCVEGCSA-N 0 2 313.317 0.721 20 0 DCADLN Cc1[nH]ncc1C(=O)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC000091751731 158109401 /nfs/dbraw/zinc/10/94/01/158109401.db2.gz JPHXPCPFIIDOCK-GFCCVEGCSA-N 0 2 313.317 0.721 20 0 DCADLN Cc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c(C)o1 ZINC000092618477 158115840 /nfs/dbraw/zinc/11/58/40/158115840.db2.gz HJMOZEKUBHVAKQ-UHFFFAOYSA-N 0 2 319.365 0.702 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)c1cnn(C)c1 ZINC000119282151 158184958 /nfs/dbraw/zinc/18/49/58/158184958.db2.gz HEDLCEUYORRYAJ-QMMMGPOBSA-N 0 2 300.322 0.898 20 0 DCADLN CCC(=O)N1CC[C@@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000119357379 158185194 /nfs/dbraw/zinc/18/51/94/158185194.db2.gz OHKNDZXXGJOMBS-SECBINFHSA-N 0 2 317.349 0.415 20 0 DCADLN Cc1c(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)cnn1C ZINC000119442751 158187971 /nfs/dbraw/zinc/18/79/71/158187971.db2.gz PTNMONCZWSUIQM-UHFFFAOYSA-N 0 2 300.322 0.646 20 0 DCADLN Cc1nc(-c2cccc(NC(=O)NCc3n[nH]c(=O)[nH]3)c2)no1 ZINC000137224123 158345447 /nfs/dbraw/zinc/34/54/47/158345447.db2.gz AIANEQYFMNPODV-UHFFFAOYSA-N 0 2 315.293 0.778 20 0 DCADLN C[NH+](C)[C@H]1CCCN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C1 ZINC000171675641 158385669 /nfs/dbraw/zinc/38/56/69/158385669.db2.gz WBOCIRUNRSZBKI-AWEZNQCLSA-N 0 2 312.458 0.833 20 0 DCADLN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNc1cccc(C(=O)[O-])[nH+]1 ZINC000263415456 158877491 /nfs/dbraw/zinc/87/74/91/158877491.db2.gz NRWCBYHSIHTVDD-ZJUUUORDSA-N 0 2 313.379 0.910 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCS[C@H]2COCC[C@H]21 ZINC000329541491 159100802 /nfs/dbraw/zinc/10/08/02/159100802.db2.gz NJAUKCNYBURAIE-SFYZADRCSA-N 0 2 316.408 0.335 20 0 DCADLN CO[C@@H](C)c1nsc(NC2CCN(c3nnnn3C)CC2)n1 ZINC000367165654 159318581 /nfs/dbraw/zinc/31/85/81/159318581.db2.gz OQNXALSPEMIYGT-QMMMGPOBSA-N 0 2 324.414 0.272 20 0 DCADLN CCN(CC)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000407991525 160005251 /nfs/dbraw/zinc/00/52/51/160005251.db2.gz VUZYHIRFIUVODR-SECBINFHSA-N 0 2 303.388 0.276 20 0 DCADLN C[C@H]1OCC[C@H]1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000408084482 160032497 /nfs/dbraw/zinc/03/24/97/160032497.db2.gz QIMMJPIYFGIZBZ-PHDIDXHHSA-N 0 2 306.369 0.273 20 0 DCADLN CC[C@H]1C[C@H](CC(=O)NS(=O)(=O)c2cnn(C)c2)CCO1 ZINC000408434486 160107778 /nfs/dbraw/zinc/10/77/78/160107778.db2.gz YRBFXTXWOINZQZ-MNOVXSKESA-N 0 2 315.395 0.820 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(-n2ccnn2)c1 ZINC000130403915 286994021 /nfs/dbraw/zinc/99/40/21/286994021.db2.gz MZZLETMARVJWJF-UHFFFAOYSA-N 0 2 300.282 0.000 20 0 DCADLN CO[C@H]1C[C@H](C(=O)[O-])N(C(=O)Cc2cn3ccccc3[nH+]2)C1 ZINC000237251206 287066004 /nfs/dbraw/zinc/06/60/04/287066004.db2.gz BBBLKSLLJHJCFX-NWDGAFQWSA-N 0 2 303.318 0.577 20 0 DCADLN C[C@@H]1CC[NH+](CCC[N@H+]2CC[C@H](C)C[C@@H]2C(N)=O)[C@@H](C(N)=O)C1 ZINC000249582001 287115035 /nfs/dbraw/zinc/11/50/35/287115035.db2.gz RMAHLFQMKZMQIS-LXTVHRRPSA-N 0 2 324.469 0.548 20 0 DCADLN COCCCN(CCOC)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355633494 287185700 /nfs/dbraw/zinc/18/57/00/287185700.db2.gz ZICCJEBTENCFIY-UHFFFAOYSA-N 0 2 322.365 0.799 20 0 DCADLN CCc1nnc(CNS(=O)(=O)NCC(F)(F)F)s1 ZINC000352560953 415229461 /nfs/dbraw/zinc/22/94/61/415229461.db2.gz UPIUVNFZLKGKCQ-UHFFFAOYSA-N 0 2 304.319 0.587 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H](C)[C@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000275963880 415401581 /nfs/dbraw/zinc/40/15/81/415401581.db2.gz HVVWMVARAFIGDZ-OIBJUYFYSA-N 0 2 318.333 0.587 20 0 DCADLN CNC(=O)CNC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000081567965 415482516 /nfs/dbraw/zinc/48/25/16/415482516.db2.gz JVGLBPDDUUERLZ-UHFFFAOYSA-N 0 2 306.297 0.501 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)CC(=O)N(C)C)c1 ZINC000424549231 287308932 /nfs/dbraw/zinc/30/89/32/287308932.db2.gz XLKJUTYLJHLJAC-OAQYLSRUSA-N 0 2 312.391 0.349 20 0 DCADLN CO[C@H](C[NH3+])C(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000353438086 415539954 /nfs/dbraw/zinc/53/99/54/415539954.db2.gz YVBGSCXKGRBJEE-YRGRVCCFSA-N 0 2 322.409 0.285 20 0 DCADLN CN(CCC(N)=O)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000353432653 415543484 /nfs/dbraw/zinc/54/34/84/415543484.db2.gz CPECSYJWLIGUIY-UHFFFAOYSA-N 0 2 301.350 0.889 20 0 DCADLN CCCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000109093624 415681549 /nfs/dbraw/zinc/68/15/49/415681549.db2.gz LRMOTELKACQNDI-NXEZZACHSA-N 0 2 309.370 0.255 20 0 DCADLN C[C@@H](NC(N)=O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000354093213 415749920 /nfs/dbraw/zinc/74/99/20/415749920.db2.gz QOBFJTVKUGGKTF-SECBINFHSA-N 0 2 318.337 0.057 20 0 DCADLN CNc1nc(N(C)C[C@H](C)OC)nc(N(C)C[C@@H](C)OC)[nH+]1 ZINC000343878793 415763209 /nfs/dbraw/zinc/76/32/09/415763209.db2.gz XJRNHJPVIDVDGM-PHIMTYICSA-N 0 2 312.418 0.277 20 0 DCADLN Cc1c[nH]cc(C(=O)NC[C@H]2C[N@H+](CC(C)C)CCO2)c1=O ZINC000334032560 415787512 /nfs/dbraw/zinc/78/75/12/415787512.db2.gz KHZLMBARIBJYON-ZDUSSCGKSA-N 0 2 307.394 0.770 20 0 DCADLN Cc1c[nH]cc(C(=O)NC[C@H]2CN(CC(C)C)CCO2)c1=O ZINC000334032560 415787526 /nfs/dbraw/zinc/78/75/26/415787526.db2.gz KHZLMBARIBJYON-ZDUSSCGKSA-N 0 2 307.394 0.770 20 0 DCADLN C[C@](O)(Cn1ccccc1=O)C(=O)Nc1ccc2nn[nH]c2c1 ZINC000344408444 415907215 /nfs/dbraw/zinc/90/72/15/415907215.db2.gz YUHUZDAPXJGASU-HNNXBMFYSA-N 0 2 313.317 0.509 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)Nc2ncn(C)n2)=N1 ZINC000356430239 415919065 /nfs/dbraw/zinc/91/90/65/415919065.db2.gz VIGVJWONDJAPNJ-UHFFFAOYSA-N 0 2 316.296 1.406 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1CCOC[C@H](O)C1 ZINC000296324138 415919253 /nfs/dbraw/zinc/91/92/53/415919253.db2.gz SAYFUDFTPCUISZ-GFCCVEGCSA-N 0 2 321.308 0.550 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1CCOC[C@@H](O)C1 ZINC000296324141 415920907 /nfs/dbraw/zinc/92/09/07/415920907.db2.gz SAYFUDFTPCUISZ-LBPRGKRZSA-N 0 2 321.308 0.550 20 0 DCADLN CCOC(=O)[C@H]1CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344784641 415988027 /nfs/dbraw/zinc/98/80/27/415988027.db2.gz LEAWRTZMUOFHID-LLVKDONJSA-N 0 2 318.333 0.842 20 0 DCADLN Cc1cc(C(=O)NCc2nccs2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000155123737 415993319 /nfs/dbraw/zinc/99/33/19/415993319.db2.gz KXDQTKBQWIQHHB-UHFFFAOYSA-N 0 2 317.330 0.719 20 0 DCADLN CNC(=O)[C@H](C)CN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000357296034 416058165 /nfs/dbraw/zinc/05/81/65/416058165.db2.gz ACVAHHWCOZMXAI-SECBINFHSA-N 0 2 318.333 0.484 20 0 DCADLN O=C(N[C@@H](CO)[C@@H]1CCCO1)C1=NN(c2ccccc2)CC1=O ZINC000344884235 416002799 /nfs/dbraw/zinc/00/27/99/416002799.db2.gz YKVKWXHXWYVSPT-JSGCOSHPSA-N 0 2 317.345 0.848 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)no1 ZINC000356955864 416011494 /nfs/dbraw/zinc/01/14/94/416011494.db2.gz LMUDCBJWYFPIDL-UHFFFAOYSA-N 0 2 322.302 0.314 20 0 DCADLN O=C(CN1CCCC1=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000170748099 416031199 /nfs/dbraw/zinc/03/11/99/416031199.db2.gz AXDURCCGNUSKQU-UHFFFAOYSA-N 0 2 302.290 0.591 20 0 DCADLN CN(C)C(=O)COc1ccc(NS(=O)(=O)c2c[nH]cn2)cc1 ZINC000357553719 416095728 /nfs/dbraw/zinc/09/57/28/416095728.db2.gz CRBGIHUSYASANI-UHFFFAOYSA-N 0 2 324.362 0.678 20 0 DCADLN O=C(Cn1nc2ccccn2c1=O)Nc1ccc2nn[nH]c2c1 ZINC000175829884 416115062 /nfs/dbraw/zinc/11/50/62/416115062.db2.gz CADHJOOIAPDVGT-UHFFFAOYSA-N 0 2 309.289 0.406 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H](CO)CC1CCCC1 ZINC000357689963 416116085 /nfs/dbraw/zinc/11/60/85/416116085.db2.gz NWGHAYVSUYWKPV-JTQLQIEISA-N 0 2 314.411 0.907 20 0 DCADLN CCN(CC)C(=O)CN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000179395373 416186694 /nfs/dbraw/zinc/18/66/94/416186694.db2.gz PMTIZPINZPCITA-UHFFFAOYSA-N 0 2 319.365 0.614 20 0 DCADLN CCCCN(CCCO)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000182366013 416219118 /nfs/dbraw/zinc/21/91/18/416219118.db2.gz QQMXEAGHASCBHW-UHFFFAOYSA-N 0 2 320.349 0.236 20 0 DCADLN Cc1nnc(CNC(=O)c2c[nH]c3c(cnn3C)c2=O)n1C ZINC000358334751 416225474 /nfs/dbraw/zinc/22/54/74/416225474.db2.gz HGCYVLYIJHEQAO-UHFFFAOYSA-N 0 2 301.310 0.041 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cccc(OC[C@H]2CCCO2)c1 ZINC000358363979 416230270 /nfs/dbraw/zinc/23/02/70/416230270.db2.gz YXONQWAJYPQFGN-GFCCVEGCSA-N 0 2 318.333 0.998 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[C@@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000341916109 416251302 /nfs/dbraw/zinc/25/13/02/416251302.db2.gz APTBZKUDPNRNEL-HTQZYQBOSA-N 0 2 306.322 0.634 20 0 DCADLN CCC1(CO)CCN(C(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000331115583 416268131 /nfs/dbraw/zinc/26/81/31/416268131.db2.gz KBUDHDKSDLQRCS-UHFFFAOYSA-N 0 2 300.384 0.614 20 0 DCADLN CN(C)C(=O)CCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000352271863 416313898 /nfs/dbraw/zinc/31/38/98/416313898.db2.gz FSLVFUXOXNIKBC-UHFFFAOYSA-N 0 2 319.321 0.034 20 0 DCADLN O=C(NC[C@H]1C[C@H](O)C[N@H+]1Cc1ccccc1)c1nc[nH]n1 ZINC000342211882 416294833 /nfs/dbraw/zinc/29/48/33/416294833.db2.gz WYMVCRVDCOAZDO-OLZOCXBDSA-N 0 2 301.350 0.170 20 0 DCADLN O=C(NC[C@H]1C[C@H](O)C[N@@H+]1Cc1ccccc1)c1nc[nH]n1 ZINC000342211882 416294835 /nfs/dbraw/zinc/29/48/35/416294835.db2.gz WYMVCRVDCOAZDO-OLZOCXBDSA-N 0 2 301.350 0.170 20 0 DCADLN C[C@@H](CC[S@](C)=O)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000359438994 416346325 /nfs/dbraw/zinc/34/63/25/416346325.db2.gz MYISWPQJBXEYMS-QNLYAUKNSA-N 0 2 324.362 0.323 20 0 DCADLN C[C@@H](CC(=O)NCc1n[nH]c(=O)[nH]1)NC(=O)C1CCCCC1 ZINC000359803715 416359708 /nfs/dbraw/zinc/35/97/08/416359708.db2.gz NMNLQQLQYVUCNR-VIFPVBQESA-N 0 2 309.370 0.602 20 0 DCADLN O=S(=O)(NCCc1nc[nH]n1)c1cc(F)c(F)cc1F ZINC000434103614 416461360 /nfs/dbraw/zinc/46/13/60/416461360.db2.gz ZUQQKEKYDKTKEA-UHFFFAOYSA-N 0 2 306.269 0.743 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(OCC(N)=O)cc1 ZINC000361119705 416422367 /nfs/dbraw/zinc/42/23/67/416422367.db2.gz LVQGKPLBPBYQTJ-UHFFFAOYSA-N 0 2 324.362 0.393 20 0 DCADLN C[C@@]1([NH2+]CC(=O)[O-])CCN(C(=O)c2cc(-c3ccco3)[nH]n2)C1 ZINC000435631258 416528567 /nfs/dbraw/zinc/52/85/67/416528567.db2.gz BFNVRNVQWGKWAF-OAHLLOKOSA-N 0 2 318.333 0.949 20 0 DCADLN C[C@@H](O)[C@@H](CO)NC(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000459347343 416535865 /nfs/dbraw/zinc/53/58/65/416535865.db2.gz QVYFLLQCCSYBCB-PSASIEDQSA-N 0 2 308.363 0.490 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(C(F)F)c2)cc1C(N)=O ZINC000361780775 416497012 /nfs/dbraw/zinc/49/70/12/416497012.db2.gz JIHDKVLREFFRSV-UHFFFAOYSA-N 0 2 319.293 0.516 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCc1nc2cc(F)ccc2[nH]1 ZINC000361890346 416518752 /nfs/dbraw/zinc/51/87/52/416518752.db2.gz DYACOSKMMZNHSY-UHFFFAOYSA-N 0 2 322.325 0.934 20 0 DCADLN CCN1CCOC[C@H]1C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000540470116 416592248 /nfs/dbraw/zinc/59/22/48/416592248.db2.gz GOAMPMAQGNYCSD-NSHDSACASA-N 0 2 319.390 0.681 20 0 DCADLN NC(=O)Cn1ccc(NC(=O)c2cc(F)cc(Cl)c2O)n1 ZINC000436772279 416570363 /nfs/dbraw/zinc/57/03/63/416570363.db2.gz DNXZUEDHYYCTKB-UHFFFAOYSA-N 0 2 312.688 1.119 20 0 DCADLN COc1cccc(S(=O)(=O)Nc2nc3ncccn3n2)c1 ZINC000603629180 416638252 /nfs/dbraw/zinc/63/82/52/416638252.db2.gz PXJRRUPMKQXNRP-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN CC(C)c1nc(N2CC3N=NC(=O)N3C[C@@H]2C(=O)[O-])cc[nH+]1 ZINC000424372442 416638611 /nfs/dbraw/zinc/63/86/11/416638611.db2.gz HSZOQMPJRRSYIR-MRVPVSSYSA-N 0 2 304.310 0.371 20 0 DCADLN CCCC[C@@H](NC(N)=O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000514937418 416684568 /nfs/dbraw/zinc/68/45/68/416684568.db2.gz AYSAEUQRMMEIRA-NXEZZACHSA-N 0 2 324.385 0.443 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@@](C)(C(N)=O)C2)c1 ZINC000424807402 416670467 /nfs/dbraw/zinc/67/04/67/416670467.db2.gz FDILLUHKKPCSLH-QRQCRPRQSA-N 0 2 324.402 0.527 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2noc(-c3cccs3)n2)n1 ZINC000548146799 416684987 /nfs/dbraw/zinc/68/49/87/416684987.db2.gz XZRJKFMMLBFEOU-UHFFFAOYSA-N 0 2 311.348 1.332 20 0 DCADLN CC(C)C[C@H]1CCN(c2nc(NC(C)C)nc(NCCO)[nH+]2)C1 ZINC000557629586 416743286 /nfs/dbraw/zinc/74/32/86/416743286.db2.gz WVJBZAGYWCPBOC-CYBMUJFWSA-N 0 2 322.457 0.812 20 0 DCADLN NC(=O)CC[C@@H]1CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000442987476 416724349 /nfs/dbraw/zinc/72/43/49/416724349.db2.gz KOZIZUIJVXJMDG-QMMMGPOBSA-N 0 2 317.333 0.361 20 0 DCADLN O=C(NCCCc1cc(=O)[nH][nH]1)c1cccc(-c2nnc[nH]2)c1 ZINC000614922311 416725961 /nfs/dbraw/zinc/72/59/61/416725961.db2.gz FDVHVPDBWVKZBH-UHFFFAOYSA-N 0 2 312.333 0.858 20 0 DCADLN CCO[C@@H]1C[C@H](NS(=O)(=O)NCC(F)(F)F)[C@H]1OC ZINC000443051841 416727969 /nfs/dbraw/zinc/72/79/69/416727969.db2.gz UHZQWARRDUUSLG-XLPZGREQSA-N 0 2 306.306 0.165 20 0 DCADLN CCC[C@H](CNS(=O)(=O)NCC(F)(F)F)C(=O)OCC ZINC000443220025 416737992 /nfs/dbraw/zinc/73/79/92/416737992.db2.gz WJTYLGKGXGJDSI-MRVPVSSYSA-N 0 2 320.333 0.952 20 0 DCADLN CC[C@@H](C)C[C@H](NS(=O)(=O)NCC(F)(F)F)C(=O)OC ZINC000516924917 416758270 /nfs/dbraw/zinc/75/82/70/416758270.db2.gz YEGHCTVSVVVZCD-SFYZADRCSA-N 0 2 320.333 0.951 20 0 DCADLN Nc1ccc(CNC(=O)N=c2ncn(Cc3ccccc3)[nH]2)cn1 ZINC000558774715 416795870 /nfs/dbraw/zinc/79/58/70/416795870.db2.gz AVNLIVFFNXUCDJ-UHFFFAOYSA-N 0 2 323.360 1.047 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000517741558 416821380 /nfs/dbraw/zinc/82/13/80/416821380.db2.gz AMKZBOLXRJTJFJ-XJKSGUPXSA-N 0 2 310.394 0.953 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000517741558 416821386 /nfs/dbraw/zinc/82/13/86/416821386.db2.gz AMKZBOLXRJTJFJ-XJKSGUPXSA-N 0 2 310.394 0.953 20 0 DCADLN Cn1nc(NC(=O)c2ccc3[nH]nnc3c2)cc1OC(F)F ZINC000428226791 416883220 /nfs/dbraw/zinc/88/32/20/416883220.db2.gz HOHJHLZFXWPRQR-UHFFFAOYSA-N 0 2 308.248 1.545 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cccc(C(=O)N(C)C)c2)nn1C ZINC000427633472 416832295 /nfs/dbraw/zinc/83/22/95/416832295.db2.gz JMRHGMKUVLHTDL-UHFFFAOYSA-N 0 2 323.378 0.626 20 0 DCADLN CC[C@H]1C[NH+](CCNC(=O)N[C@H]2CC[N@@H+](C3CC3)C2)CCO1 ZINC000565751536 417015564 /nfs/dbraw/zinc/01/55/64/417015564.db2.gz BHDSZVHZMSBIGW-ZFWWWQNUSA-N 0 2 310.442 0.633 20 0 DCADLN C[C@H]([NH2+]CC[N@@H+]1CC[C@@H](O)C1)c1ccc2c(c1)OCC(=O)N2 ZINC000624231190 416989072 /nfs/dbraw/zinc/98/90/72/416989072.db2.gz RUOZALNSBXPBQI-WCQYABFASA-N 0 2 305.378 0.735 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCN(c2[nH+]cccc2C(=O)[O-])C1 ZINC000642327223 417045423 /nfs/dbraw/zinc/04/54/23/417045423.db2.gz WIJHLHCEGQAXPU-JTQLQIEISA-N 0 2 313.379 0.545 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1[N@@H+]1CC[C@H](O)C1)[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000616436707 417048560 /nfs/dbraw/zinc/04/85/60/417048560.db2.gz FCKZUUKGLSGFHI-FIRUKDTASA-N 0 2 318.421 0.619 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1[N@H+]1CC[C@H](O)C1)[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000616436707 417048565 /nfs/dbraw/zinc/04/85/65/417048565.db2.gz FCKZUUKGLSGFHI-FIRUKDTASA-N 0 2 318.421 0.619 20 0 DCADLN O=C([O-])c1ccc(NCc2ccc[nH+]c2N2CCOCC2)nn1 ZINC000567113557 417055500 /nfs/dbraw/zinc/05/55/00/417055500.db2.gz DBPDIJWETJFUMV-UHFFFAOYSA-N 0 2 315.333 1.019 20 0 DCADLN CN=c1[nH]nc(CC(=O)N=c2cc([C@@H]3CCCO3)[nH][nH]2)s1 ZINC000616824834 417117983 /nfs/dbraw/zinc/11/79/83/417117983.db2.gz OUAWSLHTSRUEPC-QMMMGPOBSA-N 0 2 308.367 0.179 20 0 DCADLN CCC[C@@H](C)C(=O)NCCNS(=O)(=O)NCC(F)(F)F ZINC000431626383 417137345 /nfs/dbraw/zinc/13/73/45/417137345.db2.gz AOVMHOQDJIUFII-MRVPVSSYSA-N 0 2 319.349 0.525 20 0 DCADLN CCCN1C[C@H](CNC(=O)c2cccc3[nH]nnc32)CC1=O ZINC000643355075 417178640 /nfs/dbraw/zinc/17/86/40/417178640.db2.gz XITSSQOTVLPKJQ-JTQLQIEISA-N 0 2 301.350 0.946 20 0 DCADLN Cc1noc(CN2CCN(C(=O)[C@H](C)Cc3c[nH]c[nH+]3)CC2)n1 ZINC000569117541 417215983 /nfs/dbraw/zinc/21/59/83/417215983.db2.gz PZEXWEFWRWSLBY-LLVKDONJSA-N 0 2 318.381 0.624 20 0 DCADLN C[C@H]1C[C@@H]1c1nnc2ccc(NCCCc3n[nH]c(=O)[nH]3)nn21 ZINC000450361483 417220314 /nfs/dbraw/zinc/22/03/14/417220314.db2.gz FLHAROZURKUJES-IUCAKERBSA-N 0 2 314.353 1.116 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)NC[C@@H]2CC[NH+]2C2CCCC2)C1 ZINC000527727998 417271108 /nfs/dbraw/zinc/27/11/08/417271108.db2.gz PUVZHSOYUHYJCR-LSDHHAIUSA-N 0 2 310.442 0.633 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ncnc2ccsc21 ZINC000621894229 417337437 /nfs/dbraw/zinc/33/74/37/417337437.db2.gz IOIHXNKEFMBALE-UHFFFAOYSA-N 0 2 304.335 0.878 20 0 DCADLN Cc1cccc(C)c1OCCNC(=O)N1CC[N@@H+](C)C[C@@H]1C[NH3+] ZINC000571148549 417427619 /nfs/dbraw/zinc/42/76/19/417427619.db2.gz LWUXMIHJNICEIO-HNNXBMFYSA-N 0 2 320.437 0.967 20 0 DCADLN Cc1ccc(CCC(=O)NCC(=O)NOC(C)(C)CO)cc1 ZINC000296803402 226231059 /nfs/dbraw/zinc/23/10/59/226231059.db2.gz FFPNBWQPZSJJER-UHFFFAOYSA-N 0 2 308.378 0.863 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(-n3cncn3)cn2)cnn1C ZINC000644635561 417635237 /nfs/dbraw/zinc/63/52/37/417635237.db2.gz YKYBNBVJMFJFJQ-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN C[C@@]1(CNC(=O)C2=NN(c3ccccc3)CC2=O)CCC(=O)N1 ZINC000457214645 417696981 /nfs/dbraw/zinc/69/69/81/417696981.db2.gz MWFOWTVMNSAPGO-INIZCTEOSA-N 0 2 314.345 0.976 20 0 DCADLN O=C(N[C@@H]1CCOC[C@H]1O)C1=NN(c2ccccc2)CC1=O ZINC000629235486 417763891 /nfs/dbraw/zinc/76/38/91/417763891.db2.gz OPUXJUTWPWXZDV-DGCLKSJQSA-N 0 2 303.318 0.458 20 0 DCADLN Cc1[nH+]ccn1CCNC(=O)N1C[C@@H](C)[N@H+](CCO)[C@@H](C)C1 ZINC000458145700 417725178 /nfs/dbraw/zinc/72/51/78/417725178.db2.gz NDJQUESMIMAENW-BETUJISGSA-N 0 2 309.414 0.288 20 0 DCADLN CC(C)(C)C[C@@H]1C[C@H]1NC(=O)C(=O)N=c1nc2n([nH]1)CCCC2 ZINC000651980952 417829238 /nfs/dbraw/zinc/82/92/38/417829238.db2.gz QYPKCYRAAZTBJC-WDEREUQCSA-N 0 2 319.409 0.916 20 0 DCADLN C[C@@H](Cc1cnc2ccccc2n1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000645692413 417778023 /nfs/dbraw/zinc/77/80/23/417778023.db2.gz NNGOCGFXDROXQY-VIFPVBQESA-N 0 2 312.333 0.949 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC[C@@H](C3CC3)C2)[nH]1 ZINC000651806699 417787204 /nfs/dbraw/zinc/78/72/04/417787204.db2.gz WKIIMOKEMKVLBQ-GFCCVEGCSA-N 0 2 305.382 0.868 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC2(CCO)CCCC2)[nH]1 ZINC000651809788 417787700 /nfs/dbraw/zinc/78/77/00/417787700.db2.gz HHSIULMQWDNERH-UHFFFAOYSA-N 0 2 309.370 0.031 20 0 DCADLN CO[C@@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1(C)C ZINC000651837227 417792072 /nfs/dbraw/zinc/79/20/72/417792072.db2.gz XQUNGPOAIMMZGS-LLVKDONJSA-N 0 2 323.397 0.493 20 0 DCADLN C[C@@H](C[N@@H+](C)CCC[NH+](C)C)NS(=O)(=O)c1ccco1 ZINC000657071163 417797376 /nfs/dbraw/zinc/79/73/76/417797376.db2.gz HPAILLCOESJNIK-LBPRGKRZSA-N 0 2 303.428 0.830 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC(F)(F)C(F)(F)C2)[nH]1 ZINC000651847862 417797315 /nfs/dbraw/zinc/79/73/15/417797315.db2.gz WQBMMTXNLLXJOH-UHFFFAOYSA-N 0 2 323.250 0.332 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@H]2CCOC(C)(C)C2)[nH]1 ZINC000651846550 417797706 /nfs/dbraw/zinc/79/77/06/417797706.db2.gz VPAMEXPNFJBMQT-NSHDSACASA-N 0 2 323.397 0.541 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(c1cc(N3CCCC3)[nH+]cn1)C2 ZINC000662884810 417938490 /nfs/dbraw/zinc/93/84/90/417938490.db2.gz YTGSTACLAZRLMW-IAQYHMDHSA-N 0 2 304.350 0.614 20 0 DCADLN O=C([O-])[C@]12COC[C@H]1CN(c1cc(N3CCCC3)nc[nH+]1)C2 ZINC000662884810 417938493 /nfs/dbraw/zinc/93/84/93/417938493.db2.gz YTGSTACLAZRLMW-IAQYHMDHSA-N 0 2 304.350 0.614 20 0 DCADLN C[C@H](NC(=O)N=c1cccn[nH]1)C(=O)NCCc1ccccc1 ZINC000652677484 417943335 /nfs/dbraw/zinc/94/33/35/417943335.db2.gz NGHIAKGYSCKVIY-LBPRGKRZSA-N 0 2 313.361 0.767 20 0 DCADLN CN(Cc1c[nH]c[nH+]1)C(=O)C(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000662912639 417949060 /nfs/dbraw/zinc/94/90/60/417949060.db2.gz HQFBLOFVOXOOCL-JTQLQIEISA-N 0 2 308.338 0.081 20 0 DCADLN CN(Cc1c[nH+]c[nH]1)C(=O)C(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000662912639 417949069 /nfs/dbraw/zinc/94/90/69/417949069.db2.gz HQFBLOFVOXOOCL-JTQLQIEISA-N 0 2 308.338 0.081 20 0 DCADLN C[C@]1(C2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)NC(=O)NC1=O ZINC000647451191 418010960 /nfs/dbraw/zinc/01/09/60/418010960.db2.gz PBIWJQNJUVFKPS-OAHLLOKOSA-N 0 2 318.333 0.594 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000663620469 418038231 /nfs/dbraw/zinc/03/82/31/418038231.db2.gz KQORLOVLRCXBPJ-CMPLNLGQSA-N 0 2 322.365 0.005 20 0 DCADLN Cc1nc(=NC(=O)N2C[C@H](C)O[C@H](C(F)(F)F)C2)[nH]n1C ZINC000653241399 418046603 /nfs/dbraw/zinc/04/66/03/418046603.db2.gz OYSKLYYMZSLKAC-XPUUQOCRSA-N 0 2 307.276 0.729 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000663109816 417991989 /nfs/dbraw/zinc/99/19/89/417991989.db2.gz MSGUJSIUIGMLNP-HNNXBMFYSA-N 0 2 323.349 0.024 20 0 DCADLN O=C([O-])[C@]1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC=CCC1 ZINC000663130393 417994594 /nfs/dbraw/zinc/99/45/94/417994594.db2.gz HTJCZEVCEJWSSG-XJKSGUPXSA-N 0 2 308.378 0.731 20 0 DCADLN O=C([O-])[C@]1(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCOC1 ZINC000663131412 417994662 /nfs/dbraw/zinc/99/46/62/417994662.db2.gz RACMGGARWKWFBV-AWEZNQCLSA-N 0 2 302.290 0.241 20 0 DCADLN Cc1cccn2cc(CC(=O)NC3(C(=O)[O-])CCOCC3)[nH+]c12 ZINC000663143121 417996275 /nfs/dbraw/zinc/99/62/75/417996275.db2.gz IUGSCGLUONQWQV-UHFFFAOYSA-N 0 2 317.345 0.935 20 0 DCADLN C[C@H](COCC1CC1)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000652907245 417996804 /nfs/dbraw/zinc/99/68/04/417996804.db2.gz GVLXINZYMHUHIE-MRVPVSSYSA-N 0 2 318.333 0.981 20 0 DCADLN COc1cc2c(cc1OC)C[N@H+](CC(=O)N[C@@H](C)C(=O)[O-])CC2 ZINC000647205654 417999096 /nfs/dbraw/zinc/99/90/96/417999096.db2.gz KOTLYVCMEIFMFM-JTQLQIEISA-N 0 2 322.361 0.651 20 0 DCADLN COc1cc2c(cc1OC)C[N@@H+](CC(=O)N[C@@H](C)C(=O)[O-])CC2 ZINC000647205654 417999098 /nfs/dbraw/zinc/99/90/98/417999098.db2.gz KOTLYVCMEIFMFM-JTQLQIEISA-N 0 2 322.361 0.651 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCOC[C@H]3C(=O)[O-])[nH+]c12 ZINC000648450848 418137171 /nfs/dbraw/zinc/13/71/71/418137171.db2.gz OERIFYXINBWYTQ-LBPRGKRZSA-N 0 2 303.318 0.497 20 0 DCADLN CCOCc1nnc(NS(=O)(=O)c2cn(CC)c(C)n2)o1 ZINC000446953388 287440146 /nfs/dbraw/zinc/44/01/46/287440146.db2.gz BKEZNDAISUGULR-UHFFFAOYSA-N 0 2 315.355 0.932 20 0 DCADLN C[C@H]1CN(c2cc(N3CCN(C)C(=O)C3)nc[nH+]2)C[C@@]1(C)CO ZINC000664598447 418137787 /nfs/dbraw/zinc/13/77/87/418137787.db2.gz RJPYKUHKQYHKDD-LRDDRELGSA-N 0 2 319.409 0.210 20 0 DCADLN C[N@H+](CC1=Nc2ccccc2S(=O)(=O)N1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000659801037 418182440 /nfs/dbraw/zinc/18/24/40/418182440.db2.gz CZXTVRFULWPMTK-AOOOYVTPSA-N 0 2 323.374 0.804 20 0 DCADLN C[N@@H+](CC1=Nc2ccccc2S(=O)(=O)N1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000659801037 418182445 /nfs/dbraw/zinc/18/24/45/418182445.db2.gz CZXTVRFULWPMTK-AOOOYVTPSA-N 0 2 323.374 0.804 20 0 DCADLN CO[C@@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC000648893102 418171181 /nfs/dbraw/zinc/17/11/81/418171181.db2.gz JELSEEFUBZONDC-GXSJLCMTSA-N 0 2 320.353 0.338 20 0 DCADLN CC(C)NC(=O)CN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665518153 418215884 /nfs/dbraw/zinc/21/58/84/418215884.db2.gz VKQTWVOWZVTOIT-UHFFFAOYSA-N 0 2 318.333 0.627 20 0 DCADLN CCN1C[C@@H](CNC(=O)N=c2ncn(C(C)(C)C)[nH]2)CC1=O ZINC000650123955 418294227 /nfs/dbraw/zinc/29/42/27/418294227.db2.gz BBYJCWASRGUTMU-SNVBAGLBSA-N 0 2 308.386 0.445 20 0 DCADLN CC(C)[C@@H](CNC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000655896918 418298161 /nfs/dbraw/zinc/29/81/61/418298161.db2.gz JLPYWIIYQVJNBA-QWHCGFSZSA-N 0 2 315.414 0.705 20 0 DCADLN CC1(C)CN(C(=O)N=c2nc3n([nH]2)CCCC3)[C@@H]2COC[C@H]2O1 ZINC000650240345 418299298 /nfs/dbraw/zinc/29/92/98/418299298.db2.gz BHICJIXAAPJGLD-GHMZBOCLSA-N 0 2 321.381 0.446 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cc2c([nH]c1=O)CCCC2 ZINC000650271732 418300899 /nfs/dbraw/zinc/30/08/99/418300899.db2.gz GBFAJRAEFGWZDX-UHFFFAOYSA-N 0 2 304.310 0.811 20 0 DCADLN CC(C)[C@H](CNC(=O)C(=O)NCCCCn1cc[nH+]c1)C(=O)[O-] ZINC000655982650 418311801 /nfs/dbraw/zinc/31/18/01/418311801.db2.gz YQZYQYWYDHCFQW-LBPRGKRZSA-N 0 2 324.381 0.253 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000650515700 418313958 /nfs/dbraw/zinc/31/39/58/418313958.db2.gz FMFYISFHRZXKQE-GXTWGEPZSA-N 0 2 321.377 0.385 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000650515700 418313960 /nfs/dbraw/zinc/31/39/60/418313960.db2.gz FMFYISFHRZXKQE-GXTWGEPZSA-N 0 2 321.377 0.385 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNc1cc[nH+]c(C(=O)[O-])c1 ZINC000650767017 418323269 /nfs/dbraw/zinc/32/32/69/418323269.db2.gz FKALYSWCAAOUOI-MWLCHTKSSA-N 0 2 313.379 0.332 20 0 DCADLN CC[C@@H](C)NC(=O)CN1CCN(c2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650765998 418323429 /nfs/dbraw/zinc/32/34/29/418323429.db2.gz OXSCSOWVTTZDJT-GFCCVEGCSA-N 0 2 320.393 0.817 20 0 DCADLN Cn1nccc1N1CCC[C@@H](Nc2cc[nH+]c(C(=O)[O-])c2)C1=O ZINC000650769408 418323984 /nfs/dbraw/zinc/32/39/84/418323984.db2.gz WNCNNZSSYWOSOY-LLVKDONJSA-N 0 2 315.333 0.543 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H](C)OC[C@H]2CCCCO2)[nH]n1 ZINC000650815899 418326241 /nfs/dbraw/zinc/32/62/41/418326241.db2.gz DCLVPFGXSPSUGB-WDEREUQCSA-N 0 2 322.365 0.171 20 0 DCADLN O=C(CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)Nc1cnccn1 ZINC000660555786 418269423 /nfs/dbraw/zinc/26/94/23/418269423.db2.gz BJSHULWXYNYSNW-VIFPVBQESA-N 0 2 303.326 0.118 20 0 DCADLN COCCS(=O)(=O)Nc1cncc(OCC(F)(F)F)n1 ZINC000656740670 418375559 /nfs/dbraw/zinc/37/55/59/418375559.db2.gz HCKQSEOBQZMALB-UHFFFAOYSA-N 0 2 315.273 0.806 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000656851115 418379260 /nfs/dbraw/zinc/37/92/60/418379260.db2.gz DLNGVICEFOETTF-OAHLLOKOSA-N 0 2 305.334 0.791 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCOc2ccccc2)[nH]1 ZINC000651495070 418384851 /nfs/dbraw/zinc/38/48/51/418384851.db2.gz ZLHJYZYVNPQDBI-UHFFFAOYSA-N 0 2 317.349 0.415 20 0 DCADLN CC(C)OC1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651560954 418391036 /nfs/dbraw/zinc/39/10/36/418391036.db2.gz TYTFCLSPDZKSRT-UHFFFAOYSA-N 0 2 323.397 0.635 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)c3ccccc3O)CC2)[nH]1 ZINC000656998251 418399372 /nfs/dbraw/zinc/39/93/72/418399372.db2.gz PUWMEUDIMLXCBE-UHFFFAOYSA-N 0 2 324.362 0.784 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCc3ccsc3C2)[nH]1 ZINC000651641230 418400379 /nfs/dbraw/zinc/40/03/79/418400379.db2.gz JQORHHCMZDRKEE-UHFFFAOYSA-N 0 2 319.390 0.866 20 0 DCADLN Cc1[nH]ncc1CNC(=O)CCNS(=O)(=O)c1cccnc1 ZINC000299453149 229125454 /nfs/dbraw/zinc/12/54/54/229125454.db2.gz MRQUCOKNPCEGOC-UHFFFAOYSA-N 0 2 323.378 0.098 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1NC(=O)O[C@H]1C ZINC000497045680 287631665 /nfs/dbraw/zinc/63/16/65/287631665.db2.gz RICDGEGATQMRBL-XVKPBYJWSA-N 0 2 317.305 0.921 20 0 DCADLN O=C([O-])Cc1ccc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)cc1 ZINC000314408952 261174434 /nfs/dbraw/zinc/17/44/34/261174434.db2.gz ADIHMVCPFBAOLU-UHFFFAOYSA-N 0 2 324.402 0.782 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ccc(-n2cncn2)nc1 ZINC000350035071 261187876 /nfs/dbraw/zinc/18/78/76/261187876.db2.gz MSNMDRPOLSJONX-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN C[C@@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)N(C)CC(F)(F)F ZINC000354337189 261205645 /nfs/dbraw/zinc/20/56/45/261205645.db2.gz SVKPHFCWBAGDFT-ZETCQYMHSA-N 0 2 309.292 0.442 20 0 DCADLN CCC[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(N)=O ZINC000359971433 261784356 /nfs/dbraw/zinc/78/43/56/261784356.db2.gz SKHQXDGFCUPNDB-JTQLQIEISA-N 0 2 304.306 0.414 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(Br)cc1O ZINC000392697092 262161189 /nfs/dbraw/zinc/16/11/89/262161189.db2.gz LRBLLVVWJVNPOZ-UHFFFAOYSA-N 0 2 313.111 0.908 20 0 DCADLN CC[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H](O)C(C)C ZINC000412758433 262194193 /nfs/dbraw/zinc/19/41/93/262194193.db2.gz VBHXJYTYRKLCFE-MNOVXSKESA-N 0 2 320.349 0.961 20 0 DCADLN CCC[C@@H](O)[C@@H](CO)NC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000416819302 262240423 /nfs/dbraw/zinc/24/04/23/262240423.db2.gz REKYCCZQQAVZJV-RKDXNWHRSA-N 0 2 316.427 0.901 20 0 DCADLN COCCOc1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000354331216 270978387 /nfs/dbraw/zinc/97/83/87/270978387.db2.gz CAGYQEIGASRGAF-UHFFFAOYSA-N 0 2 307.310 0.857 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2ccc(-n3cncn3)nc2)c1 ZINC000355256541 271003246 /nfs/dbraw/zinc/00/32/46/271003246.db2.gz VHPOHKVUZFRIIZ-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN O=S(=O)(Nc1ccnc2ncnn21)c1cncc(Cl)c1 ZINC000358792889 271072755 /nfs/dbraw/zinc/07/27/55/271072755.db2.gz XMRZCVYNQXFOLF-UHFFFAOYSA-N 0 2 310.726 0.974 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1ccnn1C ZINC000446714740 271765439 /nfs/dbraw/zinc/76/54/39/271765439.db2.gz MVCVYBODIGKAPF-UHFFFAOYSA-N 0 2 302.337 0.464 20 0 DCADLN CCc1nc(NS(=O)(=O)c2scnc2C(=O)OC)no1 ZINC000488433179 272044573 /nfs/dbraw/zinc/04/45/73/272044573.db2.gz NBDRMMACFJKYSA-UHFFFAOYSA-N 0 2 318.336 0.676 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)Cc1cccnc1 ZINC000492612966 272137543 /nfs/dbraw/zinc/13/75/43/272137543.db2.gz BUVXPOQDHHDUTP-WAYWQWQTSA-N 0 2 320.374 0.957 20 0 DCADLN C[C@](O)(CNC(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC000492655089 272139914 /nfs/dbraw/zinc/13/99/14/272139914.db2.gz YFIGACZPUVFCJB-RRGWEWPQSA-N 0 2 315.329 0.837 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C/C1CCOCC1 ZINC000493283900 272179332 /nfs/dbraw/zinc/17/93/32/272179332.db2.gz HWRSSCHVIOCEBJ-ONEGZZNKSA-N 0 2 313.379 0.516 20 0 DCADLN CN1C[C@H](COC(=O)C(C)(C)NC(=O)C(F)(F)F)OC1=O ZINC000495664939 272263638 /nfs/dbraw/zinc/26/36/38/272263638.db2.gz POUZSWMUVIRURB-ZCFIWIBFSA-N 0 2 312.244 0.437 20 0 DCADLN COC(=O)NCCOC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000496523097 272320711 /nfs/dbraw/zinc/32/07/11/272320711.db2.gz HASPFRFCTZGARS-UHFFFAOYSA-N 0 2 300.233 0.343 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCCN1CCOC1=O ZINC000497090648 272368386 /nfs/dbraw/zinc/36/83/86/272368386.db2.gz QKXYTGSBNHNZSM-UHFFFAOYSA-N 0 2 312.244 0.439 20 0 DCADLN O=C(NC[C@]1(O)CCSC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000531363919 272760190 /nfs/dbraw/zinc/76/01/90/272760190.db2.gz YJWBZZLQOKAUSM-CQSZACIVSA-N 0 2 321.358 0.628 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cc([C@@H]2CCCO2)nn1C ZINC000515279421 287650801 /nfs/dbraw/zinc/65/08/01/287650801.db2.gz JNJOWNNQJCXTPH-JTQLQIEISA-N 0 2 311.367 0.806 20 0 DCADLN COCCc1nsc(N[C@@H]2CCC[C@H](S(C)(=O)=O)C2)n1 ZINC000530696666 287754310 /nfs/dbraw/zinc/75/43/10/287754310.db2.gz KNQXAMAKKJGIIH-ZJUUUORDSA-N 0 2 319.452 0.917 20 0 DCADLN C[C@H](CNC(=O)c1cc(F)c(F)c(O)c1F)S(C)(=O)=O ZINC000542651085 287943319 /nfs/dbraw/zinc/94/33/19/287943319.db2.gz XWEQMPBKWSVNGV-RXMQYKEDSA-N 0 2 311.281 0.972 20 0 DCADLN CC[C@H](NS(=O)(=O)NCC(F)(F)F)[C@@H](O)C(F)F ZINC000451715198 288054632 /nfs/dbraw/zinc/05/46/32/288054632.db2.gz QJWJXGHQOLYTKG-CRCLSJGQSA-N 0 2 300.249 0.377 20 0 DCADLN CCc1nsc(NCCN2C(=O)NC3(CCCC3)C2=O)n1 ZINC000548448151 288143988 /nfs/dbraw/zinc/14/39/88/288143988.db2.gz PSVKBZBNHVJAHZ-UHFFFAOYSA-N 0 2 309.395 0.799 20 0 DCADLN CC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000549766469 288204377 /nfs/dbraw/zinc/20/43/77/288204377.db2.gz PADFSLRFEPRXEA-MNOVXSKESA-N 0 2 307.354 0.227 20 0 DCADLN O=c1cc(CN2CCOC[C@H]2[C@@H]2CCCO2)nc2cc[nH]n21 ZINC000552138065 288266138 /nfs/dbraw/zinc/26/61/38/288266138.db2.gz NHPSRIALTBKPJE-STQMWFEESA-N 0 2 304.350 0.402 20 0 DCADLN C[C@H]1CCNC(=O)[C@@H]1NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000562466505 288578067 /nfs/dbraw/zinc/57/80/67/288578067.db2.gz DWZFUZHPPMGLDN-GZMMTYOYSA-N 0 2 316.317 0.284 20 0 DCADLN Cc1cc(N2CC3N=NC(=O)N3C[C@@H]2C(=O)[O-])nc(C(C)C)[nH+]1 ZINC000424379166 301344662 /nfs/dbraw/zinc/34/46/62/301344662.db2.gz UXFPTAVZGFKKDZ-SECBINFHSA-N 0 2 318.337 0.679 20 0 DCADLN Cn1ccc(C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)cc1=O ZINC000170747842 332875074 /nfs/dbraw/zinc/87/50/74/332875074.db2.gz HIIMSVKAGBPEIP-UHFFFAOYSA-N 0 2 312.285 0.981 20 0 DCADLN CO[C@@H]1CCN(C(=O)Cc2cn3ccccc3[nH+]2)[C@@H](C(=O)[O-])C1 ZINC000584064813 331660152 /nfs/dbraw/zinc/66/01/52/331660152.db2.gz OOXODHWSRBQISJ-CHWSQXEVSA-N 0 2 317.345 0.967 20 0 DCADLN CO[C@@H]1CCN(C(=O)Cc2cn3ccccc3[nH+]2)[C@H](C(=O)[O-])C1 ZINC000584064814 331660206 /nfs/dbraw/zinc/66/02/06/331660206.db2.gz OOXODHWSRBQISJ-OLZOCXBDSA-N 0 2 317.345 0.967 20 0 DCADLN Cn1cc[nH+]c1[C@@H](NC(=O)[C@@H]1C[N@H+]2CCN1CCC2)C1CC1 ZINC000580357890 336260126 /nfs/dbraw/zinc/26/01/26/336260126.db2.gz PXDZPXZFSBTXEI-KBPBESRZSA-N 0 2 303.410 0.377 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)Cc3nncn3C)n[nH]2)cc1 ZINC000582884935 337214958 /nfs/dbraw/zinc/21/49/58/337214958.db2.gz AKFPOHFCQLXSSI-UHFFFAOYSA-N 0 2 318.362 0.883 20 0 DCADLN CCO[C@@H]1C[C@H]1NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000575980555 341818114 /nfs/dbraw/zinc/81/81/14/341818114.db2.gz MHRYMOYBQKUHBB-VXNVDRBHSA-N 0 2 304.306 0.652 20 0 DCADLN CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000576993875 341874235 /nfs/dbraw/zinc/87/42/35/341874235.db2.gz FLAOHHXUACWWHL-XQQFMLRXSA-N 0 2 310.394 0.666 20 0 DCADLN O=C([O-])[C@H]1[C@H](C[NH+]2CC(CNC(=O)C(F)(F)F)C2)C1(F)F ZINC001601749500 1159781712 /nfs/dbraw/zinc/78/17/12/1159781712.db2.gz KVKMFQHPLZXAPP-NKWVEPMBSA-N 0 2 316.226 0.563 20 0 DCADLN O=C(CN1CCCC[C@H]1c1n[nH]c(=O)[nH]1)NCC(F)(F)F ZINC000330849306 534531693 /nfs/dbraw/zinc/53/16/93/534531693.db2.gz PTDVHPNIVLFLRO-ZETCQYMHSA-N 0 2 307.276 0.716 20 0 DCADLN CCn1cc(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)cn1 ZINC000131909804 523435863 /nfs/dbraw/zinc/43/58/63/523435863.db2.gz QJNLGLQCFMVADA-NSHDSACASA-N 0 2 311.367 0.862 20 0 DCADLN CN(C(=O)C1=NN(c2ccccc2)CC1=O)[C@H]1CCN(C)C1=O ZINC000266427579 523964974 /nfs/dbraw/zinc/96/49/74/523964974.db2.gz CFZFELOJJPXVIE-LBPRGKRZSA-N 0 2 314.345 0.881 20 0 DCADLN COC(=O)[C@H]1[C@@H](O)CCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000189606769 523997602 /nfs/dbraw/zinc/99/76/02/523997602.db2.gz XNGGIZZGNIIHHA-GXSJLCMTSA-N 0 2 323.374 0.483 20 0 DCADLN C[C@H]1CCC[C@@H]1NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267658104 524170417 /nfs/dbraw/zinc/17/04/17/524170417.db2.gz WISSWOIGOPIEFY-XVKPBYJWSA-N 0 2 324.362 0.903 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cnn(CC(F)(F)F)c2)no1 ZINC000186497353 527000350 /nfs/dbraw/zinc/00/03/50/527000350.db2.gz MRCADOXWZIUHLG-UHFFFAOYSA-N 0 2 311.245 0.938 20 0 DCADLN CCn1nccc1/C=C/C(=O)NS(=O)(=O)c1cnn(C)c1C ZINC000493700108 535848385 /nfs/dbraw/zinc/84/83/85/535848385.db2.gz ZNCALHJMCAMWSI-AATRIKPKSA-N 0 2 323.378 0.463 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCOC[C@H](O)C2)c1 ZINC000424870849 536917178 /nfs/dbraw/zinc/91/71/78/536917178.db2.gz VKWMQUVUNNRTJE-FIKIJFGZSA-N 0 2 313.375 0.023 20 0 DCADLN CCC[N@@H+]1CC[C@@H](NC(=O)C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000668946562 546888216 /nfs/dbraw/zinc/88/82/16/546888216.db2.gz ARFGHVNSQAWOHX-OLZOCXBDSA-N 0 2 319.409 0.504 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCCc2ccc3c[nH]nc3c2)[C@@H](C[NH3+])C1 ZINC000669582650 546993742 /nfs/dbraw/zinc/99/37/42/546993742.db2.gz AMPHHRCQGXKGNW-AWEZNQCLSA-N 0 2 316.409 0.390 20 0 DCADLN C[N@H+]1CCN(C(=O)NC[C@@H]2COc3ccccc32)[C@@H](C[NH3+])C1 ZINC000669587916 546994645 /nfs/dbraw/zinc/99/46/45/546994645.db2.gz ZBMWGOLKGRYKIA-OLZOCXBDSA-N 0 2 304.394 0.447 20 0 DCADLN O=C(NC[C@H]1CCCNC1=O)C1=NN(c2ccccc2)CC1=O ZINC000670430418 547087448 /nfs/dbraw/zinc/08/74/48/547087448.db2.gz XUFQXLCCBDENLR-LLVKDONJSA-N 0 2 314.345 0.834 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(F)c(C(N)=O)c1 ZINC000673461543 547480981 /nfs/dbraw/zinc/48/09/81/547480981.db2.gz SWDFNYJELOAQDJ-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccc(N2CCNC2=O)c1 ZINC000673898805 547535784 /nfs/dbraw/zinc/53/57/84/547535784.db2.gz QIYMTLOEQFWTTQ-UHFFFAOYSA-N 0 2 317.309 0.362 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(OC2CCOCC2)cc1 ZINC000673949824 547545490 /nfs/dbraw/zinc/54/54/90/547545490.db2.gz XOJUUXUWVORKHI-UHFFFAOYSA-N 0 2 318.333 0.998 20 0 DCADLN C[C@H](C(=O)NCCc1ccc(F)cc1)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231144 547746927 /nfs/dbraw/zinc/74/69/27/547746927.db2.gz HXKKALYRTYPINZ-BXUZGUMPSA-N 0 2 324.352 0.658 20 0 DCADLN C[C@H](C(=O)NCCc1ccc(F)cc1)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231144 547746930 /nfs/dbraw/zinc/74/69/30/547746930.db2.gz HXKKALYRTYPINZ-BXUZGUMPSA-N 0 2 324.352 0.658 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231331 547747067 /nfs/dbraw/zinc/74/70/67/547747067.db2.gz LKSDXWVAJNGJPD-CQSZACIVSA-N 0 2 324.352 0.960 20 0 DCADLN CCN(Cc1cccc(F)c1)C(=O)C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231331 547747069 /nfs/dbraw/zinc/74/70/69/547747069.db2.gz LKSDXWVAJNGJPD-CQSZACIVSA-N 0 2 324.352 0.960 20 0 DCADLN Cc1cc(C(=O)N2CCCOC[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000676508514 547783098 /nfs/dbraw/zinc/78/30/98/547783098.db2.gz TZLVTPQYMSSFKS-VIFPVBQESA-N 0 2 318.333 0.995 20 0 DCADLN Cc1[nH]nc(C(=O)Nc2ccc(OCCC(N)=O)cc2)c1O ZINC000676631048 547796953 /nfs/dbraw/zinc/79/69/53/547796953.db2.gz KUFJNJBPRKIDIU-UHFFFAOYSA-N 0 2 304.306 0.930 20 0 DCADLN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)c2n[nH]c(C)c2O)C1 ZINC000676696385 547805449 /nfs/dbraw/zinc/80/54/49/547805449.db2.gz XUTPQJRTAGQJKX-GXSJLCMTSA-N 0 2 324.381 0.427 20 0 DCADLN O=c1cc(CN2CCOC[C@H]2C(F)(F)F)nc2cc[nH]n21 ZINC000677723606 547898639 /nfs/dbraw/zinc/89/86/39/547898639.db2.gz DMOSACJJTTYTHX-VIFPVBQESA-N 0 2 302.256 0.786 20 0 DCADLN NC(=O)NC1(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000678075969 547944292 /nfs/dbraw/zinc/94/42/92/547944292.db2.gz HRABXNZTPSFNAV-UHFFFAOYSA-N 0 2 303.278 0.169 20 0 DCADLN O=C(N[C@H](CO)CC1CC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000679272107 548037824 /nfs/dbraw/zinc/03/78/24/548037824.db2.gz JXPWBOVWMDSWEL-LBPRGKRZSA-N 0 2 303.318 0.921 20 0 DCADLN Cn1cc(CNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)nn1 ZINC000680321092 548131222 /nfs/dbraw/zinc/13/12/22/548131222.db2.gz IASIERGGTXMHGS-UHFFFAOYSA-N 0 2 300.278 0.088 20 0 DCADLN CC(C)[C@@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccnn1C ZINC000682684476 548418962 /nfs/dbraw/zinc/41/89/62/548418962.db2.gz HLDKLTZEHVTODC-SECBINFHSA-N 0 2 324.410 0.892 20 0 DCADLN Cc1nn(CC(=O)C2CCCC2)c(=O)c(-c2nn[nH]n2)c1C ZINC000737513712 599161830 /nfs/dbraw/zinc/16/18/30/599161830.db2.gz SEDYJQRIPCSRBH-UHFFFAOYSA-N 0 2 302.338 0.800 20 0 DCADLN O=C([O-])CSCC(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000740485739 597095083 /nfs/dbraw/zinc/09/50/83/597095083.db2.gz LIFPPXFGHAISMO-LBPRGKRZSA-N 0 2 316.423 0.518 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(C(=O)N2CCC[C@@H]2C(=O)[O-])CC1 ZINC000819078010 597156891 /nfs/dbraw/zinc/15/68/91/597156891.db2.gz GCVUDWPOVWJOPF-CYBMUJFWSA-N 0 2 320.393 0.708 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N[C@@H](C)C(=O)[O-] ZINC000818999878 597322059 /nfs/dbraw/zinc/32/20/59/597322059.db2.gz BNQOQXXGWYTOJT-JTQLQIEISA-N 0 2 308.338 0.821 20 0 DCADLN C[NH+](C)CCN(CC(=O)[O-])C(=O)c1cc(F)c(O)c(F)c1 ZINC000820539278 597670682 /nfs/dbraw/zinc/67/06/82/597670682.db2.gz JKDFOSVJRRQZPE-UHFFFAOYSA-N 0 2 302.277 0.759 20 0 DCADLN NC(=O)C[N@@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])cc2)CC1 ZINC000821350106 598156023 /nfs/dbraw/zinc/15/60/23/598156023.db2.gz MHHHNCNJEVVPPU-UHFFFAOYSA-N 0 2 305.334 0.018 20 0 DCADLN NC(=O)C[N@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])cc2)CC1 ZINC000821350106 598156024 /nfs/dbraw/zinc/15/60/24/598156024.db2.gz MHHHNCNJEVVPPU-UHFFFAOYSA-N 0 2 305.334 0.018 20 0 DCADLN CCOCC[N@@H+]1CCN(S(=O)(=O)CCCC(=O)[O-])C[C@@H]1C ZINC000737178054 600500469 /nfs/dbraw/zinc/50/04/69/600500469.db2.gz ZZIGPRFOZMYQOT-LBPRGKRZSA-N 0 2 322.427 0.224 20 0 DCADLN Cc1ccn(Cc2noc(C(C)C)n2)c(=O)c1-c1nn[nH]n1 ZINC000822373934 607349514 /nfs/dbraw/zinc/34/95/14/607349514.db2.gz GGAFMVIZZXGWEU-UHFFFAOYSA-N 0 2 301.310 0.892 20 0 DCADLN CCOCC[N@H+]1CCN(S(=O)(=O)CCCC(=O)[O-])C[C@@H]1C ZINC000737178054 600500470 /nfs/dbraw/zinc/50/04/70/600500470.db2.gz ZZIGPRFOZMYQOT-LBPRGKRZSA-N 0 2 322.427 0.224 20 0 DCADLN COc1cccc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)n1 ZINC000737131280 598788839 /nfs/dbraw/zinc/78/88/39/598788839.db2.gz BNKSTPFCNLFOID-UHFFFAOYSA-N 0 2 313.321 0.492 20 0 DCADLN O=C([O-])C1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)CC1 ZINC000262081351 599914036 /nfs/dbraw/zinc/91/40/36/599914036.db2.gz FFVMWEJJFPXQSX-GFCCVEGCSA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])C1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)CC1 ZINC000262081351 599914038 /nfs/dbraw/zinc/91/40/38/599914038.db2.gz FFVMWEJJFPXQSX-GFCCVEGCSA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])c1ccc(OCCNC(=O)NCCn2cc[nH+]c2)cc1 ZINC000739427857 599957062 /nfs/dbraw/zinc/95/70/62/599957062.db2.gz VXJZXEWMJYDWRE-UHFFFAOYSA-N 0 2 318.333 0.960 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)c1cccc(C(=O)[O-])c1 ZINC000736586763 600076296 /nfs/dbraw/zinc/07/62/96/600076296.db2.gz QISRGYQDENONHB-GFCCVEGCSA-N 0 2 305.378 0.751 20 0 DCADLN O=C([O-])CC[N@@H+](Cc1cc(=O)n2[nH]ccc2n1)C[C@H]1CCCO1 ZINC000739724654 600514179 /nfs/dbraw/zinc/51/41/79/600514179.db2.gz PGBRGPXURYEAPV-GFCCVEGCSA-N 0 2 320.349 0.478 20 0 DCADLN O=C([O-])CC[N@H+](Cc1cc(=O)n2[nH]ccc2n1)C[C@H]1CCCO1 ZINC000739724654 600514181 /nfs/dbraw/zinc/51/41/81/600514181.db2.gz PGBRGPXURYEAPV-GFCCVEGCSA-N 0 2 320.349 0.478 20 0 DCADLN CC(C)C[C@H](NC(=O)COC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000826794118 600680902 /nfs/dbraw/zinc/68/09/02/600680902.db2.gz VFZWXCFXMIEWDI-NWDGAFQWSA-N 0 2 314.382 0.629 20 0 DCADLN CC(C)C[C@H](NC(=O)COC(=O)[C@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000826794118 600680904 /nfs/dbraw/zinc/68/09/04/600680904.db2.gz VFZWXCFXMIEWDI-NWDGAFQWSA-N 0 2 314.382 0.629 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+](C)Cc1cnn(-c2ccccc2)c1 ZINC000826001751 600983345 /nfs/dbraw/zinc/98/33/45/600983345.db2.gz ZACYAMHAVQQLJZ-LBPRGKRZSA-N 0 2 316.361 0.893 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+](C)Cc1cnn(-c2ccccc2)c1 ZINC000826001751 600983346 /nfs/dbraw/zinc/98/33/46/600983346.db2.gz ZACYAMHAVQQLJZ-LBPRGKRZSA-N 0 2 316.361 0.893 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)cc1 ZINC000388247080 601084778 /nfs/dbraw/zinc/08/47/78/601084778.db2.gz UQSHTCORZKEDJG-AWEZNQCLSA-N 0 2 304.346 0.932 20 0 DCADLN O=C([O-])c1cn(CC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)nn1 ZINC000833121521 601274984 /nfs/dbraw/zinc/27/49/84/601274984.db2.gz VXGGOQMBLBQVTF-UHFFFAOYSA-N 0 2 312.289 0.801 20 0 DCADLN O=C([O-])c1cn(C[NH+]2CCC(OC[C@@H]3CCCCO3)CC2)nn1 ZINC000833338490 601400725 /nfs/dbraw/zinc/40/07/25/601400725.db2.gz QFGJKUSRGQYUFQ-ZDUSSCGKSA-N 0 2 324.381 0.984 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2CCc3ccccc3[C@@H]2C(=O)[O-])n1 ZINC000833091482 601548142 /nfs/dbraw/zinc/54/81/42/601548142.db2.gz RATSEAAPGMYFDE-LLVKDONJSA-N 0 2 300.322 0.220 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2CCc3ccccc3[C@@H]2C(=O)[O-])n1 ZINC000833091482 601548144 /nfs/dbraw/zinc/54/81/44/601548144.db2.gz RATSEAAPGMYFDE-LLVKDONJSA-N 0 2 300.322 0.220 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2ccsc2C(=O)[O-])CC1 ZINC000832730574 601831550 /nfs/dbraw/zinc/83/15/50/601831550.db2.gz QRNXCEGAFTYGJB-FQEVSTJZSA-N 0 2 316.448 0.942 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)C1 ZINC000828074843 602066908 /nfs/dbraw/zinc/06/69/08/602066908.db2.gz UQMHMUPPDSHAEP-HNNXBMFYSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C1 ZINC000828074843 602066912 /nfs/dbraw/zinc/06/69/12/602066912.db2.gz UQMHMUPPDSHAEP-HNNXBMFYSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCc2ccn3cc[nH+]c3c2)c[nH]1 ZINC000833304132 602401872 /nfs/dbraw/zinc/40/18/72/602401872.db2.gz QNZIKRJEZHLAJI-UHFFFAOYSA-N 0 2 320.330 0.839 20 0 DCADLN C[C@H](O)C[NH+]1CCN(C(=O)c2ccc(CNC(=O)[O-])o2)CC1 ZINC000825528785 602870398 /nfs/dbraw/zinc/87/03/98/602870398.db2.gz SSBBOLQIDYLBCY-JTQLQIEISA-N 0 2 311.338 0.186 20 0 DCADLN CCC[C@H](NC(=O)[O-])C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000826745298 603036655 /nfs/dbraw/zinc/03/66/55/603036655.db2.gz WPEVFCVWQBDRAG-OLZOCXBDSA-N 0 2 313.398 0.746 20 0 DCADLN O=C([O-])NCc1ccc(C(=O)N[C@H]2CCc3[nH+]ccn3C2)o1 ZINC000832689937 603424254 /nfs/dbraw/zinc/42/42/54/603424254.db2.gz CEILOYQJSHJZDG-VIFPVBQESA-N 0 2 304.306 0.989 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cc2ccccc2F)[C@H](CNC(=O)[O-])C1 ZINC000828507041 603507382 /nfs/dbraw/zinc/50/73/82/603507382.db2.gz MJQAHDURVHROCC-GFCCVEGCSA-N 0 2 309.341 0.778 20 0 DCADLN C[N@H+]1CCN(C(=O)Cc2ccccc2F)[C@H](CNC(=O)[O-])C1 ZINC000828507041 603507383 /nfs/dbraw/zinc/50/73/83/603507383.db2.gz MJQAHDURVHROCC-GFCCVEGCSA-N 0 2 309.341 0.778 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])[nH]n1 ZINC000826950260 603509097 /nfs/dbraw/zinc/50/90/97/603509097.db2.gz YEZKTDCWXVCIOF-LLVKDONJSA-N 0 2 309.370 0.386 20 0 DCADLN CCCc1cc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])[nH]n1 ZINC000826950260 603509099 /nfs/dbraw/zinc/50/90/99/603509099.db2.gz YEZKTDCWXVCIOF-LLVKDONJSA-N 0 2 309.370 0.386 20 0 DCADLN CCn1nc(C)cc1C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000827718033 603516361 /nfs/dbraw/zinc/51/63/61/603516361.db2.gz VNNZWQRJXXIJAL-NSHDSACASA-N 0 2 309.370 0.235 20 0 DCADLN CCn1nc(C)cc1C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000827718033 603516364 /nfs/dbraw/zinc/51/63/64/603516364.db2.gz VNNZWQRJXXIJAL-NSHDSACASA-N 0 2 309.370 0.235 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)ccc2F)[C@H](CNC(=O)[O-])C1 ZINC000828506314 603521048 /nfs/dbraw/zinc/52/10/48/603521048.db2.gz FSGZGPOWFOLEGJ-SNVBAGLBSA-N 0 2 313.304 0.989 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)ccc2F)[C@H](CNC(=O)[O-])C1 ZINC000828506314 603521049 /nfs/dbraw/zinc/52/10/49/603521049.db2.gz FSGZGPOWFOLEGJ-SNVBAGLBSA-N 0 2 313.304 0.989 20 0 DCADLN C[C@H]1C[N@@H+]([C@@H]2CCN(C3CCOCC3)C2=O)CCN1C(=O)[O-] ZINC000826049197 603625380 /nfs/dbraw/zinc/62/53/80/603625380.db2.gz KSVZHAZKINSCGL-WCQYABFASA-N 0 2 311.382 0.450 20 0 DCADLN C[C@H]1C[N@H+]([C@@H]2CCN(C3CCOCC3)C2=O)CCN1C(=O)[O-] ZINC000826049197 603625385 /nfs/dbraw/zinc/62/53/85/603625385.db2.gz KSVZHAZKINSCGL-WCQYABFASA-N 0 2 311.382 0.450 20 0 DCADLN C[C@H]1C[N@@H+]([C@H]2CCN(C3CCOCC3)C2=O)CCN1C(=O)[O-] ZINC000826049195 603625545 /nfs/dbraw/zinc/62/55/45/603625545.db2.gz KSVZHAZKINSCGL-AAEUAGOBSA-N 0 2 311.382 0.450 20 0 DCADLN C[C@H]1C[N@H+]([C@H]2CCN(C3CCOCC3)C2=O)CCN1C(=O)[O-] ZINC000826049195 603625552 /nfs/dbraw/zinc/62/55/52/603625552.db2.gz KSVZHAZKINSCGL-AAEUAGOBSA-N 0 2 311.382 0.450 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)C1(CNC(=O)[O-])CCCC1 ZINC000824908676 603631537 /nfs/dbraw/zinc/63/15/37/603631537.db2.gz VZKSNRQYMWDEFR-GFCCVEGCSA-N 0 2 313.398 0.651 20 0 DCADLN CC(C)C[C@@](C)(CNC(=O)[O-])NC(=O)[C@H]1C[N@H+](C)CCO1 ZINC000824175532 603800546 /nfs/dbraw/zinc/80/05/46/603800546.db2.gz ATTNTNJTLTZUJK-RISCZKNCSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@@](C)(CNC(=O)[O-])NC(=O)[C@H]1C[N@@H+](C)CCO1 ZINC000824175532 603800551 /nfs/dbraw/zinc/80/05/51/603800551.db2.gz ATTNTNJTLTZUJK-RISCZKNCSA-N 0 2 301.387 0.506 20 0 DCADLN C[NH+](C)CC(=O)Nc1ccc(-c2nnc(CNC(=O)[O-])[nH]2)cc1 ZINC000828017662 603911541 /nfs/dbraw/zinc/91/15/41/603911541.db2.gz SRGKRZMWSNQLBH-UHFFFAOYSA-N 0 2 318.337 0.739 20 0 DCADLN C[C@]1(C(=O)NCC[N@@H+]2CCOCC2(C)C)CCN(C(=O)[O-])C1 ZINC000825663334 603925810 /nfs/dbraw/zinc/92/58/10/603925810.db2.gz OEZDXRACTGEHPI-HNNXBMFYSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@]1(C(=O)NCC[N@H+]2CCOCC2(C)C)CCN(C(=O)[O-])C1 ZINC000825663334 603925813 /nfs/dbraw/zinc/92/58/13/603925813.db2.gz OEZDXRACTGEHPI-HNNXBMFYSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)CCN1C(=O)[O-] ZINC000826093846 604112613 /nfs/dbraw/zinc/11/26/13/604112613.db2.gz MVNIGIQOUGRUIT-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCOC[C@@H]2C)CCN1C(=O)[O-] ZINC000826093846 604112614 /nfs/dbraw/zinc/11/26/14/604112614.db2.gz MVNIGIQOUGRUIT-NEPJUHHUSA-N 0 2 314.386 0.101 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)N[C@@H]1CCSC1 ZINC000828315833 604232476 /nfs/dbraw/zinc/23/24/76/604232476.db2.gz HFIMJRPGQMJPAO-LLVKDONJSA-N 0 2 316.427 0.429 20 0 DCADLN C[N@@H+]1CCN(C(=O)CC[C@H]2CCCCO2)[C@H](CNC(=O)[O-])C1 ZINC000828493916 604411790 /nfs/dbraw/zinc/41/17/90/604411790.db2.gz FNBXDLVGHBQTAM-CHWSQXEVSA-N 0 2 313.398 0.746 20 0 DCADLN C[N@H+]1CCN(C(=O)CC[C@H]2CCCCO2)[C@H](CNC(=O)[O-])C1 ZINC000828493916 604411792 /nfs/dbraw/zinc/41/17/92/604411792.db2.gz FNBXDLVGHBQTAM-CHWSQXEVSA-N 0 2 313.398 0.746 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cc2ccccc2O)[C@@H](CNC(=O)[O-])C1 ZINC000828508244 604632394 /nfs/dbraw/zinc/63/23/94/604632394.db2.gz ZIYQXHIZEDVXRL-LBPRGKRZSA-N 0 2 307.350 0.345 20 0 DCADLN C[N@H+]1CCN(C(=O)Cc2ccccc2O)[C@@H](CNC(=O)[O-])C1 ZINC000828508244 604632396 /nfs/dbraw/zinc/63/23/96/604632396.db2.gz ZIYQXHIZEDVXRL-LBPRGKRZSA-N 0 2 307.350 0.345 20 0 DCADLN C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+](C)[C@@H]1CCNC1=O ZINC000833404186 604787796 /nfs/dbraw/zinc/78/77/96/604787796.db2.gz IVJLOOLPHWMOGQ-GXFFZTMASA-N 0 2 319.361 0.210 20 0 DCADLN C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@@H+](C)[C@@H]1CCNC1=O ZINC000833404186 604787799 /nfs/dbraw/zinc/78/77/99/604787799.db2.gz IVJLOOLPHWMOGQ-GXFFZTMASA-N 0 2 319.361 0.210 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(C(=O)[O-])o2)CC[N@H+]1C ZINC000833589693 604801642 /nfs/dbraw/zinc/80/16/42/604801642.db2.gz XCSMIBNKHJLGJI-BDAKNGLRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(C(=O)[O-])o2)CC[N@@H+]1C ZINC000833589693 604801645 /nfs/dbraw/zinc/80/16/45/604801645.db2.gz XCSMIBNKHJLGJI-BDAKNGLRSA-N 0 2 302.352 0.691 20 0 DCADLN O=C([O-])N1CCO[C@@H](CC(=O)N2CC(Oc3cc[nH+]cc3)C2)C1 ZINC000832059733 604822595 /nfs/dbraw/zinc/82/25/95/604822595.db2.gz BXOPWAIMKGFHFR-LBPRGKRZSA-N 0 2 321.333 0.440 20 0 DCADLN O=C([O-])N1CCO[C@@H](CC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC000832060427 604822619 /nfs/dbraw/zinc/82/26/19/604822619.db2.gz LCQXHMPGURGZHJ-RYUDHWBXSA-N 0 2 322.365 0.885 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC000832049845 604822747 /nfs/dbraw/zinc/82/27/47/604822747.db2.gz KEGUEOCLYSZPTP-WDEREUQCSA-N 0 2 308.338 0.495 20 0 DCADLN O=C(Cc1csc2nccn12)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833765637 605078273 /nfs/dbraw/zinc/07/82/73/605078273.db2.gz UCZHDHWVAITXND-JTQLQIEISA-N 0 2 319.346 0.474 20 0 DCADLN O=C(Cc1csc2nccn12)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833765637 605078277 /nfs/dbraw/zinc/07/82/77/605078277.db2.gz UCZHDHWVAITXND-JTQLQIEISA-N 0 2 319.346 0.474 20 0 DCADLN C[C@H]1CN(C(=O)c2cncc(C(=O)[O-])c2)C[C@@H]1[NH+]1CCOCC1 ZINC000833622947 605114039 /nfs/dbraw/zinc/11/40/39/605114039.db2.gz NACPMZILWCFXPA-FZMZJTMJSA-N 0 2 319.361 0.573 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)CCNC(=O)[O-] ZINC000830765605 605199197 /nfs/dbraw/zinc/19/91/97/605199197.db2.gz UUQSJNCLLNYEOX-UHFFFAOYSA-N 0 2 308.338 0.823 20 0 DCADLN O=C([O-])N1CC[NH+](CCN2C(=O)[C@@H]3CCCCN3C2=O)CC1 ZINC000834112877 605344607 /nfs/dbraw/zinc/34/46/07/605344607.db2.gz KGCLJIVJRLAWDI-NSHDSACASA-N 0 2 310.354 0.099 20 0 DCADLN CC(C)(C)[C@H](NC(=O)[O-])C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000823843762 605391901 /nfs/dbraw/zinc/39/19/01/605391901.db2.gz PLTYRAQUKJGPFR-NWDGAFQWSA-N 0 2 313.398 0.602 20 0 DCADLN CC(C)(C(=O)N1CCC(CO)CC1)[NH+]1CCN(C(=O)[O-])CC1 ZINC000823738585 605434341 /nfs/dbraw/zinc/43/43/41/605434341.db2.gz ZCGKBTWSHXUXQU-UHFFFAOYSA-N 0 2 313.398 0.292 20 0 DCADLN NC(=O)c1cnn2cc(C[N@@H+]3CCCN(C(=O)[O-])CC3)cnc12 ZINC000833986201 605476326 /nfs/dbraw/zinc/47/63/26/605476326.db2.gz KYBBCRVQQAGVIM-UHFFFAOYSA-N 0 2 318.337 0.014 20 0 DCADLN NC(=O)c1cnn2cc(C[N@H+]3CCCN(C(=O)[O-])CC3)cnc12 ZINC000833986201 605476331 /nfs/dbraw/zinc/47/63/31/605476331.db2.gz KYBBCRVQQAGVIM-UHFFFAOYSA-N 0 2 318.337 0.014 20 0 DCADLN O=C([O-])N[C@H]1CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000834185479 605549812 /nfs/dbraw/zinc/54/98/12/605549812.db2.gz ZDQLCBVUJRTCHG-JTQLQIEISA-N 0 2 301.306 0.749 20 0 DCADLN C[C@H](CNC(=O)NC(C)(C)CNC(=O)[O-])[NH+]1CCOCC1 ZINC000824939324 605562950 /nfs/dbraw/zinc/56/29/50/605562950.db2.gz KTLBELRUHHXEKY-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000824292428 605578321 /nfs/dbraw/zinc/57/83/21/605578321.db2.gz WNNIFRARWIZJTM-LBPRGKRZSA-N 0 2 315.414 0.896 20 0 DCADLN O=C([O-])N1CC[C@@H]([NH+]2CCN(C(=O)CC(F)(F)F)CC2)C1 ZINC000834085409 605585122 /nfs/dbraw/zinc/58/51/22/605585122.db2.gz SBNQSZUBECCUEG-SECBINFHSA-N 0 2 309.288 0.835 20 0 DCADLN O=C([O-])N(CC(=O)NC[C@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000834004262 605662944 /nfs/dbraw/zinc/66/29/44/605662944.db2.gz UCRWARNCCHYWGC-AWEZNQCLSA-N 0 2 318.377 0.287 20 0 DCADLN NC(=O)C[C@@H](NC(=O)[O-])C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000833982641 605667445 /nfs/dbraw/zinc/66/74/45/605667445.db2.gz BIBZAOGAJINHKM-LLVKDONJSA-N 0 2 317.305 0.322 20 0 DCADLN CC[C@](C)(CNC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000833842758 605694631 /nfs/dbraw/zinc/69/46/31/605694631.db2.gz YCHKZEHJDQARCP-OAHLLOKOSA-N 0 2 315.414 0.897 20 0 DCADLN CC[C@](C)(CNC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000833842758 605694633 /nfs/dbraw/zinc/69/46/33/605694633.db2.gz YCHKZEHJDQARCP-OAHLLOKOSA-N 0 2 315.414 0.897 20 0 DCADLN C[C@@H](CNC(=O)[O-])CNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000833809381 605967915 /nfs/dbraw/zinc/96/79/15/605967915.db2.gz UCYQVUIIHYPXNR-VXGBXAGGSA-N 0 2 321.381 0.863 20 0 DCADLN O=C([O-])N(CC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)C1CC1 ZINC000834067931 605969577 /nfs/dbraw/zinc/96/95/77/605969577.db2.gz ITRGOFWZMBIFIY-ZDUSSCGKSA-N 0 2 311.382 0.452 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834017742 605975618 /nfs/dbraw/zinc/97/56/18/605975618.db2.gz JLZMYPASWLIXBG-NEPJUHHUSA-N 0 2 320.349 0.155 20 0 DCADLN O=C([O-])N[C@H](CC(F)F)C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000834133175 606070182 /nfs/dbraw/zinc/07/01/82/606070182.db2.gz OVTAOPJTVZVUKB-VHSXEESVSA-N 0 2 321.324 0.211 20 0 DCADLN Cc1noc(C)c1NC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000833932581 606086405 /nfs/dbraw/zinc/08/64/05/606086405.db2.gz PGFXKUBCEQAOEG-SNVBAGLBSA-N 0 2 311.342 0.707 20 0 DCADLN Cc1noc(C)c1NC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000833932581 606086409 /nfs/dbraw/zinc/08/64/09/606086409.db2.gz PGFXKUBCEQAOEG-SNVBAGLBSA-N 0 2 311.342 0.707 20 0 DCADLN COCCONC(=O)CSCc1ccc(-c2nn[nH]n2)cc1 ZINC000821692967 606367326 /nfs/dbraw/zinc/36/73/26/606367326.db2.gz LJNUSNGJTNUNQH-UHFFFAOYSA-N 0 2 323.378 0.794 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(F)cc1-c1nn[nH]n1 ZINC000823265721 606807040 /nfs/dbraw/zinc/80/70/40/606807040.db2.gz FNEACIRUQMFDRW-UHFFFAOYSA-N 0 2 319.260 0.151 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)n1)Nc1cccc(Cl)c1O ZINC000826425572 607997062 /nfs/dbraw/zinc/99/70/62/607997062.db2.gz JYNNTDKXYRLXQI-UHFFFAOYSA-N 0 2 320.700 0.456 20 0 DCADLN O=S1(=O)CCCC[C@H]1CNCc1ccc(-c2nn[nH]n2)o1 ZINC000826496929 608133853 /nfs/dbraw/zinc/13/38/53/608133853.db2.gz OELVSBREYOPMOO-JTQLQIEISA-N 0 2 311.367 0.517 20 0 DCADLN O=c1[n-]c(C[N@H+]2CC=C(c3ccc(-c4nn[nH]n4)cc3)CC2)n[nH]1 ZINC000826501915 608420927 /nfs/dbraw/zinc/42/09/27/608420927.db2.gz MBCLSIJSSWTWND-UHFFFAOYSA-N 0 2 324.348 0.980 20 0 DCADLN O=c1[n-]c(C[N@@H+]2CC=C(c3ccc(-c4nn[nH]n4)cc3)CC2)n[nH]1 ZINC000826501915 608420929 /nfs/dbraw/zinc/42/09/29/608420929.db2.gz MBCLSIJSSWTWND-UHFFFAOYSA-N 0 2 324.348 0.980 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)n1C ZINC001027752443 660693565 /nfs/dbraw/zinc/69/35/65/660693565.db2.gz BDWCRJQKORUYFB-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)n1C ZINC001027752443 660693567 /nfs/dbraw/zinc/69/35/67/660693567.db2.gz BDWCRJQKORUYFB-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1ccncc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027805538 660757937 /nfs/dbraw/zinc/75/79/37/660757937.db2.gz VBKNDCQYVJWFRV-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccncc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027805538 660757939 /nfs/dbraw/zinc/75/79/39/660757939.db2.gz VBKNDCQYVJWFRV-NSHDSACASA-N 0 2 316.365 0.608 20 0 DCADLN C[C@H]1CC[C@@H](CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000950023482 660787124 /nfs/dbraw/zinc/78/71/24/660787124.db2.gz SNHOUSTXHUCOFU-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN CCn1ccnc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027853895 660842110 /nfs/dbraw/zinc/84/21/10/660842110.db2.gz AGUHTSOEZLQGAK-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN CCn1ccnc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027853895 660842113 /nfs/dbraw/zinc/84/21/13/660842113.db2.gz AGUHTSOEZLQGAK-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1C ZINC001010189469 660956179 /nfs/dbraw/zinc/95/61/79/660956179.db2.gz WTAUQMCYKCLWLY-SNVBAGLBSA-N 0 2 304.354 0.162 20 0 DCADLN O=C(Cc1ccsc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980653998 660977063 /nfs/dbraw/zinc/97/70/63/660977063.db2.gz LKCQYKZODUJATK-UHFFFAOYSA-N 0 2 321.406 0.849 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)[C@H](C)C2)c1[O-] ZINC000947211214 661045689 /nfs/dbraw/zinc/04/56/89/661045689.db2.gz LRZPCMLPTMPNRO-PSASIEDQSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)[C@H](C)C2)c1[O-] ZINC000947211214 661045690 /nfs/dbraw/zinc/04/56/90/661045690.db2.gz LRZPCMLPTMPNRO-PSASIEDQSA-N 0 2 320.353 0.590 20 0 DCADLN Cn1nnnc1CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981206757 661100476 /nfs/dbraw/zinc/10/04/76/661100476.db2.gz GAUHJKBPWJLANG-SECBINFHSA-N 0 2 324.282 0.145 20 0 DCADLN Cn1nnnc1CN1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000981206757 661100477 /nfs/dbraw/zinc/10/04/77/661100477.db2.gz GAUHJKBPWJLANG-SECBINFHSA-N 0 2 324.282 0.145 20 0 DCADLN C[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)c1ccco1 ZINC000981940860 661250561 /nfs/dbraw/zinc/25/05/61/661250561.db2.gz UWGBRHFUKYSNPX-LLVKDONJSA-N 0 2 319.365 0.941 20 0 DCADLN C[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)[C@@H]1CCCO1 ZINC000981914083 661246458 /nfs/dbraw/zinc/24/64/58/661246458.db2.gz YWVXYJYTVHOAHU-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@@H](C(=O)N(C)C)[N@@H+]1CCCN(C(=O)C[NH+]2CC[C@H](C)C2)CC1 ZINC000981957213 661255418 /nfs/dbraw/zinc/25/54/18/661255418.db2.gz GHNQKWAMVYVWMQ-GJZGRUSLSA-N 0 2 324.469 0.339 20 0 DCADLN Cc1cccnc1C[N@H+]1CCC[C@H]1CNC(=O)c1nnc[nH]1 ZINC001028211480 661279763 /nfs/dbraw/zinc/27/97/63/661279763.db2.gz RJMZAAPAHGQKKM-LBPRGKRZSA-N 0 2 300.366 0.903 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCC1(F)F ZINC001031758427 665454423 /nfs/dbraw/zinc/45/44/23/665454423.db2.gz ZSNIYAPLCFRYSU-MRVPVSSYSA-N 0 2 301.297 0.104 20 0 DCADLN Cc1nnc([C@H](C)N2CC([C@H](C)NC(=O)c3cnn[nH]3)C2)o1 ZINC000970031854 658371739 /nfs/dbraw/zinc/37/17/39/658371739.db2.gz LVKYSCJFVJWDOL-YUMQZZPRSA-N 0 2 305.342 0.307 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cncs1 ZINC000972841113 658473791 /nfs/dbraw/zinc/47/37/91/658473791.db2.gz GLFBCHBOKKOMQZ-SECBINFHSA-N 0 2 322.394 0.607 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cccnc1 ZINC001024301496 658555498 /nfs/dbraw/zinc/55/54/98/658555498.db2.gz DNPFLIJSPBMSMQ-GFCCVEGCSA-N 0 2 316.365 0.690 20 0 DCADLN CN(CC(=O)N1CCC[C@@H](C(=O)[O-])C1)C(=O)CCn1cc[nH+]c1 ZINC000737976862 658600714 /nfs/dbraw/zinc/60/07/14/658600714.db2.gz KKPLBKJKPOZCCY-GFCCVEGCSA-N 0 2 322.365 0.055 20 0 DCADLN CC[NH+]1CC([C@H](C)NC(=O)[C@H]2COCC[N@H+]2CC2CCC2)C1 ZINC000970213390 658747544 /nfs/dbraw/zinc/74/75/44/658747544.db2.gz MPMKXBXUTYBQHN-XJKSGUPXSA-N 0 2 309.454 0.944 20 0 DCADLN Cc1nnc([C@@H](C)N(C)[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)o1 ZINC000972427468 658752614 /nfs/dbraw/zinc/75/26/14/658752614.db2.gz XQAWNEBLYQVKSH-MFKMUULPSA-N 0 2 318.381 0.938 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC12CC2 ZINC001024682306 658769765 /nfs/dbraw/zinc/76/97/65/658769765.db2.gz YUVNNAPJAMVBTA-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC12CC2 ZINC001024682306 658769769 /nfs/dbraw/zinc/76/97/69/658769769.db2.gz YUVNNAPJAMVBTA-MNOVXSKESA-N 0 2 305.382 0.781 20 0 DCADLN COC(=O)CC1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC000742571427 658812274 /nfs/dbraw/zinc/81/22/74/658812274.db2.gz MFIRBSXUHPJSPK-VIFPVBQESA-N 0 2 313.379 0.345 20 0 DCADLN CN(Cc1nnnn1C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575322 658824388 /nfs/dbraw/zinc/82/43/88/658824388.db2.gz DEEAXPNEHSBYBS-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN CN(Cc1nnnn1C)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000971575322 658824397 /nfs/dbraw/zinc/82/43/97/658824397.db2.gz DEEAXPNEHSBYBS-IONNQARKSA-N 0 2 324.282 0.143 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)[C@@H]1CCOC1 ZINC000976243318 659255777 /nfs/dbraw/zinc/25/57/77/659255777.db2.gz ZGZZHKRKALGCDO-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)[C@@H]1CCOC1 ZINC000976243318 659255780 /nfs/dbraw/zinc/25/57/80/659255780.db2.gz ZGZZHKRKALGCDO-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN Cc1conc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000999653540 665669455 /nfs/dbraw/zinc/66/94/55/665669455.db2.gz HJQIZZAUJZWBGM-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1conc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000999653540 665669456 /nfs/dbraw/zinc/66/94/56/665669456.db2.gz HJQIZZAUJZWBGM-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccsn1 ZINC000974708349 659634827 /nfs/dbraw/zinc/63/48/27/659634827.db2.gz CGTSIQCFTQRWLE-VIFPVBQESA-N 0 2 322.394 0.607 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000974894955 659713246 /nfs/dbraw/zinc/71/32/46/659713246.db2.gz OFFFFESBFXHWQK-JTQLQIEISA-N 0 2 319.369 0.182 20 0 DCADLN Cc1nc(C)c(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC000999961685 665719136 /nfs/dbraw/zinc/71/91/36/665719136.db2.gz DHCIVLRACNDBGW-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN Cc1nc(C)c(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)[nH]1 ZINC000999961685 665719138 /nfs/dbraw/zinc/71/91/38/665719138.db2.gz DHCIVLRACNDBGW-VIFPVBQESA-N 0 2 322.262 0.868 20 0 DCADLN CCO[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1CNC(C)=O ZINC000982981665 661732672 /nfs/dbraw/zinc/73/26/72/661732672.db2.gz OAGRDSMTHGPDCV-OPRDCNLKSA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1CNC(C)=O ZINC000982981665 661732673 /nfs/dbraw/zinc/73/26/73/661732673.db2.gz OAGRDSMTHGPDCV-OPRDCNLKSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(CC1CCC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029256107 662069625 /nfs/dbraw/zinc/06/96/25/662069625.db2.gz VVFXUDILKISOGB-TXEJJXNPSA-N 0 2 305.382 0.876 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)N[C@@H]1CCN(C2CCC2)C1=O ZINC000867569902 662142839 /nfs/dbraw/zinc/14/28/39/662142839.db2.gz ZRYIZFFPSDPDSZ-GIGQVBGESA-N 0 2 323.440 0.092 20 0 DCADLN Cc1cnc([C@@H](C)[N@@H+]2CC[C@H]2CNC(=O)c2cnn[n-]2)cn1 ZINC001038453679 662330888 /nfs/dbraw/zinc/33/08/88/662330888.db2.gz UZHDXDOAMZMQAP-MNOVXSKESA-N 0 2 301.354 0.468 20 0 DCADLN Cc1cnc([C@@H](C)[N@H+]2CC[C@H]2CNC(=O)c2cnn[n-]2)cn1 ZINC001038453679 662330893 /nfs/dbraw/zinc/33/08/93/662330893.db2.gz UZHDXDOAMZMQAP-MNOVXSKESA-N 0 2 301.354 0.468 20 0 DCADLN CC(C)[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029500090 662338091 /nfs/dbraw/zinc/33/80/91/662338091.db2.gz DULADEQTOYKFCJ-SDDRHHMPSA-N 0 2 307.398 0.978 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C2CC2)C1)C(F)C(F)(F)F ZINC001040069400 662353339 /nfs/dbraw/zinc/35/33/39/662353339.db2.gz KAQVKNIEDZOUCL-LDYMZIIASA-N 0 2 312.263 0.376 20 0 DCADLN O=C(NC[C@]1(O)CCN(C(=O)C2CC2)C1)[C@@H](F)C(F)(F)F ZINC001040069400 662353340 /nfs/dbraw/zinc/35/33/40/662353340.db2.gz KAQVKNIEDZOUCL-LDYMZIIASA-N 0 2 312.263 0.376 20 0 DCADLN C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029528525 662359662 /nfs/dbraw/zinc/35/96/62/662359662.db2.gz XAFKXWKDOJIPLM-NNYUYHANSA-N 0 2 321.381 0.111 20 0 DCADLN COC[C@H](NC(=O)[C@H](C(C)C)[NH+]1C[C@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000909661346 662543628 /nfs/dbraw/zinc/54/36/28/662543628.db2.gz HHZCISXQZBEGTI-CYDGBPFRSA-N 0 2 316.398 0.336 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2CCN(C(=O)C[N@@H+](C)C3CCC3)[C@H]2C)o1 ZINC000986352068 662585168 /nfs/dbraw/zinc/58/51/68/662585168.db2.gz FNXRKBJCNAIGAT-FZMZJTMJSA-N 0 2 321.425 0.941 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[NH2+][C@H](C(=O)[O-])c1ccccc1 ZINC000321077884 662592527 /nfs/dbraw/zinc/59/25/27/662592527.db2.gz BDOOOXXNVCVBOT-HNNXBMFYSA-N 0 2 321.377 0.387 20 0 DCADLN O=C([O-])[C@H](CC(F)(F)F)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000909671466 662688567 /nfs/dbraw/zinc/68/85/67/662688567.db2.gz PLUKWVXWJFXYQA-CBAPKCEASA-N 0 2 305.256 0.967 20 0 DCADLN Cc1ncc(C(=O)N2C[C@@H]([NH2+]Cc3ccn(C)n3)C[C@H]2C)[nH]1 ZINC000988364607 662758172 /nfs/dbraw/zinc/75/81/72/662758172.db2.gz GQUJDIWYIQFLSC-MFKMUULPSA-N 0 2 302.382 0.844 20 0 DCADLN CCn1ccnc1C[NH2+][C@H]1C[C@H](C)N(C(=O)Cc2ccn[nH]2)C1 ZINC000988437422 662774483 /nfs/dbraw/zinc/77/44/83/662774483.db2.gz LXGVQRFMIXEZIG-JSGCOSHPSA-N 0 2 316.409 0.948 20 0 DCADLN CCc1cc(C(=O)N2CC[C@H](NC(=O)c3ncn[nH]3)[C@H]2C)[nH]n1 ZINC000989321191 662901272 /nfs/dbraw/zinc/90/12/72/662901272.db2.gz VFRMAYBMSZQIJT-SCZZXKLOSA-N 0 2 317.353 0.123 20 0 DCADLN CN(C)C(=O)C[NH+]1CC[C@]2(NC(=O)[C@H]3CCC[N@@H+]3C)CCC[C@@H]12 ZINC000990024735 662994884 /nfs/dbraw/zinc/99/48/84/662994884.db2.gz DRVIUUNRCAINGG-CKEIUWERSA-N 0 2 322.453 0.282 20 0 DCADLN CCn1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cn1 ZINC000990543187 663064287 /nfs/dbraw/zinc/06/42/87/663064287.db2.gz YHSGVGNGOBCONI-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CCn1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC000990543187 663064288 /nfs/dbraw/zinc/06/42/88/663064288.db2.gz YHSGVGNGOBCONI-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN Cc1cc(C)n(CC[NH+]2CC[C@H]2CNC(=O)[C@@H]2CCC[N@H+]2C)n1 ZINC001038119765 663089682 /nfs/dbraw/zinc/08/96/82/663089682.db2.gz XIHFXKBNPGZXDO-HOTGVXAUSA-N 0 2 319.453 0.785 20 0 DCADLN Cc1ccn2ncc(C(=O)NN3C(=O)[C@H](C)N(C)C3=O)c2c1 ZINC000899031631 663119512 /nfs/dbraw/zinc/11/95/12/663119512.db2.gz BOMITMBTRPEFHR-VIFPVBQESA-N 0 2 301.306 0.570 20 0 DCADLN Cn1ncnc1C1(O)CN(C(=O)C2C[C@H]3CC[C@@H](C2)S3)C1 ZINC000899214668 663130541 /nfs/dbraw/zinc/13/05/41/663130541.db2.gz CVPQKXFKPHTBOM-FGWVZKOKSA-N 0 2 308.407 0.519 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@@H]1CCCCO1 ZINC000990857065 663265822 /nfs/dbraw/zinc/26/58/22/663265822.db2.gz PJKMHLGQFVJTOA-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CCCCO1 ZINC000990857065 663265824 /nfs/dbraw/zinc/26/58/24/663265824.db2.gz PJKMHLGQFVJTOA-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN O=C([O-])[C@H]1CC(=O)N(C2CCN(c3cc(CO)cc[nH+]3)CC2)C1 ZINC000900961469 663297930 /nfs/dbraw/zinc/29/79/30/663297930.db2.gz HNVGLVLINIKERF-LBPRGKRZSA-N 0 2 319.361 0.476 20 0 DCADLN CCN1C(=O)[C@@H]2CN(c3[nH+]cccc3C(=O)[O-])CCN2C1=O ZINC000900989121 663299060 /nfs/dbraw/zinc/29/90/60/663299060.db2.gz UEULIGJGLAOGCO-JTQLQIEISA-N 0 2 304.306 0.253 20 0 DCADLN CCO[C@H]1C[C@@]([NH3+])(C(=O)[N-]S(=O)(=O)C2CCCC2)C1(C)C ZINC000901035767 663306657 /nfs/dbraw/zinc/30/66/57/663306657.db2.gz PWJKRXDECNFFTR-SMDDNHRTSA-N 0 2 318.439 0.908 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000901970266 663376351 /nfs/dbraw/zinc/37/63/51/663376351.db2.gz XCGWQEVXILMCMA-ZDUSSCGKSA-N 0 2 303.318 0.647 20 0 DCADLN Cn1nc(NS(=O)(=O)N=S(C)(C)=O)c2ccccc21 ZINC000901987736 663376902 /nfs/dbraw/zinc/37/69/02/663376902.db2.gz QYXYXKUSPFHBLQ-UHFFFAOYSA-N 0 2 302.381 0.958 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC000990965376 663395728 /nfs/dbraw/zinc/39/57/28/663395728.db2.gz MRXJBXBECDKSJR-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cncnc1 ZINC000990965376 663395731 /nfs/dbraw/zinc/39/57/31/663395731.db2.gz MRXJBXBECDKSJR-QMMMGPOBSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)C1=CCOCC1 ZINC000990982920 663403955 /nfs/dbraw/zinc/40/39/55/663403955.db2.gz XTSVBLFTJPJXTC-VIFPVBQESA-N 0 2 310.247 0.561 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)C1=CCOCC1 ZINC000990982920 663403956 /nfs/dbraw/zinc/40/39/56/663403956.db2.gz XTSVBLFTJPJXTC-VIFPVBQESA-N 0 2 310.247 0.561 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000902319101 663404333 /nfs/dbraw/zinc/40/43/33/663404333.db2.gz NLDGVFJEYHGMFY-ABAIWWIYSA-N 0 2 307.350 0.395 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000902805999 663434294 /nfs/dbraw/zinc/43/42/94/663434294.db2.gz HDXZJNYXPXWDQL-OAHLLOKOSA-N 0 2 307.350 0.974 20 0 DCADLN COc1ccc([C@@]2(C(=O)NOCC(C)(C)O)C[C@@H](O)C2)cc1 ZINC000907795398 663718388 /nfs/dbraw/zinc/71/83/88/663718388.db2.gz XKBACUUBPJAPPM-YGUOUDRMSA-N 0 2 309.362 0.906 20 0 DCADLN COc1cc(F)c(S(=O)(=O)NCc2n[nH]c(C)n2)c(F)c1 ZINC000907990687 663726874 /nfs/dbraw/zinc/72/68/74/663726874.db2.gz KDVBUNDELPXAKL-UHFFFAOYSA-N 0 2 318.305 0.878 20 0 DCADLN CCn1ncn(NC(=O)c2cn(C)nc2C2CCCC2)c1=O ZINC000908368711 663752515 /nfs/dbraw/zinc/75/25/15/663752515.db2.gz DTCLOBHYDQULSN-UHFFFAOYSA-N 0 2 304.354 0.840 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)N[C@@H]1Cc2ccccc2[C@H]1O ZINC000908420607 663755770 /nfs/dbraw/zinc/75/57/70/663755770.db2.gz KVWBUCMDVFCJRS-HNYWDRBLSA-N 0 2 318.420 0.597 20 0 DCADLN O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000908982032 663776963 /nfs/dbraw/zinc/77/69/63/663776963.db2.gz CEEMAMZCLOVADI-OLZOCXBDSA-N 0 2 321.377 0.928 20 0 DCADLN O=C([O-])C[C@@H]1CSCCN1C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000909011085 663777555 /nfs/dbraw/zinc/77/75/55/663777555.db2.gz NWQAGLGVODRMLY-GHMZBOCLSA-N 0 2 309.391 0.864 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000908985645 663777906 /nfs/dbraw/zinc/77/79/06/663777906.db2.gz ALHAVSAFSSSUHN-TZMCWYRMSA-N 0 2 321.377 0.928 20 0 DCADLN C[C@@H]1C[C@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](C)O1 ZINC000908999337 663778222 /nfs/dbraw/zinc/77/82/22/663778222.db2.gz OXBZCGRAJRDZLY-URLYPYJESA-N 0 2 320.349 0.818 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000909710071 663795940 /nfs/dbraw/zinc/79/59/40/663795940.db2.gz DGIWKZIJMPRMHE-LRDDRELGSA-N 0 2 321.377 0.785 20 0 DCADLN COC1(C[C@H](NC(=O)[C@@H]2CCc3[nH+]ccn3C2)C(=O)[O-])CCC1 ZINC000909891241 663814268 /nfs/dbraw/zinc/81/42/68/663814268.db2.gz YORORIMSWLYHCU-NEPJUHHUSA-N 0 2 321.377 0.974 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C1CCOCC1 ZINC000910016413 663835916 /nfs/dbraw/zinc/83/59/16/663835916.db2.gz TXCCVIRUSVVCCW-DGCLKSJQSA-N 0 2 307.350 0.442 20 0 DCADLN CC[C@H](CO)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911168105 664000395 /nfs/dbraw/zinc/00/03/95/664000395.db2.gz KYDQHROQNBYOSB-LLVKDONJSA-N 0 2 307.350 0.447 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911174426 664001648 /nfs/dbraw/zinc/00/16/48/664001648.db2.gz WRTIUYHGUXREBM-QWHCGFSZSA-N 0 2 319.361 0.853 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC2(CCC2)C1 ZINC000911435279 664051595 /nfs/dbraw/zinc/05/15/95/664051595.db2.gz KECPIWKITKHMHY-ZDUSSCGKSA-N 0 2 322.405 0.955 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)c1ccccn1 ZINC000911480920 664062529 /nfs/dbraw/zinc/06/25/29/664062529.db2.gz AAAXXIZXIUARFE-ZWNOBZJWSA-N 0 2 300.318 0.783 20 0 DCADLN O=C(Nc1nccc(Br)c1O)[C@@]1(O)CCOC1 ZINC000913024916 664255773 /nfs/dbraw/zinc/25/57/73/664255773.db2.gz FLLKSTNRCIPWCR-SNVBAGLBSA-N 0 2 303.112 0.640 20 0 DCADLN Cc1nc2cc(NC(=O)CC[C@H]3NC(=O)NC3=O)ccc2[nH]1 ZINC000912998317 664255229 /nfs/dbraw/zinc/25/52/29/664255229.db2.gz PAKCNFBBRASUMJ-SNVBAGLBSA-N 0 2 301.306 0.798 20 0 DCADLN NC(=O)COc1ccc(C(=O)NNC(=O)c2ccccn2)cc1 ZINC000029629391 664310888 /nfs/dbraw/zinc/31/08/88/664310888.db2.gz OUVLJZQHXQFHPT-UHFFFAOYSA-N 0 2 314.301 0.021 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCC2(CC2)C1 ZINC001030308486 664508829 /nfs/dbraw/zinc/50/88/29/664508829.db2.gz HRAYJJJTAZWIGG-JTQLQIEISA-N 0 2 305.382 0.781 20 0 DCADLN Cc1cc(F)cc(C)c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030778553 664665246 /nfs/dbraw/zinc/66/52/46/664665246.db2.gz CAXFDIQWCJDLTJ-UHFFFAOYSA-N 0 2 319.340 0.880 20 0 DCADLN O=C(C[C@H]1CCCC1(F)F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030844097 664684203 /nfs/dbraw/zinc/68/42/03/664684203.db2.gz JOSRHVVOMRRNEV-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1cc(C)cc(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030890744 664695720 /nfs/dbraw/zinc/69/57/20/664695720.db2.gz YKLGKZFMISXQNY-UHFFFAOYSA-N 0 2 315.377 0.670 20 0 DCADLN CC(=O)c1cccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000730514148 664783529 /nfs/dbraw/zinc/78/35/29/664783529.db2.gz CLJDZTOUKKLZRR-UHFFFAOYSA-N 0 2 311.301 0.913 20 0 DCADLN C[C@H](c1ccco1)N(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730526043 664785201 /nfs/dbraw/zinc/78/52/01/664785201.db2.gz NEJREZBQVFUGSY-SECBINFHSA-N 0 2 301.306 0.884 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(C[C@@H]1CCOC1)C1CC1 ZINC000730535807 664790159 /nfs/dbraw/zinc/79/01/59/664790159.db2.gz YYIUKYZFIUDCJI-JTQLQIEISA-N 0 2 317.349 0.099 20 0 DCADLN Cc1ccsc1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730537542 664790902 /nfs/dbraw/zinc/79/09/02/664790902.db2.gz VXNGZDKBCJDAPG-UHFFFAOYSA-N 0 2 303.347 0.758 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCc1ccc(O)cc1 ZINC000730589325 664795615 /nfs/dbraw/zinc/79/56/15/664795615.db2.gz CEMAVQBGLFYRDX-UHFFFAOYSA-N 0 2 313.317 0.136 20 0 DCADLN CC(C)(C)c1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]1 ZINC000730588584 664795742 /nfs/dbraw/zinc/79/57/42/664795742.db2.gz AQHZKGGRBMRHTL-UHFFFAOYSA-N 0 2 315.337 0.731 20 0 DCADLN CC(C)c1nc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)no1 ZINC000730651296 664799503 /nfs/dbraw/zinc/79/95/03/664799503.db2.gz IKKXBTPMFMMNPR-UHFFFAOYSA-N 0 2 318.293 0.321 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1csc(Cl)n1 ZINC001031207188 664880386 /nfs/dbraw/zinc/88/03/86/664880386.db2.gz KDEMDEHPSUPGLN-UHFFFAOYSA-N 0 2 314.758 0.234 20 0 DCADLN CC(C)[C@H]1C[C@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031208220 664882773 /nfs/dbraw/zinc/88/27/73/664882773.db2.gz VZHVENWTVXYLDT-ZYHUDNBSSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(Nc1ccccc1OCCn1cc[nH+]c1)c1cc(=O)[nH][n-]1 ZINC000731898247 664890492 /nfs/dbraw/zinc/89/04/92/664890492.db2.gz ZABSEBXAIWIFMR-UHFFFAOYSA-N 0 2 313.317 0.777 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cncnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993257629 664917466 /nfs/dbraw/zinc/91/74/66/664917466.db2.gz FLZDYWQIYZRUDW-MWLCHTKSSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2cc(F)c[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993600423 664983585 /nfs/dbraw/zinc/98/35/85/664983585.db2.gz HLQZYBVAJYSBOQ-WPRPVWTQSA-N 0 2 322.344 0.760 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2c(c1)CCC2 ZINC001031374493 665122840 /nfs/dbraw/zinc/12/28/40/665122840.db2.gz LGXRWWBOFWMPJD-UHFFFAOYSA-N 0 2 313.361 0.613 20 0 DCADLN Cc1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)c(Br)n1 ZINC000154807627 665182947 /nfs/dbraw/zinc/18/29/47/665182947.db2.gz WYHYEECMKVTMDG-UHFFFAOYSA-N 0 2 312.127 0.494 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CC[N@@H+](C2CC2)C1 ZINC000918892003 665188621 /nfs/dbraw/zinc/18/86/21/665188621.db2.gz CXGRSWDVWHXFAR-ZIAGYGMSSA-N 0 2 310.442 0.633 20 0 DCADLN CNC(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000920953480 665285229 /nfs/dbraw/zinc/28/52/29/665285229.db2.gz KEBZYTXWVZGKTE-SECBINFHSA-N 0 2 306.347 0.541 20 0 DCADLN CC(C)c1nocc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031727214 665344173 /nfs/dbraw/zinc/34/41/73/665344173.db2.gz AWKXNGSYIZNNTK-UHFFFAOYSA-N 0 2 320.353 0.484 20 0 DCADLN Cn1nccc1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001001148409 666005239 /nfs/dbraw/zinc/00/52/39/666005239.db2.gz WXUKUGZTEHUVMU-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cn1nccc1C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001001148409 666005240 /nfs/dbraw/zinc/00/52/40/666005240.db2.gz WXUKUGZTEHUVMU-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN CC(C)c1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001032023916 666184259 /nfs/dbraw/zinc/18/42/59/666184259.db2.gz QEUDOFKNOFGPMG-UHFFFAOYSA-N 0 2 320.353 0.484 20 0 DCADLN Cc1cc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)ncn1 ZINC001002616556 666196517 /nfs/dbraw/zinc/19/65/17/666196517.db2.gz CSCJFFBAXDPHTH-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN CO[C@@H]1CCC[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003207106 666282798 /nfs/dbraw/zinc/28/27/98/666282798.db2.gz HMUOATBHNRSGTB-NWDGAFQWSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H]1CC[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001003192780 666283500 /nfs/dbraw/zinc/28/35/00/666283500.db2.gz JZXDJFBIFQZYEV-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NC2CC[NH+](Cc3ccn(C)n3)CC2)C1 ZINC001003626760 666335311 /nfs/dbraw/zinc/33/53/11/666335311.db2.gz WLOBCJUOOMVUJY-CQSZACIVSA-N 0 2 319.453 0.843 20 0 DCADLN C[C@H]1C[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCO1 ZINC001003850403 666383423 /nfs/dbraw/zinc/38/34/23/666383423.db2.gz FSHKYMBGKZWNAG-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001032928404 666395993 /nfs/dbraw/zinc/39/59/93/666395993.db2.gz LEGNSMAKBDAAHD-WDEREUQCSA-N 0 2 319.369 0.001 20 0 DCADLN C[C@@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001032928404 666395995 /nfs/dbraw/zinc/39/59/95/666395995.db2.gz LEGNSMAKBDAAHD-WDEREUQCSA-N 0 2 319.369 0.001 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1)C1CC1 ZINC000944433075 666428154 /nfs/dbraw/zinc/42/81/54/666428154.db2.gz LDUDUKMRKRUMAH-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1)C1CC1 ZINC000944433075 666428153 /nfs/dbraw/zinc/42/81/53/666428153.db2.gz LDUDUKMRKRUMAH-BDAKNGLRSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1nscc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033021082 666430456 /nfs/dbraw/zinc/43/04/56/666430456.db2.gz ULOARONPFMZSHX-VIFPVBQESA-N 0 2 322.394 0.622 20 0 DCADLN CN(C(=O)c1ccccn1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005040256 666647405 /nfs/dbraw/zinc/64/74/05/666647405.db2.gz DRXLRZHZLDUINY-UHFFFAOYSA-N 0 2 316.365 0.642 20 0 DCADLN Cc1nc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001033363396 666688818 /nfs/dbraw/zinc/68/88/18/666688818.db2.gz WSCWQWHDPJCGBU-VIFPVBQESA-N 0 2 322.394 0.622 20 0 DCADLN Cc1nc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001033363395 666688845 /nfs/dbraw/zinc/68/88/45/666688845.db2.gz WSCWQWHDPJCGBU-SECBINFHSA-N 0 2 322.394 0.622 20 0 DCADLN CN(C(=O)[C@H]1CCCOC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005177163 666738871 /nfs/dbraw/zinc/73/88/71/666738871.db2.gz BCHCMJGFRKUDQY-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)c1cc(C)no1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033748233 666815405 /nfs/dbraw/zinc/81/54/05/666815405.db2.gz SLNNZVHWZDCWBO-JTQLQIEISA-N 0 2 320.353 0.543 20 0 DCADLN CCN(C(=O)c1cncs1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033763254 666818441 /nfs/dbraw/zinc/81/84/41/666818441.db2.gz PFXASYVJKGEMDS-SECBINFHSA-N 0 2 322.394 0.703 20 0 DCADLN CCN(C(=O)C1CC=CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033780754 666825482 /nfs/dbraw/zinc/82/54/82/666825482.db2.gz LJQAHVWGFBAWMX-GFCCVEGCSA-N 0 2 305.382 0.899 20 0 DCADLN CCN(C(=O)C1CC=CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033780754 666825483 /nfs/dbraw/zinc/82/54/83/666825483.db2.gz LJQAHVWGFBAWMX-GFCCVEGCSA-N 0 2 305.382 0.899 20 0 DCADLN CCN(C(=O)c1ccns1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033846053 666844446 /nfs/dbraw/zinc/84/44/46/666844446.db2.gz MMCPOYHQFSRQQO-VIFPVBQESA-N 0 2 322.394 0.703 20 0 DCADLN CCN(C(=O)c1ccsn1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033871772 666853331 /nfs/dbraw/zinc/85/33/31/666853331.db2.gz JQKIRISUXUOUTG-SECBINFHSA-N 0 2 322.394 0.703 20 0 DCADLN CCN(C(=O)c1cccnn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033996650 666890001 /nfs/dbraw/zinc/89/00/01/666890001.db2.gz QPQIKVAIZUAEDV-JTQLQIEISA-N 0 2 317.353 0.037 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccncc1 ZINC001034076790 666912529 /nfs/dbraw/zinc/91/25/29/666912529.db2.gz VPPUIHIBJXKGPA-GFCCVEGCSA-N 0 2 316.365 0.690 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccncc1 ZINC001034076790 666912531 /nfs/dbraw/zinc/91/25/31/666912531.db2.gz VPPUIHIBJXKGPA-GFCCVEGCSA-N 0 2 316.365 0.690 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034201622 666953799 /nfs/dbraw/zinc/95/37/99/666953799.db2.gz XVFUZVSQAZRANS-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034201622 666953800 /nfs/dbraw/zinc/95/38/00/666953800.db2.gz XVFUZVSQAZRANS-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN CS[C@@H]1CCC[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000735264451 666971176 /nfs/dbraw/zinc/97/11/76/666971176.db2.gz MSTHQZSHXNPZAQ-NXEZZACHSA-N 0 2 321.406 0.862 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034292489 666980359 /nfs/dbraw/zinc/98/03/59/666980359.db2.gz FWWWSVNTPMRSBD-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034292489 666980362 /nfs/dbraw/zinc/98/03/62/666980362.db2.gz FWWWSVNTPMRSBD-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN O=C(CCc1ccoc1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032344238 667000507 /nfs/dbraw/zinc/00/05/07/667000507.db2.gz CFLQHUHYGMYGTB-RYUDHWBXSA-N 0 2 317.349 0.521 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCOC2CCC2)c1[O-] ZINC001032358185 667034757 /nfs/dbraw/zinc/03/47/57/667034757.db2.gz WOFQKJDVNVXJOD-RYUDHWBXSA-N 0 2 320.393 0.892 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCOC2CCC2)c1[O-] ZINC001032358185 667034759 /nfs/dbraw/zinc/03/47/59/667034759.db2.gz WOFQKJDVNVXJOD-RYUDHWBXSA-N 0 2 320.393 0.892 20 0 DCADLN Cc1cccc2c1C[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)CO2 ZINC000862532461 667047305 /nfs/dbraw/zinc/04/73/05/667047305.db2.gz GGXIJJQSSTUUBK-SKDRFNHKSA-N 0 2 319.386 0.971 20 0 DCADLN CCC[C@H]1SCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000736093817 667101694 /nfs/dbraw/zinc/10/16/94/667101694.db2.gz RESVMKCPBVTNGH-LLVKDONJSA-N 0 2 307.379 0.773 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H]2CC[C@H](NC(=O)c3cnn[nH]3)CC2)o1 ZINC001034683676 667106220 /nfs/dbraw/zinc/10/62/20/667106220.db2.gz XSPSIRZAMSHRFL-FBIMIBRVSA-N 0 2 319.369 0.888 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)OCCN2C ZINC000863443818 667144470 /nfs/dbraw/zinc/14/44/70/667144470.db2.gz BRYONHQQBXLDIX-UHFFFAOYSA-N 0 2 309.351 0.445 20 0 DCADLN C[C@]12CCCC[C@H]1CN2C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000863587685 667160655 /nfs/dbraw/zinc/16/06/55/667160655.db2.gz RILXQMKHPLSUMJ-BONVTDFDSA-N 0 2 301.350 0.862 20 0 DCADLN CN(C(=O)c1cnco1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005788597 667249633 /nfs/dbraw/zinc/24/96/33/667249633.db2.gz AAJXYCHNEVMNLF-UHFFFAOYSA-N 0 2 306.326 0.235 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035495642 667364887 /nfs/dbraw/zinc/36/48/87/667364887.db2.gz HACJICZDRAXIST-GRYCIOLGSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@H]1CCSC1 ZINC000869605218 667669751 /nfs/dbraw/zinc/66/97/51/667669751.db2.gz QCIOGRQTSFCZLP-VIFPVBQESA-N 0 2 307.379 0.331 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC1(C2CCC2)CCC1 ZINC000838186175 667679001 /nfs/dbraw/zinc/67/90/01/667679001.db2.gz YKJCMQMULIMLML-UHFFFAOYSA-N 0 2 301.350 0.910 20 0 DCADLN CCOC(=O)C1CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC000742465760 667791944 /nfs/dbraw/zinc/79/19/44/667791944.db2.gz KPWFFYSRMQTFBM-SECBINFHSA-N 0 2 313.379 0.345 20 0 DCADLN CNC(=O)c1cccc(CNC(=O)C[C@H]2SC(=N)NC2=O)c1 ZINC000742527407 667793019 /nfs/dbraw/zinc/79/30/19/667793019.db2.gz XODNLUUXCZWCOE-SNVBAGLBSA-N 0 2 320.374 0.219 20 0 DCADLN Cc1noc([C@H]2CCCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)n1 ZINC000742568230 667793603 /nfs/dbraw/zinc/79/36/03/667793603.db2.gz XZHWHLSIRPXGJN-IUCAKERBSA-N 0 2 323.378 0.640 20 0 DCADLN COC(=O)[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccc1 ZINC000742605448 667794953 /nfs/dbraw/zinc/79/49/53/667794953.db2.gz SXQOXJDRQSNKOI-ONGXEEELSA-N 0 2 321.358 0.573 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](C3OCCO3)C2)S1 ZINC000742617413 667794981 /nfs/dbraw/zinc/79/49/81/667794981.db2.gz RCCDFOOBNSDMKY-IUCAKERBSA-N 0 2 313.379 0.154 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N(CC(N)=O)Cc2ccccc2)S1 ZINC000742667116 667796783 /nfs/dbraw/zinc/79/67/83/667796783.db2.gz QOJPSWOCFROISZ-JTQLQIEISA-N 0 2 320.374 0.057 20 0 DCADLN CC(C)(C)[S@](=O)CCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742667099 667797004 /nfs/dbraw/zinc/79/70/04/667797004.db2.gz PWMQWGCESFRLDQ-ZDVLTJLQSA-N 0 2 305.425 0.206 20 0 DCADLN Cc1cccc(NC(=O)CC2SC(=N)NC2=O)c1C(N)=O ZINC000742691663 667797437 /nfs/dbraw/zinc/79/74/37/667797437.db2.gz SBJNDXAJCLBWTD-QMMMGPOBSA-N 0 2 306.347 0.589 20 0 DCADLN CC(=O)Nc1ccccc1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742706776 667798298 /nfs/dbraw/zinc/79/82/98/667798298.db2.gz GJDCBJLIEWXLHD-LLVKDONJSA-N 0 2 320.374 0.818 20 0 DCADLN CC[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000742924332 667800863 /nfs/dbraw/zinc/80/08/63/667800863.db2.gz YHEDGEAPFYTODX-VIFPVBQESA-N 0 2 307.379 0.425 20 0 DCADLN O=C(NC[C@]12COC(=O)N1CCOC2)C(F)C(F)(F)F ZINC000871407207 667817424 /nfs/dbraw/zinc/81/74/24/667817424.db2.gz HXGODAXPFHJUJC-MUWHJKNJSA-N 0 2 300.208 0.224 20 0 DCADLN O=C(NC[C@]12COC(=O)N1CCOC2)[C@@H](F)C(F)(F)F ZINC000871407207 667817425 /nfs/dbraw/zinc/81/74/25/667817425.db2.gz HXGODAXPFHJUJC-MUWHJKNJSA-N 0 2 300.208 0.224 20 0 DCADLN COC(=O)[C@@H](CCC1OCCO1)NC(=O)C(F)C(F)(F)F ZINC000871492834 667826246 /nfs/dbraw/zinc/82/62/46/667826246.db2.gz CGYIGZGBIHZPBI-HTRCEHHLSA-N 0 2 317.235 0.698 20 0 DCADLN COC(=O)[C@@H](CCC1OCCO1)NC(=O)[C@@H](F)C(F)(F)F ZINC000871492834 667826249 /nfs/dbraw/zinc/82/62/49/667826249.db2.gz CGYIGZGBIHZPBI-HTRCEHHLSA-N 0 2 317.235 0.698 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)OCCO3)S1 ZINC000745415067 667847150 /nfs/dbraw/zinc/84/71/50/667847150.db2.gz DDJWZJLXNHNOGL-JTQLQIEISA-N 0 2 307.331 0.953 20 0 DCADLN Cn1nncc1-n1[nH]c2c(c1=O)CCN(C(=O)OC(C)(C)C)C2 ZINC000887290903 667866481 /nfs/dbraw/zinc/86/64/81/667866481.db2.gz HNVCAQXTMOBWBT-VIFPVBQESA-N 0 2 320.353 0.775 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCOc2ncccc2F)S1 ZINC000747494109 667905381 /nfs/dbraw/zinc/90/53/81/667905381.db2.gz FZLCUMPTXCSYOP-MRVPVSSYSA-N 0 2 312.326 0.272 20 0 DCADLN O=C(NCCc1nnc[nH]1)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000747990121 667916658 /nfs/dbraw/zinc/91/66/58/667916658.db2.gz UCFYFLGFOBKIMM-UHFFFAOYSA-N 0 2 316.346 0.715 20 0 DCADLN C[C@@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(F)(F)F ZINC000748275665 667922471 /nfs/dbraw/zinc/92/24/71/667922471.db2.gz GROZMTYJOVITJO-LURJTMIESA-N 0 2 304.228 0.813 20 0 DCADLN COC(=O)[C@@H]1CCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000888059320 667943854 /nfs/dbraw/zinc/94/38/54/667943854.db2.gz BEDZTZGMBPNMGU-NSHDSACASA-N 0 2 301.302 0.965 20 0 DCADLN C[C@](O)(CNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccccc1 ZINC000749996351 667968743 /nfs/dbraw/zinc/96/87/43/667968743.db2.gz LRSSCQNFYXLBSR-HZMBPMFUSA-N 0 2 307.375 0.567 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]2COc3ccccc3[C@H]2O)S1 ZINC000752907680 668023341 /nfs/dbraw/zinc/02/33/41/668023341.db2.gz JLXQYKKNSHDQSD-PTOFAABTSA-N 0 2 321.358 0.154 20 0 DCADLN CO[C@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000753261197 668028342 /nfs/dbraw/zinc/02/83/42/668028342.db2.gz NICWEUOQLPJTQI-UWVGGRQHSA-N 0 2 305.338 0.001 20 0 DCADLN COCCCONC(=O)CSCC(=O)N1CCCCC1 ZINC000805041366 668030047 /nfs/dbraw/zinc/03/00/47/668030047.db2.gz YHYROCRRUXXGOH-UHFFFAOYSA-N 0 2 304.412 0.816 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2CCN(c3ccccn3)C2)S1 ZINC000753736626 668034457 /nfs/dbraw/zinc/03/44/57/668034457.db2.gz YQQNCHBRQGQRKD-VHSXEESVSA-N 0 2 319.390 0.333 20 0 DCADLN CC(C)CSCCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000756298291 668085006 /nfs/dbraw/zinc/08/50/06/668085006.db2.gz YUEJQTFXYYKACK-UHFFFAOYSA-N 0 2 323.422 0.967 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ncn(C2CCCCC2)n1 ZINC000758161362 668158123 /nfs/dbraw/zinc/15/81/23/668158123.db2.gz DXGNALBFOPSVON-UHFFFAOYSA-N 0 2 306.330 0.929 20 0 DCADLN CC(C)Cn1ncc(C(=O)NN2CC(=O)NC2=O)c1C(F)F ZINC000760104447 668256774 /nfs/dbraw/zinc/25/67/74/668256774.db2.gz NHAMIOYWTIKHMB-UHFFFAOYSA-N 0 2 315.280 0.673 20 0 DCADLN Cc1nnc([C@@H]2CCCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)[nH]1 ZINC000761062941 668302027 /nfs/dbraw/zinc/30/20/27/668302027.db2.gz AOYCFDVEQMKXAK-BDAKNGLRSA-N 0 2 322.394 0.376 20 0 DCADLN COCCCCc1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000761881575 668339645 /nfs/dbraw/zinc/33/96/45/668339645.db2.gz TXNWZESZNCTDBJ-UHFFFAOYSA-N 0 2 304.310 0.472 20 0 DCADLN CNC(=O)c1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)ccc1Cl ZINC000762212286 668352308 /nfs/dbraw/zinc/35/23/08/668352308.db2.gz ACXZVKYBIZOZRP-UHFFFAOYSA-N 0 2 324.728 0.845 20 0 DCADLN Cc1ccc([C@@H](O)CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000762339560 668357337 /nfs/dbraw/zinc/35/73/37/668357337.db2.gz VYILWKOWFCJKQA-QWRGUYRKSA-N 0 2 307.375 0.701 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)S1 ZINC000926780917 668430047 /nfs/dbraw/zinc/43/00/47/668430047.db2.gz BZCCYVISRSJGLQ-YRRQLQLVSA-N 0 2 301.371 0.997 20 0 DCADLN CC(=O)OCCc1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000764707183 668458330 /nfs/dbraw/zinc/45/83/30/668458330.db2.gz IIKCTBRTGAQNSV-UHFFFAOYSA-N 0 2 319.321 0.938 20 0 DCADLN Cc1noc(CNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)n1 ZINC000765986100 668517930 /nfs/dbraw/zinc/51/79/30/668517930.db2.gz OPYLHQYLUOAXHU-QMMMGPOBSA-N 0 2 308.260 0.697 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCCN(CC(F)F)CC2)S1 ZINC000767117158 668559856 /nfs/dbraw/zinc/55/98/56/668559856.db2.gz AIEHBOPDFXWMEJ-QMMMGPOBSA-N 0 2 320.365 0.342 20 0 DCADLN Cc1sc(C(N)=O)cc1NC(=O)CC1SC(=N)NC1=O ZINC000769273828 668641592 /nfs/dbraw/zinc/64/15/92/668641592.db2.gz MJYDVHZOJHFHOU-SSDOTTSWSA-N 0 2 312.376 0.650 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)C(F)(F)C2(O)CCC2)C1=O ZINC000769855641 668666882 /nfs/dbraw/zinc/66/68/82/668666882.db2.gz QUGBEEVUKFFQML-JTQLQIEISA-N 0 2 305.281 0.288 20 0 DCADLN COc1ccccc1[C@H](CO)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000771138709 668732199 /nfs/dbraw/zinc/73/21/99/668732199.db2.gz YQIULXSILMGCCT-ONGXEEELSA-N 0 2 323.374 0.401 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000774874262 668849385 /nfs/dbraw/zinc/84/93/85/668849385.db2.gz WAMIIPCVOIHYRT-LLVKDONJSA-N 0 2 316.317 0.602 20 0 DCADLN O=C(Cc1cnn(-c2ccccc2)n1)OCc1n[nH]c(=O)[nH]1 ZINC000774924774 668851523 /nfs/dbraw/zinc/85/15/23/668851523.db2.gz VXQZUDJNHDMDGM-UHFFFAOYSA-N 0 2 300.278 0.377 20 0 DCADLN COc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)c(N)c(OC)c1OC ZINC000774927490 668851611 /nfs/dbraw/zinc/85/16/11/668851611.db2.gz WLSDDNOFCUXCDJ-UHFFFAOYSA-N 0 2 324.293 0.475 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000776230628 668873572 /nfs/dbraw/zinc/87/35/72/668873572.db2.gz KEELFJWWCRBJNS-VIFPVBQESA-N 0 2 309.709 0.422 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC000127293214 668914979 /nfs/dbraw/zinc/91/49/79/668914979.db2.gz LMNQJFJEFUNLFC-RYUDHWBXSA-N 0 2 318.333 0.063 20 0 DCADLN CN(C)c1ncc(CN(C)C(=O)C[C@@H]2SC(=N)NC2=O)cn1 ZINC000781026624 669026847 /nfs/dbraw/zinc/02/68/47/669026847.db2.gz LNEYKLWXMMEULP-VIFPVBQESA-N 0 2 322.394 0.057 20 0 DCADLN CCCCCN(CC(N)=O)C(=O)C[C@H]1SC(=N)NC1=O ZINC000781029689 669026943 /nfs/dbraw/zinc/02/69/43/669026943.db2.gz RZPILGOXEPHSRH-MRVPVSSYSA-N 0 2 300.384 0.047 20 0 DCADLN COC(=O)[C@H]1CCCCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000781375499 669039995 /nfs/dbraw/zinc/03/99/95/669039995.db2.gz LBCKKAFQWJDSQN-RKDXNWHRSA-N 0 2 313.379 0.487 20 0 DCADLN CC(C)OCCCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000783567335 669160982 /nfs/dbraw/zinc/16/09/82/669160982.db2.gz ZHSFYDYEQOJUKU-UHFFFAOYSA-N 0 2 307.354 0.393 20 0 DCADLN O=C(Cc1cccc(N2CCCC2=O)c1)OCc1n[nH]c(=O)[nH]1 ZINC000785230070 669243098 /nfs/dbraw/zinc/24/30/98/669243098.db2.gz WCSSSOAMWBAYOI-UHFFFAOYSA-N 0 2 316.317 0.923 20 0 DCADLN Cc1cnc(Cn2cc(CNC(=O)C(F)(F)F)nn2)nc1 ZINC000881355516 669328013 /nfs/dbraw/zinc/32/80/13/669328013.db2.gz CVMKVWRCGCLCGW-UHFFFAOYSA-N 0 2 300.244 0.603 20 0 DCADLN CCn1ncc(Cn2cc(CNC(=O)C(F)(F)F)nn2)n1 ZINC000881372922 669330948 /nfs/dbraw/zinc/33/09/48/669330948.db2.gz KLGDRJNNBIMVHM-UHFFFAOYSA-N 0 2 303.248 0.116 20 0 DCADLN NS(=O)(=O)CC[NH+]1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000789355198 669489201 /nfs/dbraw/zinc/48/92/01/669489201.db2.gz BRYCCNCMNDWSKG-UHFFFAOYSA-N 0 2 312.439 0.687 20 0 DCADLN CCC[C@@H](CNC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OCC ZINC000790266899 669545818 /nfs/dbraw/zinc/54/58/18/669545818.db2.gz GRXZWPOKYKJCRD-DTWKUNHWSA-N 0 2 315.395 0.638 20 0 DCADLN CCC[C@@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OCC ZINC000790266904 669545829 /nfs/dbraw/zinc/54/58/29/669545829.db2.gz GRXZWPOKYKJCRD-IUCAKERBSA-N 0 2 315.395 0.638 20 0 DCADLN CCc1nn(C)cc1S(=O)(=O)Nc1cnn(CCF)c1 ZINC000882767721 669581793 /nfs/dbraw/zinc/58/17/93/669581793.db2.gz ZHMJRYXYCUSHMM-UHFFFAOYSA-N 0 2 301.347 0.949 20 0 DCADLN O=C([N-]OCc1cccnc1)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000935017976 669670095 /nfs/dbraw/zinc/67/00/95/669670095.db2.gz SYHNKZFROZAUOT-CABCVRRESA-N 0 2 321.377 0.509 20 0 DCADLN CCN(C(=O)C1C=CC=CC=C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950436183 670025349 /nfs/dbraw/zinc/02/53/49/670025349.db2.gz IOTYDLSSSMTRNM-UHFFFAOYSA-N 0 2 315.377 0.841 20 0 DCADLN Cc1ncccc1CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950485336 670035466 /nfs/dbraw/zinc/03/54/66/670035466.db2.gz AAXNLVSFNDDPAU-UHFFFAOYSA-N 0 2 316.365 0.101 20 0 DCADLN Cc1nc2cccc(C(=O)N3CCO[C@@H](c4nn[nH]n4)C3)c2[nH]1 ZINC000801885838 670144324 /nfs/dbraw/zinc/14/43/24/670144324.db2.gz TVQPLNPFKUSLSW-LLVKDONJSA-N 0 2 313.321 0.598 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC000951005841 670173475 /nfs/dbraw/zinc/17/34/75/670173475.db2.gz VSVHPZPFXPRRRA-FSPLSTOPSA-N 0 2 309.223 0.036 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NNC(=O)c1cccs1)CC1CC1 ZINC000036886160 670205161 /nfs/dbraw/zinc/20/51/61/670205161.db2.gz OQCVSZMTBOCTGO-UHFFFAOYSA-N 0 2 311.363 0.306 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NNC(=O)c1cccs1)CC1CC1 ZINC000036886160 670205163 /nfs/dbraw/zinc/20/51/63/670205163.db2.gz OQCVSZMTBOCTGO-UHFFFAOYSA-N 0 2 311.363 0.306 20 0 DCADLN CCO[C@@H]1C[C@@H]1COC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000193134351 670243304 /nfs/dbraw/zinc/24/33/04/670243304.db2.gz QJMMEABPDXTQKL-ZYHUDNBSSA-N 0 2 305.334 0.842 20 0 DCADLN CC[C@@H]1CN(C(=O)C[C@@H]2SC(=N)NC2=O)C[C@@H](C)[S@@]1=O ZINC000804438617 670294547 /nfs/dbraw/zinc/29/45/47/670294547.db2.gz SWKYJCRJVQYZEO-QYPWJOEMSA-N 0 2 317.436 0.301 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC1(C(F)(F)F)CC1 ZINC000804602978 670301042 /nfs/dbraw/zinc/30/10/42/670301042.db2.gz JGTDZHSSSMECMM-UHFFFAOYSA-N 0 2 315.255 0.530 20 0 DCADLN O=C(Cc1[nH]nc2c1CCCC2)Nc1nc(SCCO)n[nH]1 ZINC000807072520 670346217 /nfs/dbraw/zinc/34/62/17/670346217.db2.gz RJIBBBPUKYBXKD-UHFFFAOYSA-N 0 2 322.394 0.672 20 0 DCADLN O=C(NN1CN=NC1=O)c1cnc2c(F)cc(F)cc2c1O ZINC000807186908 670351908 /nfs/dbraw/zinc/35/19/08/670351908.db2.gz XFKWYUSWFZGZJN-UHFFFAOYSA-N 0 2 307.216 0.487 20 0 DCADLN Cc1nc[nH]c1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006484880 670454765 /nfs/dbraw/zinc/45/47/65/670454765.db2.gz GPIKEMYWRXPYJQ-UHFFFAOYSA-N 0 2 319.369 0.278 20 0 DCADLN CN(CCN1CCCS1(=O)=O)C(=O)C(F)C(F)(F)F ZINC000810465843 670485988 /nfs/dbraw/zinc/48/59/88/670485988.db2.gz SWIFZBDCRFNORJ-ZETCQYMHSA-N 0 2 306.281 0.381 20 0 DCADLN CN(CCN1CCCS1(=O)=O)C(=O)[C@H](F)C(F)(F)F ZINC000810465843 670485992 /nfs/dbraw/zinc/48/59/92/670485992.db2.gz SWIFZBDCRFNORJ-ZETCQYMHSA-N 0 2 306.281 0.381 20 0 DCADLN COC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000006008027 670553696 /nfs/dbraw/zinc/55/36/96/670553696.db2.gz JIEVJHRIFOURTJ-SECBINFHSA-N 0 2 307.331 0.968 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC2(CCC2)[C@H]1C1CC1 ZINC000812267369 670570090 /nfs/dbraw/zinc/57/00/90/670570090.db2.gz KUOADCWYYRZVLV-CYBMUJFWSA-N 0 2 313.361 0.862 20 0 DCADLN CCn1ncn(NC(=O)c2cnc(C3CCCC3)nc2N)c1=O ZINC000854628961 670601705 /nfs/dbraw/zinc/60/17/05/670601705.db2.gz XIUYXHVOTQDJQM-UHFFFAOYSA-N 0 2 317.353 0.478 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cc(O)ccc2OC)C1=O ZINC000813546781 670635022 /nfs/dbraw/zinc/63/50/22/670635022.db2.gz KFXPQGXZTMGVPQ-AWEZNQCLSA-N 0 2 307.306 0.766 20 0 DCADLN CN(C(=O)C[C@H]1SC(=N)NC1=O)[C@H](CO)Cc1ccccc1 ZINC000855138779 670680597 /nfs/dbraw/zinc/68/05/97/670680597.db2.gz QQRFBYQVYNHSEZ-NWDGAFQWSA-N 0 2 321.402 0.605 20 0 DCADLN COCc1nc(CNC(=O)c2ccc3n[nH]c(=S)n3c2)n[nH]1 ZINC000855517080 670727488 /nfs/dbraw/zinc/72/74/88/670727488.db2.gz LABJVIOFABHRQN-UHFFFAOYSA-N 0 2 319.350 0.213 20 0 DCADLN O=C(CSCC(F)(F)F)NOCCN1CCCC1=O ZINC000856042011 670780162 /nfs/dbraw/zinc/78/01/62/670780162.db2.gz OVLHWYGMQLDZJY-UHFFFAOYSA-N 0 2 300.302 0.952 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCOC(C3CC3)(C3CC3)C2)S1 ZINC000856079735 670784331 /nfs/dbraw/zinc/78/43/31/670784331.db2.gz NFWZLQKQKWJDOP-LLVKDONJSA-N 0 2 323.418 0.960 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(c3ccon3)CC2)S1 ZINC000856174667 670792819 /nfs/dbraw/zinc/79/28/19/670792819.db2.gz SJZPTUVFXBLFCY-JTQLQIEISA-N 0 2 308.363 0.937 20 0 DCADLN O=C(CSCC(=O)N1CCOCC1)NOCC1CCC1 ZINC000856343249 670805493 /nfs/dbraw/zinc/80/54/93/670805493.db2.gz ARKVAIDHAARSEE-UHFFFAOYSA-N 0 2 302.396 0.426 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCO[C@H]1CC1(F)F ZINC000849726918 670843949 /nfs/dbraw/zinc/84/39/49/670843949.db2.gz QYLWVRLVSZISDE-QMMMGPOBSA-N 0 2 314.248 0.039 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@@H]1CCn2cncc21 ZINC000857978493 670966135 /nfs/dbraw/zinc/96/61/35/670966135.db2.gz GVKRRHDOEMXACX-SSDOTTSWSA-N 0 2 304.272 0.901 20 0 DCADLN O=C(Cc1cn2c([nH+]1)CCCC2)[N-]S(=O)(=O)CC(F)F ZINC000851364245 670981626 /nfs/dbraw/zinc/98/16/26/670981626.db2.gz UNXZJVFUZLGCNJ-UHFFFAOYSA-N 0 2 307.322 0.473 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cc(N)ccc2C)[nH]1 ZINC000851801919 671036991 /nfs/dbraw/zinc/03/69/91/671036991.db2.gz ZARMLHQQVFMNRQ-UHFFFAOYSA-N 0 2 311.367 0.320 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCN(Cc2ccc(Cl)nc2)CC1 ZINC000116459276 671057064 /nfs/dbraw/zinc/05/70/64/671057064.db2.gz KTWMAELIXXFCCI-UHFFFAOYSA-N 0 2 322.756 0.104 20 0 DCADLN CC(C)[C@@]1(CO)CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000819044549 671088581 /nfs/dbraw/zinc/08/85/81/671088581.db2.gz LEBFTMZZMYDRIW-YGRLFVJLSA-N 0 2 313.423 0.800 20 0 DCADLN O=C(NCCC[NH+]1CCC([N@H+]2CC[C@@H](O)C2)CC1)C(F)(F)F ZINC000852708037 671152191 /nfs/dbraw/zinc/15/21/91/671152191.db2.gz OWEXVHLPTJYLPF-GFCCVEGCSA-N 0 2 323.359 0.586 20 0 DCADLN CCNC(=O)COC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860308103 671197950 /nfs/dbraw/zinc/19/79/50/671197950.db2.gz BZRPNFJYEVSANC-UHFFFAOYSA-N 0 2 322.239 0.751 20 0 DCADLN Cn1cnnc1NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860842861 671243423 /nfs/dbraw/zinc/24/34/23/671243423.db2.gz UNCASKSCDIJOAB-UHFFFAOYSA-N 0 2 317.227 0.839 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)O[C@H](C)CC(C)(C)O ZINC000821591701 671285072 /nfs/dbraw/zinc/28/50/72/671285072.db2.gz MOGDIKZGFUUCFM-SECBINFHSA-N 0 2 307.350 0.966 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@H]1CCOC1 ZINC000827989481 671541804 /nfs/dbraw/zinc/54/18/04/671541804.db2.gz ZNYGXKWAHJKVGZ-RYUDHWBXSA-N 0 2 302.334 0.947 20 0 DCADLN Cc1cc(CCNC(=O)c2cn[nH]c2-c2cnn(C)c2)nn1C ZINC000830632621 671635251 /nfs/dbraw/zinc/63/52/51/671635251.db2.gz XVBHGFBPPNNKLP-UHFFFAOYSA-N 0 2 313.365 0.825 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cn3nccc3nc2C)C1=O ZINC000834790826 671780568 /nfs/dbraw/zinc/78/05/68/671780568.db2.gz XKINDOFCBQIHPL-CQSZACIVSA-N 0 2 316.321 0.403 20 0 DCADLN CC(C)OC(=O)[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C(C)C ZINC000836841868 671834174 /nfs/dbraw/zinc/83/41/74/671834174.db2.gz SZNKJQVOSADPEK-WPRPVWTQSA-N 0 2 315.395 0.635 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(S(=O)(=O)C2CC2)cc1 ZINC000837977373 671890487 /nfs/dbraw/zinc/89/04/87/671890487.db2.gz UIOXCXFDGFAUKR-UHFFFAOYSA-N 0 2 323.330 0.803 20 0 DCADLN CC[C@H](NS(=O)(=O)N=[S@](C)(=O)CC)[C@@H](O)C(F)F ZINC000867331166 672116234 /nfs/dbraw/zinc/11/62/34/672116234.db2.gz QBYUXKLIOVTUEL-DQLZMYNCSA-N 0 2 308.372 0.343 20 0 DCADLN CCn1nc(C)c(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000843878016 672126929 /nfs/dbraw/zinc/12/69/29/672126929.db2.gz KFKGMZMQHFJSRG-VIFPVBQESA-N 0 2 305.342 0.450 20 0 DCADLN COC(=O)[C@H](CNC(=O)C[C@H]1SC(=N)NC1=O)CC1CC1 ZINC000846461454 672316169 /nfs/dbraw/zinc/31/61/69/672316169.db2.gz SNIVUCPXPKQSGA-DTWKUNHWSA-N 0 2 313.379 0.248 20 0 DCADLN COC(=O)[C@@H](CNC(=O)C[C@@H]1SC(=N)NC1=O)CC1CC1 ZINC000846461451 672316230 /nfs/dbraw/zinc/31/62/30/672316230.db2.gz SNIVUCPXPKQSGA-BDAKNGLRSA-N 0 2 313.379 0.248 20 0 DCADLN O=C([O-])C[NH+]1CCN(S(=O)(=O)Cc2ccccc2F)CC1 ZINC000396504187 685270098 /nfs/dbraw/zinc/27/00/98/685270098.db2.gz LFZFPBNKUYAIII-UHFFFAOYSA-N 0 2 316.354 0.358 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)[C@H]3CCCOC3)CC2)[nH+]c1 ZINC000738681371 685325264 /nfs/dbraw/zinc/32/52/64/685325264.db2.gz PIZFHBNUSDCHJF-ZDUSSCGKSA-N 0 2 319.361 0.855 20 0 DCADLN CCCNC(=O)NNC(=O)c1csc(N2CCOCC2)n1 ZINC000043466048 685058908 /nfs/dbraw/zinc/05/89/08/685058908.db2.gz HJPUFAZELVUKHN-UHFFFAOYSA-N 0 2 313.383 0.334 20 0 DCADLN CCc1cc(C(=O)N2CCC[C@H]2CNC(=O)c2ncn[nH]2)[nH]n1 ZINC000955900894 686545591 /nfs/dbraw/zinc/54/55/91/686545591.db2.gz RNWMDPWJRIGWEI-JTQLQIEISA-N 0 2 317.353 0.125 20 0 DCADLN CC[C@@H]1C[C@@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)CCO1 ZINC000957102781 686960977 /nfs/dbraw/zinc/96/09/77/686960977.db2.gz JKEJGRNEIBATSK-NWDGAFQWSA-N 0 2 323.397 0.360 20 0 DCADLN COCc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001038485199 694096209 /nfs/dbraw/zinc/09/62/09/694096209.db2.gz MGPYBNXQPITKCV-SECBINFHSA-N 0 2 321.337 0.254 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)s1 ZINC000958112215 687354054 /nfs/dbraw/zinc/35/40/54/687354054.db2.gz SDHRQLALLOOPOB-GDGBQDQQSA-N 0 2 319.390 0.740 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)s1 ZINC000958112215 687354057 /nfs/dbraw/zinc/35/40/57/687354057.db2.gz SDHRQLALLOOPOB-GDGBQDQQSA-N 0 2 319.390 0.740 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1Cc2ccccc21 ZINC001038658255 694151706 /nfs/dbraw/zinc/15/17/06/694151706.db2.gz KBTQISXSRXYYES-DGCLKSJQSA-N 0 2 313.361 0.541 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CCOCC1 ZINC000964629307 689273247 /nfs/dbraw/zinc/27/32/47/689273247.db2.gz YHMCQVFKTMNJTD-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CCOCC1 ZINC000964629307 689273251 /nfs/dbraw/zinc/27/32/51/689273251.db2.gz YHMCQVFKTMNJTD-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CCOCC1 ZINC000964629303 689273396 /nfs/dbraw/zinc/27/33/96/689273396.db2.gz YHMCQVFKTMNJTD-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CCOCC1 ZINC000964629303 689273398 /nfs/dbraw/zinc/27/33/98/689273398.db2.gz YHMCQVFKTMNJTD-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccccn1 ZINC000964632119 689274659 /nfs/dbraw/zinc/27/46/59/689274659.db2.gz IPZFFUVGFXUTFA-JQWIXIFHSA-N 0 2 316.365 0.546 20 0 DCADLN CCn1cccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039019019 694274461 /nfs/dbraw/zinc/27/44/61/694274461.db2.gz NZCSQNWUVNVLCV-JTQLQIEISA-N 0 2 304.354 0.336 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@H]2C)on1 ZINC000964996381 689333864 /nfs/dbraw/zinc/33/38/64/689333864.db2.gz HBKNDDYLZFLQCF-PSASIEDQSA-N 0 2 320.353 0.447 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cocn1 ZINC000965596067 689497583 /nfs/dbraw/zinc/49/75/83/689497583.db2.gz KWOUMJMTCBHPAI-DTWKUNHWSA-N 0 2 306.326 0.139 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccoc1 ZINC000965932080 689586055 /nfs/dbraw/zinc/58/60/55/689586055.db2.gz SHNMJEHCAYHTCZ-PWSUYJOCSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccoc1 ZINC000965932080 689586057 /nfs/dbraw/zinc/58/60/57/689586057.db2.gz SHNMJEHCAYHTCZ-PWSUYJOCSA-N 0 2 319.365 0.673 20 0 DCADLN CO[C@H](C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039523474 694377428 /nfs/dbraw/zinc/37/74/28/694377428.db2.gz DNUZTUGLCSWNSM-MXWKQRLJSA-N 0 2 309.370 0.111 20 0 DCADLN Cc1nnsc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006696496 690574462 /nfs/dbraw/zinc/57/44/62/690574462.db2.gz BVDZRAHNPFMPFK-MRVPVSSYSA-N 0 2 323.382 0.065 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007234255 690646651 /nfs/dbraw/zinc/64/66/51/690646651.db2.gz HXBMFQGJCOLMTP-JTQLQIEISA-N 0 2 304.354 0.541 20 0 DCADLN COC1CC(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001007557502 690703569 /nfs/dbraw/zinc/70/35/69/690703569.db2.gz UEWWAATUYXRZDY-HSOILSAZSA-N 0 2 309.370 0.016 20 0 DCADLN COc1cc(CN2CCC[C@H](NC(=O)c3cnn[nH]3)C2)on1 ZINC001007758162 690742058 /nfs/dbraw/zinc/74/20/58/690742058.db2.gz DDOAQDDAZMFHRM-VIFPVBQESA-N 0 2 306.326 0.196 20 0 DCADLN COc1cc(C[N@@H+]2CCC[C@H](NC(=O)c3cnn[n-]3)C2)on1 ZINC001007758162 690742059 /nfs/dbraw/zinc/74/20/59/690742059.db2.gz DDOAQDDAZMFHRM-VIFPVBQESA-N 0 2 306.326 0.196 20 0 DCADLN COc1cc(C[N@H+]2CCC[C@H](NC(=O)c3cnn[n-]3)C2)on1 ZINC001007758162 690742062 /nfs/dbraw/zinc/74/20/62/690742062.db2.gz DDOAQDDAZMFHRM-VIFPVBQESA-N 0 2 306.326 0.196 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001008262380 690829870 /nfs/dbraw/zinc/82/98/70/690829870.db2.gz UHTLBMBGHQDLNS-QCNOEVLYSA-N 0 2 305.382 0.637 20 0 DCADLN CC1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC001008878968 690944003 /nfs/dbraw/zinc/94/40/03/690944003.db2.gz BAURMHONKJBLPR-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCOC1 ZINC001008999448 690970838 /nfs/dbraw/zinc/97/08/38/690970838.db2.gz BLNPDCUUZAUVBQ-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(Cl)c[nH]1 ZINC001010164623 691179422 /nfs/dbraw/zinc/17/94/22/691179422.db2.gz FBUJDCHOTKJYTB-QMMMGPOBSA-N 0 2 310.745 0.496 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011106280 691469364 /nfs/dbraw/zinc/46/93/64/691469364.db2.gz LYLWFGWIMCBVIC-SECBINFHSA-N 0 2 322.262 0.903 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011106280 691469366 /nfs/dbraw/zinc/46/93/66/691469366.db2.gz LYLWFGWIMCBVIC-SECBINFHSA-N 0 2 322.262 0.903 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC(F)(F)C1 ZINC001014663909 692067475 /nfs/dbraw/zinc/06/74/75/692067475.db2.gz SVYWLCDBWSVSFU-BDAKNGLRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2nccs2)CCCO1)c1cnn[nH]1 ZINC001073674404 694764942 /nfs/dbraw/zinc/76/49/42/694764942.db2.gz CIUDXUYKFXIUKB-SNVBAGLBSA-N 0 2 322.394 0.282 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001073782040 694781268 /nfs/dbraw/zinc/78/12/68/694781268.db2.gz VNAQHAWISGYPCR-IJLUTSLNSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(C1CCC1)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074133792 694825215 /nfs/dbraw/zinc/82/52/15/694825215.db2.gz QQMPSSPUCRQLFV-VXGBXAGGSA-N 0 2 321.381 0.112 20 0 DCADLN CC1(C)CC[C@H]1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076153524 695001805 /nfs/dbraw/zinc/00/18/05/695001805.db2.gz DRBFTKMKHAVBFR-SDDRHHMPSA-N 0 2 319.409 0.979 20 0 DCADLN CC1(C)CC[C@H]1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076153524 695001806 /nfs/dbraw/zinc/00/18/06/695001806.db2.gz DRBFTKMKHAVBFR-SDDRHHMPSA-N 0 2 319.409 0.979 20 0 DCADLN CC[C@@H](C)C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001720020013 1158528288 /nfs/dbraw/zinc/52/82/88/1158528288.db2.gz QUXWJTYIHOWAJU-SNVBAGLBSA-N 0 2 318.381 0.702 20 0 DCADLN CCOC(=O)[C@@H](N)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000387873698 1158950507 /nfs/dbraw/zinc/95/05/07/1158950507.db2.gz KSXPXAFGWZMHDK-VIFPVBQESA-N 0 2 324.362 0.246 20 0 DCADLN O=C(NCCOCCCNC(=O)C1CC1)C(F)C(F)(F)F ZINC001572202741 1163063138 /nfs/dbraw/zinc/06/31/38/1163063138.db2.gz JNTKOVVSPRIWDR-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCOCCCNC(=O)C1CC1)[C@@H](F)C(F)(F)F ZINC001572202741 1163063146 /nfs/dbraw/zinc/06/31/46/1163063146.db2.gz JNTKOVVSPRIWDR-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN CC[C@H](C(N)=O)[N@H+](CC)CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001566547382 1160050941 /nfs/dbraw/zinc/05/09/41/1160050941.db2.gz PPHXSFUMUUGQRQ-SNVBAGLBSA-N 0 2 311.386 0.129 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+](CC)CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001566547382 1160050949 /nfs/dbraw/zinc/05/09/49/1160050949.db2.gz PPHXSFUMUUGQRQ-SNVBAGLBSA-N 0 2 311.386 0.129 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])C1CC1 ZINC001566584426 1160079239 /nfs/dbraw/zinc/07/92/39/1160079239.db2.gz LAHRBOPRERTAID-NSHDSACASA-N 0 2 323.397 0.272 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+](CCCNC(=O)c1n[nH]c(C)c1[O-])C1CC1 ZINC001566584426 1160079250 /nfs/dbraw/zinc/07/92/50/1160079250.db2.gz LAHRBOPRERTAID-NSHDSACASA-N 0 2 323.397 0.272 20 0 DCADLN C[C@@H](CCCCNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001572748341 1163309392 /nfs/dbraw/zinc/30/93/92/1163309392.db2.gz OCHLNLYHCNFLLL-NKWVEPMBSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CCCCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001572748341 1163309397 /nfs/dbraw/zinc/30/93/97/1163309397.db2.gz OCHLNLYHCNFLLL-NKWVEPMBSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](NC(=O)[C@H](C)[N@H+]1[C@H](C)CC[C@@H]1C)c1nc(C(=O)[O-])n[nH]1 ZINC001573553897 1163493428 /nfs/dbraw/zinc/49/34/28/1163493428.db2.gz MTVHEQGTJPKLRA-JXUBOQSCSA-N 0 2 309.370 0.941 20 0 DCADLN C[C@H](NC(=O)[C@H](C)[N@@H+]1[C@H](C)CC[C@@H]1C)c1nc(C(=O)[O-])n[nH]1 ZINC001573553897 1163493461 /nfs/dbraw/zinc/49/34/61/1163493461.db2.gz MTVHEQGTJPKLRA-JXUBOQSCSA-N 0 2 309.370 0.941 20 0 DCADLN CCC[C@@]1(C(=O)OCC)CCC[N@@H+]1CC(=O)N(C)CC(=O)[O-] ZINC001573734491 1163544310 /nfs/dbraw/zinc/54/43/10/1163544310.db2.gz WPRILJIIPNXPPR-HNNXBMFYSA-N 0 2 314.382 0.727 20 0 DCADLN CCC[C@@]1(C(=O)OCC)CCC[N@H+]1CC(=O)N(C)CC(=O)[O-] ZINC001573734491 1163544316 /nfs/dbraw/zinc/54/43/16/1163544316.db2.gz WPRILJIIPNXPPR-HNNXBMFYSA-N 0 2 314.382 0.727 20 0 DCADLN CCO[C@@H]1[C@@H](C)[C@@H]1C(=O)NC1CN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC001573795067 1163556667 /nfs/dbraw/zinc/55/66/67/1163556667.db2.gz DOFHRLQCDHHAQO-QCZZGDTMSA-N 0 2 319.361 0.756 20 0 DCADLN CCc1nc(N2C[C@H](C)O[C@H](C(=O)OC)C2)c(C(=O)[O-])c[nH+]1 ZINC001573837823 1163563772 /nfs/dbraw/zinc/56/37/72/1163563772.db2.gz OVUULJOMWSXYJF-WPRPVWTQSA-N 0 2 309.322 0.504 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)Nc2ccn3ncc(C(=O)[O-])c3c2)C1 ZINC001573885224 1163576322 /nfs/dbraw/zinc/57/63/22/1163576322.db2.gz PEFYOJVBQKRQDB-LBPRGKRZSA-N 0 2 304.306 0.302 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)Nc2ccn3ncc(C(=O)[O-])c3c2)C1 ZINC001573885224 1163576331 /nfs/dbraw/zinc/57/63/31/1163576331.db2.gz PEFYOJVBQKRQDB-LBPRGKRZSA-N 0 2 304.306 0.302 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@@H](C(=O)[O-])[C@H](O)c1cccnc1 ZINC001574220754 1163668240 /nfs/dbraw/zinc/66/82/40/1163668240.db2.gz HFKWPFLOZBOUCU-ZIAGYGMSSA-N 0 2 318.333 0.051 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)N[C@@H]3CCn4cc[nH+]c4C3)C[C@@H]21 ZINC001574403833 1163715481 /nfs/dbraw/zinc/71/54/81/1163715481.db2.gz WMXQWRIBKYGPQK-HNCHTBHHSA-N 0 2 304.350 0.560 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001574986393 1163835000 /nfs/dbraw/zinc/83/50/00/1163835000.db2.gz OCRLSBWPKHXUHM-IONNQARKSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001574986393 1163835004 /nfs/dbraw/zinc/83/50/04/1163835004.db2.gz OCRLSBWPKHXUHM-IONNQARKSA-N 0 2 300.252 0.546 20 0 DCADLN CCO[C@@H](C(=O)NC[C@H]1C[C@@H]([NH2+]Cc2c[nH]nn2)C1)C1CC1 ZINC001576567601 1164396036 /nfs/dbraw/zinc/39/60/36/1164396036.db2.gz WDZFFJGEPNJPBH-SCDSUCTJSA-N 0 2 307.398 0.604 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cnon1 ZINC001577106162 1164603407 /nfs/dbraw/zinc/60/34/07/1164603407.db2.gz DIWNWJHURHMMIN-SSDOTTSWSA-N 0 2 312.223 0.548 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnon1 ZINC001577106162 1164603409 /nfs/dbraw/zinc/60/34/09/1164603409.db2.gz DIWNWJHURHMMIN-SSDOTTSWSA-N 0 2 312.223 0.548 20 0 DCADLN CC[C@H]1CN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)CCO1 ZINC001577170115 1164619227 /nfs/dbraw/zinc/61/92/27/1164619227.db2.gz UZGWUIQJROCBCX-VIFPVBQESA-N 0 2 318.337 0.527 20 0 DCADLN O=C(COCC(F)F)N1CC[C@@H](CC[NH2+]Cc2c[nH]nn2)C1 ZINC001577952133 1164859028 /nfs/dbraw/zinc/85/90/28/1164859028.db2.gz LSAQPKXWLXNUQT-SNVBAGLBSA-N 0 2 317.340 0.415 20 0 DCADLN O=C(Cc1ccco1)N1C[C@H]2CC[C@@H](C1)N2CCc1cn[nH]n1 ZINC001577964505 1164865815 /nfs/dbraw/zinc/86/58/15/1164865815.db2.gz RTHLUZKVPZUMDY-OKILXGFUSA-N 0 2 315.377 0.858 20 0 DCADLN O=C(Cc1nnc(C2CC2)[nH]1)NC1(Cc2cn[nH]n2)CCC1 ZINC001577966738 1164867141 /nfs/dbraw/zinc/86/71/41/1164867141.db2.gz USUQXZQEKPMGFE-UHFFFAOYSA-N 0 2 301.354 0.624 20 0 DCADLN O=C(Cc1nnc(C2CC2)[nH]1)NC1(Cc2c[nH]nn2)CCC1 ZINC001577966738 1164867147 /nfs/dbraw/zinc/86/71/47/1164867147.db2.gz USUQXZQEKPMGFE-UHFFFAOYSA-N 0 2 301.354 0.624 20 0 DCADLN O=C(Cc1ccsc1)N1C[C@@H]2C[C@H]1CN2Cc1cn[nH]n1 ZINC001577964291 1164867161 /nfs/dbraw/zinc/86/71/61/1164867161.db2.gz YLTQOGQEDZPTAZ-STQMWFEESA-N 0 2 303.391 0.894 20 0 DCADLN O=C(Cc1ccsc1)N1C[C@@H]2C[C@H]1CN2Cc1c[nH]nn1 ZINC001577964291 1164867166 /nfs/dbraw/zinc/86/71/66/1164867166.db2.gz YLTQOGQEDZPTAZ-STQMWFEESA-N 0 2 303.391 0.894 20 0 DCADLN CCc1nccn1CCNC(=O)c1ccc(-c2nn[nH]n2)o1 ZINC001578685982 1165086154 /nfs/dbraw/zinc/08/61/54/1165086154.db2.gz NNHWRMPLOLVKSM-UHFFFAOYSA-N 0 2 301.310 0.649 20 0 DCADLN Cc1cc(N)cc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC001579618766 1165361737 /nfs/dbraw/zinc/36/17/37/1165361737.db2.gz UZRIPFUWXWSJPX-UHFFFAOYSA-N 0 2 312.333 0.278 20 0 DCADLN Cc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)OC3C[NH2+]C3)C2)cc1 ZINC001579692067 1165375248 /nfs/dbraw/zinc/37/52/48/1165375248.db2.gz RLFZPBRQPDKEET-MRXNPFEDSA-N 0 2 319.405 0.894 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1C[C@@H](O)C1(C)C ZINC001580600454 1165651345 /nfs/dbraw/zinc/65/13/45/1165651345.db2.gz DJMJFTUVCNMMEI-RKDXNWHRSA-N 0 2 318.337 0.165 20 0 DCADLN Cn1c(=O)[nH]c(NCCc2ccccc2)c(-c2nn[nH]n2)c1=O ZINC001581747302 1165864600 /nfs/dbraw/zinc/86/46/00/1165864600.db2.gz HTXJECHTLCKUPN-UHFFFAOYSA-N 0 2 313.321 0.321 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccc2c(c1)COC2 ZINC001582198031 1165981416 /nfs/dbraw/zinc/98/14/16/1165981416.db2.gz JYMUGFUBWMKMOT-UHFFFAOYSA-N 0 2 324.300 0.337 20 0 DCADLN COCCCC(=O)NC[C@](C)(NC(=O)c1ccnnc1)C1CC1 ZINC001582663024 1166062954 /nfs/dbraw/zinc/06/29/54/1166062954.db2.gz HKVHAWHNEPBVDI-INIZCTEOSA-N 0 2 320.393 0.918 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2csc(-c3ncc[nH]3)n2)C(=O)N1C ZINC001585143548 1166405330 /nfs/dbraw/zinc/40/53/30/1166405330.db2.gz RPJVMQUAHHGBQG-LURJTMIESA-N 0 2 320.334 0.461 20 0 DCADLN C[C@H](C(=O)Nc1ccc(F)cc1O)n1cnc(-c2nn[nH]n2)n1 ZINC001589017627 1166629871 /nfs/dbraw/zinc/62/98/71/1166629871.db2.gz GCBQXDAYHQROHN-ZCFIWIBFSA-N 0 2 318.272 0.503 20 0 DCADLN C[C@@H](CC(F)(F)F)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589798512 1166690931 /nfs/dbraw/zinc/69/09/31/1166690931.db2.gz GJFQBFPGZKBNQL-BYPYZUCNSA-N 0 2 319.247 0.419 20 0 DCADLN C[C@@H](NC(=O)c1cccc(-c2nn[nH]n2)n1)C1=NN(C)CC1=O ZINC001589946261 1166728191 /nfs/dbraw/zinc/72/81/91/1166728191.db2.gz NDYSRXUDTNOEJF-SSDOTTSWSA-N 0 2 314.309 0.192 20 0 DCADLN C[C@H]1CCN(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2O)C1 ZINC001590272567 1166834191 /nfs/dbraw/zinc/83/41/91/1166834191.db2.gz UBVPALUBPXMFHF-VIFPVBQESA-N 0 2 302.338 0.853 20 0 DCADLN CC[C@@H](C)[C@H](C)Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590398999 1166898773 /nfs/dbraw/zinc/89/87/73/1166898773.db2.gz GYQGAVOGVSTCEF-SFYZADRCSA-N 0 2 307.358 0.111 20 0 DCADLN CCCCOCCn1c(=O)c(-c2nn[nH]n2)cn(CC)c1=O ZINC001590613246 1166949525 /nfs/dbraw/zinc/94/95/25/1166949525.db2.gz RCWLKJKHZIVYQB-UHFFFAOYSA-N 0 2 308.342 0.027 20 0 DCADLN COC(=O)/C=C(/C)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001591257963 1167180881 /nfs/dbraw/zinc/18/08/81/1167180881.db2.gz ZJPJTUPQEWTAIN-TWGQIWQCSA-N 0 2 315.333 0.636 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCOC(F)F)c1 ZINC001591285658 1167201588 /nfs/dbraw/zinc/20/15/88/1167201588.db2.gz LJVALLVQKFXGDB-UHFFFAOYSA-N 0 2 315.236 0.054 20 0 DCADLN COC1(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)CCCC1 ZINC001591342338 1167224094 /nfs/dbraw/zinc/22/40/94/1167224094.db2.gz LNOMGOLWPFQHKW-UHFFFAOYSA-N 0 2 321.341 0.037 20 0 DCADLN COCC(C)(C)CCNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001591369840 1167243055 /nfs/dbraw/zinc/24/30/55/1167243055.db2.gz YTOKEGVSXHLYNQ-UHFFFAOYSA-N 0 2 323.357 0.141 20 0 DCADLN CS[C@@H]1CCN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)C1 ZINC001591693862 1167356211 /nfs/dbraw/zinc/35/62/11/1167356211.db2.gz VAZKQYBTZAPGLN-SNVBAGLBSA-N 0 2 306.395 0.732 20 0 DCADLN CSCc1nc(CNCc2ccc(-c3nn[nH]n3)o2)n[nH]1 ZINC001591701686 1167357746 /nfs/dbraw/zinc/35/77/46/1167357746.db2.gz FKEHQPMOUICZLD-UHFFFAOYSA-N 0 2 306.355 0.731 20 0 DCADLN CSCc1n[nH]c(CNCc2ccc(-c3nn[nH]n3)o2)n1 ZINC001591701686 1167357753 /nfs/dbraw/zinc/35/77/53/1167357753.db2.gz FKEHQPMOUICZLD-UHFFFAOYSA-N 0 2 306.355 0.731 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(NS(=O)(=O)CCCCF)nn1C ZINC001591799732 1167383031 /nfs/dbraw/zinc/38/30/31/1167383031.db2.gz SAHWSCDZMADYRT-UHFFFAOYSA-N 0 2 317.350 0.400 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCC[C@@H](C)CO ZINC001591835720 1167390275 /nfs/dbraw/zinc/39/02/75/1167390275.db2.gz JTUIQRHIGPJBRM-MRVPVSSYSA-N 0 2 320.353 0.414 20 0 DCADLN Cc1ccc(OCCn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cc1 ZINC001592190657 1167473868 /nfs/dbraw/zinc/47/38/68/1167473868.db2.gz NRBPIHKJPOXRPR-UHFFFAOYSA-N 0 2 314.305 0.516 20 0 DCADLN Cc1nn(CC(=O)c2ccccc2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592438428 1167522352 /nfs/dbraw/zinc/52/23/52/1167522352.db2.gz ADFBXZFVWIKMPQ-UHFFFAOYSA-N 0 2 310.317 0.923 20 0 DCADLN O=C(Nc1nc(-c2ccco2)n[nH]1)c1cnccc1-c1nn[nH]n1 ZINC001593269435 1167750067 /nfs/dbraw/zinc/75/00/67/1167750067.db2.gz DZGFAEXKSQBJFK-UHFFFAOYSA-N 0 2 323.276 0.892 20 0 DCADLN O=C(Nc1ncc(-c2nn[nH]n2)s1)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001593268638 1167750633 /nfs/dbraw/zinc/75/06/33/1167750633.db2.gz WBYHNXLMMSLYRU-PHDIDXHHSA-N 0 2 302.323 0.789 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cc(F)ccc1F ZINC001593489130 1167871359 /nfs/dbraw/zinc/87/13/59/1167871359.db2.gz AGPWBVRGZUXTQV-UHFFFAOYSA-N 0 2 306.232 0.456 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CC[C@@H]1CCOC1)CCC2 ZINC001593492170 1167877833 /nfs/dbraw/zinc/87/78/33/1167877833.db2.gz JPYXKWQWCJLLJU-SNVBAGLBSA-N 0 2 301.350 0.944 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1cccc2nsnc21 ZINC001593495324 1167880628 /nfs/dbraw/zinc/88/06/28/1167880628.db2.gz ZLWQARIUQDJRET-UHFFFAOYSA-N 0 2 312.318 0.476 20 0 DCADLN c1cnc(-c2nn[nH]n2)c(NCc2n[nH]c([C@@H]3CCCO3)n2)c1 ZINC001593585479 1167951563 /nfs/dbraw/zinc/95/15/63/1167951563.db2.gz UCMBNNPZSSXDSH-VIFPVBQESA-N 0 2 313.325 0.843 20 0 DCADLN Cc1cc([C@@H]([NH2+]CC(=O)N2CCNC2=O)C(=O)[O-])ccc1F ZINC001600146799 1168126576 /nfs/dbraw/zinc/12/65/76/1168126576.db2.gz JMBZSSHASCCFCD-GFCCVEGCSA-N 0 2 309.297 0.401 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@@H+](C)[C@@H](C)[C@@H]2C)oc1C(=O)[O-] ZINC001600294154 1168158039 /nfs/dbraw/zinc/15/80/39/1168158039.db2.gz YWSUWPRONTUNQG-UWVGGRQHSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1cc(S(=O)(=O)N2CC[N@H+](C)[C@@H](C)[C@@H]2C)oc1C(=O)[O-] ZINC001600294154 1168158042 /nfs/dbraw/zinc/15/80/42/1168158042.db2.gz YWSUWPRONTUNQG-UWVGGRQHSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1ccc(N2CCN(S(C)(=O)=O)[C@H](C)C2)[nH+]c1C(=O)[O-] ZINC001600437534 1168183085 /nfs/dbraw/zinc/18/30/85/1168183085.db2.gz NQYHXWBZWHDYSL-SNVBAGLBSA-N 0 2 313.379 0.558 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N[C@H](CC3OCCO3)C(=O)[O-])ccn12 ZINC001600627213 1168219223 /nfs/dbraw/zinc/21/92/23/1168219223.db2.gz WHEIVXBNZXXDOU-LLVKDONJSA-N 0 2 319.317 0.589 20 0 DCADLN Cc1nc(CC2CC[NH+](Cn3cc(C(=O)[O-])nn3)CC2)no1 ZINC001600699289 1168256720 /nfs/dbraw/zinc/25/67/20/1168256720.db2.gz UWVBKEJACXSJAI-UHFFFAOYSA-N 0 2 306.326 0.580 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCC[N@@H+]1CN1C[C@@H](C(=O)[O-])CC1=O ZINC001600778855 1168291527 /nfs/dbraw/zinc/29/15/27/1168291527.db2.gz YEEMAFZZEJRJLG-WCQYABFASA-N 0 2 306.366 0.756 20 0 DCADLN Cc1nn(C)cc1[C@H]1CCC[N@H+]1CN1C[C@@H](C(=O)[O-])CC1=O ZINC001600778855 1168291535 /nfs/dbraw/zinc/29/15/35/1168291535.db2.gz YEEMAFZZEJRJLG-WCQYABFASA-N 0 2 306.366 0.756 20 0 DCADLN Cc1noc(C)c1C[NH+]1CCN(Cn2cnc(C(=O)[O-])n2)CC1 ZINC001600809289 1168333590 /nfs/dbraw/zinc/33/35/90/1168333590.db2.gz OVJAEBOWXUWVTE-UHFFFAOYSA-N 0 2 320.353 0.356 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@H+](Cn3cnc(C(=O)[O-])n3)C2)n1 ZINC001600814046 1168340925 /nfs/dbraw/zinc/34/09/25/1168340925.db2.gz WDTBDMCGDYWOCB-SNVBAGLBSA-N 0 2 306.326 0.580 20 0 DCADLN Cc1noc(C[C@H]2CCC[N@@H+](Cn3cnc(C(=O)[O-])n3)C2)n1 ZINC001600814046 1168340942 /nfs/dbraw/zinc/34/09/42/1168340942.db2.gz WDTBDMCGDYWOCB-SNVBAGLBSA-N 0 2 306.326 0.580 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)C[C@@H]1C ZINC001600832918 1168479798 /nfs/dbraw/zinc/47/97/98/1168479798.db2.gz YZSNENARSWASMB-BDAKNGLRSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)C[C@@H]1C ZINC001600832918 1168479805 /nfs/dbraw/zinc/47/98/05/1168479805.db2.gz YZSNENARSWASMB-BDAKNGLRSA-N 0 2 316.379 0.999 20 0 DCADLN Cn1c(C[N@@H+]2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)ccc1C(=O)[O-] ZINC001600913995 1168505293 /nfs/dbraw/zinc/50/52/93/1168505293.db2.gz WJMARBPPDKGTIN-QWRGUYRKSA-N 0 2 312.391 0.590 20 0 DCADLN Cn1c(C[N@H+]2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)ccc1C(=O)[O-] ZINC001600913995 1168505303 /nfs/dbraw/zinc/50/53/03/1168505303.db2.gz WJMARBPPDKGTIN-QWRGUYRKSA-N 0 2 312.391 0.590 20 0 DCADLN Cn1ncc(C[N@H+](CCCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C2CC2)n1 ZINC001601026147 1168570078 /nfs/dbraw/zinc/57/00/78/1168570078.db2.gz HSWSEOIQYAIRNS-OLZOCXBDSA-N 0 2 321.381 0.007 20 0 DCADLN Cn1ncc(C[N@@H+](CCCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C2CC2)n1 ZINC001601026147 1168570086 /nfs/dbraw/zinc/57/00/86/1168570086.db2.gz HSWSEOIQYAIRNS-OLZOCXBDSA-N 0 2 321.381 0.007 20 0 DCADLN Nc1nc(N2CCC[C@@H]2C(=O)NCC(=O)[O-])[nH+]c2ccccc12 ZINC001601219986 1168663721 /nfs/dbraw/zinc/66/37/21/1168663721.db2.gz PFSMNCVBPXJUEL-LLVKDONJSA-N 0 2 315.333 0.382 20 0 DCADLN O=C(C=CCOC1CCOCC1)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601230429 1168670569 /nfs/dbraw/zinc/67/05/69/1168670569.db2.gz BXWBZWGXVMZVHF-FYDYADQUSA-N 0 2 323.349 0.273 20 0 DCADLN O=C(/C=C\COC1CCOCC1)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601230429 1168670594 /nfs/dbraw/zinc/67/05/94/1168670594.db2.gz BXWBZWGXVMZVHF-FYDYADQUSA-N 0 2 323.349 0.273 20 0 DCADLN O=C([O-])C1([C@]2(O)CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)CCC1 ZINC001601372312 1168723282 /nfs/dbraw/zinc/72/32/82/1168723282.db2.gz MFXUEOXPXHNFMS-HNNXBMFYSA-N 0 2 307.350 0.561 20 0 DCADLN O=C([O-])c1ccn(CC(=O)OCCc2cn3c([nH+]2)CCCC3)n1 ZINC001601385888 1168729225 /nfs/dbraw/zinc/72/92/25/1168729225.db2.gz KRLRMFKFCRAIPJ-UHFFFAOYSA-N 0 2 318.333 0.900 20 0 DCADLN O=C([O-])[C@H](Cc1cncs1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001601425183 1168740922 /nfs/dbraw/zinc/74/09/22/1168740922.db2.gz VEANNIUACYRCEA-CABZTGNLSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc2c(c1)OCO2 ZINC001601425491 1168742840 /nfs/dbraw/zinc/74/28/40/1168742840.db2.gz BPUMTARYMOXXTC-SNVBAGLBSA-N 0 2 303.274 0.495 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)c1cccc2[nH+]ccn21)[C@@H]1CCOC1 ZINC001601454444 1168753928 /nfs/dbraw/zinc/75/39/28/1168753928.db2.gz RTOLANOIZNKZEX-MNOVXSKESA-N 0 2 303.318 0.801 20 0 DCADLN O=C([O-])c1coc(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC001601531681 1168778302 /nfs/dbraw/zinc/77/83/02/1168778302.db2.gz QKLWGFJNZBZBFN-JTQLQIEISA-N 0 2 304.306 0.989 20 0 DCADLN O=C([O-])[C@H](c1cccc(Cl)c1)[N@@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001601693445 1168817281 /nfs/dbraw/zinc/81/72/81/1168817281.db2.gz IVLRGMUUHLSTJZ-MNOVXSKESA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@H](c1cccc(Cl)c1)[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001601693445 1168817285 /nfs/dbraw/zinc/81/72/85/1168817285.db2.gz IVLRGMUUHLSTJZ-MNOVXSKESA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])C1(O)C[NH+](C[C@@H](O)COc2cccc3[nH]ccc32)C1 ZINC001601722536 1168830325 /nfs/dbraw/zinc/83/03/25/1168830325.db2.gz FMULPJPZDFXVPD-SNVBAGLBSA-N 0 2 306.318 0.039 20 0 DCADLN O=C([O-])C12CCC(C(=O)N3CC[NH+]([C@@H]4CCOC4)CC3)(CC1)C2 ZINC001601730113 1168836932 /nfs/dbraw/zinc/83/69/32/1168836932.db2.gz QJQBQCPUCVJKHB-NVPAJSRCSA-N 0 2 322.405 0.955 20 0 DCADLN O=C([O-])C12CCC(C(=O)N3CC[NH2+][C@@H](c4cn[nH]c4)C3)(CC1)C2 ZINC001601730165 1168838386 /nfs/dbraw/zinc/83/83/86/1168838386.db2.gz VDSGXCKMGCSKOC-UWTIGNOOSA-N 0 2 318.377 0.918 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001601756248 1168852603 /nfs/dbraw/zinc/85/26/03/1168852603.db2.gz DCAYBBCLHYKGSQ-UTUOFQBUSA-N 0 2 313.379 0.398 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NC/C=C\C[NH2+]Cc1nnc(C2CC2)o1 ZINC001601780738 1168887038 /nfs/dbraw/zinc/88/70/38/1168887038.db2.gz MQFHGZMBTUASFU-WQJDUCKJSA-N 0 2 320.349 0.430 20 0 DCADLN O=C([O-])[C@@H]1CN(C[C@@H](O)C[NH+]2CCOCC2)c2ccccc2O1 ZINC001601850713 1168913711 /nfs/dbraw/zinc/91/37/11/1168913711.db2.gz HIBSJYYVRWEKDL-WFASDCNBSA-N 0 2 322.361 0.032 20 0 DCADLN O=C([O-])CC1(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)CCOCC1 ZINC001601916367 1168934784 /nfs/dbraw/zinc/93/47/84/1168934784.db2.gz RJFGGPKWOYKJOR-GFCCVEGCSA-N 0 2 321.377 0.833 20 0 DCADLN O=C([O-])C[C@@]1([NH2+]Cc2nc(CC(F)(F)F)no2)CCOC1 ZINC001601937618 1168940208 /nfs/dbraw/zinc/94/02/08/1168940208.db2.gz WMCAQVZQFSQXMA-JTQLQIEISA-N 0 2 309.244 0.898 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC001602150425 1169002661 /nfs/dbraw/zinc/00/26/61/1169002661.db2.gz MNVRCTGYFMUERZ-ZDUSSCGKSA-N 0 2 319.361 0.536 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@]12CCO[C@@H]1CCCC2 ZINC001602168914 1169013566 /nfs/dbraw/zinc/01/35/66/1169013566.db2.gz FDNVLFAOCRZJDH-LALPHHSUSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@]12CCO[C@@H]1CCCC2 ZINC001602168914 1169013576 /nfs/dbraw/zinc/01/35/76/1169013576.db2.gz FDNVLFAOCRZJDH-LALPHHSUSA-N 0 2 307.350 0.871 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCCN(c3nccn4c[nH+]cc34)C2)nn1 ZINC001602493706 1169093739 /nfs/dbraw/zinc/09/37/39/1169093739.db2.gz LBIWAUPLYXWHPE-SNVBAGLBSA-N 0 2 313.321 0.861 20 0 DCADLN O=C([O-])c1cnc(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001602529717 1169107110 /nfs/dbraw/zinc/10/71/10/1169107110.db2.gz DJPPQJFZAXFCLI-SNVBAGLBSA-N 0 2 316.321 0.153 20 0 DCADLN O=C([O-])c1ncn(CN2CC[NH+](Cc3ccncc3)CC2)n1 ZINC001602580974 1169126531 /nfs/dbraw/zinc/12/65/31/1169126531.db2.gz JFOZOVGRYPBHIZ-UHFFFAOYSA-N 0 2 302.338 0.147 20 0 DCADLN O=C([O-])c1nscc1S(=O)(=O)N1CC[C@H]([NH+]2CCC2)C1 ZINC001602586504 1169127137 /nfs/dbraw/zinc/12/71/37/1169127137.db2.gz CRKCVDZWXKIHNU-QMMMGPOBSA-N 0 2 317.392 0.310 20 0 DCADLN O=C([O-])c1sccc1C[N@@H+]1CCO[C@@H](Cn2ccnn2)C1 ZINC001602595619 1169128947 /nfs/dbraw/zinc/12/89/47/1169128947.db2.gz ROUKHJHXBFDGGA-LLVKDONJSA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])c1sccc1C[N@H+]1CCO[C@@H](Cn2ccnn2)C1 ZINC001602595619 1169128949 /nfs/dbraw/zinc/12/89/49/1169128949.db2.gz ROUKHJHXBFDGGA-LLVKDONJSA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCC(=O)c2cccn21 ZINC001602642484 1169142297 /nfs/dbraw/zinc/14/22/97/1169142297.db2.gz NLPGZSYVTYPKOW-ZYHUDNBSSA-N 0 2 316.317 0.472 20 0 DCADLN CC(=O)c1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001603123207 1169249059 /nfs/dbraw/zinc/24/90/59/1169249059.db2.gz AMRLBCUPBWTYMJ-QFYYESIMSA-N 0 2 320.345 0.738 20 0 DCADLN CC(=O)c1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001603123207 1169249064 /nfs/dbraw/zinc/24/90/64/1169249064.db2.gz AMRLBCUPBWTYMJ-QFYYESIMSA-N 0 2 320.345 0.738 20 0 DCADLN CC(C)(C)NS(=O)(=O)CC[NH2+]Cc1ncc(C(=O)[O-])s1 ZINC001603351131 1169299353 /nfs/dbraw/zinc/29/93/53/1169299353.db2.gz UNILWVPVEFTSIY-UHFFFAOYSA-N 0 2 321.424 0.649 20 0 DCADLN C[C@@H]1CCCC[C@@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001603892033 1169423364 /nfs/dbraw/zinc/42/33/64/1169423364.db2.gz WIBREPDNLONDHM-BDAKNGLRSA-N 0 2 319.369 0.255 20 0 DCADLN C[C@@H]1CCCCCN1c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603892417 1169423527 /nfs/dbraw/zinc/42/35/27/1169423527.db2.gz YZGWHSMYOAKMOT-MRVPVSSYSA-N 0 2 305.342 0.023 20 0 DCADLN CC(C)c1ncncc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001604020703 1169472661 /nfs/dbraw/zinc/47/26/61/1169472661.db2.gz OVCJRIJJTNCKNK-LLVKDONJSA-N 0 2 303.322 0.749 20 0 DCADLN CC(C)c1ncncc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001604020703 1169472662 /nfs/dbraw/zinc/47/26/62/1169472662.db2.gz OVCJRIJJTNCKNK-LLVKDONJSA-N 0 2 303.322 0.749 20 0 DCADLN CC[C@H]1CCC[C@@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001604100314 1169492447 /nfs/dbraw/zinc/49/24/47/1169492447.db2.gz LHUIVNAJXJZPQE-YUMQZZPRSA-N 0 2 305.342 0.657 20 0 DCADLN CC[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@H](C)CO1 ZINC001604112195 1169494740 /nfs/dbraw/zinc/49/47/40/1169494740.db2.gz SCEQEXITFASXES-MNOVXSKESA-N 0 2 304.354 0.485 20 0 DCADLN CCC[C@@H]1CCCN1c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001604152462 1169508087 /nfs/dbraw/zinc/50/80/87/1169508087.db2.gz LJBQNPGULRCDLA-SECBINFHSA-N 0 2 319.369 0.033 20 0 DCADLN C[C@H](O)C(=O)N1CC[NH+](Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC001604377165 1169574770 /nfs/dbraw/zinc/57/47/70/1169574770.db2.gz BQDLMTWYOWVAKU-LBPRGKRZSA-N 0 2 322.361 0.175 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)O1 ZINC001604609500 1169630277 /nfs/dbraw/zinc/63/02/77/1169630277.db2.gz AVURPTORAGVLEP-MWLCHTKSSA-N 0 2 318.395 0.100 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)O1 ZINC001604609500 1169630280 /nfs/dbraw/zinc/63/02/80/1169630280.db2.gz AVURPTORAGVLEP-MWLCHTKSSA-N 0 2 318.395 0.100 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CCN(c2cccc(F)c2)C[C@H]1C ZINC001604813815 1169691920 /nfs/dbraw/zinc/69/19/20/1169691920.db2.gz UYJZINLVNJBYFU-NEPJUHHUSA-N 0 2 323.368 0.926 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CCN(c2cccc(F)c2)C[C@H]1C ZINC001604813815 1169691926 /nfs/dbraw/zinc/69/19/26/1169691926.db2.gz UYJZINLVNJBYFU-NEPJUHHUSA-N 0 2 323.368 0.926 20 0 DCADLN C[C@@H]1C[N@H+](CC(=O)NCC(=O)[O-])C[C@H]1NC(=O)OC(C)(C)C ZINC001605012152 1169779449 /nfs/dbraw/zinc/77/94/49/1169779449.db2.gz YKNPFVYDCPCRDU-NXEZZACHSA-N 0 2 315.370 0.032 20 0 DCADLN C[C@@H]1C[N@@H+](CC(=O)NCC(=O)[O-])C[C@H]1NC(=O)OC(C)(C)C ZINC001605012152 1169779453 /nfs/dbraw/zinc/77/94/53/1169779453.db2.gz YKNPFVYDCPCRDU-NXEZZACHSA-N 0 2 315.370 0.032 20 0 DCADLN CCN(C)C(=O)C[NH2+][C@H](C(=O)[O-])c1cccc(C(=O)OC)c1 ZINC001605406757 1169914208 /nfs/dbraw/zinc/91/42/08/1169914208.db2.gz IXGKEOKPQBCLAK-ZDUSSCGKSA-N 0 2 308.334 0.667 20 0 DCADLN CCN(CCOC)c1cccc(C[N@@H+]2C[C@H](O)C[C@H]2C(=O)[O-])n1 ZINC001605449491 1169924199 /nfs/dbraw/zinc/92/41/99/1169924199.db2.gz HMBHKQUKKDBLHQ-KGLIPLIRSA-N 0 2 323.393 0.574 20 0 DCADLN CCN(CCOC)c1cccc(C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])n1 ZINC001605449491 1169924201 /nfs/dbraw/zinc/92/42/01/1169924201.db2.gz HMBHKQUKKDBLHQ-KGLIPLIRSA-N 0 2 323.393 0.574 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCCO[C@@H](C)C1 ZINC001605506643 1169941393 /nfs/dbraw/zinc/94/13/93/1169941393.db2.gz JFZOLYJMNYCGNP-VIFPVBQESA-N 0 2 318.337 0.527 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)cc1NS(=O)(=O)c1cn[nH]c1 ZINC001605799386 1170004821 /nfs/dbraw/zinc/00/48/21/1170004821.db2.gz QUTJGOSUMXIWPC-UHFFFAOYSA-N 0 2 305.323 0.699 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)NOc1cccc(-c2nn[nH]n2)c1 ZINC001606009987 1170047015 /nfs/dbraw/zinc/04/70/15/1170047015.db2.gz VZWDWZXAWSJEOT-UHFFFAOYSA-N 0 2 313.321 0.859 20 0 DCADLN CN(C)c1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])ccn1 ZINC001606047582 1170064460 /nfs/dbraw/zinc/06/44/60/1170064460.db2.gz XEIKPUSVQPRVQA-LLVKDONJSA-N 0 2 303.322 0.227 20 0 DCADLN CN(OCC(F)(F)F)C(=O)C[NH2+]Cc1cc(C(=O)[O-])no1 ZINC001606180928 1170106040 /nfs/dbraw/zinc/10/60/40/1170106040.db2.gz PAHHSJBTBLSQIK-UHFFFAOYSA-N 0 2 311.216 0.415 20 0 DCADLN COC(=O)C[C@@H](NC(=O)c1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC001606441770 1170203596 /nfs/dbraw/zinc/20/35/96/1170203596.db2.gz CBCCKTLKWAXDOC-GFCCVEGCSA-N 0 2 317.301 0.618 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@@H+]2C[C@@H](O)C[C@H]2CO)c1 ZINC001606612982 1170242385 /nfs/dbraw/zinc/24/23/85/1170242385.db2.gz OLADTNKGNXKZAM-AVGNSLFASA-N 0 2 309.318 0.026 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@H+]2C[C@@H](O)C[C@H]2CO)c1 ZINC001606612982 1170242390 /nfs/dbraw/zinc/24/23/90/1170242390.db2.gz OLADTNKGNXKZAM-AVGNSLFASA-N 0 2 309.318 0.026 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC001606699434 1170266196 /nfs/dbraw/zinc/26/61/96/1170266196.db2.gz WFKTVVHMQAYZBQ-OAHLLOKOSA-N 0 2 318.333 0.719 20 0 DCADLN O=C(Nc1n[nH]cc1-c1nn[nH]n1)c1ccn(-c2ccncc2)n1 ZINC001606893593 1170324390 /nfs/dbraw/zinc/32/43/90/1170324390.db2.gz WXLQECVQDOLIGE-UHFFFAOYSA-N 0 2 322.292 0.423 20 0 DCADLN O=C(OCc1ccc2[nH]nnc2c1)c1ccc(-c2nn[nH]n2)nc1 ZINC001606979150 1170343460 /nfs/dbraw/zinc/34/34/60/1170343460.db2.gz YHOXTPVKGUKHGA-UHFFFAOYSA-N 0 2 322.288 0.890 20 0 DCADLN c1nnc([C@@H](Nc2ccc(-c3nn[nH]n3)nn2)c2ccccc2)[nH]1 ZINC001607126850 1170406495 /nfs/dbraw/zinc/40/64/95/1170406495.db2.gz QPIMHCRWKJIRQF-LBPRGKRZSA-N 0 2 320.320 0.976 20 0 DCADLN Cc1cc(C(=O)[O-])cc(S(=O)(=O)NC[C@H]2CC[N@H+]2C)c1C ZINC001607593589 1170480965 /nfs/dbraw/zinc/48/09/65/1170480965.db2.gz ZVLMLECOCJIIDY-GFCCVEGCSA-N 0 2 312.391 0.984 20 0 DCADLN Cc1cc(C(=O)[O-])cc(S(=O)(=O)NC[C@H]2CC[N@@H+]2C)c1C ZINC001607593589 1170480970 /nfs/dbraw/zinc/48/09/70/1170480970.db2.gz ZVLMLECOCJIIDY-GFCCVEGCSA-N 0 2 312.391 0.984 20 0 DCADLN Cc1cc(C[NH+]2CCN(c3nccnn3)CC2)[nH]c1C(=O)[O-] ZINC001607641842 1170488816 /nfs/dbraw/zinc/48/88/16/1170488816.db2.gz LXRKYLFYRQIMFF-UHFFFAOYSA-N 0 2 302.338 0.529 20 0 DCADLN Cc1cnccc1C=CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001607911924 1170522778 /nfs/dbraw/zinc/52/27/78/1170522778.db2.gz CSRKFJZVOHZFKT-XQJDBVBESA-N 0 2 300.318 0.938 20 0 DCADLN Cc1nnc(C[NH+]2CCC(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)o1 ZINC001608016652 1170549016 /nfs/dbraw/zinc/54/90/16/1170549016.db2.gz ROJMBIXAYXHHIY-NWDGAFQWSA-N 0 2 322.365 0.427 20 0 DCADLN Cn1ccc([C@@H](NC(=O)[C@H]2CCc3[nH+]ccn3C2)C(=O)[O-])n1 ZINC001608125897 1170583472 /nfs/dbraw/zinc/58/34/72/1170583472.db2.gz YSLSSVBOHGKEKM-JOYOIKCWSA-N 0 2 303.322 0.121 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001608132802 1170587973 /nfs/dbraw/zinc/58/79/73/1170587973.db2.gz LFOKRLROUOXVQF-SNVBAGLBSA-N 0 2 318.337 0.116 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC001608142232 1170592384 /nfs/dbraw/zinc/59/23/84/1170592384.db2.gz UQGQJPPKHLQUFQ-LLVKDONJSA-N 0 2 322.365 0.055 20 0 DCADLN Cn1ncc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1C(F)F ZINC001608155272 1170599532 /nfs/dbraw/zinc/59/95/32/1170599532.db2.gz GSQCONWNXNQFSP-QMMMGPOBSA-N 0 2 313.264 0.507 20 0 DCADLN Cn1ncc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1C(F)F ZINC001608155272 1170599540 /nfs/dbraw/zinc/59/95/40/1170599540.db2.gz GSQCONWNXNQFSP-QMMMGPOBSA-N 0 2 313.264 0.507 20 0 DCADLN Nc1nc(NCCC(=O)NCCC(=O)[O-])[nH+]c2ccccc12 ZINC001608276699 1170639389 /nfs/dbraw/zinc/63/93/89/1170639389.db2.gz IIQFJQHWEODXAQ-UHFFFAOYSA-N 0 2 303.322 0.605 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CCCOc1cccnc1 ZINC001608305597 1170646075 /nfs/dbraw/zinc/64/60/75/1170646075.db2.gz ACKVUAJBYDROEA-CYBMUJFWSA-N 0 2 318.333 0.776 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CCCOc1cccnc1 ZINC001608305597 1170646077 /nfs/dbraw/zinc/64/60/77/1170646077.db2.gz ACKVUAJBYDROEA-CYBMUJFWSA-N 0 2 318.333 0.776 20 0 DCADLN O=C([O-])[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Oc1ccc(F)cc1 ZINC001608369876 1170661321 /nfs/dbraw/zinc/66/13/21/1170661321.db2.gz KTWXFZPTGKBXSF-LBPRGKRZSA-N 0 2 307.281 0.740 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cccc2c1OCO2 ZINC001608401615 1170669416 /nfs/dbraw/zinc/66/94/16/1170669416.db2.gz DMALZFQTLNTHEN-SNVBAGLBSA-N 0 2 303.274 0.495 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCCC12OCCO2 ZINC001608487336 1170689288 /nfs/dbraw/zinc/68/92/88/1170689288.db2.gz TURYGCDZTJHOOT-WDEREUQCSA-N 0 2 309.322 0.065 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCCC12OCCO2 ZINC001608487336 1170689290 /nfs/dbraw/zinc/68/92/90/1170689290.db2.gz TURYGCDZTJHOOT-WDEREUQCSA-N 0 2 309.322 0.065 20 0 DCADLN O=C([O-])[C@@H](CF)NC(=O)[C@@H](c1ccccc1)[NH+]1CCC(O)CC1 ZINC001608536402 1170698530 /nfs/dbraw/zinc/69/85/30/1170698530.db2.gz OMYFRTAKEWSMGC-ZIAGYGMSSA-N 0 2 324.352 0.723 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)CN(C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001608603911 1170714394 /nfs/dbraw/zinc/71/43/94/1170714394.db2.gz IUJSWIHADZOUMY-MWLCHTKSSA-N 0 2 304.306 0.634 20 0 DCADLN O=C([O-])Cc1cccc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)c1 ZINC001608784006 1170753928 /nfs/dbraw/zinc/75/39/28/1170753928.db2.gz JDONZMZHFZIGMJ-AWEZNQCLSA-N 0 2 303.362 0.043 20 0 DCADLN CCOCC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001609239852 1170894956 /nfs/dbraw/zinc/89/49/56/1170894956.db2.gz YEPCOPOVVOMZAI-UHFFFAOYSA-N 0 2 303.322 0.123 20 0 DCADLN Cc1cc(C(=O)Cn2cncc(-c3nn[nH]n3)c2=O)c(C)n1C ZINC001609393706 1170963914 /nfs/dbraw/zinc/96/39/14/1170963914.db2.gz MVYFARJZGXTHND-UHFFFAOYSA-N 0 2 313.321 0.262 20 0 DCADLN CC(C)(C)c1n[nH]cc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001609671124 1171062004 /nfs/dbraw/zinc/06/20/04/1171062004.db2.gz WZJUXOPGMAVKEG-JTQLQIEISA-N 0 2 305.338 0.856 20 0 DCADLN CC(C)(C)c1n[nH]cc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001609671124 1171062011 /nfs/dbraw/zinc/06/20/11/1171062011.db2.gz WZJUXOPGMAVKEG-JTQLQIEISA-N 0 2 305.338 0.856 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CCCc2c(cnn2C)C1)C(=O)[O-] ZINC001609693758 1171067094 /nfs/dbraw/zinc/06/70/94/1171067094.db2.gz BQCDSUKNPFLAIX-ZDUSSCGKSA-N 0 2 322.409 0.784 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CCCc2c(cnn2C)C1)C(=O)[O-] ZINC001609693758 1171067101 /nfs/dbraw/zinc/06/71/01/1171067101.db2.gz BQCDSUKNPFLAIX-ZDUSSCGKSA-N 0 2 322.409 0.784 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)CCCCCC(=O)[O-])CC1 ZINC001609706685 1171071326 /nfs/dbraw/zinc/07/13/26/1171071326.db2.gz FKHIJBYCLCLCBZ-UHFFFAOYSA-N 0 2 306.428 0.987 20 0 DCADLN C[C@@H]1C[N@H+](C[C@@H](O)COc2ccc(C(=O)[O-])cc2)CC(=O)N1C ZINC001609871752 1171111606 /nfs/dbraw/zinc/11/16/06/1171111606.db2.gz XNPWJYFPWXQBOT-DGCLKSJQSA-N 0 2 322.361 0.287 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@@H](O)COc2ccc(C(=O)[O-])cc2)CC(=O)N1C ZINC001609871752 1171111611 /nfs/dbraw/zinc/11/16/11/1171111611.db2.gz XNPWJYFPWXQBOT-DGCLKSJQSA-N 0 2 322.361 0.287 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2cccc(F)c2C(=O)[O-])CC1 ZINC001610006832 1171136569 /nfs/dbraw/zinc/13/65/69/1171136569.db2.gz GNYISENSWRYGQK-UHFFFAOYSA-N 0 2 323.324 0.627 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCCn2cc[nH+]c2)[C@H](C(=O)[O-])C1 ZINC001610164617 1171184095 /nfs/dbraw/zinc/18/40/95/1171184095.db2.gz CWCUMVZHAHBMJZ-NEPJUHHUSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCn1ccccc1=O ZINC001610170768 1171185341 /nfs/dbraw/zinc/18/53/41/1171185341.db2.gz XTPCTGZQKKGFDI-NEPJUHHUSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCn1ccccc1=O ZINC001610170768 1171185345 /nfs/dbraw/zinc/18/53/45/1171185345.db2.gz XTPCTGZQKKGFDI-NEPJUHHUSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CC[C@@H](OC)C[C@H]2C(=O)[O-])nc1 ZINC001610212173 1171197047 /nfs/dbraw/zinc/19/70/47/1171197047.db2.gz GBEFZKPSXNKJLI-OLZOCXBDSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CC[C@@H](OC)C[C@H]2C(=O)[O-])nc1 ZINC001610212173 1171197053 /nfs/dbraw/zinc/19/70/53/1171197053.db2.gz GBEFZKPSXNKJLI-OLZOCXBDSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@@H+]2C[C@@H](OC)[C@@H](OC)C2)c1 ZINC001610218343 1171198734 /nfs/dbraw/zinc/19/87/34/1171198734.db2.gz NFMPPUIGHRAZBJ-MJBXVCDLSA-N 0 2 323.345 0.945 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@H+]2C[C@@H](OC)[C@@H](OC)C2)c1 ZINC001610218343 1171198741 /nfs/dbraw/zinc/19/87/41/1171198741.db2.gz NFMPPUIGHRAZBJ-MJBXVCDLSA-N 0 2 323.345 0.945 20 0 DCADLN COC(C[N@H+](CCC(=O)[O-])CC(=O)N1CCCC[C@H]1C)OC ZINC001610223779 1171200465 /nfs/dbraw/zinc/20/04/65/1171200465.db2.gz AUINHLQVNBCIDD-GFCCVEGCSA-N 0 2 316.398 0.783 20 0 DCADLN COC(C[N@@H+](CCC(=O)[O-])CC(=O)N1CCCC[C@H]1C)OC ZINC001610223779 1171200469 /nfs/dbraw/zinc/20/04/69/1171200469.db2.gz AUINHLQVNBCIDD-GFCCVEGCSA-N 0 2 316.398 0.783 20 0 DCADLN Cc1cc(C[N@@H+]2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)nn1C ZINC001610461933 1171239054 /nfs/dbraw/zinc/23/90/54/1171239054.db2.gz PSIJQBDZVDULEI-LBPRGKRZSA-N 0 2 304.354 0.855 20 0 DCADLN Cc1cc(C[N@H+]2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)nn1C ZINC001610461933 1171239059 /nfs/dbraw/zinc/23/90/59/1171239059.db2.gz PSIJQBDZVDULEI-LBPRGKRZSA-N 0 2 304.354 0.855 20 0 DCADLN Cc1cc(=O)[nH]c([C@@H](C)[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610475543 1171241123 /nfs/dbraw/zinc/24/11/23/1171241123.db2.gz BBJLZDBZYFYBNQ-NXEZZACHSA-N 0 2 318.337 0.788 20 0 DCADLN O=C(CCOC[C@@H]1CCCO1)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001610658077 1171284240 /nfs/dbraw/zinc/28/42/40/1171284240.db2.gz NBPXKPVFTICCAF-NWDGAFQWSA-N 0 2 311.338 0.038 20 0 DCADLN O=C([O-])c1nccnc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001610873615 1171345974 /nfs/dbraw/zinc/34/59/74/1171345974.db2.gz UYJNBBGOIFVIDG-UHFFFAOYSA-N 0 2 318.337 0.447 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCC(=O)c2cccn21 ZINC001610882787 1171348117 /nfs/dbraw/zinc/34/81/17/1171348117.db2.gz CNRXDMSPGOZARL-CMPLNLGQSA-N 0 2 316.317 0.541 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCC(=O)c2cccn21 ZINC001610882787 1171348123 /nfs/dbraw/zinc/34/81/23/1171348123.db2.gz CNRXDMSPGOZARL-CMPLNLGQSA-N 0 2 316.317 0.541 20 0 DCADLN Cc1ccc2cccc(S(=O)(=O)Nc3nnn(C)n3)c2n1 ZINC001622523363 1171426116 /nfs/dbraw/zinc/42/61/16/1171426116.db2.gz LRFYFPFXFNPIHQ-UHFFFAOYSA-N 0 2 304.335 0.868 20 0 DCADLN O=C(CNC(=O)Nc1ccccc1)NCCc1n[nH]c(=S)o1 ZINC001625685014 1171477191 /nfs/dbraw/zinc/47/71/91/1171477191.db2.gz UWHUMQZLGBFHCL-UHFFFAOYSA-N 0 2 321.362 0.839 20 0 DCADLN Cc1cc(C)nc(-n2[n-]c(C(=O)Nc3cc[nH+]c(C)c3)cc2=O)n1 ZINC001633612093 1171649587 /nfs/dbraw/zinc/64/95/87/1171649587.db2.gz ZEXCJNOBJOJBOB-UHFFFAOYSA-N 0 2 324.344 0.950 20 0 DCADLN O=C(NCCOCC(F)F)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001634327267 1171670590 /nfs/dbraw/zinc/67/05/90/1171670590.db2.gz SMOGHJBQKHHBRP-MRVPVSSYSA-N 0 2 319.312 0.681 20 0 DCADLN O=C(C[C@@H]1CC(=O)N1)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635456051 1171702997 /nfs/dbraw/zinc/70/29/97/1171702997.db2.gz MXPMZAPVKHINPJ-QWRGUYRKSA-N 0 2 315.333 0.189 20 0 DCADLN Cc1nonc1C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635455197 1171703287 /nfs/dbraw/zinc/70/32/87/1171703287.db2.gz GGWFJQROMHQRKW-JTQLQIEISA-N 0 2 314.305 0.916 20 0 DCADLN Cn1cc(C(=O)N[C@@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)nn1 ZINC001635455493 1171704055 /nfs/dbraw/zinc/70/40/55/1171704055.db2.gz IGGQYJZZSIZJTF-JTQLQIEISA-N 0 2 313.321 0.353 20 0 DCADLN O=C(c1ccc(Cl)nn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001638685484 1171804334 /nfs/dbraw/zinc/80/43/34/1171804334.db2.gz QRMBAFUYGGPGGY-SSDOTTSWSA-N 0 2 308.729 0.974 20 0 DCADLN CC(=O)Nc1cc(C(=O)NNc2nnc(C)c(=O)[nH]2)ccc1F ZINC000053792936 1171814862 /nfs/dbraw/zinc/81/48/62/1171814862.db2.gz JQPVHCMHBUJZPM-UHFFFAOYSA-N 0 2 320.284 0.740 20 0 DCADLN C[C@@H](NC(=O)c1cnc2[nH]c(=O)[nH]c2c1)c1nn(C)cc1O ZINC001640031019 1171863902 /nfs/dbraw/zinc/86/39/02/1171863902.db2.gz QMCJTLNWBUTQAB-ZCFIWIBFSA-N 0 2 302.294 0.594 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@H](C)C[C@@H](C)O ZINC001645572155 1172059522 /nfs/dbraw/zinc/05/95/22/1172059522.db2.gz QQMPJNKFKWOJIX-NXEZZACHSA-N 0 2 306.366 0.397 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1cc2n(n1)CCC[C@@H]2[NH3+] ZINC001646742017 1172503393 /nfs/dbraw/zinc/50/33/93/1172503393.db2.gz ABFZWFXQPRMNBO-LBPRGKRZSA-N 0 2 321.425 0.517 20 0 DCADLN CSCc1nnc(CNC(=O)N2C[C@@H](C)[N@@H+](C)[C@@H](C)C2)[nH]1 ZINC001647379086 1172852206 /nfs/dbraw/zinc/85/22/06/1172852206.db2.gz GKMXKQMHYHKSIG-AOOOYVTPSA-N 0 2 312.443 0.902 20 0 DCADLN CSCc1nnc(CNC(=O)N2C[C@@H](C)[N@H+](C)[C@@H](C)C2)[nH]1 ZINC001647379086 1172852211 /nfs/dbraw/zinc/85/22/11/1172852211.db2.gz GKMXKQMHYHKSIG-AOOOYVTPSA-N 0 2 312.443 0.902 20 0 DCADLN C[C@@H](Cn1cnc2c1nc(C(F)(F)F)[nH]c2=O)S(C)(=O)=O ZINC001647485653 1172924192 /nfs/dbraw/zinc/92/41/92/1172924192.db2.gz NEVINSYKGALIGI-YFKPBYRVSA-N 0 2 324.284 0.984 20 0 DCADLN CC(=Cc1ccco1)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001647783287 1173055960 /nfs/dbraw/zinc/05/59/60/1173055960.db2.gz MXUVQZZZVSVLED-RMKNXTFCSA-N 0 2 324.362 0.662 20 0 DCADLN CC[C@@H](C(=O)N1CC[C@@H](c2nn[nH]n2)C1)N1CCO[C@H](CC)C1 ZINC001649332857 1173108841 /nfs/dbraw/zinc/10/88/41/1173108841.db2.gz HRXCOMCCKXBMQG-UPJWGTAASA-N 0 2 322.413 0.405 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1CCNC(=O)C1 ZINC001649719127 1173182885 /nfs/dbraw/zinc/18/28/85/1173182885.db2.gz AWKNPPVELSUSAA-QMMMGPOBSA-N 0 2 320.374 0.882 20 0 DCADLN CCC(CC)[C@H](C(=O)NCc1nc(=O)o[n-]1)[NH+]1CCOCC1 ZINC001649733884 1173189985 /nfs/dbraw/zinc/18/99/85/1173189985.db2.gz MRWFUHQEKVVLHD-GFCCVEGCSA-N 0 2 312.370 0.116 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)[C@H]([NH3+])CCSC)[C@@H](C)C1 ZINC001650352629 1173587705 /nfs/dbraw/zinc/58/77/05/1173587705.db2.gz UGOSBDLKYDSZLS-NWDGAFQWSA-N 0 2 317.455 0.163 20 0 DCADLN O=C(NN1CN=NC1=O)c1cnn2cccc(Br)c12 ZINC001654444972 1173805755 /nfs/dbraw/zinc/80/57/55/1173805755.db2.gz WNRXMFYZZJJOMR-UHFFFAOYSA-N 0 2 323.110 0.778 20 0 DCADLN COCCOc1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1 ZINC001665911238 1174573203 /nfs/dbraw/zinc/57/32/03/1174573203.db2.gz UIDFDJNRSJSFNW-UHFFFAOYSA-N 0 2 313.339 0.036 20 0 DCADLN CCn1ncnc1C[NH2+]CC=CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001699246424 1177977221 /nfs/dbraw/zinc/97/72/21/1177977221.db2.gz HBWGUXDDOWGIPN-SNAWJCMRSA-N 0 2 319.369 0.111 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccco1 ZINC001699436071 1178137513 /nfs/dbraw/zinc/13/75/13/1178137513.db2.gz HJZKAXSZHIJEMB-BRAIEQGRSA-N 0 2 305.338 0.753 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C=Cc1ccco1 ZINC001699436071 1178137514 /nfs/dbraw/zinc/13/75/14/1178137514.db2.gz HJZKAXSZHIJEMB-BRAIEQGRSA-N 0 2 305.338 0.753 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)c1cncnc1 ZINC001703219412 1179401443 /nfs/dbraw/zinc/40/14/43/1179401443.db2.gz WIUHRLWQJRIGHH-CBAPKCEASA-N 0 2 322.262 0.713 20 0 DCADLN C[C@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1cncnc1 ZINC001703219412 1179401449 /nfs/dbraw/zinc/40/14/49/1179401449.db2.gz WIUHRLWQJRIGHH-CBAPKCEASA-N 0 2 322.262 0.713 20 0 DCADLN CCCCOCC(=O)N1CC(CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001704582859 1180076289 /nfs/dbraw/zinc/07/62/89/1180076289.db2.gz WXOGTIYYGACJHA-UHFFFAOYSA-N 0 2 322.409 0.652 20 0 DCADLN O=C(C[C@H]1C=CCC1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001714664416 1181466139 /nfs/dbraw/zinc/46/61/39/1181466139.db2.gz WGWQIKDAZUCNSO-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN O=C(C[C@H]1C=CCC1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001714664416 1181466141 /nfs/dbraw/zinc/46/61/41/1181466141.db2.gz WGWQIKDAZUCNSO-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN O=C(CCOCC1CC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001714987078 1181632992 /nfs/dbraw/zinc/63/29/92/1181632992.db2.gz QVLZCVQFMZVXEY-RYUDHWBXSA-N 0 2 321.381 0.112 20 0 DCADLN CCCC[C@@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001718243843 1183174268 /nfs/dbraw/zinc/17/42/68/1183174268.db2.gz VTPSWKQMDBDGKA-BQBZGAKWSA-N 0 2 315.267 0.163 20 0 DCADLN CCCC[C@@H](CNC(=O)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001718243843 1183174271 /nfs/dbraw/zinc/17/42/71/1183174271.db2.gz VTPSWKQMDBDGKA-BQBZGAKWSA-N 0 2 315.267 0.163 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1ccnnc1 ZINC001720291873 1183551502 /nfs/dbraw/zinc/55/15/02/1183551502.db2.gz QVUZNQRRJHSNBT-QMMMGPOBSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1ccnnc1 ZINC001720291873 1183551505 /nfs/dbraw/zinc/55/15/05/1183551505.db2.gz QVUZNQRRJHSNBT-QMMMGPOBSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCC=CCNC(=O)C(F)C(F)(F)F)c1ccn[nH]1 ZINC001721799781 1184045538 /nfs/dbraw/zinc/04/55/38/1184045538.db2.gz KCLKSLZFXAYPPA-CMLYIYFCSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NCC=CCNC(=O)[C@H](F)C(F)(F)F)c1ccn[nH]1 ZINC001721799781 1184045545 /nfs/dbraw/zinc/04/55/45/1184045545.db2.gz KCLKSLZFXAYPPA-CMLYIYFCSA-N 0 2 308.235 0.712 20 0 DCADLN CN(CCCNC(=O)c1cc(C2CC2)no1)Cc1nnnn1C ZINC001731270617 1185232047 /nfs/dbraw/zinc/23/20/47/1185232047.db2.gz VITSKUGIEDTWTF-UHFFFAOYSA-N 0 2 319.369 0.327 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2ccccn2c1)Cc1n[nH]c(=O)[n-]1 ZINC001731785520 1185485778 /nfs/dbraw/zinc/48/57/78/1185485778.db2.gz GZXJYHYXJCMNEW-UHFFFAOYSA-N 0 2 314.349 0.625 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2ccccn2c1)Cc1n[nH]c(=O)[n-]1 ZINC001731785520 1185485786 /nfs/dbraw/zinc/48/57/86/1185485786.db2.gz GZXJYHYXJCMNEW-UHFFFAOYSA-N 0 2 314.349 0.625 20 0 DCADLN O=C(CC1OCCCO1)NCCNC(=O)C(F)C(F)(F)F ZINC001736725095 1187067872 /nfs/dbraw/zinc/06/78/72/1187067872.db2.gz OEMKSZLCRBFVJL-SECBINFHSA-N 0 2 316.251 0.272 20 0 DCADLN O=C(CC1OCCCO1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736725095 1187067874 /nfs/dbraw/zinc/06/78/74/1187067874.db2.gz OEMKSZLCRBFVJL-SECBINFHSA-N 0 2 316.251 0.272 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1ccc(=O)[nH]n1 ZINC001736875400 1187150291 /nfs/dbraw/zinc/15/02/91/1187150291.db2.gz HXYMFONAWDLKQN-QMMMGPOBSA-N 0 2 324.234 0.319 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1ccc(=O)[nH]n1 ZINC001736875400 1187150294 /nfs/dbraw/zinc/15/02/94/1187150294.db2.gz HXYMFONAWDLKQN-QMMMGPOBSA-N 0 2 324.234 0.319 20 0 DCADLN CN(CCCNC(=O)[C@@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001737108905 1187245680 /nfs/dbraw/zinc/24/56/80/1187245680.db2.gz MCUVNBYHOGBQIO-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCCNC(=O)[C@@H]1CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001737108905 1187245683 /nfs/dbraw/zinc/24/56/83/1187245683.db2.gz MCUVNBYHOGBQIO-BDAKNGLRSA-N 0 2 314.279 0.888 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001742375824 1187969973 /nfs/dbraw/zinc/96/99/73/1187969973.db2.gz KNYSXXTZEZJCMQ-YUMQZZPRSA-N 0 2 300.252 0.544 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001742375824 1187969977 /nfs/dbraw/zinc/96/99/77/1187969977.db2.gz KNYSXXTZEZJCMQ-YUMQZZPRSA-N 0 2 300.252 0.544 20 0 DCADLN CC(C)(C)[C@H](CNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001756715384 1189438765 /nfs/dbraw/zinc/43/87/65/1189438765.db2.gz MCFOKBDGHINYKZ-WDSKDSINSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)(C)[C@H](CNC(=O)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001756715384 1189438769 /nfs/dbraw/zinc/43/87/69/1189438769.db2.gz MCFOKBDGHINYKZ-WDSKDSINSA-N 0 2 315.267 0.019 20 0 DCADLN Cn1cc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)nn1 ZINC001759692853 1190270336 /nfs/dbraw/zinc/27/03/36/1190270336.db2.gz KQKZYRXSIWHUNT-KZUAUGPASA-N 0 2 323.250 0.118 20 0 DCADLN Cn1cc(C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001759692853 1190270339 /nfs/dbraw/zinc/27/03/39/1190270339.db2.gz KQKZYRXSIWHUNT-KZUAUGPASA-N 0 2 323.250 0.118 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001771709576 1190474445 /nfs/dbraw/zinc/47/44/45/1190474445.db2.gz NRHXYZHAKIFSDA-BQBZGAKWSA-N 0 2 306.281 0.414 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001771709576 1190474449 /nfs/dbraw/zinc/47/44/49/1190474449.db2.gz NRHXYZHAKIFSDA-BQBZGAKWSA-N 0 2 306.281 0.414 20 0 DCADLN O=c1nc(CN2CCC(=O)NCC23COC3)[nH]c2ccsc21 ZINC001771866102 1190534273 /nfs/dbraw/zinc/53/42/73/1190534273.db2.gz TWAPZGIMZXIZIL-UHFFFAOYSA-N 0 2 320.374 0.488 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1CNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC001772293956 1190655855 /nfs/dbraw/zinc/65/58/55/1190655855.db2.gz BIGQIIOSXICZEL-CABCVRRESA-N 0 2 310.442 0.587 20 0 DCADLN CN(C(=O)C1C(C)(C)C1(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042586157 751761846 /nfs/dbraw/zinc/76/18/46/751761846.db2.gz NBPWYSCOANRNKI-UHFFFAOYSA-N 0 2 307.398 0.835 20 0 DCADLN CN(C(=O)c1ncc(F)cc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043106139 752077684 /nfs/dbraw/zinc/07/76/84/752077684.db2.gz UZBFAXKUDNLUTJ-UHFFFAOYSA-N 0 2 324.291 0.140 20 0 DCADLN O=C([O-])C(=O)N1CCC2(CC1)C[N@H+](Cc1ccoc1)CCO2 ZINC001143420132 747327934 /nfs/dbraw/zinc/32/79/34/747327934.db2.gz SPGWEVGPQYEEQF-UHFFFAOYSA-N 0 2 308.334 0.558 20 0 DCADLN O=C([O-])C(=O)N1CCC2(CC1)C[N@@H+](Cc1ccoc1)CCO2 ZINC001143420132 747327939 /nfs/dbraw/zinc/32/79/39/747327939.db2.gz SPGWEVGPQYEEQF-UHFFFAOYSA-N 0 2 308.334 0.558 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2cc[nH]c2)C1)C(F)C(F)(F)F ZINC001043376494 752202488 /nfs/dbraw/zinc/20/24/88/752202488.db2.gz QMAKGAUFUUDBEB-MRVPVSSYSA-N 0 2 323.246 0.218 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2cc[nH]c2)C1)[C@@H](F)C(F)(F)F ZINC001043376494 752202491 /nfs/dbraw/zinc/20/24/91/752202491.db2.gz QMAKGAUFUUDBEB-MRVPVSSYSA-N 0 2 323.246 0.218 20 0 DCADLN Cc1cc(N(C)CCNC(=O)C(F)C(F)(F)F)nc(CO)n1 ZINC001100366662 748456119 /nfs/dbraw/zinc/45/61/19/748456119.db2.gz DMXGKFYGZOIDDS-SNVBAGLBSA-N 0 2 324.278 0.730 20 0 DCADLN Cc1cc(N(C)CCNC(=O)[C@@H](F)C(F)(F)F)nc(CO)n1 ZINC001100366662 748456124 /nfs/dbraw/zinc/45/61/24/748456124.db2.gz DMXGKFYGZOIDDS-SNVBAGLBSA-N 0 2 324.278 0.730 20 0 DCADLN CCOC(=O)[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC001149297586 748539703 /nfs/dbraw/zinc/53/97/03/748539703.db2.gz PLQUNBHTAOUIIV-NSHDSACASA-N 0 2 304.306 0.473 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnn(C)c2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087498188 748856206 /nfs/dbraw/zinc/85/62/06/748856206.db2.gz CNTZSYNQFRXQCI-WDEREUQCSA-N 0 2 319.369 0.027 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnn(C)c2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087498188 748856213 /nfs/dbraw/zinc/85/62/13/748856213.db2.gz CNTZSYNQFRXQCI-WDEREUQCSA-N 0 2 319.369 0.027 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccncn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087768268 749044054 /nfs/dbraw/zinc/04/40/54/749044054.db2.gz UIRLSDPZPKYORT-GXSJLCMTSA-N 0 2 317.353 0.083 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccncn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087768268 749044055 /nfs/dbraw/zinc/04/40/55/749044055.db2.gz UIRLSDPZPKYORT-GXSJLCMTSA-N 0 2 317.353 0.083 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2oncc2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087782259 749049558 /nfs/dbraw/zinc/04/95/58/749049558.db2.gz GUQVTPNHMAVPAV-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2oncc2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087782259 749049560 /nfs/dbraw/zinc/04/95/60/749049560.db2.gz GUQVTPNHMAVPAV-VHSXEESVSA-N 0 2 320.353 0.590 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccn(C)n2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087829218 749071986 /nfs/dbraw/zinc/07/19/86/749071986.db2.gz QBHYOSQAMVTKKK-GXSJLCMTSA-N 0 2 319.369 0.027 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccn(C)n2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087829218 749071989 /nfs/dbraw/zinc/07/19/89/749071989.db2.gz QBHYOSQAMVTKKK-GXSJLCMTSA-N 0 2 319.369 0.027 20 0 DCADLN CC(C)(C(=O)N1CCCCC[C@@H]([NH2+]CC(N)=O)C1)c1c[nH+]c[nH]1 ZINC001088413335 749426979 /nfs/dbraw/zinc/42/69/79/749426979.db2.gz DALPHBOWMXSFKD-GFCCVEGCSA-N 0 2 321.425 0.533 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)o1 ZINC001088506343 749526462 /nfs/dbraw/zinc/52/64/62/749526462.db2.gz RHHRPXBDADTXQR-SCZZXKLOSA-N 0 2 320.353 0.508 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cc[nH]c(=O)c2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088515939 749540801 /nfs/dbraw/zinc/54/08/01/749540801.db2.gz SMZLWFPRSRFFNO-SCZZXKLOSA-N 0 2 318.337 0.004 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cc[nH]c(=O)c2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088515939 749540808 /nfs/dbraw/zinc/54/08/08/749540808.db2.gz SMZLWFPRSRFFNO-SCZZXKLOSA-N 0 2 318.337 0.004 20 0 DCADLN O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O)C1=CCCCCC1 ZINC001083428204 749562713 /nfs/dbraw/zinc/56/27/13/749562713.db2.gz QLPABXVLSGHRJU-NEPJUHHUSA-N 0 2 321.381 0.062 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2C=CC=CC=C2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088597011 749721050 /nfs/dbraw/zinc/72/10/50/749721050.db2.gz GQWNNSPQJRPKQD-YPMHNXCESA-N 0 2 315.377 0.888 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2C=CC=CC=C2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088597011 749721058 /nfs/dbraw/zinc/72/10/58/749721058.db2.gz GQWNNSPQJRPKQD-YPMHNXCESA-N 0 2 315.377 0.888 20 0 DCADLN CCC[C@H](C)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001212055360 749758594 /nfs/dbraw/zinc/75/85/94/749758594.db2.gz IDMFWZLCPQBPHI-HBNTYKKESA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccccc2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088669813 749839154 /nfs/dbraw/zinc/83/91/54/749839154.db2.gz KXDZGROJAAWQMH-YPMHNXCESA-N 0 2 315.377 0.832 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2ccccc2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088669813 749839158 /nfs/dbraw/zinc/83/91/58/749839158.db2.gz KXDZGROJAAWQMH-YPMHNXCESA-N 0 2 315.377 0.832 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ccc(-n2ccnc2)nc1 ZINC001168430503 750825429 /nfs/dbraw/zinc/82/54/29/750825429.db2.gz MFWBYKMJTSOVNB-UHFFFAOYSA-N 0 2 312.289 0.399 20 0 DCADLN CC[C@H](F)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)[NH+]2CCCC2)C1 ZINC001077505273 750870428 /nfs/dbraw/zinc/87/04/28/750870428.db2.gz XUIJJAPAFAJYNF-BFHYXJOUSA-N 0 2 315.433 0.770 20 0 DCADLN O=C(NC[C@H](O)CNc1cnc(F)cn1)C(F)C(F)(F)F ZINC001106141604 750977989 /nfs/dbraw/zinc/97/79/89/750977989.db2.gz FHACCZHUQPLHMF-SVGQVSJJSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](O)CNc1cnc(F)cn1)[C@@H](F)C(F)(F)F ZINC001106141604 750977990 /nfs/dbraw/zinc/97/79/90/750977990.db2.gz FHACCZHUQPLHMF-SVGQVSJJSA-N 0 2 314.214 0.405 20 0 DCADLN Cc1nccc(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)n1 ZINC001106142186 750979709 /nfs/dbraw/zinc/97/97/09/750979709.db2.gz MLONQELVEWSALB-APPZFPTMSA-N 0 2 310.251 0.574 20 0 DCADLN O=C(NC[C@@H](O)CNc1ncc(F)cn1)C(F)C(F)(F)F ZINC001106142659 750980394 /nfs/dbraw/zinc/98/03/94/750980394.db2.gz UXQIRZJIHLVNOM-RQJHMYQMSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](O)CNc1ncc(F)cn1)[C@H](F)C(F)(F)F ZINC001106142659 750980397 /nfs/dbraw/zinc/98/03/97/750980397.db2.gz UXQIRZJIHLVNOM-RQJHMYQMSA-N 0 2 314.214 0.405 20 0 DCADLN CO[C@H]1CCC[C@@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043691059 752351179 /nfs/dbraw/zinc/35/11/79/752351179.db2.gz HJSNWLCWIUCSIU-PWSUYJOCSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@H](C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001107849360 752421234 /nfs/dbraw/zinc/42/12/34/752421234.db2.gz XSVRLLBADDNTFN-BMIGLBTASA-N 0 2 323.397 0.264 20 0 DCADLN C[C@]1(CNC(=O)C2=CCCC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107866141 752447704 /nfs/dbraw/zinc/44/77/04/752447704.db2.gz JKCDAFULCXFVCD-HNNXBMFYSA-N 0 2 321.381 0.328 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCNC(=O)c1ccncn1 ZINC001077978421 752938690 /nfs/dbraw/zinc/93/86/90/752938690.db2.gz NPEVBOXMYOVOCI-JTQLQIEISA-N 0 2 316.365 0.375 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccncn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071905137 762214234 /nfs/dbraw/zinc/21/42/34/762214234.db2.gz VCIZYOJUFZQHMR-VHSXEESVSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccncn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071905136 762214368 /nfs/dbraw/zinc/21/43/68/762214368.db2.gz VCIZYOJUFZQHMR-UWVGGRQHSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CCCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046190536 753541036 /nfs/dbraw/zinc/54/10/36/753541036.db2.gz RSOHOHINGLEXHN-HZMBPMFUSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@]1(NC(=O)[C@H]2CCCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046190536 753541042 /nfs/dbraw/zinc/54/10/42/753541042.db2.gz RSOHOHINGLEXHN-HZMBPMFUSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)c1nnc(CN2CC[C@@](C)(NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001046438668 753717599 /nfs/dbraw/zinc/71/75/99/753717599.db2.gz DQDPBOHHAIETQJ-CQSZACIVSA-N 0 2 318.385 0.441 20 0 DCADLN CCc1nnc([C@H](C)N2CC[C@](C)(NC(=O)c3cnn[nH]3)C2)o1 ZINC001046441338 753719170 /nfs/dbraw/zinc/71/91/70/753719170.db2.gz IJUUBPCODLNPHC-XPTSAGLGSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@H](C)[N@@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)o1 ZINC001046441338 753719173 /nfs/dbraw/zinc/71/91/73/753719173.db2.gz IJUUBPCODLNPHC-XPTSAGLGSA-N 0 2 319.369 0.706 20 0 DCADLN CCc1nnc([C@H](C)[N@H+]2CC[C@](C)(NC(=O)c3cnn[n-]3)C2)o1 ZINC001046441338 753719175 /nfs/dbraw/zinc/71/91/75/753719175.db2.gz IJUUBPCODLNPHC-XPTSAGLGSA-N 0 2 319.369 0.706 20 0 DCADLN Cc1noc([C@@H](C)N2CC[C@@](C)(NC(=O)c3cnn[nH]3)C2)n1 ZINC001046441467 753721313 /nfs/dbraw/zinc/72/13/13/753721313.db2.gz KJIHXQHLPINVEJ-AMIZOPFISA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@@H](C)[N@@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046441467 753721315 /nfs/dbraw/zinc/72/13/15/753721315.db2.gz KJIHXQHLPINVEJ-AMIZOPFISA-N 0 2 305.342 0.452 20 0 DCADLN Cc1noc([C@@H](C)[N@H+]2CC[C@@](C)(NC(=O)c3cnn[n-]3)C2)n1 ZINC001046441467 753721317 /nfs/dbraw/zinc/72/13/17/753721317.db2.gz KJIHXQHLPINVEJ-AMIZOPFISA-N 0 2 305.342 0.452 20 0 DCADLN O=C(c1cnco1)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049625318 755338884 /nfs/dbraw/zinc/33/88/84/755338884.db2.gz KHPWXYMNEKGSDC-ZJUUUORDSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cnco1)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049625318 755338890 /nfs/dbraw/zinc/33/88/90/755338890.db2.gz KHPWXYMNEKGSDC-ZJUUUORDSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001096929635 755552672 /nfs/dbraw/zinc/55/26/72/755552672.db2.gz AFTHVHDKVFYPLY-SSLNLQFTSA-N 0 2 317.393 0.778 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)co1 ZINC001096995058 755570454 /nfs/dbraw/zinc/57/04/54/755570454.db2.gz RMBQTBDKRQNDNC-KKZNHRDASA-N 0 2 318.337 0.342 20 0 DCADLN CCN(C(=O)CCOC)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001079184243 755740365 /nfs/dbraw/zinc/74/03/65/755740365.db2.gz ORFDRTDFKZETBD-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)CCOC)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001079184243 755740369 /nfs/dbraw/zinc/74/03/69/755740369.db2.gz ORFDRTDFKZETBD-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cccs1 ZINC001079362817 755785487 /nfs/dbraw/zinc/78/54/87/755785487.db2.gz GZXNUXYOUCUQHE-MWLCHTKSSA-N 0 2 321.406 0.751 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cccs1 ZINC001079362817 755785491 /nfs/dbraw/zinc/78/54/91/755785491.db2.gz GZXNUXYOUCUQHE-MWLCHTKSSA-N 0 2 321.406 0.751 20 0 DCADLN CCN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)COC)C1 ZINC001079900364 756032863 /nfs/dbraw/zinc/03/28/63/756032863.db2.gz YMHMNDLBYRDDCQ-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)COC)C1 ZINC001079900364 756032857 /nfs/dbraw/zinc/03/28/57/756032857.db2.gz YMHMNDLBYRDDCQ-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@]1(C)C=CCC1 ZINC001080279232 756159508 /nfs/dbraw/zinc/15/95/08/756159508.db2.gz NMCFUUDNSKGXGO-UEKVPHQBSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@]1(C)C=CCC1 ZINC001080279232 756159509 /nfs/dbraw/zinc/15/95/09/756159509.db2.gz NMCFUUDNSKGXGO-UEKVPHQBSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)c1ccco1 ZINC001080429937 756196300 /nfs/dbraw/zinc/19/63/00/756196300.db2.gz NYKYHCADMSNJCH-OUAUKWLOSA-N 0 2 319.365 0.844 20 0 DCADLN C[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)c1ccco1 ZINC001080429937 756196302 /nfs/dbraw/zinc/19/63/02/756196302.db2.gz NYKYHCADMSNJCH-OUAUKWLOSA-N 0 2 319.365 0.844 20 0 DCADLN CC(C)[C@H](F)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084590550 757919907 /nfs/dbraw/zinc/91/99/07/757919907.db2.gz MCCAABBNBAZDJI-FOGDFJRCSA-N 0 2 311.361 0.537 20 0 DCADLN Cc1c[nH]cc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084828881 758066344 /nfs/dbraw/zinc/06/63/44/758066344.db2.gz DJNPFWHPHDFUBO-ZYHUDNBSSA-N 0 2 316.365 0.493 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CCCCC1 ZINC001050797130 758076120 /nfs/dbraw/zinc/07/61/20/758076120.db2.gz HIPQGILXONHYOZ-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001050806305 758093141 /nfs/dbraw/zinc/09/31/41/758093141.db2.gz TZPCCTTTZUVMIZ-SNVBAGLBSA-N 0 2 321.337 0.043 20 0 DCADLN CC[S@](=O)c1ccccc1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC000823419201 758246578 /nfs/dbraw/zinc/24/65/78/758246578.db2.gz RNDBKCJZVRBURM-AUADJRAKSA-N 0 2 323.374 0.741 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)[C@]12C[C@H]1CCC2 ZINC001051035053 758386440 /nfs/dbraw/zinc/38/64/40/758386440.db2.gz UQXKBGLCYCLXSF-UEKVPHQBSA-N 0 2 321.381 0.018 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cn(C)nc2C(C)(C)C)C(=O)N1C ZINC000825635649 758812024 /nfs/dbraw/zinc/81/20/24/758812024.db2.gz PKSZCQMTYZJARZ-QMMMGPOBSA-N 0 2 307.354 0.645 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccccc1O ZINC001085489760 758939043 /nfs/dbraw/zinc/93/90/43/758939043.db2.gz HMDQDOICGMZFCG-SNVBAGLBSA-N 0 2 317.349 0.562 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cncc(F)c1 ZINC001085542647 759018071 /nfs/dbraw/zinc/01/80/71/759018071.db2.gz CHRYSEUOVUOKFA-NSHDSACASA-N 0 2 320.328 0.391 20 0 DCADLN Cc1[nH]ccc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085539216 759022212 /nfs/dbraw/zinc/02/22/12/759022212.db2.gz QYKYZKMGOOOTLE-JTQLQIEISA-N 0 2 304.354 0.493 20 0 DCADLN Cc1noc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001085661306 759175973 /nfs/dbraw/zinc/17/59/73/759175973.db2.gz UHAHVOYKDCJTHF-JTQLQIEISA-N 0 2 320.353 0.462 20 0 DCADLN C[NH+](CC(=O)NC[C@]1(C)C[N@H+](CCO)CCO1)CC(C)(C)C ZINC001108215121 759397960 /nfs/dbraw/zinc/39/79/60/759397960.db2.gz BTTZQVZYXDSRPQ-MRXNPFEDSA-N 0 2 315.458 0.164 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1nccs1 ZINC001085890492 759438666 /nfs/dbraw/zinc/43/86/66/759438666.db2.gz BAICSYKSLBAOJN-MRVPVSSYSA-N 0 2 308.367 0.313 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001108228428 759530486 /nfs/dbraw/zinc/53/04/86/759530486.db2.gz VYTSZBSLYCEHDQ-UEKVPHQBSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001057839779 759582959 /nfs/dbraw/zinc/58/29/59/759582959.db2.gz ARGOUJNPNNGDFT-QMMMGPOBSA-N 0 2 314.279 0.624 20 0 DCADLN CC1(C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001057839779 759582961 /nfs/dbraw/zinc/58/29/61/759582961.db2.gz ARGOUJNPNNGDFT-QMMMGPOBSA-N 0 2 314.279 0.624 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H]2C[C@H](CNC(=O)c3ncn[nH]3)C2)s1 ZINC001086508507 760269885 /nfs/dbraw/zinc/26/98/85/760269885.db2.gz WMRQUWGNRMPSOM-HGNGGELXSA-N 0 2 321.410 0.824 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H]2C[C@H](CNC(=O)c3nc[nH]n3)C2)s1 ZINC001086508507 760269894 /nfs/dbraw/zinc/26/98/94/760269894.db2.gz WMRQUWGNRMPSOM-HGNGGELXSA-N 0 2 321.410 0.824 20 0 DCADLN CC(C)[C@H](F)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109622606 761483732 /nfs/dbraw/zinc/48/37/32/761483732.db2.gz SNNZCFFTESWDSL-WDCWCFNPSA-N 0 2 311.361 0.726 20 0 DCADLN O=C(CN1C(=O)CCC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001123723972 768048897 /nfs/dbraw/zinc/04/88/97/768048897.db2.gz HEQBGNWMBRYPRB-UHFFFAOYSA-N 0 2 315.289 0.265 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cocn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071388469 761814917 /nfs/dbraw/zinc/81/49/17/761814917.db2.gz GVLAJAMOHICHRE-BDAKNGLRSA-N 0 2 306.326 0.281 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccn(C)c2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071428279 761841646 /nfs/dbraw/zinc/84/16/46/761841646.db2.gz YKWLORUTWMDSOX-CMPLNLGQSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccn(C)c2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071428279 761841657 /nfs/dbraw/zinc/84/16/57/761841657.db2.gz YKWLORUTWMDSOX-CMPLNLGQSA-N 0 2 318.381 0.632 20 0 DCADLN CCCC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CC1 ZINC001100003266 763203362 /nfs/dbraw/zinc/20/33/62/763203362.db2.gz CELFXHMURUJBPO-QWRGUYRKSA-N 0 2 323.397 0.142 20 0 DCADLN CCC[N@H+](C)CC(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124442727 768238948 /nfs/dbraw/zinc/23/89/48/768238948.db2.gz UCQQRUMQJQVTAZ-ZDUSSCGKSA-N 0 2 309.414 0.358 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC001116437866 765871114 /nfs/dbraw/zinc/87/11/14/765871114.db2.gz WGKVJAZRABQFIO-UHFFFAOYSA-N 0 2 322.302 0.314 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc2cccnc21 ZINC001116469326 765874362 /nfs/dbraw/zinc/87/43/62/765874362.db2.gz YCEATMLRFPMLHD-UHFFFAOYSA-N 0 2 312.289 0.313 20 0 DCADLN CC(I)=CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001145018474 767322466 /nfs/dbraw/zinc/32/24/66/767322466.db2.gz UPHZMLRVKJRQHI-RQOWECAXSA-N 0 2 308.079 0.465 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)Nn3ccnn3)cc2[nH]c1=S ZINC001141247779 768572782 /nfs/dbraw/zinc/57/27/82/768572782.db2.gz QJGNFIMEZINHAV-UHFFFAOYSA-N 0 2 316.346 0.681 20 0 DCADLN Cn1cc(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)cn1 ZINC001141269075 768573317 /nfs/dbraw/zinc/57/33/17/768573317.db2.gz QSHBVMARDYWFHK-UHFFFAOYSA-N 0 2 300.278 0.252 20 0 DCADLN CCn1ncn(NC(=O)c2ccc(C=CC(=O)OC)o2)c1=O ZINC001141576062 768584601 /nfs/dbraw/zinc/58/46/01/768584601.db2.gz VZMITQZSNQPUKO-FNORWQNLSA-N 0 2 306.278 0.228 20 0 DCADLN CC/C=C(\C)C(=O)NCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001142673530 768656413 /nfs/dbraw/zinc/65/64/13/768656413.db2.gz ZUNZAPHCAZTVJS-YCGNWBHESA-N 0 2 322.365 0.384 20 0 DCADLN CC/C=C(\C)C(=O)NCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001142673530 768656417 /nfs/dbraw/zinc/65/64/17/768656417.db2.gz ZUNZAPHCAZTVJS-YCGNWBHESA-N 0 2 322.365 0.384 20 0 DCADLN Cc1oc(-c2cnn(C)c2)nc1C(=O)Nn1ccc(=O)[nH]c1=O ZINC001149617089 768796803 /nfs/dbraw/zinc/79/68/03/768796803.db2.gz GQSKZNYNLPKIIF-UHFFFAOYSA-N 0 2 316.277 0.030 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccncc1 ZINC001095101237 769586419 /nfs/dbraw/zinc/58/64/19/769586419.db2.gz CZJJPINOXGWDOO-WOPDTQHZSA-N 0 2 314.349 0.441 20 0 DCADLN Cc1nc2ccc(Cl)nn2c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001152525962 769590621 /nfs/dbraw/zinc/59/06/21/769590621.db2.gz WQHQMVOPTCGSPD-UHFFFAOYSA-N 0 2 307.701 0.445 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCOCC1CC1 ZINC001234275119 769612520 /nfs/dbraw/zinc/61/25/20/769612520.db2.gz BKGDSVXWTDALGL-GFCCVEGCSA-N 0 2 323.397 0.360 20 0 DCADLN COc1ccc(N)cc1S(=O)(=O)Nc1cnc(N)nc1C ZINC001175670565 769942295 /nfs/dbraw/zinc/94/22/95/769942295.db2.gz AVZWYYXFDMEJRW-UHFFFAOYSA-N 0 2 309.351 0.759 20 0 DCADLN O=C([O-])c1cc(F)ccc1C[N@@H+]1CCN2C(=O)COC[C@H]2C1 ZINC001238239249 770109427 /nfs/dbraw/zinc/10/94/27/770109427.db2.gz SZKLMZLGINCPHH-GFCCVEGCSA-N 0 2 308.309 0.567 20 0 DCADLN O=C([O-])c1cc(F)ccc1C[N@H+]1CCN2C(=O)COC[C@H]2C1 ZINC001238239249 770109434 /nfs/dbraw/zinc/10/94/34/770109434.db2.gz SZKLMZLGINCPHH-GFCCVEGCSA-N 0 2 308.309 0.567 20 0 DCADLN CC[N@H+](Cc1cncn1C)[C@H](C)CNC(=O)Cn1c[nH+]cc1C ZINC001154345168 770149907 /nfs/dbraw/zinc/14/99/07/770149907.db2.gz SSVFZSHUQQGSES-CQSZACIVSA-N 0 2 318.425 0.952 20 0 DCADLN CCCCC(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001176916946 770249922 /nfs/dbraw/zinc/24/99/22/770249922.db2.gz ABBJFWVUGGUSBE-RYUDHWBXSA-N 0 2 323.397 0.502 20 0 DCADLN O=C(NNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)c1n[nH]c2c1CCCC2 ZINC000072743613 771672493 /nfs/dbraw/zinc/67/24/93/771672493.db2.gz SBAAQCCUXGWZTN-UISBYWKRSA-N 0 2 304.350 0.617 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)[C@@H](O)Cc1c[nH]c2ccccc12 ZINC001184299521 771768562 /nfs/dbraw/zinc/76/85/62/771768562.db2.gz GHXFVZANXLEWMF-GXFFZTMASA-N 0 2 319.342 0.497 20 0 DCADLN CC(=O)O[C@@H](Cc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001186342373 772037213 /nfs/dbraw/zinc/03/72/13/772037213.db2.gz FKNWDOQKQDFNBR-NSHDSACASA-N 0 2 304.306 0.301 20 0 DCADLN O=C(c1[nH]ncc1Cl)N1CCN(c2ncc(O)cn2)CC1 ZINC001187840011 772232409 /nfs/dbraw/zinc/23/24/09/772232409.db2.gz DACNNFZGUZZHQL-UHFFFAOYSA-N 0 2 308.729 0.521 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cc2nc(C)cc(C)n2n1 ZINC001188352555 772297935 /nfs/dbraw/zinc/29/79/35/772297935.db2.gz DXXKHZZBAXGYFB-UHFFFAOYSA-N 0 2 312.351 0.651 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(N[C@H]2CCO[C@@]3(CC[NH2+]C3)C2)cc1 ZINC001163333198 772507923 /nfs/dbraw/zinc/50/79/23/772507923.db2.gz PWOLSKDLVNJRLD-JSGCOSHPSA-N 0 2 312.306 0.813 20 0 DCADLN O=[P@]([O-])(O)c1ccc(N[C@H]2CCO[C@@]3(CC[NH2+]C3)C2)cc1 ZINC001163333198 772507925 /nfs/dbraw/zinc/50/79/25/772507925.db2.gz PWOLSKDLVNJRLD-JSGCOSHPSA-N 0 2 312.306 0.813 20 0 DCADLN COc1nc(NS(=O)(=O)c2ncc(F)cc2F)cc(=O)[nH]1 ZINC001190141992 772588813 /nfs/dbraw/zinc/58/88/13/772588813.db2.gz YQVYBVJQLHPFKV-UHFFFAOYSA-N 0 2 318.261 0.665 20 0 DCADLN COC(=O)c1nc2ccc(N[C@H]3C=CS(=O)(=O)C3)nc2[nH]1 ZINC001164019636 772605775 /nfs/dbraw/zinc/60/57/75/772605775.db2.gz BKTDIHMUDXWSQS-ZETCQYMHSA-N 0 2 308.319 0.467 20 0 DCADLN COC(=O)c1nc2ccc(N[C@H]3C=CS(=O)(=O)C3)[nH]c-2n1 ZINC001164019636 772605777 /nfs/dbraw/zinc/60/57/77/772605777.db2.gz BKTDIHMUDXWSQS-ZETCQYMHSA-N 0 2 308.319 0.467 20 0 DCADLN CCOC(=O)[C@@H](NS(=O)(=O)c1ccncc1)c1cnccn1 ZINC001190917124 772698552 /nfs/dbraw/zinc/69/85/52/772698552.db2.gz MXOHMPRPQKQKKS-LBPRGKRZSA-N 0 2 322.346 0.454 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2c(C)cnn2C)cc1 ZINC001191578448 772785226 /nfs/dbraw/zinc/78/52/26/772785226.db2.gz ABVJQCYQRSGKBY-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccnc(-n2ccnc2)c1 ZINC001191969650 772855428 /nfs/dbraw/zinc/85/54/28/772855428.db2.gz UUQXSWZIKCHUJU-LLVKDONJSA-N 0 2 303.303 0.306 20 0 DCADLN COC(=O)[C@@H]1C[C@H]1c1ccc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001192208204 772885020 /nfs/dbraw/zinc/88/50/20/772885020.db2.gz CAUYVLMMGLVEEZ-WDEREUQCSA-N 0 2 316.317 0.717 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1ccc(O)c(F)c1F ZINC001192842279 772968581 /nfs/dbraw/zinc/96/85/81/772968581.db2.gz HUYSBBCKHIHNFR-UHFFFAOYSA-N 0 2 323.215 0.952 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cnccc1Cl ZINC001192855056 772970393 /nfs/dbraw/zinc/97/03/93/772970393.db2.gz WPCHNRSGCYHKTJ-UHFFFAOYSA-N 0 2 302.743 0.725 20 0 DCADLN O=S(=O)(C[C@H]1CCCO1)Nc1cnn2c1OCC1(CC1)C2 ZINC001193212146 773025505 /nfs/dbraw/zinc/02/55/05/773025505.db2.gz BDMJGDDSJLXQKX-SNVBAGLBSA-N 0 2 313.379 0.976 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ncn(-c2cccc(F)c2)n1 ZINC001193760569 773112308 /nfs/dbraw/zinc/11/23/08/773112308.db2.gz KWDFKGRVGHFFKB-UHFFFAOYSA-N 0 2 303.257 0.160 20 0 DCADLN C[C@@H]1CN(Cc2cn(C)nn2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207022131 773163416 /nfs/dbraw/zinc/16/34/16/773163416.db2.gz DVNFTZXOBKFMMA-QNSHHTMESA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H]1CN(Cc2cn(C)nn2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001207022131 773163421 /nfs/dbraw/zinc/16/34/21/773163421.db2.gz DVNFTZXOBKFMMA-QNSHHTMESA-N 0 2 323.294 0.652 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccn3c(C)nnc3c2)[nH]n1 ZINC001194282902 773176186 /nfs/dbraw/zinc/17/61/86/773176186.db2.gz ITJXSVTYBIRHSH-UHFFFAOYSA-N 0 2 300.278 0.800 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ccn3c(C)nnc3c2)n[nH]1 ZINC001194282902 773176189 /nfs/dbraw/zinc/17/61/89/773176189.db2.gz ITJXSVTYBIRHSH-UHFFFAOYSA-N 0 2 300.278 0.800 20 0 DCADLN Cc1cccc(C)c1S(=O)(=O)Nc1cnc(C(N)=O)nc1 ZINC001194609880 773207685 /nfs/dbraw/zinc/20/76/85/773207685.db2.gz NYLPBJCRFKOOJZ-UHFFFAOYSA-N 0 2 306.347 0.993 20 0 DCADLN COCCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001207454461 773211261 /nfs/dbraw/zinc/21/12/61/773211261.db2.gz XRXQVYSBECDTAW-UKRRQHHQSA-N 0 2 324.425 0.442 20 0 DCADLN CCO[C@@H](C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1CC1 ZINC001195879890 773495830 /nfs/dbraw/zinc/49/58/30/773495830.db2.gz LGSVCSGLKZBAHJ-CYBMUJFWSA-N 0 2 323.397 0.360 20 0 DCADLN COc1ncnc(NS(=O)(=O)Cc2cccnc2)c1OC ZINC001197421796 773745771 /nfs/dbraw/zinc/74/57/71/773745771.db2.gz HZSRBFSBJMYLAG-UHFFFAOYSA-N 0 2 310.335 0.831 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)Cc1ccccn1)=C(C)O ZINC001197847149 773808221 /nfs/dbraw/zinc/80/82/21/773808221.db2.gz PKQZTBGFAVLNNP-LLVKDONJSA-N 0 2 300.336 0.022 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2cnc(C)n2C)ccn1 ZINC001198356370 773915142 /nfs/dbraw/zinc/91/51/42/773915142.db2.gz PUJWYZPNVONECU-UHFFFAOYSA-N 0 2 324.362 0.523 20 0 DCADLN [NH3+][C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1nnc(Br)s1 ZINC001218512186 774233207 /nfs/dbraw/zinc/23/32/07/774233207.db2.gz ZIJAPXDPIYRIPP-YFKPBYRVSA-N 0 2 317.172 0.532 20 0 DCADLN CC(C)[C@@H](C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O)[NH+](C)C ZINC001219848269 774627159 /nfs/dbraw/zinc/62/71/59/774627159.db2.gz LHJSGFLMCVIQDB-DKRYCIMBSA-N 0 2 303.834 0.486 20 0 DCADLN O=c1c([O-])c(O[C@H]2CC[N@H+](Cc3ccccc3)C2)c(=O)c1=O ZINC001225834120 775728238 /nfs/dbraw/zinc/72/82/38/775728238.db2.gz PRKQLSODYGZBJE-NSHDSACASA-N 0 2 301.298 0.002 20 0 DCADLN O=c1c([O-])c(O[C@H]2CC[N@@H+](Cc3ccccc3)C2)c(=O)c1=O ZINC001225834120 775728243 /nfs/dbraw/zinc/72/82/43/775728243.db2.gz PRKQLSODYGZBJE-NSHDSACASA-N 0 2 301.298 0.002 20 0 DCADLN O=C(COC1CCCC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226276108 775792064 /nfs/dbraw/zinc/79/20/64/775792064.db2.gz BUPSYQPONKCNAR-UHFFFAOYSA-N 0 2 323.397 0.550 20 0 DCADLN CC1=C(Oc2[nH]c(=O)nnc2Br)C(=O)O[C@H]1C ZINC001227064764 775920929 /nfs/dbraw/zinc/92/09/29/775920929.db2.gz UGZQOLPGDDVRKP-BYPYZUCNSA-N 0 2 302.084 0.938 20 0 DCADLN CCOC(=O)C[C@@H](Oc1[nH]c(=O)nc2nc[nH]c21)C(=O)OCC ZINC001227070281 775921950 /nfs/dbraw/zinc/92/19/50/775921950.db2.gz NCXLCQZMNJWGNT-SSDOTTSWSA-N 0 2 324.293 0.322 20 0 DCADLN CCOC(=O)[C@H]1CC[C@@H](Oc2[nH]c(=O)nc3ncn(C)c32)CO1 ZINC001228672797 776113265 /nfs/dbraw/zinc/11/32/65/776113265.db2.gz BVGVPQYYXKVBCI-RKDXNWHRSA-N 0 2 322.321 0.558 20 0 DCADLN C[C@H](CON)Oc1nc(I)cc(=O)[nH]1 ZINC001228950187 776140073 /nfs/dbraw/zinc/14/00/73/776140073.db2.gz IGLNHGMVIMQHIJ-SCSAIBSYSA-N 0 2 311.079 0.444 20 0 DCADLN COC(=O)c1ccc(N)cc1S(=O)(=O)Nc1cnc(N)nc1 ZINC001212967129 776273547 /nfs/dbraw/zinc/27/35/47/776273547.db2.gz KTOVHEZJHJUKCE-UHFFFAOYSA-N 0 2 323.334 0.228 20 0 DCADLN CC(C)(F)C(=O)N1CC[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041886801 777567140 /nfs/dbraw/zinc/56/71/40/777567140.db2.gz BZBDUSKAQASABM-ZJUUUORDSA-N 0 2 311.361 0.681 20 0 DCADLN CC(C)(F)C(=O)N1CC[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041886801 777567145 /nfs/dbraw/zinc/56/71/45/777567145.db2.gz BZBDUSKAQASABM-ZJUUUORDSA-N 0 2 311.361 0.681 20 0 DCADLN CC(F)(F)C(=O)N1CC[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001041887525 777568624 /nfs/dbraw/zinc/56/86/24/777568624.db2.gz MBHFQWSGHYPPMW-RKDXNWHRSA-N 0 2 315.324 0.588 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001601779593 1168884733 /nfs/dbraw/zinc/88/47/33/1168884733.db2.gz PCDWIFGMSPHNSF-UONOGXRCSA-N 0 2 310.394 0.668 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC001601922851 1168936144 /nfs/dbraw/zinc/93/61/44/1168936144.db2.gz ZTDSTOXCMORAQH-SNVBAGLBSA-N 0 2 313.379 0.372 20 0 DCADLN O=C([O-])Cn1cc(CN[C@H]2CCC[N@H+]3CCSC[C@@H]23)nn1 ZINC001602162527 1169010702 /nfs/dbraw/zinc/01/07/02/1169010702.db2.gz YUBACINGVMEWNF-RYUDHWBXSA-N 0 2 311.411 0.032 20 0 DCADLN C[C@H](NC(=O)CC(C)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409951521 945095976 /nfs/dbraw/zinc/09/59/76/945095976.db2.gz UZWRKUNEOJCJLS-ZETCQYMHSA-N 0 2 303.313 0.492 20 0 DCADLN C[N@H+](CCNC(=O)C(C)(C)c1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001480882855 939159727 /nfs/dbraw/zinc/15/97/27/939159727.db2.gz ZYRBWZLHQIWJMN-UHFFFAOYSA-N 0 2 318.381 0.431 20 0 DCADLN C[N@@H+](CCNC(=O)C(C)(C)c1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001480882855 939159733 /nfs/dbraw/zinc/15/97/33/939159733.db2.gz ZYRBWZLHQIWJMN-UHFFFAOYSA-N 0 2 318.381 0.431 20 0 DCADLN COCCOCCN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001318025846 945245225 /nfs/dbraw/zinc/24/52/25/945245225.db2.gz QCTWJEVLXSEPKI-SECBINFHSA-N 0 2 302.268 0.350 20 0 DCADLN COCCOCCN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001318025846 945245231 /nfs/dbraw/zinc/24/52/31/945245231.db2.gz QCTWJEVLXSEPKI-SECBINFHSA-N 0 2 302.268 0.350 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ccon1 ZINC001408590583 939397326 /nfs/dbraw/zinc/39/73/26/939397326.db2.gz ZFZHAZPGDDQPKI-SECBINFHSA-N 0 2 311.235 0.692 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cc1ccon1 ZINC001408590583 939397331 /nfs/dbraw/zinc/39/73/31/939397331.db2.gz ZFZHAZPGDDQPKI-SECBINFHSA-N 0 2 311.235 0.692 20 0 DCADLN Cc1cc(C)cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001480939467 939444669 /nfs/dbraw/zinc/44/46/69/939444669.db2.gz PBPUPXPVRGTIQY-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN Cc1cc(C)cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001480939467 939444670 /nfs/dbraw/zinc/44/46/70/939444670.db2.gz PBPUPXPVRGTIQY-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001409146843 941551436 /nfs/dbraw/zinc/55/14/36/941551436.db2.gz GQINKESCXHMFER-JTQLQIEISA-N 0 2 322.262 0.427 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409146843 941551440 /nfs/dbraw/zinc/55/14/40/941551440.db2.gz GQINKESCXHMFER-JTQLQIEISA-N 0 2 322.262 0.427 20 0 DCADLN CCn1cccc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001482651084 941610381 /nfs/dbraw/zinc/61/03/81/941610381.db2.gz XHRQXPDYCXOGEV-UHFFFAOYSA-N 0 2 306.370 0.536 20 0 DCADLN CCn1cccc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001482651084 941610383 /nfs/dbraw/zinc/61/03/83/941610383.db2.gz XHRQXPDYCXOGEV-UHFFFAOYSA-N 0 2 306.370 0.536 20 0 DCADLN CCCCOCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001276358752 942100308 /nfs/dbraw/zinc/10/03/08/942100308.db2.gz FIZNLBIPBSCZTP-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN O=C(NCC1(CCO)CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412872367 942117279 /nfs/dbraw/zinc/11/72/79/942117279.db2.gz UAOTWXKZIIEIML-UHFFFAOYSA-N 0 2 318.333 0.159 20 0 DCADLN O=C(N[C@@H]1C[C@H]1C1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412890638 942126520 /nfs/dbraw/zinc/12/65/20/942126520.db2.gz HUCWIOXFJUROLU-NWDGAFQWSA-N 0 2 300.318 0.795 20 0 DCADLN O=C(CCCF)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001412957515 942155904 /nfs/dbraw/zinc/15/59/04/942155904.db2.gz IPLZMXQAYQUEPW-POYBYMJQSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001412957515 942155907 /nfs/dbraw/zinc/15/59/07/942155907.db2.gz IPLZMXQAYQUEPW-POYBYMJQSA-N 0 2 306.231 0.230 20 0 DCADLN Cc1ncsc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483313417 942259263 /nfs/dbraw/zinc/25/92/63/942259263.db2.gz NGCOBVGXXDZEAS-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ncsc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483313417 942259265 /nfs/dbraw/zinc/25/92/65/942259265.db2.gz NGCOBVGXXDZEAS-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001483397113 942326918 /nfs/dbraw/zinc/32/69/18/942326918.db2.gz GITHPBRFKJQETI-MRVPVSSYSA-N 0 2 319.369 0.167 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001483397113 942326925 /nfs/dbraw/zinc/32/69/25/942326925.db2.gz GITHPBRFKJQETI-MRVPVSSYSA-N 0 2 319.369 0.167 20 0 DCADLN COCC1(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCCC1 ZINC001483656924 942638592 /nfs/dbraw/zinc/63/85/92/942638592.db2.gz YDTNHMKLKHPSBW-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H](CO)[C@@H](O)c1ccccn1)c1cc(F)c(O)c(F)c1 ZINC001413415280 942961651 /nfs/dbraw/zinc/96/16/51/942961651.db2.gz JSYJZXWHDVUUFG-JSGCOSHPSA-N 0 2 324.283 0.890 20 0 DCADLN CC(=O)c1cc(C)ccc1NS(=O)(=O)CS(C)(=O)=O ZINC001260593358 943023199 /nfs/dbraw/zinc/02/31/99/943023199.db2.gz JSFNQHGISMBQJD-UHFFFAOYSA-N 0 2 305.377 0.941 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001484058000 943119441 /nfs/dbraw/zinc/11/94/41/943119441.db2.gz NMMHRSHFQXZMIX-BMIGLBTASA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H](C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001484058000 943119445 /nfs/dbraw/zinc/11/94/45/943119445.db2.gz NMMHRSHFQXZMIX-BMIGLBTASA-N 0 2 319.365 0.988 20 0 DCADLN C[C@H](NC(=O)c1ccc2c(c1)NC(=O)CO2)c1nn(C)cc1O ZINC001413746167 943659435 /nfs/dbraw/zinc/65/94/35/943659435.db2.gz SSWWNNPMMBKFTI-QMMMGPOBSA-N 0 2 316.317 0.948 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCc2cnn(C)c2C1)c1nn(C)cc1O ZINC001413758240 943667866 /nfs/dbraw/zinc/66/78/66/943667866.db2.gz HRGXTQSOUVCXDD-VHSXEESVSA-N 0 2 303.366 0.842 20 0 DCADLN CC[C@H](C)NC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001491110591 943756783 /nfs/dbraw/zinc/75/67/83/943756783.db2.gz IHRJDPSVMHWROE-RYUDHWBXSA-N 0 2 322.413 0.161 20 0 DCADLN Cc1noc(C)c1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485273786 943792747 /nfs/dbraw/zinc/79/27/47/943792747.db2.gz DXKFBPBYQMMQDB-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1noc(C)c1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485273786 943792749 /nfs/dbraw/zinc/79/27/49/943792749.db2.gz DXKFBPBYQMMQDB-ZETCQYMHSA-N 0 2 308.342 0.365 20 0 DCADLN CN(C[C@@H](O)c1cccnc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC001413778187 944084320 /nfs/dbraw/zinc/08/43/20/944084320.db2.gz VKGQRDIHIQABPM-ZJUUUORDSA-N 0 2 308.363 0.130 20 0 DCADLN C[C@H](CC[NH2+]Cc1n[nH]c(=O)[n-]1)NC(=O)C(F)C(F)(F)F ZINC001272791087 944229084 /nfs/dbraw/zinc/22/90/84/944229084.db2.gz NEFCPPOFJNCOOG-IYSWYEEDSA-N 0 2 313.255 0.395 20 0 DCADLN C[C@H](CC[NH2+]Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001272791087 944229090 /nfs/dbraw/zinc/22/90/90/944229090.db2.gz NEFCPPOFJNCOOG-IYSWYEEDSA-N 0 2 313.255 0.395 20 0 DCADLN O=C([O-])CNC(=O)C[N@@H+]1CCC[C@@]2(C1)OCc1ccccc12 ZINC001611471042 971069720 /nfs/dbraw/zinc/06/97/20/971069720.db2.gz NCHLVARJRYOJTQ-INIZCTEOSA-N 0 2 304.346 0.709 20 0 DCADLN O=C([O-])CNC(=O)C[N@H+]1CCC[C@@]2(C1)OCc1ccccc12 ZINC001611471042 971069728 /nfs/dbraw/zinc/06/97/28/971069728.db2.gz NCHLVARJRYOJTQ-INIZCTEOSA-N 0 2 304.346 0.709 20 0 DCADLN NC(=O)c1[nH]nc2c1CCN(C(=O)c1ccc(O)c(F)c1F)C2 ZINC001276858721 944332753 /nfs/dbraw/zinc/33/27/53/944332753.db2.gz MODGBLOAEKKSIV-UHFFFAOYSA-N 0 2 322.271 0.691 20 0 DCADLN Cc1sc(=O)n(CC(=O)NCCCc2n[nH]c(=O)[nH]2)c1C ZINC001318914561 945464489 /nfs/dbraw/zinc/46/44/89/945464489.db2.gz LZZYDSKVHBCEEL-UHFFFAOYSA-N 0 2 311.367 0.099 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cccnn1)C(F)C(F)(F)F ZINC001410581409 946602294 /nfs/dbraw/zinc/60/22/94/946602294.db2.gz VXELOIPJUYIICV-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cccnn1)[C@H](F)C(F)(F)F ZINC001410581409 946602298 /nfs/dbraw/zinc/60/22/98/946602298.db2.gz VXELOIPJUYIICV-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2cccc(Cl)c2)S1 ZINC001256818316 946665618 /nfs/dbraw/zinc/66/56/18/946665618.db2.gz WDDNPHZKTCJZQD-QMMMGPOBSA-N 0 2 306.752 0.927 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cc(Cl)nc2ccnn21 ZINC001259018369 946891883 /nfs/dbraw/zinc/89/18/83/946891883.db2.gz IADVTNMLQJQKIW-UHFFFAOYSA-N 0 2 304.715 0.297 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cncc(N2CCCCC2)c1 ZINC001259018497 946893096 /nfs/dbraw/zinc/89/30/96/946893096.db2.gz OEFFYRCIRIYSAZ-UHFFFAOYSA-N 0 2 313.379 0.987 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2nc(C3CCC3)nn2c1 ZINC001259020025 946894889 /nfs/dbraw/zinc/89/48/89/946894889.db2.gz BNZDYCKZMULFRG-UHFFFAOYSA-N 0 2 324.362 0.912 20 0 DCADLN COc1ccccc1S(=O)(=O)Nc1cnn(C)c1C(N)=O ZINC001259294748 946939760 /nfs/dbraw/zinc/93/97/60/946939760.db2.gz JGFNVFDLZSPWHD-UHFFFAOYSA-N 0 2 310.335 0.328 20 0 DCADLN COC(=O)[C@H](Cc1ccc(C)cn1)NS(=O)(=O)C(F)F ZINC001259964760 947040733 /nfs/dbraw/zinc/04/07/33/947040733.db2.gz HENLGSXREVOESL-VIFPVBQESA-N 0 2 308.306 0.616 20 0 DCADLN COCCS(=O)(=O)Nc1nc(C(=O)OC)ccc1OC ZINC001259966105 947041142 /nfs/dbraw/zinc/04/11/42/947041142.db2.gz KCZBCTNOSDAEBI-UHFFFAOYSA-N 0 2 304.324 0.265 20 0 DCADLN COCCS(=O)(=O)Nc1cnc(C)c(Br)n1 ZINC001259966048 947041540 /nfs/dbraw/zinc/04/15/40/947041540.db2.gz FZHIPQFMENABCS-UHFFFAOYSA-N 0 2 310.173 0.936 20 0 DCADLN CCOc1cc(C(N)=O)ccc1NS(=O)(=O)CCOC ZINC001259971042 947044070 /nfs/dbraw/zinc/04/40/70/947044070.db2.gz QWZXGBISTGFYIQ-UHFFFAOYSA-N 0 2 302.352 0.572 20 0 DCADLN O=S(=O)(NC1CC(F)(F)C1)c1nc2nc(Cl)ccn2n1 ZINC001260167215 947075624 /nfs/dbraw/zinc/07/56/24/947075624.db2.gz UPNHKOQHHWAZHL-UHFFFAOYSA-N 0 2 323.712 0.854 20 0 DCADLN CCOC(=O)c1cncc(NS(=O)(=O)CS(C)(=O)=O)c1 ZINC001260583709 947115113 /nfs/dbraw/zinc/11/51/13/947115113.db2.gz KXIXIPBCUBEAPF-UHFFFAOYSA-N 0 2 322.364 0.002 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(C)(C)O)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001410936315 947148463 /nfs/dbraw/zinc/14/84/63/947148463.db2.gz WWBRHYQIJWNRDS-ZJUUUORDSA-N 0 2 311.386 0.140 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC(C)(C)O)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001410936315 947148468 /nfs/dbraw/zinc/14/84/68/947148468.db2.gz WWBRHYQIJWNRDS-ZJUUUORDSA-N 0 2 311.386 0.140 20 0 DCADLN COC(=O)c1ncc(NS(=O)(=O)c2c(C)n[nH]c2C)cn1 ZINC001261023515 947165929 /nfs/dbraw/zinc/16/59/29/947165929.db2.gz NIHKZRWEQCSMCW-UHFFFAOYSA-N 0 2 311.323 0.404 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2cnc3c(cnn3C)c2)s1 ZINC001324186277 947344910 /nfs/dbraw/zinc/34/49/10/947344910.db2.gz XAFLUYVNLQVVCN-UHFFFAOYSA-N 0 2 310.364 0.929 20 0 DCADLN COC[C@@H](C)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411187516 947459247 /nfs/dbraw/zinc/45/92/47/947459247.db2.gz UOZSBJRUALBDON-LLVKDONJSA-N 0 2 313.402 0.462 20 0 DCADLN COC[C@@H](C)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411187516 947459253 /nfs/dbraw/zinc/45/92/53/947459253.db2.gz UOZSBJRUALBDON-LLVKDONJSA-N 0 2 313.402 0.462 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H](C)[C@@H](C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001602735192 971417938 /nfs/dbraw/zinc/41/79/38/971417938.db2.gz UWZZCWPMZRBQJF-ZJTSMVRJSA-N 0 2 310.394 0.834 20 0 DCADLN Cn1nnc2c1ncnc2NCCNC(=O)C(F)C(F)(F)F ZINC001094182717 947800538 /nfs/dbraw/zinc/80/05/38/947800538.db2.gz KYGZZJLSXDYUNS-ZCFIWIBFSA-N 0 2 321.238 0.187 20 0 DCADLN Cn1nnc2c1ncnc2NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001094182717 947800544 /nfs/dbraw/zinc/80/05/44/947800544.db2.gz KYGZZJLSXDYUNS-ZCFIWIBFSA-N 0 2 321.238 0.187 20 0 DCADLN C[C@H](NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1ncn(C)n1 ZINC001475199740 947803340 /nfs/dbraw/zinc/80/33/40/947803340.db2.gz UJZBNUCKQPEZEQ-RLBGWGEZSA-N 0 2 323.444 0.607 20 0 DCADLN Cn1ncc2c1C[C@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)CC2 ZINC001327014042 948364146 /nfs/dbraw/zinc/36/41/46/948364146.db2.gz WXXORYQOLOBJHJ-SECBINFHSA-N 0 2 304.354 0.098 20 0 DCADLN CC/C=C(/C)C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001327315444 948462144 /nfs/dbraw/zinc/46/21/44/948462144.db2.gz UHBBIEHXGMAGDL-WCIBSUBMSA-N 0 2 321.381 0.280 20 0 DCADLN Cc1nc([C@H](C)NC(=O)Nc2ccnc(-c3nn[nH]n3)c2)n[nH]1 ZINC001570918636 948577117 /nfs/dbraw/zinc/57/71/17/948577117.db2.gz HTBFNQOAIRFOON-LURJTMIESA-N 0 2 314.313 0.571 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1(C)CC1)C(=O)C(F)C(F)(F)F ZINC001488369507 948740859 /nfs/dbraw/zinc/74/08/59/948740859.db2.gz XFHCTQLSFVGHOZ-SFYZADRCSA-N 0 2 314.279 0.622 20 0 DCADLN CN(C[C@H](O)CNC(=O)C1(C)CC1)C(=O)[C@H](F)C(F)(F)F ZINC001488369507 948740863 /nfs/dbraw/zinc/74/08/63/948740863.db2.gz XFHCTQLSFVGHOZ-SFYZADRCSA-N 0 2 314.279 0.622 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)C(=O)C(C)(C)C)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001264047674 948853039 /nfs/dbraw/zinc/85/30/39/948853039.db2.gz MHCLISXHXVJQRM-VHSXEESVSA-N 0 2 323.397 0.595 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(O)c(C(=O)[O-])c1 ZINC000388569056 949007891 /nfs/dbraw/zinc/00/78/91/949007891.db2.gz YSBKVBGTIBGUAJ-UHFFFAOYSA-N 0 2 311.319 0.302 20 0 DCADLN C[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccn1 ZINC001488628855 949030706 /nfs/dbraw/zinc/03/07/06/949030706.db2.gz BSNAGSXAAKYVEL-MNOVXSKESA-N 0 2 319.369 0.001 20 0 DCADLN NS(=O)(=O)[C@H]1CCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC001364364742 949127390 /nfs/dbraw/zinc/12/73/90/949127390.db2.gz MYPYPALBNBMPCR-ZETCQYMHSA-N 0 2 314.392 0.260 20 0 DCADLN CC[C@]1(C(=O)[O-])CCCN(S(=O)(=O)CCn2cc[nH+]c2)C1 ZINC001589606151 949269001 /nfs/dbraw/zinc/26/90/01/949269001.db2.gz ASVNFOFWZRQQEF-ZDUSSCGKSA-N 0 2 315.395 0.790 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@H+](Cc3[nH]nc4c3COCC4)C2)n[nH]1 ZINC001364435710 949280697 /nfs/dbraw/zinc/28/06/97/949280697.db2.gz LISYQWUALFXAFW-SECBINFHSA-N 0 2 304.354 0.686 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@@H+](Cc3[nH]nc4c3COCC4)C2)n[nH]1 ZINC001364435710 949280706 /nfs/dbraw/zinc/28/07/06/949280706.db2.gz LISYQWUALFXAFW-SECBINFHSA-N 0 2 304.354 0.686 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)cn1 ZINC001595267102 949760972 /nfs/dbraw/zinc/76/09/72/949760972.db2.gz ABSFZHWYHRYNEN-LLVKDONJSA-N 0 2 314.301 0.863 20 0 DCADLN COC[C@](C)(O)CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC001364805754 949972338 /nfs/dbraw/zinc/97/23/38/949972338.db2.gz LMIHRFOSDZHKFK-MLCYQJTMSA-N 0 2 316.445 0.115 20 0 DCADLN Cc1cc(=O)[nH]c(N2CC[C@H](NC(=O)c3[nH]ncc3F)C2)n1 ZINC001364824125 950016723 /nfs/dbraw/zinc/01/67/23/950016723.db2.gz FAHOLLMGRGDLMW-QMMMGPOBSA-N 0 2 306.301 0.362 20 0 DCADLN Cc1cn2c(n1)C[C@@H](C(=O)NCCc1n[nH]c(=S)o1)CC2 ZINC001329978973 950030528 /nfs/dbraw/zinc/03/05/28/950030528.db2.gz JXPIAMGGWDLWAB-VIFPVBQESA-N 0 2 307.379 0.785 20 0 DCADLN COCC(=O)NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001489793266 950054221 /nfs/dbraw/zinc/05/42/21/950054221.db2.gz ARZWRJJXDQKNCV-OOZYFLPDSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NC[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001489793266 950054240 /nfs/dbraw/zinc/05/42/40/950054240.db2.gz ARZWRJJXDQKNCV-OOZYFLPDSA-N 0 2 300.252 0.544 20 0 DCADLN Cc1nnc([C@@H](C)NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)[nH]1 ZINC001364854751 950083147 /nfs/dbraw/zinc/08/31/47/950083147.db2.gz DULOIRKECDENNW-XJZHNMMOSA-N 0 2 323.444 0.905 20 0 DCADLN Cc1nc([C@@H](C)NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)n[nH]1 ZINC001364854751 950083167 /nfs/dbraw/zinc/08/31/67/950083167.db2.gz DULOIRKECDENNW-XJZHNMMOSA-N 0 2 323.444 0.905 20 0 DCADLN NC(=O)[C@H]1C[C@@H](NS(=O)(=O)c2onc(C3CC3)c2Cl)C1 ZINC001364903678 950164319 /nfs/dbraw/zinc/16/43/19/950164319.db2.gz GPXNEOGHADKEFP-KNVOCYPGSA-N 0 2 319.770 0.748 20 0 DCADLN CC(C)c1nccnc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001462984115 1013102444 /nfs/dbraw/zinc/10/24/44/1013102444.db2.gz SSAUAXOMXFVJFH-UHFFFAOYSA-N 0 2 313.321 0.623 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@H](C(=O)N[C@@H]2CCn3c[nH+]cc3C2)CO1 ZINC001595000463 951114860 /nfs/dbraw/zinc/11/48/60/951114860.db2.gz BOXQIFCYKQKRCH-DMDPSCGWSA-N 0 2 307.350 0.584 20 0 DCADLN CCOC(=O)c1ncc(C[NH2+][C@@]2(C(=O)[O-])CCOC2)s1 ZINC001591280191 951127374 /nfs/dbraw/zinc/12/73/74/951127374.db2.gz PNCRFLLQCZTDAP-LBPRGKRZSA-N 0 2 300.336 0.653 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)Nc2ccccn2)[nH]1 ZINC001365489777 951183640 /nfs/dbraw/zinc/18/36/40/951183640.db2.gz AMQICSISFJYGEV-UHFFFAOYSA-N 0 2 310.339 0.066 20 0 DCADLN COC[C@H](CO)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001365704744 951456962 /nfs/dbraw/zinc/45/69/62/951456962.db2.gz WKJXBLMPIFQDTL-ZETCQYMHSA-N 0 2 310.759 0.491 20 0 DCADLN Cc1nn(CC(C)C)c(C)c1S(=O)(=O)Nc1nnn(C)n1 ZINC001365734122 951488172 /nfs/dbraw/zinc/48/81/72/951488172.db2.gz PPBKPYCWPHZTSX-UHFFFAOYSA-N 0 2 313.387 0.480 20 0 DCADLN O=C(CS[C@@H]1CCS(=O)(=O)C1)NOCc1ccccn1 ZINC001332137275 951496932 /nfs/dbraw/zinc/49/69/32/951496932.db2.gz VCGNIBQOPNXFOD-LLVKDONJSA-N 0 2 316.404 0.550 20 0 DCADLN Cc1nccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001292776943 951829259 /nfs/dbraw/zinc/82/92/59/951829259.db2.gz JAMPCZCTLPTCND-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1nccc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001292776943 951829271 /nfs/dbraw/zinc/82/92/71/951829271.db2.gz JAMPCZCTLPTCND-QMMMGPOBSA-N 0 2 308.235 0.531 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CCCO1 ZINC001412481409 952833546 /nfs/dbraw/zinc/83/35/46/952833546.db2.gz LPPZKADVCQMMLB-SNVBAGLBSA-N 0 2 318.333 0.517 20 0 DCADLN CCCCC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001412755866 953072881 /nfs/dbraw/zinc/07/28/81/953072881.db2.gz AKIIGSDOEZLKLX-IONNQARKSA-N 0 2 302.268 0.670 20 0 DCADLN CCCCC(=O)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001412755866 953072887 /nfs/dbraw/zinc/07/28/87/953072887.db2.gz AKIIGSDOEZLKLX-IONNQARKSA-N 0 2 302.268 0.670 20 0 DCADLN C[C@@H](O)CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C1=NC(=O)N(C)C1 ZINC001479244062 953279919 /nfs/dbraw/zinc/27/99/19/953279919.db2.gz SHURORHIOBJPIC-NEPJUHHUSA-N 0 2 310.398 0.433 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCc2ccon2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001365897447 953376918 /nfs/dbraw/zinc/37/69/18/953376918.db2.gz UOGHHOBKQBKFQX-KOLCDFICSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCc2ccon2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001365897447 953376935 /nfs/dbraw/zinc/37/69/35/953376935.db2.gz UOGHHOBKQBKFQX-KOLCDFICSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC001589290783 953599690 /nfs/dbraw/zinc/59/96/90/953599690.db2.gz ZDTJEJIEGKLLLC-RFQIPJPRSA-N 0 2 310.394 0.809 20 0 DCADLN C/C(=C/C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001282693519 953649040 /nfs/dbraw/zinc/64/90/40/953649040.db2.gz OXDNNOUSAKMWKI-BSKOKIOFSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@H]1CC[C@H](C(=O)[O-])C[N@@H+]1Cn1c2cnccc2n(C)c1=O ZINC001589307748 953757247 /nfs/dbraw/zinc/75/72/47/953757247.db2.gz CMSAXVRJPJWBGU-QWRGUYRKSA-N 0 2 304.350 0.878 20 0 DCADLN C[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cn1c2cnccc2n(C)c1=O ZINC001589307748 953757257 /nfs/dbraw/zinc/75/72/57/953757257.db2.gz CMSAXVRJPJWBGU-QWRGUYRKSA-N 0 2 304.350 0.878 20 0 DCADLN C[C@@H]1CO[C@H](C(F)(F)F)C[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001604818786 1169696689 /nfs/dbraw/zinc/69/66/89/1169696689.db2.gz YZVZSVYPAIKWBK-BDAKNGLRSA-N 0 2 312.288 0.571 20 0 DCADLN C[C@@H]1CO[C@H](C(F)(F)F)C[N@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001604818786 1169696693 /nfs/dbraw/zinc/69/66/93/1169696693.db2.gz YZVZSVYPAIKWBK-BDAKNGLRSA-N 0 2 312.288 0.571 20 0 DCADLN O=C(C[C@H]1CCCO1)NCCNC(=O)C(F)C(F)(F)F ZINC001282924017 953773089 /nfs/dbraw/zinc/77/30/89/953773089.db2.gz XLLHTYSUHZSCKG-VXNVDRBHSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(C[C@H]1CCCO1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001282924017 953773100 /nfs/dbraw/zinc/77/31/00/953773100.db2.gz XLLHTYSUHZSCKG-VXNVDRBHSA-N 0 2 300.252 0.688 20 0 DCADLN CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@](C)(C(=O)[O-])C1 ZINC001593661386 953808375 /nfs/dbraw/zinc/80/83/75/953808375.db2.gz YUSWVLQIHJNIIC-PGUXBMHVSA-N 0 2 323.397 0.337 20 0 DCADLN CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@](C)(C(=O)[O-])C1 ZINC001593661386 953808383 /nfs/dbraw/zinc/80/83/83/953808383.db2.gz YUSWVLQIHJNIIC-PGUXBMHVSA-N 0 2 323.397 0.337 20 0 DCADLN COc1cccnc1C(=O)NCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001283133366 954045042 /nfs/dbraw/zinc/04/50/42/954045042.db2.gz QVYKEFWISBNHDK-UHFFFAOYSA-N 0 2 317.349 0.210 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@@H+]2CCOC(C3CC3)(C3CC3)C2)C1 ZINC001594898904 954598457 /nfs/dbraw/zinc/59/84/57/954598457.db2.gz UTQOODNFZVXANM-LLVKDONJSA-N 0 2 308.378 0.768 20 0 DCADLN O=C([O-])[C@@H]1CC(=O)N(C[N@H+]2CCOC(C3CC3)(C3CC3)C2)C1 ZINC001594898904 954598466 /nfs/dbraw/zinc/59/84/66/954598466.db2.gz UTQOODNFZVXANM-LLVKDONJSA-N 0 2 308.378 0.768 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccc(F)c1 ZINC001349447172 954756761 /nfs/dbraw/zinc/75/67/61/954756761.db2.gz RHOYBIHFGPFIME-CQSZACIVSA-N 0 2 323.368 0.974 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1cccc(F)c1 ZINC001349447172 954756772 /nfs/dbraw/zinc/75/67/72/954756772.db2.gz RHOYBIHFGPFIME-CQSZACIVSA-N 0 2 323.368 0.974 20 0 DCADLN CCC[C@H](OC)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001366802332 954861696 /nfs/dbraw/zinc/86/16/96/954861696.db2.gz SCJSUCVQDSQXKU-TUAOUCFPSA-N 0 2 323.397 0.501 20 0 DCADLN O=C(CC[C@H]1CCCO1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366903720 955027820 /nfs/dbraw/zinc/02/78/20/955027820.db2.gz SNPMVLGTJWTTCH-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@@H]1CC[C@H](CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001366954450 955111328 /nfs/dbraw/zinc/11/13/28/955111328.db2.gz TVDYOJJXIAIUNA-MWLCHTKSSA-N 0 2 309.370 0.158 20 0 DCADLN CC(C)OCCC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367049388 955262218 /nfs/dbraw/zinc/26/22/18/955262218.db2.gz LHVAUUANTYQMPB-NSHDSACASA-N 0 2 311.386 0.358 20 0 DCADLN CC(C)OCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367049388 955262222 /nfs/dbraw/zinc/26/22/22/955262222.db2.gz LHVAUUANTYQMPB-NSHDSACASA-N 0 2 311.386 0.358 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])Cc1c2c(nn1C)CCCC2 ZINC001593841400 955366654 /nfs/dbraw/zinc/36/66/54/955366654.db2.gz XTZAKOTYEHEXKG-UHFFFAOYSA-N 0 2 309.366 0.749 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])Cc1c2c(nn1C)CCCC2 ZINC001593841400 955366669 /nfs/dbraw/zinc/36/66/69/955366669.db2.gz XTZAKOTYEHEXKG-UHFFFAOYSA-N 0 2 309.366 0.749 20 0 DCADLN COC(=O)CC[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C(=O)[O-] ZINC001593843977 955387513 /nfs/dbraw/zinc/38/75/13/955387513.db2.gz GJLGODAVKPWAKQ-BBBLOLIVSA-N 0 2 309.322 0.046 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)C(=O)c1ccccn1 ZINC001351786729 955411437 /nfs/dbraw/zinc/41/14/37/955411437.db2.gz GVDFSVIHEKYOMN-UHFFFAOYSA-N 0 2 315.377 0.934 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(C(=O)c2cn(CC(=O)[O-])nn2)C1 ZINC001594556068 955761212 /nfs/dbraw/zinc/76/12/12/955761212.db2.gz SHGWLQRAOCRUPT-JTQLQIEISA-N 0 2 318.337 0.116 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCC1(C(=O)[O-])CCOCC1 ZINC001594558762 955790411 /nfs/dbraw/zinc/79/04/11/955790411.db2.gz TZLZKLMUKKWWPX-GHMZBOCLSA-N 0 2 307.350 0.521 20 0 DCADLN CCOCC(=O)N(C)C[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001414104933 955823175 /nfs/dbraw/zinc/82/31/75/955823175.db2.gz VQFFGQBVSSHOGE-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N(C)C[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001414104933 955823184 /nfs/dbraw/zinc/82/31/84/955823184.db2.gz VQFFGQBVSSHOGE-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H](NC(=O)Nc1cccnc1C(=O)[O-])[C@H]1CN(C)CC[N@@H+]1C ZINC001589108410 956044496 /nfs/dbraw/zinc/04/44/96/956044496.db2.gz JCGFIOCWUBTVPX-CMPLNLGQSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@H](NC(=O)Nc1cccnc1C(=O)[O-])[C@H]1CN(C)CC[N@H+]1C ZINC001589108410 956044510 /nfs/dbraw/zinc/04/45/10/956044510.db2.gz JCGFIOCWUBTVPX-CMPLNLGQSA-N 0 2 321.381 0.536 20 0 DCADLN CC(C)C(=O)Nc1cccc(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001472099027 956231363 /nfs/dbraw/zinc/23/13/63/956231363.db2.gz OLPABKORPBKSPR-UHFFFAOYSA-N 0 2 324.366 0.605 20 0 DCADLN CCc1ncnc(NCc2nnc(CS(C)(=O)=O)[nH]2)c1F ZINC001472889519 956559755 /nfs/dbraw/zinc/55/97/55/956559755.db2.gz XVQJIADDGBZSJC-UHFFFAOYSA-N 0 2 314.346 0.453 20 0 DCADLN Cc1nc[nH]c(=O)c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001292843478 957170153 /nfs/dbraw/zinc/17/01/53/957170153.db2.gz JWQBXGWPTKDPJH-SSDOTTSWSA-N 0 2 324.234 0.237 20 0 DCADLN Cc1nc[nH]c(=O)c1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001292843478 957170158 /nfs/dbraw/zinc/17/01/58/957170158.db2.gz JWQBXGWPTKDPJH-SSDOTTSWSA-N 0 2 324.234 0.237 20 0 DCADLN O=C([O-])c1ccc(CS(=O)(=O)NCCn2cc[nH+]c2)cc1 ZINC000041119886 957388405 /nfs/dbraw/zinc/38/84/05/957388405.db2.gz ZLPYOSCEZPWFIG-UHFFFAOYSA-N 0 2 309.347 0.701 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@H]1CCn2ccnc21 ZINC001361392012 957920555 /nfs/dbraw/zinc/92/05/55/957920555.db2.gz YEIBNRHMNYKNAS-ZETCQYMHSA-N 0 2 304.272 0.901 20 0 DCADLN Cc1cc(C[NH+]2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)n[nH]1 ZINC001594303096 957929013 /nfs/dbraw/zinc/92/90/13/957929013.db2.gz JWRGSCJBHUNJKI-LLVKDONJSA-N 0 2 306.366 0.616 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)Cc1cn(C)nn1 ZINC001367514642 957942570 /nfs/dbraw/zinc/94/25/70/957942570.db2.gz FBMMKCDJQOSBSU-SECBINFHSA-N 0 2 311.283 0.606 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1cn(C)nn1 ZINC001367514642 957942560 /nfs/dbraw/zinc/94/25/60/957942560.db2.gz FBMMKCDJQOSBSU-SECBINFHSA-N 0 2 311.283 0.606 20 0 DCADLN COc1cc(-c2noc(C[N@@H+](CC(=O)[O-])CC3CC3)n2)ncn1 ZINC001594048410 957955976 /nfs/dbraw/zinc/95/59/76/957955976.db2.gz XEAGRIBNCPFJLI-UHFFFAOYSA-N 0 2 319.321 0.832 20 0 DCADLN COc1cc(-c2noc(C[N@H+](CC(=O)[O-])CC3CC3)n2)ncn1 ZINC001594048410 957955988 /nfs/dbraw/zinc/95/59/88/957955988.db2.gz XEAGRIBNCPFJLI-UHFFFAOYSA-N 0 2 319.321 0.832 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCc1noc2ccccc12 ZINC001361508870 958037553 /nfs/dbraw/zinc/03/75/53/958037553.db2.gz RWQCMKNANNRXMQ-UHFFFAOYSA-N 0 2 324.300 0.529 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1ccncc1F ZINC001361545911 958069886 /nfs/dbraw/zinc/06/98/86/958069886.db2.gz NVFWBICCPJLTJH-UHFFFAOYSA-N 0 2 303.253 0.349 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1coc(C2CC2)n1 ZINC001361859885 958414220 /nfs/dbraw/zinc/41/42/20/958414220.db2.gz NPJTVXCMKJKIPH-UHFFFAOYSA-N 0 2 315.289 0.680 20 0 DCADLN CCc1noc([C@H](C)[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001367731562 958461936 /nfs/dbraw/zinc/46/19/36/958461936.db2.gz SLOOHMPNBLMPRY-CBAPKCEASA-N 0 2 322.369 0.838 20 0 DCADLN CC(C)(C)[S@@](C)(=O)=NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361957970 958541777 /nfs/dbraw/zinc/54/17/77/958541777.db2.gz MWQIUYDBRDLLOA-OAQYLSRUSA-N 0 2 311.367 0.494 20 0 DCADLN O=C(NC/C=C/C1CC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001298323896 958547921 /nfs/dbraw/zinc/54/79/21/958547921.db2.gz WJHQAJYDSQEMKT-OWOJBTEDSA-N 0 2 300.318 0.962 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1CC(F)(F)C1 ZINC001367797568 958598293 /nfs/dbraw/zinc/59/82/93/958598293.db2.gz QKYXASXNBXXKJQ-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1CC(F)(F)C1 ZINC001367797568 958598307 /nfs/dbraw/zinc/59/83/07/958598307.db2.gz QKYXASXNBXXKJQ-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cnoc1C1CC1 ZINC001367844527 958694313 /nfs/dbraw/zinc/69/43/13/958694313.db2.gz HMZRWCCYDLIFTK-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cnoc1C1CC1 ZINC001367844527 958694339 /nfs/dbraw/zinc/69/43/39/958694339.db2.gz HMZRWCCYDLIFTK-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN CCS(=O)(=O)c1ccccc1CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362075676 958695865 /nfs/dbraw/zinc/69/58/65/958695865.db2.gz QLPKLTOCOWWHGE-UHFFFAOYSA-N 0 2 324.362 0.163 20 0 DCADLN CC(=O)NCC[N@H+]([C@H](C)C(=O)[O-])[C@@H]1CCCN(C(C)C)C1=O ZINC001588508204 958747397 /nfs/dbraw/zinc/74/73/97/958747397.db2.gz DUTPRSOUEZYHSX-DGCLKSJQSA-N 0 2 313.398 0.297 20 0 DCADLN CC(=O)NCC[N@@H+]([C@H](C)C(=O)[O-])[C@@H]1CCCN(C(C)C)C1=O ZINC001588508204 958747402 /nfs/dbraw/zinc/74/74/02/958747402.db2.gz DUTPRSOUEZYHSX-DGCLKSJQSA-N 0 2 313.398 0.297 20 0 DCADLN CCc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001367871497 958748426 /nfs/dbraw/zinc/74/84/26/958748426.db2.gz SZZWXVSLRAZICH-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN CCc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001367871497 958748438 /nfs/dbraw/zinc/74/84/38/958748438.db2.gz SZZWXVSLRAZICH-SECBINFHSA-N 0 2 321.385 0.057 20 0 DCADLN O=C([O-])c1ncsc1S(=O)(=O)N[C@@H]1CC[N@@H+](C2CC2)C1 ZINC000134700473 958854276 /nfs/dbraw/zinc/85/42/76/958854276.db2.gz LGQKDCYGZVDXFN-SSDOTTSWSA-N 0 2 317.392 0.356 20 0 DCADLN O=C([O-])c1ncsc1S(=O)(=O)N[C@@H]1CC[N@H+](C2CC2)C1 ZINC000134700473 958854287 /nfs/dbraw/zinc/85/42/87/958854287.db2.gz LGQKDCYGZVDXFN-SSDOTTSWSA-N 0 2 317.392 0.356 20 0 DCADLN CSc1ncc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)c(=O)[nH]1 ZINC001362268133 959036153 /nfs/dbraw/zinc/03/61/53/959036153.db2.gz JRXFMZYBSLZDKA-ZETCQYMHSA-N 0 2 321.366 0.437 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CCn2cccn2)C1 ZINC001368077788 959193910 /nfs/dbraw/zinc/19/39/10/959193910.db2.gz UCDZZODVMRRYRE-SNVBAGLBSA-N 0 2 308.279 0.926 20 0 DCADLN Cc1c(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)cnn1C ZINC001377023847 959336089 /nfs/dbraw/zinc/33/60/89/959336089.db2.gz CVDXRYUGRRVNLJ-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1c(C(=O)NC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F)cnn1C ZINC001377023847 959336104 /nfs/dbraw/zinc/33/61/04/959336104.db2.gz CVDXRYUGRRVNLJ-MUWHJKNJSA-N 0 2 324.278 0.863 20 0 DCADLN COc1cncc(C[NH2+][C@@H](C)CCNC(=O)Cn2cc[nH+]c2)n1 ZINC001377106277 959475762 /nfs/dbraw/zinc/47/57/62/959475762.db2.gz MTMZZBORZNEEHK-LBPRGKRZSA-N 0 2 318.381 0.366 20 0 DCADLN COc1cncc(C[NH2+][C@H](C)CCNC(=O)Cn2cc[nH+]c2)n1 ZINC001377106275 959477411 /nfs/dbraw/zinc/47/74/11/959477411.db2.gz MTMZZBORZNEEHK-GFCCVEGCSA-N 0 2 318.381 0.366 20 0 DCADLN Cc1[nH]ncc1CCCNC(=O)NC1CC[NH+](CC(=O)[O-])CC1 ZINC001594253682 959636688 /nfs/dbraw/zinc/63/66/88/959636688.db2.gz HJYYAUVIXICWLW-UHFFFAOYSA-N 0 2 323.397 0.499 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@@H]1CCCNC(=O)CCc1c[nH]c[nH+]1 ZINC001492154683 959641362 /nfs/dbraw/zinc/64/13/62/959641362.db2.gz RLKNVMOOTWYWGT-ZDUSSCGKSA-N 0 2 307.398 0.188 20 0 DCADLN Cn1cnnc1C[N@@H+]1CCC[C@H]1CCCNC(=O)c1ncn[nH]1 ZINC001492171276 959653560 /nfs/dbraw/zinc/65/35/60/959653560.db2.gz MJZCEOXLXKRHCX-LLVKDONJSA-N 0 2 318.385 0.108 20 0 DCADLN Cn1cnnc1C[N@H+]1CCC[C@H]1CCCNC(=O)c1ncn[nH]1 ZINC001492171276 959653570 /nfs/dbraw/zinc/65/35/70/959653570.db2.gz MJZCEOXLXKRHCX-LLVKDONJSA-N 0 2 318.385 0.108 20 0 DCADLN Cn1cc(C[N@@H+]2CCC[C@H]2CCCNC(=O)c2ncn[nH]2)nn1 ZINC001492171832 959653790 /nfs/dbraw/zinc/65/37/90/959653790.db2.gz QXWPECOFSUUNSP-GFCCVEGCSA-N 0 2 318.385 0.108 20 0 DCADLN COc1nccc(C[N@H+](C)CCNC(=O)C[NH+]2CC[C@@H](C)C2)n1 ZINC001377220443 959718172 /nfs/dbraw/zinc/71/81/72/959718172.db2.gz CHMGLOONHVRGMS-CYBMUJFWSA-N 0 2 321.425 0.375 20 0 DCADLN CCc1ccnc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001492262476 959770466 /nfs/dbraw/zinc/77/04/66/959770466.db2.gz YSZPYVZYMMGBSR-UHFFFAOYSA-N 0 2 304.354 0.330 20 0 DCADLN CCc1ccnc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001492262476 959770477 /nfs/dbraw/zinc/77/04/77/959770477.db2.gz YSZPYVZYMMGBSR-UHFFFAOYSA-N 0 2 304.354 0.330 20 0 DCADLN Cc1ccccc1OCC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377255445 959801103 /nfs/dbraw/zinc/80/11/03/959801103.db2.gz MFYRFLKSZDBNQT-UHFFFAOYSA-N 0 2 319.365 0.446 20 0 DCADLN Cc1ccccc1OCC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377255445 959801115 /nfs/dbraw/zinc/80/11/15/959801115.db2.gz MFYRFLKSZDBNQT-UHFFFAOYSA-N 0 2 319.365 0.446 20 0 DCADLN C[C@@H](CC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001368451125 959881428 /nfs/dbraw/zinc/88/14/28/959881428.db2.gz NWDDHHPRJBPZRP-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN O=C([C@H]1CCNC(=O)CC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001362727494 959898638 /nfs/dbraw/zinc/89/86/38/959898638.db2.gz VBEZEIOJNKEXOW-ZJUUUORDSA-N 0 2 307.354 0.133 20 0 DCADLN CNS(=O)(=O)CC(=O)Nc1nccc(Br)c1O ZINC001362728628 959899609 /nfs/dbraw/zinc/89/96/09/959899609.db2.gz LNMBBUWRTGRFQE-UHFFFAOYSA-N 0 2 324.156 0.037 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)CCS(C)(=O)=O ZINC001377310603 959904445 /nfs/dbraw/zinc/90/44/45/959904445.db2.gz ZHMKYGXYTNYNMW-MRVPVSSYSA-N 0 2 322.324 0.370 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)CCS(C)(=O)=O ZINC001377310603 959904457 /nfs/dbraw/zinc/90/44/57/959904457.db2.gz ZHMKYGXYTNYNMW-MRVPVSSYSA-N 0 2 322.324 0.370 20 0 DCADLN C[C@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001492402309 959923073 /nfs/dbraw/zinc/92/30/73/959923073.db2.gz MMDWMTQLRXOHHI-SSDOTTSWSA-N 0 2 309.292 0.647 20 0 DCADLN C[C@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001492402309 959923092 /nfs/dbraw/zinc/92/30/92/959923092.db2.gz MMDWMTQLRXOHHI-SSDOTTSWSA-N 0 2 309.292 0.647 20 0 DCADLN CC(F)(F)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377406945 960043211 /nfs/dbraw/zinc/04/32/11/960043211.db2.gz CTFAPBRTTJIKDQ-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN CC(F)(F)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001377406945 960043220 /nfs/dbraw/zinc/04/32/20/960043220.db2.gz CTFAPBRTTJIKDQ-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN O=C(CCCn1c(=O)[n-][nH]c1=O)NCc1c[nH+]c2n1CCCC2 ZINC001362874854 960120465 /nfs/dbraw/zinc/12/04/65/960120465.db2.gz DOJRMCRFCWYMMN-UHFFFAOYSA-N 0 2 320.353 0.319 20 0 DCADLN COc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c(Cl)n1 ZINC001306659264 960132139 /nfs/dbraw/zinc/13/21/39/960132139.db2.gz AIVSVULMOVFLLX-UHFFFAOYSA-N 0 2 311.729 0.930 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H]([C@H](CO)C2CC2)C2CC2)S1 ZINC001362895000 960144675 /nfs/dbraw/zinc/14/46/75/960144675.db2.gz SEMLPIGATSNNAU-SCVCMEIPSA-N 0 2 311.407 0.456 20 0 DCADLN O=C(NC[C@H]1CCC=CO1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362919833 960178706 /nfs/dbraw/zinc/17/87/06/960178706.db2.gz AYJGVYYKEURNCZ-GFCCVEGCSA-N 0 2 316.317 0.689 20 0 DCADLN O=C(CCc1nc[nH]n1)NC1CC(C[NH2+]Cc2nncs2)C1 ZINC001368815379 960311650 /nfs/dbraw/zinc/31/16/50/960311650.db2.gz LRPPAJCXPNNLKD-UHFFFAOYSA-N 0 2 321.410 0.273 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)Cc1ccccc1)c1nn(C)cc1O ZINC001363036723 960327474 /nfs/dbraw/zinc/32/74/74/960327474.db2.gz AVMDMUQMMMGAGG-LLVKDONJSA-N 0 2 316.361 0.662 20 0 DCADLN CC[S@](=O)c1ccccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363040042 960331433 /nfs/dbraw/zinc/33/14/33/960331433.db2.gz RYGUSIWYJLVOJD-QFIPXVFZSA-N 0 2 321.358 0.945 20 0 DCADLN CC[S@](=O)c1ccccc1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001363040042 960331445 /nfs/dbraw/zinc/33/14/45/960331445.db2.gz RYGUSIWYJLVOJD-QFIPXVFZSA-N 0 2 321.358 0.945 20 0 DCADLN CCCN(C(=O)c1cnco1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377651218 960389638 /nfs/dbraw/zinc/38/96/38/960389638.db2.gz MNRWRRRGTKNEBI-JTQLQIEISA-N 0 2 320.353 0.625 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)Cc1nnn(C)n1 ZINC001316825894 960397015 /nfs/dbraw/zinc/39/70/15/960397015.db2.gz LUYPJVNIMKKGBU-QMMMGPOBSA-N 0 2 312.271 0.049 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)Cc1nnn(C)n1 ZINC001316825894 960397025 /nfs/dbraw/zinc/39/70/25/960397025.db2.gz LUYPJVNIMKKGBU-QMMMGPOBSA-N 0 2 312.271 0.049 20 0 DCADLN CNC(=O)NCCC(=O)Nc1nccc(Br)c1O ZINC001363172648 960529863 /nfs/dbraw/zinc/52/98/63/960529863.db2.gz NGKWEOCTMFGNRX-UHFFFAOYSA-N 0 2 317.143 0.807 20 0 DCADLN CCOC(=O)c1n[nH]c([C@H](C)NC(=O)Cn2cncc2C)n1 ZINC001363232459 960658334 /nfs/dbraw/zinc/65/83/34/960658334.db2.gz REWDKWXSNTUVFF-VIFPVBQESA-N 0 2 306.326 0.364 20 0 DCADLN C[C@@H](C[NH2+]Cc1cn(-c2ccccc2)nn1)N1CC[NH+](C)CC1 ZINC001363361014 960984013 /nfs/dbraw/zinc/98/40/13/960984013.db2.gz RZJVUJJXBXFXCA-HNNXBMFYSA-N 0 2 314.437 0.993 20 0 DCADLN Cc1noc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001377970274 961092044 /nfs/dbraw/zinc/09/20/44/961092044.db2.gz LXHOFUIHPMAJIR-VIFPVBQESA-N 0 2 310.251 0.826 20 0 DCADLN Cc1noc(CN2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001377970274 961092076 /nfs/dbraw/zinc/09/20/76/961092076.db2.gz LXHOFUIHPMAJIR-VIFPVBQESA-N 0 2 310.251 0.826 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nnn(C)n1 ZINC001378040957 961260725 /nfs/dbraw/zinc/26/07/25/961260725.db2.gz BLTYLSDOYROARD-HTRCEHHLSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1nnn(C)n1 ZINC001378040957 961260744 /nfs/dbraw/zinc/26/07/44/961260744.db2.gz BLTYLSDOYROARD-HTRCEHHLSA-N 0 2 312.271 0.047 20 0 DCADLN NC(=O)[C@@H]1CCO[C@H]1C1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC001549038426 1013241412 /nfs/dbraw/zinc/24/14/12/1013241412.db2.gz VTNWTSXVUHLBHK-OCCSQVGLSA-N 0 2 319.361 0.887 20 0 DCADLN Cc1ncsc1CC[NH+]1CC(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])C1 ZINC001571107282 961300057 /nfs/dbraw/zinc/30/00/57/961300057.db2.gz YBHVVEBVTUPPJV-QWRGUYRKSA-N 0 2 309.391 0.515 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H]1CCC(=O)N1 ZINC001363507547 961306504 /nfs/dbraw/zinc/30/65/04/961306504.db2.gz FFBOMWRGHBQWAB-QWRGUYRKSA-N 0 2 315.333 0.189 20 0 DCADLN COC(=O)[C@H](CC1OCCO1)NC(=O)C(F)C(F)(F)F ZINC001363537180 961390344 /nfs/dbraw/zinc/39/03/44/961390344.db2.gz ZPWJZISMTYMJRV-CAHLUQPWSA-N 0 2 303.208 0.308 20 0 DCADLN COC(=O)[C@H](CC1OCCO1)NC(=O)[C@@H](F)C(F)(F)F ZINC001363537180 961390361 /nfs/dbraw/zinc/39/03/61/961390361.db2.gz ZPWJZISMTYMJRV-CAHLUQPWSA-N 0 2 303.208 0.308 20 0 DCADLN C[C@H]1[C@H](CO)CC[N@@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001571116440 961396813 /nfs/dbraw/zinc/39/68/13/961396813.db2.gz CMMQBVKEWOKFPS-IUCAKERBSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@H]1[C@H](CO)CC[N@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001571116440 961396831 /nfs/dbraw/zinc/39/68/31/961396831.db2.gz CMMQBVKEWOKFPS-IUCAKERBSA-N 0 2 313.379 0.411 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2NC(=O)CO3)S1 ZINC001363541813 961400114 /nfs/dbraw/zinc/40/01/14/961400114.db2.gz YVWFVZHQFZZELN-MRVPVSSYSA-N 0 2 320.330 0.512 20 0 DCADLN C[C@@H](NC(=O)[C@H]1C[C@@H](C)[C@H](C(=O)[O-])O1)[C@@H](C)[NH+]1CCOCC1 ZINC001571124129 961483516 /nfs/dbraw/zinc/48/35/16/961483516.db2.gz ZMOJUPILXSDHRQ-SYLRKERUSA-N 0 2 314.382 0.090 20 0 DCADLN O=C(NC1CN(C(=O)c2cn[nH]c(=O)c2)C1)C(F)C(F)(F)F ZINC001430218714 1013259812 /nfs/dbraw/zinc/25/98/12/1013259812.db2.gz NEHZLSBJPIWJBS-MRVPVSSYSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)c2cn[nH]c(=O)c2)C1)[C@@H](F)C(F)(F)F ZINC001430218714 1013259821 /nfs/dbraw/zinc/25/98/21/1013259821.db2.gz NEHZLSBJPIWJBS-MRVPVSSYSA-N 0 2 322.218 0.023 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1[nH]ncc1C(F)(F)F ZINC001571131024 961545194 /nfs/dbraw/zinc/54/51/94/961545194.db2.gz PMRLYKHABCVHJT-SSDOTTSWSA-N 0 2 317.227 0.577 20 0 DCADLN Cc1ncc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1C ZINC001430224460 1013265074 /nfs/dbraw/zinc/26/50/74/1013265074.db2.gz XLIXGVBEFCDTCD-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ncc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1C ZINC001430224460 1013265082 /nfs/dbraw/zinc/26/50/82/1013265082.db2.gz XLIXGVBEFCDTCD-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ncc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1C ZINC001430224459 1013265672 /nfs/dbraw/zinc/26/56/72/1013265672.db2.gz XLIXGVBEFCDTCD-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ncc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1C ZINC001430224459 1013265683 /nfs/dbraw/zinc/26/56/83/1013265683.db2.gz XLIXGVBEFCDTCD-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c(C)o1 ZINC001363628034 961578085 /nfs/dbraw/zinc/57/80/85/961578085.db2.gz HYLQVUTYTSPZNP-UHFFFAOYSA-N 0 2 312.351 0.489 20 0 DCADLN C[C@H](NC(=O)CNC(=O)c1ccsc1)c1nn(C)cc1O ZINC001363656949 961637643 /nfs/dbraw/zinc/63/76/43/961637643.db2.gz BNTJLISWEUXCFV-QMMMGPOBSA-N 0 2 308.363 0.794 20 0 DCADLN C[C@@H](NC(=O)CNC(=O)c1ccsc1)c1nn(C)cc1O ZINC001363656942 961639441 /nfs/dbraw/zinc/63/94/41/961639441.db2.gz BNTJLISWEUXCFV-MRVPVSSYSA-N 0 2 308.363 0.794 20 0 DCADLN CC(C)[C@@H](CNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)C(=O)[O-] ZINC001588823239 961644850 /nfs/dbraw/zinc/64/48/50/961644850.db2.gz RSPDCJUBEVKFHK-CHWSQXEVSA-N 0 2 313.398 0.459 20 0 DCADLN Cc1cc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)oc1C ZINC001363703324 961725801 /nfs/dbraw/zinc/72/58/01/961725801.db2.gz MGILTALEXYBTNV-UHFFFAOYSA-N 0 2 312.351 0.489 20 0 DCADLN CCCc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001379724030 961854561 /nfs/dbraw/zinc/85/45/61/961854561.db2.gz ZZGRBSHROADBKU-SECBINFHSA-N 0 2 321.385 0.436 20 0 DCADLN CCCc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001379724030 961854570 /nfs/dbraw/zinc/85/45/70/961854570.db2.gz ZZGRBSHROADBKU-SECBINFHSA-N 0 2 321.385 0.436 20 0 DCADLN C[C@H](c1nc(N)nc(Cl)n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363800039 961917181 /nfs/dbraw/zinc/91/71/81/961917181.db2.gz XJTGXZOKVFYCFS-RQJHMYQMSA-N 0 2 324.776 0.872 20 0 DCADLN COc1cnc([C@H]2CCCN2C(=O)Cc2ncn[nH]2)[nH]c1=O ZINC001363889627 962082768 /nfs/dbraw/zinc/08/27/68/962082768.db2.gz WWSMMSOOIVPIJF-MRVPVSSYSA-N 0 2 304.310 0.215 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2nc3cnccc3s2)S1 ZINC001363957411 962189865 /nfs/dbraw/zinc/18/98/65/962189865.db2.gz CDHHBJDAWVRDIR-QMMMGPOBSA-N 0 2 321.387 0.864 20 0 DCADLN Cc1nn[nH]c1C(=O)N(C)C[C@H](C)[NH2+][C@H](C)c1nncn1C ZINC001379193072 962322089 /nfs/dbraw/zinc/32/20/89/962322089.db2.gz BNCAUYDYNLDSJJ-WCBMZHEXSA-N 0 2 306.374 0.053 20 0 DCADLN CCc1nc(S(=O)(=O)Nc2nnn(C)n2)cn1CC1CC1 ZINC001364042027 962350549 /nfs/dbraw/zinc/35/05/49/962350549.db2.gz QBSZDFZHALGEKW-UHFFFAOYSA-N 0 2 311.371 0.180 20 0 DCADLN COCC[N@H+](CCC(=O)[O-])[C@H](C)c1nc(N)nc(Cl)n1 ZINC001574156972 962685369 /nfs/dbraw/zinc/68/53/69/962685369.db2.gz ZPQXNNRFHLQLRW-SSDOTTSWSA-N 0 2 303.750 0.591 20 0 DCADLN COCC[N@@H+](CCC(=O)[O-])[C@H](C)c1nc(N)nc(Cl)n1 ZINC001574156972 962685378 /nfs/dbraw/zinc/68/53/78/962685378.db2.gz ZPQXNNRFHLQLRW-SSDOTTSWSA-N 0 2 303.750 0.591 20 0 DCADLN CN(CCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ncccc1O ZINC001380952584 963715620 /nfs/dbraw/zinc/71/56/20/963715620.db2.gz JUOYUMDQUYGHQD-UHFFFAOYSA-N 0 2 320.353 0.205 20 0 DCADLN CN(CCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ncccc1O ZINC001380952584 963715622 /nfs/dbraw/zinc/71/56/22/963715622.db2.gz JUOYUMDQUYGHQD-UHFFFAOYSA-N 0 2 320.353 0.205 20 0 DCADLN CC(C)C(=O)NC1(CCO)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001380958316 963721982 /nfs/dbraw/zinc/72/19/82/963721982.db2.gz HSUHIBKNHYWVTE-QMMMGPOBSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)NC1(CCO)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001380958316 963721988 /nfs/dbraw/zinc/72/19/88/963721988.db2.gz HSUHIBKNHYWVTE-QMMMGPOBSA-N 0 2 314.279 0.622 20 0 DCADLN Cc1ccncc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001381079587 963799338 /nfs/dbraw/zinc/79/93/38/963799338.db2.gz PMEMLYHUQNGHSF-UHFFFAOYSA-N 0 2 302.338 0.172 20 0 DCADLN COc1ccccc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375151486 964061474 /nfs/dbraw/zinc/06/14/74/964061474.db2.gz TYUOKWJSEDIYPN-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN COc1ccccc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001375151486 964061488 /nfs/dbraw/zinc/06/14/88/964061488.db2.gz TYUOKWJSEDIYPN-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN CCC[N@H+](C)CC(=O)N1C[C@H]2CCC[C@@H](C1)[NH+]2[C@@H](CC)C(N)=O ZINC001375622425 964621042 /nfs/dbraw/zinc/62/10/42/964621042.db2.gz KJTZDQOAXUQKGA-ZNMIVQPWSA-N 0 2 324.469 0.657 20 0 DCADLN CO[C@@H]1CC[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001369462768 965022639 /nfs/dbraw/zinc/02/26/39/965022639.db2.gz DHMGKAGCBDQTLD-GMTAPVOTSA-N 0 2 309.370 0.967 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cccs1 ZINC001374458030 965411735 /nfs/dbraw/zinc/41/17/35/965411735.db2.gz GCHRAKOKNFGCKS-UHFFFAOYSA-N 0 2 309.395 0.705 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cccs1 ZINC001374458030 965411740 /nfs/dbraw/zinc/41/17/40/965411740.db2.gz GCHRAKOKNFGCKS-UHFFFAOYSA-N 0 2 309.395 0.705 20 0 DCADLN CCc1ccnc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001374497890 965452451 /nfs/dbraw/zinc/45/24/51/965452451.db2.gz OIEACACRZSVYRM-UHFFFAOYSA-N 0 2 318.381 0.672 20 0 DCADLN CCc1ccnc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001374497890 965452463 /nfs/dbraw/zinc/45/24/63/965452463.db2.gz OIEACACRZSVYRM-UHFFFAOYSA-N 0 2 318.381 0.672 20 0 DCADLN O=C(CC[C@@H]1CCOC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369966246 965622314 /nfs/dbraw/zinc/62/23/14/965622314.db2.gz GDYRBVSXMSUKQN-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN O=C(Cc1ccon1)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001374847280 966025228 /nfs/dbraw/zinc/02/52/28/966025228.db2.gz OEFAPBCUHHYNNZ-SNVBAGLBSA-N 0 2 323.246 0.692 20 0 DCADLN O=C(Cc1ccon1)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374847280 966025242 /nfs/dbraw/zinc/02/52/42/966025242.db2.gz OEFAPBCUHHYNNZ-SNVBAGLBSA-N 0 2 323.246 0.692 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001370644583 966323460 /nfs/dbraw/zinc/32/34/60/966323460.db2.gz QILCGERRGRMOGC-UWVGGRQHSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccccc1 ZINC001370644583 966323469 /nfs/dbraw/zinc/32/34/69/966323469.db2.gz QILCGERRGRMOGC-UWVGGRQHSA-N 0 2 322.258 0.794 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001375119353 966376711 /nfs/dbraw/zinc/37/67/11/966376711.db2.gz BTZBHHMNDDTKRS-ADEWGFFLSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001375119353 966376724 /nfs/dbraw/zinc/37/67/24/966376724.db2.gz BTZBHHMNDDTKRS-ADEWGFFLSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1ncsc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381489627 966455005 /nfs/dbraw/zinc/45/50/05/966455005.db2.gz ISQYLACEQFOTJR-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1ncsc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381489627 966455010 /nfs/dbraw/zinc/45/50/10/966455010.db2.gz ISQYLACEQFOTJR-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN O=C(NC[C@@H]1CCCCCN1Cc1n[nH]c(=O)[nH]1)C(F)F ZINC001381754931 966662138 /nfs/dbraw/zinc/66/21/38/966662138.db2.gz DOVMXFUIWDAEMP-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001371592239 967153152 /nfs/dbraw/zinc/15/31/52/967153152.db2.gz LQSFRQFVKKEFSS-IONNQARKSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1ccn[nH]1)C(=O)C(F)C(F)(F)F ZINC001371592239 967153160 /nfs/dbraw/zinc/15/31/60/967153160.db2.gz LQSFRQFVKKEFSS-IONNQARKSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001448042535 1013736258 /nfs/dbraw/zinc/73/62/58/1013736258.db2.gz LQDKECRWWDELCM-VIFPVBQESA-N 0 2 319.369 0.167 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001448042535 1013736269 /nfs/dbraw/zinc/73/62/69/1013736269.db2.gz LQDKECRWWDELCM-VIFPVBQESA-N 0 2 319.369 0.167 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(C)C)C(=O)C(F)C(F)(F)F ZINC001383002039 967844167 /nfs/dbraw/zinc/84/41/67/967844167.db2.gz DKHNLWFHOJRFDH-HTQZYQBOSA-N 0 2 316.295 0.868 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(C)C)C(=O)[C@@H](F)C(F)(F)F ZINC001383002039 967844176 /nfs/dbraw/zinc/84/41/76/967844176.db2.gz DKHNLWFHOJRFDH-HTQZYQBOSA-N 0 2 316.295 0.868 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ccc(C)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001372358511 967925771 /nfs/dbraw/zinc/92/57/71/967925771.db2.gz JPHMDVUIODXJOJ-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ccc(C)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001372358511 967925778 /nfs/dbraw/zinc/92/57/78/967925778.db2.gz JPHMDVUIODXJOJ-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN CC[N@H+](CCNC(=O)c1nc[nH]n1)[C@H]1CCN(C(C)(C)C)C1=O ZINC001372436191 967989828 /nfs/dbraw/zinc/98/98/28/967989828.db2.gz VIDKNEUHWMGWIR-NSHDSACASA-N 0 2 322.413 0.256 20 0 DCADLN CC[N@@H+](CCNC(=O)c1nc[nH]n1)[C@H]1CCN(C(C)(C)C)C1=O ZINC001372436191 967989832 /nfs/dbraw/zinc/98/98/32/967989832.db2.gz VIDKNEUHWMGWIR-NSHDSACASA-N 0 2 322.413 0.256 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1C[NH+](CC)CCO1)Cc1cnn(C)c1 ZINC001372476773 968020620 /nfs/dbraw/zinc/02/06/20/968020620.db2.gz RZPGMUDUMRLNFH-HNNXBMFYSA-N 0 2 323.441 0.079 20 0 DCADLN NC(=O)CC1CC(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001372525727 968084558 /nfs/dbraw/zinc/08/45/58/968084558.db2.gz BPTMVKUMHYVNQX-KYHHOPLUSA-N 0 2 322.369 0.053 20 0 DCADLN Cn1nnnc1CN1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001372619958 968184329 /nfs/dbraw/zinc/18/43/29/968184329.db2.gz XYURARJZWPBCHV-VXNVDRBHSA-N 0 2 324.282 0.049 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)NC(=O)C[N@@H+]2CCC[C@@H]2C)c1[O-] ZINC001372819918 968420344 /nfs/dbraw/zinc/42/03/44/968420344.db2.gz KZTDPKCJHDUPJP-UWVGGRQHSA-N 0 2 323.397 0.143 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)NC(=O)C[N@H+]2CCC[C@@H]2C)c1[O-] ZINC001372819918 968420346 /nfs/dbraw/zinc/42/03/46/968420346.db2.gz KZTDPKCJHDUPJP-UWVGGRQHSA-N 0 2 323.397 0.143 20 0 DCADLN CC(C)C(=O)N[C@@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001373114232 968724403 /nfs/dbraw/zinc/72/44/03/968724403.db2.gz NXNPNPPGNSAHCL-JTQLQIEISA-N 0 2 318.381 0.701 20 0 DCADLN C[C@@H](O)C(=O)N1CC[NH+](CCOc2ccccc2C(=O)[O-])CC1 ZINC001609788354 970526694 /nfs/dbraw/zinc/52/66/94/970526694.db2.gz HZVQIZXQGGLUPV-GFCCVEGCSA-N 0 2 322.361 0.289 20 0 DCADLN Cc1nnc(C[NH2+][C@H](C)CN(C)C(=O)CCc2cn[nH]n2)s1 ZINC001448732725 1014014694 /nfs/dbraw/zinc/01/46/94/1014014694.db2.gz ZEKJOIRCTQCSIY-SECBINFHSA-N 0 2 323.426 0.534 20 0 DCADLN NC(=O)Nc1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000320412372 970661041 /nfs/dbraw/zinc/66/10/41/970661041.db2.gz BFBCPYPVSVBQPH-NSHDSACASA-N 0 2 317.305 0.326 20 0 DCADLN NC(=O)Nc1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000320412372 970661050 /nfs/dbraw/zinc/66/10/50/970661050.db2.gz BFBCPYPVSVBQPH-NSHDSACASA-N 0 2 317.305 0.326 20 0 DCADLN O=C([O-])/C=C/c1cc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)co1 ZINC001606063951 973195512 /nfs/dbraw/zinc/19/55/12/973195512.db2.gz VRGGXXSAGYLZAX-AORQRIRUSA-N 0 2 316.317 0.887 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001448943897 1014103587 /nfs/dbraw/zinc/10/35/87/1014103587.db2.gz QABGFCBKLIQFOV-UHFFFAOYSA-N 0 2 309.414 0.257 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001605522218 973704774 /nfs/dbraw/zinc/70/47/74/973704774.db2.gz AYKHZMJHYATAPW-UHFFFAOYSA-N 0 2 319.321 0.416 20 0 DCADLN CCOC1CC(CNC(=O)[C@@H](C)C(=O)[O-])([NH+]2CCOCC2)C1 ZINC001603825692 974320964 /nfs/dbraw/zinc/32/09/64/974320964.db2.gz JSTWZYUOYIUAMH-XIKARTHZSA-N 0 2 314.382 0.093 20 0 DCADLN CN(CCNC(=O)C1=CCOCC1)C(=O)C(F)C(F)(F)F ZINC001528063821 1014189108 /nfs/dbraw/zinc/18/91/08/1014189108.db2.gz LYGIMFKWFUVFPC-SECBINFHSA-N 0 2 312.263 0.808 20 0 DCADLN CN(CCNC(=O)C1=CCOCC1)C(=O)[C@@H](F)C(F)(F)F ZINC001528063821 1014189118 /nfs/dbraw/zinc/18/91/18/1014189118.db2.gz LYGIMFKWFUVFPC-SECBINFHSA-N 0 2 312.263 0.808 20 0 DCADLN CCc1c[nH+]c(CNC(=O)c2cccn(CC(=O)[O-])c2=O)[nH]1 ZINC001603968095 974447622 /nfs/dbraw/zinc/44/76/22/974447622.db2.gz QLOWHLPMNXBWGR-UHFFFAOYSA-N 0 2 304.306 0.148 20 0 DCADLN CCc1nnc(C2CC[NH+]([C@@H]3CCN(CC(=O)[O-])C3=O)CC2)[nH]1 ZINC001603984108 974487269 /nfs/dbraw/zinc/48/72/69/974487269.db2.gz VWXGFIBMMJKWIO-LLVKDONJSA-N 0 2 321.381 0.232 20 0 DCADLN CCc1nc(C2CC[NH+]([C@@H]3CCN(CC(=O)[O-])C3=O)CC2)n[nH]1 ZINC001603984108 974487275 /nfs/dbraw/zinc/48/72/75/974487275.db2.gz VWXGFIBMMJKWIO-LLVKDONJSA-N 0 2 321.381 0.232 20 0 DCADLN CCc1n[nH]c(C2CC[NH+]([C@@H]3CCN(CC(=O)[O-])C3=O)CC2)n1 ZINC001603984108 974487278 /nfs/dbraw/zinc/48/72/78/974487278.db2.gz VWXGFIBMMJKWIO-LLVKDONJSA-N 0 2 321.381 0.232 20 0 DCADLN CC(C)[C@@H]([NH2+]CCC(=O)NCC(=O)[O-])C(=O)OC(C)(C)C ZINC001591843955 976118183 /nfs/dbraw/zinc/11/81/83/976118183.db2.gz PFJNOZATZIWRJD-GFCCVEGCSA-N 0 2 302.371 0.533 20 0 DCADLN O=C(O[C@H]1CNOC1)c1nn(-c2ccc(F)cc2F)cc1O ZINC001449214194 1014315520 /nfs/dbraw/zinc/31/55/20/1014315520.db2.gz YVIJJEBLVWKBFD-QMMMGPOBSA-N 0 2 311.244 0.916 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H](c2nc(C3CC3)no2)O1 ZINC001549606245 1014342715 /nfs/dbraw/zinc/34/27/15/1014342715.db2.gz YFAUTQMUASSZQO-APPZFPTMSA-N 0 2 306.326 0.733 20 0 DCADLN C[C@@H](CC(=O)N1CCC[C@@H]1C(=O)NCC(=O)[O-])n1cc[nH+]c1 ZINC001592233579 977433210 /nfs/dbraw/zinc/43/32/10/977433210.db2.gz IXDPOBUPMMVBFR-WDEREUQCSA-N 0 2 308.338 0.026 20 0 DCADLN C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(C(=O)[O-])c(F)c1 ZINC000710143001 977486499 /nfs/dbraw/zinc/48/64/99/977486499.db2.gz IWZVBZVSMUJVBS-QMMMGPOBSA-N 0 2 302.327 0.506 20 0 DCADLN C[N@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(C(=O)[O-])c(F)c1 ZINC000710143001 977486502 /nfs/dbraw/zinc/48/65/02/977486502.db2.gz IWZVBZVSMUJVBS-QMMMGPOBSA-N 0 2 302.327 0.506 20 0 DCADLN C[C@@H](CC(=O)[O-])C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC001592341962 977793655 /nfs/dbraw/zinc/79/36/55/977793655.db2.gz LDKWQFJLZMSFFF-QWHCGFSZSA-N 0 2 314.382 0.047 20 0 DCADLN C[C@@H](CNS(=O)(=O)c1cncc(C(=O)[O-])c1)Cn1cc[nH+]c1 ZINC001592721812 979221251 /nfs/dbraw/zinc/22/12/51/979221251.db2.gz GFBDHDYLYNRANJ-JTQLQIEISA-N 0 2 324.362 0.591 20 0 DCADLN C[C@](CO)([NH2+]CC(=O)N1CCC(c2ccccc2)=N1)C(=O)[O-] ZINC001592748123 979295281 /nfs/dbraw/zinc/29/52/81/979295281.db2.gz CGCWCNROBGVTDO-OAHLLOKOSA-N 0 2 305.334 0.048 20 0 DCADLN CC[C@@H](COC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(=O)[O-] ZINC001595181600 979798907 /nfs/dbraw/zinc/79/89/07/979798907.db2.gz FXWMCTCDJZJKNT-XQQFMLRXSA-N 0 2 315.366 0.520 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)CC1(C(=O)[O-])CCC1 ZINC001592931335 979981690 /nfs/dbraw/zinc/98/16/90/979981690.db2.gz UYGJLNJIPYXSHW-JTQLQIEISA-N 0 2 301.368 0.446 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001593004020 980220551 /nfs/dbraw/zinc/22/05/51/980220551.db2.gz XSEZBHXCGQRUED-CRWXNKLISA-N 0 2 311.426 0.628 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1)[C@@H]1CN(C)CC[N@H+]1C ZINC001593004020 980220553 /nfs/dbraw/zinc/22/05/53/980220553.db2.gz XSEZBHXCGQRUED-CRWXNKLISA-N 0 2 311.426 0.628 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@@H]1C(=O)N1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001550347040 1014674768 /nfs/dbraw/zinc/67/47/68/1014674768.db2.gz VQWCZVMGNCAHJJ-DDIVZENXSA-N 0 2 305.334 0.083 20 0 DCADLN CO[C@@H]1C[C@@H]1C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001550606661 1014775362 /nfs/dbraw/zinc/77/53/62/1014775362.db2.gz AUPRUCRRPCCQOU-SDDRHHMPSA-N 0 2 302.334 0.945 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001594887658 982273942 /nfs/dbraw/zinc/27/39/42/982273942.db2.gz SIWYEWLUSBFVJZ-YGRLFVJLSA-N 0 2 309.366 0.835 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+](C)Cc1cccc(=O)[nH]1)C(=O)[O-] ZINC001594887658 982273944 /nfs/dbraw/zinc/27/39/44/982273944.db2.gz SIWYEWLUSBFVJZ-YGRLFVJLSA-N 0 2 309.366 0.835 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001525011095 1015088991 /nfs/dbraw/zinc/08/89/91/1015088991.db2.gz BZMHOOREYUNVNM-ACZMJKKPSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001525011095 1015089004 /nfs/dbraw/zinc/08/90/04/1015089004.db2.gz BZMHOOREYUNVNM-ACZMJKKPSA-N 0 2 308.235 0.687 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001525045664 1015094140 /nfs/dbraw/zinc/09/41/40/1015094140.db2.gz UVACRYAUAABOSB-ZXFLCMHBSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001525045664 1015094147 /nfs/dbraw/zinc/09/41/47/1015094147.db2.gz UVACRYAUAABOSB-ZXFLCMHBSA-N 0 2 322.262 0.698 20 0 DCADLN Cc1ccnn1CC(=O)N(C)C[C@@H]1OCC[C@H]1c1n[nH]c(C)n1 ZINC001551461932 1015096095 /nfs/dbraw/zinc/09/60/95/1015096095.db2.gz SVLLXTGMQUAIJL-OLZOCXBDSA-N 0 2 318.381 0.649 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@]23C[C@H]2COC3)C1)C(F)C(F)(F)F ZINC001525070934 1015097665 /nfs/dbraw/zinc/09/76/65/1015097665.db2.gz CLQXBCHBFJBXPQ-YDPONJNUSA-N 0 2 324.274 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@]23C[C@H]2COC3)C1)[C@H](F)C(F)(F)F ZINC001525070934 1015097678 /nfs/dbraw/zinc/09/76/78/1015097678.db2.gz CLQXBCHBFJBXPQ-YDPONJNUSA-N 0 2 324.274 0.687 20 0 DCADLN COCC(=O)NCCC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001383849287 985136888 /nfs/dbraw/zinc/13/68/88/985136888.db2.gz LXHFJTMNLYHJQX-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN COCC(=O)NCCC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001383849287 985136890 /nfs/dbraw/zinc/13/68/90/985136890.db2.gz LXHFJTMNLYHJQX-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN CSCCC(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001383992260 985258595 /nfs/dbraw/zinc/25/85/95/985258595.db2.gz GLOPIZXDIQVAFR-SVRRBLITSA-N 0 2 320.308 0.233 20 0 DCADLN CSCCC(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001383992260 985258602 /nfs/dbraw/zinc/25/86/02/985258602.db2.gz GLOPIZXDIQVAFR-SVRRBLITSA-N 0 2 320.308 0.233 20 0 DCADLN CCOC(C[N@@H+]1CC[C@@H](C(=O)OC)C[C@@H]1C(=O)[O-])OCC ZINC001596792700 985285959 /nfs/dbraw/zinc/28/59/59/985285959.db2.gz XOASPMYPRBTHJO-GHMZBOCLSA-N 0 2 303.355 0.724 20 0 DCADLN CCOC(C[N@H+]1CC[C@@H](C(=O)OC)C[C@@H]1C(=O)[O-])OCC ZINC001596792700 985285967 /nfs/dbraw/zinc/28/59/67/985285967.db2.gz XOASPMYPRBTHJO-GHMZBOCLSA-N 0 2 303.355 0.724 20 0 DCADLN CC(=O)N1CCC(N(CCO)C(=O)C(F)C(F)(F)F)CC1 ZINC001384768987 985781998 /nfs/dbraw/zinc/78/19/98/985781998.db2.gz HOTSBDSCSDRZEB-SNVBAGLBSA-N 0 2 314.279 0.719 20 0 DCADLN CC(=O)N1CCC(N(CCO)C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001384768987 985782002 /nfs/dbraw/zinc/78/20/02/985782002.db2.gz HOTSBDSCSDRZEB-SNVBAGLBSA-N 0 2 314.279 0.719 20 0 DCADLN CCn1ncn(NC(=O)c2ccc(Cn3cccn3)o2)c1=O ZINC001450470808 1015160671 /nfs/dbraw/zinc/16/06/71/1015160671.db2.gz UGXIZXFYCUFINF-UHFFFAOYSA-N 0 2 302.294 0.286 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2ccnn2C)n[nH]1 ZINC001551667315 1015171028 /nfs/dbraw/zinc/17/10/28/1015171028.db2.gz PJMMUNXZXKDKOG-ZYHUDNBSSA-N 0 2 304.354 0.491 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)Cn2cccn2)n[nH]1 ZINC001551668776 1015171359 /nfs/dbraw/zinc/17/13/59/1015171359.db2.gz RPJLPEFJWUIVTQ-VXGBXAGGSA-N 0 2 304.354 0.341 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)CC[C@H]1C(=O)[O-] ZINC001594526914 986062708 /nfs/dbraw/zinc/06/27/08/986062708.db2.gz GERJPQIVNUUPCC-RWMBFGLXSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)CC[C@H]1C(=O)[O-] ZINC001594526914 986062705 /nfs/dbraw/zinc/06/27/05/986062705.db2.gz GERJPQIVNUUPCC-RWMBFGLXSA-N 0 2 313.398 0.459 20 0 DCADLN CC(=O)c1ncc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])s1 ZINC001589323013 986140421 /nfs/dbraw/zinc/14/04/21/986140421.db2.gz QFULJJHEMIJEPF-MRVPVSSYSA-N 0 2 308.319 0.425 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385577128 986443368 /nfs/dbraw/zinc/44/33/68/986443368.db2.gz DMPFSAKOQFBMPJ-WPRPVWTQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001385577128 986443372 /nfs/dbraw/zinc/44/33/72/986443372.db2.gz DMPFSAKOQFBMPJ-WPRPVWTQSA-N 0 2 322.262 0.501 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001385849860 986632361 /nfs/dbraw/zinc/63/23/61/986632361.db2.gz WVOSYCIKXYCYIW-BIIVOSGPSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385849860 986632366 /nfs/dbraw/zinc/63/23/66/986632366.db2.gz WVOSYCIKXYCYIW-BIIVOSGPSA-N 0 2 300.252 0.639 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001386415409 987135186 /nfs/dbraw/zinc/13/51/86/987135186.db2.gz CAFVNLFDPCWZBN-TVYUQYBPSA-N 0 2 304.394 0.928 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001387049727 987594402 /nfs/dbraw/zinc/59/44/02/987594402.db2.gz USBGMXAVEXBIQX-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001387049727 987594404 /nfs/dbraw/zinc/59/44/04/987594404.db2.gz USBGMXAVEXBIQX-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CC(C)(C(N)=O)[NH+]1CCN(Cc2cccc(C(=O)[O-])n2)CC1 ZINC001589682082 987717648 /nfs/dbraw/zinc/71/76/48/987717648.db2.gz PDTVGNWZBDNMMR-UHFFFAOYSA-N 0 2 306.366 0.161 20 0 DCADLN COCC1(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC001387765603 988131103 /nfs/dbraw/zinc/13/11/03/988131103.db2.gz KRZXNISHZPQYHT-QMMMGPOBSA-N 0 2 312.263 0.640 20 0 DCADLN COCC1(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)CC1 ZINC001387765603 988131109 /nfs/dbraw/zinc/13/11/09/988131109.db2.gz KRZXNISHZPQYHT-QMMMGPOBSA-N 0 2 312.263 0.640 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@]12C[C@H]1COC2 ZINC001387792398 988156338 /nfs/dbraw/zinc/15/63/38/988156338.db2.gz CVCOGHCVXJFMTQ-RNSXUZJQSA-N 0 2 312.263 0.498 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@]12C[C@H]1COC2 ZINC001387792398 988156344 /nfs/dbraw/zinc/15/63/44/988156344.db2.gz CVCOGHCVXJFMTQ-RNSXUZJQSA-N 0 2 312.263 0.498 20 0 DCADLN Cn1cc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001387877384 988245236 /nfs/dbraw/zinc/24/52/36/988245236.db2.gz JEMQZJODETYYEL-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN Cn1cc(C(=O)N2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001387877384 988245244 /nfs/dbraw/zinc/24/52/44/988245244.db2.gz JEMQZJODETYYEL-SECBINFHSA-N 0 2 322.262 0.509 20 0 DCADLN CSc1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])ccn1 ZINC001599806846 988269734 /nfs/dbraw/zinc/26/97/34/988269734.db2.gz WLXBPDOXMVLPMP-SNVBAGLBSA-N 0 2 306.347 0.883 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CC[N@H+](CN2C[C@@H](C(=O)[O-])OC2=O)C1 ZINC001589860535 988431524 /nfs/dbraw/zinc/43/15/24/988431524.db2.gz UTLJSYZNXKJMIH-ZJUUUORDSA-N 0 2 314.338 0.513 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CC[N@@H+](CN2C[C@@H](C(=O)[O-])OC2=O)C1 ZINC001589860535 988431527 /nfs/dbraw/zinc/43/15/27/988431527.db2.gz UTLJSYZNXKJMIH-ZJUUUORDSA-N 0 2 314.338 0.513 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ccnn1C ZINC001388977631 988708492 /nfs/dbraw/zinc/70/84/92/988708492.db2.gz FMBMVQZNHUVAFC-VIFPVBQESA-N 0 2 324.278 0.851 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1ccnn1C ZINC001388977631 988708501 /nfs/dbraw/zinc/70/85/01/988708501.db2.gz FMBMVQZNHUVAFC-VIFPVBQESA-N 0 2 324.278 0.851 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCN(C)C(=O)C(F)C(F)(F)F ZINC001388989509 988719879 /nfs/dbraw/zinc/71/98/79/988719879.db2.gz MTZPXCKXMAOHMS-RKDXNWHRSA-N 0 2 316.295 0.821 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001388989509 988719883 /nfs/dbraw/zinc/71/98/83/988719883.db2.gz MTZPXCKXMAOHMS-RKDXNWHRSA-N 0 2 316.295 0.821 20 0 DCADLN C[C@@H](OCc1cn(Cc2cc[nH+]c(N(C)C)c2)nn1)C(=O)[O-] ZINC001599847679 988960868 /nfs/dbraw/zinc/96/08/68/988960868.db2.gz XGUCLOKBMAJKMY-SNVBAGLBSA-N 0 2 305.338 0.777 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)ncn1 ZINC001389380171 989180228 /nfs/dbraw/zinc/18/02/28/989180228.db2.gz FUBDPCHDLFUYLC-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001389380171 989180237 /nfs/dbraw/zinc/18/02/37/989180237.db2.gz FUBDPCHDLFUYLC-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001451066689 1015570566 /nfs/dbraw/zinc/57/05/66/1015570566.db2.gz NXYDPTWQFYCZND-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001451066689 1015570573 /nfs/dbraw/zinc/57/05/73/1015570573.db2.gz NXYDPTWQFYCZND-SVRRBLITSA-N 0 2 310.251 0.887 20 0 DCADLN CCCN(CCNC(=O)c1cnn[nH]1)Cc1nc(C(C)C)no1 ZINC001391121616 990604173 /nfs/dbraw/zinc/60/41/73/990604173.db2.gz CMXWWKAMHUFWAG-UHFFFAOYSA-N 0 2 321.385 0.953 20 0 DCADLN CCOCC(=O)NC[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001391163374 990632883 /nfs/dbraw/zinc/63/28/83/990632883.db2.gz OQYBSQGCSPHIKC-VXNVDRBHSA-N 0 2 302.268 0.792 20 0 DCADLN CCOCC(=O)NC[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001391163374 990632887 /nfs/dbraw/zinc/63/28/87/990632887.db2.gz OQYBSQGCSPHIKC-VXNVDRBHSA-N 0 2 302.268 0.792 20 0 DCADLN CC(C)(C)CC(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001391405173 990807734 /nfs/dbraw/zinc/80/77/34/990807734.db2.gz HZFWWROFZASMGB-UHFFFAOYSA-N 0 2 317.393 0.759 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CCC1)C(F)C(F)(F)F ZINC001391977414 991353611 /nfs/dbraw/zinc/35/36/11/991353611.db2.gz HXYBZJVYWXFDPQ-YUMQZZPRSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CCC1)[C@H](F)C(F)(F)F ZINC001391977414 991353613 /nfs/dbraw/zinc/35/36/13/991353613.db2.gz HXYBZJVYWXFDPQ-YUMQZZPRSA-N 0 2 300.252 0.280 20 0 DCADLN COc1ccccc1OCC(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001599714260 991425389 /nfs/dbraw/zinc/42/53/89/991425389.db2.gz BCHLOMNRCPWIFN-NSHDSACASA-N 0 2 319.317 0.540 20 0 DCADLN CC(C)C[C@H](CNS(=O)(=O)CCn1cc[nH+]c1)C(=O)[O-] ZINC001591162796 991926794 /nfs/dbraw/zinc/92/67/94/991926794.db2.gz LFSOYUVCVZLSHG-LLVKDONJSA-N 0 2 303.384 0.549 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001392525679 992046257 /nfs/dbraw/zinc/04/62/57/992046257.db2.gz QRLBSZLXPKXYCV-FBCQKBJTSA-N 0 2 311.239 0.248 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001392525679 992046269 /nfs/dbraw/zinc/04/62/69/992046269.db2.gz QRLBSZLXPKXYCV-FBCQKBJTSA-N 0 2 311.239 0.248 20 0 DCADLN CC(C)CCn1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])nn1 ZINC001591274348 992193305 /nfs/dbraw/zinc/19/33/05/992193305.db2.gz IDXYANJNBRSMQI-GFCCVEGCSA-N 0 2 320.353 0.404 20 0 DCADLN C[S@@](=O)CC[NH+]1CCN(c2ccc(C(=O)[O-])s2)CC1 ZINC001599777880 992327634 /nfs/dbraw/zinc/32/76/34/992327634.db2.gz ZVTASQYGKOZSKP-LJQANCHMSA-N 0 2 302.421 0.947 20 0 DCADLN O=C(COc1ccccc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001392863356 992472649 /nfs/dbraw/zinc/47/26/49/992472649.db2.gz DBPPBHNSGMEICV-LLVKDONJSA-N 0 2 317.349 0.280 20 0 DCADLN CC(C)Cc1noc(C[NH2+]CCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001591497837 992635077 /nfs/dbraw/zinc/63/50/77/992635077.db2.gz KWUPOMVGTUQZDK-WDEREUQCSA-N 0 2 324.381 0.537 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2sccc2-n2cnnn2)C[C@@H]1C(=O)[O-] ZINC001598574315 993250290 /nfs/dbraw/zinc/25/02/90/993250290.db2.gz JZNBJOLDIHYORW-IONNQARKSA-N 0 2 323.334 0.038 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2sccc2-n2cnnn2)C[C@@H]1C(=O)[O-] ZINC001598574315 993250295 /nfs/dbraw/zinc/25/02/95/993250295.db2.gz JZNBJOLDIHYORW-IONNQARKSA-N 0 2 323.334 0.038 20 0 DCADLN Cc1cc(F)ccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492825148 993323681 /nfs/dbraw/zinc/32/36/81/993323681.db2.gz OASCVQANRAFMHL-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1cc(F)ccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001492825148 993323688 /nfs/dbraw/zinc/32/36/88/993323688.db2.gz OASCVQANRAFMHL-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN COc1cccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001394127361 993499129 /nfs/dbraw/zinc/49/91/29/993499129.db2.gz RNRCFUFNNKYVGY-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN COc1cccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001394127361 993499133 /nfs/dbraw/zinc/49/91/33/993499133.db2.gz RNRCFUFNNKYVGY-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN C[C@@H](C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccs1 ZINC001399579379 993582282 /nfs/dbraw/zinc/58/22/82/993582282.db2.gz MZKGTRNFIPGPCS-SECBINFHSA-N 0 2 309.395 0.924 20 0 DCADLN C[C@@H](C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccs1 ZINC001399579379 993582291 /nfs/dbraw/zinc/58/22/91/993582291.db2.gz MZKGTRNFIPGPCS-SECBINFHSA-N 0 2 309.395 0.924 20 0 DCADLN CC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1cc(C)n(C)n1 ZINC001399700375 993683282 /nfs/dbraw/zinc/68/32/82/993683282.db2.gz SOXLMBTYGHELGA-UHFFFAOYSA-N 0 2 320.397 0.718 20 0 DCADLN CC[N@@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1cc(C)n(C)n1 ZINC001399700375 993683288 /nfs/dbraw/zinc/68/32/88/993683288.db2.gz SOXLMBTYGHELGA-UHFFFAOYSA-N 0 2 320.397 0.718 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@]1(C)CCCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001399804852 993792503 /nfs/dbraw/zinc/79/25/03/993792503.db2.gz WZIJHNXOJSITFC-AWEZNQCLSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@]1(C)CCCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001399804852 993792512 /nfs/dbraw/zinc/79/25/12/993792512.db2.gz WZIJHNXOJSITFC-AWEZNQCLSA-N 0 2 311.386 0.265 20 0 DCADLN CC[C@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1cnnn1C)[NH+](C)C ZINC001399856485 993850113 /nfs/dbraw/zinc/85/01/13/993850113.db2.gz AVJDMSQXPAKGTP-TZMCWYRMSA-N 0 2 308.430 0.236 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H](C)[NH+]1CCCCCC1 ZINC001399875897 993869626 /nfs/dbraw/zinc/86/96/26/993869626.db2.gz QLCRMMDKMDRKQO-CABCVRRESA-N 0 2 324.469 0.578 20 0 DCADLN O=C(Cc1ccco1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399883415 993876625 /nfs/dbraw/zinc/87/66/25/993876625.db2.gz UUTMEYJCQBXZBO-JTQLQIEISA-N 0 2 305.338 0.427 20 0 DCADLN O=C(Cc1ccco1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399883415 993876632 /nfs/dbraw/zinc/87/66/32/993876632.db2.gz UUTMEYJCQBXZBO-JTQLQIEISA-N 0 2 305.338 0.427 20 0 DCADLN Cc1nn(C)cc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001399920031 993927435 /nfs/dbraw/zinc/92/74/35/993927435.db2.gz BSLJTZFEDCQNSG-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1nn(C)cc1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001399920031 993927461 /nfs/dbraw/zinc/92/74/61/993927461.db2.gz BSLJTZFEDCQNSG-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN CNC(=O)C[NH2+]C[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C(C)(C)C ZINC001394818658 994184422 /nfs/dbraw/zinc/18/44/22/994184422.db2.gz AFEWVXCWGPAAHU-WZRBSPASSA-N 0 2 321.425 0.380 20 0 DCADLN CNC(=O)N1CC[NH+](Cc2ccccc2O[C@@H](C)C(=O)[O-])CC1 ZINC001598651985 994459506 /nfs/dbraw/zinc/45/95/06/994459506.db2.gz MKSGDDSSQQURBB-LBPRGKRZSA-N 0 2 321.377 0.996 20 0 DCADLN CC(C)(C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395811723 995026862 /nfs/dbraw/zinc/02/68/62/995026862.db2.gz WQQBKIJIFPNVRM-RNFRBKRXSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001395811723 995026872 /nfs/dbraw/zinc/02/68/72/995026872.db2.gz WQQBKIJIFPNVRM-RNFRBKRXSA-N 0 2 302.268 0.526 20 0 DCADLN O=C(Cc1nnc[nH]1)NC[C@H]1CCC2(C[NH+](CC3CC3)C2)O1 ZINC001502441504 1015917571 /nfs/dbraw/zinc/91/75/71/1015917571.db2.gz UDOGHECLTPCMBJ-GFCCVEGCSA-N 0 2 305.382 0.107 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1CCC[C@H](c2nc(C)no2)C1 ZINC001598698097 995175323 /nfs/dbraw/zinc/17/53/23/995175323.db2.gz JBBNXYJNZDPDIK-QWRGUYRKSA-N 0 2 311.338 0.964 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1CCC[C@H](c2nc(C)no2)C1 ZINC001598698097 995175327 /nfs/dbraw/zinc/17/53/27/995175327.db2.gz JBBNXYJNZDPDIK-QWRGUYRKSA-N 0 2 311.338 0.964 20 0 DCADLN COC(=O)[C@H](C[C@@H]1CCCO1)[NH2+]C[C@@H]1[C@@H](C(=O)[O-])C1(F)F ZINC001598699796 995203286 /nfs/dbraw/zinc/20/32/86/995203286.db2.gz ZSSLIAOAGNRUMX-JXUBOQSCSA-N 0 2 307.293 0.653 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2c[nH]c3ncccc23)n1 ZINC001464586591 1015926096 /nfs/dbraw/zinc/92/60/96/1015926096.db2.gz MSKDCBLLTDUFOY-UHFFFAOYSA-N 0 2 307.339 0.931 20 0 DCADLN COC(=O)[C@H]([NH2+]C[C@H]1CC(C(=O)[O-])=C(C)O1)C1CCOCC1 ZINC001598709358 995328770 /nfs/dbraw/zinc/32/87/70/995328770.db2.gz VBZBHFLZFIIZHK-DGCLKSJQSA-N 0 2 313.350 0.692 20 0 DCADLN CCC(=CC(=O)N(C)C[C@@H](C)[NH2+]Cc1nc(=O)n(C)[nH]1)CC ZINC001507062402 1015937923 /nfs/dbraw/zinc/93/79/23/1015937923.db2.gz QGOHBIJKSFJGSZ-LLVKDONJSA-N 0 2 309.414 0.791 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001396747431 995584447 /nfs/dbraw/zinc/58/44/47/995584447.db2.gz YSAUQBXSXWTINP-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001396747431 995584456 /nfs/dbraw/zinc/58/44/56/995584456.db2.gz YSAUQBXSXWTINP-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CCN1C(=O)C[NH+]1CC(C)(C)C1 ZINC001598752768 995676306 /nfs/dbraw/zinc/67/63/06/995676306.db2.gz YHFKPAFEMAPOPI-WDEREUQCSA-N 0 2 312.366 0.193 20 0 DCADLN CCOCC(=O)N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001397007738 995740019 /nfs/dbraw/zinc/74/00/19/995740019.db2.gz CLTJSQOKRHKOJA-WCBMZHEXSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)N[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001397007738 995740020 /nfs/dbraw/zinc/74/00/20/995740020.db2.gz CLTJSQOKRHKOJA-WCBMZHEXSA-N 0 2 314.279 0.934 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C)CC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001598758046 995744707 /nfs/dbraw/zinc/74/47/07/995744707.db2.gz RRCUPKJVHVTRMC-JQWIXIFHSA-N 0 2 310.354 0.179 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C)CC[N@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001598758046 995744712 /nfs/dbraw/zinc/74/47/12/995744712.db2.gz RRCUPKJVHVTRMC-JQWIXIFHSA-N 0 2 310.354 0.179 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397135444 995816973 /nfs/dbraw/zinc/81/69/73/995816973.db2.gz VXDFMHOBFINWRA-CBAPKCEASA-N 0 2 314.279 0.765 20 0 DCADLN CC(C)(O)CC(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397135444 995816979 /nfs/dbraw/zinc/81/69/79/995816979.db2.gz VXDFMHOBFINWRA-CBAPKCEASA-N 0 2 314.279 0.765 20 0 DCADLN CC[C@H](C(=O)N[C@H]1CC[N@H+](Cc2cnnn2C)[C@@H]1C)[NH+](C)C ZINC001397238664 995880491 /nfs/dbraw/zinc/88/04/91/995880491.db2.gz DJLLPDKQVQGAKQ-KWCYVHTRSA-N 0 2 308.430 0.234 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])N(C(=O)CCc2[nH+]ccn2C)C1 ZINC001598772607 995897812 /nfs/dbraw/zinc/89/78/12/995897812.db2.gz GJQBQSNRGYCDNK-QWRGUYRKSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2c(F)cncc2F)C[C@@H]1C(=O)[O-] ZINC001598827308 996396806 /nfs/dbraw/zinc/39/68/06/996396806.db2.gz KLDDZBPXDPGJSV-YUMQZZPRSA-N 0 2 300.261 0.665 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2c(F)cncc2F)C[C@@H]1C(=O)[O-] ZINC001598827308 996396812 /nfs/dbraw/zinc/39/68/12/996396812.db2.gz KLDDZBPXDPGJSV-YUMQZZPRSA-N 0 2 300.261 0.665 20 0 DCADLN O=C(NC1CN(C(=O)c2cnns2)C1)C(F)C(F)(F)F ZINC001398600456 996598440 /nfs/dbraw/zinc/59/84/40/996598440.db2.gz AUKSXUWQGOCRRF-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)c2cnns2)C1)[C@H](F)C(F)(F)F ZINC001398600456 996598446 /nfs/dbraw/zinc/59/84/46/996598446.db2.gz AUKSXUWQGOCRRF-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN Cc1ccnc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001404226532 996836644 /nfs/dbraw/zinc/83/66/44/996836644.db2.gz WDZSDFBCSWKDDN-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1ccnc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001404226532 996836651 /nfs/dbraw/zinc/83/66/51/996836651.db2.gz WDZSDFBCSWKDDN-UHFFFAOYSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cncnc1 ZINC001404395366 997067840 /nfs/dbraw/zinc/06/78/40/997067840.db2.gz WANLCESKVKHLIF-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cncnc1 ZINC001404395366 997067852 /nfs/dbraw/zinc/06/78/52/997067852.db2.gz WANLCESKVKHLIF-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cncnc1 ZINC001404395365 997069772 /nfs/dbraw/zinc/06/97/72/997069772.db2.gz WANLCESKVKHLIF-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cncnc1 ZINC001404395365 997069784 /nfs/dbraw/zinc/06/97/84/997069784.db2.gz WANLCESKVKHLIF-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN COc1ccc(C(=O)[O-])cc1S(=O)(=O)NC[C@@H]1CC[N@@H+]1C ZINC001599502081 997142298 /nfs/dbraw/zinc/14/22/98/997142298.db2.gz XTQBYHUCQODAEA-JTQLQIEISA-N 0 2 314.363 0.376 20 0 DCADLN COc1ccc(C(=O)[O-])cc1S(=O)(=O)NC[C@@H]1CC[N@H+]1C ZINC001599502081 997142308 /nfs/dbraw/zinc/14/23/08/997142308.db2.gz XTQBYHUCQODAEA-JTQLQIEISA-N 0 2 314.363 0.376 20 0 DCADLN COCc1nc(C[N@@H+]2C[C@H](C(=O)[O-])[C@@H](C(=O)OC)C2)cs1 ZINC001599301105 997235315 /nfs/dbraw/zinc/23/53/15/997235315.db2.gz YRQDKBUCAPRMGH-UWVGGRQHSA-N 0 2 314.363 0.595 20 0 DCADLN COCc1nc(C[N@H+]2C[C@H](C(=O)[O-])[C@@H](C(=O)OC)C2)cs1 ZINC001599301105 997235325 /nfs/dbraw/zinc/23/53/25/997235325.db2.gz YRQDKBUCAPRMGH-UWVGGRQHSA-N 0 2 314.363 0.595 20 0 DCADLN COCC1(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCCC1 ZINC001404755206 997436957 /nfs/dbraw/zinc/43/69/57/997436957.db2.gz HVYPMXZZQCUXJD-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN COc1ccc([C@H](NC(=O)Cc2[nH]cc[nH+]2)C(=O)[O-])cc1O ZINC001599519545 997454339 /nfs/dbraw/zinc/45/43/39/997454339.db2.gz OOLMXTLKOXZHLD-ZDUSSCGKSA-N 0 2 305.290 0.609 20 0 DCADLN CCC[NH+]1CCC[C@@H]1C(=O)N[C@H]1CC[N@H+](CC(=O)N(C)CC)C1 ZINC001404998510 997664266 /nfs/dbraw/zinc/66/42/66/997664266.db2.gz BDBFOKOJEMEWIG-LSDHHAIUSA-N 0 2 324.469 0.530 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CCC[C@H]2COCC[C@@H]21 ZINC001598926987 997665239 /nfs/dbraw/zinc/66/52/39/997665239.db2.gz ZEGGUUNTYYOAPW-JQWIXIFHSA-N 0 2 323.349 0.826 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CCC[C@H]2COCC[C@@H]21 ZINC001598926987 997665246 /nfs/dbraw/zinc/66/52/46/997665246.db2.gz ZEGGUUNTYYOAPW-JQWIXIFHSA-N 0 2 323.349 0.826 20 0 DCADLN C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)C1 ZINC001594047268 997710862 /nfs/dbraw/zinc/71/08/62/997710862.db2.gz NWHISQHPQLSCPK-LLVKDONJSA-N 0 2 305.331 0.035 20 0 DCADLN COC(=O)c1cc(C[N@@H+]2C[C@H](C)O[C@H](C(=O)[O-])C2)n(C)c1C ZINC001598930455 997741598 /nfs/dbraw/zinc/74/15/98/997741598.db2.gz POQQGCJZLLIIMN-ZANVPECISA-N 0 2 310.350 0.794 20 0 DCADLN COC(=O)c1cc(C[N@H+]2C[C@H](C)O[C@H](C(=O)[O-])C2)n(C)c1C ZINC001598930455 997741612 /nfs/dbraw/zinc/74/16/12/997741612.db2.gz POQQGCJZLLIIMN-ZANVPECISA-N 0 2 310.350 0.794 20 0 DCADLN CSC[C@@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405096331 997784564 /nfs/dbraw/zinc/78/45/64/997784564.db2.gz HJFJJDNVNLGBHB-NXEZZACHSA-N 0 2 313.427 0.542 20 0 DCADLN CSC[C@@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405096331 997784569 /nfs/dbraw/zinc/78/45/69/997784569.db2.gz HJFJJDNVNLGBHB-NXEZZACHSA-N 0 2 313.427 0.542 20 0 DCADLN COc1cccc(C[C@H](O)C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC001467311155 1016156622 /nfs/dbraw/zinc/15/66/22/1016156622.db2.gz WNKPGVBFQNRXFB-NSHDSACASA-N 0 2 323.374 0.629 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001405127864 997834459 /nfs/dbraw/zinc/83/44/59/997834459.db2.gz MKWPNSIGSLXPSB-HTQZYQBOSA-N 0 2 321.303 0.741 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001405127864 997834463 /nfs/dbraw/zinc/83/44/63/997834463.db2.gz MKWPNSIGSLXPSB-HTQZYQBOSA-N 0 2 321.303 0.741 20 0 DCADLN C[C@H](C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001405127864 997834471 /nfs/dbraw/zinc/83/44/71/997834471.db2.gz MKWPNSIGSLXPSB-HTQZYQBOSA-N 0 2 321.303 0.741 20 0 DCADLN COc1ccc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1O ZINC001599548619 997967571 /nfs/dbraw/zinc/96/75/71/997967571.db2.gz KBMCOIIPLBYXEO-NSHDSACASA-N 0 2 319.317 0.479 20 0 DCADLN COc1ccc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1O ZINC001599548619 997967576 /nfs/dbraw/zinc/96/75/76/997967576.db2.gz KBMCOIIPLBYXEO-NSHDSACASA-N 0 2 319.317 0.479 20 0 DCADLN COCC[NH+]1CCN(CC(=O)c2cc(C(=O)[O-])[nH]c2C)CC1 ZINC001599243500 998092453 /nfs/dbraw/zinc/09/24/53/998092453.db2.gz QQNDQDSHJLXRTP-UHFFFAOYSA-N 0 2 309.366 0.468 20 0 DCADLN O=C(NC1(CO)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1=CCCC1 ZINC001503930908 1016183660 /nfs/dbraw/zinc/18/36/60/1016183660.db2.gz GPYDNRRICSQRSC-UHFFFAOYSA-N 0 2 321.381 0.064 20 0 DCADLN COc1cc(OC)cc([C@@H]([NH2+][C@H]2C(=O)NCC2(C)C)C(=O)[O-])c1 ZINC001599453371 998145738 /nfs/dbraw/zinc/14/57/38/998145738.db2.gz PUPMLPJCXMZVPW-OLZOCXBDSA-N 0 2 322.361 0.944 20 0 DCADLN Cc1cc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001468201625 1016231921 /nfs/dbraw/zinc/23/19/21/1016231921.db2.gz DQSQXEMMVUMWQC-VIFPVBQESA-N 0 2 306.326 0.896 20 0 DCADLN CC[S@@](=O)CCNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001468944741 1016293589 /nfs/dbraw/zinc/29/35/89/1016293589.db2.gz PPBXPAYGWPYQEZ-AOUSDQRYSA-N 0 2 315.399 0.168 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)C[N@@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001594365243 999379597 /nfs/dbraw/zinc/37/95/97/999379597.db2.gz DTYLBHFDFOREBH-BFQNTYOBSA-N 0 2 323.393 0.987 20 0 DCADLN C[C@@H]1CCCC[C@@H]1NC(=O)C[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001594365243 999379600 /nfs/dbraw/zinc/37/96/00/999379600.db2.gz DTYLBHFDFOREBH-BFQNTYOBSA-N 0 2 323.393 0.987 20 0 DCADLN CC1CCN(C(=O)C[N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)CC1 ZINC001594400804 999500421 /nfs/dbraw/zinc/50/04/21/999500421.db2.gz MOLJHZPNOBKMAO-OAHLLOKOSA-N 0 2 309.366 0.550 20 0 DCADLN CC1CCN(C(=O)C[N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)CC1 ZINC001594400804 999500424 /nfs/dbraw/zinc/50/04/24/999500424.db2.gz MOLJHZPNOBKMAO-OAHLLOKOSA-N 0 2 309.366 0.550 20 0 DCADLN C[C@@H]1CCn2cc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])nc2C1 ZINC001594452683 999652781 /nfs/dbraw/zinc/65/27/81/999652781.db2.gz VBSUOLGBONNGQY-MWLCHTKSSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@@H]1CCn2cc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])nc2C1 ZINC001594452683 999652784 /nfs/dbraw/zinc/65/27/84/999652784.db2.gz VBSUOLGBONNGQY-MWLCHTKSSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@@H]1CCn2cc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])nc2C1 ZINC001594452682 999652888 /nfs/dbraw/zinc/65/28/88/999652888.db2.gz VBSUOLGBONNGQY-KOLCDFICSA-N 0 2 317.349 0.614 20 0 DCADLN C[C@@H]1CCn2cc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])nc2C1 ZINC001594452682 999652890 /nfs/dbraw/zinc/65/28/90/999652890.db2.gz VBSUOLGBONNGQY-KOLCDFICSA-N 0 2 317.349 0.614 20 0 DCADLN Cc1conc1C[NH2+]C1(CNC(=O)c2ncn[nH]2)CCCC1 ZINC001401189191 1000256806 /nfs/dbraw/zinc/25/68/06/1000256806.db2.gz OWKLBOAMCUJHRC-UHFFFAOYSA-N 0 2 304.354 0.934 20 0 DCADLN Cc1conc1C[NH2+]C1(CNC(=O)c2nc[nH]n2)CCCC1 ZINC001401189191 1000256811 /nfs/dbraw/zinc/25/68/11/1000256811.db2.gz OWKLBOAMCUJHRC-UHFFFAOYSA-N 0 2 304.354 0.934 20 0 DCADLN CN(CCNC(=O)c1ccncc1Cl)Cc1n[nH]c(=O)[nH]1 ZINC001418502004 1000290938 /nfs/dbraw/zinc/29/09/38/1000290938.db2.gz XCUSKBCXEQVREG-UHFFFAOYSA-N 0 2 310.745 0.421 20 0 DCADLN C[N@H+](CCNC(=O)c1ccncc1Cl)Cc1n[nH]c(=O)[n-]1 ZINC001418502004 1000290944 /nfs/dbraw/zinc/29/09/44/1000290944.db2.gz XCUSKBCXEQVREG-UHFFFAOYSA-N 0 2 310.745 0.421 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccncc1Cl)Cc1n[nH]c(=O)[n-]1 ZINC001418502004 1000290948 /nfs/dbraw/zinc/29/09/48/1000290948.db2.gz XCUSKBCXEQVREG-UHFFFAOYSA-N 0 2 310.745 0.421 20 0 DCADLN CCC[C@H](OC)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001418582695 1000364833 /nfs/dbraw/zinc/36/48/33/1000364833.db2.gz QFLQNUWHSRKZMF-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN COC[C@H](C)[N@H+](C)CCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001401394493 1000406142 /nfs/dbraw/zinc/40/61/42/1000406142.db2.gz HTXALHGDRISLEL-BFHYXJOUSA-N 0 2 308.426 0.949 20 0 DCADLN COc1nnc(NC(=O)c2ccc(S(N)(=O)=O)o2)s1 ZINC001418802361 1000531947 /nfs/dbraw/zinc/53/19/47/1000531947.db2.gz QQXRLMBUCWFKCR-UHFFFAOYSA-N 0 2 304.309 0.039 20 0 DCADLN Cc1nnsc1C[N@@H+](C)C[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001401777591 1000717300 /nfs/dbraw/zinc/71/73/00/1000717300.db2.gz YLXHHMRBWFQMGT-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nnsc1C[N@H+](C)C[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001401777591 1000717304 /nfs/dbraw/zinc/71/73/04/1000717304.db2.gz YLXHHMRBWFQMGT-SSDOTTSWSA-N 0 2 324.410 0.834 20 0 DCADLN CC1(C(=O)NC[C@@]2(O)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC001402264932 1001154299 /nfs/dbraw/zinc/15/42/99/1001154299.db2.gz DXYQDMZDVCVSPS-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN CC1(C(=O)NC[C@@]2(O)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC001402264932 1001154302 /nfs/dbraw/zinc/15/43/02/1001154302.db2.gz DXYQDMZDVCVSPS-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN CCCC1(C(=O)NCC2(O)CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001402364196 1001253418 /nfs/dbraw/zinc/25/34/18/1001253418.db2.gz VRLUVSKQUNZSNK-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN COC(=O)C[C@](C)(NC(=O)C[C@H]1SC(=N)NC1=O)C1CC1 ZINC001471280364 1016550905 /nfs/dbraw/zinc/55/09/05/1016550905.db2.gz PHPKHDMCWWNXLS-OQPBUACISA-N 0 2 313.379 0.391 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)[N@H+](C)CCc2ccnn2C)c1[O-] ZINC001424936168 1002162740 /nfs/dbraw/zinc/16/27/40/1002162740.db2.gz SMRSEKDSGHOOJG-JTQLQIEISA-N 0 2 320.397 0.450 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)[N@@H+](C)CCc2ccnn2C)c1[O-] ZINC001424936168 1002162746 /nfs/dbraw/zinc/16/27/46/1002162746.db2.gz SMRSEKDSGHOOJG-JTQLQIEISA-N 0 2 320.397 0.450 20 0 DCADLN C[C@H](CNC(=O)c1ncoc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425032868 1002272815 /nfs/dbraw/zinc/27/28/15/1002272815.db2.gz SBNQLOIYJKMOOI-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](CNC(=O)c1ncoc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425032868 1002272826 /nfs/dbraw/zinc/27/28/26/1002272826.db2.gz SBNQLOIYJKMOOI-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN O=C(CCc1nn[nH]n1)N1CCN[C@H](c2ccc(Cl)cc2)C1 ZINC001548454826 1016603612 /nfs/dbraw/zinc/60/36/12/1016603612.db2.gz AQAGVXTWXZTPSP-LBPRGKRZSA-N 0 2 320.784 0.959 20 0 DCADLN C[C@@H](CNC(=O)CCc1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505866165 1016630329 /nfs/dbraw/zinc/63/03/29/1016630329.db2.gz HRYXPOYWLIPDFU-JTQLQIEISA-N 0 2 307.354 0.673 20 0 DCADLN C[C@@H](CNC(=O)CCc1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505866165 1016630337 /nfs/dbraw/zinc/63/03/37/1016630337.db2.gz HRYXPOYWLIPDFU-JTQLQIEISA-N 0 2 307.354 0.673 20 0 DCADLN Cc1cc(CCC(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001420856545 1003041214 /nfs/dbraw/zinc/04/12/14/1003041214.db2.gz KBFJXNVPRUCSNW-NSHDSACASA-N 0 2 320.353 0.130 20 0 DCADLN CCC[C@H](OC)C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001508213625 1016715491 /nfs/dbraw/zinc/71/54/91/1016715491.db2.gz IWYIHQMNPUQMCU-LBPRGKRZSA-N 0 2 323.397 0.574 20 0 DCADLN CCC[C@H](OC)C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001508213625 1016715501 /nfs/dbraw/zinc/71/55/01/1016715501.db2.gz IWYIHQMNPUQMCU-LBPRGKRZSA-N 0 2 323.397 0.574 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2CCC(F)(F)C2)[nH]1 ZINC001472081566 1016719313 /nfs/dbraw/zinc/71/93/13/1016719313.db2.gz DEBNKPSBAJFFDG-SSDOTTSWSA-N 0 2 322.337 0.401 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H](C)C[NH2+]Cc2ncc(C)cn2)c1[O-] ZINC001405828128 1003118085 /nfs/dbraw/zinc/11/80/85/1003118085.db2.gz WJYGLENVIFEUFU-JTQLQIEISA-N 0 2 318.381 0.772 20 0 DCADLN Cc1nonc1C[NH2+]C1(CNC(=O)C(C)(C)[NH+]2CCCC2)CC1 ZINC001420966645 1003182898 /nfs/dbraw/zinc/18/28/98/1003182898.db2.gz ARKXYKSJGOVZLG-UHFFFAOYSA-N 0 2 321.425 0.991 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(CCn2cncn2)C1 ZINC001421057764 1003277701 /nfs/dbraw/zinc/27/77/01/1003277701.db2.gz LBSXRLRRXDGPQG-VIFPVBQESA-N 0 2 309.267 0.321 20 0 DCADLN CC(C)[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1cnn(C)c1 ZINC001472208937 1016761826 /nfs/dbraw/zinc/76/18/26/1016761826.db2.gz VPMFCROPYHDRPM-MWLCHTKSSA-N 0 2 309.395 0.790 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)Cc1ncnn1C ZINC001406102450 1003366309 /nfs/dbraw/zinc/36/63/09/1003366309.db2.gz TUOFYPUJEPXGKK-SECBINFHSA-N 0 2 311.283 0.606 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)Cc1ncnn1C ZINC001406102450 1003366319 /nfs/dbraw/zinc/36/63/19/1003366319.db2.gz TUOFYPUJEPXGKK-SECBINFHSA-N 0 2 311.283 0.606 20 0 DCADLN CO[C@H](C)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001406124079 1003395099 /nfs/dbraw/zinc/39/50/99/1003395099.db2.gz YVYXADAEVDFJNN-ZIAGYGMSSA-N 0 2 308.426 0.871 20 0 DCADLN COCCn1cc(C(=O)N[C@@H](C)c2nn(C)cc2O)c(C)n1 ZINC001472237119 1016767161 /nfs/dbraw/zinc/76/71/61/1016767161.db2.gz PUMFUGXLDMKKCE-JTQLQIEISA-N 0 2 307.354 0.768 20 0 DCADLN C[C@H](NC(=O)C1(O)Cc2ccccc2C1)c1nn(C)cc1O ZINC001472238186 1016767460 /nfs/dbraw/zinc/76/74/60/1016767460.db2.gz ZQSGCNWEYZFTIU-JTQLQIEISA-N 0 2 301.346 0.833 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cccn1C ZINC001426090231 1003423092 /nfs/dbraw/zinc/42/30/92/1003423092.db2.gz IAWHMYOWTFMWTF-UHFFFAOYSA-N 0 2 320.397 0.831 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cccn1C ZINC001426090231 1003423094 /nfs/dbraw/zinc/42/30/94/1003423094.db2.gz IAWHMYOWTFMWTF-UHFFFAOYSA-N 0 2 320.397 0.831 20 0 DCADLN Cc1conc1C[NH2+]C[C@H](O)CNC(=O)[C@@H]1CCCC[N@H+]1C ZINC001406242477 1003517012 /nfs/dbraw/zinc/51/70/12/1003517012.db2.gz MIFVGARNKZGYGI-JSGCOSHPSA-N 0 2 310.398 0.034 20 0 DCADLN CN(C(=O)CCn1cccc1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421316306 1003534356 /nfs/dbraw/zinc/53/43/56/1003534356.db2.gz ROALGZLRMZIXNX-LBPRGKRZSA-N 0 2 318.381 0.435 20 0 DCADLN CN(C(=O)CCn1cccc1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421316306 1003534361 /nfs/dbraw/zinc/53/43/61/1003534361.db2.gz ROALGZLRMZIXNX-LBPRGKRZSA-N 0 2 318.381 0.435 20 0 DCADLN CCc1cccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406633483 1003949713 /nfs/dbraw/zinc/94/97/13/1003949713.db2.gz JLTONXBDSJQZQH-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406633483 1003949721 /nfs/dbraw/zinc/94/97/21/1003949721.db2.gz JLTONXBDSJQZQH-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406639250 1003957851 /nfs/dbraw/zinc/95/78/51/1003957851.db2.gz SCWWVIJJWODBJZ-FOGDFJRCSA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H]1CCO[C@@H]1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406639250 1003957862 /nfs/dbraw/zinc/95/78/62/1003957862.db2.gz SCWWVIJJWODBJZ-FOGDFJRCSA-N 0 2 311.386 0.262 20 0 DCADLN CN(C(=O)CCC(F)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407053584 1004337525 /nfs/dbraw/zinc/33/75/25/1004337525.db2.gz DCEVEKGWQJITHJ-UHFFFAOYSA-N 0 2 307.276 0.495 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)C[C@H]1CCOC1 ZINC001429298445 1004515534 /nfs/dbraw/zinc/51/55/34/1004515534.db2.gz ARSAIYOLFNYDJV-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)C[C@H]1CCOC1 ZINC001429298445 1004515542 /nfs/dbraw/zinc/51/55/42/1004515542.db2.gz ARSAIYOLFNYDJV-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cnn(C)c1 ZINC001429299138 1004515819 /nfs/dbraw/zinc/51/58/19/1004515819.db2.gz DIKCSZZRNLYGRB-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)Cc1cnn(C)c1 ZINC001429299138 1004515830 /nfs/dbraw/zinc/51/58/30/1004515830.db2.gz DIKCSZZRNLYGRB-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN O=C(CCC1CC1)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001427781666 1004603985 /nfs/dbraw/zinc/60/39/85/1004603985.db2.gz QHLYAQWZAFDTRA-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CCC1CC1)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001427781666 1004603991 /nfs/dbraw/zinc/60/39/91/1004603991.db2.gz QHLYAQWZAFDTRA-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001429599226 1004688999 /nfs/dbraw/zinc/68/89/99/1004688999.db2.gz YLWWRPCKXOUOHL-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001429599226 1004689003 /nfs/dbraw/zinc/68/90/03/1004689003.db2.gz YLWWRPCKXOUOHL-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN COC(=O)[C@@H](CF)NS(=O)(=O)C[C@H]1CC2(CCC2)CO1 ZINC001414277266 1005273891 /nfs/dbraw/zinc/27/38/91/1005273891.db2.gz OYVJJGNVJNUMRM-NXEZZACHSA-N 0 2 309.359 0.376 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001414784918 1005402076 /nfs/dbraw/zinc/40/20/76/1005402076.db2.gz YMCZYOFLBCVRTN-FSPLSTOPSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cn[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001414784918 1005402077 /nfs/dbraw/zinc/40/20/77/1005402077.db2.gz YMCZYOFLBCVRTN-FSPLSTOPSA-N 0 2 309.223 0.036 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001415183046 1005476907 /nfs/dbraw/zinc/47/69/07/1005476907.db2.gz QRLBSZLXPKXYCV-FFWSUHOLSA-N 0 2 311.239 0.248 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001415183046 1005476908 /nfs/dbraw/zinc/47/69/08/1005476908.db2.gz QRLBSZLXPKXYCV-FFWSUHOLSA-N 0 2 311.239 0.248 20 0 DCADLN CO[C@@H](C)CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001415514383 1005551067 /nfs/dbraw/zinc/55/10/67/1005551067.db2.gz KJALFJZZSUTZQY-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@@H](C)CC(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001415514383 1005551068 /nfs/dbraw/zinc/55/10/68/1005551068.db2.gz KJALFJZZSUTZQY-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN CC[NH+](CC)CC(=O)NCC1(NC(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001506074303 1016983029 /nfs/dbraw/zinc/98/30/29/1016983029.db2.gz BSOLZUQFBPDARB-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN Cc1ccc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001416033565 1005653015 /nfs/dbraw/zinc/65/30/15/1005653015.db2.gz TZIPHWPPDZCGTM-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ccc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001416033565 1005653016 /nfs/dbraw/zinc/65/30/16/1005653016.db2.gz TZIPHWPPDZCGTM-SECBINFHSA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC001416038131 1005653785 /nfs/dbraw/zinc/65/37/85/1005653785.db2.gz XRWMMRNESKNRRQ-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n[nH]1 ZINC001416038131 1005653786 /nfs/dbraw/zinc/65/37/86/1005653786.db2.gz XRWMMRNESKNRRQ-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN CCOC[C@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001417554153 1005843218 /nfs/dbraw/zinc/84/32/18/1005843218.db2.gz UMFKCOQIEDYIGE-LLVKDONJSA-N 0 2 319.365 0.489 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nc(C(F)(F)F)no2)S1 ZINC001417710019 1005873468 /nfs/dbraw/zinc/87/34/68/1005873468.db2.gz WSUDIXWZJXMHAU-GSVOUGTGSA-N 0 2 323.256 0.261 20 0 DCADLN CCn1ncn(NC(=O)c2ccccc2N=S(C)(C)=O)c1=O ZINC001417884311 1005914814 /nfs/dbraw/zinc/91/48/14/1005914814.db2.gz TWOLIJMULKBFCE-UHFFFAOYSA-N 0 2 323.378 0.808 20 0 DCADLN CN1Cc2c(cccc2NC(=O)CC2SC(=N)NC2=O)C1=O ZINC001417977611 1005945690 /nfs/dbraw/zinc/94/56/90/1005945690.db2.gz JJVHQPYTZRXRRF-SNVBAGLBSA-N 0 2 318.358 0.767 20 0 DCADLN O=C(N[C@@H]1CO[C@H](C2CC2)C1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434826091 1006015170 /nfs/dbraw/zinc/01/51/70/1006015170.db2.gz SRULUEISADFYIJ-SRVKXCTJSA-N 0 2 321.381 0.967 20 0 DCADLN CO[C@H](C)CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)C ZINC001435208883 1006068447 /nfs/dbraw/zinc/06/84/47/1006068447.db2.gz RJQBHCSUCHWBIE-SNVBAGLBSA-N 0 2 307.354 0.343 20 0 DCADLN C[C@@H](CNC(=O)c1ccn[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001451502440 1006219228 /nfs/dbraw/zinc/21/92/28/1006219228.db2.gz NTUPGONULUDHSA-POYBYMJQSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@@H](CNC(=O)c1ccn[nH]1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001451502440 1006219236 /nfs/dbraw/zinc/21/92/36/1006219236.db2.gz NTUPGONULUDHSA-POYBYMJQSA-N 0 2 310.251 0.792 20 0 DCADLN COCC1(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCCC1 ZINC001437471452 1007006290 /nfs/dbraw/zinc/00/62/90/1007006290.db2.gz PKEKYFDIMHNFNR-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN COCC1(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCCC1 ZINC001437471452 1007006303 /nfs/dbraw/zinc/00/63/03/1007006303.db2.gz PKEKYFDIMHNFNR-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN Cc1cc(C2(C(=O)NCC[N@@H+](C)Cc3n[nH]c(=O)[n-]3)CC2)on1 ZINC001437510457 1007068068 /nfs/dbraw/zinc/06/80/68/1007068068.db2.gz PNCFLLLOEZDXTL-UHFFFAOYSA-N 0 2 320.353 0.087 20 0 DCADLN Cc1cc(C2(C(=O)NCC[N@H+](C)Cc3n[nH]c(=O)[n-]3)CC2)on1 ZINC001437510457 1007068082 /nfs/dbraw/zinc/06/80/82/1007068082.db2.gz PNCFLLLOEZDXTL-UHFFFAOYSA-N 0 2 320.353 0.087 20 0 DCADLN C[N@H+](CCNC(=O)C1(c2cccnc2)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001437509145 1007072533 /nfs/dbraw/zinc/07/25/33/1007072533.db2.gz NDVHKQAVBTXKNQ-UHFFFAOYSA-N 0 2 316.365 0.185 20 0 DCADLN C[N@@H+](CCNC(=O)C1(c2cccnc2)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001437509145 1007072543 /nfs/dbraw/zinc/07/25/43/1007072543.db2.gz NDVHKQAVBTXKNQ-UHFFFAOYSA-N 0 2 316.365 0.185 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C)oc1C)Cc1n[nH]c(=O)[n-]1 ZINC001437573329 1007140757 /nfs/dbraw/zinc/14/07/57/1007140757.db2.gz NVSXZOFSYJZZHQ-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C)oc1C)Cc1n[nH]c(=O)[n-]1 ZINC001437573329 1007140761 /nfs/dbraw/zinc/14/07/61/1007140761.db2.gz NVSXZOFSYJZZHQ-UHFFFAOYSA-N 0 2 307.354 0.972 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001452924229 1007152411 /nfs/dbraw/zinc/15/24/11/1007152411.db2.gz WASNZUAGGCUYRA-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001452924229 1007152419 /nfs/dbraw/zinc/15/24/19/1007152419.db2.gz WASNZUAGGCUYRA-XNCJUZBTSA-N 0 2 310.251 0.853 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1cnccc1C)Cc1n[nH]c(=O)[n-]1 ZINC001437598453 1007166704 /nfs/dbraw/zinc/16/67/04/1007166704.db2.gz XUDXDBJNGBVSJN-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1cnccc1C)Cc1n[nH]c(=O)[n-]1 ZINC001437598453 1007166715 /nfs/dbraw/zinc/16/67/15/1007166715.db2.gz XUDXDBJNGBVSJN-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN Cn1nnc(CN2CC[C@H](CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001437711292 1007297460 /nfs/dbraw/zinc/29/74/60/1007297460.db2.gz ZJPWNXMYFQTUBR-VXNVDRBHSA-N 0 2 324.282 0.049 20 0 DCADLN Cn1nnc(CN2CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001437711292 1007297492 /nfs/dbraw/zinc/29/74/92/1007297492.db2.gz ZJPWNXMYFQTUBR-VXNVDRBHSA-N 0 2 324.282 0.049 20 0 DCADLN CCC[N@@H+]1CCC[C@@H]1C(=O)NCC1(O)C[NH+](CC[C@@H](C)F)C1 ZINC001440050046 1007453848 /nfs/dbraw/zinc/45/38/48/1007453848.db2.gz SVJQWBWWDLYAOZ-ZIAGYGMSSA-N 0 2 315.433 0.772 20 0 DCADLN C[C@@H]1CC[C@@H](CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001438128172 1007654436 /nfs/dbraw/zinc/65/44/36/1007654436.db2.gz CJCAPMAGDNXQMU-GHMZBOCLSA-N 0 2 307.398 0.885 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2nnn(C)n2)C1 ZINC001438242660 1007736144 /nfs/dbraw/zinc/73/61/44/1007736144.db2.gz GZFPYJWUAKFRBO-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(Cc2nnn(C)n2)C1 ZINC001438242660 1007736165 /nfs/dbraw/zinc/73/61/65/1007736165.db2.gz GZFPYJWUAKFRBO-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN CCc1ccnc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001440926514 1008120022 /nfs/dbraw/zinc/12/00/22/1008120022.db2.gz PGRCROSSGNAQLQ-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CCc1ccnc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001440926514 1008120028 /nfs/dbraw/zinc/12/00/28/1008120028.db2.gz PGRCROSSGNAQLQ-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CC(F)(F)CC(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001441247874 1008287128 /nfs/dbraw/zinc/28/71/28/1008287128.db2.gz FCFBLLOSFZKDCU-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CC(F)(F)CC(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001441247874 1008287136 /nfs/dbraw/zinc/28/71/36/1008287136.db2.gz FCFBLLOSFZKDCU-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN CC(F)(F)CC(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001441247874 1008287141 /nfs/dbraw/zinc/28/71/41/1008287141.db2.gz FCFBLLOSFZKDCU-QMMMGPOBSA-N 0 2 317.340 0.882 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)NC(=O)c1ccncc1 ZINC001433343095 1008707309 /nfs/dbraw/zinc/70/73/09/1008707309.db2.gz MZLCZHBJYMPFNQ-SNVBAGLBSA-N 0 2 301.350 0.590 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)NC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001433600143 1008983041 /nfs/dbraw/zinc/98/30/41/1008983041.db2.gz UKMHMQPHQWNZFZ-NSHDSACASA-N 0 2 309.414 0.303 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1n[nH]cc1F ZINC001454639303 1009238609 /nfs/dbraw/zinc/23/86/09/1009238609.db2.gz SHMQTGUFBLAEAY-CLZZGJSISA-N 0 2 314.214 0.684 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1n[nH]cc1F ZINC001454639303 1009238623 /nfs/dbraw/zinc/23/86/23/1009238623.db2.gz SHMQTGUFBLAEAY-CLZZGJSISA-N 0 2 314.214 0.684 20 0 DCADLN CC(=O)CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C(C)C ZINC001434031504 1009474268 /nfs/dbraw/zinc/47/42/68/1009474268.db2.gz NLLYODHZKJDQSL-UHFFFAOYSA-N 0 2 318.333 0.706 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422181942 1009617317 /nfs/dbraw/zinc/61/73/17/1009617317.db2.gz HIRPBDARDPCFRA-UHFFFAOYSA-N 0 2 321.385 0.065 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422181942 1009617324 /nfs/dbraw/zinc/61/73/24/1009617324.db2.gz HIRPBDARDPCFRA-UHFFFAOYSA-N 0 2 321.385 0.065 20 0 DCADLN C[C@H]1CCc2nc(C(=O)NCc3nc(O)cc(=O)[nH]3)cn2C1 ZINC001434233383 1009683264 /nfs/dbraw/zinc/68/32/64/1009683264.db2.gz ZWUOSKQPUBOCLP-QMMMGPOBSA-N 0 2 303.322 0.597 20 0 DCADLN O=C(NC[C@@]1(CO)COCCN1)c1ccc(Cl)c(O)c1 ZINC001434287141 1009728356 /nfs/dbraw/zinc/72/83/56/1009728356.db2.gz JLTVDPQGAYILBL-CYBMUJFWSA-N 0 2 300.742 0.126 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@H](c2ncon2)C1 ZINC001434359202 1009824794 /nfs/dbraw/zinc/82/47/94/1009824794.db2.gz RZAATOCPTOIVEZ-ZETCQYMHSA-N 0 2 320.271 0.843 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@H]2Cc3ccccc32)[nH]1 ZINC001434383860 1009874895 /nfs/dbraw/zinc/87/48/95/1009874895.db2.gz JGHWPKWRROFEDI-NSHDSACASA-N 0 2 320.374 0.305 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C(C)(C)C(F)F ZINC001422881007 1010696687 /nfs/dbraw/zinc/69/66/87/1010696687.db2.gz AAZVXJBAAVEILN-SSDOTTSWSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C(C)(C)C(F)F ZINC001422881007 1010696693 /nfs/dbraw/zinc/69/66/93/1010696693.db2.gz AAZVXJBAAVEILN-SSDOTTSWSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccnnc1)NC(=O)C(F)C(F)(F)F ZINC001444052254 1010825560 /nfs/dbraw/zinc/82/55/60/1010825560.db2.gz RDVJNDOOEJLCBC-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccnnc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001444052254 1010825565 /nfs/dbraw/zinc/82/55/65/1010825565.db2.gz RDVJNDOOEJLCBC-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN CC(C)(C)C(=O)NC[C@@H](CO)NCC(=O)Nc1nccs1 ZINC001423283166 1011045140 /nfs/dbraw/zinc/04/51/40/1011045140.db2.gz MXZJOFVFNZBAKD-VIFPVBQESA-N 0 2 314.411 0.194 20 0 DCADLN Cc1nnc(CN[C@H](CO)CNC(=O)C(C)(C)C(C)(F)F)[nH]1 ZINC001423282590 1011045667 /nfs/dbraw/zinc/04/56/67/1011045667.db2.gz BZGXWEJWICPJDY-VIFPVBQESA-N 0 2 319.356 0.361 20 0 DCADLN Cc1nc(CN[C@H](CO)CNC(=O)C(C)(C)C(C)(F)F)n[nH]1 ZINC001423282590 1011045669 /nfs/dbraw/zinc/04/56/69/1011045669.db2.gz BZGXWEJWICPJDY-VIFPVBQESA-N 0 2 319.356 0.361 20 0 DCADLN CCN(C)C(=O)C[N@@H+]1CC[C@@]2(NC(=O)c3nnc[nH]3)CCC[C@H]12 ZINC001423349304 1011095269 /nfs/dbraw/zinc/09/52/69/1011095269.db2.gz KUPILDHARNUEMR-NHYWBVRUSA-N 0 2 320.397 0.010 20 0 DCADLN CCN(C)C(=O)C[N@H+]1CC[C@@]2(NC(=O)c3nnc[nH]3)CCC[C@H]12 ZINC001423349304 1011095274 /nfs/dbraw/zinc/09/52/74/1011095274.db2.gz KUPILDHARNUEMR-NHYWBVRUSA-N 0 2 320.397 0.010 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001431493750 1011317666 /nfs/dbraw/zinc/31/76/66/1011317666.db2.gz SXEAWRUVNZXYQC-POYBYMJQSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001431493750 1011317676 /nfs/dbraw/zinc/31/76/76/1011317676.db2.gz SXEAWRUVNZXYQC-POYBYMJQSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001431493765 1011318238 /nfs/dbraw/zinc/31/82/38/1011318238.db2.gz SXEAWRUVNZXYQC-SVRRBLITSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001431493765 1011318242 /nfs/dbraw/zinc/31/82/42/1011318242.db2.gz SXEAWRUVNZXYQC-SVRRBLITSA-N 0 2 311.235 0.122 20 0 DCADLN COC(=O)c1cccc(C(=O)N[C@H](C)c2nn(C)cc2O)n1 ZINC001456790663 1011463640 /nfs/dbraw/zinc/46/36/40/1011463640.db2.gz KUGXGVGWSNBTSF-MRVPVSSYSA-N 0 2 304.306 0.798 20 0 DCADLN O=C(N[C@@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001424021276 1011865440 /nfs/dbraw/zinc/86/54/40/1011865440.db2.gz WERNBZKPEQEOCI-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)C(F)C(F)(F)F ZINC001432258820 1012213195 /nfs/dbraw/zinc/21/31/95/1012213195.db2.gz DESPVMAGSSIPAM-KDXUFGMBSA-N 0 2 310.247 0.106 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)[C@H](F)C(F)(F)F ZINC001432258820 1012213210 /nfs/dbraw/zinc/21/32/10/1012213210.db2.gz DESPVMAGSSIPAM-KDXUFGMBSA-N 0 2 310.247 0.106 20 0 DCADLN CC(C)C(=O)NC[C@@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001537302599 1012377600 /nfs/dbraw/zinc/37/76/00/1012377600.db2.gz ZGYSCYFQXKXAEB-KWQFWETISA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)NC[C@@]1(O)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001537302599 1012377616 /nfs/dbraw/zinc/37/76/16/1012377616.db2.gz ZGYSCYFQXKXAEB-KWQFWETISA-N 0 2 314.279 0.622 20 0 DCADLN OCc1nnc(CNCc2cn(-c3ccc(F)cc3)nn2)[nH]1 ZINC001560626847 1012454001 /nfs/dbraw/zinc/45/40/01/1012454001.db2.gz DJRICVSVLZPVFA-UHFFFAOYSA-N 0 2 303.301 0.307 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2CCOC2)CC1)C(F)C(F)(F)F ZINC001458969206 1012501439 /nfs/dbraw/zinc/50/14/39/1012501439.db2.gz DKNNRWIIZLHWHD-JGVFFNPUSA-N 0 2 312.263 0.688 20 0 DCADLN O=C(NCC1(NC(=O)[C@H]2CCOC2)CC1)[C@@H](F)C(F)(F)F ZINC001458969206 1012501448 /nfs/dbraw/zinc/50/14/48/1012501448.db2.gz DKNNRWIIZLHWHD-JGVFFNPUSA-N 0 2 312.263 0.688 20 0 DCADLN CC(C)OCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001459187414 1012610668 /nfs/dbraw/zinc/61/06/68/1012610668.db2.gz SSBLKSXHDQLRMM-JTQLQIEISA-N 0 2 314.279 0.981 20 0 DCADLN CC(C)OCC(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001459187414 1012610680 /nfs/dbraw/zinc/61/06/80/1012610680.db2.gz SSBLKSXHDQLRMM-JTQLQIEISA-N 0 2 314.279 0.981 20 0 DCADLN COc1ccc(Cl)c(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001424584715 1012676694 /nfs/dbraw/zinc/67/66/94/1012676694.db2.gz NDABOYDJQZFPCL-UHFFFAOYSA-N 0 2 303.731 0.673 20 0 DCADLN Cc1cc(C)c(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC001460890385 1012983844 /nfs/dbraw/zinc/98/38/44/1012983844.db2.gz KNMLUHSAEDZGHX-UHFFFAOYSA-N 0 2 312.333 0.399 20 0 DCADLN CS(=O)(=O)Cc1nc(CNCc2ccnn2C2CCC2)n[nH]1 ZINC001461788935 1013037590 /nfs/dbraw/zinc/03/75/90/1013037590.db2.gz NBYXBOAUEIKXDP-UHFFFAOYSA-N 0 2 324.410 0.561 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ccncn2)C1 ZINC001506294613 1017187039 /nfs/dbraw/zinc/18/70/39/1017187039.db2.gz MYXIBNYNSPULRO-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2ccncn2)C1 ZINC001506294613 1017187041 /nfs/dbraw/zinc/18/70/41/1017187041.db2.gz MYXIBNYNSPULRO-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN C[C@@H]1COCC[C@H]1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478428903 1017347258 /nfs/dbraw/zinc/34/72/58/1017347258.db2.gz DNDUBGJHIAXFTD-OUAUKWLOSA-N 0 2 309.370 0.824 20 0 DCADLN CC1(C)OCC[C@H]1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478670977 1017371021 /nfs/dbraw/zinc/37/10/21/1017371021.db2.gz YEEKEWJOKFYKAU-VHSXEESVSA-N 0 2 309.370 0.967 20 0 DCADLN C[C@@H](NC(=O)CC[C@@H]1CCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506585296 1017378414 /nfs/dbraw/zinc/37/84/14/1017378414.db2.gz IFMJGQUMZJTBSU-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506620263 1017413262 /nfs/dbraw/zinc/41/32/62/1017413262.db2.gz RFRZEFYNMHDSLX-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN CCC[C@@H](OCC)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001494583340 1017813737 /nfs/dbraw/zinc/81/37/37/1017813737.db2.gz FRDRHTQJXUUVER-LLVKDONJSA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@H](C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001494649538 1017914755 /nfs/dbraw/zinc/91/47/55/1017914755.db2.gz ATRDHMAXZBTESL-VWYCJHECSA-N 0 2 309.370 0.111 20 0 DCADLN COCC1(C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001479889148 1017938222 /nfs/dbraw/zinc/93/82/22/1017938222.db2.gz UPVRREMUKHMDQB-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1ncncc1C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001494757889 1018007469 /nfs/dbraw/zinc/00/74/69/1018007469.db2.gz FYFAEAFZFJERJX-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ncncc1C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001494757889 1018007481 /nfs/dbraw/zinc/00/74/81/1018007481.db2.gz FYFAEAFZFJERJX-HZGVNTEJSA-N 0 2 322.262 0.920 20 0 DCADLN CC(C)CCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495829102 1018731424 /nfs/dbraw/zinc/73/14/24/1018731424.db2.gz XTSUXNWKEVRDMI-LLVKDONJSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@H+](CCNC(=O)c1c(C)nn(C)c1C)Cc1n[nH]c(=O)[n-]1 ZINC001492999277 1018999219 /nfs/dbraw/zinc/99/92/19/1018999219.db2.gz ZUSXDKQCBMUYSO-UHFFFAOYSA-N 0 2 321.385 0.113 20 0 DCADLN CC[N@@H+](CCNC(=O)c1c(C)nn(C)c1C)Cc1n[nH]c(=O)[n-]1 ZINC001492999277 1018999235 /nfs/dbraw/zinc/99/92/35/1018999235.db2.gz ZUSXDKQCBMUYSO-UHFFFAOYSA-N 0 2 321.385 0.113 20 0 DCADLN CC(C)CCC(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001501413037 1019147003 /nfs/dbraw/zinc/14/70/03/1019147003.db2.gz VCAPCWOGHQHPHK-PSASIEDQSA-N 0 2 316.295 0.916 20 0 DCADLN CC(C)CCC(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001501413037 1019147007 /nfs/dbraw/zinc/14/70/07/1019147007.db2.gz VCAPCWOGHQHPHK-PSASIEDQSA-N 0 2 316.295 0.916 20 0 DCADLN Cn1ccc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001493361841 1019357589 /nfs/dbraw/zinc/35/75/89/1019357589.db2.gz QIKHGPXAJCZCOC-UHFFFAOYSA-N 0 2 304.354 0.243 20 0 DCADLN Cn1ccc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001493361841 1019357607 /nfs/dbraw/zinc/35/76/07/1019357607.db2.gz QIKHGPXAJCZCOC-UHFFFAOYSA-N 0 2 304.354 0.243 20 0 DCADLN Cc1ccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001496662989 1019496060 /nfs/dbraw/zinc/49/60/60/1019496060.db2.gz MHZAFBIXXHYWHX-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN Cc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001496662989 1019496072 /nfs/dbraw/zinc/49/60/72/1019496072.db2.gz MHZAFBIXXHYWHX-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)CNC(=O)CCc2[nH]cc[nH+]2)c1[O-] ZINC001502108294 1019729448 /nfs/dbraw/zinc/72/94/48/1019729448.db2.gz GWYBBGVMPVZFCH-MRVPVSSYSA-N 0 2 320.353 0.014 20 0 DCADLN CO[C@H](C)CCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001493912634 1019810416 /nfs/dbraw/zinc/81/04/16/1019810416.db2.gz BGEDJNUPSFJEPA-UTUOFQBUSA-N 0 2 323.397 0.501 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1CC[C@@H](OC(=O)N(C)C)C1 ZINC001606332161 1170160632 /nfs/dbraw/zinc/16/06/32/1170160632.db2.gz PZDKMJDFNCPXTP-ZJUUUORDSA-N 0 2 302.327 0.165 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1CC[C@@H](OC(=O)N(C)C)C1 ZINC001606332161 1170160639 /nfs/dbraw/zinc/16/06/39/1170160639.db2.gz PZDKMJDFNCPXTP-ZJUUUORDSA-N 0 2 302.327 0.165 20 0 DCADLN O=C([O-])[C@H](Cn1cncn1)[NH2+]Cc1ccc(Br)o1 ZINC001646239115 1126248362 /nfs/dbraw/zinc/24/83/62/1126248362.db2.gz RBJUONXRXGIXEC-QMMMGPOBSA-N 0 2 315.127 0.877 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@H](C)Sc2nc(C(=O)[O-])c[nH]2)CC1 ZINC001610006857 1171136876 /nfs/dbraw/zinc/13/68/76/1171136876.db2.gz HENQYZXGYWEFSN-VIFPVBQESA-N 0 2 312.395 0.753 20 0 DCADLN CC[C@]1(O)CCN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000331983074 529438971 /nfs/dbraw/zinc/43/89/71/529438971.db2.gz MOZSMALELSSZJB-HNNXBMFYSA-N 0 2 318.333 0.731 20 0 DCADLN O=C(Nc1ccccc1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000092642967 185331011 /nfs/dbraw/zinc/33/10/11/185331011.db2.gz DQUSCAOBYIRVRJ-UHFFFAOYSA-N 0 2 316.365 0.884 20 0 DCADLN CN(C)c1cccc(CNS(=O)(=O)NCC(F)(F)F)n1 ZINC000195435505 529791925 /nfs/dbraw/zinc/79/19/25/529791925.db2.gz QWSSKFAUJWMIIG-UHFFFAOYSA-N 0 2 312.317 0.634 20 0 DCADLN NC(=O)Cn1ccc(NS(=O)(=O)C[C@@H]2CCCCO2)n1 ZINC000330869753 232126786 /nfs/dbraw/zinc/12/67/86/232126786.db2.gz PYOJTECQZHTPKE-VIFPVBQESA-N 0 2 302.356 0.729 20 0 DCADLN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1ccc2nn[nH]c2c1 ZINC000272684354 210087403 /nfs/dbraw/zinc/08/74/03/210087403.db2.gz SNPIKDRMLSBYMS-JMCQJSRRSA-N 0 2 303.322 0.172 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)[C@@H]1CCCCC(=O)N1 ZINC000289116923 304005863 /nfs/dbraw/zinc/00/58/63/304005863.db2.gz TVOQXLFKTTXQNQ-NSHDSACASA-N 0 2 315.333 0.762 20 0 DCADLN CCSCC[C@H](C)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000173272874 198204959 /nfs/dbraw/zinc/20/49/59/198204959.db2.gz OENZJYWKFKZFPS-QMMMGPOBSA-N 0 2 322.390 0.873 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@@H]2CCCN(C(=O)c3ccncc3)C2)n1 ZINC000173522195 198222953 /nfs/dbraw/zinc/22/29/53/198222953.db2.gz DKEWTUVRPWPFPG-GFCCVEGCSA-N 0 2 314.349 0.999 20 0 DCADLN CNC(=O)[C@H]1CN(C(=O)c2cc(F)cc(Cl)c2O)CCO1 ZINC000180364839 199150309 /nfs/dbraw/zinc/15/03/09/199150309.db2.gz YEHBGRBQVNJXNO-SNVBAGLBSA-N 0 2 316.716 0.772 20 0 DCADLN CS[C@H](CO)[C@H](C)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000427443066 240358948 /nfs/dbraw/zinc/35/89/48/240358948.db2.gz SFIGGTOGNMOHJV-GZMMTYOYSA-N 0 2 323.374 0.872 20 0 DCADLN O=C(NCCc1ccccc1OC(F)(F)F)c1nc(=O)[nH][nH]1 ZINC000155113720 291218144 /nfs/dbraw/zinc/21/81/44/291218144.db2.gz YUZCKVKHDTWDPS-UHFFFAOYSA-N 0 2 316.239 0.969 20 0 DCADLN CN(Cc1cc(Br)cn1C)C(=O)c1nc(=O)[nH][nH]1 ZINC000163493708 291246242 /nfs/dbraw/zinc/24/62/42/291246242.db2.gz KKFOLVACQIORFX-UHFFFAOYSA-N 0 2 314.143 0.471 20 0 DCADLN CCC(CC)(NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000566183809 291278332 /nfs/dbraw/zinc/27/83/32/291278332.db2.gz VMEARIHSFBGTOU-UHFFFAOYSA-N 0 2 322.427 0.272 20 0 DCADLN C[C@@]1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CC1(F)F ZINC000421748754 240101126 /nfs/dbraw/zinc/10/11/26/240101126.db2.gz NDXPVJWVPHFZRO-ZETCQYMHSA-N 0 2 312.323 0.893 20 0 DCADLN C[C@H](CONC(=O)CN1CCCC1=O)NC(=O)OC(C)(C)C ZINC000495138812 241209192 /nfs/dbraw/zinc/20/91/92/241209192.db2.gz KJQXGVOJENRJFB-SNVBAGLBSA-N 0 2 315.370 0.570 20 0 DCADLN COc1ccc(CCC(=O)N2CC[N@H+](C)C[C@H]2C[NH3+])cc1OC ZINC000567829999 291381984 /nfs/dbraw/zinc/38/19/84/291381984.db2.gz BRZGRRUTXOZZMO-CQSZACIVSA-N 0 2 321.421 0.738 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)CCO1 ZINC000567980027 291394979 /nfs/dbraw/zinc/39/49/79/291394979.db2.gz JVKPGTOBWKOOFR-NWDGAFQWSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])[C@H]1CN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)CCO1 ZINC000567980027 291394981 /nfs/dbraw/zinc/39/49/81/291394981.db2.gz JVKPGTOBWKOOFR-NWDGAFQWSA-N 0 2 322.365 0.118 20 0 DCADLN CCC[C@](C)(NC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)OC ZINC000275024867 212080667 /nfs/dbraw/zinc/08/06/67/212080667.db2.gz SISWHFFOAOQAAN-NSHDSACASA-N 0 2 302.356 0.038 20 0 DCADLN O=C(CN1CCCC1=O)N[C@H](CO)C[C@H](O)c1ccccc1 ZINC000271679343 209120984 /nfs/dbraw/zinc/12/09/84/209120984.db2.gz QCVSXRLOMJXNEI-KBPBESRZSA-N 0 2 306.362 0.210 20 0 DCADLN Cc1nsc(NC[C@H]2CCCCN2S(=O)(=O)N(C)C)n1 ZINC000572317947 291562006 /nfs/dbraw/zinc/56/20/06/291562006.db2.gz QZFUGMNSGCWTJV-SNVBAGLBSA-N 0 2 319.456 0.341 20 0 DCADLN C[C@@H]1CCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)C[C@H]1C(=O)[O-] ZINC000573723873 291651310 /nfs/dbraw/zinc/65/13/10/291651310.db2.gz CSJWYXRPSBCWBJ-GHMZBOCLSA-N 0 2 315.333 0.845 20 0 DCADLN COC(=O)C[C@H](NC(=O)c1cc(F)c(O)c(F)c1)C(=O)OC ZINC000273957393 211212720 /nfs/dbraw/zinc/21/27/20/211212720.db2.gz OIHDNDAJYSYHDA-VIFPVBQESA-N 0 2 317.244 0.505 20 0 DCADLN CC[C@@H](C)[C@H](OC)C(=O)N1CCC(NS(=O)(=O)CC)CC1 ZINC000331043088 252648762 /nfs/dbraw/zinc/64/87/62/252648762.db2.gz RULJIYWRKOIDFO-YPMHNXCESA-N 0 2 320.455 0.978 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2nncs2)cc1 ZINC000339414657 253082024 /nfs/dbraw/zinc/08/20/24/253082024.db2.gz IJTBJNJERZZUSW-UHFFFAOYSA-N 0 2 319.389 0.742 20 0 DCADLN O=C(CCn1cncn1)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000339705924 253129789 /nfs/dbraw/zinc/12/97/89/253129789.db2.gz LOENQOQSCIKPMV-UHFFFAOYSA-N 0 2 300.278 0.650 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(OCC(N)=O)c2)cn1 ZINC000340327126 253238721 /nfs/dbraw/zinc/23/87/21/253238721.db2.gz RPPNRPUTOCSJOW-UHFFFAOYSA-N 0 2 310.335 0.085 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H]2CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000340328855 253238802 /nfs/dbraw/zinc/23/88/02/253238802.db2.gz FJRHNZAYKMDWEC-MRVPVSSYSA-N 0 2 304.306 0.341 20 0 DCADLN CNS(=O)(=O)c1ccccc1NS(=O)(=O)C[C@H](C)OC ZINC000340382734 253246430 /nfs/dbraw/zinc/24/64/30/253246430.db2.gz AACNISCVGZDGLW-VIFPVBQESA-N 0 2 322.408 0.371 20 0 DCADLN CC(C)(C)[C@H](O)C[C@H](CO)NS(=O)(=O)NCC(F)(F)F ZINC000341106964 253344768 /nfs/dbraw/zinc/34/47/68/253344768.db2.gz MWPLUBFZVJRHNT-HTQZYQBOSA-N 0 2 322.349 0.131 20 0 DCADLN NC(=O)[C@@H]1CN(C(=O)c2cncc(C(F)(F)F)c2)CCO1 ZINC000288649624 220321505 /nfs/dbraw/zinc/32/15/05/220321505.db2.gz ANHHDFREAZCTMC-VIFPVBQESA-N 0 2 303.240 0.427 20 0 DCADLN COc1ccncc1NC(=O)C(=O)N1CCOCC(F)(F)C1 ZINC000288650126 220321688 /nfs/dbraw/zinc/32/16/88/220321688.db2.gz AKGRTCFDDPLGJO-UHFFFAOYSA-N 0 2 315.276 0.523 20 0 DCADLN COCCN(CCOC)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000346524575 254062826 /nfs/dbraw/zinc/06/28/26/254062826.db2.gz NGSPOOGHNQPFRR-UHFFFAOYSA-N 0 2 321.333 0.765 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(C)nn(C)c3c2)c1O ZINC000348390276 254235258 /nfs/dbraw/zinc/23/52/58/254235258.db2.gz MJCNKHSFHRWDHG-GFCCVEGCSA-N 0 2 316.317 0.870 20 0 DCADLN CNC(=O)Cn1nc(NS(=O)(=O)c2ccc(C)nc2)cc1C ZINC000350892359 254328850 /nfs/dbraw/zinc/32/88/50/254328850.db2.gz DCJUJOJKPJEROF-UHFFFAOYSA-N 0 2 323.378 0.442 20 0 DCADLN CO[C@H]1CC[C@H](NC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC000351688893 254358809 /nfs/dbraw/zinc/35/88/09/254358809.db2.gz ZVEHNLMCDIJOTI-QWRGUYRKSA-N 0 2 304.350 0.557 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)NCCCNc1cccc[nH+]1 ZINC000353770998 254469141 /nfs/dbraw/zinc/46/91/41/254469141.db2.gz VVWUVUQEHTXWSR-UHFFFAOYSA-N 0 2 308.367 0.616 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCCC(=O)NC2CC2)cn1 ZINC000275602783 130346384 /nfs/dbraw/zinc/34/63/84/130346384.db2.gz FDMYJGLGAJQAHQ-UHFFFAOYSA-N 0 2 316.365 0.599 20 0 DCADLN CC(=O)Nc1ncc(S(=O)(=O)Nc2noc(C)n2)s1 ZINC000284192359 131057919 /nfs/dbraw/zinc/05/79/19/131057919.db2.gz UUVPBTBHUMMTCJ-UHFFFAOYSA-N 0 2 303.325 0.594 20 0 DCADLN COC(=O)c1ccnc(NS(=O)(=O)c2c(C)cnn2C)c1 ZINC000284961000 131126418 /nfs/dbraw/zinc/12/64/18/131126418.db2.gz CFYUKZFRMMPRJH-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN O=C(NCCCc1nc(=O)[nH][nH]1)c1c(F)ccc(F)c1F ZINC000164348474 132150003 /nfs/dbraw/zinc/15/00/03/132150003.db2.gz YRWOTJDQZSDTRE-UHFFFAOYSA-N 0 2 300.240 0.878 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCOC[C@@H]1C1CC1 ZINC000089973665 395717886 /nfs/dbraw/zinc/71/78/86/395717886.db2.gz XEBGYSRUCJWSNU-LLVKDONJSA-N 0 2 316.317 0.275 20 0 DCADLN Cc1nnnn1-c1cccc(NS(=O)(=O)c2cn[nH]c2)c1 ZINC000040828998 395735449 /nfs/dbraw/zinc/73/54/49/395735449.db2.gz UIAWBPQXUBICJD-UHFFFAOYSA-N 0 2 305.323 0.495 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3oc(=O)n(C)c3c2)cn1 ZINC000102320794 395769768 /nfs/dbraw/zinc/76/97/68/395769768.db2.gz OVNIIIJGFIBAHU-UHFFFAOYSA-N 0 2 308.319 0.666 20 0 DCADLN COC(=O)[C@]1(C)CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000195389068 395826525 /nfs/dbraw/zinc/82/65/25/395826525.db2.gz PGWAODYGVHUYOB-MRVPVSSYSA-N 0 2 304.290 0.268 20 0 DCADLN C[C@H](CONC(=O)CSCC(N)=O)NC(=O)OC(C)(C)C ZINC000270974460 395827976 /nfs/dbraw/zinc/82/79/76/395827976.db2.gz UJAJRIJDASVXIL-MRVPVSSYSA-N 0 2 321.399 0.166 20 0 DCADLN CC(C)[C@H](CNC(=O)c1cncc(O)c1)[NH+]1CCN(C)CC1 ZINC000109042579 395796308 /nfs/dbraw/zinc/79/63/08/395796308.db2.gz VMPVVWWJIDWQKN-HNNXBMFYSA-N 0 2 306.410 0.789 20 0 DCADLN COc1ncccc1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000053747444 395888131 /nfs/dbraw/zinc/88/81/31/395888131.db2.gz RDLBCXAGHKPWPZ-UHFFFAOYSA-N 0 2 313.273 0.680 20 0 DCADLN Cc1nc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)cs1 ZINC000053933551 395890826 /nfs/dbraw/zinc/89/08/26/395890826.db2.gz ZYWAAIKWWFMFHW-UHFFFAOYSA-N 0 2 317.330 0.719 20 0 DCADLN Cc1cc(C(=O)NC(C)(C)CC(N)=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000273245662 395909400 /nfs/dbraw/zinc/90/94/00/395909400.db2.gz BFKICGRSVWCURX-UHFFFAOYSA-N 0 2 319.321 0.128 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2nccc(C)n2)cn1C ZINC000273490672 395924428 /nfs/dbraw/zinc/92/44/28/395924428.db2.gz SHNURINRXIBKKG-UHFFFAOYSA-N 0 2 309.351 0.284 20 0 DCADLN C[C@@H](Cn1cccn1)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000052664988 395867434 /nfs/dbraw/zinc/86/74/34/395867434.db2.gz XZTPEWQJOHDRRN-QMMMGPOBSA-N 0 2 314.305 0.039 20 0 DCADLN CC(C)[C@H]1C(=O)NCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000119415152 395870759 /nfs/dbraw/zinc/87/07/59/395870759.db2.gz QBUPOVCRMWFCMD-HNNXBMFYSA-N 0 2 305.378 0.691 20 0 DCADLN CC(C)[C@H]1C(=O)NCC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000119415152 395870761 /nfs/dbraw/zinc/87/07/61/395870761.db2.gz QBUPOVCRMWFCMD-HNNXBMFYSA-N 0 2 305.378 0.691 20 0 DCADLN Cc1cc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)no1 ZINC000062292672 395973043 /nfs/dbraw/zinc/97/30/43/395973043.db2.gz KVULNIQNHBSXPU-UHFFFAOYSA-N 0 2 301.262 0.250 20 0 DCADLN CC1(C)CN(C(=O)CSc2n[nH]c(=O)[nH]2)CC(C)(C)O1 ZINC000275982377 395988845 /nfs/dbraw/zinc/98/88/45/395988845.db2.gz KUWIOMIMPBMULA-UHFFFAOYSA-N 0 2 300.384 0.606 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CC[C@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000276829556 396030871 /nfs/dbraw/zinc/03/08/71/396030871.db2.gz FJUZYDZQEZVQSN-IUCAKERBSA-N 0 2 318.333 0.635 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000262088173 396098155 /nfs/dbraw/zinc/09/81/55/396098155.db2.gz HRVPFQGLNAMBIW-ZDUSSCGKSA-N 0 2 301.302 0.798 20 0 DCADLN O=C([O-])[C@H]1CCCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000262126414 396102354 /nfs/dbraw/zinc/10/23/54/396102354.db2.gz NCCHUMFMGCZDDF-RYUDHWBXSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@H]1CCCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000262126414 396102357 /nfs/dbraw/zinc/10/23/57/396102357.db2.gz NCCHUMFMGCZDDF-RYUDHWBXSA-N 0 2 306.366 0.739 20 0 DCADLN CCNC(=O)N1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000070759496 396104627 /nfs/dbraw/zinc/10/46/27/396104627.db2.gz UCHBFTUYSLWFMY-UHFFFAOYSA-N 0 2 321.406 0.832 20 0 DCADLN CO[C@H](CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)C ZINC000074020954 396155700 /nfs/dbraw/zinc/15/57/00/396155700.db2.gz OOBPLPYQANLSGA-SNVBAGLBSA-N 0 2 306.322 0.425 20 0 DCADLN COc1cccc(S(=O)(=O)CC[N@H+](C)CCC(=O)[O-])c1 ZINC000262608947 396161125 /nfs/dbraw/zinc/16/11/25/396161125.db2.gz BJCXDLOPPUWWOZ-UHFFFAOYSA-N 0 2 301.364 0.875 20 0 DCADLN COc1cccc(S(=O)(=O)CC[N@@H+](C)CCC(=O)[O-])c1 ZINC000262608947 396161126 /nfs/dbraw/zinc/16/11/26/396161126.db2.gz BJCXDLOPPUWWOZ-UHFFFAOYSA-N 0 2 301.364 0.875 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NC[C@H]1CCN(c2ccccc2)C1 ZINC000262687605 396172716 /nfs/dbraw/zinc/17/27/16/396172716.db2.gz YLKBUBWZUQYSNY-CYBMUJFWSA-N 0 2 305.378 0.646 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NC[C@H]1CCN(c2ccccc2)C1 ZINC000262687605 396172718 /nfs/dbraw/zinc/17/27/18/396172718.db2.gz YLKBUBWZUQYSNY-CYBMUJFWSA-N 0 2 305.378 0.646 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C2[C@@H]3CCC[C@H]23)s1 ZINC000178136787 396189389 /nfs/dbraw/zinc/18/93/89/396189389.db2.gz FLIXBIZJMYDDAU-MEKDEQNOSA-N 0 2 302.381 0.894 20 0 DCADLN CS[C@@H]1CCC[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000187105931 396363563 /nfs/dbraw/zinc/36/35/63/396363563.db2.gz IKLSOIDICZDGEV-JGVFFNPUSA-N 0 2 302.425 0.981 20 0 DCADLN COC(=O)[C@@H](C)N(Cc1cc(=O)n2[nH]ccc2n1)C1CCC1 ZINC000190094155 396401935 /nfs/dbraw/zinc/40/19/35/396401935.db2.gz IRLLJRPMXQIMEB-SNVBAGLBSA-N 0 2 304.350 0.939 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCOCC(F)F)c2=O ZINC000188053372 396378309 /nfs/dbraw/zinc/37/83/09/396378309.db2.gz XLKOPKJFOZOEKV-UHFFFAOYSA-N 0 2 300.265 0.685 20 0 DCADLN O=C(C1=NN(c2ccc(F)cc2)CC1=O)N1C[C@H](O)C[C@H]1CO ZINC000372229860 396498968 /nfs/dbraw/zinc/49/89/68/396498968.db2.gz AUSJGCMEGBVIDY-NWDGAFQWSA-N 0 2 321.308 0.285 20 0 DCADLN CCc1nc([C@H](C)NS(=O)(=O)c2c(N)noc2C)n[nH]1 ZINC000290694529 396470345 /nfs/dbraw/zinc/47/03/45/396470345.db2.gz ICOCZKXHCOCIRH-YFKPBYRVSA-N 0 2 300.344 0.285 20 0 DCADLN CN(C)c1ccc(S(=O)(=O)Nc2cc(N(C)C)ncn2)cn1 ZINC000290970484 396476603 /nfs/dbraw/zinc/47/66/03/396476603.db2.gz PWHKWWROZLXNEG-UHFFFAOYSA-N 0 2 322.394 0.804 20 0 DCADLN Cn1nc(Br)cc1NS(=O)(=O)c1ccnn1C ZINC000292406539 396549097 /nfs/dbraw/zinc/54/90/97/396549097.db2.gz GUDATYVSLGSELR-UHFFFAOYSA-N 0 2 320.172 0.717 20 0 DCADLN C[C@@H]1C[N@H+](C[C@H](O)C[NH2+][C@@H]2CCCC(F)(F)[C@H]2O)C[C@H](C)O1 ZINC000582360258 396605988 /nfs/dbraw/zinc/60/59/88/396605988.db2.gz GVNIZYBFQQUKNF-ITGHMWBKSA-N 0 2 322.396 0.595 20 0 DCADLN CC(C)(CCNC(=O)c1cccc2[nH]nnc21)S(C)(=O)=O ZINC000560878850 396626465 /nfs/dbraw/zinc/62/64/65/396626465.db2.gz MVRCSLHZVMQSDP-UHFFFAOYSA-N 0 2 310.379 0.901 20 0 DCADLN NS(=O)(=O)CCCCNC(=O)c1cc(F)c(O)c(F)c1 ZINC000294488609 396677119 /nfs/dbraw/zinc/67/71/19/396677119.db2.gz RVYSLTCWIMGGLK-UHFFFAOYSA-N 0 2 308.306 0.469 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc3c(c2)NC(=O)CO3)cn1C ZINC000589149184 396705294 /nfs/dbraw/zinc/70/52/94/396705294.db2.gz ABIMAZKKGUUAOC-UHFFFAOYSA-N 0 2 322.346 0.860 20 0 DCADLN CO[C@@H]1C[C@@H](c2n[nH]c(C)n2)N(C(=O)[C@@H](C)[NH+]2CC(C)C2)C1 ZINC000563401656 396728535 /nfs/dbraw/zinc/72/85/35/396728535.db2.gz VMQWLOXCCTYKFG-RTXFEEFZSA-N 0 2 307.398 0.742 20 0 DCADLN CCOC(=O)COCCNc1nc(C2CCOCC2)ns1 ZINC000596253378 396746125 /nfs/dbraw/zinc/74/61/25/396746125.db2.gz RRPDRPKFCCQRNF-UHFFFAOYSA-N 0 2 315.395 0.846 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000563625009 396761973 /nfs/dbraw/zinc/76/19/73/396761973.db2.gz PCQNDSJARLMLSD-SNVBAGLBSA-N 0 2 308.338 0.097 20 0 DCADLN CC[NH+]1CCC(NC(=O)C[N@@H+](CC(N)=O)C2CCCC2)CC1 ZINC000590840558 396847486 /nfs/dbraw/zinc/84/74/86/396847486.db2.gz LPQDVVXZIGBQBP-UHFFFAOYSA-N 0 2 310.442 0.317 20 0 DCADLN CN1CC[N@H+](C)[C@@H](CNc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000317171062 396801038 /nfs/dbraw/zinc/80/10/38/396801038.db2.gz ZTFHSUQJZQZLQC-NSHDSACASA-N 0 2 308.338 0.951 20 0 DCADLN CN1CC[N@@H+](C)[C@@H](CNc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000317171062 396801042 /nfs/dbraw/zinc/80/10/42/396801042.db2.gz ZTFHSUQJZQZLQC-NSHDSACASA-N 0 2 308.338 0.951 20 0 DCADLN CCS(=O)(=O)NCCC[N@H+]1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000376428640 396927905 /nfs/dbraw/zinc/92/79/05/396927905.db2.gz RRODBXKAGTVXKH-ZDUSSCGKSA-N 0 2 314.455 0.929 20 0 DCADLN COCCOC1CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000611788759 396929400 /nfs/dbraw/zinc/92/94/00/396929400.db2.gz FMKPBSYTKPJIEB-UHFFFAOYSA-N 0 2 319.317 0.517 20 0 DCADLN CC(C)Cc1nc(=NC(=O)[C@@H](C)N2CC[NH+](C)CC2)s[n-]1 ZINC000618347831 396968346 /nfs/dbraw/zinc/96/83/46/396968346.db2.gz VBLMCZQHBAYSMP-LLVKDONJSA-N 0 2 311.455 0.733 20 0 DCADLN CCOC(=O)[C@H](C)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597355601 396949903 /nfs/dbraw/zinc/94/99/03/396949903.db2.gz SIHHTNBDNDHJTK-SECBINFHSA-N 0 2 319.317 0.959 20 0 DCADLN CC(C)(C)N1CC[C@@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C1=O ZINC000572486251 397064196 /nfs/dbraw/zinc/06/41/96/397064196.db2.gz SCLSMBJBCQPPSN-SSDOTTSWSA-N 0 2 313.383 0.118 20 0 DCADLN CN(CCN1CCNC1=O)Cc1nc(=O)c2sccc2[nH]1 ZINC000572757460 397092819 /nfs/dbraw/zinc/09/28/19/397092819.db2.gz SXLDQVSGQDIIOA-UHFFFAOYSA-N 0 2 307.379 0.854 20 0 DCADLN COC(=O)C1(C)CN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000597800696 397106027 /nfs/dbraw/zinc/10/60/27/397106027.db2.gz YLFRINQZAPEIFA-UHFFFAOYSA-N 0 2 317.301 0.665 20 0 DCADLN O=C(N[C@H]1CCC(=O)N[C@H]1[C@H]1CCCO1)[C@H](F)C(F)(F)F ZINC000378019028 397181240 /nfs/dbraw/zinc/18/12/40/397181240.db2.gz PTRCIDGYEWQAQO-WDQPUEAGSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1CCC(=O)N[C@H]1[C@H]1CCCO1)C(F)C(F)(F)F ZINC000378019028 397181246 /nfs/dbraw/zinc/18/12/46/397181246.db2.gz PTRCIDGYEWQAQO-WDQPUEAGSA-N 0 2 312.263 0.829 20 0 DCADLN CO[C@@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)C1CCCC1 ZINC000620165607 397248061 /nfs/dbraw/zinc/24/80/61/397248061.db2.gz OHIIOILFKGJPIP-VIFPVBQESA-N 0 2 300.384 0.924 20 0 DCADLN Cc1nc(N2CCN(C(=O)c3cc(=O)n(C)[n-]3)CC2)cc[nH+]1 ZINC000613500947 397269391 /nfs/dbraw/zinc/26/93/91/397269391.db2.gz TWZQLFRTRNGZHC-UHFFFAOYSA-N 0 2 302.338 0.187 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000386940984 397290707 /nfs/dbraw/zinc/29/07/07/397290707.db2.gz QYNJJJFTFWPZEV-UHFFFAOYSA-N 0 2 322.346 0.528 20 0 DCADLN O=C([O-])C[C@@H]1CN(c2cc(N3CCSCC3)[nH+]cn2)CCO1 ZINC000574403521 397264434 /nfs/dbraw/zinc/26/44/34/397264434.db2.gz FVMOOPYSIXVWDS-LLVKDONJSA-N 0 2 324.406 0.710 20 0 DCADLN O=C([O-])C[C@@H]1CN(c2cc(N3CCSCC3)nc[nH+]2)CCO1 ZINC000574403521 397264442 /nfs/dbraw/zinc/26/44/42/397264442.db2.gz FVMOOPYSIXVWDS-LLVKDONJSA-N 0 2 324.406 0.710 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)c2c(C)cnn2C)n1 ZINC000593283381 397348788 /nfs/dbraw/zinc/34/87/88/397348788.db2.gz YLVJMWINULOTTI-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN C[C@@H]1C[N@H+](C)CC[C@H]1N(C)C(=O)NC[C@@H]1C[NH+](C2CC2)CCO1 ZINC000366551818 397374966 /nfs/dbraw/zinc/37/49/66/397374966.db2.gz ANCRJEIRTOGUFJ-FVQBIDKESA-N 0 2 324.469 0.831 20 0 DCADLN CC(C)OC(=O)C[C@H](Nc1nc(N)nc(N)[nH+]1)c1ccccc1 ZINC000599054501 397328738 /nfs/dbraw/zinc/32/87/38/397328738.db2.gz YEEGLXZAZTYGSN-NSHDSACASA-N 0 2 316.365 0.614 20 0 DCADLN O=C(Cn1cc(C2CC2)cn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614520039 397462787 /nfs/dbraw/zinc/46/27/87/397462787.db2.gz YJKYCUBKHLIHKI-LLVKDONJSA-N 0 2 316.365 0.990 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@@H](O)C[NH2+]C[C@H](O)Cc2ccccc2)C[C@H](C)O1 ZINC000578770744 397482802 /nfs/dbraw/zinc/48/28/02/397482802.db2.gz ZWJMZFXLXKVAAR-ATLSCFEFSA-N 0 2 322.449 0.650 20 0 DCADLN Cc1ccc([C@@H](CN=c2nn[n-]n2C)[NH+]2CCOCC2)cc1 ZINC000593992014 397490963 /nfs/dbraw/zinc/49/09/63/397490963.db2.gz NXIJQZORKYQFPA-CQSZACIVSA-N 0 2 302.382 0.426 20 0 DCADLN CN1CC[N@H+](C)C[C@@H]1C[NH2+]CCC(=O)Nc1cccc(F)c1 ZINC000579583128 397581256 /nfs/dbraw/zinc/58/12/56/397581256.db2.gz FAUPODTZWYURBW-HNNXBMFYSA-N 0 2 308.401 0.990 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)[C@@H]1CCCOC1 ZINC000492508115 397523091 /nfs/dbraw/zinc/52/30/91/397523091.db2.gz TVIBJRIDRXZXDL-MVIFTORASA-N 0 2 313.379 0.541 20 0 DCADLN O=C(NC[C@H](O)[C@H]1CCOC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000605894326 397673762 /nfs/dbraw/zinc/67/37/62/397673762.db2.gz QCUNYIUFNICHOS-RYUDHWBXSA-N 0 2 319.317 0.157 20 0 DCADLN CN(C)c1nc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc2o1 ZINC000125195939 158252253 /nfs/dbraw/zinc/25/22/53/158252253.db2.gz WBMCGBKEJBAZRA-UHFFFAOYSA-N 0 2 317.309 0.627 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H]1c2ccccc2C[C@@H]1O ZINC000127247626 158271133 /nfs/dbraw/zinc/27/11/33/158271133.db2.gz QEHZWVKZTIQIPP-UWVGGRQHSA-N 0 2 310.297 0.631 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(-c2csnn2)cc1 ZINC000137209539 158345490 /nfs/dbraw/zinc/34/54/90/158345490.db2.gz GPJMAOZEASCCOW-UHFFFAOYSA-N 0 2 317.334 0.938 20 0 DCADLN C[C@H]1C(=O)NCCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000188098428 158542984 /nfs/dbraw/zinc/54/29/84/158542984.db2.gz PLWWXPPNPXSPNO-NSHDSACASA-N 0 2 314.345 0.929 20 0 DCADLN CCOCCS(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330418954 159171074 /nfs/dbraw/zinc/17/10/74/159171074.db2.gz FPGWCFYARDJWOC-SECBINFHSA-N 0 2 304.372 0.056 20 0 DCADLN Cc1c(NS(=O)(=O)c2cn[nH]c2)cccc1N1CN=NC1=O ZINC000367966672 159366987 /nfs/dbraw/zinc/36/69/87/159366987.db2.gz PSTNFJPFYDQRJJ-UHFFFAOYSA-N 0 2 320.334 0.805 20 0 DCADLN CSCC(=O)N1CCc2nc(NS(C)(=O)=O)sc2C1 ZINC000408080627 160031796 /nfs/dbraw/zinc/03/17/96/160031796.db2.gz OLWNOWWKLIUNPQ-UHFFFAOYSA-N 0 2 321.449 0.762 20 0 DCADLN CO[C@@H]1CCC[C@H](CC(=O)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000408487481 160118449 /nfs/dbraw/zinc/11/84/49/160118449.db2.gz VZSLUKMYRXZOLO-WDEREUQCSA-N 0 2 315.395 0.820 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2cnn(C)c2)ccc1O ZINC000044352110 286886605 /nfs/dbraw/zinc/88/66/05/286886605.db2.gz PABKNBHYJZILMF-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)[NH+]1CCSCC1)N1CC[NH+](C)CC1 ZINC000132097355 287003625 /nfs/dbraw/zinc/00/36/25/287003625.db2.gz CHUPREVUNFJTRZ-KGLIPLIRSA-N 0 2 314.499 0.176 20 0 DCADLN COc1ccccc1OC[C@@H](C)CNC(=O)c1nc(=O)[nH][nH]1 ZINC000135222406 287020869 /nfs/dbraw/zinc/02/08/69/287020869.db2.gz OCHDIJLTJMYQKE-VIFPVBQESA-N 0 2 306.322 0.552 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCN(Cc2cccc(F)c2)CC1 ZINC000151280707 287032700 /nfs/dbraw/zinc/03/27/00/287032700.db2.gz ZOHMVWDCFRVVHF-UHFFFAOYSA-N 0 2 305.313 0.195 20 0 DCADLN CCOc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1F ZINC000356002683 287192500 /nfs/dbraw/zinc/19/25/00/287192500.db2.gz XESWSXKJFAXKLI-UHFFFAOYSA-N 0 2 323.284 0.303 20 0 DCADLN CSCC[C@@H](CO)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358389711 287207543 /nfs/dbraw/zinc/20/75/43/287207543.db2.gz ALERLWUJWHIBEJ-QMMMGPOBSA-N 0 2 310.379 0.518 20 0 DCADLN COCc1nc(S(=O)(=O)CC(=O)Nc2ccc(C)cc2)n[nH]1 ZINC000268453693 415214991 /nfs/dbraw/zinc/21/49/91/415214991.db2.gz BORMZAUXHGHGDX-UHFFFAOYSA-N 0 2 324.362 0.672 20 0 DCADLN COc1cccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1OC ZINC000080975385 415485366 /nfs/dbraw/zinc/48/53/66/415485366.db2.gz RADREDWTSKUCLV-UHFFFAOYSA-N 0 2 306.322 0.478 20 0 DCADLN NC(=O)Cc1csc(NC(=O)c2ccc3[nH]nnc3c2)n1 ZINC000343082678 415433953 /nfs/dbraw/zinc/43/39/53/415433953.db2.gz YCBYFBBLPWBMOG-UHFFFAOYSA-N 0 2 302.319 0.695 20 0 DCADLN CNC(=O)COc1ccc(NC(=O)C(N)C(F)(F)F)cc1 ZINC000353171719 415461066 /nfs/dbraw/zinc/46/10/66/415461066.db2.gz RZKVPVKNGQPNNU-SNVBAGLBSA-N 0 2 305.256 0.640 20 0 DCADLN CNC(=O)COc1ccc(NC(=O)[C@@H](N)C(F)(F)F)cc1 ZINC000353171719 415461076 /nfs/dbraw/zinc/46/10/76/415461076.db2.gz RZKVPVKNGQPNNU-SNVBAGLBSA-N 0 2 305.256 0.640 20 0 DCADLN O=C(CNC(=O)COc1ccccc1)NOC[C@H]1CCOC1 ZINC000276300735 415466915 /nfs/dbraw/zinc/46/69/15/415466915.db2.gz OAKUJWBGBQNCKE-LBPRGKRZSA-N 0 2 308.334 0.266 20 0 DCADLN CN(C(=O)N=c1nc(-c2ccccc2)[nH]s1)[C@@H]1COC[C@@H]1O ZINC000353356670 415516419 /nfs/dbraw/zinc/51/64/19/415516419.db2.gz SXQXIAAOHQLYNZ-MNOVXSKESA-N 0 2 320.374 0.850 20 0 DCADLN CN(C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C1CC(O)C1 ZINC000353435025 415542541 /nfs/dbraw/zinc/54/25/41/415542541.db2.gz WLRNQWFCJYSPAD-UHFFFAOYSA-N 0 2 314.345 0.793 20 0 DCADLN CN1CC[N@H+](C)[C@@H](CNC(=O)NC[C@@H]2CCCC[NH+]2C2CC2)C1 ZINC000333419698 415645038 /nfs/dbraw/zinc/64/50/38/415645038.db2.gz IGZKNVJTIUSSBI-HOTGVXAUSA-N 0 2 323.485 0.548 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccc3[nH]nnc3c2)c[nH]1 ZINC000353830195 415669385 /nfs/dbraw/zinc/66/93/85/415669385.db2.gz VKNLDCFCWBRLAA-UHFFFAOYSA-N 0 2 321.318 0.873 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccc3n[nH]nc3c2)c[nH]1 ZINC000353830195 415669391 /nfs/dbraw/zinc/66/93/91/415669391.db2.gz VKNLDCFCWBRLAA-UHFFFAOYSA-N 0 2 321.318 0.873 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccc3nn[nH]c3c2)c[nH]1 ZINC000353830195 415669395 /nfs/dbraw/zinc/66/93/95/415669395.db2.gz VKNLDCFCWBRLAA-UHFFFAOYSA-N 0 2 321.318 0.873 20 0 DCADLN O=C(N[C@H]1CCN(c2ccccc2Cl)C1=O)c1nc(=O)[nH][nH]1 ZINC000111630217 415696800 /nfs/dbraw/zinc/69/68/00/415696800.db2.gz FAZDVVWIRWEYDA-QMMMGPOBSA-N 0 2 321.724 0.287 20 0 DCADLN C[C@@H]1C[C@H]1c1cc(=NC(=O)N=c2nc3n([nH]2)CCCC3)[nH][nH]1 ZINC000333642948 415700572 /nfs/dbraw/zinc/70/05/72/415700572.db2.gz JEABQBLSAMGVTO-RKDXNWHRSA-N 0 2 301.354 0.949 20 0 DCADLN CCOC(=O)Cc1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000354123934 415761570 /nfs/dbraw/zinc/76/15/70/415761570.db2.gz DUFLRKGFSDAZTQ-UHFFFAOYSA-N 0 2 319.321 0.938 20 0 DCADLN C[C@H](CN1CCOCC1)NC(=O)N1CCCc2c(cnn2C)C1 ZINC000334027745 415787358 /nfs/dbraw/zinc/78/73/58/415787358.db2.gz INBVOFJRFNEMGK-CYBMUJFWSA-N 0 2 321.425 0.599 20 0 DCADLN C[C@@H](NC(=O)c1ccoc1)C(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000334028990 415788028 /nfs/dbraw/zinc/78/80/28/415788028.db2.gz TTXLPYHNUVOWJD-MWLCHTKSSA-N 0 2 314.363 0.091 20 0 DCADLN O=C(N[C@H]1CCCS(=O)(=O)C1)N1CCO[C@@H](C2CCC2)C1 ZINC000334034565 415789284 /nfs/dbraw/zinc/78/92/84/415789284.db2.gz MWLOWHUCYQLAJX-QWHCGFSZSA-N 0 2 316.423 0.774 20 0 DCADLN COc1cc2c(cc1CNC(=O)c1nc(=O)[nH][nH]1)O[C@@H](C)C2 ZINC000127581712 415889753 /nfs/dbraw/zinc/88/97/53/415889753.db2.gz HPAQJSZEROKLTJ-ZETCQYMHSA-N 0 2 304.306 0.360 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCc2n[nH]cc2C1 ZINC000344438327 415917501 /nfs/dbraw/zinc/91/75/01/415917501.db2.gz GZVVHHPKLZRJTP-UHFFFAOYSA-N 0 2 312.289 0.358 20 0 DCADLN Cn1c[nH+]cc1CC[NH+]1CCN(C(=O)[C@H]2CCC[N@H+]2C)CC1 ZINC000297849573 415977882 /nfs/dbraw/zinc/97/78/82/415977882.db2.gz OMZAUHYGVYZXSJ-OAHLLOKOSA-N 0 2 305.426 0.201 20 0 DCADLN Cn1c[nH+]cc1CC[NH+]1CCN(C(=O)[C@@H]2CCC[N@H+]2C)CC1 ZINC000297849571 415978497 /nfs/dbraw/zinc/97/84/97/415978497.db2.gz OMZAUHYGVYZXSJ-HNNXBMFYSA-N 0 2 305.426 0.201 20 0 DCADLN Cc1ocnc1CNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000356809851 415991268 /nfs/dbraw/zinc/99/12/68/415991268.db2.gz CVFNWKBBDPILIX-UHFFFAOYSA-N 0 2 323.378 0.809 20 0 DCADLN C[N@@H+](CCC[NH+]1CCCC1)CC1(O)CCS(=O)(=O)CC1 ZINC000337282048 415934915 /nfs/dbraw/zinc/93/49/15/415934915.db2.gz WYPGOSYIYFVACR-UHFFFAOYSA-N 0 2 304.456 0.344 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ccc(C(N)=O)nc2)c[nH]1 ZINC000357194759 416043341 /nfs/dbraw/zinc/04/33/41/416043341.db2.gz XKLQADGPBPWFCV-UHFFFAOYSA-N 0 2 324.318 0.096 20 0 DCADLN Cc1ocnc1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000345129285 416049226 /nfs/dbraw/zinc/04/92/26/416049226.db2.gz KBOVPFNHZGAHIF-UHFFFAOYSA-N 0 2 301.262 0.662 20 0 DCADLN Cc1cc(F)cc(-n2cc(C(=O)NCc3n[nH]c(=O)[nH]3)nn2)c1 ZINC000345243894 416066659 /nfs/dbraw/zinc/06/66/59/416066659.db2.gz MQVDJSFCLYHTOM-UHFFFAOYSA-N 0 2 317.284 0.469 20 0 DCADLN CCC(CC)(NC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)OC ZINC000338303911 416074694 /nfs/dbraw/zinc/07/46/94/416074694.db2.gz PYSQGXBJBDWNHZ-UHFFFAOYSA-N 0 2 302.356 0.450 20 0 DCADLN C[C@@H](O)C[C@H]1COCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344900102 416005074 /nfs/dbraw/zinc/00/50/74/416005074.db2.gz DFXGBFZBXVDBEH-ZJUUUORDSA-N 0 2 320.349 0.286 20 0 DCADLN CCS(=O)(=O)N1CC(CNc2nc([C@@H](C)OC)ns2)C1 ZINC000337946875 416025974 /nfs/dbraw/zinc/02/59/74/416025974.db2.gz WALHRQNVCXZRBM-MRVPVSSYSA-N 0 2 320.440 0.361 20 0 DCADLN NC(=O)c1cccc(S(O)=CC(=O)NC[C@@H]2CCCO2)c1 ZINC000357178346 416040678 /nfs/dbraw/zinc/04/06/78/416040678.db2.gz WWZJPVYLJXWQND-WIUDPPPLSA-N 0 2 310.375 0.188 20 0 DCADLN COC(=O)c1cccc(NC(=O)CSc2n[nH]c(=O)[nH]2)c1N ZINC000338627088 416118040 /nfs/dbraw/zinc/11/80/40/416118040.db2.gz LNAKPPXUZIPIRM-UHFFFAOYSA-N 0 2 323.334 0.610 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCC(=O)NC1(C)C)c2=O ZINC000338700547 416128095 /nfs/dbraw/zinc/12/80/95/416128095.db2.gz PKUJHKVZJSIRQW-JTQLQIEISA-N 0 2 317.349 0.461 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3[nH]cnc32)cc1C(N)=O ZINC000177676783 416149831 /nfs/dbraw/zinc/14/98/31/416149831.db2.gz LNSBLMLPJSVZHE-UHFFFAOYSA-N 0 2 319.346 0.801 20 0 DCADLN CCc1nnc([C@@H](C)NC(=O)c2c[nH]c3c(cnn3C)c2=O)[nH]1 ZINC000358349000 416227285 /nfs/dbraw/zinc/22/72/85/416227285.db2.gz GXEIDFBFGWGDIC-SSDOTTSWSA-N 0 2 315.337 0.845 20 0 DCADLN CNC(=O)[C@H]1CN(C(=O)c2cc(F)c(O)c(F)c2)CCO1 ZINC000183514309 416244310 /nfs/dbraw/zinc/24/43/10/416244310.db2.gz IPBOZHXJKGWRKW-SNVBAGLBSA-N 0 2 300.261 0.257 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C=C[C@H](CO)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000341914047 416251730 /nfs/dbraw/zinc/25/17/30/416251730.db2.gz YIRPXTZMMDDLKP-IUCAKERBSA-N 0 2 316.317 0.411 20 0 DCADLN CC(C)(C)C(=O)N1CCC[C@@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000331086553 416263171 /nfs/dbraw/zinc/26/31/71/416263171.db2.gz RKTOCMZWWYEEIB-SECBINFHSA-N 0 2 309.370 0.411 20 0 DCADLN COC(=O)[C@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000264056639 416339100 /nfs/dbraw/zinc/33/91/00/416339100.db2.gz ZMOBTANRGXIMPE-SECBINFHSA-N 0 2 318.289 0.214 20 0 DCADLN C[C@H]1CN(C(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)C[C@H]1O ZINC000359429609 416345257 /nfs/dbraw/zinc/34/52/57/416345257.db2.gz RTHQXSASOUICIQ-JGVFFNPUSA-N 0 2 312.395 0.035 20 0 DCADLN CN(C)Cc1cc(C(=O)NC2(c3nn[nH]n3)CCCC2)no1 ZINC000359442020 416345941 /nfs/dbraw/zinc/34/59/41/416345941.db2.gz RHYGMBRBBXKTRJ-UHFFFAOYSA-N 0 2 305.342 0.449 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1ccccc1)[C@@H]1COCC[NH2+]1 ZINC000237928345 416329107 /nfs/dbraw/zinc/32/91/07/416329107.db2.gz HEELGFCGHUNMKB-HOTGVXAUSA-N 0 2 303.406 0.756 20 0 DCADLN O=C1[C@@H](NS(=O)(=O)NCC(F)(F)F)C[C@H]2CCCCN12 ZINC000416517638 416373526 /nfs/dbraw/zinc/37/35/26/416373526.db2.gz SAWXZJXWXWXURE-SFYZADRCSA-N 0 2 315.317 0.126 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2cccc(F)c2)cn1 ZINC000360547240 416395365 /nfs/dbraw/zinc/39/53/65/416395365.db2.gz PWKZNKKPXQAQAX-UHFFFAOYSA-N 0 2 313.310 0.996 20 0 DCADLN COCCCC[N@H+](C)CC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000419384360 416400541 /nfs/dbraw/zinc/40/05/41/416400541.db2.gz MCJDHJKBAODCEM-UHFFFAOYSA-N 0 2 315.458 0.572 20 0 DCADLN C[C@@H]1CN(C(=O)NC[C@@H]2C[NH+](C)CCO2)CC[N@@H+](C2CC2)C1 ZINC000458310942 416449817 /nfs/dbraw/zinc/44/98/17/416449817.db2.gz LCJFRIGAZVBAKY-DZGCQCFKSA-N 0 2 310.442 0.443 20 0 DCADLN COC(=O)[C@@H](NC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000362090366 416560488 /nfs/dbraw/zinc/56/04/88/416560488.db2.gz YAPXRKYMEBIRCY-JTQLQIEISA-N 0 2 322.346 0.633 20 0 DCADLN CCS(=O)(=O)N1CC(CNc2nc(C(C)(C)C)ns2)C1 ZINC000438892100 416603691 /nfs/dbraw/zinc/60/36/91/416603691.db2.gz HYQWFQDUUYAYGV-UHFFFAOYSA-N 0 2 318.468 0.951 20 0 DCADLN CCS(=O)(=O)N1CC(CN=c2nc(C(C)(C)C)[nH]s2)C1 ZINC000438892100 416603696 /nfs/dbraw/zinc/60/36/96/416603696.db2.gz HYQWFQDUUYAYGV-UHFFFAOYSA-N 0 2 318.468 0.951 20 0 DCADLN O=C(NCC1(CO)CCC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613466247 416678880 /nfs/dbraw/zinc/67/88/80/416678880.db2.gz VWSHPVABIGSPBZ-UHFFFAOYSA-N 0 2 303.318 0.922 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)c2cccs2)n1 ZINC000424216323 416628060 /nfs/dbraw/zinc/62/80/60/416628060.db2.gz AWOKBTRYQNBHGK-UHFFFAOYSA-N 0 2 316.364 0.704 20 0 DCADLN CCOC(=O)Cn1cnc(NS(=O)(=O)CCC2CC2)n1 ZINC000424222447 416628756 /nfs/dbraw/zinc/62/87/56/416628756.db2.gz MUWWLQLJGNAMPA-UHFFFAOYSA-N 0 2 302.356 0.383 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CC(=O)N1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC000424254755 416634919 /nfs/dbraw/zinc/63/49/19/416634919.db2.gz LKZBTHPZAVIHKT-SMDDNHRTSA-N 0 2 313.398 0.155 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)CC(=O)N1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC000424254755 416634922 /nfs/dbraw/zinc/63/49/22/416634922.db2.gz LKZBTHPZAVIHKT-SMDDNHRTSA-N 0 2 313.398 0.155 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC[C@H]2COCCO2)c1 ZINC000424587633 416665487 /nfs/dbraw/zinc/66/54/87/416665487.db2.gz AKCZIVWKVFEMAE-LAJNKCICSA-N 0 2 313.375 0.334 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCO[C@H]1CCCCO1 ZINC000615074935 416750230 /nfs/dbraw/zinc/75/02/30/416750230.db2.gz OQIXKOSHAKWDLU-VIFPVBQESA-N 0 2 302.356 0.262 20 0 DCADLN Cn1ncc2c1CCC[C@@H]2NS(=O)(=O)NCC(F)(F)F ZINC000442909312 416719057 /nfs/dbraw/zinc/71/90/57/416719057.db2.gz RMAVAAWCEMXENT-QMMMGPOBSA-N 0 2 312.317 0.784 20 0 DCADLN COCCOC[C@H]1CCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000443016677 416724836 /nfs/dbraw/zinc/72/48/36/416724836.db2.gz XDSBMCIOKZCGBA-VIFPVBQESA-N 0 2 320.333 0.368 20 0 DCADLN COC(=O)CC1CCN(S(=O)(=O)NCC(F)(F)F)CC1 ZINC000442977798 416725105 /nfs/dbraw/zinc/72/51/05/416725105.db2.gz MPYUFZXJPNQYEV-UHFFFAOYSA-N 0 2 318.317 0.658 20 0 DCADLN CCOC(=O)CN(C1CCC1)S(=O)(=O)NCC(F)(F)F ZINC000443052303 416727678 /nfs/dbraw/zinc/72/76/78/416727678.db2.gz VHJISPAZOGITLN-UHFFFAOYSA-N 0 2 318.317 0.801 20 0 DCADLN C/C=C/C[C@@H](NS(=O)(=O)NCC(F)(F)F)C(=O)OCC ZINC000443040388 416728362 /nfs/dbraw/zinc/72/83/62/416728362.db2.gz JUKYKNFQRSTSCX-RYEJSQLPSA-N 0 2 318.317 0.871 20 0 DCADLN Cn1nccc1[C@H](CO)Nc1cc(C(C)(C)C)[nH+]c(C(=O)[O-])n1 ZINC000516929804 416757441 /nfs/dbraw/zinc/75/74/41/416757441.db2.gz QJJGATBADBBNLN-VIFPVBQESA-N 0 2 319.365 0.773 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@H]2CCN(C)C(=O)C2)cn1 ZINC000517026007 416769914 /nfs/dbraw/zinc/76/99/14/416769914.db2.gz XQTYZOINHXQLGX-JTQLQIEISA-N 0 2 316.365 0.408 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@@H+]([C@H](C(=O)[O-])c2ccsc2)C1 ZINC000517382055 416802778 /nfs/dbraw/zinc/80/27/78/416802778.db2.gz RKZOYGRIQANGJS-QWRGUYRKSA-N 0 2 318.420 0.887 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2ccsc2)C1 ZINC000517382055 416802783 /nfs/dbraw/zinc/80/27/83/416802783.db2.gz RKZOYGRIQANGJS-QWRGUYRKSA-N 0 2 318.420 0.887 20 0 DCADLN CC(C)C[NH+]1CCN(C(=O)C(=O)N(C)Cc2c[nH+]c[nH]2)CC1 ZINC000565246063 416956215 /nfs/dbraw/zinc/95/62/15/416956215.db2.gz PYBIYJSLKKRMMF-UHFFFAOYSA-N 0 2 307.398 0.168 20 0 DCADLN COC(=O)c1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)ccn1 ZINC000429280066 416960864 /nfs/dbraw/zinc/96/08/64/416960864.db2.gz WXQDMJBKEQLOFU-UHFFFAOYSA-N 0 2 305.294 0.055 20 0 DCADLN CN=c1[nH]nc(CC(=O)N=c2ccn([C@@H](C)COC)[nH]2)s1 ZINC000428750073 416923060 /nfs/dbraw/zinc/92/30/60/416923060.db2.gz QXZSKMRWYNFZKV-QMMMGPOBSA-N 0 2 310.383 0.009 20 0 DCADLN CS(=O)(=O)c1ccc(S(=O)(=O)Nc2cnoc2)cc1 ZINC000623946484 416926812 /nfs/dbraw/zinc/92/68/12/416926812.db2.gz KFMUOODLAZNLSV-UHFFFAOYSA-N 0 2 302.333 0.879 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)C[C@H]1[NH+]1CCOCC1 ZINC000519717523 416932232 /nfs/dbraw/zinc/93/22/32/416932232.db2.gz UQZBGPUMDXKXAE-FVCCEPFGSA-N 0 2 310.394 0.522 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N[C@@H]1C[NH2+]CCC1(F)F ZINC000521587370 417016612 /nfs/dbraw/zinc/01/66/12/417016612.db2.gz PFQMOFQHRXRLEZ-GHMZBOCLSA-N 0 2 306.357 0.004 20 0 DCADLN CC[C@@H](C)NC(=O)C[NH+]1CCN(c2ncccc2C(=O)[O-])CC1 ZINC000641959394 417020013 /nfs/dbraw/zinc/02/00/13/417020013.db2.gz YQFXDAKMNZAJCA-GFCCVEGCSA-N 0 2 320.393 0.817 20 0 DCADLN CCC(=O)N1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000616417021 417041656 /nfs/dbraw/zinc/04/16/56/417041656.db2.gz GGLMKBWOAHWTJS-UHFFFAOYSA-N 0 2 316.317 0.682 20 0 DCADLN C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2n[nH]c(=O)[n-]2)CC1 ZINC000524453687 417107994 /nfs/dbraw/zinc/10/79/94/417107994.db2.gz GTZILIHWMQOJNP-JTQLQIEISA-N 0 2 302.338 0.424 20 0 DCADLN Cc1[nH+]cc(C[NH2+]CCN2C(=O)NC3(CCCC3)C2=O)n1C ZINC000568269807 417116522 /nfs/dbraw/zinc/11/65/22/417116522.db2.gz YNZJTBJVDQMKKX-UHFFFAOYSA-N 0 2 305.382 0.683 20 0 DCADLN COC(C)(C)CS(=O)(=O)Nc1cc(OC(F)F)n(C)n1 ZINC000414385832 417276161 /nfs/dbraw/zinc/27/61/61/417276161.db2.gz VQZPFCVSNSHWOA-UHFFFAOYSA-N 0 2 313.326 1.188 20 0 DCADLN C[C@@H]1[C@@H](CO)CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000414032803 417257201 /nfs/dbraw/zinc/25/72/01/417257201.db2.gz KWSPTWJDCMXXEB-NXEZZACHSA-N 0 2 304.350 0.907 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccc2c(c1)N(C)C(=O)CO2 ZINC000438710292 287374946 /nfs/dbraw/zinc/37/49/46/287374946.db2.gz AGRKJVCCWPFFOA-UHFFFAOYSA-N 0 2 322.346 0.874 20 0 DCADLN CC(=O)N[C@H](CCC(C)C)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000621894667 417336685 /nfs/dbraw/zinc/33/66/85/417336685.db2.gz WEYJBMHMEZOEGI-LLVKDONJSA-N 0 2 311.386 0.500 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2cc3c([nH]c2=O)CCC3)[C@H](C[NH3+])C1 ZINC000529362805 417449728 /nfs/dbraw/zinc/44/97/28/417449728.db2.gz RNBOCMPFUGHOMB-CYBMUJFWSA-N 0 2 319.409 0.060 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1Cc2cncnc2C1 ZINC000622642836 417473338 /nfs/dbraw/zinc/47/33/38/417473338.db2.gz WGJFCFZRZXFFCQ-UHFFFAOYSA-N 0 2 309.285 0.976 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000634948314 417549327 /nfs/dbraw/zinc/54/93/27/417549327.db2.gz KIRZSJXDMXOFKB-NWDGAFQWSA-N 0 2 321.402 0.972 20 0 DCADLN [NH3+][C@@H]1C[C@@H]2C[N@H+](CCOCC(F)(F)C(F)F)CCN2C1=O ZINC000576173584 417588808 /nfs/dbraw/zinc/58/88/08/417588808.db2.gz VUTYVTAYYHFEIB-RKDXNWHRSA-N 0 2 313.295 0.147 20 0 DCADLN O=C(CCCN1C(=O)CCC1=O)Nc1ccc2nn[nH]c2c1 ZINC000531601559 417630266 /nfs/dbraw/zinc/63/02/66/417630266.db2.gz YDEVMEJYYHZZQR-UHFFFAOYSA-N 0 2 301.306 0.826 20 0 DCADLN COCCN(C)S(=O)(=O)Nc1cccc(C)c1C(N)=O ZINC000576692674 417630882 /nfs/dbraw/zinc/63/08/82/417630882.db2.gz JENKAQIRZBZMGV-UHFFFAOYSA-N 0 2 301.368 0.329 20 0 DCADLN CO[C@@H]1C[C@@H](c2[nH]cc[nH+]2)N(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000635338485 417641391 /nfs/dbraw/zinc/64/13/91/417641391.db2.gz GDFHYAJTIXCDLM-PWSUYJOCSA-N 0 2 305.338 0.803 20 0 DCADLN CN(C(=O)CSc1n[nH]c(=O)[nH]1)[C@@H](CO)CC(C)(C)C ZINC000456461003 417650507 /nfs/dbraw/zinc/65/05/07/417650507.db2.gz ZKASSMYJSDLEHC-MRVPVSSYSA-N 0 2 302.400 0.858 20 0 DCADLN CCCN(C(=O)N[C@H](C)[C@H]1C[NH+](C)CCO1)[C@H]1CC[N@H+](C)C1 ZINC000645378406 417737075 /nfs/dbraw/zinc/73/70/75/417737075.db2.gz HMRCCDPSLMBWAC-QLFBSQMISA-N 0 2 312.458 0.831 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2C[C@@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000629073184 417742621 /nfs/dbraw/zinc/74/26/21/417742621.db2.gz OILIHJPCTXNRDZ-OCAPTIKFSA-N 0 2 304.306 0.245 20 0 DCADLN Cc1cc(NC(=O)c2nc([C@H]3CC(=O)N(C(C)C)C3)no2)no1 ZINC000645650990 417772111 /nfs/dbraw/zinc/77/21/11/417772111.db2.gz MVICVORILOYDAP-VIFPVBQESA-N 0 2 319.321 1.343 20 0 DCADLN CN(C)C(=O)Cc1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000629286701 417772461 /nfs/dbraw/zinc/77/24/61/417772461.db2.gz AHVIQPMMCZYUAX-UHFFFAOYSA-N 0 2 323.378 0.247 20 0 DCADLN CO[C@@H]([C@H](C)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CC1 ZINC000651840154 417793822 /nfs/dbraw/zinc/79/38/22/417793822.db2.gz CJBWCUXGXUQDCR-ONGXEEELSA-N 0 2 309.370 0.149 20 0 DCADLN CC[C@H](C)[NH+]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[n-]2)CC1 ZINC000651840275 417795434 /nfs/dbraw/zinc/79/54/34/417795434.db2.gz HGUGPCDIEIPCHQ-LBPRGKRZSA-N 0 2 322.413 0.162 20 0 DCADLN CO[C@H]1C[C@@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C12CCC2 ZINC000651849023 417796198 /nfs/dbraw/zinc/79/61/98/417796198.db2.gz RAYDXYIEBGEZAJ-MNOVXSKESA-N 0 2 321.381 0.293 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC(C)(C)[C@@H]3COC[C@@H]32)[nH]1 ZINC000651844918 417796777 /nfs/dbraw/zinc/79/67/77/417796777.db2.gz YOGYXXWAQIBBST-MNOVXSKESA-N 0 2 321.381 0.103 20 0 DCADLN COCc1nnc(CNS(=O)(=O)Cc2ccccc2F)[nH]1 ZINC000657105187 417812952 /nfs/dbraw/zinc/81/29/52/417812952.db2.gz ZVSHBKURBPSZRV-UHFFFAOYSA-N 0 2 314.342 0.710 20 0 DCADLN Cc1cn2c([nH+]1)C[C@@H](C(=O)N[C@H](CO)C[NH+]1CCCC1)CC2 ZINC000640318441 417819101 /nfs/dbraw/zinc/81/91/01/417819101.db2.gz LYSHOLROMNOLMB-KBPBESRZSA-N 0 2 306.410 0.327 20 0 DCADLN Cn1cc(N2CC[C@@H]([NH2+]Cc3cc4n(n3)CCC4)C2=O)cn1 ZINC000657366833 417850586 /nfs/dbraw/zinc/85/05/86/417850586.db2.gz NFTFRAFCNOBDOP-CQSZACIVSA-N 0 2 300.366 0.458 20 0 DCADLN C[C@H](COCC1CC1)NC(=O)C(=O)N=c1ccc(C2CC2)n[nH]1 ZINC000652154400 417853873 /nfs/dbraw/zinc/85/38/73/417853873.db2.gz DQFOTMUIDCBFFR-SNVBAGLBSA-N 0 2 318.377 0.646 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)CNC(=O)c1cccc(O)c1 ZINC000636068556 417855877 /nfs/dbraw/zinc/85/58/77/417855877.db2.gz KNAKTWZFPIVTSF-UHFFFAOYSA-N 0 2 302.334 0.812 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N[C@H](CO)CCF)s[nH]1 ZINC000652186790 417860992 /nfs/dbraw/zinc/86/09/92/417860992.db2.gz VAORDORDGGFMKW-ZETCQYMHSA-N 0 2 318.374 0.033 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1COCCO1 ZINC000646066199 417863507 /nfs/dbraw/zinc/86/35/07/417863507.db2.gz LJXOUQYMHBQAHU-LLVKDONJSA-N 0 2 304.306 0.840 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCCC[C@H]2CCS(C)(=O)=O)c1O ZINC000646559154 417868078 /nfs/dbraw/zinc/86/80/78/417868078.db2.gz XWFLRTKHHJWZHX-JTQLQIEISA-N 0 2 315.395 0.853 20 0 DCADLN Cc1[nH+]c2ccccc2n1CC(=O)N(C)Cc1nc(=O)o[n-]1 ZINC000640451267 417846936 /nfs/dbraw/zinc/84/69/36/417846936.db2.gz NFTZIHINCZJPOX-UHFFFAOYSA-N 0 2 301.306 0.680 20 0 DCADLN CCn1cc[nH+]c1[C@@H](C)NC(=O)CN1CCCC[C@@H]([NH3+])C1=O ZINC000662901481 417943820 /nfs/dbraw/zinc/94/38/20/417943820.db2.gz IILHFONQZRFWFC-VXGBXAGGSA-N 0 2 307.398 0.420 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)c1cc(OC(F)F)n(C)n1 ZINC000647696051 418041786 /nfs/dbraw/zinc/04/17/86/418041786.db2.gz VITKZYJHUCLAFE-UHFFFAOYSA-N 0 2 303.225 0.382 20 0 DCADLN O=C([O-])[C@H](C(=O)N(CC[NH+]1CCOCC1)CC1CC1)C1CC1 ZINC000663135767 417995586 /nfs/dbraw/zinc/99/55/86/417995586.db2.gz CYJMJSZHIAIKBJ-AWEZNQCLSA-N 0 2 310.394 0.668 20 0 DCADLN O=C([O-])Cn1cc(NC(=O)Cc2cn3c([nH+]2)CCCC3)cn1 ZINC000653290997 418054325 /nfs/dbraw/zinc/05/43/25/418054325.db2.gz OTLBGVGNSBQNNZ-UHFFFAOYSA-N 0 2 303.322 0.682 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1ccc(C(N)=O)c(C)c1 ZINC000647923508 418076484 /nfs/dbraw/zinc/07/64/84/418076484.db2.gz FULVXYGZAYCMSK-UHFFFAOYSA-N 0 2 310.335 0.604 20 0 DCADLN CN1CC[NH+](C)[C@H](CNC(=O)N[C@H]2CCC[N@H+]3CCCC[C@H]23)C1 ZINC000654439550 418144532 /nfs/dbraw/zinc/14/45/32/418144532.db2.gz PUCSIJHIIMZIED-OWCLPIDISA-N 0 2 323.485 0.548 20 0 DCADLN CCN1CC[C@H]([N@@H+]2CC[C@H](N3CC[NH+](C)CC3)[C@H](C)C2)C1=O ZINC000660152950 418238995 /nfs/dbraw/zinc/23/89/95/418238995.db2.gz DGYLFPCUOCPXOS-PMPSAXMXSA-N 0 2 308.470 0.565 20 0 DCADLN CC(C)(C)c1cc(N2CCC[C@](O)(CO)C2)nc(C(=O)[O-])[nH+]1 ZINC000649397602 418250326 /nfs/dbraw/zinc/25/03/26/418250326.db2.gz PMCVHJVEIQADDK-OAHLLOKOSA-N 0 2 309.366 0.796 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H](Cc2ccccc2)OC)[nH]n1 ZINC000650819279 418326579 /nfs/dbraw/zinc/32/65/79/418326579.db2.gz ORLQXYIUAPDRPU-ZDUSSCGKSA-N 0 2 314.345 0.454 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H](C)Oc2cccc(C)c2)[nH]n1 ZINC000650814958 418326605 /nfs/dbraw/zinc/32/66/05/418326605.db2.gz DBEALNWLFXGWAP-LLVKDONJSA-N 0 2 314.345 0.973 20 0 DCADLN Cc1cc(N2CC[C@H](Nc3cc[nH+]c(C(=O)[O-])c3)C2=O)n(C)n1 ZINC000650765359 418323927 /nfs/dbraw/zinc/32/39/27/418323927.db2.gz KZDDRAGZXOPTDV-NSHDSACASA-N 0 2 315.333 0.461 20 0 DCADLN CCc1ccccc1OCC(=O)N=c1ccc(C(=O)NC)n[nH]1 ZINC000650814237 418325335 /nfs/dbraw/zinc/32/53/35/418325335.db2.gz IOWKWGGSQPWYNY-UHFFFAOYSA-N 0 2 314.345 0.838 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CO[C@@H]2CCC[C@H](C)C2)[nH]n1 ZINC000650817041 418326088 /nfs/dbraw/zinc/32/60/88/418326088.db2.gz QRHMYOGAYPPHDO-WDEREUQCSA-N 0 2 306.366 0.792 20 0 DCADLN O=C(CC1(Cn2cnnn2)CCCCC1)N=c1cccn[nH]1 ZINC000649747047 418272513 /nfs/dbraw/zinc/27/25/13/418272513.db2.gz PHDOGTGVFNVHFE-UHFFFAOYSA-N 0 2 301.354 0.864 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)Cc2ccc3c(c2)COC3)[nH]n1 ZINC000650817592 418326302 /nfs/dbraw/zinc/32/63/02/418326302.db2.gz HVDBGBYBIHZLOD-UHFFFAOYSA-N 0 2 312.329 0.470 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@](C)(OC)c2ccccc2)[nH]n1 ZINC000650816819 418326359 /nfs/dbraw/zinc/32/63/59/418326359.db2.gz HVCLLFQDBPPMHX-MRXNPFEDSA-N 0 2 314.345 0.758 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CCc2c(C)nn(C)c2C)[nH]n1 ZINC000650814909 418326414 /nfs/dbraw/zinc/32/64/14/418326414.db2.gz BNRCEGXLCIHNHY-UHFFFAOYSA-N 0 2 316.365 0.180 20 0 DCADLN CC(C)Oc1cncc(NS(=O)(=O)[C@H](C)C(=O)N(C)C)n1 ZINC000656186654 418335295 /nfs/dbraw/zinc/33/52/95/418335295.db2.gz MIBMXLNWKAIQLG-SECBINFHSA-N 0 2 316.383 0.482 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@@]2(C)CCCS2)[nH]1 ZINC000651618531 418397748 /nfs/dbraw/zinc/39/77/48/418397748.db2.gz MZDDOPYPFRFMQM-CYBMUJFWSA-N 0 2 311.411 0.621 20 0 DCADLN O=C(C[C@@]1(C(=O)[O-])CCOC1)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000656480299 418357288 /nfs/dbraw/zinc/35/72/88/418357288.db2.gz MFESGSFSRYRDQL-LRDDRELGSA-N 0 2 319.361 0.658 20 0 DCADLN COc1cc(NS(=O)(=O)C[C@H](OC)[C@H]2CCOC2)nn1C ZINC000656629935 418366539 /nfs/dbraw/zinc/36/65/39/418366539.db2.gz ZEKUEVGLSFAQDG-UWVGGRQHSA-N 0 2 319.383 0.222 20 0 DCADLN Cc1ccccc1CNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651496013 418384306 /nfs/dbraw/zinc/38/43/06/418384306.db2.gz DRLBLJAYHBBMCN-UHFFFAOYSA-N 0 2 301.350 0.844 20 0 DCADLN C[C@H](COCC(=O)N=c1cc(C(N)=O)[nH][nH]1)c1ccccc1 ZINC000651534447 418387719 /nfs/dbraw/zinc/38/77/19/418387719.db2.gz JPZMTSHRHCDBSA-SNVBAGLBSA-N 0 2 302.334 0.689 20 0 DCADLN O=C([O-])CN1CC[C@@H](N2CC[NH+](Cc3ccsc3)CC2)C1=O ZINC000662204061 418388655 /nfs/dbraw/zinc/38/86/55/418388655.db2.gz GKQHOHNFECELIT-CYBMUJFWSA-N 0 2 323.418 0.551 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCN(Cc3ccsc3)CC2)C1=O ZINC000662204061 418388657 /nfs/dbraw/zinc/38/86/57/418388657.db2.gz GKQHOHNFECELIT-CYBMUJFWSA-N 0 2 323.418 0.551 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCc2cc(F)ccc2F)[nH]1 ZINC000651558288 418390578 /nfs/dbraw/zinc/39/05/78/418390578.db2.gz LVYGNQRCRBYUFA-UHFFFAOYSA-N 0 2 323.303 0.814 20 0 DCADLN CC[N@@H+]1C[C@@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@@H]1C ZINC000651716809 418406517 /nfs/dbraw/zinc/40/65/17/418406517.db2.gz ITCOOZCSZJRQIX-NWDGAFQWSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@H+]1C[C@@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C[C@@H]1C ZINC000651716809 418406519 /nfs/dbraw/zinc/40/65/19/418406519.db2.gz ITCOOZCSZJRQIX-NWDGAFQWSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@@H+]1C[C@@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[n-]2)C[C@@H]1C ZINC000651716809 418406520 /nfs/dbraw/zinc/40/65/20/418406520.db2.gz ITCOOZCSZJRQIX-NWDGAFQWSA-N 0 2 322.413 0.161 20 0 DCADLN CC[N@H+]1C[C@@H](C)N(C(=O)C(=O)N=c2ncn(C(C)C)[n-]2)C[C@@H]1C ZINC000651716809 418406522 /nfs/dbraw/zinc/40/65/22/418406522.db2.gz ITCOOZCSZJRQIX-NWDGAFQWSA-N 0 2 322.413 0.161 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC[C@H]2[C@@H]2CCCO2)[nH]1 ZINC000651778020 418409815 /nfs/dbraw/zinc/40/98/15/418409815.db2.gz IOJARXFKZJUSPA-RYUDHWBXSA-N 0 2 321.381 0.389 20 0 DCADLN Cc1nn(C)c2ncc(S(=O)(=O)Nc3cnn(C)c3)cc12 ZINC000299646151 229296902 /nfs/dbraw/zinc/29/69/02/229296902.db2.gz WHWFTYPFCZMMMF-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN CNC(=O)CN(C)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354347948 261207361 /nfs/dbraw/zinc/20/73/61/261207361.db2.gz ILYVKGYIXCZUOR-UHFFFAOYSA-N 0 2 306.297 0.535 20 0 DCADLN Cn1cc(CCNC(=O)c2c[nH]c3c(cnn3C)c2=O)cn1 ZINC000355701942 261357269 /nfs/dbraw/zinc/35/72/69/261357269.db2.gz MVROWKAWORKJSS-UHFFFAOYSA-N 0 2 300.322 0.380 20 0 DCADLN NC(=O)c1ccc(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)nc1 ZINC000356015671 261383183 /nfs/dbraw/zinc/38/31/83/261383183.db2.gz QOEXSJKVUHGSMO-UHFFFAOYSA-N 0 2 324.300 0.924 20 0 DCADLN Cn1nnc(CNS(=O)(=O)c2c(F)cc(F)cc2F)n1 ZINC000362982831 262092539 /nfs/dbraw/zinc/09/25/39/262092539.db2.gz PHXLXFQJISCNJW-UHFFFAOYSA-N 0 2 307.257 0.106 20 0 DCADLN CC[NH+]1CCCC[C@@H]1C(=O)N1CCC[N@@H+](CC(=O)NC)CC1 ZINC000363070592 262097385 /nfs/dbraw/zinc/09/73/85/262097385.db2.gz SWRVRELFKGJVLQ-CQSZACIVSA-N 0 2 310.442 0.141 20 0 DCADLN COCc1nc(S(=O)(=O)CCc2ccc(OC)cc2)n[nH]1 ZINC000358557133 271058472 /nfs/dbraw/zinc/05/84/72/271058472.db2.gz JDPOXJIGNZWOTA-UHFFFAOYSA-N 0 2 311.363 0.976 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2cncc(F)c2)nc1 ZINC000358782987 271072017 /nfs/dbraw/zinc/07/20/17/271072017.db2.gz DBNYUCBDWZNXBR-UHFFFAOYSA-N 0 2 310.310 0.776 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ncn(-c2ccccc2)n1 ZINC000360031357 271160396 /nfs/dbraw/zinc/16/03/96/271160396.db2.gz MLDPZOMIACSHGG-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN CO[C@@H]1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C[C@H]1OC ZINC000489241080 272058600 /nfs/dbraw/zinc/05/86/00/272058600.db2.gz PKHVEOODXDNVES-GHMZBOCLSA-N 0 2 306.322 0.160 20 0 DCADLN CCONC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000491966580 272110946 /nfs/dbraw/zinc/11/09/46/272110946.db2.gz AGWNMONLCVLDFS-UHFFFAOYSA-N 0 2 301.343 0.133 20 0 DCADLN CCn1nccc1/C=C\C(=O)NS(=O)(=O)c1ccnn1C ZINC000492595440 272136799 /nfs/dbraw/zinc/13/67/99/272136799.db2.gz VGTQFWYZJPPZKB-PLNGDYQASA-N 0 2 309.351 0.155 20 0 DCADLN O=C(/C=C\c1ccncn1)NS(=O)(=O)c1cnc2n1CCC2 ZINC000492761143 272147846 /nfs/dbraw/zinc/14/78/46/272147846.db2.gz FMDSCMIVOJBJIG-ARJAWSKDSA-N 0 2 319.346 0.138 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCC(C)(C)OCCO)c2=O ZINC000494541921 272225345 /nfs/dbraw/zinc/22/53/45/272225345.db2.gz IGDPTKTWWHQLEN-UHFFFAOYSA-N 0 2 308.338 0.191 20 0 DCADLN CN(CC(=O)OCc1n[nH]c(=O)[nH]1)C(=O)OCc1ccccc1 ZINC000496387889 272309970 /nfs/dbraw/zinc/30/99/70/272309970.db2.gz VABXAWPOULMYRG-UHFFFAOYSA-N 0 2 320.305 0.822 20 0 DCADLN C[C@H]1CN(C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)C[C@@H]1[NH+]1CCOCC1 ZINC000519717529 272509458 /nfs/dbraw/zinc/50/94/58/272509458.db2.gz UQZBGPUMDXKXAE-ZDEQEGDKSA-N 0 2 310.394 0.522 20 0 DCADLN CCCCS(=O)(=O)N[C@@H](CCC(=O)[O-])C[NH+]1CCOCC1 ZINC000547570883 288059490 /nfs/dbraw/zinc/05/94/90/288059490.db2.gz YOKPMJHJDXOVHS-LBPRGKRZSA-N 0 2 322.427 0.272 20 0 DCADLN C[C@H]1CSCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000191220103 300385993 /nfs/dbraw/zinc/38/59/93/300385993.db2.gz BOJOAEYCJZYKRF-MRVPVSSYSA-N 0 2 320.374 0.437 20 0 DCADLN C[C@H](C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1)n1cncn1 ZINC000170747863 332875120 /nfs/dbraw/zinc/87/51/20/332875120.db2.gz UVTGBLABLQUSAN-MRVPVSSYSA-N 0 2 300.278 0.821 20 0 DCADLN O=C([O-])C[C@@H]1CCCN1c1cc(N2CCC[C@@H]2CO)nc[nH+]1 ZINC000580201639 333002186 /nfs/dbraw/zinc/00/21/86/333002186.db2.gz XSQMVXZWTANTOY-NWDGAFQWSA-N 0 2 306.366 0.881 20 0 DCADLN O=C([O-])C[C@@H]1CCCN1c1cc(N2CCC[C@@H]2CO)[nH+]cn1 ZINC000580201639 333002187 /nfs/dbraw/zinc/00/21/87/333002187.db2.gz XSQMVXZWTANTOY-NWDGAFQWSA-N 0 2 306.366 0.881 20 0 DCADLN Cc1cccn2cc(CNC(=O)[C@@H]3CC[C@H](C(=O)[O-])O3)[nH+]c12 ZINC000580311943 333095180 /nfs/dbraw/zinc/09/51/80/333095180.db2.gz XVKFEXBQHRVIQS-NWDGAFQWSA-N 0 2 303.318 0.891 20 0 DCADLN Cc1ncc(/C=C/C(=O)NS(=O)(=O)c2cnn(C)c2)s1 ZINC000171959738 341789033 /nfs/dbraw/zinc/78/90/33/341789033.db2.gz JSJNDMKRBQBARQ-ONEGZZNKSA-N 0 2 312.376 0.703 20 0 DCADLN O=C(Cc1nc[nH]n1)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447555240 1159658673 /nfs/dbraw/zinc/65/86/73/1159658673.db2.gz WDJFTDRGABIMIF-MYINAIGISA-N 0 2 323.250 0.011 20 0 DCADLN CC(C)OCCS(=O)(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000267290071 519769918 /nfs/dbraw/zinc/76/99/18/519769918.db2.gz IHRBONXQCYWWKT-UHFFFAOYSA-N 0 2 318.399 0.138 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CCOCC1 ZINC000162169897 525261274 /nfs/dbraw/zinc/26/12/74/525261274.db2.gz YAWYFPWVPJZEDS-QMMMGPOBSA-N 0 2 318.333 0.156 20 0 DCADLN CC[S@@](=O)CC(=O)NOC[C@@H](C)NC(=O)OC(C)(C)C ZINC000496327855 535966366 /nfs/dbraw/zinc/96/63/66/535966366.db2.gz MXIKFXVITBZVGM-GSDQLPOLSA-N 0 2 308.400 0.716 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000049607413 545653713 /nfs/dbraw/zinc/65/37/13/545653713.db2.gz DBBQGIJKFLNCBT-JHJVBQTASA-N 0 2 300.399 0.960 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000049607413 545653715 /nfs/dbraw/zinc/65/37/15/545653715.db2.gz DBBQGIJKFLNCBT-JHJVBQTASA-N 0 2 300.399 0.960 20 0 DCADLN C[C@@](CO)(NC(=O)CSc1n[nH]c(=O)[nH]1)C1CCCCC1 ZINC000189115576 545919481 /nfs/dbraw/zinc/91/94/81/545919481.db2.gz TWYNEQHOCIRCPS-ZDUSSCGKSA-N 0 2 314.411 0.638 20 0 DCADLN CN1CC[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)CC1=O ZINC000194203355 545932340 /nfs/dbraw/zinc/93/23/40/545932340.db2.gz DHUIUCJAMNHCJA-LLVKDONJSA-N 0 2 314.345 0.929 20 0 DCADLN CN(C)S(=O)(=O)c1cccc(C(=O)N=c2ccc(=O)[nH][nH]2)c1 ZINC000351683149 546166412 /nfs/dbraw/zinc/16/64/12/546166412.db2.gz AXJPPCWOWSJUSI-UHFFFAOYSA-N 0 2 322.346 0.107 20 0 DCADLN CS(=O)(=O)[N-]c1nnc(NC(=O)CCc2c[nH+]c[nH]2)s1 ZINC000351664243 546166528 /nfs/dbraw/zinc/16/65/28/546166528.db2.gz GBZTUWLIHOIGNF-UHFFFAOYSA-N 0 2 316.368 0.204 20 0 DCADLN CS(=O)(=O)[N-]c1nnc(NC(=O)CCc2c[nH]c[nH+]2)s1 ZINC000351664243 546166533 /nfs/dbraw/zinc/16/65/33/546166533.db2.gz GBZTUWLIHOIGNF-UHFFFAOYSA-N 0 2 316.368 0.204 20 0 DCADLN Cn1[n-]c(C(=O)NC2CCN(c3cccc[nH+]3)CC2)cc1=O ZINC000666421878 546467874 /nfs/dbraw/zinc/46/78/74/546467874.db2.gz YAUHAKIGOVKRPK-UHFFFAOYSA-N 0 2 301.350 0.920 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)NCCCNc2cccc[nH+]2)CCO1 ZINC000666517086 546479411 /nfs/dbraw/zinc/47/94/11/546479411.db2.gz KVDOUZFQYWEJJR-NSHDSACASA-N 0 2 308.338 0.379 20 0 DCADLN CCN1CC[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1=O ZINC000670172074 547061492 /nfs/dbraw/zinc/06/14/92/547061492.db2.gz BPQSAWFBIKHSPJ-LBPRGKRZSA-N 0 2 314.345 0.929 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)N1CCc2ccc(O)cc2C1 ZINC000671133191 547168642 /nfs/dbraw/zinc/16/86/42/547168642.db2.gz AMLUFLUZEWEIRC-UHFFFAOYSA-N 0 2 314.345 0.625 20 0 DCADLN CN(C[C@H](O)C1CC1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000674817819 547636444 /nfs/dbraw/zinc/63/64/44/547636444.db2.gz PSEXWAPFAAHJGG-JTQLQIEISA-N 0 2 304.306 0.279 20 0 DCADLN CN(C[C@@H](O)C1CC1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000674817821 547637132 /nfs/dbraw/zinc/63/71/32/547637132.db2.gz PSEXWAPFAAHJGG-SNVBAGLBSA-N 0 2 304.306 0.279 20 0 DCADLN NC(=O)c1ccc(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)o1 ZINC000676608364 547795324 /nfs/dbraw/zinc/79/53/24/547795324.db2.gz VZUAZTJABGLNHO-UHFFFAOYSA-N 0 2 314.257 0.974 20 0 DCADLN Nc1ncc(Cl)cc1S(=O)(=O)Nc1cnn(CCO)c1 ZINC000677232985 547856979 /nfs/dbraw/zinc/85/69/79/547856979.db2.gz AMHYRCASZYDVIC-UHFFFAOYSA-N 0 2 317.758 0.307 20 0 DCADLN COc1ccc(O)c(S(=O)(=O)Nc2cnn(CCO)c2)c1 ZINC000678823936 548007614 /nfs/dbraw/zinc/00/76/14/548007614.db2.gz INMSYBOZBSLQPP-UHFFFAOYSA-N 0 2 313.335 0.390 20 0 DCADLN O=C(NCc1nn[nH]n1)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000680196363 548121903 /nfs/dbraw/zinc/12/19/03/548121903.db2.gz LDOLMVODQQYDFN-CQSZACIVSA-N 0 2 316.365 0.014 20 0 DCADLN O=C(CCCCc1c[nH]nn1)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000681224117 548217965 /nfs/dbraw/zinc/21/79/65/548217965.db2.gz ZCNZDZTYEGGJHH-JTQLQIEISA-N 0 2 304.358 0.437 20 0 DCADLN O=C(CCCCc1cn[nH]n1)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000681224117 548217971 /nfs/dbraw/zinc/21/79/71/548217971.db2.gz ZCNZDZTYEGGJHH-JTQLQIEISA-N 0 2 304.358 0.437 20 0 DCADLN O=C(Cn1cnc2c1CCCC2)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000681221764 548218376 /nfs/dbraw/zinc/21/83/76/548218376.db2.gz BBHUCVAIRSZEHT-LLVKDONJSA-N 0 2 315.381 0.681 20 0 DCADLN Cn1c2nnc(C[N@H+](C)CCC(=O)[O-])n2c2ccccc2c1=O ZINC000818467736 596996142 /nfs/dbraw/zinc/99/61/42/596996142.db2.gz BJRKCADZPXTAQI-UHFFFAOYSA-N 0 2 315.333 0.488 20 0 DCADLN Cn1c2nnc(C[N@@H+](C)CCC(=O)[O-])n2c2ccccc2c1=O ZINC000818467736 596996144 /nfs/dbraw/zinc/99/61/44/596996144.db2.gz BJRKCADZPXTAQI-UHFFFAOYSA-N 0 2 315.333 0.488 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2cccc(CC(=O)[O-])c2)CC1 ZINC000738014315 597244080 /nfs/dbraw/zinc/24/40/80/597244080.db2.gz AMALXUUPVUVXID-UHFFFAOYSA-N 0 2 305.334 0.026 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)NCCC(=O)[O-])nc[nH+]1 ZINC000263303949 597294144 /nfs/dbraw/zinc/29/41/44/597294144.db2.gz XKKWOHSGTSQGKO-SNVBAGLBSA-N 0 2 322.369 0.261 20 0 DCADLN CNc1cc(N2CCC[C@@H]2CNC(=O)NCCC(=O)[O-])[nH+]cn1 ZINC000263303949 597294147 /nfs/dbraw/zinc/29/41/47/597294147.db2.gz XKKWOHSGTSQGKO-SNVBAGLBSA-N 0 2 322.369 0.261 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1COc2ccccc2O1)[N@H+](C)CC(=O)[O-] ZINC000819882672 598043949 /nfs/dbraw/zinc/04/39/49/598043949.db2.gz CRIZIQHCQBXZJX-QWRGUYRKSA-N 0 2 308.334 0.348 20 0 DCADLN C[C@@H](C(=O)NC[C@H]1COc2ccccc2O1)[N@@H+](C)CC(=O)[O-] ZINC000819882672 598043952 /nfs/dbraw/zinc/04/39/52/598043952.db2.gz CRIZIQHCQBXZJX-QWRGUYRKSA-N 0 2 308.334 0.348 20 0 DCADLN COc1ccc(COCC[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000738010432 599854770 /nfs/dbraw/zinc/85/47/70/599854770.db2.gz JMZHWMOTOJNRJY-AWEZNQCLSA-N 0 2 322.361 0.487 20 0 DCADLN COc1ccc(COCC[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000738010432 599854771 /nfs/dbraw/zinc/85/47/71/599854771.db2.gz JMZHWMOTOJNRJY-AWEZNQCLSA-N 0 2 322.361 0.487 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=S)NCCCC(=O)[O-] ZINC000736736426 599864718 /nfs/dbraw/zinc/86/47/18/599864718.db2.gz FEGMRZFBCZMRDD-UHFFFAOYSA-N 0 2 303.428 0.426 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=S)NCCCC(=O)[O-] ZINC000736736426 599864720 /nfs/dbraw/zinc/86/47/20/599864720.db2.gz FEGMRZFBCZMRDD-UHFFFAOYSA-N 0 2 303.428 0.426 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[NH+]1CCC(C(=O)OC)CC1)C(=O)[O-] ZINC000736875740 599967593 /nfs/dbraw/zinc/96/75/93/599967593.db2.gz GRJYKHSXELHKMY-GXFFZTMASA-N 0 2 314.382 0.487 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)Cc1cccnc1Cl ZINC000739790402 600147703 /nfs/dbraw/zinc/14/77/03/600147703.db2.gz HOUYLSJKPFPVBF-UHFFFAOYSA-N 0 2 313.785 0.954 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)Cc2cccc(C(=O)[O-])c2)CC1 ZINC000037465749 600161389 /nfs/dbraw/zinc/16/13/89/600161389.db2.gz JWIGCGSJLNOSSG-UHFFFAOYSA-N 0 2 312.391 0.852 20 0 DCADLN C[C@H](CNC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(=O)[O-] ZINC000736589240 600346555 /nfs/dbraw/zinc/34/65/55/600346555.db2.gz XTWOYOYQXOQXQP-SNVBAGLBSA-N 0 2 316.317 0.648 20 0 DCADLN O=C(C[N@H+]1CCC[C@H]1C(=O)[O-])NC1CCC2(CC1)OCCO2 ZINC000739283527 600392419 /nfs/dbraw/zinc/39/24/19/600392419.db2.gz NLRBNHQFPZJURL-LBPRGKRZSA-N 0 2 312.366 0.337 20 0 DCADLN O=C(C[N@@H+]1CCC[C@H]1C(=O)[O-])NC1CCC2(CC1)OCCO2 ZINC000739283527 600392421 /nfs/dbraw/zinc/39/24/21/600392421.db2.gz NLRBNHQFPZJURL-LBPRGKRZSA-N 0 2 312.366 0.337 20 0 DCADLN Cc1nc(C)n(C[C@@H]2CCC[N@H+](Cn3cnc(C(=O)[O-])n3)C2)n1 ZINC000738850108 600427142 /nfs/dbraw/zinc/42/71/42/600427142.db2.gz LTABNYDJZAFAIU-GFCCVEGCSA-N 0 2 319.369 0.554 20 0 DCADLN Cc1nc(C)n(C[C@@H]2CCC[N@@H+](Cn3cnc(C(=O)[O-])n3)C2)n1 ZINC000738850108 600427143 /nfs/dbraw/zinc/42/71/43/600427143.db2.gz LTABNYDJZAFAIU-GFCCVEGCSA-N 0 2 319.369 0.554 20 0 DCADLN O=C([O-])CCCS(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000738584893 600498478 /nfs/dbraw/zinc/49/84/78/600498478.db2.gz MSVNNCDCEGBRJP-NSHDSACASA-N 0 2 301.368 0.715 20 0 DCADLN CC[N@H+](C)[C@@H](C(=O)OCC(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608226 600683120 /nfs/dbraw/zinc/68/31/20/600683120.db2.gz HAFRQQQNORIXPQ-CQSZACIVSA-N 0 2 308.334 0.423 20 0 DCADLN CC[N@@H+](C)[C@@H](C(=O)OCC(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608226 600683122 /nfs/dbraw/zinc/68/31/22/600683122.db2.gz HAFRQQQNORIXPQ-CQSZACIVSA-N 0 2 308.334 0.423 20 0 DCADLN O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)C1 ZINC000387253651 600972436 /nfs/dbraw/zinc/97/24/36/600972436.db2.gz MHYFIZAXJWOSFX-MGPQQGTHSA-N 0 2 310.394 0.811 20 0 DCADLN O=C([O-])c1ccc(-c2nnn(CC[NH+]3CCOCC3)n2)cc1 ZINC000740099361 600988439 /nfs/dbraw/zinc/98/84/39/600988439.db2.gz XBDIDEQEADDRMA-UHFFFAOYSA-N 0 2 303.322 0.371 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000820899749 601027125 /nfs/dbraw/zinc/02/71/25/601027125.db2.gz DPZPMWDIIPXFTI-NRFANRHFSA-N 0 2 310.419 0.881 20 0 DCADLN CN(C[C@H](O)C[NH+]1CCOCC1)C(=O)c1cccc(C(=O)[O-])c1 ZINC000820574298 601294637 /nfs/dbraw/zinc/29/46/37/601294637.db2.gz OEGGIFIJBKIZCD-AWEZNQCLSA-N 0 2 322.361 0.150 20 0 DCADLN C[C@H](c1ccc([S@@](C)=O)cc1)[N@H+](C)CC(=O)NCC(=O)[O-] ZINC000820199561 601307554 /nfs/dbraw/zinc/30/75/54/601307554.db2.gz BHZPQKFOSLSKFI-LADRHHBVSA-N 0 2 312.391 0.618 20 0 DCADLN C[C@H](c1ccc([S@@](C)=O)cc1)[N@@H+](C)CC(=O)NCC(=O)[O-] ZINC000820199561 601307557 /nfs/dbraw/zinc/30/75/57/601307557.db2.gz BHZPQKFOSLSKFI-LADRHHBVSA-N 0 2 312.391 0.618 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000826000492 601384802 /nfs/dbraw/zinc/38/48/02/601384802.db2.gz PNYQWXWBOCDXCR-LADRHHBVSA-N 0 2 312.391 0.445 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000826000492 601384804 /nfs/dbraw/zinc/38/48/04/601384804.db2.gz PNYQWXWBOCDXCR-LADRHHBVSA-N 0 2 312.391 0.445 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+]([C@@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000833356969 601442463 /nfs/dbraw/zinc/44/24/63/601442463.db2.gz XYUCEKCYSLGRIT-BXUZGUMPSA-N 0 2 300.330 0.265 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+]([C@@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000833356969 601442464 /nfs/dbraw/zinc/44/24/64/601442464.db2.gz XYUCEKCYSLGRIT-BXUZGUMPSA-N 0 2 300.330 0.265 20 0 DCADLN CN(C)C(=O)[C@@H](c1ccccc1)[N@@H+]1CCO[C@H](CC(=O)[O-])C1 ZINC000830542981 601458579 /nfs/dbraw/zinc/45/85/79/601458579.db2.gz STMCZUZXAVNREJ-UKRRQHHQSA-N 0 2 306.362 0.991 20 0 DCADLN CN(C)C(=O)[C@@H](c1ccccc1)[N@H+]1CCO[C@H](CC(=O)[O-])C1 ZINC000830542981 601458580 /nfs/dbraw/zinc/45/85/80/601458580.db2.gz STMCZUZXAVNREJ-UKRRQHHQSA-N 0 2 306.362 0.991 20 0 DCADLN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828433269 601540996 /nfs/dbraw/zinc/54/09/96/601540996.db2.gz SZJXZRBBJSZINZ-NTZNESFSSA-N 0 2 320.393 0.867 20 0 DCADLN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828433269 601540998 /nfs/dbraw/zinc/54/09/98/601540998.db2.gz SZJXZRBBJSZINZ-NTZNESFSSA-N 0 2 320.393 0.867 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@@H+]2CCOCC2(C)C)C[C@H]1C(=O)[O-] ZINC000828362713 601663541 /nfs/dbraw/zinc/66/35/41/601663541.db2.gz RCMPLZLXHAUHDZ-VXGBXAGGSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CN(C(=O)NCC[N@H+]2CCOCC2(C)C)C[C@H]1C(=O)[O-] ZINC000828362713 601663543 /nfs/dbraw/zinc/66/35/43/601663543.db2.gz RCMPLZLXHAUHDZ-VXGBXAGGSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H](NC(=O)N1C[C@H](C(=O)[O-])[C@H](C)C1)[C@@H](C)[NH+]1CCOCC1 ZINC000828347191 601663643 /nfs/dbraw/zinc/66/36/43/601663643.db2.gz DEAWJOQAGVJHQG-LPWJVIDDSA-N 0 2 313.398 0.458 20 0 DCADLN O=c1[nH]nc(CNc2cc(-c3nn[nH]n3)c3ccccc3n2)[nH]1 ZINC000826501199 607522397 /nfs/dbraw/zinc/52/23/97/607522397.db2.gz DYQRSHBPSDFALY-UHFFFAOYSA-N 0 2 309.293 0.851 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2CC[C@](C)(C(=O)[O-])C2)cc1 ZINC000832169855 601914901 /nfs/dbraw/zinc/91/49/01/601914901.db2.gz SYLPGHNAUXFQKN-INIZCTEOSA-N 0 2 320.345 0.748 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2CC[C@](C)(C(=O)[O-])C2)cc1 ZINC000832169855 601914902 /nfs/dbraw/zinc/91/49/02/601914902.db2.gz SYLPGHNAUXFQKN-INIZCTEOSA-N 0 2 320.345 0.748 20 0 DCADLN C[C@H](C(=O)NCC(F)(F)F)[N@@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000826004952 601919184 /nfs/dbraw/zinc/91/91/84/601919184.db2.gz OGVFRVZHWISNIZ-SFYZADRCSA-N 0 2 314.329 0.946 20 0 DCADLN C[C@H](C(=O)NCC(F)(F)F)[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000826004952 601919186 /nfs/dbraw/zinc/91/91/86/601919186.db2.gz OGVFRVZHWISNIZ-SFYZADRCSA-N 0 2 314.329 0.946 20 0 DCADLN C[C@H](CNC(=O)Nc1cc(C(=O)[O-])n(C)c1)[NH+]1CCOCC1 ZINC000827487263 602357708 /nfs/dbraw/zinc/35/77/08/602357708.db2.gz ZDJGBXUBPQLQPF-SNVBAGLBSA-N 0 2 310.354 0.566 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)N1C[C@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000736359959 603074915 /nfs/dbraw/zinc/07/49/15/603074915.db2.gz DCGQCGJLSPKNRJ-XQQFMLRXSA-N 0 2 313.398 0.458 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc3cn[nH]c32)[C@H](CNC(=O)[O-])C1 ZINC000828514155 603505141 /nfs/dbraw/zinc/50/51/41/603505141.db2.gz VBMKOAFCSRGPSP-LLVKDONJSA-N 0 2 317.349 0.587 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc3cn[nH]c32)[C@H](CNC(=O)[O-])C1 ZINC000828514155 603505143 /nfs/dbraw/zinc/50/51/43/603505143.db2.gz VBMKOAFCSRGPSP-LLVKDONJSA-N 0 2 317.349 0.587 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc3c[nH]nc32)[C@H](CNC(=O)[O-])C1 ZINC000828514155 603505146 /nfs/dbraw/zinc/50/51/46/603505146.db2.gz VBMKOAFCSRGPSP-LLVKDONJSA-N 0 2 317.349 0.587 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc3c[nH]nc32)[C@H](CNC(=O)[O-])C1 ZINC000828514155 603505150 /nfs/dbraw/zinc/50/51/50/603505150.db2.gz VBMKOAFCSRGPSP-LLVKDONJSA-N 0 2 317.349 0.587 20 0 DCADLN COc1cccc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000829537366 603511628 /nfs/dbraw/zinc/51/16/28/603511628.db2.gz LCQNYZDZOVBNCX-GFCCVEGCSA-N 0 2 307.350 0.719 20 0 DCADLN COc1cccc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])c1 ZINC000829537366 603511631 /nfs/dbraw/zinc/51/16/31/603511631.db2.gz LCQNYZDZOVBNCX-GFCCVEGCSA-N 0 2 307.350 0.719 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830904183 603522017 /nfs/dbraw/zinc/52/20/17/603522017.db2.gz LUOJHMUTOSKRPO-BXKDBHETSA-N 0 2 324.381 0.805 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830904183 603522024 /nfs/dbraw/zinc/52/20/24/603522024.db2.gz LUOJHMUTOSKRPO-BXKDBHETSA-N 0 2 324.381 0.805 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2CCCC[C@H]2[C@@H]2CCCN2C(=O)[O-])n1 ZINC000831265491 603552572 /nfs/dbraw/zinc/55/25/72/603552572.db2.gz NDIYQQJITZXXQF-UWVGGRQHSA-N 0 2 321.385 0.533 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2CCCC[C@H]2[C@@H]2CCCN2C(=O)[O-])n1 ZINC000831265491 603552576 /nfs/dbraw/zinc/55/25/76/603552576.db2.gz NDIYQQJITZXXQF-UWVGGRQHSA-N 0 2 321.385 0.533 20 0 DCADLN C[C@H]1C[N@H+](CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)CCS1 ZINC000826056326 603601959 /nfs/dbraw/zinc/60/19/59/603601959.db2.gz BUADPMBEOQEOJI-QWRGUYRKSA-N 0 2 314.411 0.481 20 0 DCADLN C[C@H]1C[N@@H+](CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)CCS1 ZINC000826056326 603601962 /nfs/dbraw/zinc/60/19/62/603601962.db2.gz BUADPMBEOQEOJI-QWRGUYRKSA-N 0 2 314.411 0.481 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)N(C)CC[NH+]2CCN(C(=O)[O-])CC2)O1 ZINC000826660266 603660038 /nfs/dbraw/zinc/66/00/38/603660038.db2.gz JWSWNVYRGRGHIW-CHWSQXEVSA-N 0 2 313.398 0.698 20 0 DCADLN C[C@@H](CNC(=O)N1CCC[C@@H]1CNC(=O)[O-])[NH+]1CCOCC1 ZINC000824931524 603692086 /nfs/dbraw/zinc/69/20/86/603692086.db2.gz XIFSIZJATANLBT-NWDGAFQWSA-N 0 2 314.386 0.149 20 0 DCADLN O=C([O-])N1CC[C@](F)(C(=O)NCc2cn3c([nH+]2)CCCC3)C1 ZINC000831900933 603874569 /nfs/dbraw/zinc/87/45/69/603874569.db2.gz CZSQYFCVWXCWPC-CQSZACIVSA-N 0 2 310.329 0.928 20 0 DCADLN O=C([O-])N[C@@H]1CCC[N@H+](CN2CC3(CC2=O)CCOCC3)C1 ZINC000832365455 603874786 /nfs/dbraw/zinc/87/47/86/603874786.db2.gz JQXAHZIZFLDGQC-GFCCVEGCSA-N 0 2 311.382 0.705 20 0 DCADLN O=C([O-])N[C@@H]1CCC[N@@H+](CN2CC3(CC2=O)CCOCC3)C1 ZINC000832365455 603874791 /nfs/dbraw/zinc/87/47/91/603874791.db2.gz JQXAHZIZFLDGQC-GFCCVEGCSA-N 0 2 311.382 0.705 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)N[C@H]2CCCSC2)CC1 ZINC000831689198 604136930 /nfs/dbraw/zinc/13/69/30/604136930.db2.gz MHXGWRBSWPYMRY-NSHDSACASA-N 0 2 316.427 0.477 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@@H+]3CC[C@@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073699 604382151 /nfs/dbraw/zinc/38/21/51/604382151.db2.gz VQHZMGSYTOOAOH-GHMZBOCLSA-N 0 2 307.354 0.176 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@H+]3CC[C@@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073699 604382156 /nfs/dbraw/zinc/38/21/56/604382156.db2.gz VQHZMGSYTOOAOH-GHMZBOCLSA-N 0 2 307.354 0.176 20 0 DCADLN CC(C)c1nnc(NC(=O)C[NH2+][C@@]2(C(=O)[O-])CCOC2)s1 ZINC000833511624 604483846 /nfs/dbraw/zinc/48/38/46/604483846.db2.gz BVBFYYFTFHRBRM-LBPRGKRZSA-N 0 2 314.367 0.433 20 0 DCADLN O=C(C[NH2+][C@@]1(C(=O)[O-])CCOC1)Nc1nc2c(s1)CCC2 ZINC000833765091 604489635 /nfs/dbraw/zinc/48/96/35/604489635.db2.gz WNQRXOVJQVJKQT-ZDUSSCGKSA-N 0 2 311.363 0.404 20 0 DCADLN Cc1cc(C[N@@H+]2CCO[C@@H](C(N)=O)C2)cc(C)c1OCC(=O)[O-] ZINC000833745467 604623722 /nfs/dbraw/zinc/62/37/22/604623722.db2.gz JTWJXKFALPMVMR-CYBMUJFWSA-N 0 2 322.361 0.453 20 0 DCADLN Cc1cc(C[N@H+]2CCO[C@@H](C(N)=O)C2)cc(C)c1OCC(=O)[O-] ZINC000833745467 604623724 /nfs/dbraw/zinc/62/37/24/604623724.db2.gz JTWJXKFALPMVMR-CYBMUJFWSA-N 0 2 322.361 0.453 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000830781271 604654401 /nfs/dbraw/zinc/65/44/01/604654401.db2.gz SYKPXTALHGFDQR-UHFFFAOYSA-N 0 2 313.335 0.885 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833590060 604801317 /nfs/dbraw/zinc/80/13/17/604801317.db2.gz YQMVYLOBZBFFTC-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833590060 604801319 /nfs/dbraw/zinc/80/13/19/604801319.db2.gz YQMVYLOBZBFFTC-VHSXEESVSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833590059 604801400 /nfs/dbraw/zinc/80/14/00/604801400.db2.gz YQMVYLOBZBFFTC-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833590059 604801404 /nfs/dbraw/zinc/80/14/04/604801404.db2.gz YQMVYLOBZBFFTC-UWVGGRQHSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)N2CCC(C(=O)[O-])CC2)CC[N@H+]1C ZINC000833586576 604803465 /nfs/dbraw/zinc/80/34/65/604803465.db2.gz GODSXFAHOOSUHL-MNOVXSKESA-N 0 2 319.427 0.052 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)N2CCC(C(=O)[O-])CC2)CC[N@@H+]1C ZINC000833586576 604803468 /nfs/dbraw/zinc/80/34/68/604803468.db2.gz GODSXFAHOOSUHL-MNOVXSKESA-N 0 2 319.427 0.052 20 0 DCADLN O=C([O-])N1CCO[C@@H](CC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC000832060425 604822755 /nfs/dbraw/zinc/82/27/55/604822755.db2.gz LCQXHMPGURGZHJ-NEPJUHHUSA-N 0 2 322.365 0.885 20 0 DCADLN CC(=O)NC[C@H]1C[N@H+](CCOc2ccccc2C(=O)[O-])CCO1 ZINC000833397655 605210895 /nfs/dbraw/zinc/21/08/95/605210895.db2.gz WFHROIYTRVAJBC-ZDUSSCGKSA-N 0 2 322.361 0.601 20 0 DCADLN CC(=O)NC[C@H]1C[N@@H+](CCOc2ccccc2C(=O)[O-])CCO1 ZINC000833397655 605210898 /nfs/dbraw/zinc/21/08/98/605210898.db2.gz WFHROIYTRVAJBC-ZDUSSCGKSA-N 0 2 322.361 0.601 20 0 DCADLN O=C([O-])NCC(=O)NCC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000834198919 605369507 /nfs/dbraw/zinc/36/95/07/605369507.db2.gz QJKVMENSMFELJY-UHFFFAOYSA-N 0 2 317.305 0.195 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCSC[C@@H]1CO)C(=O)[O-] ZINC000833638930 605385398 /nfs/dbraw/zinc/38/53/98/605385398.db2.gz LJRNDPWGGZAJDL-NHCYSSNCSA-N 0 2 304.412 0.012 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCSC[C@@H]1CO)C(=O)[O-] ZINC000833638930 605385406 /nfs/dbraw/zinc/38/54/06/605385406.db2.gz LJRNDPWGGZAJDL-NHCYSSNCSA-N 0 2 304.412 0.012 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCN(C(=O)[O-])CC1(C)C ZINC000827248447 605471738 /nfs/dbraw/zinc/47/17/38/605471738.db2.gz AXWKVYZKRJVBOA-SECBINFHSA-N 0 2 300.359 0.295 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCN(C(=O)[O-])CC1(C)C ZINC000827248447 605471744 /nfs/dbraw/zinc/47/17/44/605471744.db2.gz AXWKVYZKRJVBOA-SECBINFHSA-N 0 2 300.359 0.295 20 0 DCADLN O=C([O-])NC[C@@H]1CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000834224816 605527411 /nfs/dbraw/zinc/52/74/11/605527411.db2.gz HBMQPPCXSRBKDL-NSHDSACASA-N 0 2 315.333 0.997 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)CCNC(=O)[O-])C2)o1 ZINC000830623810 605530616 /nfs/dbraw/zinc/53/06/16/605530616.db2.gz BJELEYGUPFBWOW-NSHDSACASA-N 0 2 310.354 0.673 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)CCNC(=O)[O-])C2)o1 ZINC000830623810 605530618 /nfs/dbraw/zinc/53/06/18/605530618.db2.gz BJELEYGUPFBWOW-NSHDSACASA-N 0 2 310.354 0.673 20 0 DCADLN C[C@@H](NC(=O)NC(C)(C)CNC(=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000825155152 605610399 /nfs/dbraw/zinc/61/03/99/605610399.db2.gz AFBAKZACFGFEDC-GHMZBOCLSA-N 0 2 316.402 0.441 20 0 DCADLN O=C([O-])NC[C@@H]1CCCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000834218183 605668456 /nfs/dbraw/zinc/66/84/56/605668456.db2.gz HDGWIIFOTILYTN-RYUDHWBXSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CC[C@@](F)(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834084216 605975502 /nfs/dbraw/zinc/97/55/02/605975502.db2.gz LQXKZOSBNVRHEY-NHYWBVRUSA-N 0 2 322.340 0.869 20 0 DCADLN O=C(COC1CN(C(=O)[O-])C1)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000834009006 605988243 /nfs/dbraw/zinc/98/82/43/605988243.db2.gz ALFHDPAIFQWLKL-LLVKDONJSA-N 0 2 320.349 0.155 20 0 DCADLN CCOC(=O)c1cnn(C[N@@H+]2CCC[C@H](CNC(=O)[O-])C2)c1 ZINC000833861997 606036163 /nfs/dbraw/zinc/03/61/63/606036163.db2.gz YAMJQORMHTWYOH-LLVKDONJSA-N 0 2 310.354 0.997 20 0 DCADLN CCOC(=O)c1cnn(C[N@H+]2CCC[C@H](CNC(=O)[O-])C2)c1 ZINC000833861997 606036169 /nfs/dbraw/zinc/03/61/69/606036169.db2.gz YAMJQORMHTWYOH-LLVKDONJSA-N 0 2 310.354 0.997 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](CC[C@@H]2CCS(=O)(=O)C2)CCN1C(=O)[O-] ZINC000833831452 606039521 /nfs/dbraw/zinc/03/95/21/606039521.db2.gz ZCBQKQKXVJAROC-IJLUTSLNSA-N 0 2 304.412 0.884 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](CC[C@@H]2CCS(=O)(=O)C2)CCN1C(=O)[O-] ZINC000833831452 606039525 /nfs/dbraw/zinc/03/95/25/606039525.db2.gz ZCBQKQKXVJAROC-IJLUTSLNSA-N 0 2 304.412 0.884 20 0 DCADLN CC[C@@H]1CN(C(=O)NCCn2cc[nH+]c2)CC[C@H]1NC(=O)[O-] ZINC000833849780 606098590 /nfs/dbraw/zinc/09/85/90/606098590.db2.gz TVSPOMVXDXPPLW-VXGBXAGGSA-N 0 2 309.370 0.961 20 0 DCADLN Cc1nn(CC[C@H]2CCCC2=O)c(=O)c(-c2nn[nH]n2)c1C ZINC000822501097 606328657 /nfs/dbraw/zinc/32/86/57/606328657.db2.gz VUEJPHSHWOBFPE-SNVBAGLBSA-N 0 2 302.338 0.800 20 0 DCADLN CC[C@H]1CCC[C@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820980135 606807234 /nfs/dbraw/zinc/80/72/34/606807234.db2.gz KSGYCPHYPUVSCU-DTWKUNHWSA-N 0 2 319.369 0.255 20 0 DCADLN Cn1cc(-c2nn[nH]n2)cc1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000822637801 607011366 /nfs/dbraw/zinc/01/13/66/607011366.db2.gz TXSJHWFZFKSXMY-JTQLQIEISA-N 0 2 312.337 0.736 20 0 DCADLN Cc1nnc(SCc2n[nH]c(=O)[nH]2)c(-c2nn[nH]n2)c1C ZINC000826337409 609308651 /nfs/dbraw/zinc/30/86/51/609308651.db2.gz NNJSKZRWJZZMOB-UHFFFAOYSA-N 0 2 305.327 0.390 20 0 DCADLN CN(C(=O)c1ncc[nH]1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646999 665406117 /nfs/dbraw/zinc/40/61/17/665406117.db2.gz PCHHAZYTDPDJBI-YUMQZZPRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1ncc[nH]1)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938646999 665406119 /nfs/dbraw/zinc/40/61/19/665406119.db2.gz PCHHAZYTDPDJBI-YUMQZZPRSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC000977996942 660468767 /nfs/dbraw/zinc/46/87/67/660468767.db2.gz VSKUNLDBQFFLEU-POYBYMJQSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC000977996942 660468768 /nfs/dbraw/zinc/46/87/68/660468768.db2.gz VSKUNLDBQFFLEU-POYBYMJQSA-N 0 2 323.250 0.378 20 0 DCADLN Cc1cnc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001027732813 660669173 /nfs/dbraw/zinc/66/91/73/660669173.db2.gz QXNKSOCPDCHJGA-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1cscn1 ZINC001027780982 660722943 /nfs/dbraw/zinc/72/29/43/660722943.db2.gz BNOQILGDGKPURZ-MRVPVSSYSA-N 0 2 308.367 0.361 20 0 DCADLN CCOC1CC(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001027866177 660856325 /nfs/dbraw/zinc/85/63/25/660856325.db2.gz VNSQUERRVMTBHZ-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN CCOC1CC(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001027866177 660856328 /nfs/dbraw/zinc/85/63/28/660856328.db2.gz VNSQUERRVMTBHZ-CXQJBGSLSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC[C@@H]1CC[N@H+]1C[C@@H](O)C1CCCCC1)c1nc[nH]n1 ZINC001038825686 660969972 /nfs/dbraw/zinc/96/99/72/660969972.db2.gz JLTVGVOAASHRAJ-QWHCGFSZSA-N 0 2 307.398 0.550 20 0 DCADLN Cn1nnc(CN2CCCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC000981206735 661102536 /nfs/dbraw/zinc/10/25/36/661102536.db2.gz DYWHEBSNXFUGHR-SECBINFHSA-N 0 2 324.282 0.145 20 0 DCADLN Cn1nnc(CN2CCCN(C(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC000981206735 661102538 /nfs/dbraw/zinc/10/25/38/661102538.db2.gz DYWHEBSNXFUGHR-SECBINFHSA-N 0 2 324.282 0.145 20 0 DCADLN O=C([C@@H]1CCCO1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981606554 661179468 /nfs/dbraw/zinc/17/94/68/661179468.db2.gz KKXRWDAJKSSBFT-NSHDSACASA-N 0 2 321.381 0.114 20 0 DCADLN O=C([C@@H]1CCCO1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981606554 661179470 /nfs/dbraw/zinc/17/94/70/661179470.db2.gz KKXRWDAJKSSBFT-NSHDSACASA-N 0 2 321.381 0.114 20 0 DCADLN Cc1nocc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001028113881 661193138 /nfs/dbraw/zinc/19/31/38/661193138.db2.gz VRFNNAAMNQAECP-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN CC1(C)C[C@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981718766 661204125 /nfs/dbraw/zinc/20/41/25/661204125.db2.gz RQFOZNXQIMANOK-NSHDSACASA-N 0 2 319.409 0.981 20 0 DCADLN CC1(C)C[C@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981718766 661204126 /nfs/dbraw/zinc/20/41/26/661204126.db2.gz RQFOZNXQIMANOK-NSHDSACASA-N 0 2 319.409 0.981 20 0 DCADLN C[C@H](O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC000981932786 661247460 /nfs/dbraw/zinc/24/74/60/661247460.db2.gz CBBNZUHPYMPYRH-STQMWFEESA-N 0 2 306.410 0.745 20 0 DCADLN C[C@@H](O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC000981932783 661247541 /nfs/dbraw/zinc/24/75/41/661247541.db2.gz CBBNZUHPYMPYRH-OLZOCXBDSA-N 0 2 306.410 0.745 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)N2CCC[NH+](Cc3cncn3C)CC2)C1 ZINC000981955836 661254089 /nfs/dbraw/zinc/25/40/89/661254089.db2.gz WIJSPUZDTPMAOX-OAHLLOKOSA-N 0 2 319.453 0.796 20 0 DCADLN CN1CC(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@H]2CCOC2)=NC1=O ZINC001032605302 665411062 /nfs/dbraw/zinc/41/10/62/665411062.db2.gz WNBKGDNKALHFQQ-WOPDTQHZSA-N 0 2 306.366 0.061 20 0 DCADLN CCOC(=O)N1C[C@H](NC(=O)C(F)C(F)(F)F)[C@@H](CO)C1 ZINC000890977633 657496658 /nfs/dbraw/zinc/49/66/58/657496658.db2.gz HARXDQASIDCAIR-GJMOJQLCSA-N 0 2 316.251 0.452 20 0 DCADLN CCOC(=O)N1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](CO)C1 ZINC000890977633 657496660 /nfs/dbraw/zinc/49/66/60/657496660.db2.gz HARXDQASIDCAIR-GJMOJQLCSA-N 0 2 316.251 0.452 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000970447832 657527111 /nfs/dbraw/zinc/52/71/11/657527111.db2.gz FPWBEAMJUJLBPE-GJMOJQLCSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC000970447832 657527116 /nfs/dbraw/zinc/52/71/16/657527116.db2.gz FPWBEAMJUJLBPE-GJMOJQLCSA-N 0 2 314.279 0.621 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1C[C@@H]1C(F)F ZINC001038220011 657570787 /nfs/dbraw/zinc/57/07/87/657570787.db2.gz OXCYLECSMDBPOQ-FXQIFTODSA-N 0 2 301.297 0.102 20 0 DCADLN C[C@@H](NC(=O)c1ccncc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969209064 657570996 /nfs/dbraw/zinc/57/09/96/657570996.db2.gz FJFRDXHHDDGCCX-SECBINFHSA-N 0 2 302.338 0.156 20 0 DCADLN C[C@@H](NC(=O)c1nccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970637289 657727184 /nfs/dbraw/zinc/72/71/84/657727184.db2.gz QCKJBDYOGTYCSR-SSDOTTSWSA-N 0 2 308.367 0.217 20 0 DCADLN C[C@@H]([NH2+][C@@H]1CCN(C(=O)[C@H]2CCC[N@@H+]2C)C1)c1csnn1 ZINC000969595366 658012758 /nfs/dbraw/zinc/01/27/58/658012758.db2.gz XQHJXDUZEGTQIK-NQBHXWOUSA-N 0 2 309.439 0.884 20 0 DCADLN CNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCC[N@H+]2C(C)C)C1 ZINC000969646735 658052110 /nfs/dbraw/zinc/05/21/10/658052110.db2.gz BSWUFARMUXGGAS-JSGCOSHPSA-N 0 2 310.442 0.042 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)=C1CCCC1 ZINC001010548997 658227407 /nfs/dbraw/zinc/22/74/07/658227407.db2.gz XPKRZJPJQYZPJH-JTQLQIEISA-N 0 2 309.345 0.998 20 0 DCADLN CC(C)n1ncnc1C[NH2+][C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001020782502 658462368 /nfs/dbraw/zinc/46/23/68/658462368.db2.gz UMCWYRQLRBBMHL-MGCOHNPYSA-N 0 2 304.358 0.028 20 0 DCADLN O=C(N[C@H]1C[C@H]([NH2+]Cc2nccn2C(F)F)C1)c1nc[nH]n1 ZINC001020782385 658463094 /nfs/dbraw/zinc/46/30/94/658463094.db2.gz RTEANYPUFHRMAJ-ZKCHVHJHSA-N 0 2 311.296 0.447 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1CCCO1 ZINC000972826184 658464852 /nfs/dbraw/zinc/46/48/52/658464852.db2.gz WBQGVYVBESBVHR-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1CCCO1 ZINC000972826184 658464855 /nfs/dbraw/zinc/46/48/55/658464855.db2.gz WBQGVYVBESBVHR-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1CCCOC1 ZINC000972853336 658482654 /nfs/dbraw/zinc/48/26/54/658482654.db2.gz OKFPOYXLWZJWOK-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)[C@H]1CCCOC1 ZINC000972853336 658482659 /nfs/dbraw/zinc/48/26/59/658482659.db2.gz OKFPOYXLWZJWOK-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN CC[C@@H](C)C(=O)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972274295 658507108 /nfs/dbraw/zinc/50/71/08/658507108.db2.gz YHUOVTNTCLFVPR-ABAIWWIYSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1[nH]ccc1C(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024384314 658596352 /nfs/dbraw/zinc/59/63/52/658596352.db2.gz ARCCOKOFMNEGOG-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN Cc1[nH]ccc1C(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001024384314 658596355 /nfs/dbraw/zinc/59/63/55/658596355.db2.gz ARCCOKOFMNEGOG-NSHDSACASA-N 0 2 318.381 0.931 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCCCO1 ZINC001024386869 658597273 /nfs/dbraw/zinc/59/72/73/658597273.db2.gz LZXLJMIFCMICBZ-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1nccs1 ZINC001024726310 658782125 /nfs/dbraw/zinc/78/21/25/658782125.db2.gz QNQFWBXVMUBKLR-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN CC1(C)COCC[N@@H+]1CCN=c1nn[n-]n1Cc1ccccc1 ZINC000892320611 658798719 /nfs/dbraw/zinc/79/87/19/658798719.db2.gz GJBPXYVTQJGFRK-UHFFFAOYSA-N 0 2 316.409 0.666 20 0 DCADLN CC1(C)COCC[N@H+]1CCN=c1nn[n-]n1Cc1ccccc1 ZINC000892320611 658798725 /nfs/dbraw/zinc/79/87/25/658798725.db2.gz GJBPXYVTQJGFRK-UHFFFAOYSA-N 0 2 316.409 0.666 20 0 DCADLN O=C(c1cncnc1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011035597 658841046 /nfs/dbraw/zinc/84/10/46/658841046.db2.gz DLWKYVYDVVQXMI-SECBINFHSA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1cncnc1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011035597 658841058 /nfs/dbraw/zinc/84/10/58/658841058.db2.gz DLWKYVYDVVQXMI-SECBINFHSA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1ncccn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011239802 658876229 /nfs/dbraw/zinc/87/62/29/658876229.db2.gz GCOGLURKJVWYSN-MRVPVSSYSA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1ncccn1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011239802 658876232 /nfs/dbraw/zinc/87/62/32/658876232.db2.gz GCOGLURKJVWYSN-MRVPVSSYSA-N 0 2 320.246 0.661 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cc[nH]c1 ZINC000974625084 659600136 /nfs/dbraw/zinc/60/01/36/659600136.db2.gz WRBCGRRTIKRIFD-SNVBAGLBSA-N 0 2 304.354 0.479 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cc[nH]c1 ZINC000974625084 659600137 /nfs/dbraw/zinc/60/01/37/659600137.db2.gz WRBCGRRTIKRIFD-SNVBAGLBSA-N 0 2 304.354 0.479 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1nccs1 ZINC000977375976 659665044 /nfs/dbraw/zinc/66/50/44/659665044.db2.gz FNQRPAVHRNCMEP-QMMMGPOBSA-N 0 2 322.394 0.607 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001006674323 659665511 /nfs/dbraw/zinc/66/55/11/659665511.db2.gz YODCHKGMYQCMBR-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN O=C(CCc1c[nH]nn1)N1CC[C@H](C[NH2+]Cc2csnn2)C1 ZINC001027074329 659787173 /nfs/dbraw/zinc/78/71/73/659787173.db2.gz XJRYSFCYLZCOQM-SNVBAGLBSA-N 0 2 321.410 0.227 20 0 DCADLN O=C(CCc1cnn[nH]1)N1CC[C@H](C[NH2+]Cc2csnn2)C1 ZINC001027074329 659787178 /nfs/dbraw/zinc/78/71/78/659787178.db2.gz XJRYSFCYLZCOQM-SNVBAGLBSA-N 0 2 321.410 0.227 20 0 DCADLN O=C(N=S1(=O)CCCC1)C(F)=C(O)N=S1(=O)CCCC1 ZINC000925900463 661399604 /nfs/dbraw/zinc/39/96/04/661399604.db2.gz FORIBUOSPJDXCX-UHFFFAOYSA-N 0 2 324.399 0.901 20 0 DCADLN Cc1cnc(C[N@H+]2CC[C@H](CNC(=O)c3nc[nH]n3)C2)cn1 ZINC001028878678 661864760 /nfs/dbraw/zinc/86/47/60/661864760.db2.gz UERCJLWFMRZMKK-LLVKDONJSA-N 0 2 301.354 0.155 20 0 DCADLN O=C(C1=CCOCC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029282141 662080963 /nfs/dbraw/zinc/08/09/63/662080963.db2.gz NZYCVYPQSRXIGY-TXEJJXNPSA-N 0 2 319.365 0.032 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccncc1 ZINC001000373557 665831417 /nfs/dbraw/zinc/83/14/17/665831417.db2.gz VMQQNPSTFOBDCV-UHFFFAOYSA-N 0 2 314.349 0.467 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccncc1 ZINC001000373557 665831419 /nfs/dbraw/zinc/83/14/19/665831419.db2.gz VMQQNPSTFOBDCV-UHFFFAOYSA-N 0 2 314.349 0.467 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)[C@@H]1CCCOC1 ZINC000909539325 662330103 /nfs/dbraw/zinc/33/01/03/662330103.db2.gz PNPWIIWOFXNZMM-LOWVWBTDSA-N 0 2 307.350 0.442 20 0 DCADLN O=C([O-])[C@H](NC(=O)c1cccc2[nH+]ccn21)[C@H]1CCCOC1 ZINC000909542068 662335090 /nfs/dbraw/zinc/33/50/90/662335090.db2.gz ZZSBARBIAXSRHL-GXFFZTMASA-N 0 2 303.318 0.944 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC000940853861 665864156 /nfs/dbraw/zinc/86/41/56/665864156.db2.gz MJSRTMOXPJIYKT-DJLDLDEBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC000940853861 665864157 /nfs/dbraw/zinc/86/41/57/665864157.db2.gz MJSRTMOXPJIYKT-DJLDLDEBSA-N 0 2 312.263 0.640 20 0 DCADLN Cn1cnnc1C[NH+](C)C[C@H]1CCN(C(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC001029672713 662447023 /nfs/dbraw/zinc/44/70/23/662447023.db2.gz NJPLZBODFFHDJE-ZIAGYGMSSA-N 0 2 320.441 0.190 20 0 DCADLN Cc1ncc(C[N@@H+]2CC[C@@H](NC(=O)c3nc[nH]n3)C[C@H]2C)o1 ZINC000947828191 662644227 /nfs/dbraw/zinc/64/42/27/662644227.db2.gz FBQIZOJQEHNRKM-MWLCHTKSSA-N 0 2 304.354 0.884 20 0 DCADLN CC1(C)CN(C(=O)C[C@@H]2SC(=N)NC2=O)[C@@H]1[C@@H]1CCCO1 ZINC000752973822 662645465 /nfs/dbraw/zinc/64/54/65/662645465.db2.gz ABHRTHAVDNZVCU-ATZCPNFKSA-N 0 2 311.407 0.959 20 0 DCADLN CCc1nc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001042640147 662686002 /nfs/dbraw/zinc/68/60/02/662686002.db2.gz HBLOSHBFIHHIQW-UHFFFAOYSA-N 0 2 306.326 0.017 20 0 DCADLN CCC[C@H](Nc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000263553607 662715794 /nfs/dbraw/zinc/71/57/94/662715794.db2.gz MSPMWDWNDBZQBA-JTQLQIEISA-N 0 2 307.354 0.420 20 0 DCADLN CCC[C@H](Nc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000263553607 662715796 /nfs/dbraw/zinc/71/57/96/662715796.db2.gz MSPMWDWNDBZQBA-JTQLQIEISA-N 0 2 307.354 0.420 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000937696883 662786936 /nfs/dbraw/zinc/78/69/36/662786936.db2.gz BDJXPJLRPDJLEA-XPUUQOCRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000937696883 662786938 /nfs/dbraw/zinc/78/69/38/662786938.db2.gz BDJXPJLRPDJLEA-XPUUQOCRSA-N 0 2 308.235 0.641 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC000988631938 662808017 /nfs/dbraw/zinc/80/80/17/662808017.db2.gz IXNBMWNTCNFJEO-GMSGAONNSA-N 0 2 319.369 0.243 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@H]2CCCN(C(=O)Cc3nnc[nH]3)C2)[nH]1 ZINC001000661337 665905662 /nfs/dbraw/zinc/90/56/62/665905662.db2.gz VROURLHHNIMPHZ-ONGXEEELSA-N 0 2 318.385 0.116 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000938022866 662852928 /nfs/dbraw/zinc/85/29/28/662852928.db2.gz FQDRKPJLYRWIIY-JGVFFNPUSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000938022866 662852929 /nfs/dbraw/zinc/85/29/29/662852929.db2.gz FQDRKPJLYRWIIY-JGVFFNPUSA-N 0 2 322.262 0.949 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cnon2)CCN1C(=O)C(F)C(F)(F)F ZINC000989417092 662915963 /nfs/dbraw/zinc/91/59/63/662915963.db2.gz QIMZIQMDLNJRJI-GKROBHDKSA-N 0 2 324.234 0.689 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cnon2)CCN1C(=O)[C@@H](F)C(F)(F)F ZINC000989417092 662915965 /nfs/dbraw/zinc/91/59/65/662915965.db2.gz QIMZIQMDLNJRJI-GKROBHDKSA-N 0 2 324.234 0.689 20 0 DCADLN C[C@@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)n1cccn1 ZINC000990298575 663029821 /nfs/dbraw/zinc/02/98/21/663029821.db2.gz BLAPHBWLJUMVDU-IONNQARKSA-N 0 2 322.262 0.672 20 0 DCADLN C[C@@H](C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)n1cccn1 ZINC000990298575 663029823 /nfs/dbraw/zinc/02/98/23/663029823.db2.gz BLAPHBWLJUMVDU-IONNQARKSA-N 0 2 322.262 0.672 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cccc3c2OCCCO3)C(=O)N1C ZINC000899042175 663119203 /nfs/dbraw/zinc/11/92/03/663119203.db2.gz YJOLXZQFKYPFOP-VIFPVBQESA-N 0 2 319.317 0.775 20 0 DCADLN Cc1nn(C(C)(C)C)cc1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC000899035853 663119888 /nfs/dbraw/zinc/11/98/88/663119888.db2.gz ONZMAHRCVIUAPG-VIFPVBQESA-N 0 2 307.354 0.874 20 0 DCADLN Cn1ccc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000990822230 663207139 /nfs/dbraw/zinc/20/71/39/663207139.db2.gz IMRIYTOKTGOWQD-VIFPVBQESA-N 0 2 307.247 0.866 20 0 DCADLN Cn1ccc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC000990822230 663207140 /nfs/dbraw/zinc/20/71/40/663207140.db2.gz IMRIYTOKTGOWQD-VIFPVBQESA-N 0 2 307.247 0.866 20 0 DCADLN COCCOc1ccccc1C[NH2+]Cc1cn(CC(=O)[O-])nn1 ZINC000901805057 663368639 /nfs/dbraw/zinc/36/86/39/663368639.db2.gz ZMJRHTKZZMWNPO-UHFFFAOYSA-N 0 2 320.349 0.678 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)C2(C(=O)[O-])C(C)(C)C2(C)C)CCO1 ZINC000901923012 663372721 /nfs/dbraw/zinc/37/27/21/663372721.db2.gz ZPNBIKKSVFQYGF-NSHDSACASA-N 0 2 312.410 0.960 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)C2(C(=O)[O-])C(C)(C)C2(C)C)CCO1 ZINC000901923012 663372723 /nfs/dbraw/zinc/37/27/23/663372723.db2.gz ZPNBIKKSVFQYGF-NSHDSACASA-N 0 2 312.410 0.960 20 0 DCADLN C[C@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)n1cncn1 ZINC000990921600 663374565 /nfs/dbraw/zinc/37/45/65/663374565.db2.gz WVQYCAREFVBZFV-SVRRBLITSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@H](C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)n1cncn1 ZINC000990921600 663374568 /nfs/dbraw/zinc/37/45/68/663374568.db2.gz WVQYCAREFVBZFV-SVRRBLITSA-N 0 2 323.250 0.067 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000938276505 663406682 /nfs/dbraw/zinc/40/66/82/663406682.db2.gz DLSXBWPLLNSHDK-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)N[C@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000938276505 663406683 /nfs/dbraw/zinc/40/66/83/663406683.db2.gz DLSXBWPLLNSHDK-XPUUQOCRSA-N 0 2 323.250 0.046 20 0 DCADLN CCc1noc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)n1 ZINC000902613742 663422408 /nfs/dbraw/zinc/42/24/08/663422408.db2.gz PZAISCOQISFAGE-UHFFFAOYSA-N 0 2 321.381 0.012 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC000902841556 663436770 /nfs/dbraw/zinc/43/67/70/663436770.db2.gz PUYZQHXCDWCZKB-NEPJUHHUSA-N 0 2 300.399 0.960 20 0 DCADLN C[C@H]1CCC[C@@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031939625 665965514 /nfs/dbraw/zinc/96/55/14/665965514.db2.gz UYYQQPFXJLFIRD-CMPLNLGQSA-N 0 2 307.398 0.885 20 0 DCADLN CCc1nn(C)cc1S(=O)(=O)Nc1nccc(COC)n1 ZINC000903675162 663473780 /nfs/dbraw/zinc/47/37/80/663473780.db2.gz UVPBLRPPLQAQLZ-UHFFFAOYSA-N 0 2 311.367 0.720 20 0 DCADLN COC[C@](C)([NH2+]Cc1ccc(S(C)(=O)=O)s1)C(=O)[O-] ZINC000904009583 663500734 /nfs/dbraw/zinc/50/07/34/663500734.db2.gz FTICLBWPQXOTPK-NSHDSACASA-N 0 2 307.393 0.731 20 0 DCADLN C[N@@H+]1CCCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)CC1 ZINC000906788812 663643780 /nfs/dbraw/zinc/64/37/80/663643780.db2.gz MFZUSRMZSDBXIG-UHFFFAOYSA-N 0 2 314.363 0.417 20 0 DCADLN C[N@H+]1CCCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)CC1 ZINC000906788812 663643782 /nfs/dbraw/zinc/64/37/82/663643782.db2.gz MFZUSRMZSDBXIG-UHFFFAOYSA-N 0 2 314.363 0.417 20 0 DCADLN C/C(=C/C(=O)N(CCC(=O)[O-])CC1CC1)C[NH+]1CCOCC1 ZINC000908876933 663773293 /nfs/dbraw/zinc/77/32/93/663773293.db2.gz GTVZJECTZRJLDT-RAXLEYEMSA-N 0 2 310.394 0.978 20 0 DCADLN O=C([O-])C[C@@H]1CSCCN1C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000909011968 663777483 /nfs/dbraw/zinc/77/74/83/663777483.db2.gz XDKNBXHVHIHAGR-GHMZBOCLSA-N 0 2 309.391 0.864 20 0 DCADLN O=C([O-])C[C@]1(NC(=O)[C@H]2CCc3[nH+]ccn3C2)CCCOC1 ZINC000909697316 663795198 /nfs/dbraw/zinc/79/51/98/663795198.db2.gz GSHIUHHGMSEGKK-XHDPSFHLSA-N 0 2 307.350 0.586 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000909715160 663796406 /nfs/dbraw/zinc/79/64/06/663796406.db2.gz YXQQQRFLIAETPP-LRDDRELGSA-N 0 2 321.377 0.785 20 0 DCADLN O=C([O-])c1csc(CCNC(=O)[C@@H]2CCc3[nH+]ccn3C2)n1 ZINC000909796635 663802261 /nfs/dbraw/zinc/80/22/61/663802261.db2.gz QAZAFIQTSLZIAN-SECBINFHSA-N 0 2 320.374 0.959 20 0 DCADLN O=C([O-])c1csc(CCNC(=O)[C@H]2CCc3c[nH+]cn3C2)n1 ZINC000909796008 663802546 /nfs/dbraw/zinc/80/25/46/663802546.db2.gz KXEAMVZWXSRSGD-VIFPVBQESA-N 0 2 320.374 0.959 20 0 DCADLN COC1(C[C@H](NC(=O)[C@@H]2CCn3c[nH+]cc3C2)C(=O)[O-])CCC1 ZINC000909895243 663818164 /nfs/dbraw/zinc/81/81/64/663818164.db2.gz XJZUIABGZSEMTI-YPMHNXCESA-N 0 2 321.377 0.974 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@H]2CCc3c[nH+]cn3C2)[C@@](C)(C(=O)[O-])C1 ZINC000910262803 663865823 /nfs/dbraw/zinc/86/58/23/663865823.db2.gz FIRACFBUPRCXAD-ITDIGPHOSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])[C@H](CC1CCOCC1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000910450580 663884819 /nfs/dbraw/zinc/88/48/19/663884819.db2.gz DRXMEHKJLQISCY-OCCSQVGLSA-N 0 2 321.377 0.832 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)CCn1cc[nH+]c1)Oc1ccccc1 ZINC000910486658 663891920 /nfs/dbraw/zinc/89/19/20/663891920.db2.gz SZRCJTWAKZYGKE-CYBMUJFWSA-N 0 2 303.318 0.922 20 0 DCADLN Cc1cn(C)nc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991296509 663962033 /nfs/dbraw/zinc/96/20/33/663962033.db2.gz QSCIKDOKSVNMSK-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cn(C)nc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000991296509 663962035 /nfs/dbraw/zinc/96/20/35/663962035.db2.gz QSCIKDOKSVNMSK-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cc(C(=O)[O-])cnc1C ZINC000910990572 663966505 /nfs/dbraw/zinc/96/65/05/663966505.db2.gz ZHEVRPZEQXKWLE-ZDUSSCGKSA-N 0 2 321.377 0.929 20 0 DCADLN CO[C@@H]1C[C@H]1C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911170674 664000776 /nfs/dbraw/zinc/00/07/76/664000776.db2.gz WTANXBYTIBVMLC-VXGBXAGGSA-N 0 2 305.334 0.463 20 0 DCADLN CC[C@H](CO)C(=O)N1CCCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911307990 664025124 /nfs/dbraw/zinc/02/51/24/664025124.db2.gz KSJIJNPVPDMAFX-GFCCVEGCSA-N 0 2 321.377 0.837 20 0 DCADLN O=C([O-])c1ccn(CC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)n1 ZINC000911430598 664050752 /nfs/dbraw/zinc/05/07/52/664050752.db2.gz QMAZEGUKSRMCCM-GFCCVEGCSA-N 0 2 316.317 0.656 20 0 DCADLN C[C@@]1(CO)C[C@H](O)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000912656833 664201624 /nfs/dbraw/zinc/20/16/24/664201624.db2.gz XBBWZIKGJLWADE-LRDDRELGSA-N 0 2 317.345 0.536 20 0 DCADLN CCCn1nc(C)c(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1C ZINC000912823997 664233501 /nfs/dbraw/zinc/23/35/01/664233501.db2.gz KYGKVEQXIMBZRR-SNVBAGLBSA-N 0 2 307.354 0.837 20 0 DCADLN Cc1nc2cc(NC(=O)CC[C@@H]3NC(=O)NC3=O)ccc2[nH]1 ZINC000912998316 664255503 /nfs/dbraw/zinc/25/55/03/664255503.db2.gz PAKCNFBBRASUMJ-JTQLQIEISA-N 0 2 301.306 0.798 20 0 DCADLN COC[C@](C)(O)C(=O)Nc1nccc(Br)c1O ZINC000913026561 664256032 /nfs/dbraw/zinc/25/60/32/664256032.db2.gz UZHSJSZJXFOFOY-JTQLQIEISA-N 0 2 305.128 0.886 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1[nH]ccc1C1CC1 ZINC001030423202 664539303 /nfs/dbraw/zinc/53/93/03/664539303.db2.gz JNHVWROVQQJHPE-UHFFFAOYSA-N 0 2 302.338 0.330 20 0 DCADLN C[C@H]1CCCC[C@H]1OCCN1CC(NC(=O)c2cnn[nH]2)C1 ZINC001030600214 664599836 /nfs/dbraw/zinc/59/98/36/664599836.db2.gz SWGRAQGGSIHEBA-SMDDNHRTSA-N 0 2 307.398 0.814 20 0 DCADLN C[C@H]1CCCC[C@H]1OCC[NH+]1CC(NC(=O)c2cnn[n-]2)C1 ZINC001030600214 664599837 /nfs/dbraw/zinc/59/98/37/664599837.db2.gz SWGRAQGGSIHEBA-SMDDNHRTSA-N 0 2 307.398 0.814 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@]12CCO[C@@H]1CCCC2 ZINC001030664482 664624147 /nfs/dbraw/zinc/62/41/47/664624147.db2.gz QLGBEBHSCMGCPO-IAQYHMDHSA-N 0 2 321.381 0.160 20 0 DCADLN C[C@H]1[C@H](NC(=O)C2CCOCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000992971963 664787708 /nfs/dbraw/zinc/78/77/08/664787708.db2.gz UNYQOJGAWLBCSZ-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1[C@H](NC(=O)C2CCOCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000992971963 664787709 /nfs/dbraw/zinc/78/77/09/664787709.db2.gz UNYQOJGAWLBCSZ-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN COCCN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCCC1 ZINC000730535153 664790134 /nfs/dbraw/zinc/79/01/34/664790134.db2.gz HLSITAPUVGDNPJ-UHFFFAOYSA-N 0 2 319.365 0.489 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C)no1 ZINC000993008708 664791105 /nfs/dbraw/zinc/79/11/05/664791105.db2.gz QNHUOJUELVFJLU-UWVGGRQHSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCCOC1CCCC1 ZINC000730539997 664791158 /nfs/dbraw/zinc/79/11/58/664791158.db2.gz VBLWELJKGSZZGB-UHFFFAOYSA-N 0 2 319.365 0.537 20 0 DCADLN COc1ccncc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730541154 664791316 /nfs/dbraw/zinc/79/13/16/664791316.db2.gz JZQNWMSFVUSDKH-UHFFFAOYSA-N 0 2 300.278 0.114 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccon2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993097390 664807488 /nfs/dbraw/zinc/80/74/88/664807488.db2.gz STMGGRINLQGMNZ-RKDXNWHRSA-N 0 2 306.326 0.281 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C2=CCOCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993218631 664904902 /nfs/dbraw/zinc/90/49/02/664904902.db2.gz BVMKKEVDBMHBQI-JQWIXIFHSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@H]1[C@@H](NC(=O)C2=CCOCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993218631 664904904 /nfs/dbraw/zinc/90/49/04/664904904.db2.gz BVMKKEVDBMHBQI-JQWIXIFHSA-N 0 2 321.381 0.326 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000993223085 664909738 /nfs/dbraw/zinc/90/97/38/664909738.db2.gz WZERPJSRAICHQO-CMPLNLGQSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1[nH]ccc1C(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000993223085 664909741 /nfs/dbraw/zinc/90/97/41/664909741.db2.gz WZERPJSRAICHQO-CMPLNLGQSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cnn1C(C)C ZINC001031232795 664922782 /nfs/dbraw/zinc/92/27/82/664922782.db2.gz GGARNGDCFUSOHP-UHFFFAOYSA-N 0 2 319.369 0.210 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cc[nH]c2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993369627 664949077 /nfs/dbraw/zinc/94/90/77/664949077.db2.gz ZVNDMIXLNHJHMB-GXSJLCMTSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cc[nH]c2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993369627 664949079 /nfs/dbraw/zinc/94/90/79/664949079.db2.gz ZVNDMIXLNHJHMB-GXSJLCMTSA-N 0 2 304.354 0.621 20 0 DCADLN Cc1nnc(CN2CCC[C@@H](NC(=O)c3cnn[nH]3)[C@@H]2C)[nH]1 ZINC000993542266 664978735 /nfs/dbraw/zinc/97/87/35/664978735.db2.gz NKAFDSHMWXWTKG-WCBMZHEXSA-N 0 2 304.358 0.014 20 0 DCADLN Cc1nnc(CN2CCC[C@@H](NC(=O)c3cnn[nH]3)[C@H]2C)[nH]1 ZINC000993542262 664978898 /nfs/dbraw/zinc/97/88/98/664978898.db2.gz NKAFDSHMWXWTKG-PSASIEDQSA-N 0 2 304.358 0.014 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccncn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994033578 665034360 /nfs/dbraw/zinc/03/43/60/665034360.db2.gz CQMHNJOPTMNREV-VHSXEESVSA-N 0 2 317.353 0.083 20 0 DCADLN CCc1occc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031641353 665256133 /nfs/dbraw/zinc/25/61/33/665256133.db2.gz CTHWUDFSSDJFCJ-UHFFFAOYSA-N 0 2 305.338 0.528 20 0 DCADLN CC[C@@H](F)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc([O-])n(C)c1=O ZINC001032557671 665350478 /nfs/dbraw/zinc/35/04/78/665350478.db2.gz XABHQRCSWBKDNU-VWYCJHECSA-N 0 2 324.356 0.133 20 0 DCADLN CC[C@@H](F)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc([O-])n(C)c1=O ZINC001032557671 665350481 /nfs/dbraw/zinc/35/04/81/665350481.db2.gz XABHQRCSWBKDNU-VWYCJHECSA-N 0 2 324.356 0.133 20 0 DCADLN Cc1cnn(C)c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000997912359 665366614 /nfs/dbraw/zinc/36/66/14/665366614.db2.gz BITINRBQZXSECC-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cnn(C)c1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000997912359 665366615 /nfs/dbraw/zinc/36/66/15/665366615.db2.gz BITINRBQZXSECC-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC001001140354 666003697 /nfs/dbraw/zinc/00/36/97/666003697.db2.gz BBTFJSXOCNQKKM-DTWKUNHWSA-N 0 2 312.263 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCOC1 ZINC001001140354 666003699 /nfs/dbraw/zinc/00/36/99/666003699.db2.gz BBTFJSXOCNQKKM-DTWKUNHWSA-N 0 2 312.263 0.498 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001174262 666009100 /nfs/dbraw/zinc/00/91/00/666009100.db2.gz QXPBBMCAWMZJJI-VXGBXAGGSA-N 0 2 305.382 0.805 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001001174262 666009101 /nfs/dbraw/zinc/00/91/01/666009101.db2.gz QXPBBMCAWMZJJI-VXGBXAGGSA-N 0 2 305.382 0.805 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC000942254677 666138773 /nfs/dbraw/zinc/13/87/73/666138773.db2.gz HDXPNSYIJIQFJV-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccncn1 ZINC000942254677 666138774 /nfs/dbraw/zinc/13/87/74/666138774.db2.gz HDXPNSYIJIQFJV-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002410280 666168317 /nfs/dbraw/zinc/16/83/17/666168317.db2.gz KBZSODASYCKDQQ-WDEREUQCSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1OCCC[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002690072 666206567 /nfs/dbraw/zinc/20/65/67/666206567.db2.gz PULNYWUHAVBZTG-ZYHUDNBSSA-N 0 2 323.397 0.406 20 0 DCADLN C[N@H+](CCNC(=O)c1[nH]nc2c1CCCCC2)CCOCCO ZINC000922116061 666256166 /nfs/dbraw/zinc/25/61/66/666256166.db2.gz BYMPPUZQCBIREZ-UHFFFAOYSA-N 0 2 324.425 0.349 20 0 DCADLN COC1CC(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001003098462 666272477 /nfs/dbraw/zinc/27/24/77/666272477.db2.gz QYJZGEXSLXMNMI-UHFFFAOYSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1noc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c1C ZINC001003110646 666274321 /nfs/dbraw/zinc/27/43/21/666274321.db2.gz RUCZBVLVCVSHAY-UHFFFAOYSA-N 0 2 320.353 0.510 20 0 DCADLN CC(C)c1noc(C[NH+]2CCC(NC(=O)c3cnn[n-]3)CC2)n1 ZINC001003231456 666286010 /nfs/dbraw/zinc/28/60/10/666286010.db2.gz OFQNTQAMEPWNIW-UHFFFAOYSA-N 0 2 319.369 0.706 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnco1 ZINC001003381718 666303748 /nfs/dbraw/zinc/30/37/48/666303748.db2.gz TXFXJZLNPMOQJB-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnco1 ZINC001003381718 666303751 /nfs/dbraw/zinc/30/37/51/666303751.db2.gz TXFXJZLNPMOQJB-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ncccc1Cl ZINC001032139236 666368752 /nfs/dbraw/zinc/36/87/52/666368752.db2.gz AQMQDCLDVMFTII-UHFFFAOYSA-N 0 2 322.756 0.421 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ncccc1F ZINC001003845322 666381802 /nfs/dbraw/zinc/38/18/02/666381802.db2.gz UYSKLRZAHBVIOR-UHFFFAOYSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1ccc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001032929592 666396723 /nfs/dbraw/zinc/39/67/23/666396723.db2.gz WPEAXPGRPIJDQX-GFCCVEGCSA-N 0 2 316.365 0.560 20 0 DCADLN Cc1ccc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001032929593 666396819 /nfs/dbraw/zinc/39/68/19/666396819.db2.gz WPEAXPGRPIJDQX-LBPRGKRZSA-N 0 2 316.365 0.560 20 0 DCADLN Cc1ocnc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032947816 666401198 /nfs/dbraw/zinc/40/11/98/666401198.db2.gz ILTXABCYUHIKTH-SECBINFHSA-N 0 2 306.326 0.153 20 0 DCADLN CN(C(=O)CC1CCOCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032965101 666410511 /nfs/dbraw/zinc/41/05/11/666410511.db2.gz NMZQVDPUVISNLK-GFCCVEGCSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)CC1CCOCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032965101 666410512 /nfs/dbraw/zinc/41/05/12/666410512.db2.gz NMZQVDPUVISNLK-GFCCVEGCSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1c[nH]cc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001004136855 666451297 /nfs/dbraw/zinc/45/12/97/666451297.db2.gz VRUXNCRTRZPZNX-UHFFFAOYSA-N 0 2 304.354 0.541 20 0 DCADLN CN(C(=O)Cc1cncs1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033168586 666588046 /nfs/dbraw/zinc/58/80/46/666588046.db2.gz WFKYGHYVSPXGFE-VIFPVBQESA-N 0 2 322.394 0.242 20 0 DCADLN CN(C(=O)Cc1cncs1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033168586 666588047 /nfs/dbraw/zinc/58/80/47/666588047.db2.gz WFKYGHYVSPXGFE-VIFPVBQESA-N 0 2 322.394 0.242 20 0 DCADLN CN(C(=O)C1CCOCC1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005042464 666646533 /nfs/dbraw/zinc/64/65/33/666646533.db2.gz BMWPUXCCGIEGFY-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1c[nH]cc1C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033651949 666784889 /nfs/dbraw/zinc/78/48/89/666784889.db2.gz BSQHOFRZNILWNZ-JTQLQIEISA-N 0 2 304.354 0.493 20 0 DCADLN Cc1c[nH]cc1C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033651949 666784891 /nfs/dbraw/zinc/78/48/91/666784891.db2.gz BSQHOFRZNILWNZ-JTQLQIEISA-N 0 2 304.354 0.493 20 0 DCADLN Cc1cc[nH]c1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005333012 666813162 /nfs/dbraw/zinc/81/31/62/666813162.db2.gz CPKLYCWCTCQBOG-UHFFFAOYSA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)[C@@H]1CCn2cc[nH+]c2C1)[C@@H]1CC[N@H+](CCCO)C1 ZINC001033876584 666849342 /nfs/dbraw/zinc/84/93/42/666849342.db2.gz CLYDZRIMTPPFSF-HUUCEWRRSA-N 0 2 320.437 0.751 20 0 DCADLN CCN(C(=O)[C@H]1CCn2cc[nH+]c2C1)[C@H]1CC[N@H+](CCCO)C1 ZINC001033876583 666849858 /nfs/dbraw/zinc/84/98/58/666849858.db2.gz CLYDZRIMTPPFSF-GJZGRUSLSA-N 0 2 320.437 0.751 20 0 DCADLN CCN(C(=O)c1c[nH]cc1C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034040161 666901070 /nfs/dbraw/zinc/90/10/70/666901070.db2.gz PQPGYLTVGHEKEC-NSHDSACASA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)c1c[nH]cc1C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034040161 666901074 /nfs/dbraw/zinc/90/10/74/666901074.db2.gz PQPGYLTVGHEKEC-NSHDSACASA-N 0 2 318.381 0.883 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1cnc(C2CC2)o1 ZINC000861373911 666923014 /nfs/dbraw/zinc/92/30/14/666923014.db2.gz MOSIYRRRMSHBFI-UHFFFAOYSA-N 0 2 315.289 0.680 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034128498 666932231 /nfs/dbraw/zinc/93/22/31/666932231.db2.gz XIDUTPYIAQEOKI-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034128498 666932233 /nfs/dbraw/zinc/93/22/33/666932233.db2.gz XIDUTPYIAQEOKI-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnn(CC(F)F)c1 ZINC000735064371 666940480 /nfs/dbraw/zinc/94/04/80/666940480.db2.gz IVTAGVLWKRPQOL-UHFFFAOYSA-N 0 2 323.263 0.172 20 0 DCADLN C[NH+](C)Cc1cc(C(=O)N[C@H]2CCCC[N@@H+](CCO)C2)no1 ZINC001034281548 666975546 /nfs/dbraw/zinc/97/55/46/666975546.db2.gz JVMKPEAPCJFZQG-LBPRGKRZSA-N 0 2 310.398 0.313 20 0 DCADLN CC(=O)CCCCCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000862074735 667014750 /nfs/dbraw/zinc/01/47/50/667014750.db2.gz BLGYFAMAXZOCTD-UHFFFAOYSA-N 0 2 319.365 0.727 20 0 DCADLN C[C@H]1SCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H]1C ZINC000735645818 667015467 /nfs/dbraw/zinc/01/54/67/667015467.db2.gz XQXDENPSUZZFJY-RKDXNWHRSA-N 0 2 307.379 0.424 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H]2c2ccc(=O)[nH]n2)S1 ZINC000863054603 667111189 /nfs/dbraw/zinc/11/11/89/667111189.db2.gz CKBBDENEKACMGL-RKDXNWHRSA-N 0 2 321.362 0.402 20 0 DCADLN Cc1cc(C[NH2+]C[C@H]2CCCN2C(=O)CCc2nnc[nH]2)no1 ZINC001034901840 667178669 /nfs/dbraw/zinc/17/86/69/667178669.db2.gz YQYPWZPDADTJMY-CYBMUJFWSA-N 0 2 318.381 0.815 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000863824483 667186078 /nfs/dbraw/zinc/18/60/78/667186078.db2.gz FDFZJCZVKSWZMJ-HTQZYQBOSA-N 0 2 320.308 0.675 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000863824483 667186082 /nfs/dbraw/zinc/18/60/82/667186082.db2.gz FDFZJCZVKSWZMJ-HTQZYQBOSA-N 0 2 320.308 0.675 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)o1 ZINC001035279384 667286891 /nfs/dbraw/zinc/28/68/91/667286891.db2.gz LAHBMRHBBDOOLS-JTQLQIEISA-N 0 2 321.337 0.043 20 0 DCADLN CCCC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CN(C)C[C@@H](C)O2)C1 ZINC001035424307 667330366 /nfs/dbraw/zinc/33/03/66/667330366.db2.gz QINVVFARQUEHFL-RBSFLKMASA-N 0 2 313.442 0.323 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NC[C@H](O)c1ccccc1F ZINC000866833846 667404017 /nfs/dbraw/zinc/40/40/17/667404017.db2.gz UYIICYRRKFJCHE-JEOXALJRSA-N 0 2 324.399 0.811 20 0 DCADLN O=C(Cc1cnn2c1CCCC2)NCCc1n[nH]c(=S)o1 ZINC000867484553 667407029 /nfs/dbraw/zinc/40/70/29/667407029.db2.gz WFYMUILJLWVLRG-UHFFFAOYSA-N 0 2 307.379 0.793 20 0 DCADLN C[C@@H]1C[C@H]1CC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035787245 667409608 /nfs/dbraw/zinc/40/96/08/667409608.db2.gz NOKPOUWCYVPPGW-NEPJUHHUSA-N 0 2 319.409 0.981 20 0 DCADLN [NH3+][C@H](C(=O)NCCc1nc[nH]n1)c1ccc(Br)cc1 ZINC000884157181 667486258 /nfs/dbraw/zinc/48/62/58/667486258.db2.gz VCOFGCUVVRYGQL-NSHDSACASA-N 0 2 324.182 0.926 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)NC[C@@]1(O)CCc2ccccc21 ZINC000867004327 667732585 /nfs/dbraw/zinc/73/25/85/667732585.db2.gz OPAHUVQHBCTYLB-LBPRGKRZSA-N 0 2 318.420 0.382 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(N(C)C)[nH+]1 ZINC000867011691 667746372 /nfs/dbraw/zinc/74/63/72/667746372.db2.gz VHLOMCKRAABYST-LJQANCHMSA-N 0 2 320.440 0.600 20 0 DCADLN CCC[C@@](C)(NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000742571486 667793665 /nfs/dbraw/zinc/79/36/65/667793665.db2.gz OETMASVLNPYZTQ-JVXZTZIISA-N 0 2 301.368 0.391 20 0 DCADLN Cc1ccc2nc(CNC(=O)C[C@H]3SC(=N)NC3=O)cn2c1 ZINC000742581873 667794324 /nfs/dbraw/zinc/79/43/24/667794324.db2.gz WGGBRKGAYLJHOE-SNVBAGLBSA-N 0 2 317.374 0.815 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(n3cccn3)CC2)S1 ZINC000742591853 667794657 /nfs/dbraw/zinc/79/46/57/667794657.db2.gz BNOWAMQYPABEDV-JTQLQIEISA-N 0 2 307.379 0.603 20 0 DCADLN COC(=O)C1CCC(NC(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC000742643285 667795726 /nfs/dbraw/zinc/79/57/26/667795726.db2.gz PGJLAQNCUWYAEG-HACHORDNSA-N 0 2 313.379 0.391 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCC(=O)Nc2ccccc2)S1 ZINC000742674637 667796912 /nfs/dbraw/zinc/79/69/12/667796912.db2.gz XPJDDQLGIFCAHJ-JTQLQIEISA-N 0 2 320.374 0.688 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cc3c([nH]c2=O)CCC3)S1 ZINC000742673068 667797019 /nfs/dbraw/zinc/79/70/19/667797019.db2.gz VHLZPIZKGJJVQH-SNVBAGLBSA-N 0 2 320.374 0.449 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCc2ccc(O)cc2)S1 ZINC000742691482 667797422 /nfs/dbraw/zinc/79/74/22/667797422.db2.gz ZHMRVEJRNKOWOB-NSHDSACASA-N 0 2 307.375 0.997 20 0 DCADLN CNC(=O)c1cc(C)ccc1NC(=O)CC1SC(=N)NC1=O ZINC000742699568 667798146 /nfs/dbraw/zinc/79/81/46/667798146.db2.gz BGLVYLUTCGLMDP-JTQLQIEISA-N 0 2 320.374 0.850 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC2(c3ccccc3)COC2)S1 ZINC000888567725 667809343 /nfs/dbraw/zinc/80/93/43/667809343.db2.gz KZLFHENPRLTCQG-SNVBAGLBSA-N 0 2 305.359 0.585 20 0 DCADLN COc1nc(C(=O)NN2CC(=O)NC2=O)cc2ccccc21 ZINC000744315106 667825310 /nfs/dbraw/zinc/82/53/10/667825310.db2.gz RIMMMQRPKUSPCN-UHFFFAOYSA-N 0 2 300.274 0.440 20 0 DCADLN C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1nnc2ccccn21 ZINC000745422179 667847625 /nfs/dbraw/zinc/84/76/25/667847625.db2.gz BTNLARDQUOLWAX-JGVFFNPUSA-N 0 2 318.362 0.463 20 0 DCADLN CN(C)c1ccc(CNC(=O)C[C@H]2SC(=N)NC2=O)cn1 ZINC000745435457 667847745 /nfs/dbraw/zinc/84/77/45/667847745.db2.gz MRMNJNCLGJYSQP-SECBINFHSA-N 0 2 307.379 0.320 20 0 DCADLN COC[C@@H](CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)OC ZINC000872471506 667900348 /nfs/dbraw/zinc/90/03/48/667900348.db2.gz NQDQMGMSPUMHKJ-LZVRBXCZSA-N 0 2 316.445 0.379 20 0 DCADLN C[C@@H](O)CN(Cc1ccccc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000747402992 667901596 /nfs/dbraw/zinc/90/15/96/667901596.db2.gz WZLRMZXXVVJVEG-PWSUYJOCSA-N 0 2 321.402 0.952 20 0 DCADLN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000748057804 667917383 /nfs/dbraw/zinc/91/73/83/667917383.db2.gz SWSGGXDZBMBXME-QMMMGPOBSA-N 0 2 311.342 0.526 20 0 DCADLN CCc1noc(CCCC(=O)NCCCc2n[nH]c(=O)[nH]2)n1 ZINC000748381596 667926453 /nfs/dbraw/zinc/92/64/53/667926453.db2.gz BHTMJRNWNDANGZ-UHFFFAOYSA-N 0 2 308.342 0.527 20 0 DCADLN C[C@H](O)c1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000748558143 667932733 /nfs/dbraw/zinc/93/27/33/667932733.db2.gz YMJHUTSHLODXFE-KWQFWETISA-N 0 2 307.375 0.913 20 0 DCADLN COCCONC(=O)CS[C@@H](C)C(=O)Nc1cc(C)on1 ZINC000748770766 667937380 /nfs/dbraw/zinc/93/73/80/667937380.db2.gz RUZHFYZCDJMRKM-VIFPVBQESA-N 0 2 317.367 0.737 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(NC3CC(C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873075891 667969625 /nfs/dbraw/zinc/96/96/25/667969625.db2.gz JHOIWAISEGTCHS-DMOGRIERSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(NC3CC(C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873075891 667969627 /nfs/dbraw/zinc/96/96/27/667969627.db2.gz JHOIWAISEGTCHS-DMOGRIERSA-N 0 2 306.366 0.959 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2cnc(C3CC3)nc2)S1 ZINC000756085949 668078845 /nfs/dbraw/zinc/07/88/45/668078845.db2.gz YLYLBDKSCTVQJD-JTQLQIEISA-N 0 2 319.390 0.569 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@]23C[C@H]2COC32CCC2)S1 ZINC000890178258 668109918 /nfs/dbraw/zinc/10/99/18/668109918.db2.gz FWEOGGPQHDOMIT-MWODSPESSA-N 0 2 309.391 0.618 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H](CCO)C2CCCCC2)S1 ZINC000757076919 668112034 /nfs/dbraw/zinc/11/20/34/668112034.db2.gz SGWFQVNCCQHSBE-WDEREUQCSA-N 0 2 313.423 0.990 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2ncc(C)cn2)cn1C ZINC000758426075 668173976 /nfs/dbraw/zinc/17/39/76/668173976.db2.gz QMSSGVZVIKDMQP-UHFFFAOYSA-N 0 2 310.335 0.711 20 0 DCADLN Cn1cc(C(=O)OCc2n[nH]c(=O)[nH]2)c2ccccc2c1=O ZINC000759085160 668205862 /nfs/dbraw/zinc/20/58/62/668205862.db2.gz OUGIGYOILJSXFA-UHFFFAOYSA-N 0 2 300.274 0.719 20 0 DCADLN Cc1nnc(COC(=O)C(C)(C)NC(=O)C(F)(F)F)n1C ZINC000759116072 668207375 /nfs/dbraw/zinc/20/73/75/668207375.db2.gz JQEIKAHZAUBCKM-UHFFFAOYSA-N 0 2 308.260 0.624 20 0 DCADLN C[C@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)c1ncnn1C ZINC000759339108 668216412 /nfs/dbraw/zinc/21/64/12/668216412.db2.gz RVBDSAPADQPXFL-LURJTMIESA-N 0 2 307.276 0.449 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2Cc3cccc(O)c3C2)S1 ZINC000760625436 668281611 /nfs/dbraw/zinc/28/16/11/668281611.db2.gz YVDNLGHGDGMQHF-KCJUWKMLSA-N 0 2 305.359 0.532 20 0 DCADLN C[C@@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(=O)OC(C)(C)C ZINC000762820598 668380712 /nfs/dbraw/zinc/38/07/12/668380712.db2.gz SRNJKIZIUXBUTP-MRVPVSSYSA-N 0 2 322.321 0.345 20 0 DCADLN CSC[C@H]1CCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000768348356 668606170 /nfs/dbraw/zinc/60/61/70/668606170.db2.gz OMXWICSWTDHHKT-SECBINFHSA-N 0 2 307.379 0.425 20 0 DCADLN CCc1nn(C)c(Cl)c1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000768416482 668608090 /nfs/dbraw/zinc/60/80/90/668608090.db2.gz HBBWROOGHWSFKA-UHFFFAOYSA-N 0 2 312.761 0.822 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2CCc3cc(O)ccc3C2)S1 ZINC000768830455 668624144 /nfs/dbraw/zinc/62/41/44/668624144.db2.gz BXCNTAMJERFGST-ZYHUDNBSSA-N 0 2 319.386 0.922 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CC12CCCCC2 ZINC000770834919 668719044 /nfs/dbraw/zinc/71/90/44/668719044.db2.gz YZXKMBBOGBWHBZ-LLVKDONJSA-N 0 2 301.350 0.910 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc3c(c2)COC3)S1 ZINC000771738697 668749525 /nfs/dbraw/zinc/74/95/25/668749525.db2.gz DYMIABGQMLFGSU-LLVKDONJSA-N 0 2 305.359 0.889 20 0 DCADLN C[C@H]1CN(c2ccnc(C(=O)NN3CCCC3=O)c2)C[C@H](C)O1 ZINC000772539279 668777485 /nfs/dbraw/zinc/77/74/85/668777485.db2.gz YKDKGVXSDWZYGJ-RYUDHWBXSA-N 0 2 318.377 0.962 20 0 DCADLN C[C@H]1CN(c2cc[nH+]c(C(=O)[N-]N3CCCC3=O)c2)C[C@H](C)O1 ZINC000772539279 668777486 /nfs/dbraw/zinc/77/74/86/668777486.db2.gz YKDKGVXSDWZYGJ-RYUDHWBXSA-N 0 2 318.377 0.962 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000773084842 668792482 /nfs/dbraw/zinc/79/24/82/668792482.db2.gz CHCMQAYTBLTBEB-SNVBAGLBSA-N 0 2 324.406 0.666 20 0 DCADLN O=C(Cn1nc(-c2ccccc2)oc1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774895905 668850356 /nfs/dbraw/zinc/85/03/56/668850356.db2.gz HVPMHSLLCNGICQ-UHFFFAOYSA-N 0 2 317.261 0.070 20 0 DCADLN Cc1c(C(=O)OCc2n[nH]c(=O)[nH]2)cnn1-c1ccccn1 ZINC000774920672 668851377 /nfs/dbraw/zinc/85/13/77/668851377.db2.gz WIJIGHBGDZWUDE-UHFFFAOYSA-N 0 2 300.278 0.756 20 0 DCADLN CC[C@@H](C)C(=O)N1CCC[C@H](C(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000774940474 668851846 /nfs/dbraw/zinc/85/18/46/668851846.db2.gz BTCYBCCTBINPIX-ZJUUUORDSA-N 0 2 310.354 0.838 20 0 DCADLN C[C@@H]1C(=O)Nc2cc(C(=O)OCc3n[nH]c(=O)[nH]3)ccc2N1C ZINC000774965959 668852839 /nfs/dbraw/zinc/85/28/39/668852839.db2.gz BUJOBPNMJKXSHD-SSDOTTSWSA-N 0 2 317.305 0.644 20 0 DCADLN Cc1nn(CC(F)(F)F)cc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774971170 668853032 /nfs/dbraw/zinc/85/30/32/668853032.db2.gz CDXDINZDDDHLBC-UHFFFAOYSA-N 0 2 305.216 0.935 20 0 DCADLN COC(=O)c1ncsc1S(=O)(=O)Nc1ncc(C)cn1 ZINC000777690917 668890589 /nfs/dbraw/zinc/89/05/89/668890589.db2.gz HWTVSTKXQXYPPF-UHFFFAOYSA-N 0 2 314.348 0.829 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)Nc1nc(C)c(C)o1 ZINC000778082125 668894107 /nfs/dbraw/zinc/89/41/07/668894107.db2.gz VAKHJXOSQFCNCY-UHFFFAOYSA-N 0 2 314.323 0.910 20 0 DCADLN CCN1CCN(C(=O)C[C@H]2SC(=N)NC2=O)C(C)(C)C1=O ZINC000779250318 668908871 /nfs/dbraw/zinc/90/88/71/668908871.db2.gz YLBXPDKYFYGROH-MRVPVSSYSA-N 0 2 312.395 0.012 20 0 DCADLN C[C@@H](OC[C@@H]1CCCCO1)C(=O)NCCc1n[nH]c(=S)o1 ZINC000779540823 668912491 /nfs/dbraw/zinc/91/24/91/668912491.db2.gz UDTDWMZOAFKTCR-ZJUUUORDSA-N 0 2 315.395 0.991 20 0 DCADLN C[N@H+]1CCC[C@@H]1C(=O)N1CC[NH+](C[C@@H]2C[C@H]3COC[C@@H]3O2)CC1 ZINC000929849188 668918083 /nfs/dbraw/zinc/91/80/83/668918083.db2.gz SWDDKZWSUMRFKP-JONQDZQNSA-N 0 2 323.437 0.029 20 0 DCADLN O=C(NN1CCCNC1=O)c1cnnn1-c1ccccc1Cl ZINC000783606950 669163496 /nfs/dbraw/zinc/16/34/96/669163496.db2.gz YCHVTFKNPVMLHF-UHFFFAOYSA-N 0 2 320.740 0.981 20 0 DCADLN COC(=O)[C@@](C)(Cc1ccc(F)cc1)NCc1n[nH]c(=O)[nH]1 ZINC000880390416 669201689 /nfs/dbraw/zinc/20/16/89/669201689.db2.gz RGHGQVCPHCOXFU-CQSZACIVSA-N 0 2 308.313 0.913 20 0 DCADLN CC(C)(C)[C@@H]1CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000784571120 669211819 /nfs/dbraw/zinc/21/18/19/669211819.db2.gz HNYLIPDLAZHCKD-SNVBAGLBSA-N 0 2 303.366 0.966 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@H](C)[N@@H+]2CC[C@@H](C3OCCO3)C2)CC1 ZINC000932008757 669296895 /nfs/dbraw/zinc/29/68/95/669296895.db2.gz DYKYCTNQAAYLCS-UONOGXRCSA-N 0 2 311.426 0.234 20 0 DCADLN COc1ncccc1Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881318892 669321769 /nfs/dbraw/zinc/32/17/69/669321769.db2.gz NKOYKARZZQVTBO-UHFFFAOYSA-N 0 2 315.255 0.909 20 0 DCADLN O=C(NCc1cn(C2CC2)c(=O)[nH]c1=O)C(F)C(F)(F)F ZINC000881870629 669404196 /nfs/dbraw/zinc/40/41/96/669404196.db2.gz WNDNIWPSMUBJCX-ZETCQYMHSA-N 0 2 309.219 0.800 20 0 DCADLN O=C(NCc1cn(C2CC2)c(=O)[nH]c1=O)[C@H](F)C(F)(F)F ZINC000881870629 669404198 /nfs/dbraw/zinc/40/41/98/669404198.db2.gz WNDNIWPSMUBJCX-ZETCQYMHSA-N 0 2 309.219 0.800 20 0 DCADLN COC(=O)CN1CCN(C(=O)c2cccc(Cl)c2O)CC1 ZINC000789909018 669517423 /nfs/dbraw/zinc/51/74/23/669517423.db2.gz BNWLGSCDYJVNFW-UHFFFAOYSA-N 0 2 312.753 0.976 20 0 DCADLN CC1(C)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCS1 ZINC000790141207 669540053 /nfs/dbraw/zinc/54/00/53/669540053.db2.gz TYTPEJNPYHYCRA-UHFFFAOYSA-N 0 2 321.406 0.815 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)C(=O)NC3)S1 ZINC000792811903 669688259 /nfs/dbraw/zinc/68/82/59/669688259.db2.gz HGGWGGHVIVPIRI-SECBINFHSA-N 0 2 304.331 0.425 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)O[C@@H]1C(=O)OCC1(C)C ZINC000793295247 669712859 /nfs/dbraw/zinc/71/28/59/669712859.db2.gz SQNQPNBCTXDMHX-ZCFIWIBFSA-N 0 2 311.256 0.938 20 0 DCADLN CCN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@H](C)C(F)(F)F ZINC000794207166 669806853 /nfs/dbraw/zinc/80/68/53/669806853.db2.gz DWUAIHVEOURKEO-SSDOTTSWSA-N 0 2 317.271 0.871 20 0 DCADLN CC1(C)C(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1(C)C ZINC000794344763 669825866 /nfs/dbraw/zinc/82/58/66/669825866.db2.gz GTSFIOMUPBWPIZ-UHFFFAOYSA-N 0 2 303.366 0.870 20 0 DCADLN NC(=O)c1cc(-c2csc(NC(=O)[C@@H]3C[C@H]3[N+](=O)[O-])n2)c[nH]1 ZINC000044410722 669839392 /nfs/dbraw/zinc/83/93/92/669839392.db2.gz UPCLPWSTMWZNAT-HZGVNTEJSA-N 0 2 321.318 0.841 20 0 DCADLN Cn1ccc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000950087379 669932394 /nfs/dbraw/zinc/93/23/94/669932394.db2.gz KTRQORBYPUNAJB-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)NC[C@H]2CCN2C(=O)[C@H](F)C(F)(F)F)n1 ZINC000950087379 669932395 /nfs/dbraw/zinc/93/23/95/669932395.db2.gz KTRQORBYPUNAJB-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN CCN(C(=O)[C@H]1CCC[C@H]1OC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950666077 670089455 /nfs/dbraw/zinc/08/94/55/670089455.db2.gz DWWWBSJHKIZBPZ-NWDGAFQWSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@H](OC(=O)c1nn(-c2ccc(F)cc2F)cc1O)C(N)=O ZINC000801415164 670128492 /nfs/dbraw/zinc/12/84/92/670128492.db2.gz NLYCLSSHYPMTKQ-ZCFIWIBFSA-N 0 2 311.244 0.887 20 0 DCADLN O=C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948983818 670231111 /nfs/dbraw/zinc/23/11/11/670231111.db2.gz MGXVGFOKEWNFFM-IJLUTSLNSA-N 0 2 305.382 0.591 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)[C@H]1[C@@H]2COC[C@@H]21 ZINC000951660078 670333138 /nfs/dbraw/zinc/33/31/38/670333138.db2.gz JAEIXVKHJLNHPD-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)[C@H]1[C@@H]2COC[C@@H]21 ZINC000951660078 670333143 /nfs/dbraw/zinc/33/31/43/670333143.db2.gz JAEIXVKHJLNHPD-FHNUBNKASA-N 0 2 324.274 0.496 20 0 DCADLN CC(C)n1cnc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000949477687 670350218 /nfs/dbraw/zinc/35/02/18/670350218.db2.gz XWOLHKVZRPJISU-UHFFFAOYSA-N 0 2 319.369 0.246 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cncnc1 ZINC000949528513 670362536 /nfs/dbraw/zinc/36/25/36/670362536.db2.gz VURWIQRRMLLLPX-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cncnc1 ZINC000949528513 670362539 /nfs/dbraw/zinc/36/25/39/670362539.db2.gz VURWIQRRMLLLPX-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN CCOCCO[N-]C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000807676235 670373021 /nfs/dbraw/zinc/37/30/21/670373021.db2.gz MOSSZIRSAMVFMK-AWEZNQCLSA-N 0 2 321.377 0.374 20 0 DCADLN CCOCCO[N-]C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000807676235 670373026 /nfs/dbraw/zinc/37/30/26/670373026.db2.gz MOSSZIRSAMVFMK-AWEZNQCLSA-N 0 2 321.377 0.374 20 0 DCADLN C[C@@H]1CC[C@H](CC(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000949738147 670418040 /nfs/dbraw/zinc/41/80/40/670418040.db2.gz OZAPDLLUANNRQQ-NEPJUHHUSA-N 0 2 307.398 0.981 20 0 DCADLN CC(C)S(=O)(=O)CCN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000808777767 670425577 /nfs/dbraw/zinc/42/55/77/670425577.db2.gz VYLOICSTBGMAIE-JTQLQIEISA-N 0 2 302.400 0.513 20 0 DCADLN O=C(NCCS(=O)(=O)NCC1CCC1)C(F)C(F)(F)F ZINC000810375658 670482754 /nfs/dbraw/zinc/48/27/54/670482754.db2.gz DDGDDAHSPRIAOR-MRVPVSSYSA-N 0 2 320.308 0.723 20 0 DCADLN O=C(NCCS(=O)(=O)NCC1CCC1)[C@@H](F)C(F)(F)F ZINC000810375658 670482758 /nfs/dbraw/zinc/48/27/58/670482758.db2.gz DDGDDAHSPRIAOR-MRVPVSSYSA-N 0 2 320.308 0.723 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCO[C@@H]2CCCCO2)S1 ZINC000854552122 670587533 /nfs/dbraw/zinc/58/75/33/670587533.db2.gz PMRZMLYLCWFYBO-PSASIEDQSA-N 0 2 301.368 0.202 20 0 DCADLN CON1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)CC1 ZINC000854855412 670643468 /nfs/dbraw/zinc/64/34/68/670643468.db2.gz OXOVJLQJZYBVPF-UHFFFAOYSA-N 0 2 302.334 0.897 20 0 DCADLN CC[C@H](COCC1CC1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855282077 670695638 /nfs/dbraw/zinc/69/56/38/670695638.db2.gz UMXRCGOCEXWFEB-LLVKDONJSA-N 0 2 319.365 0.393 20 0 DCADLN C[C@@H]1COC2(CCC2)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000855519216 670728203 /nfs/dbraw/zinc/72/82/03/670728203.db2.gz POZQQKQXUBWIEM-SNVBAGLBSA-N 0 2 317.349 0.241 20 0 DCADLN Cn1ncc(C2CC2)c1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000855790018 670756642 /nfs/dbraw/zinc/75/66/42/670756642.db2.gz HMFUTIDQEBSWRC-SNVBAGLBSA-N 0 2 307.379 0.470 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@](C)(c3ccccc3)C2=O)[nH]1 ZINC000849360371 670780721 /nfs/dbraw/zinc/78/07/21/670780721.db2.gz BEVLIUHEPXHKGS-OAHLLOKOSA-N 0 2 315.333 0.918 20 0 DCADLN CO[C@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CCCC1 ZINC000856156410 670791970 /nfs/dbraw/zinc/79/19/70/670791970.db2.gz HVHVCSKYRAMTLA-GFCCVEGCSA-N 0 2 319.365 0.393 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2C[C@]34COC[C@@]3(C2)CCCC4)S1 ZINC000856423860 670818728 /nfs/dbraw/zinc/81/87/28/670818728.db2.gz OVAQDXNMWCRBSJ-NZVBXONLSA-N 0 2 323.418 0.962 20 0 DCADLN C[C@]12CCN(C(=O)Cn3[nH]cc4c(=O)ncnc3-4)C[C@H]1C2(F)F ZINC000816786297 670865660 /nfs/dbraw/zinc/86/56/60/670865660.db2.gz GIIOUQWIDNBSQB-RNCFNFMXSA-N 0 2 323.303 0.575 20 0 DCADLN CC1(C)C[N@H+](CC(=O)[N-]OCc2ccccc2)C[C@@H](CO)O1 ZINC000817279623 670909843 /nfs/dbraw/zinc/90/98/43/670909843.db2.gz QXDAAJHMBZMJGN-AWEZNQCLSA-N 0 2 308.378 0.706 20 0 DCADLN CC1(C)C[N@@H+](CC(=O)[N-]OCc2ccccc2)C[C@@H](CO)O1 ZINC000817279623 670909847 /nfs/dbraw/zinc/90/98/47/670909847.db2.gz QXDAAJHMBZMJGN-AWEZNQCLSA-N 0 2 308.378 0.706 20 0 DCADLN CC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](CC)O1 ZINC000817637264 670927489 /nfs/dbraw/zinc/92/74/89/670927489.db2.gz ZAUNXPGEUGOKDM-PHIMTYICSA-N 0 2 319.365 0.487 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@H]1CCc2cccnc21 ZINC000857970040 670965023 /nfs/dbraw/zinc/96/50/23/670965023.db2.gz CWDSUQICPTYIRU-LLVKDONJSA-N 0 2 324.344 0.312 20 0 DCADLN CC(C)(C)c1ccc([C@@H](O)CNC(=O)c2nc(=O)[nH][nH]2)cc1 ZINC000116457045 671056792 /nfs/dbraw/zinc/05/67/92/671056792.db2.gz ZNSJTHSUZRFGCQ-NSHDSACASA-N 0 2 304.350 0.859 20 0 DCADLN CC(C)(C)OC(=O)N[C@@H]1CC=C(C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000859833882 671149215 /nfs/dbraw/zinc/14/92/15/671149215.db2.gz ZNLAHQFHXUTFHO-SECBINFHSA-N 0 2 323.353 0.740 20 0 DCADLN CC[N@H+](Cc1ccc(S(=O)(=O)N(C)C)o1)[C@@H](C)C(=O)[O-] ZINC000852737321 671154038 /nfs/dbraw/zinc/15/40/38/671154038.db2.gz WLQLLRQYENETPU-VIFPVBQESA-N 0 2 304.368 0.825 20 0 DCADLN CC[N@@H+](Cc1ccc(S(=O)(=O)N(C)C)o1)[C@@H](C)C(=O)[O-] ZINC000852737321 671154039 /nfs/dbraw/zinc/15/40/39/671154039.db2.gz WLQLLRQYENETPU-VIFPVBQESA-N 0 2 304.368 0.825 20 0 DCADLN O=C(NCc1ccc(C(=O)O[C@H]2CCOC2=O)o1)C(F)(F)F ZINC000860308591 671198301 /nfs/dbraw/zinc/19/83/01/671198301.db2.gz UNKGHKPQFDMTMO-QMMMGPOBSA-N 0 2 321.207 0.930 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(CNC(=O)C(F)(F)F)o2)C[C@@H]1O ZINC000860530828 671215855 /nfs/dbraw/zinc/21/58/55/671215855.db2.gz BTEMVGIKWDIGOI-APPZFPTMSA-N 0 2 320.267 0.911 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)NCC[N@@H+]1CCC[C@@H]1C ZINC000867148577 671809760 /nfs/dbraw/zinc/80/97/60/671809760.db2.gz QQFOECSOURYIDB-JTQLQIEISA-N 0 2 316.379 0.829 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)NCC[N@H+]1CCC[C@@H]1C ZINC000867148577 671809766 /nfs/dbraw/zinc/80/97/66/671809766.db2.gz QQFOECSOURYIDB-JTQLQIEISA-N 0 2 316.379 0.829 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)[N-]CC[N@@H+]1CCC[C@@H]1C ZINC000867148577 671809770 /nfs/dbraw/zinc/80/97/70/671809770.db2.gz QQFOECSOURYIDB-JTQLQIEISA-N 0 2 316.379 0.829 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)[N-]CC[N@H+]1CCC[C@@H]1C ZINC000867148577 671809775 /nfs/dbraw/zinc/80/97/75/671809775.db2.gz QQFOECSOURYIDB-JTQLQIEISA-N 0 2 316.379 0.829 20 0 DCADLN CN(CCS(C)(=O)=O)Cc1nc(=O)c2sccc2[nH]1 ZINC000102772593 671856540 /nfs/dbraw/zinc/85/65/40/671856540.db2.gz SILSTHSLNAJGND-UHFFFAOYSA-N 0 2 301.393 0.461 20 0 DCADLN CS(=O)(=O)N[C@H]1CCN(C(=O)c2cccc(Cl)c2O)C1 ZINC000775748223 685338618 /nfs/dbraw/zinc/33/86/18/685338618.db2.gz YIMDNPNXJDTUNW-QMMMGPOBSA-N 0 2 318.782 0.809 20 0 DCADLN NS(=O)(=O)CCCCNc1c2ccccc2[nH+]nc1C(=O)[O-] ZINC000320591624 685219283 /nfs/dbraw/zinc/21/92/83/685219283.db2.gz YZHXBLBSJJETFK-UHFFFAOYSA-N 0 2 324.362 0.809 20 0 DCADLN CN(C(=O)[C@H]1CCCO1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953673511 685776779 /nfs/dbraw/zinc/77/67/79/685776779.db2.gz NWZWGNWXTFXRMP-BDAKNGLRSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)[C@H]1CCCO1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953673511 685776783 /nfs/dbraw/zinc/77/67/83/685776783.db2.gz NWZWGNWXTFXRMP-BDAKNGLRSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)Cn1cccn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953737348 685812631 /nfs/dbraw/zinc/81/26/31/685812631.db2.gz ZZEXQFGUQTTYBY-JTQLQIEISA-N 0 2 322.262 0.453 20 0 DCADLN CN(C(=O)Cn1cccn1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000953737348 685812634 /nfs/dbraw/zinc/81/26/34/685812634.db2.gz ZZEXQFGUQTTYBY-JTQLQIEISA-N 0 2 322.262 0.453 20 0 DCADLN CN(C(=O)c1cc[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953781561 685834765 /nfs/dbraw/zinc/83/47/65/685834765.db2.gz VJVKKBGYAQHWMV-QMMMGPOBSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)c1ccn[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953781561 685834771 /nfs/dbraw/zinc/83/47/71/685834771.db2.gz VJVKKBGYAQHWMV-QMMMGPOBSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)c1cn(C)cn1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954054823 685933855 /nfs/dbraw/zinc/93/38/55/685933855.db2.gz CGAODCHUBGIHFQ-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1cn(C)cn1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954054823 685933860 /nfs/dbraw/zinc/93/38/60/685933860.db2.gz CGAODCHUBGIHFQ-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CCc1nocc1C[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038164505 694002280 /nfs/dbraw/zinc/00/22/80/694002280.db2.gz KJSZSNRXGAHKBH-LLVKDONJSA-N 0 2 319.365 0.978 20 0 DCADLN CCc1nocc1C[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038164505 694002283 /nfs/dbraw/zinc/00/22/83/694002283.db2.gz KJSZSNRXGAHKBH-LLVKDONJSA-N 0 2 319.365 0.978 20 0 DCADLN Cc1nscc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038182413 694006344 /nfs/dbraw/zinc/00/63/44/694006344.db2.gz YJOKMIGAUZUAQG-QMMMGPOBSA-N 0 2 308.367 0.280 20 0 DCADLN O=C(NC[C@@H]1CCCN1C(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC000956048739 686602189 /nfs/dbraw/zinc/60/21/89/686602189.db2.gz RZTSLFWXUGTBKR-XPUUQOCRSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cnc(C2CC2)o1 ZINC001038354360 694054480 /nfs/dbraw/zinc/05/44/80/694054480.db2.gz LMENIDOTRWUKNG-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN CCN(C(=O)c1ccnc(C)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000956985202 686927935 /nfs/dbraw/zinc/92/79/35/686927935.db2.gz JNGFNGZBSGZCEM-UHFFFAOYSA-N 0 2 316.365 0.560 20 0 DCADLN Cc1ccncc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958465671 687681961 /nfs/dbraw/zinc/68/19/61/687681961.db2.gz PUGZGNFDHAMAPN-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN Cc1ccncc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958465671 687681963 /nfs/dbraw/zinc/68/19/63/687681963.db2.gz PUGZGNFDHAMAPN-PJXYFTJBSA-N 0 2 314.349 0.074 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1conc1C1CC1 ZINC001038683298 694160433 /nfs/dbraw/zinc/16/04/33/694160433.db2.gz HSNDNRADFRMIFD-VIFPVBQESA-N 0 2 318.337 0.380 20 0 DCADLN CC(C)n1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001038768098 694186335 /nfs/dbraw/zinc/18/63/35/694186335.db2.gz NNCQRBCCNZXEIH-JTQLQIEISA-N 0 2 319.369 0.292 20 0 DCADLN CC(C)n1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001038768099 694186226 /nfs/dbraw/zinc/18/62/26/694186226.db2.gz NNCQRBCCNZXEIH-SNVBAGLBSA-N 0 2 319.369 0.292 20 0 DCADLN CCc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)o1 ZINC000960608442 688421520 /nfs/dbraw/zinc/42/15/20/688421520.db2.gz GJJMVRSSJOQYMC-IWIIMEHWSA-N 0 2 317.349 0.526 20 0 DCADLN CCc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)o1 ZINC000960608442 688421521 /nfs/dbraw/zinc/42/15/21/688421521.db2.gz GJJMVRSSJOQYMC-IWIIMEHWSA-N 0 2 317.349 0.526 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)C1CCC1 ZINC000961115209 688541194 /nfs/dbraw/zinc/54/11/94/688541194.db2.gz SVWWJOYRNDVQDL-HRDYMLBCSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O)C1CCC1 ZINC000961115209 688541199 /nfs/dbraw/zinc/54/11/99/688541199.db2.gz SVWWJOYRNDVQDL-HRDYMLBCSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cnoc1C1CC1 ZINC001038877344 694227963 /nfs/dbraw/zinc/22/79/63/694227963.db2.gz TXBRFJYZVFSPAO-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001038995734 694264434 /nfs/dbraw/zinc/26/44/34/694264434.db2.gz OKEKSFUCXSMRFK-VIFPVBQESA-N 0 2 322.394 0.588 20 0 DCADLN Cc1cccc(F)c1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039087928 694299560 /nfs/dbraw/zinc/29/95/60/694299560.db2.gz LFFUHKRHMIBOQB-SNVBAGLBSA-N 0 2 319.340 0.962 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1csnn1 ZINC000967500572 690018429 /nfs/dbraw/zinc/01/84/29/690018429.db2.gz SIVPMAYFASMUQK-SFYZADRCSA-N 0 2 323.382 0.002 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]1 ZINC000968416166 690258282 /nfs/dbraw/zinc/25/82/82/690258282.db2.gz RWFLQHYCRJNAJH-WCBMZHEXSA-N 0 2 319.369 0.416 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC000969099838 690469688 /nfs/dbraw/zinc/46/96/88/690469688.db2.gz URRPPSVUAQALIC-YPMHNXCESA-N 0 2 309.439 0.713 20 0 DCADLN O=C(Cc1ccsc1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006654302 690569069 /nfs/dbraw/zinc/56/90/69/690569069.db2.gz ZCCJREFEOBMGPE-NSHDSACASA-N 0 2 321.406 0.895 20 0 DCADLN Cc1conc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009110645 690992752 /nfs/dbraw/zinc/99/27/52/690992752.db2.gz QUHZRLXKXLKBTF-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1F ZINC001010418138 691269022 /nfs/dbraw/zinc/26/90/22/691269022.db2.gz JHUWCUQJMJCDGY-QMMMGPOBSA-N 0 2 306.301 0.049 20 0 DCADLN CO[C@H](C)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010562398 691313093 /nfs/dbraw/zinc/31/30/93/691313093.db2.gz RFWFYRJFOSMJPZ-SFYZADRCSA-N 0 2 300.252 0.593 20 0 DCADLN CO[C@H](C)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010562398 691313097 /nfs/dbraw/zinc/31/30/97/691313097.db2.gz RFWFYRJFOSMJPZ-SFYZADRCSA-N 0 2 300.252 0.593 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010846668 691401238 /nfs/dbraw/zinc/40/12/38/691401238.db2.gz NGCQERYUGCOUHP-JTQLQIEISA-N 0 2 322.262 0.523 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010846669 691401460 /nfs/dbraw/zinc/40/14/60/691401460.db2.gz NGCQERYUGCOUHP-SNVBAGLBSA-N 0 2 322.262 0.523 20 0 DCADLN Cc1c(F)cccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014541281 692039989 /nfs/dbraw/zinc/03/99/89/692039989.db2.gz PDCSQTRIQCUCOT-JTQLQIEISA-N 0 2 319.340 0.962 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cc(C)n1 ZINC001014580436 692048683 /nfs/dbraw/zinc/04/86/83/692048683.db2.gz ICNWRRDUOHCKLC-LBPRGKRZSA-N 0 2 316.365 0.526 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)c(F)c1 ZINC001014725777 692081305 /nfs/dbraw/zinc/08/13/05/692081305.db2.gz SBOQTNYFVNCYAE-VIFPVBQESA-N 0 2 323.303 0.793 20 0 DCADLN C[C@]1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)C[C@H]2C[C@H]2C1 ZINC001015378006 692279262 /nfs/dbraw/zinc/27/92/62/692279262.db2.gz RNYGZKPLHGYLPN-BQVMBELUSA-N 0 2 305.382 0.637 20 0 DCADLN COc1ccsc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016051226 692557546 /nfs/dbraw/zinc/55/75/46/692557546.db2.gz AUPQZPQHSUUPMC-MRVPVSSYSA-N 0 2 323.378 0.585 20 0 DCADLN O=C(Cc1ccc(F)cc1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016152767 692583105 /nfs/dbraw/zinc/58/31/05/692583105.db2.gz SCXWHDIOXHEXRR-LBPRGKRZSA-N 0 2 319.340 0.583 20 0 DCADLN C[C@@H](C(N)=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001017570722 692941125 /nfs/dbraw/zinc/94/11/25/692941125.db2.gz GOAYTWCXNOPUKF-RBXMUDONSA-N 0 2 311.279 0.436 20 0 DCADLN C[C@@H](C(N)=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](F)C(F)(F)F ZINC001017570722 692941131 /nfs/dbraw/zinc/94/11/31/692941131.db2.gz GOAYTWCXNOPUKF-RBXMUDONSA-N 0 2 311.279 0.436 20 0 DCADLN O=C(C(F)C(F)(F)F)N1[C@@H]2CC[C@H]1CN([C@@H]1CCNC1=O)C2 ZINC001017572822 692941426 /nfs/dbraw/zinc/94/14/26/692941426.db2.gz JAYNEVGKWDNNLK-UTINFBMNSA-N 0 2 323.290 0.451 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1)c1ccco1 ZINC001073517410 694734754 /nfs/dbraw/zinc/73/47/54/694734754.db2.gz OCJJSGASRHJELZ-JTQLQIEISA-N 0 2 321.337 0.124 20 0 DCADLN O=C(C1=CCCC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075684076 694968128 /nfs/dbraw/zinc/96/81/28/694968128.db2.gz VYGHVPBLJYJLKZ-NWDGAFQWSA-N 0 2 303.366 0.653 20 0 DCADLN O=C(C1=CCCC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075684076 694968129 /nfs/dbraw/zinc/96/81/29/694968129.db2.gz VYGHVPBLJYJLKZ-NWDGAFQWSA-N 0 2 303.366 0.653 20 0 DCADLN C[C@@H](CCNC(=O)c1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001076405687 695020096 /nfs/dbraw/zinc/02/00/96/695020096.db2.gz BDKROOPPYASVAD-BQBZGAKWSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)c1ncc[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001076405687 695020097 /nfs/dbraw/zinc/02/00/97/695020097.db2.gz BDKROOPPYASVAD-BQBZGAKWSA-N 0 2 310.251 0.935 20 0 DCADLN Cc1cnoc1C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001076421762 695021326 /nfs/dbraw/zinc/02/13/26/695021326.db2.gz CLQSXJVUGUTYHU-VHSXEESVSA-N 0 2 318.337 0.153 20 0 DCADLN O=C(Cc1cccnc1)NCCNC(=O)C(F)C(F)(F)F ZINC001720063524 1158789555 /nfs/dbraw/zinc/78/95/55/1158789555.db2.gz ZZYRYQGYNLWZJL-JTQLQIEISA-N 0 2 307.247 0.757 20 0 DCADLN O=C(Cc1cccnc1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001720063524 1158789559 /nfs/dbraw/zinc/78/95/59/1158789559.db2.gz ZZYRYQGYNLWZJL-JTQLQIEISA-N 0 2 307.247 0.757 20 0 DCADLN CC(C)(C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001404830700 1159239345 /nfs/dbraw/zinc/23/93/45/1159239345.db2.gz HVCPPKJZZSTITH-UHFFFAOYSA-N 0 2 307.276 0.399 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001446644711 1159494199 /nfs/dbraw/zinc/49/41/99/1159494199.db2.gz ROAVVTJCKFLJEN-POYBYMJQSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001446644711 1159494212 /nfs/dbraw/zinc/49/42/12/1159494212.db2.gz ROAVVTJCKFLJEN-POYBYMJQSA-N 0 2 323.250 0.378 20 0 DCADLN C[C@@H](NC(=O)c1ccc(C[NH+](C)C)o1)c1nc(C(=O)[O-])n[nH]1 ZINC001573568894 1163502876 /nfs/dbraw/zinc/50/28/76/1163502876.db2.gz HRQIBEOKKHAGMR-SSDOTTSWSA-N 0 2 307.310 0.649 20 0 DCADLN CCc1nc(N2C[C@H](O)C[C@@H](C(=O)OC)C2)c(C(=O)[O-])c[nH+]1 ZINC001573837181 1163563683 /nfs/dbraw/zinc/56/36/83/1163563683.db2.gz FEHLEDILIWWZQQ-RKDXNWHRSA-N 0 2 309.322 0.097 20 0 DCADLN Cc1nc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)ccc1C(=O)[O-] ZINC001574183005 1163655243 /nfs/dbraw/zinc/65/52/43/1163655243.db2.gz WDWACJHCTXKHHC-ZDUSSCGKSA-N 0 2 315.333 0.598 20 0 DCADLN O=C([O-])[C@H]1CCC[N@H+](CC(=O)Nc2cccc3[nH]nnc32)C1 ZINC001574299954 1163689118 /nfs/dbraw/zinc/68/91/18/1163689118.db2.gz YGQNWLRHQWGHOU-VIFPVBQESA-N 0 2 303.322 0.693 20 0 DCADLN O=C([O-])[C@H]1CCC[N@@H+](CC(=O)Nc2cccc3[nH]nnc32)C1 ZINC001574299954 1163689124 /nfs/dbraw/zinc/68/91/24/1163689124.db2.gz YGQNWLRHQWGHOU-VIFPVBQESA-N 0 2 303.322 0.693 20 0 DCADLN O=C([O-])C[C@@H](O)C(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC001574365352 1163707348 /nfs/dbraw/zinc/70/73/48/1163707348.db2.gz VWEGLKSEEMJKTH-SNVBAGLBSA-N 0 2 313.379 0.903 20 0 DCADLN O=C([O-])c1ccc(N2CC(NC(=O)c3cc(=O)cc[nH]3)C2)[nH+]c1 ZINC001574415684 1163717421 /nfs/dbraw/zinc/71/74/21/1163717421.db2.gz SGMGUAHLKWRWQH-UHFFFAOYSA-N 0 2 314.301 0.087 20 0 DCADLN COC(=O)c1nsc(NC(=O)c2ccc(-c3nn[nH]n3)o2)n1 ZINC001579491306 1165330668 /nfs/dbraw/zinc/33/06/68/1165330668.db2.gz GAJHCVSKXSYQRM-UHFFFAOYSA-N 0 2 321.278 0.350 20 0 DCADLN CO[C@H](Cn1cnc(-c2nn[nH]n2)cc1=O)c1ccc(F)cc1 ZINC001579596088 1165356339 /nfs/dbraw/zinc/35/63/39/1165356339.db2.gz NLUZICVZJXKHKV-GFCCVEGCSA-N 0 2 316.296 0.950 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@](C)(O)C1 ZINC001580598236 1165649218 /nfs/dbraw/zinc/64/92/18/1165649218.db2.gz TZOGHBSZTXRJGO-AWEZNQCLSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@H](C)[C@@H]1CO ZINC001580597559 1165650090 /nfs/dbraw/zinc/65/00/90/1165650090.db2.gz JFVABSMHSYFTKP-XCBNKYQSSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@H](C)[C@H]1CO ZINC001580597557 1165650407 /nfs/dbraw/zinc/65/04/07/1165650407.db2.gz JFVABSMHSYFTKP-OIBJUYFYSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C1CC1)C1COC1 ZINC001580596498 1165650514 /nfs/dbraw/zinc/65/05/14/1165650514.db2.gz VYDHOZRFQOTKIR-UHFFFAOYSA-N 0 2 316.321 0.279 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CCC=CO1 ZINC001580603401 1165652497 /nfs/dbraw/zinc/65/24/97/1165652497.db2.gz LSJHRSYUIZINBS-SECBINFHSA-N 0 2 316.321 0.698 20 0 DCADLN Cc1cc(CNC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)no1 ZINC001580925881 1165758376 /nfs/dbraw/zinc/75/83/76/1165758376.db2.gz ULOIOPJXWFCJDW-UHFFFAOYSA-N 0 2 315.293 0.502 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)c1cc(F)ccc1F ZINC001582199568 1165981308 /nfs/dbraw/zinc/98/13/08/1165981308.db2.gz PNUXSOMLFXDPAI-UHFFFAOYSA-N 0 2 318.243 0.585 20 0 DCADLN O=C(c1c(F)cc(-c2nn[nH]n2)cc1F)N1CC[C@@]2(O)C[C@H]2C1 ZINC001582664499 1166063045 /nfs/dbraw/zinc/06/30/45/1166063045.db2.gz KMUGQMKPVRVMPE-RMLUDKJBSA-N 0 2 321.287 0.742 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1ncc[nH]1 ZINC001583293185 1166240039 /nfs/dbraw/zinc/24/00/39/1166240039.db2.gz HHSKYFJQGSMZSQ-HIXXPVPXSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1ncc[nH]1 ZINC001583293185 1166240041 /nfs/dbraw/zinc/24/00/41/1166240041.db2.gz HHSKYFJQGSMZSQ-HIXXPVPXSA-N 0 2 308.235 0.712 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnn(Cc2ccccc2)c1 ZINC001586186609 1166482506 /nfs/dbraw/zinc/48/25/06/1166482506.db2.gz RVVSYFOVLMKINM-UHFFFAOYSA-N 0 2 311.301 0.578 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1csc(-c2ncccn2)n1 ZINC001586186383 1166482838 /nfs/dbraw/zinc/48/28/38/1166482838.db2.gz NHCQTVLPUUDZEY-UHFFFAOYSA-N 0 2 316.302 0.246 20 0 DCADLN CC(C)(C)OC(=O)CCCn1cnc(-c2nn[nH]n2)cc1=O ZINC001589151860 1166638772 /nfs/dbraw/zinc/63/87/72/1166638772.db2.gz VFQYBMRQUXNDEL-UHFFFAOYSA-N 0 2 306.326 0.545 20 0 DCADLN CC(C)(C)c1ccc(-c2nn[nH]n2)c(NCc2n[nH]c(=O)[nH]2)n1 ZINC001589221974 1166639830 /nfs/dbraw/zinc/63/98/30/1166639830.db2.gz BIYNIXUTMCHYIJ-UHFFFAOYSA-N 0 2 315.341 0.995 20 0 DCADLN CC(C)C[C@@H]([NH3+])c1noc([C@H](C)n2cnc(-c3nn[n-]n3)n2)n1 ZINC001589563040 1166666549 /nfs/dbraw/zinc/66/65/49/1166666549.db2.gz RTSZNEYLAKKPDI-JGVFFNPUSA-N 0 2 318.345 0.496 20 0 DCADLN C[C@@](O)(C[C@@H](O)CNCc1nc(-c2nn[nH]n2)cs1)C1CC1 ZINC001589992047 1166737176 /nfs/dbraw/zinc/73/71/76/1166737176.db2.gz YYVOTGRGVMWFDZ-NOZJJQNGSA-N 0 2 324.410 0.325 20 0 DCADLN C[C@H]1CCC[C@@H](Cn2cnc3ncc(-c4nn[nH]n4)c(=O)n32)C1 ZINC001590240152 1166823415 /nfs/dbraw/zinc/82/34/15/1166823415.db2.gz CWSWUOVWMCMFCK-VHSXEESVSA-N 0 2 314.353 0.897 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1ccc(-c2nn[nH]n2)cn1)OC ZINC001590448968 1166908375 /nfs/dbraw/zinc/90/83/75/1166908375.db2.gz YXCOSBORKFWDRR-VIFPVBQESA-N 0 2 312.355 0.428 20 0 DCADLN CC[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)[C@@H]1CCCO1 ZINC001590472598 1166917516 /nfs/dbraw/zinc/91/75/16/1166917516.db2.gz UTPYZTWGRKTWSL-SFYZADRCSA-N 0 2 321.341 0.036 20 0 DCADLN CCC1(CC)CCCN1c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590490666 1166921640 /nfs/dbraw/zinc/92/16/40/1166921640.db2.gz DHIPXHXZJADVCM-UHFFFAOYSA-N 0 2 319.369 0.413 20 0 DCADLN CC[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@H](C)O1 ZINC001590524842 1166933402 /nfs/dbraw/zinc/93/34/02/1166933402.db2.gz UGHLAVYFTNOVDA-WDEREUQCSA-N 0 2 304.354 0.485 20 0 DCADLN CC[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@H](C)O1 ZINC001590524840 1166934034 /nfs/dbraw/zinc/93/40/34/1166934034.db2.gz UGHLAVYFTNOVDA-QWRGUYRKSA-N 0 2 304.354 0.485 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H](O)CCC(C)C)c1=O ZINC001590949192 1167056102 /nfs/dbraw/zinc/05/61/02/1167056102.db2.gz BJFRNBQDYQVUBL-JTQLQIEISA-N 0 2 322.369 0.007 20 0 DCADLN CCc1noc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)n1 ZINC001590934773 1167057685 /nfs/dbraw/zinc/05/76/85/1167057685.db2.gz IQXXUPAJLYFBMU-UHFFFAOYSA-N 0 2 313.321 0.511 20 0 DCADLN CN(CCC(F)(F)F)Cn1cccc(-c2nn[nH]n2)c1=O ZINC001591064331 1167098154 /nfs/dbraw/zinc/09/81/54/1167098154.db2.gz GWBCWIIEMLTYKE-UHFFFAOYSA-N 0 2 302.260 0.870 20 0 DCADLN Cc1c(C(=O)Nc2c[nH]nc2-c2nn[n-]n2)ccc2c[nH+]cn21 ZINC001591812006 1167385865 /nfs/dbraw/zinc/38/58/65/1167385865.db2.gz WMFSJKAODGLUPG-UHFFFAOYSA-N 0 2 309.293 0.798 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NOCC(F)(F)F ZINC001591835678 1167390592 /nfs/dbraw/zinc/39/05/92/1167390592.db2.gz PNVJINCAEXPWHO-UHFFFAOYSA-N 0 2 318.215 0.499 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(C[C@]2(OC(C)C)CCOC2)c1 ZINC001591836705 1167390709 /nfs/dbraw/zinc/39/07/09/1167390709.db2.gz HZCUZXPPPOOOQL-OAHLLOKOSA-N 0 2 319.365 0.921 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H](C)CCO ZINC001591835840 1167390752 /nfs/dbraw/zinc/39/07/52/1167390752.db2.gz OFDHZJXOMOPXHI-ZETCQYMHSA-N 0 2 306.326 0.024 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCCCCCO ZINC001591836020 1167391076 /nfs/dbraw/zinc/39/10/76/1167391076.db2.gz UHXQCWDCXCOZFC-UHFFFAOYSA-N 0 2 320.353 0.558 20 0 DCADLN Cc1nc(CNC(=O)Nc2ccc(F)c(-c3nn[nH]n3)c2)n[nH]1 ZINC001592326498 1167489042 /nfs/dbraw/zinc/48/90/42/1167489042.db2.gz MQIZBOBWTQGSNA-UHFFFAOYSA-N 0 2 317.288 0.754 20 0 DCADLN Cc1nn(CC(=O)c2nccs2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436192 1167523557 /nfs/dbraw/zinc/52/35/57/1167523557.db2.gz JZWKFBUEGUGVKX-UHFFFAOYSA-N 0 2 317.334 0.380 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)c2csc(-c3nn[nH]n3)c2)n[nH]1 ZINC001592440971 1167525916 /nfs/dbraw/zinc/52/59/16/1167525916.db2.gz JREPXYOKLYDRPF-RXMQYKEDSA-N 0 2 304.339 0.846 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@@H]2CC[C@H]3C[C@H]3C2)c(-c2nn[nH]n2)c1=O ZINC001592546157 1167551056 /nfs/dbraw/zinc/55/10/56/1167551056.db2.gz KSZQCGHGEXKQSP-HRDYMLBCSA-N 0 2 317.353 0.514 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@]23C[C@H]2CCC3)c(-c2nn[nH]n2)c1=O ZINC001592545514 1167551486 /nfs/dbraw/zinc/55/14/86/1167551486.db2.gz BUKZVHJTPXSCQJ-UHLUBPPHSA-N 0 2 303.326 0.268 20 0 DCADLN O=C(Nc1ccc2[nH]nnc2c1)c1ccnc(-c2nn[nH]n2)c1 ZINC001593240530 1167744398 /nfs/dbraw/zinc/74/43/98/1167744398.db2.gz LMWBWGJMWZWWLP-UHFFFAOYSA-N 0 2 307.277 0.785 20 0 DCADLN O=C1CC(c2cccc(-c3nn[nH]n3)c2)=NN1[C@H]1CCCOC1 ZINC001593383771 1167795188 /nfs/dbraw/zinc/79/51/88/1167795188.db2.gz GWQKMMWUUMHYBS-LBPRGKRZSA-N 0 2 312.333 0.982 20 0 DCADLN O=c1cc(-c2cccc(-c3nn[nH]n3)c2)[nH]n1[C@H]1CCCOC1 ZINC001593383771 1167795196 /nfs/dbraw/zinc/79/51/96/1167795196.db2.gz GWQKMMWUUMHYBS-LBPRGKRZSA-N 0 2 312.333 0.982 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@@H]1CC2(CCC2)CO1 ZINC001593489465 1167873603 /nfs/dbraw/zinc/87/36/03/1167873603.db2.gz ORZZOAQESZWZAS-QMMMGPOBSA-N 0 2 304.310 0.088 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ccc2nccnc2c1 ZINC001593489217 1167874330 /nfs/dbraw/zinc/87/43/30/1167874330.db2.gz FGPKNQMGGSRJKG-UHFFFAOYSA-N 0 2 322.288 0.121 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1C[C@H]1C[C@@]13CCOC3)CCC2 ZINC001593491869 1167876862 /nfs/dbraw/zinc/87/68/62/1167876862.db2.gz AJFKZNCQHHDJGN-BDJLRTHQSA-N 0 2 313.361 0.944 20 0 DCADLN Cc1cc([C@H]([NH2+]CC(=O)N2CCNC2=O)C(=O)[O-])ccc1F ZINC001600146800 1168126779 /nfs/dbraw/zinc/12/67/79/1168126779.db2.gz JMBZSSHASCCFCD-LBPRGKRZSA-N 0 2 309.297 0.401 20 0 DCADLN Cc1cc(C2CC[NH+](Cc3cn(CC(=O)[O-])nn3)CC2)n[nH]1 ZINC001600184857 1168131962 /nfs/dbraw/zinc/13/19/62/1168131962.db2.gz ZCVQQBJQKJXGBW-UHFFFAOYSA-N 0 2 304.354 0.774 20 0 DCADLN Cc1cc(C2CC[NH+](Cc3cn(CC(=O)[O-])nn3)CC2)[nH]n1 ZINC001600184857 1168131966 /nfs/dbraw/zinc/13/19/66/1168131966.db2.gz ZCVQQBJQKJXGBW-UHFFFAOYSA-N 0 2 304.354 0.774 20 0 DCADLN Cc1ccc(N2CC[NH+](CC[S@@](C)=O)CC2)nc1C(=O)[O-] ZINC001600436242 1168183039 /nfs/dbraw/zinc/18/30/39/1168183039.db2.gz PANWESUFIMTGJU-OAQYLSRUSA-N 0 2 311.407 0.589 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CCCn1cnc2c1ncnc2N ZINC001600686217 1168250578 /nfs/dbraw/zinc/25/05/78/1168250578.db2.gz XAQSYOBOFCSBHV-UHFFFAOYSA-N 0 2 301.310 0.702 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[NH2+]C[C@@](C)(F)C1 ZINC001600834508 1168479340 /nfs/dbraw/zinc/47/93/40/1168479340.db2.gz SSLYTYAUTDXANS-GFCCVEGCSA-N 0 2 320.342 0.608 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)CCSCC(=O)[O-])CC[NH2+]2)cn1 ZINC001600944864 1168523474 /nfs/dbraw/zinc/52/34/74/1168523474.db2.gz VDLRUKWMOGMRML-LLVKDONJSA-N 0 2 312.395 0.101 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)NC[C@@H](Cc1ccncc1)C(=O)[O-] ZINC001600985887 1168546385 /nfs/dbraw/zinc/54/63/85/1168546385.db2.gz SFZCZCZHMUQPEM-CYBMUJFWSA-N 0 2 316.361 0.807 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)C12CCC(C(=O)[O-])(CC1)CC2 ZINC001600993429 1168550152 /nfs/dbraw/zinc/55/01/52/1168550152.db2.gz NMJZGFWIFOAGTI-VHWKEVPUSA-N 0 2 321.377 0.995 20 0 DCADLN Cn1ncc(C2CCC2)c1C[NH2+]Cc1cn(CC(=O)[O-])nn1 ZINC001601026984 1168569665 /nfs/dbraw/zinc/56/96/65/1168569665.db2.gz FUTLMFCMTLOGIQ-UHFFFAOYSA-N 0 2 304.354 0.654 20 0 DCADLN Cn1ncc(C[N@H+](CCCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])C2CC2)n1 ZINC001601026149 1168570429 /nfs/dbraw/zinc/57/04/29/1168570429.db2.gz HSWSEOIQYAIRNS-STQMWFEESA-N 0 2 321.381 0.007 20 0 DCADLN Cn1ncc(C[N@@H+](CCCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])C2CC2)n1 ZINC001601026149 1168570439 /nfs/dbraw/zinc/57/04/39/1168570439.db2.gz HSWSEOIQYAIRNS-STQMWFEESA-N 0 2 321.381 0.007 20 0 DCADLN NC(=O)C[C@@H]1C[N@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC001601148651 1168601569 /nfs/dbraw/zinc/60/15/69/1168601569.db2.gz PSOFNNXYUHCCRL-CYBMUJFWSA-N 0 2 308.334 0.226 20 0 DCADLN NC(=O)C[C@@H]1C[N@@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC001601148651 1168601571 /nfs/dbraw/zinc/60/15/71/1168601571.db2.gz PSOFNNXYUHCCRL-CYBMUJFWSA-N 0 2 308.334 0.226 20 0 DCADLN NS(=O)(=O)[C@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2Cl)C1 ZINC001601191775 1168646469 /nfs/dbraw/zinc/64/64/69/1168646469.db2.gz BGINOLYKBDETTK-JTQLQIEISA-N 0 2 318.782 0.901 20 0 DCADLN NS(=O)(=O)[C@H]1CC[N@@H+](Cc2cc(C(=O)[O-])ccc2Cl)C1 ZINC001601191775 1168646476 /nfs/dbraw/zinc/64/64/76/1168646476.db2.gz BGINOLYKBDETTK-JTQLQIEISA-N 0 2 318.782 0.901 20 0 DCADLN Nc1[nH+]cccc1CNC(=O)N[C@H]1C[C@H]2C[C@@H](C(=O)[O-])C[C@H]21 ZINC001601222078 1168665158 /nfs/dbraw/zinc/66/51/58/1168665158.db2.gz XTFLIMBGHXLSEU-KKOKHZNYSA-N 0 2 304.350 0.962 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC001601243068 1168674756 /nfs/dbraw/zinc/67/47/56/1168674756.db2.gz BWJDISCRRIUPRA-QXFUBDJGSA-N 0 2 321.255 0.735 20 0 DCADLN O=C(CCOC[C@@H]1CCCO1)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601281624 1168689728 /nfs/dbraw/zinc/68/97/28/1168689728.db2.gz SILMIBHANHOIEA-NWDGAFQWSA-N 0 2 311.338 0.107 20 0 DCADLN O=C(CCOC[C@@H]1CCCO1)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601281624 1168689735 /nfs/dbraw/zinc/68/97/35/1168689735.db2.gz SILMIBHANHOIEA-NWDGAFQWSA-N 0 2 311.338 0.107 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2ccc(Cl)cn2)C1 ZINC001601304682 1168698508 /nfs/dbraw/zinc/69/85/08/1168698508.db2.gz FNCHGKJXLXFPQM-WCBMZHEXSA-N 0 2 313.741 0.441 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2ccc(Cl)cn2)C1 ZINC001601304682 1168698510 /nfs/dbraw/zinc/69/85/10/1168698510.db2.gz FNCHGKJXLXFPQM-WCBMZHEXSA-N 0 2 313.741 0.441 20 0 DCADLN O=C([O-])[C@@H]1CCCN(CC(=O)NCCCCn2cc[nH+]c2)C1=O ZINC001601310134 1168701432 /nfs/dbraw/zinc/70/14/32/1168701432.db2.gz IQARYLNNBFWBQT-GFCCVEGCSA-N 0 2 322.365 0.103 20 0 DCADLN O=C([O-])[C@H](CC1OCCO1)NC(=O)c1cccc2[nH+]ccn21 ZINC001601416002 1168738774 /nfs/dbraw/zinc/73/87/74/1168738774.db2.gz YASKFZCDVGMPLM-VIFPVBQESA-N 0 2 305.290 0.280 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)[C@@H]1CCc2c[nH+]cn2C1)[C@H]1CCOC1 ZINC001601451121 1168752464 /nfs/dbraw/zinc/75/24/64/1168752464.db2.gz CMLQNRSZMXHUFE-MDZLAQPJSA-N 0 2 307.350 0.299 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1COc2ccccc2O1 ZINC001601662822 1168806811 /nfs/dbraw/zinc/80/68/11/1168806811.db2.gz LBTRDOAKESSTDY-GXFFZTMASA-N 0 2 317.301 0.293 20 0 DCADLN O=C([O-])C1(O)CN(c2cc(NCc3ccccc3)[nH+]cn2)C1 ZINC001601726639 1168834819 /nfs/dbraw/zinc/83/48/19/1168834819.db2.gz UTBFYNBCWMJWIG-UHFFFAOYSA-N 0 2 300.318 0.724 20 0 DCADLN O=C([O-])C1(O)CN(c2cc(NCc3ccccc3)nc[nH+]2)C1 ZINC001601726639 1168834838 /nfs/dbraw/zinc/83/48/38/1168834838.db2.gz UTBFYNBCWMJWIG-UHFFFAOYSA-N 0 2 300.318 0.724 20 0 DCADLN O=C([O-])C12CCC(C(=O)NC[C@@H]3C[NH+]4CCN3CC4)(CC1)CC2 ZINC001601730047 1168837586 /nfs/dbraw/zinc/83/75/86/1168837586.db2.gz CMNGKYRVEXBKAR-NVPAJSRCSA-N 0 2 321.421 0.528 20 0 DCADLN O=C([O-])[C@@H]1[C@H]2CCC[C@H]2CN1S(=O)(=O)CCn1cc[nH+]c1 ZINC001601756245 1168853023 /nfs/dbraw/zinc/85/30/23/1168853023.db2.gz DCAYBBCLHYKGSQ-SRVKXCTJSA-N 0 2 313.379 0.398 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@@H+](Cc2ncnn2-c2ccccc2)C1 ZINC001601767383 1168865021 /nfs/dbraw/zinc/86/50/21/1168865021.db2.gz DOVLVXWYEFNZOS-DGCLKSJQSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)C[N@H+](Cc2ncnn2-c2ccccc2)C1 ZINC001601767383 1168865029 /nfs/dbraw/zinc/86/50/29/1168865029.db2.gz DOVLVXWYEFNZOS-DGCLKSJQSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+]1CC(=O)N(Cc1ccccc1)C1CC1 ZINC001601774098 1168873121 /nfs/dbraw/zinc/87/31/21/1168873121.db2.gz WPUYENAOSITDRH-GJZGRUSLSA-N 0 2 318.373 0.697 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+]1CC(=O)N(Cc1ccccc1)C1CC1 ZINC001601774098 1168873129 /nfs/dbraw/zinc/87/31/29/1168873129.db2.gz WPUYENAOSITDRH-GJZGRUSLSA-N 0 2 318.373 0.697 20 0 DCADLN O=C([O-])CC1CC[NH+](Cn2nnc(-c3cscn3)n2)CC1 ZINC001601956488 1168946210 /nfs/dbraw/zinc/94/62/10/1168946210.db2.gz FZCKQZHMOZOCNH-UHFFFAOYSA-N 0 2 308.367 0.941 20 0 DCADLN O=C([O-])CC[N@H+](Cn1nc2n(c1=O)CCCC2)C1CCOCC1 ZINC001602008076 1168960634 /nfs/dbraw/zinc/96/06/34/1168960634.db2.gz ICKKVMCFMVZKHA-UHFFFAOYSA-N 0 2 324.381 0.294 20 0 DCADLN O=C([O-])CC[N@@H+](Cn1nc2n(c1=O)CCCC2)C1CCOCC1 ZINC001602008076 1168960638 /nfs/dbraw/zinc/96/06/38/1168960638.db2.gz ICKKVMCFMVZKHA-UHFFFAOYSA-N 0 2 324.381 0.294 20 0 DCADLN O=C([O-])C[N@H+](CCc1ccccc1)CN1CC2(COC2)CC1=O ZINC001602057034 1168979981 /nfs/dbraw/zinc/97/99/81/1168979981.db2.gz XTYZUVIYJILXRQ-UHFFFAOYSA-N 0 2 318.373 0.822 20 0 DCADLN O=C([O-])C[N@@H+](CCc1ccccc1)CN1CC2(COC2)CC1=O ZINC001602057034 1168979985 /nfs/dbraw/zinc/97/99/85/1168979985.db2.gz XTYZUVIYJILXRQ-UHFFFAOYSA-N 0 2 318.373 0.822 20 0 DCADLN O=C([O-])Cc1ccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC001602131562 1169000097 /nfs/dbraw/zinc/00/00/97/1169000097.db2.gz AYNWCYSIBVKOPB-UHFFFAOYSA-N 0 2 321.358 0.715 20 0 DCADLN O=C([O-])Cn1cc(CN[C@H]2CCC[N@@H+]3CCSC[C@@H]23)nn1 ZINC001602162527 1169010693 /nfs/dbraw/zinc/01/06/93/1169010693.db2.gz YUBACINGVMEWNF-RYUDHWBXSA-N 0 2 311.411 0.032 20 0 DCADLN O=C([O-])c1cc2n(n1)CCC[C@@H]2[NH2+]Cc1nnnn1C1CC1 ZINC001602308341 1169053838 /nfs/dbraw/zinc/05/38/38/1169053838.db2.gz JLCWEQSVBQYBOI-VIFPVBQESA-N 0 2 303.326 0.527 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)nn1 ZINC001602493825 1169093520 /nfs/dbraw/zinc/09/35/20/1169093520.db2.gz ZKLJINLALJYABT-SNVBAGLBSA-N 0 2 304.310 0.037 20 0 DCADLN O=C([O-])c1n[nH]c2c1C[N@@H+]([C@H]1CCN(C3CCC3)C1=O)CC2 ZINC001602564737 1169120184 /nfs/dbraw/zinc/12/01/84/1169120184.db2.gz XXHAXIKLAQLUKV-LBPRGKRZSA-N 0 2 304.350 0.619 20 0 DCADLN O=C([O-])c1n[nH]c2c1C[N@H+]([C@H]1CCN(C3CCC3)C1=O)CC2 ZINC001602564737 1169120187 /nfs/dbraw/zinc/12/01/87/1169120187.db2.gz XXHAXIKLAQLUKV-LBPRGKRZSA-N 0 2 304.350 0.619 20 0 DCADLN CC(=O)OCC(C)(C)CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001603088504 1169244804 /nfs/dbraw/zinc/24/48/04/1169244804.db2.gz WQMFXBCECZYBLG-LLVKDONJSA-N 0 2 311.338 0.432 20 0 DCADLN C[C@@H](C(=O)NC1CC1)N1CC[NH+](Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC001603155394 1169259833 /nfs/dbraw/zinc/25/98/33/1169259833.db2.gz IUPDQTBYBCGERE-NSHDSACASA-N 0 2 320.393 0.498 20 0 DCADLN C[C@@H](C(=O)NC1CC1)[NH+]1CCN(Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC001603155394 1169259837 /nfs/dbraw/zinc/25/98/37/1169259837.db2.gz IUPDQTBYBCGERE-NSHDSACASA-N 0 2 320.393 0.498 20 0 DCADLN C[C@@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])C(=O)OC(C)(C)C ZINC001603154958 1169260133 /nfs/dbraw/zinc/26/01/33/1169260133.db2.gz MZGKKTCPXHYNCO-UWVGGRQHSA-N 0 2 311.338 0.430 20 0 DCADLN CC(C)(C)N1C[C@@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CC1=O ZINC001603343392 1169296309 /nfs/dbraw/zinc/29/63/09/1169296309.db2.gz LLNRFXPZQHLULB-GXSJLCMTSA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)(C)N1C[C@@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CC1=O ZINC001603343392 1169296316 /nfs/dbraw/zinc/29/63/16/1169296316.db2.gz LLNRFXPZQHLULB-GXSJLCMTSA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)(CC[N@@H+]1CCOC2(CCS(=O)(=O)CC2)C1)C(=O)[O-] ZINC001603599363 1169331377 /nfs/dbraw/zinc/33/13/77/1169331377.db2.gz SDNHCIJVESOMHP-UHFFFAOYSA-N 0 2 319.423 0.767 20 0 DCADLN CC(C)(CC[N@H+]1CCOC2(CCS(=O)(=O)CC2)C1)C(=O)[O-] ZINC001603599363 1169331384 /nfs/dbraw/zinc/33/13/84/1169331384.db2.gz SDNHCIJVESOMHP-UHFFFAOYSA-N 0 2 319.423 0.767 20 0 DCADLN C[C@H](O)CCn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2=O ZINC001603695803 1169358836 /nfs/dbraw/zinc/35/88/36/1169358836.db2.gz LZVJSIOFSCTXER-QMMMGPOBSA-N 0 2 303.322 0.318 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)CCCCCC(=O)[O-] ZINC001604248269 1169533112 /nfs/dbraw/zinc/53/31/12/1169533112.db2.gz ZRXWSWGDTYLRNI-LLVKDONJSA-N 0 2 303.384 0.836 20 0 DCADLN CN(C[C@@H]1CCCCO1)Cn1cccc(-c2nn[nH]n2)c1=O ZINC001604729436 1169665076 /nfs/dbraw/zinc/66/50/76/1169665076.db2.gz SXBOLCNCWVVSOT-NSHDSACASA-N 0 2 304.354 0.487 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)Cc2ccc(C(=O)[O-])cc2)CCO1 ZINC001604797591 1169688967 /nfs/dbraw/zinc/68/89/67/1169688967.db2.gz XKTZFCQYUACSEK-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)Cc2ccc(C(=O)[O-])cc2)CCO1 ZINC001604797591 1169688969 /nfs/dbraw/zinc/68/89/69/1169688969.db2.gz XKTZFCQYUACSEK-LBPRGKRZSA-N 0 2 306.362 0.764 20 0 DCADLN CN(Cc1cnc2c(-c3nn[nH]n3)cnn2c1)C[C@@H]1CCCO1 ZINC001604822667 1169697814 /nfs/dbraw/zinc/69/78/14/1169697814.db2.gz OFPGXTSDOYZFJJ-NSHDSACASA-N 0 2 314.353 0.520 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC(=O)[C@@H]2C[C@H]2C)c1 ZINC001604963833 1169765520 /nfs/dbraw/zinc/76/55/20/1169765520.db2.gz ADEQOGXPNPGOLI-VXNVDRBHSA-N 0 2 317.305 0.040 20 0 DCADLN CO[C@@H](Cn1ccc(C)c(-c2nn[nH]n2)c1=O)[C@@H]1CCOC1 ZINC001605012604 1169778447 /nfs/dbraw/zinc/77/84/47/1169778447.db2.gz PPYDPDNEKYFGKY-MNOVXSKESA-N 0 2 305.338 0.388 20 0 DCADLN CC[C@@H](C[NH+]1CCCC1)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001605025098 1169783890 /nfs/dbraw/zinc/78/38/90/1169783890.db2.gz PWMBLBPXDTXEBU-VIFPVBQESA-N 0 2 317.367 0.526 20 0 DCADLN COC[C@H]1CCC[N@H+](Cn2cccc(-c3nnn[n-]3)c2=O)C1 ZINC001605071568 1169808711 /nfs/dbraw/zinc/80/87/11/1169808711.db2.gz GSFMVPRQISCQBA-NSHDSACASA-N 0 2 304.354 0.344 20 0 DCADLN COC[C@H]1CCC[N@@H+](Cn2cccc(-c3nnn[n-]3)c2=O)C1 ZINC001605071568 1169808720 /nfs/dbraw/zinc/80/87/20/1169808720.db2.gz GSFMVPRQISCQBA-NSHDSACASA-N 0 2 304.354 0.344 20 0 DCADLN CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC001605174247 1169858090 /nfs/dbraw/zinc/85/80/90/1169858090.db2.gz UNHBRIWCSYWUCY-VIFPVBQESA-N 0 2 301.368 0.428 20 0 DCADLN CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC001605174247 1169858104 /nfs/dbraw/zinc/85/81/04/1169858104.db2.gz UNHBRIWCSYWUCY-VIFPVBQESA-N 0 2 301.368 0.428 20 0 DCADLN CCCn1nccc1NC(=O)C[NH+]1CCC(O)(C(=O)[O-])CC1 ZINC001605391241 1169910858 /nfs/dbraw/zinc/91/08/58/1169910858.db2.gz ZHFHWBAPTRIZCB-UHFFFAOYSA-N 0 2 310.354 0.143 20 0 DCADLN CCOC(=O)[C@H]1C[N@@H+]([C@H](C)C(=O)NCC(=O)[O-])CCC1(F)F ZINC001605527016 1169947424 /nfs/dbraw/zinc/94/74/24/1169947424.db2.gz QNRBPXWWHUXWHG-RKDXNWHRSA-N 0 2 322.308 0.096 20 0 DCADLN CCOC(=O)[C@H]1C[N@H+]([C@H](C)C(=O)NCC(=O)[O-])CCC1(F)F ZINC001605527016 1169947429 /nfs/dbraw/zinc/94/74/29/1169947429.db2.gz QNRBPXWWHUXWHG-RKDXNWHRSA-N 0 2 322.308 0.096 20 0 DCADLN CCc1noc([C@H](C)[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001605874186 1170018885 /nfs/dbraw/zinc/01/88/85/1170018885.db2.gz QVUWTZLUYOXLRM-DTWKUNHWSA-N 0 2 306.326 0.930 20 0 DCADLN CCc1noc([C@H](C)[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001605874186 1170018892 /nfs/dbraw/zinc/01/88/92/1170018892.db2.gz QVUWTZLUYOXLRM-DTWKUNHWSA-N 0 2 306.326 0.930 20 0 DCADLN CN(C)C(=O)c1ccc(C[NH+]2CC(c3cc(C(=O)[O-])n[nH]3)C2)[nH]1 ZINC001606006336 1170047750 /nfs/dbraw/zinc/04/77/50/1170047750.db2.gz YVEDAZDWCCFSKA-UHFFFAOYSA-N 0 2 317.349 0.737 20 0 DCADLN C[NH+](C)Cc1nc(CNC(=O)Nc2ccc(C(=O)[O-])nn2)co1 ZINC001606037327 1170063167 /nfs/dbraw/zinc/06/31/67/1170063167.db2.gz QAWDEWVYSHFORQ-UHFFFAOYSA-N 0 2 320.309 0.546 20 0 DCADLN Cc1nn(CC[C@H]2CCCCO2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114435 1170079410 /nfs/dbraw/zinc/07/94/10/1170079410.db2.gz OJHMBHLBEORIGV-LLVKDONJSA-N 0 2 304.354 0.999 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@@]2(C)CCCCO2)c(-c2nn[nH]n2)c1=O ZINC001606205900 1170113234 /nfs/dbraw/zinc/11/32/34/1170113234.db2.gz MMOQDXGGJBXKBI-CYBMUJFWSA-N 0 2 321.341 0.037 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC001606332573 1170160958 /nfs/dbraw/zinc/16/09/58/1170160958.db2.gz QIIZHUMBVLJIIC-VXGBXAGGSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC001606332573 1170160961 /nfs/dbraw/zinc/16/09/61/1170160961.db2.gz QIIZHUMBVLJIIC-VXGBXAGGSA-N 0 2 315.366 0.663 20 0 DCADLN COC(=O)C[C@H](NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C(=O)[O-] ZINC001606440774 1170203812 /nfs/dbraw/zinc/20/38/12/1170203812.db2.gz RLXICJLWBMGGPZ-NSHDSACASA-N 0 2 318.289 0.013 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccnc(C(=O)[O-])c1 ZINC001606505945 1170223120 /nfs/dbraw/zinc/22/31/20/1170223120.db2.gz PCUGUMHRKLTBHO-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccnc(C(=O)[O-])c1 ZINC001606505945 1170223125 /nfs/dbraw/zinc/22/31/25/1170223125.db2.gz PCUGUMHRKLTBHO-LLVKDONJSA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1cc(C(=O)C[N@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)c(C)[nH]1 ZINC001606534946 1170229404 /nfs/dbraw/zinc/22/94/04/1170229404.db2.gz LXNYABMIIOZHNA-ZJUUUORDSA-N 0 2 324.333 0.060 20 0 DCADLN COC(=O)c1cc(C(=O)C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)c(C)[nH]1 ZINC001606534946 1170229407 /nfs/dbraw/zinc/22/94/07/1170229407.db2.gz LXNYABMIIOZHNA-ZJUUUORDSA-N 0 2 324.333 0.060 20 0 DCADLN COC(=O)c1nc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cs1 ZINC001606633558 1170248614 /nfs/dbraw/zinc/24/86/14/1170248614.db2.gz IRSXIRGYBAZUKE-ZETCQYMHSA-N 0 2 324.318 0.079 20 0 DCADLN COC(=O)c1nc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cs1 ZINC001606633558 1170248619 /nfs/dbraw/zinc/24/86/19/1170248619.db2.gz IRSXIRGYBAZUKE-ZETCQYMHSA-N 0 2 324.318 0.079 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1ncc(Cl)s1 ZINC001607088618 1170387339 /nfs/dbraw/zinc/38/73/39/1170387339.db2.gz OWYVVLWNQFOSJU-UHFFFAOYSA-N 0 2 311.714 0.287 20 0 DCADLN COc1cc2c(cc1OC)C[N@@H+]([C@@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001607193462 1170413177 /nfs/dbraw/zinc/41/31/77/1170413177.db2.gz SOKRBRKKZKYEGJ-JTQLQIEISA-N 0 2 322.361 0.651 20 0 DCADLN COc1cc2c(cc1OC)C[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])CC2 ZINC001607193462 1170413180 /nfs/dbraw/zinc/41/31/80/1170413180.db2.gz SOKRBRKKZKYEGJ-JTQLQIEISA-N 0 2 322.361 0.651 20 0 DCADLN COc1cccc(C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001607319525 1170427068 /nfs/dbraw/zinc/42/70/68/1170427068.db2.gz UAVALWNYSNZGOV-CYBMUJFWSA-N 0 2 303.318 0.773 20 0 DCADLN Cc1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c2nccn2c1 ZINC001607580799 1170479749 /nfs/dbraw/zinc/47/97/49/1170479749.db2.gz VNGREVVOZXMUGD-LBPRGKRZSA-N 0 2 313.317 0.792 20 0 DCADLN Cc1ccc(F)c(OCC(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001607791154 1170505909 /nfs/dbraw/zinc/50/59/09/1170505909.db2.gz LAMPWBRIPQPVKB-LBPRGKRZSA-N 0 2 321.308 0.979 20 0 DCADLN Cc1cn2cc(NC(=O)N3CC[C@](O)(C(=O)[O-])C3)ccc2[nH+]1 ZINC001607902204 1170520695 /nfs/dbraw/zinc/52/06/95/1170520695.db2.gz YEKRXQKJEBEZMI-CQSZACIVSA-N 0 2 304.306 0.696 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N[C@H](Cn3cncn3)C(=O)[O-])ccn12 ZINC001607909582 1170522864 /nfs/dbraw/zinc/52/28/64/1170522864.db2.gz VTUJWQFLDNQQKF-LLVKDONJSA-N 0 2 314.305 0.117 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@H]3C[C@@H](C(=O)[O-])C3)CC2)cc[nH+]1 ZINC001607986750 1170540239 /nfs/dbraw/zinc/54/02/39/1170540239.db2.gz LNHGGOLSUKEXOQ-TXEJJXNPSA-N 0 2 304.350 0.544 20 0 DCADLN Cc1noc(C[N@@H+]2CCC3(CN(C(=O)[C@H]4C[C@H]4C(=O)[O-])C3)C2)n1 ZINC001608028071 1170553054 /nfs/dbraw/zinc/55/30/54/1170553054.db2.gz QRRQDAPZPUCQQS-WDEREUQCSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1noc(C[N@H+]2CCC3(CN(C(=O)[C@H]4C[C@H]4C(=O)[O-])C3)C2)n1 ZINC001608028071 1170553060 /nfs/dbraw/zinc/55/30/60/1170553060.db2.gz QRRQDAPZPUCQQS-WDEREUQCSA-N 0 2 320.349 0.133 20 0 DCADLN Cn1cc[nH+]c1CCNC(=O)c1cccc(OCC(=O)[O-])c1 ZINC001608132522 1170585788 /nfs/dbraw/zinc/58/57/88/1170585788.db2.gz GMDPZJKKLQFOFU-UHFFFAOYSA-N 0 2 303.318 0.856 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1cccc(CC(=O)[O-])c1 ZINC001608136952 1170588900 /nfs/dbraw/zinc/58/89/00/1170588900.db2.gz KIXIKIQPRCVNBE-UHFFFAOYSA-N 0 2 309.347 0.526 20 0 DCADLN Cn1nnnc1C[N@@H+]1CCC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001608169820 1170607887 /nfs/dbraw/zinc/60/78/87/1170607887.db2.gz MOKBADGIWBCFHC-QMMMGPOBSA-N 0 2 308.367 0.744 20 0 DCADLN Cn1nnnc1C[N@H+]1CCC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001608169820 1170607897 /nfs/dbraw/zinc/60/78/97/1170607897.db2.gz MOKBADGIWBCFHC-QMMMGPOBSA-N 0 2 308.367 0.744 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(F)cc(C(=O)[O-])c2)C1 ZINC001608234865 1170624071 /nfs/dbraw/zinc/62/40/71/1170624071.db2.gz NXXMZGKFFHYAMN-GFCCVEGCSA-N 0 2 323.324 0.203 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cc(F)cc(C(=O)[O-])c2)C1 ZINC001608234865 1170624075 /nfs/dbraw/zinc/62/40/75/1170624075.db2.gz NXXMZGKFFHYAMN-GFCCVEGCSA-N 0 2 323.324 0.203 20 0 DCADLN O=C(CCCn1cc[nH+]c1)N[C@H](Cc1cncs1)C(=O)[O-] ZINC001608308871 1170646800 /nfs/dbraw/zinc/64/68/00/1170646800.db2.gz CUMSRXAETKFZND-LLVKDONJSA-N 0 2 308.363 0.932 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cccn(C2CC2)c1=O ZINC001608404092 1170670261 /nfs/dbraw/zinc/67/02/61/1170670261.db2.gz WVEBVRDYFAZENZ-LBPRGKRZSA-N 0 2 316.317 0.263 20 0 DCADLN O=C([O-])[C@H](Cc1cncs1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001608402951 1170670518 /nfs/dbraw/zinc/67/05/18/1170670518.db2.gz XRADTTQDYYEWGS-ONGXEEELSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)CN(C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001608603909 1170715067 /nfs/dbraw/zinc/71/50/67/1170715067.db2.gz IUJSWIHADZOUMY-GXSJLCMTSA-N 0 2 304.306 0.634 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001608609989 1170718470 /nfs/dbraw/zinc/71/84/70/1170718470.db2.gz GRWQYLHGPNRBJW-OMHSBUABSA-N 0 2 307.375 0.410 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001608609989 1170718472 /nfs/dbraw/zinc/71/84/72/1170718472.db2.gz GRWQYLHGPNRBJW-OMHSBUABSA-N 0 2 307.375 0.410 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H]2C(=O)N([C@@H]3CCn4cc[nH+]c4C3)C(=O)N2C1 ZINC001608621062 1170724230 /nfs/dbraw/zinc/72/42/30/1170724230.db2.gz YPLZPMDHIAUHKS-MXWKQRLJSA-N 0 2 318.333 0.325 20 0 DCADLN O=C([O-])C[C@@H]1CCCN1C(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC001608695473 1170738986 /nfs/dbraw/zinc/73/89/86/1170738986.db2.gz YIPPMPRGNIHPJB-LBPRGKRZSA-N 0 2 322.365 0.245 20 0 DCADLN O=C([O-])c1ccnc(N(CC[NH+]2CCOCC2)CC2CC2)n1 ZINC001608952389 1170774450 /nfs/dbraw/zinc/77/44/50/1170774450.db2.gz SSTVNWJZKBTTGD-UHFFFAOYSA-N 0 2 306.366 0.723 20 0 DCADLN O=C([O-])c1cncc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC001608979590 1170780191 /nfs/dbraw/zinc/78/01/91/1170780191.db2.gz JLJAGYFKOWQMEN-CYBMUJFWSA-N 0 2 305.334 0.327 20 0 DCADLN CC1(C)CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCCO1 ZINC001609146473 1170827214 /nfs/dbraw/zinc/82/72/14/1170827214.db2.gz JICLEAAFJZYQKG-UHFFFAOYSA-N 0 2 304.354 0.487 20 0 DCADLN C[C@H]1CCCC[C@H]1NC(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001609165529 1170834157 /nfs/dbraw/zinc/83/41/57/1170834157.db2.gz POOQVPWOICXCEQ-VHSXEESVSA-N 0 2 317.353 0.118 20 0 DCADLN CCCCn1cc(CN2CCOCC2)cc(-c2nn[nH]n2)c1=O ZINC001609211405 1170870986 /nfs/dbraw/zinc/87/09/86/1170870986.db2.gz CILGVKQYKOTYDG-UHFFFAOYSA-N 0 2 318.381 0.661 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCSC(C)C)c1=O ZINC001609257732 1170900388 /nfs/dbraw/zinc/90/03/88/1170900388.db2.gz HKGSVNZFRRDQBN-UHFFFAOYSA-N 0 2 310.383 0.352 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCOC2CCC2)c1 ZINC001609302112 1170924122 /nfs/dbraw/zinc/92/41/22/1170924122.db2.gz SXJABWYKJMOBRM-UHFFFAOYSA-N 0 2 319.321 0.384 20 0 DCADLN COCCN(C)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001609323834 1170936889 /nfs/dbraw/zinc/93/68/89/1170936889.db2.gz GSQHAKNDOIQIBS-UHFFFAOYSA-N 0 2 304.354 0.053 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@H+](C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001610036214 1171144453 /nfs/dbraw/zinc/14/44/53/1171144453.db2.gz YMJPWAOKSSHDQB-RKDXNWHRSA-N 0 2 312.288 0.571 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@@H+](C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001610036214 1171144459 /nfs/dbraw/zinc/14/44/59/1171144459.db2.gz YMJPWAOKSSHDQB-RKDXNWHRSA-N 0 2 312.288 0.571 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)C(C)(C)C1 ZINC001610137964 1171171607 /nfs/dbraw/zinc/17/16/07/1171171607.db2.gz MFKJNSUKTMNVIV-UHFFFAOYSA-N 0 2 313.379 0.495 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)C(C)(C)C1 ZINC001610137964 1171171613 /nfs/dbraw/zinc/17/16/13/1171171613.db2.gz MFKJNSUKTMNVIV-UHFFFAOYSA-N 0 2 313.379 0.495 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc(C(=O)[O-])co1 ZINC001610153637 1171179994 /nfs/dbraw/zinc/17/99/94/1171179994.db2.gz ITKCYCICQWJYAS-SECBINFHSA-N 0 2 307.262 0.146 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1C[C@H]1CC2(CC2)C(=O)O1 ZINC001610170522 1171184986 /nfs/dbraw/zinc/18/49/86/1171184986.db2.gz XEQKXXNMAYPLLQ-AXFHLTTASA-N 0 2 311.334 0.420 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1C[C@H]1CC2(CC2)C(=O)O1 ZINC001610170522 1171184992 /nfs/dbraw/zinc/18/49/92/1171184992.db2.gz XEQKXXNMAYPLLQ-AXFHLTTASA-N 0 2 311.334 0.420 20 0 DCADLN COC(=O)c1sccc1NC(=O)C[N@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001610222210 1171199534 /nfs/dbraw/zinc/19/95/34/1171199534.db2.gz WCOHXDHYXCIHCJ-VROVMSAKSA-N 0 2 324.358 0.736 20 0 DCADLN COC(=O)c1sccc1NC(=O)C[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001610222210 1171199535 /nfs/dbraw/zinc/19/95/35/1171199535.db2.gz WCOHXDHYXCIHCJ-VROVMSAKSA-N 0 2 324.358 0.736 20 0 DCADLN CO[C@@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])c1ccc(O)cc1 ZINC001610222622 1171200437 /nfs/dbraw/zinc/20/04/37/1171200437.db2.gz XHBIKKMRZZHQQR-QWHCGFSZSA-N 0 2 319.317 0.546 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+](CCO)[C@@H]2CCO[C@@H]2C)c(C)c1C(=O)[O-] ZINC001610396689 1171230314 /nfs/dbraw/zinc/23/03/14/1171230314.db2.gz CFKBQLCUJIDZOK-VXGBXAGGSA-N 0 2 324.377 0.984 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+](CCO)[C@@H]2CCO[C@@H]2C)c(C)c1C(=O)[O-] ZINC001610396689 1171230323 /nfs/dbraw/zinc/23/03/23/1171230323.db2.gz CFKBQLCUJIDZOK-VXGBXAGGSA-N 0 2 324.377 0.984 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)NCC3(C(=O)[O-])CC3)ccc2[nH+]1 ZINC001610539112 1171249618 /nfs/dbraw/zinc/24/96/18/1171249618.db2.gz SURRJGSJZHHSTR-UHFFFAOYSA-N 0 2 316.317 0.562 20 0 DCADLN Cc1nn(CC(=O)[O-])c(C)c1CNc1[nH+]cnc2c1cnn2C ZINC001610570382 1171257252 /nfs/dbraw/zinc/25/72/52/1171257252.db2.gz XRQSAYORCLVBLP-UHFFFAOYSA-N 0 2 315.337 0.873 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1c[nH]c2cnccc12 ZINC001610673487 1171287870 /nfs/dbraw/zinc/28/78/70/1171287870.db2.gz OUKSYZILCWEGDB-LBPRGKRZSA-N 0 2 313.317 0.641 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1c[nH]c2cnccc12 ZINC001610673487 1171287880 /nfs/dbraw/zinc/28/78/80/1171287880.db2.gz OUKSYZILCWEGDB-LBPRGKRZSA-N 0 2 313.317 0.641 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1CCOCCOc1ccccc1 ZINC001610762028 1171313614 /nfs/dbraw/zinc/31/36/14/1171313614.db2.gz QFKXQUGBELLKLE-CQSZACIVSA-N 0 2 322.361 0.357 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1CCOCCOc1ccccc1 ZINC001610762028 1171313621 /nfs/dbraw/zinc/31/36/21/1171313621.db2.gz QFKXQUGBELLKLE-CQSZACIVSA-N 0 2 322.361 0.357 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cccc2c1OCCO2 ZINC001610782704 1171323051 /nfs/dbraw/zinc/32/30/51/1171323051.db2.gz JPANRMFBFRYTKP-LBPRGKRZSA-N 0 2 320.345 0.623 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1Cc1cccc2c1OCCO2 ZINC001610782704 1171323060 /nfs/dbraw/zinc/32/30/60/1171323060.db2.gz JPANRMFBFRYTKP-LBPRGKRZSA-N 0 2 320.345 0.623 20 0 DCADLN O=C(CN1CCSC1=O)NNC(=O)c1n[nH]c2c1CCCC2 ZINC000013231825 1171370265 /nfs/dbraw/zinc/37/02/65/1171370265.db2.gz WATDFSIFQOAVQQ-UHFFFAOYSA-N 0 2 323.378 0.218 20 0 DCADLN CC(=O)N[C@H](C(=O)NCCc1n[nH]c(=S)o1)C(C)(C)C ZINC001617080406 1171385160 /nfs/dbraw/zinc/38/51/60/1171385160.db2.gz PJPALUBQIAZDOH-SECBINFHSA-N 0 2 300.384 0.568 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@]12CCC(=O)N1CCS2 ZINC001617080797 1171385705 /nfs/dbraw/zinc/38/57/05/1171385705.db2.gz WQDXXQFRASNQIW-LLVKDONJSA-N 0 2 314.392 0.083 20 0 DCADLN CC[NH+]1CCN([C@H](C)CNC(=O)C(=O)c2ccc(O)cc2)CC1 ZINC001628311815 1171525070 /nfs/dbraw/zinc/52/50/70/1171525070.db2.gz ATYVZUSKBBNLRR-CYBMUJFWSA-N 0 2 319.405 0.717 20 0 DCADLN CCc1nc2c(nccc2C(=O)NCc2nnc(CO)[nH]2)[nH]1 ZINC001630000788 1171564209 /nfs/dbraw/zinc/56/42/09/1171564209.db2.gz NJGBCEHFHWEJPR-UHFFFAOYSA-N 0 2 301.310 0.061 20 0 DCADLN Cc1cc(C)n2ncc(C(=O)NCc3nc(O)cc(=O)[nH]3)c2n1 ZINC001634152468 1171663141 /nfs/dbraw/zinc/66/31/41/1171663141.db2.gz MTMCOJMHYNIKGJ-UHFFFAOYSA-N 0 2 314.305 0.477 20 0 DCADLN CCCCS(=O)(=O)CC(=O)NCCc1n[nH]c(=S)o1 ZINC001634595658 1171677985 /nfs/dbraw/zinc/67/79/85/1171677985.db2.gz HPWRRVAQRHWNPG-UHFFFAOYSA-N 0 2 307.397 0.232 20 0 DCADLN Nc1nc2nc(CN[C@H](c3ncccn3)C3CC3)cc(=O)n2[nH]1 ZINC001644599144 1172011130 /nfs/dbraw/zinc/01/11/30/1172011130.db2.gz CYPAUIJWFLHHAW-NSHDSACASA-N 0 2 312.337 0.031 20 0 DCADLN O=C([C@@H]1CCn2c[nH+]cc2C1)N1CC[C@@H]([N@H+]2CC[C@H](O)C2)C1 ZINC001646040129 1172227597 /nfs/dbraw/zinc/22/75/97/1172227597.db2.gz VUIGCABWJWWHHV-NFAWXSAZSA-N 0 2 304.394 0.113 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](C(=O)[O-])[C@H]1CCCOC1 ZINC001646254037 1172306864 /nfs/dbraw/zinc/30/68/64/1172306864.db2.gz MMXQHFJOXSYZLF-WGBDABJCSA-N 0 2 307.350 0.520 20 0 DCADLN [NH3+]Cc1ncc(C(=O)N[C@H]2CCC[N@@H+]3CCSC[C@@H]23)cn1 ZINC001646791464 1172534900 /nfs/dbraw/zinc/53/49/00/1172534900.db2.gz MTHKPVJPJBDYDF-RYUDHWBXSA-N 0 2 307.423 0.245 20 0 DCADLN CNC(=O)CCCCC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001646840898 1172559015 /nfs/dbraw/zinc/55/90/15/1172559015.db2.gz LIEJJYQREDZWEH-UHFFFAOYSA-N 0 2 324.381 0.504 20 0 DCADLN CCn1[nH]cc(-c2nnc(N3CCC(C(N)=O)CC3)n2C)c1=O ZINC001646860465 1172564483 /nfs/dbraw/zinc/56/44/83/1172564483.db2.gz CDDPHGJIZNEWKU-UHFFFAOYSA-N 0 2 319.369 0.106 20 0 DCADLN Cn1cccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1=O ZINC001647207455 1172742788 /nfs/dbraw/zinc/74/27/88/1172742788.db2.gz ACJYIIZBJYUWRJ-SECBINFHSA-N 0 2 303.322 0.229 20 0 DCADLN Cc1nnc(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)s1 ZINC001647293838 1172789983 /nfs/dbraw/zinc/78/99/83/1172789983.db2.gz TWNIUQRWIMNTTP-QMMMGPOBSA-N 0 2 308.367 0.619 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@H+](CC(=O)N[C@@H]2C[NH+]3CCC2CC3)C1 ZINC001647604963 1172997165 /nfs/dbraw/zinc/99/71/65/1172997165.db2.gz PFRJINUCMQUIGZ-LSDHHAIUSA-N 0 2 323.437 0.472 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1ccc(Cn2cccn2)o1 ZINC001647997424 1173064498 /nfs/dbraw/zinc/06/44/98/1173064498.db2.gz RSDKUUHNNCJWTP-UHFFFAOYSA-N 0 2 301.262 0.171 20 0 DCADLN Cc1n[nH]c(=O)c(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1C ZINC001649792224 1173227682 /nfs/dbraw/zinc/22/76/82/1173227682.db2.gz ITOHLXJLYBYRDB-VIFPVBQESA-N 0 2 318.337 0.643 20 0 DCADLN CN(CC(N)=O)C(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC001649917325 1173304324 /nfs/dbraw/zinc/30/43/24/1173304324.db2.gz FTZYHALDCKYALT-UHFFFAOYSA-N 0 2 307.228 0.015 20 0 DCADLN Cn1c(-c2n[nH]c(Cl)n2)nnc1N1CC[C@]2(CCOC2)C1 ZINC001650266251 1173537511 /nfs/dbraw/zinc/53/75/11/1173537511.db2.gz LGXYEYCBBPWXQD-LBPRGKRZSA-N 0 2 309.761 0.870 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNc2ncnc3sccc32)[nH]1 ZINC001652275588 1173766013 /nfs/dbraw/zinc/76/60/13/1173766013.db2.gz XAWJHLPZINFVLA-UHFFFAOYSA-N 0 2 324.391 0.966 20 0 DCADLN COc1ccc(C(=O)NNC(=O)c2cn3cccnc3n2)cc1 ZINC000077539090 1174125534 /nfs/dbraw/zinc/12/55/34/1174125534.db2.gz WAOWRIFOUIKWFA-UHFFFAOYSA-N 0 2 311.301 0.813 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001668779396 1174837240 /nfs/dbraw/zinc/83/72/40/1174837240.db2.gz IUBNYDWPPHDNAK-WBSSQXGSSA-N 0 2 320.353 0.752 20 0 DCADLN C[C@@H](CO)CCCNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001681304870 1175887776 /nfs/dbraw/zinc/88/77/76/1175887776.db2.gz NSNQWSKINZSKGI-GHMZBOCLSA-N 0 2 311.386 0.808 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(N3CCOCC3)nc2)cn1 ZINC000105779023 1176060927 /nfs/dbraw/zinc/06/09/27/1176060927.db2.gz BGPIQHBIEPMGGW-UHFFFAOYSA-N 0 2 323.378 0.453 20 0 DCADLN COc1cncc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001686610403 1176170915 /nfs/dbraw/zinc/17/09/15/1176170915.db2.gz WSIWSQUQYUPSEV-QMMMGPOBSA-N 0 2 324.234 0.232 20 0 DCADLN COc1cncc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001686610403 1176170919 /nfs/dbraw/zinc/17/09/19/1176170919.db2.gz WSIWSQUQYUPSEV-QMMMGPOBSA-N 0 2 324.234 0.232 20 0 DCADLN CC(C)[N@@H+](C)CC(=O)NCCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001686700086 1176196717 /nfs/dbraw/zinc/19/67/17/1176196717.db2.gz SOAGRPFAHIJHCZ-ZDUSSCGKSA-N 0 2 321.425 0.018 20 0 DCADLN CCc1cc(C(=O)N(CC)CCCNC(=O)c2cnn[nH]2)[nH]n1 ZINC001687737873 1176285076 /nfs/dbraw/zinc/28/50/76/1176285076.db2.gz NPCAUZDFOOOBBY-UHFFFAOYSA-N 0 2 319.369 0.372 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1csc(-c2ccncc2)n1 ZINC000122113843 1177033069 /nfs/dbraw/zinc/03/30/69/1177033069.db2.gz ZMTOBFRUQADRSO-UHFFFAOYSA-N 0 2 302.319 0.547 20 0 DCADLN Cc1[nH]nc(C(=O)NCCC[N@@H+](C)CCNC(=O)C2CC2)c1[O-] ZINC001712941389 1180570524 /nfs/dbraw/zinc/57/05/24/1180570524.db2.gz DNQNRGMSECEFPQ-UHFFFAOYSA-N 0 2 323.397 0.002 20 0 DCADLN Cc1[nH]nc(C(=O)NCCC[N@H+](C)CCNC(=O)C2CC2)c1[O-] ZINC001712941389 1180570529 /nfs/dbraw/zinc/57/05/29/1180570529.db2.gz DNQNRGMSECEFPQ-UHFFFAOYSA-N 0 2 323.397 0.002 20 0 DCADLN CC/C=C\CC[NH+]1CC(NC(=O)CCCn2c(=O)[n-][nH]c2=O)C1 ZINC001713827572 1181101032 /nfs/dbraw/zinc/10/10/32/1181101032.db2.gz ZBCKPXLPDXPOTL-ARJAWSKDSA-N 0 2 323.397 0.626 20 0 DCADLN CC(C)(C)/C=C/C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001715277749 1181750435 /nfs/dbraw/zinc/75/04/35/1181750435.db2.gz LKVOAUBSKZSEMK-SGUJLRQBSA-N 0 2 323.397 0.430 20 0 DCADLN C/C=C(/C)C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001716648496 1182478878 /nfs/dbraw/zinc/47/88/78/1182478878.db2.gz QYWZHDGHWCWFEX-WVVNNJFASA-N 0 2 315.377 0.679 20 0 DCADLN CCOCCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001720242302 1183526080 /nfs/dbraw/zinc/52/60/80/1183526080.db2.gz FVBPBZWGFCVTMB-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN CCOCCC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720242302 1183526082 /nfs/dbraw/zinc/52/60/82/1183526082.db2.gz FVBPBZWGFCVTMB-SECBINFHSA-N 0 2 302.268 0.936 20 0 DCADLN CCN(C(=O)CC(N)=O)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001739258938 1187770272 /nfs/dbraw/zinc/77/02/72/1187770272.db2.gz CVPIMLKBWOSOLV-HZGVNTEJSA-N 0 2 315.267 0.115 20 0 DCADLN CCN(C(=O)CC(N)=O)[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001739258938 1187770274 /nfs/dbraw/zinc/77/02/74/1187770274.db2.gz CVPIMLKBWOSOLV-HZGVNTEJSA-N 0 2 315.267 0.115 20 0 DCADLN O=C(NCCNC(=O)C1CCOCC1)C(F)C(F)(F)F ZINC001740458986 1187905750 /nfs/dbraw/zinc/90/57/50/1187905750.db2.gz VBYWHYWECAODCN-MRVPVSSYSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCNC(=O)C1CCOCC1)[C@@H](F)C(F)(F)F ZINC001740458986 1187905751 /nfs/dbraw/zinc/90/57/51/1187905751.db2.gz VBYWHYWECAODCN-MRVPVSSYSA-N 0 2 300.252 0.546 20 0 DCADLN CC(C)OCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001740484132 1187921569 /nfs/dbraw/zinc/92/15/69/1187921569.db2.gz UIYFJMPAOWAZBV-VIFPVBQESA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)OCCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001740484132 1187921571 /nfs/dbraw/zinc/92/15/71/1187921571.db2.gz UIYFJMPAOWAZBV-VIFPVBQESA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001752817162 1188444956 /nfs/dbraw/zinc/44/49/56/1188444956.db2.gz VHHHRGIWHYSCSJ-JTQLQIEISA-N 0 2 323.397 0.596 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc2occc2[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001753082491 1188493828 /nfs/dbraw/zinc/49/38/28/1188493828.db2.gz JNNFIWSPTAHPMD-UHFFFAOYSA-N 0 2 318.337 0.837 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc2occc2[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001753082491 1188493830 /nfs/dbraw/zinc/49/38/30/1188493830.db2.gz JNNFIWSPTAHPMD-UHFFFAOYSA-N 0 2 318.337 0.837 20 0 DCADLN C/C(=C\C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001754667811 1188900548 /nfs/dbraw/zinc/90/05/48/1188900548.db2.gz YRNHGVCRUMAYRI-VSGCLNPGSA-N 0 2 305.382 0.947 20 0 DCADLN C/C(=C\C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001754667811 1188900550 /nfs/dbraw/zinc/90/05/50/1188900550.db2.gz YRNHGVCRUMAYRI-VSGCLNPGSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCC1CCOCC1 ZINC001771604842 1190448117 /nfs/dbraw/zinc/44/81/17/1190448117.db2.gz QYMALFFYVWSAPB-UHFFFAOYSA-N 0 2 318.377 0.806 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001771709580 1190474565 /nfs/dbraw/zinc/47/45/65/1190474565.db2.gz NRHXYZHAKIFSDA-NKWVEPMBSA-N 0 2 306.281 0.414 20 0 DCADLN NS(=O)(=O)C[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001771709580 1190474567 /nfs/dbraw/zinc/47/45/67/1190474567.db2.gz NRHXYZHAKIFSDA-NKWVEPMBSA-N 0 2 306.281 0.414 20 0 DCADLN COc1ccc(Cn2cc(C[NH2+]C[C@H](F)C(=O)[O-])nn2)cc1 ZINC001771910323 1190550685 /nfs/dbraw/zinc/55/06/85/1190550685.db2.gz ZAVLFJZELRNYSQ-ZDUSSCGKSA-N 0 2 308.313 0.847 20 0 DCADLN CN1CCN(C(=O)c2ncc(Br)cc2O)CC1 ZINC001361710398 958242513 /nfs/dbraw/zinc/24/25/13/958242513.db2.gz QMIQLCHAAJGLLM-UHFFFAOYSA-N 0 2 300.156 0.937 20 0 DCADLN Cc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)n1C ZINC001042474084 751676164 /nfs/dbraw/zinc/67/61/64/751676164.db2.gz ILNDDIKLLIOZDA-UHFFFAOYSA-N 0 2 304.354 0.114 20 0 DCADLN Cc1nc(C)c(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001042570812 751754429 /nfs/dbraw/zinc/75/44/29/751754429.db2.gz LGFZBVVGDKCZNX-UHFFFAOYSA-N 0 2 306.326 0.072 20 0 DCADLN Cc1cc(C)c(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001042591634 751764372 /nfs/dbraw/zinc/76/43/72/751764372.db2.gz WLPQKLLVFWACST-UHFFFAOYSA-N 0 2 305.338 0.677 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nc(C(C)C)no2)c1[O-] ZINC001125734106 747542561 /nfs/dbraw/zinc/54/25/61/747542561.db2.gz FNNSTMSCLYEPCO-UHFFFAOYSA-N 0 2 308.342 0.450 20 0 DCADLN C[C@@]1(CNC(=O)CC2CC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107682853 752212885 /nfs/dbraw/zinc/21/28/85/752212885.db2.gz ZJJKMBWNIUWZRZ-CQSZACIVSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)[C@@H](CNC(=O)c1nnc[n-]1)Nc1[nH+]cnc2nc[nH]c21 ZINC001105211821 748061423 /nfs/dbraw/zinc/06/14/23/748061423.db2.gz OHUWQSCTEVFTDD-MRVPVSSYSA-N 0 2 315.341 0.338 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071475736 761891475 /nfs/dbraw/zinc/89/14/75/761891475.db2.gz BEVVVMXXFADZGM-GHMZBOCLSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001071475736 761891486 /nfs/dbraw/zinc/89/14/86/761891486.db2.gz BEVVVMXXFADZGM-GHMZBOCLSA-N 0 2 318.381 0.930 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccsn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087618124 748997669 /nfs/dbraw/zinc/99/76/69/748997669.db2.gz CLCLZGLDXPZWAH-WCBMZHEXSA-N 0 2 322.394 0.750 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2ccsn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087618124 748997672 /nfs/dbraw/zinc/99/76/72/748997672.db2.gz CLCLZGLDXPZWAH-WCBMZHEXSA-N 0 2 322.394 0.750 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)C(C)(C)[NH+]2CCCC2)CC[N@@H+]1CC(=O)NC ZINC001087684029 749018049 /nfs/dbraw/zinc/01/80/49/749018049.db2.gz ASLAKDXIAYQRMH-UONOGXRCSA-N 0 2 324.469 0.576 20 0 DCADLN O=c1nc2ncsc2c(OC2CCS(=O)(=O)CC2)[nH]1 ZINC001227608278 749269388 /nfs/dbraw/zinc/26/93/88/749269388.db2.gz XUEUAPZLNLVLPW-UHFFFAOYSA-N 0 2 301.349 0.748 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2cccs2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088449087 749453495 /nfs/dbraw/zinc/45/34/95/749453495.db2.gz RUIGRJAFJGBEPK-KOLCDFICSA-N 0 2 321.406 0.894 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2cccs2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088449087 749453501 /nfs/dbraw/zinc/45/35/01/749453501.db2.gz RUIGRJAFJGBEPK-KOLCDFICSA-N 0 2 321.406 0.894 20 0 DCADLN CCc1ocnc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088499721 749514232 /nfs/dbraw/zinc/51/42/32/749514232.db2.gz QPARSKLSBCIDDT-BDAKNGLRSA-N 0 2 320.353 0.454 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CC(F)(F)C2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088514818 749539498 /nfs/dbraw/zinc/53/94/98/749539498.db2.gz MHRFFIDVZKVQFJ-APPZFPTMSA-N 0 2 315.324 0.635 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CC(F)(F)C2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088514818 749539502 /nfs/dbraw/zinc/53/95/02/749539502.db2.gz MHRFFIDVZKVQFJ-APPZFPTMSA-N 0 2 315.324 0.635 20 0 DCADLN Cc1nc(NC[C@H](O)CNC(=O)[C@@H](C2CC2)[NH+](C)C)cc[nH+]1 ZINC001105995229 750632116 /nfs/dbraw/zinc/63/21/16/750632116.db2.gz QCSVIDGAJOFFNF-GXTWGEPZSA-N 0 2 307.398 0.014 20 0 DCADLN CCn1cccc1C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001089042316 750776376 /nfs/dbraw/zinc/77/63/76/750776376.db2.gz SERKDJBYUVQARX-MNOVXSKESA-N 0 2 318.381 0.725 20 0 DCADLN CCn1cccc1C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001089042316 750776380 /nfs/dbraw/zinc/77/63/80/750776380.db2.gz SERKDJBYUVQARX-MNOVXSKESA-N 0 2 318.381 0.725 20 0 DCADLN O=C(NC[C@@H](O)CNc1nccnc1F)C(F)C(F)(F)F ZINC001106144585 750983466 /nfs/dbraw/zinc/98/34/66/750983466.db2.gz WBWBVWHAJZUGQO-WDSKDSINSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](O)CNc1nccnc1F)[C@H](F)C(F)(F)F ZINC001106144585 750983472 /nfs/dbraw/zinc/98/34/72/750983472.db2.gz WBWBVWHAJZUGQO-WDSKDSINSA-N 0 2 314.214 0.405 20 0 DCADLN C[C@H]1CCC[C@@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043696233 752353534 /nfs/dbraw/zinc/35/35/34/752353534.db2.gz IDLXURQXQFPTCW-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@]1(CNC(=O)/C=C\C2CC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107841576 752408676 /nfs/dbraw/zinc/40/86/76/752408676.db2.gz PIQWCBYHNMBHFA-FOSCPCJNSA-N 0 2 321.381 0.184 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1c1ccco1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043939687 752484307 /nfs/dbraw/zinc/48/43/07/752484307.db2.gz VGBMASXXNUZLJX-GHMZBOCLSA-N 0 2 317.349 0.550 20 0 DCADLN CC1(C(=O)NC[C@@]2(C)CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001107959057 752604829 /nfs/dbraw/zinc/60/48/29/752604829.db2.gz DCKOWSPTKPCPLU-AWEZNQCLSA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2n[nH]cc2F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071800255 762145796 /nfs/dbraw/zinc/14/57/96/762145796.db2.gz TWPBZCPGBIZQKO-HTQZYQBOSA-N 0 2 323.332 0.155 20 0 DCADLN C[C@@H](CCNC(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001077992550 752963604 /nfs/dbraw/zinc/96/36/04/752963604.db2.gz GPAAGUYWCAALRH-CAHLUQPWSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@@H](CCNC(=O)c1cnon1)NC(=O)[C@@H](F)C(F)(F)F ZINC001077992550 752963612 /nfs/dbraw/zinc/96/36/12/752963612.db2.gz GPAAGUYWCAALRH-CAHLUQPWSA-N 0 2 312.223 0.595 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046128602 753496366 /nfs/dbraw/zinc/49/63/66/753496366.db2.gz OXNNCSAGCWMPNC-CQSZACIVSA-N 0 2 320.353 0.510 20 0 DCADLN C[C@]1(NC(=O)c2cncc(F)c2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046232526 753574260 /nfs/dbraw/zinc/57/42/60/753574260.db2.gz IUPWWXQLCTXTGU-AWEZNQCLSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001046269475 753603795 /nfs/dbraw/zinc/60/37/95/753603795.db2.gz LFRLGODXBIOIRF-CQSZACIVSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(CC1CCCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001090029987 753741540 /nfs/dbraw/zinc/74/15/40/753741540.db2.gz HUANDPHMOUKZRG-NEPJUHHUSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccoc1 ZINC001096803646 755523223 /nfs/dbraw/zinc/52/32/23/755523223.db2.gz ZEVFOJGEVSYDSZ-MXWKQRLJSA-N 0 2 303.322 0.639 20 0 DCADLN CC(C)C(=O)N1CCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001064869910 755549416 /nfs/dbraw/zinc/54/94/16/755549416.db2.gz FMHIJELSECOGSD-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)N1CCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001064869910 755549422 /nfs/dbraw/zinc/54/94/22/755549422.db2.gz FMHIJELSECOGSD-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)o1 ZINC001079390369 755807816 /nfs/dbraw/zinc/80/78/16/755807816.db2.gz JELVCGMLJFJVRV-PSASIEDQSA-N 0 2 305.338 0.662 20 0 DCADLN Cc1cnccc1C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079670643 755953661 /nfs/dbraw/zinc/95/36/61/755953661.db2.gz DZKUJBPXBWAYAE-ZYHUDNBSSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1cnccc1C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001079670643 755953664 /nfs/dbraw/zinc/95/36/64/755953664.db2.gz DZKUJBPXBWAYAE-ZYHUDNBSSA-N 0 2 316.365 0.464 20 0 DCADLN O=C(CC1CCC1)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053202874 755955099 /nfs/dbraw/zinc/95/50/99/755955099.db2.gz LGVXZZOFHJCBFW-UHFFFAOYSA-N 0 2 321.381 0.114 20 0 DCADLN C[C@H](O)CN1CC2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC001053263086 755979386 /nfs/dbraw/zinc/97/93/86/755979386.db2.gz IGNIWDGMLJLIET-DTWKUNHWSA-N 0 2 314.279 0.181 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@H]1CCC1(F)F ZINC001079937056 756060301 /nfs/dbraw/zinc/06/03/01/756060301.db2.gz NVTOIFCCDIEDEN-IWSPIJDZSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCC1(F)F ZINC001079937056 756060306 /nfs/dbraw/zinc/06/03/06/756060306.db2.gz NVTOIFCCDIEDEN-IWSPIJDZSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCC1(F)F ZINC001079937056 756060311 /nfs/dbraw/zinc/06/03/11/756060311.db2.gz NVTOIFCCDIEDEN-IWSPIJDZSA-N 0 2 315.324 0.492 20 0 DCADLN O=C(C=C1CCC1)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053774799 756197337 /nfs/dbraw/zinc/19/73/37/756197337.db2.gz KAZWLMCQIBDDQM-NSHDSACASA-N 0 2 319.365 0.080 20 0 DCADLN CC(=O)NC1(CO)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001080657922 756282891 /nfs/dbraw/zinc/28/28/91/756282891.db2.gz WDRXKMRGTZGGTO-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)NC1(CO)CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001080657922 756282894 /nfs/dbraw/zinc/28/28/94/756282894.db2.gz WDRXKMRGTZGGTO-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN CCC[N@@H+](C)CC(=O)NC[C@@H](C)Nc1ncnc2[nH]cnc21 ZINC001097989625 756785604 /nfs/dbraw/zinc/78/56/04/756785604.db2.gz BXDOEXUHYPDIJA-SNVBAGLBSA-N 0 2 305.386 0.611 20 0 DCADLN Cc1ncoc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084258199 757761737 /nfs/dbraw/zinc/76/17/37/757761737.db2.gz BAHLPIDJMPJREY-NXEZZACHSA-N 0 2 318.337 0.153 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)O1 ZINC001084673698 757962231 /nfs/dbraw/zinc/96/22/31/757962231.db2.gz NGHQMXLNSFUBDB-DDHJBXDOSA-N 0 2 321.381 0.111 20 0 DCADLN O=C(Cc1ccco1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084734449 758000550 /nfs/dbraw/zinc/00/05/50/758000550.db2.gz PFDNDKDRMFLHDZ-ZYHUDNBSSA-N 0 2 317.349 0.379 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001065237144 758301286 /nfs/dbraw/zinc/30/12/86/758301286.db2.gz UWHIACUKKYZINM-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001065237144 758301297 /nfs/dbraw/zinc/30/12/97/758301297.db2.gz UWHIACUKKYZINM-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001085471637 758919634 /nfs/dbraw/zinc/91/96/34/758919634.db2.gz UHWNSBTXNJLKNB-JTQLQIEISA-N 0 2 305.338 0.758 20 0 DCADLN Cc1cccc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001085490002 758939202 /nfs/dbraw/zinc/93/92/02/758939202.db2.gz MQIQPWRYESWYJL-NSHDSACASA-N 0 2 316.365 0.560 20 0 DCADLN CCc1nc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001085555157 759035940 /nfs/dbraw/zinc/03/59/40/759035940.db2.gz MXHULTVXIYHVEQ-VIFPVBQESA-N 0 2 320.353 0.407 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1csc(=O)[nH]1 ZINC001085774550 759294750 /nfs/dbraw/zinc/29/47/50/759294750.db2.gz GAIUGXMBOLHNKB-SSDOTTSWSA-N 0 2 324.366 0.019 20 0 DCADLN COc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001085892005 759447639 /nfs/dbraw/zinc/44/76/39/759447639.db2.gz NVFLQRMGUGRKSW-VIFPVBQESA-N 0 2 321.337 0.458 20 0 DCADLN CCc1nc[nH]c1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085951015 759504012 /nfs/dbraw/zinc/50/40/12/759504012.db2.gz SUUFZAHWEOJHPI-VIFPVBQESA-N 0 2 319.369 0.142 20 0 DCADLN O=[P@@]([O-])(O)c1ccc(NCc2ncn3c2C[NH2+]CCC3)cc1 ZINC001169245616 760612750 /nfs/dbraw/zinc/61/27/50/760612750.db2.gz WXWOYBSPTMRTGD-UHFFFAOYSA-N 0 2 322.305 0.792 20 0 DCADLN O=[P@]([O-])(O)c1ccc(NCc2ncn3c2C[NH2+]CCC3)cc1 ZINC001169245616 760612759 /nfs/dbraw/zinc/61/27/59/760612759.db2.gz WXWOYBSPTMRTGD-UHFFFAOYSA-N 0 2 322.305 0.792 20 0 DCADLN CCOC(=O)C1(Nc2cc3c(nn2)C[NH2+]C3)CC[NH+](C)CC1 ZINC001169490998 760707583 /nfs/dbraw/zinc/70/75/83/760707583.db2.gz CULSKQHDYICJHL-UHFFFAOYSA-N 0 2 305.382 0.519 20 0 DCADLN Cc1n[nH]c(C(=O)NCc2cnn3c2C[N@H+](C(C)C)CC3)c1[O-] ZINC001069857931 760905546 /nfs/dbraw/zinc/90/55/46/760905546.db2.gz UMXYTVVMTOENDJ-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN Cc1n[nH]c(C(=O)NCc2cnn3c2C[N@@H+](C(C)C)CC3)c1[O-] ZINC001069857931 760905552 /nfs/dbraw/zinc/90/55/52/760905552.db2.gz UMXYTVVMTOENDJ-UHFFFAOYSA-N 0 2 318.381 0.774 20 0 DCADLN CCCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109032082 761208953 /nfs/dbraw/zinc/20/89/53/761208953.db2.gz WHYILOSOTGGDLK-VWYCJHECSA-N 0 2 309.370 0.158 20 0 DCADLN CC[NH+](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001109864501 761584672 /nfs/dbraw/zinc/58/46/72/761584672.db2.gz FSLNCUJKYMMHAQ-ILXRZTDVSA-N 0 2 324.469 0.574 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cn(C)cn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071434539 761852640 /nfs/dbraw/zinc/85/26/40/761852640.db2.gz IXKIXBSRKFWFMR-NXEZZACHSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001071464246 761880847 /nfs/dbraw/zinc/88/08/47/761880847.db2.gz ZIMPQNJCJZGJDC-WPRPVWTQSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(NC[C@H]1COCCN1c1ncccn1)C(F)C(F)(F)F ZINC001111724337 765368150 /nfs/dbraw/zinc/36/81/50/765368150.db2.gz OEVGZGIOAMYFFZ-DTWKUNHWSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NC[C@H]1COCCN1c1ncccn1)[C@@H](F)C(F)(F)F ZINC001111724337 765368160 /nfs/dbraw/zinc/36/81/60/765368160.db2.gz OEVGZGIOAMYFFZ-DTWKUNHWSA-N 0 2 322.262 0.698 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@H]1CCO[C@H]1c1ccncc1 ZINC001118270763 766274565 /nfs/dbraw/zinc/27/45/65/766274565.db2.gz BQYXADPMBIEUIR-YPMHNXCESA-N 0 2 317.349 0.732 20 0 DCADLN C[N@H+](CC(=O)N1CCOCC1)Cc1ccc(/C=C\C(=O)[O-])o1 ZINC001119531650 766716774 /nfs/dbraw/zinc/71/67/74/766716774.db2.gz MNCVXRUQXYVQOP-PLNGDYQASA-N 0 2 308.334 0.668 20 0 DCADLN C[N@@H+](CC(=O)N1CCOCC1)Cc1ccc(/C=C\C(=O)[O-])o1 ZINC001119531650 766716781 /nfs/dbraw/zinc/71/67/81/766716781.db2.gz MNCVXRUQXYVQOP-PLNGDYQASA-N 0 2 308.334 0.668 20 0 DCADLN O=C(Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12)c1cc[nH]n1 ZINC001146839111 767549788 /nfs/dbraw/zinc/54/97/88/767549788.db2.gz CRJMXTIXSWWOTA-UHFFFAOYSA-N 0 2 323.272 0.949 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCCCCC(=O)[O-])[C@H](C)CO1 ZINC001121841974 767647530 /nfs/dbraw/zinc/64/75/30/767647530.db2.gz ZVGQARABGJLWGT-VXGBXAGGSA-N 0 2 301.387 0.650 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCCCCC(=O)[O-])[C@H](C)CO1 ZINC001121841974 767647534 /nfs/dbraw/zinc/64/75/34/767647534.db2.gz ZVGQARABGJLWGT-VXGBXAGGSA-N 0 2 301.387 0.650 20 0 DCADLN CN1CCN(C(=O)[C@@H]2Cc3ccccc3C[NH2+]2)CC[N@H+](C)CC1 ZINC001122290922 767760950 /nfs/dbraw/zinc/76/09/50/767760950.db2.gz MLESZOKXFDRNHG-KRWDZBQOSA-N 0 2 316.449 0.407 20 0 DCADLN CCCC(=O)N1CCC(OC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001093499377 768580108 /nfs/dbraw/zinc/58/01/08/768580108.db2.gz QHMWQMREYUNBBV-UHFFFAOYSA-N 0 2 323.397 0.502 20 0 DCADLN O=Cc1cccc(OCC(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001149283729 768723230 /nfs/dbraw/zinc/72/32/30/768723230.db2.gz FBYWOOIZMPUUNP-UHFFFAOYSA-N 0 2 303.274 0.396 20 0 DCADLN Cc1nc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccc2c(=O)[nH]1 ZINC001149296290 768727395 /nfs/dbraw/zinc/72/73/95/768727395.db2.gz DGBIAJJQLCRKGG-UHFFFAOYSA-N 0 2 300.278 0.398 20 0 DCADLN C/C(=C\C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001230957973 769141307 /nfs/dbraw/zinc/14/13/07/769141307.db2.gz KJLMQAJMNRRRHS-PMDBQALLSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1cc(CC(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001231335540 769228557 /nfs/dbraw/zinc/22/85/57/769228557.db2.gz UXKIODNSWUPPGH-NSHDSACASA-N 0 2 320.353 0.082 20 0 DCADLN COc1ccc(C[N@@H+]2CCN3C(=O)COC[C@@H]3C2)cc1C(=O)[O-] ZINC001231754462 769281032 /nfs/dbraw/zinc/28/10/32/769281032.db2.gz YZKJKQCMOCVDBO-LBPRGKRZSA-N 0 2 320.345 0.436 20 0 DCADLN COc1ccc(C[N@H+]2CCN3C(=O)COC[C@@H]3C2)cc1C(=O)[O-] ZINC001231754462 769281035 /nfs/dbraw/zinc/28/10/35/769281035.db2.gz YZKJKQCMOCVDBO-LBPRGKRZSA-N 0 2 320.345 0.436 20 0 DCADLN C[C@@H]1Oc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccc2NC1=O ZINC001151209517 769290790 /nfs/dbraw/zinc/29/07/90/769290790.db2.gz KUGWWUXXEOVLIR-LURJTMIESA-N 0 2 303.278 0.160 20 0 DCADLN COC1(CC(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001233956227 769507217 /nfs/dbraw/zinc/50/72/17/769507217.db2.gz BSEZPHRGJICNPL-NSHDSACASA-N 0 2 323.397 0.502 20 0 DCADLN CN(C[C@H]1CCN1Cc1nncn1C)C(=O)C(F)C(F)(F)F ZINC001234426555 769655238 /nfs/dbraw/zinc/65/52/38/769655238.db2.gz WNNFOLPZZWLVQU-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@H]1CCN1Cc1nncn1C)C(=O)[C@H](F)C(F)(F)F ZINC001234426555 769655244 /nfs/dbraw/zinc/65/52/44/769655244.db2.gz WNNFOLPZZWLVQU-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN COC(=O)N1CCN(C(=O)c2c[nH]c3cccnc3c2=O)CC1 ZINC001153854394 769962890 /nfs/dbraw/zinc/96/28/90/769962890.db2.gz YUOKBQAVJOTLKF-UHFFFAOYSA-N 0 2 316.317 0.447 20 0 DCADLN C[C@]1(CO)CN(C(=O)c2c[nH]c3cccnc3c2=O)CC[C@H]1O ZINC001153856021 769965206 /nfs/dbraw/zinc/96/52/06/769965206.db2.gz GZKUJNUOZYOIOY-MLGOLLRUSA-N 0 2 317.345 0.129 20 0 DCADLN C[C@]1(CO)CCN(C(=O)c2c[nH]c3cccnc3c2=O)C[C@H]1O ZINC001153855660 769965585 /nfs/dbraw/zinc/96/55/85/769965585.db2.gz BTOOAMVVEMVJEF-MLGOLLRUSA-N 0 2 317.345 0.129 20 0 DCADLN C[C@H](CCCC[NH2+]Cc1cn(C)nn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001175874890 769984495 /nfs/dbraw/zinc/98/44/95/769984495.db2.gz WXVSRQQGTDEANU-GFCCVEGCSA-N 0 2 319.413 0.546 20 0 DCADLN Nc1cc(F)ccc1S(=O)(=O)Nc1cnn(CCO)c1 ZINC001176479998 770112660 /nfs/dbraw/zinc/11/26/60/770112660.db2.gz YBCMYONAJHWRQS-UHFFFAOYSA-N 0 2 300.315 0.398 20 0 DCADLN CCOC(=O)c1[nH]cnc1NC(=O)c1cnn2[nH]c(C)nc12 ZINC001154659412 770257674 /nfs/dbraw/zinc/25/76/74/770257674.db2.gz YPYGZFPBAMFKFU-UHFFFAOYSA-N 0 2 303.282 0.518 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnn2ccc(Cl)nc12 ZINC001154781825 770293213 /nfs/dbraw/zinc/29/32/13/770293213.db2.gz XDTCKRYUHXFTCA-SECBINFHSA-N 0 2 311.710 0.422 20 0 DCADLN O=C(CSc1n[n-]c(=S)s1)N1CC[N@H+]2CC[C@H]2C1 ZINC001177343643 770416039 /nfs/dbraw/zinc/41/60/39/770416039.db2.gz DAJJWLFEPOJVKW-ZETCQYMHSA-N 0 2 302.450 0.835 20 0 DCADLN O=C(CSc1n[n-]c(=S)s1)N1CC[N@@H+]2CC[C@H]2C1 ZINC001177343643 770416034 /nfs/dbraw/zinc/41/60/34/770416034.db2.gz DAJJWLFEPOJVKW-ZETCQYMHSA-N 0 2 302.450 0.835 20 0 DCADLN CN1C(=O)CS/C1=C/C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001155754753 770610453 /nfs/dbraw/zinc/61/04/53/770610453.db2.gz LQHJTZHLRHELLX-ITXLNXHTSA-N 0 2 323.378 0.263 20 0 DCADLN CC(C)(C)OC(=O)N1CC(F)(C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC001178554503 770795726 /nfs/dbraw/zinc/79/57/26/770795726.db2.gz GGLPSTCLEHSANE-UHFFFAOYSA-N 0 2 315.305 0.086 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cccc2c1OCCCO2 ZINC001156715612 770904094 /nfs/dbraw/zinc/90/40/94/770904094.db2.gz ZHUKZADXZHSZJI-LBPRGKRZSA-N 0 2 308.315 0.887 20 0 DCADLN CCCOc1ccc([C@H](O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001180839052 771184848 /nfs/dbraw/zinc/18/48/48/771184848.db2.gz HDPDSDHAKZPSRW-LBPRGKRZSA-N 0 2 306.322 0.649 20 0 DCADLN CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110318230 771511692 /nfs/dbraw/zinc/51/16/92/771511692.db2.gz JJXAXUYHLAVYOW-ZDCRXTMVSA-N 0 2 309.370 0.157 20 0 DCADLN CC(C)[N@@H+]1CC[C@H]1C(=O)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001182851223 771570417 /nfs/dbraw/zinc/57/04/17/771570417.db2.gz AWFNKJQIAWNTDN-NSHDSACASA-N 0 2 323.374 0.540 20 0 DCADLN CC(C)[N@H+]1CC[C@H]1C(=O)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001182851223 771570421 /nfs/dbraw/zinc/57/04/21/771570421.db2.gz AWFNKJQIAWNTDN-NSHDSACASA-N 0 2 323.374 0.540 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](C)CCN1C(=O)Cc1ccc([S-])cc1 ZINC001183191342 771617248 /nfs/dbraw/zinc/61/72/48/771617248.db2.gz YDYIBDQVXRKIQL-ZDUSSCGKSA-N 0 2 308.403 0.833 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](C)CCN1C(=O)Cc1ccc([S-])cc1 ZINC001183191342 771617250 /nfs/dbraw/zinc/61/72/50/771617250.db2.gz YDYIBDQVXRKIQL-ZDUSSCGKSA-N 0 2 308.403 0.833 20 0 DCADLN CCOC(=O)c1ncncc1NC(=O)C(CO)C(F)(F)F ZINC001183278315 771626331 /nfs/dbraw/zinc/62/63/31/771626331.db2.gz GNCBVYHAKFMZGP-ZCFIWIBFSA-N 0 2 307.228 0.763 20 0 DCADLN CCOC(=O)c1ncncc1NC(=O)[C@@H](CO)C(F)(F)F ZINC001183278315 771626333 /nfs/dbraw/zinc/62/63/33/771626333.db2.gz GNCBVYHAKFMZGP-ZCFIWIBFSA-N 0 2 307.228 0.763 20 0 DCADLN O=C1Cc2cc(S(=O)(=O)Nc3ncccc3CO)ccc2N1 ZINC001186895763 772102636 /nfs/dbraw/zinc/10/26/36/772102636.db2.gz DMZYUAZCBIHTDN-UHFFFAOYSA-N 0 2 319.342 0.869 20 0 DCADLN CCOCCS(=O)(=O)Nc1cc2n(n1)CC1(CC1)CO2 ZINC001187257386 772163853 /nfs/dbraw/zinc/16/38/53/772163853.db2.gz WAJSLAOLVOWLAD-UHFFFAOYSA-N 0 2 301.368 0.834 20 0 DCADLN Cc1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C[N@H+](C)C(C)C)C2)n1 ZINC001188139889 772270735 /nfs/dbraw/zinc/27/07/35/772270735.db2.gz ULWFWWSBEXENSL-DGCLKSJQSA-N 0 2 309.414 0.970 20 0 DCADLN CCC[C@H](OC)C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001188785530 772364496 /nfs/dbraw/zinc/36/44/96/772364496.db2.gz FOPOQNUNIRJJEF-TUAOUCFPSA-N 0 2 323.397 0.358 20 0 DCADLN CCC[C@H](OC)C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001188785530 772364502 /nfs/dbraw/zinc/36/45/02/772364502.db2.gz FOPOQNUNIRJJEF-TUAOUCFPSA-N 0 2 323.397 0.358 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1cccc(F)c1F)=C(C)O ZINC001189480630 772489800 /nfs/dbraw/zinc/48/98/00/772489800.db2.gz XJVPVHSFRUJZAU-NSHDSACASA-N 0 2 321.301 0.515 20 0 DCADLN CC(C)(C)C(=O)Nc1cnccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001189767508 772527926 /nfs/dbraw/zinc/52/79/26/772527926.db2.gz DGSXBDMEWHNJPN-UHFFFAOYSA-N 0 2 318.337 0.820 20 0 DCADLN O=c1cc(NS(=O)(=O)c2ncc(F)cc2F)[nH]c(=S)[nH]1 ZINC001190141218 772588623 /nfs/dbraw/zinc/58/86/23/772588623.db2.gz KQXHFIIQHAEMCW-UHFFFAOYSA-N 0 2 320.302 0.945 20 0 DCADLN C[NH+](CC(=O)NC1CC1)[C@@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC001190947667 772696264 /nfs/dbraw/zinc/69/62/64/772696264.db2.gz RXUZINOBEPQKKU-HUUCEWRRSA-N 0 2 322.453 0.282 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnc(Br)o1 ZINC001191725884 772811018 /nfs/dbraw/zinc/81/10/18/772811018.db2.gz OHJPWBMKSPAQRB-YFKPBYRVSA-N 0 2 306.097 0.476 20 0 DCADLN C[C@]1(CO)CN(C(=O)c2c(F)ccc(F)c2O)CC[C@H]1O ZINC001192693495 772948847 /nfs/dbraw/zinc/94/88/47/772948847.db2.gz KCUJLEQMKTVGIS-QMTHXVAHSA-N 0 2 301.289 0.876 20 0 DCADLN O=C(c1ccc(F)c(F)c1O)N1CCN2C(=O)COC[C@H]2C1 ZINC001192806711 772962490 /nfs/dbraw/zinc/96/24/90/772962490.db2.gz JBQYSJVGZSESSX-MRVPVSSYSA-N 0 2 312.272 0.354 20 0 DCADLN O=C(c1cc(F)c(O)cc1F)N1CC(=O)N(C2CC2)C(=O)C1 ZINC001192859784 772970893 /nfs/dbraw/zinc/97/08/93/772970893.db2.gz LJQUJBLCPBQUSO-UHFFFAOYSA-N 0 2 310.256 0.644 20 0 DCADLN C[N@@H+](CCNC(=O)C1CC1)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001193048877 772995093 /nfs/dbraw/zinc/99/50/93/772995093.db2.gz YAFPCGOMHTZGFU-ZDUSSCGKSA-N 0 2 319.409 0.011 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ncc(C)cc2C(=O)OC)[nH]n1 ZINC001194278816 773165729 /nfs/dbraw/zinc/16/57/29/773165729.db2.gz KJLUJOMUDNXUBV-UHFFFAOYSA-N 0 2 318.289 0.939 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2ncc(C)cc2C(=O)OC)n[nH]1 ZINC001194278816 773165730 /nfs/dbraw/zinc/16/57/30/773165730.db2.gz KJLUJOMUDNXUBV-UHFFFAOYSA-N 0 2 318.289 0.939 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1ccc(C(N)=O)cc1 ZINC001194667993 773227837 /nfs/dbraw/zinc/22/78/37/773227837.db2.gz RTUQSXPOPBONEJ-UHFFFAOYSA-N 0 2 307.331 0.990 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnn3cccnc23)cn1 ZINC001195685130 773457187 /nfs/dbraw/zinc/45/71/87/773457187.db2.gz WYUBSFOXFHNQLZ-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN Cc1nonc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001114309221 773491395 /nfs/dbraw/zinc/49/13/95/773491395.db2.gz SKQONEACPKVQFK-GOZTYBTRSA-N 0 2 322.262 0.825 20 0 DCADLN COC(=O)C(NC(=S)Nc1ccc(C(C)=O)cc1)C(=O)OC ZINC001196571140 773613209 /nfs/dbraw/zinc/61/32/09/773613209.db2.gz PMTRKKUYGNOGOW-UHFFFAOYSA-N 0 2 324.358 0.890 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3cnns3)C[C@H]21)C(F)C(F)(F)F ZINC001114310971 773630099 /nfs/dbraw/zinc/63/00/99/773630099.db2.gz FYYYZTDULFUQRI-KDXUFGMBSA-N 0 2 324.303 0.985 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCn1cccc1 ZINC001209748999 773643935 /nfs/dbraw/zinc/64/39/35/773643935.db2.gz LYDQGWPNZIAOKA-VXGBXAGGSA-N 0 2 318.381 0.339 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCn1cccc1 ZINC001209748999 773643938 /nfs/dbraw/zinc/64/39/38/773643938.db2.gz LYDQGWPNZIAOKA-VXGBXAGGSA-N 0 2 318.381 0.339 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)Cc2ccccn2)cn1C ZINC001197788840 773799806 /nfs/dbraw/zinc/79/98/06/773799806.db2.gz IKPCPBAGGOCXEW-UHFFFAOYSA-N 0 2 324.362 0.934 20 0 DCADLN Cn1[n-]nnc1=Nc1ccc(OCC[NH+]2CCOCC2)cc1F ZINC001213478275 773851258 /nfs/dbraw/zinc/85/12/58/773851258.db2.gz YLBVVUZSCAGFCY-UHFFFAOYSA-N 0 2 322.344 0.226 20 0 DCADLN COc1ccc(-c2noc(-c3c[nH]c(=O)c(=O)[nH]3)n2)cc1OC ZINC001213927781 773901951 /nfs/dbraw/zinc/90/19/51/773901951.db2.gz BEZAABQVXIHADB-UHFFFAOYSA-N 0 2 316.273 0.797 20 0 DCADLN COc1ccc(F)cc1-c1noc(-c2c[nH]c(=O)c(=O)[nH]2)n1 ZINC001213927979 773902837 /nfs/dbraw/zinc/90/28/37/773902837.db2.gz LZMRSEILYXNHPC-UHFFFAOYSA-N 0 2 304.237 0.928 20 0 DCADLN CCC(=O)NC[C@@H](NCc1n[nH]c(=O)[nH]1)c1ccccc1OC ZINC001198444842 773930282 /nfs/dbraw/zinc/93/02/82/773930282.db2.gz UZGGOBUBGILRKT-LLVKDONJSA-N 0 2 319.365 0.876 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1nccn1Cc1cccnc1 ZINC001199402676 774108467 /nfs/dbraw/zinc/10/84/67/774108467.db2.gz YMLUNZKGMJNNQT-GFCCVEGCSA-N 0 2 317.330 0.365 20 0 DCADLN COC(=O)c1cc(OC)ccc1NC(=O)[C@H]([NH3+])Cc1c[nH+]c[nH]1 ZINC001218817971 774310639 /nfs/dbraw/zinc/31/06/39/774310639.db2.gz KUGBWDRIRHHMSP-GFCCVEGCSA-N 0 2 318.333 0.713 20 0 DCADLN Cc1cccc(S(=O)(=O)Nc2ccnc(C(N)=O)c2)c1F ZINC001200675796 774388236 /nfs/dbraw/zinc/38/82/36/774388236.db2.gz HDUFNXCBYUVMOP-UHFFFAOYSA-N 0 2 309.322 0.851 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1n[nH]c2c1COCC2)C(C)C ZINC000886479474 775006057 /nfs/dbraw/zinc/00/60/57/775006057.db2.gz RNGQGECOKTVRKI-NSHDSACASA-N 0 2 303.384 0.895 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1(CCF)CC1 ZINC001110847257 775054835 /nfs/dbraw/zinc/05/48/35/775054835.db2.gz KUHOJLBHNBWDIH-MXWKQRLJSA-N 0 2 323.372 0.872 20 0 DCADLN CCC[C@@H](C)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221456797 775109251 /nfs/dbraw/zinc/10/92/51/775109251.db2.gz CFRLPNYOJAQLMI-IJLUTSLNSA-N 0 2 307.398 0.979 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110890045 775329619 /nfs/dbraw/zinc/32/96/19/775329619.db2.gz YFCLNPPIGSVSCA-WYUUTHIRSA-N 0 2 323.397 0.547 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCc1cscn1 ZINC001223562057 775500564 /nfs/dbraw/zinc/50/05/64/775500564.db2.gz FWEIILYNJHLZDM-NSHDSACASA-N 0 2 322.408 0.248 20 0 DCADLN CSC[C@H](C)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226579573 775840092 /nfs/dbraw/zinc/84/00/92/775840092.db2.gz NMHCZXQNRCHSCU-VIFPVBQESA-N 0 2 313.427 0.590 20 0 DCADLN COC(=O)[C@H]1C[C@@H](Oc2[nH]c(=O)nnc2Br)C1 ZINC001227060683 775919166 /nfs/dbraw/zinc/91/91/66/775919166.db2.gz VPUOUHWONCWKJT-SYDPRGILSA-N 0 2 304.100 0.670 20 0 DCADLN CO[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001227163221 775937788 /nfs/dbraw/zinc/93/77/88/775937788.db2.gz WZPUKLFKEZZYJZ-LBPRGKRZSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](c1ccccn1)[N@@H+]1CC[C@@H](Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227570436 775988121 /nfs/dbraw/zinc/98/81/21/775988121.db2.gz KNMHIHRNYTWPCY-NXEZZACHSA-N 0 2 303.322 0.892 20 0 DCADLN C[C@H](c1ccccn1)[N@H+]1CC[C@@H](Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227570436 775988123 /nfs/dbraw/zinc/98/81/23/775988123.db2.gz KNMHIHRNYTWPCY-NXEZZACHSA-N 0 2 303.322 0.892 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1cccs1 ZINC001230620951 776356239 /nfs/dbraw/zinc/35/62/39/776356239.db2.gz FTVMRSPTTCCZLP-SNVBAGLBSA-N 0 2 321.406 0.847 20 0 DCADLN O=C(c1ccon1)N1CC[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041949492 777600373 /nfs/dbraw/zinc/60/03/73/777600373.db2.gz JCNQTPPEZIZSND-ONGXEEELSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(c1ccon1)N1CC[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041949492 777600376 /nfs/dbraw/zinc/60/03/76/777600376.db2.gz JCNQTPPEZIZSND-ONGXEEELSA-N 0 2 318.337 0.235 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1ncc[nH]1 ZINC001583293189 1166239452 /nfs/dbraw/zinc/23/94/52/1166239452.db2.gz HHSKYFJQGSMZSQ-QOHHWTFISA-N 0 2 308.235 0.712 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1ncc[nH]1 ZINC001583293189 1166239458 /nfs/dbraw/zinc/23/94/58/1166239458.db2.gz HHSKYFJQGSMZSQ-QOHHWTFISA-N 0 2 308.235 0.712 20 0 DCADLN O=S(=O)(NCc1cnc[nH]1)c1ccc(-c2nn[nH]n2)s1 ZINC001593443403 1167842832 /nfs/dbraw/zinc/84/28/32/1167842832.db2.gz VOJCVYRNRREGFU-UHFFFAOYSA-N 0 2 311.352 0.130 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)N2CCn3c[nH+]cc3C2)CCCC1 ZINC001601713704 1168826304 /nfs/dbraw/zinc/82/63/04/1168826304.db2.gz WPGXDOJFXFQCRP-UHFFFAOYSA-N 0 2 313.379 0.674 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@H+]1[C@H]1CCC2(C[C@@H]1F)OCCO2 ZINC001601965477 1168950516 /nfs/dbraw/zinc/95/05/16/1168950516.db2.gz KMCPWGZSKMNYAN-WOPDTQHZSA-N 0 2 303.330 0.796 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001269221658 940652285 /nfs/dbraw/zinc/65/22/85/940652285.db2.gz ASTIPBJPIVHRNC-PGLGOXFNSA-N 0 2 302.338 0.242 20 0 DCADLN C[C@@H](CNC(=O)CCc1ccon1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409592941 942073702 /nfs/dbraw/zinc/07/37/02/942073702.db2.gz VEPDDVUMZGDUON-VIFPVBQESA-N 0 2 308.342 0.068 20 0 DCADLN C[C@@H](CNC(=O)CCc1ccon1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409592941 942073707 /nfs/dbraw/zinc/07/37/07/942073707.db2.gz VEPDDVUMZGDUON-VIFPVBQESA-N 0 2 308.342 0.068 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483325926 942267760 /nfs/dbraw/zinc/26/77/60/942267760.db2.gz ODCOLVBVXVFPSD-DTWKUNHWSA-N 0 2 301.416 0.588 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001483325926 942267763 /nfs/dbraw/zinc/26/77/63/942267763.db2.gz ODCOLVBVXVFPSD-DTWKUNHWSA-N 0 2 301.416 0.588 20 0 DCADLN O=C(CCc1ccccc1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001483698209 942701584 /nfs/dbraw/zinc/70/15/84/942701584.db2.gz YYXLKXJRIGBUNZ-ZDUSSCGKSA-N 0 2 315.377 0.834 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@H](C)c1ncn(C)n1 ZINC001365384205 942770320 /nfs/dbraw/zinc/77/03/20/942770320.db2.gz XGZJDCHEOLJYDF-MRVPVSSYSA-N 0 2 309.417 0.218 20 0 DCADLN CCc1nnc(CNS(=O)(=O)N=S(=O)(CC)CC)[nH]1 ZINC001365709253 942879029 /nfs/dbraw/zinc/87/90/29/942879029.db2.gz LRNHOUCCYUTVRB-UHFFFAOYSA-N 0 2 309.417 0.209 20 0 DCADLN C[C@@H](CC(N)=O)C(=O)Nc1nccc(Br)c1O ZINC001413373258 942935413 /nfs/dbraw/zinc/93/54/13/942935413.db2.gz DADQLNYYZAHPSL-YFKPBYRVSA-N 0 2 302.128 1.000 20 0 DCADLN CO[C@](C)(CO)CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC001413388218 942944969 /nfs/dbraw/zinc/94/49/69/942944969.db2.gz QQTVWJIZCTXPSJ-YPMLDQLKSA-N 0 2 316.445 0.115 20 0 DCADLN NC(=O)[C@@H]1C[C@@H]1C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001413406548 942956485 /nfs/dbraw/zinc/95/64/85/942956485.db2.gz FZCGNSRQOXRONZ-OUAUKWLOSA-N 0 2 315.333 0.032 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2ncn(C)c2Cl)n1 ZINC001413435229 942978773 /nfs/dbraw/zinc/97/87/73/942978773.db2.gz HDUZSLXVQBYMHB-UHFFFAOYSA-N 0 2 305.751 0.442 20 0 DCADLN Cn1cc(CN2CC[C@](C)(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001484045487 943109392 /nfs/dbraw/zinc/10/93/92/943109392.db2.gz SPRYZLMFNKSZLQ-ONGXEEELSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CC[C@](C)(NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001484045487 943109398 /nfs/dbraw/zinc/10/93/98/943109398.db2.gz SPRYZLMFNKSZLQ-ONGXEEELSA-N 0 2 323.294 0.796 20 0 DCADLN CC(C)[NH+](C)CC(=O)N[C@]1(C)CC[N@H+](Cc2cn(C)nn2)C1 ZINC001484070393 943130618 /nfs/dbraw/zinc/13/06/18/943130618.db2.gz FMNFXFQCZWBCTD-OAHLLOKOSA-N 0 2 308.430 0.236 20 0 DCADLN CCCSCC(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485281371 943785927 /nfs/dbraw/zinc/78/59/27/943785927.db2.gz OAAINMDTRGRJPB-VIFPVBQESA-N 0 2 301.416 0.590 20 0 DCADLN CCCSCC(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485281371 943785928 /nfs/dbraw/zinc/78/59/28/943785928.db2.gz OAAINMDTRGRJPB-VIFPVBQESA-N 0 2 301.416 0.590 20 0 DCADLN C[C@H](NC(=O)CC(F)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485498986 943966309 /nfs/dbraw/zinc/96/63/09/943966309.db2.gz PUFWRYNYMZVVRV-LURJTMIESA-N 0 2 307.276 0.399 20 0 DCADLN COCCCC(=O)N[C@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001485560269 944005537 /nfs/dbraw/zinc/00/55/37/944005537.db2.gz CQURECKAXISQJD-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN COCCCC(=O)N[C@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001485560269 944005547 /nfs/dbraw/zinc/00/55/47/944005547.db2.gz CQURECKAXISQJD-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CO[C@H](C)CC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001485661238 944064865 /nfs/dbraw/zinc/06/48/65/944064865.db2.gz SMVMEKAXKPYWNL-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CO[C@H](C)CC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001485661238 944064871 /nfs/dbraw/zinc/06/48/71/944064871.db2.gz SMVMEKAXKPYWNL-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@@H](NC(=O)[C@H](C)C1CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409928220 944192508 /nfs/dbraw/zinc/19/25/08/944192508.db2.gz TZYHEIPDPYVITC-NXEZZACHSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(CCc1nc2ccccc2c(=O)[nH]1)NCc1n[nH]c(=O)[nH]1 ZINC001319067185 945490998 /nfs/dbraw/zinc/49/09/98/945490998.db2.gz YMZVNTYPCHLYJT-UHFFFAOYSA-N 0 2 314.305 0.408 20 0 DCADLN CC(=O)Nc1nc(C)c(S(=O)(=O)Nc2nnc(C)o2)s1 ZINC001250749854 945672739 /nfs/dbraw/zinc/67/27/39/945672739.db2.gz QTWLJSDOOQMIQM-UHFFFAOYSA-N 0 2 317.352 0.902 20 0 DCADLN COCCOCCCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC001251649351 945768602 /nfs/dbraw/zinc/76/86/02/945768602.db2.gz KRSOUYYTCSBJBU-UHFFFAOYSA-N 0 2 322.365 0.043 20 0 DCADLN CO[C@H](C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)C1CC1 ZINC001431238537 945907180 /nfs/dbraw/zinc/90/71/80/945907180.db2.gz QVSCQSXTLJUONC-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@H](C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001431238537 945907188 /nfs/dbraw/zinc/90/71/88/945907188.db2.gz QVSCQSXTLJUONC-DTWKUNHWSA-N 0 2 314.279 0.886 20 0 DCADLN CCCC(=O)N[C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001410148805 945977245 /nfs/dbraw/zinc/97/72/45/945977245.db2.gz IIPGJQYTPFWMIA-SNVBAGLBSA-N 0 2 318.381 0.845 20 0 DCADLN CN(CCN(C)C(=O)[C@H]1CCCO1)C(=O)C(F)C(F)(F)F ZINC001433145615 946164995 /nfs/dbraw/zinc/16/49/95/946164995.db2.gz MCUBXSHODWTWFX-RKDXNWHRSA-N 0 2 314.279 0.983 20 0 DCADLN CN(CCN(C)C(=O)[C@H]1CCCO1)C(=O)[C@@H](F)C(F)(F)F ZINC001433145615 946165001 /nfs/dbraw/zinc/16/50/01/946165001.db2.gz MCUBXSHODWTWFX-RKDXNWHRSA-N 0 2 314.279 0.983 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2nnc(C3CCC3)n2c1 ZINC001259018337 946892753 /nfs/dbraw/zinc/89/27/53/946892753.db2.gz GNPZBQYKSYDHNH-UHFFFAOYSA-N 0 2 324.362 0.912 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cnccc1N1CCCCC1 ZINC001259018902 946892860 /nfs/dbraw/zinc/89/28/60/946892860.db2.gz YMKUWTHCBLLGHY-UHFFFAOYSA-N 0 2 313.379 0.987 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cccc2c1CCN(C)C2=O ZINC001259027099 946899214 /nfs/dbraw/zinc/89/92/14/946899214.db2.gz LWZOMKUFZISURJ-UHFFFAOYSA-N 0 2 312.347 0.229 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cc2snnc2c(C)c1 ZINC001259026711 946899519 /nfs/dbraw/zinc/89/95/19/946899519.db2.gz UHQUHIOJHGWNIK-UHFFFAOYSA-N 0 2 301.349 0.914 20 0 DCADLN CCOC(=O)c1snc(C(N)=O)c1NS(=O)(=O)C1CC1 ZINC001259270479 946933757 /nfs/dbraw/zinc/93/37/57/946933757.db2.gz SNTOFBUOGLUMHS-UHFFFAOYSA-N 0 2 319.364 0.323 20 0 DCADLN Cc1c(NS(=O)(=O)c2cnn(C)c2)cnn1[C@H]1CCOC1 ZINC001259821926 946988906 /nfs/dbraw/zinc/98/89/06/946988906.db2.gz YSQABVJVONLJLK-JTQLQIEISA-N 0 2 311.367 0.687 20 0 DCADLN CCOC(=O)c1ncccc1NS(=O)(=O)c1cnn(C)c1 ZINC001259820938 946988958 /nfs/dbraw/zinc/98/89/58/946988958.db2.gz XXYOMHSWRRFQCJ-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1ccnnc1 ZINC001410839470 947010429 /nfs/dbraw/zinc/01/04/29/947010429.db2.gz QCBRTZVERUOWEE-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1ccnnc1 ZINC001410839470 947010444 /nfs/dbraw/zinc/01/04/44/947010444.db2.gz QCBRTZVERUOWEE-QMMMGPOBSA-N 0 2 320.246 0.756 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)C2CCOCC2)cn1 ZINC001259907456 947013308 /nfs/dbraw/zinc/01/33/08/947013308.db2.gz WDWQFGBFMCPHKB-UHFFFAOYSA-N 0 2 301.324 0.184 20 0 DCADLN COCCS(=O)(=O)Nc1nc(N(C)C)[nH]c(=O)c1N=O ZINC001259966342 947040169 /nfs/dbraw/zinc/04/01/69/947040169.db2.gz XQJNMXCUBAAGKF-UHFFFAOYSA-N 0 2 305.316 0.034 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc2ncccc2c1 ZINC001323445785 947085722 /nfs/dbraw/zinc/08/57/22/947085722.db2.gz NDWHAHRWKHFHRO-UHFFFAOYSA-N 0 2 312.289 0.313 20 0 DCADLN CS(=O)(=O)c1ccccc1S(=O)(=O)Nc1ncco1 ZINC001260515649 947106211 /nfs/dbraw/zinc/10/62/11/947106211.db2.gz SSUJFBOFNXUFFA-UHFFFAOYSA-N 0 2 302.333 0.879 20 0 DCADLN CCOc1cc(F)ccc1NS(=O)(=O)CS(C)(=O)=O ZINC001260583038 947113977 /nfs/dbraw/zinc/11/39/77/947113977.db2.gz JOZXBEIILLXKAU-UHFFFAOYSA-N 0 2 311.356 0.968 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1ccncc1C(F)(F)F ZINC001260581493 947114276 /nfs/dbraw/zinc/11/42/76/947114276.db2.gz AOYYXWMXKZIRKR-UHFFFAOYSA-N 0 2 318.298 0.266 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)CS(C)(=O)=O)cs1 ZINC001260583836 947115910 /nfs/dbraw/zinc/11/59/10/947115910.db2.gz MIBJBTBOTSUUMO-UHFFFAOYSA-N 0 2 313.378 0.279 20 0 DCADLN CN1CCc2cc(NS(=O)(=O)c3cn[nH]c3)ccc2C1=O ZINC001260961932 947159427 /nfs/dbraw/zinc/15/94/27/947159427.db2.gz ALXLZIVFQQEMIK-UHFFFAOYSA-N 0 2 306.347 0.839 20 0 DCADLN C[C@@]1(CNC(=O)c2cn[nH]n2)CCCN(C(=O)c2ccn[nH]2)C1 ZINC001411135411 947375735 /nfs/dbraw/zinc/37/57/35/947375735.db2.gz JWIDDDVERLAYSG-AWEZNQCLSA-N 0 2 317.353 0.200 20 0 DCADLN C[C@@]1(CNC(=O)c2cnn[nH]2)CCCN(C(=O)c2cc[nH]n2)C1 ZINC001411135411 947375745 /nfs/dbraw/zinc/37/57/45/947375745.db2.gz JWIDDDVERLAYSG-AWEZNQCLSA-N 0 2 317.353 0.200 20 0 DCADLN CO[C@H](C)CC(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411181485 947454965 /nfs/dbraw/zinc/45/49/65/947454965.db2.gz NUFDZMVUZBAQOI-LLVKDONJSA-N 0 2 313.402 0.604 20 0 DCADLN CO[C@H](C)CC(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001411181485 947454973 /nfs/dbraw/zinc/45/49/73/947454973.db2.gz NUFDZMVUZBAQOI-LLVKDONJSA-N 0 2 313.402 0.604 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@@H]1CC[NH+]([C@@H](C)c2nncn2C)C1 ZINC001493256340 948136377 /nfs/dbraw/zinc/13/63/77/948136377.db2.gz KMAVHJLZQZIHFV-KBPBESRZSA-N 0 2 322.457 0.656 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@@H](CC3(OC)CCC3)C2=O)[nH]1 ZINC001327385330 948488846 /nfs/dbraw/zinc/48/88/46/948488846.db2.gz ATMJCQBFHGWFLU-VIFPVBQESA-N 0 2 323.353 0.331 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](c3cccc(O)c3)C2=O)[nH]1 ZINC001327388048 948491172 /nfs/dbraw/zinc/49/11/72/948491172.db2.gz YIRPMBVFZAIDNZ-GFCCVEGCSA-N 0 2 317.305 0.450 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)cc1)c1nn(C)cc1O ZINC001570920020 948594532 /nfs/dbraw/zinc/59/45/32/948594532.db2.gz FIBXNAZNTQERBF-MRVPVSSYSA-N 0 2 313.321 0.797 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC(OC(C)C)C1 ZINC001570921823 948628488 /nfs/dbraw/zinc/62/84/88/948628488.db2.gz PNEOPGCCODAQNU-UHFFFAOYSA-N 0 2 318.337 0.525 20 0 DCADLN CC(C)C(=O)N(C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001488423513 948829190 /nfs/dbraw/zinc/82/91/90/948829190.db2.gz IZORDNJQCJQEGH-IUCAKERBSA-N 0 2 316.295 0.821 20 0 DCADLN CC(C)C(=O)N(C)C[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001488423513 948829201 /nfs/dbraw/zinc/82/92/01/948829201.db2.gz IZORDNJQCJQEGH-IUCAKERBSA-N 0 2 316.295 0.821 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1ncccc1C(F)(F)F ZINC001570931780 948856365 /nfs/dbraw/zinc/85/63/65/948856365.db2.gz NYCNBFWQUCDACJ-UHFFFAOYSA-N 0 2 323.238 0.886 20 0 DCADLN Cc1ccc(S(=O)(=O)NCCc2[nH+]ccn2C)cc1C(=O)[O-] ZINC000386035011 948928283 /nfs/dbraw/zinc/92/82/83/948928283.db2.gz WIEBUGWDNLLXAI-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN COCc1nnc(CNC(=O)Nc2cncc(N(C)C)c2)[nH]1 ZINC001364428800 949262397 /nfs/dbraw/zinc/26/23/97/949262397.db2.gz TWNPUERIQATULS-UHFFFAOYSA-N 0 2 305.342 0.734 20 0 DCADLN Cc1conc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364431114 949268961 /nfs/dbraw/zinc/26/89/61/949268961.db2.gz DWFXOINQMWGJMD-SECBINFHSA-N 0 2 306.326 0.896 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCOC(F)(F)F ZINC001329564357 949688106 /nfs/dbraw/zinc/68/81/06/949688106.db2.gz KKJXWPDBWDAMHA-UHFFFAOYSA-N 0 2 319.239 0.953 20 0 DCADLN CC(C)CCN(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364752492 949878549 /nfs/dbraw/zinc/87/85/49/949878549.db2.gz BGLCMIAVWAYWPH-UHFFFAOYSA-N 0 2 317.415 0.537 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)N[C@@H](CO)CC(F)F ZINC001364844800 950054049 /nfs/dbraw/zinc/05/40/49/950054049.db2.gz PVRNSLHVSUJDPI-ZCFIWIBFSA-N 0 2 313.278 0.361 20 0 DCADLN C[S@@](=O)(=NS(=O)(=O)N[C@@H]1COC(=O)C1)C1CCCCC1 ZINC001364851861 950072364 /nfs/dbraw/zinc/07/23/64/950072364.db2.gz NNHVSUDYRPXGEF-UGZDLDLSSA-N 0 2 324.424 0.567 20 0 DCADLN Cc1nnc([C@@H](C)NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)[nH]1 ZINC001364854750 950081444 /nfs/dbraw/zinc/08/14/44/950081444.db2.gz DULOIRKECDENNW-QOLSBQFWSA-N 0 2 323.444 0.905 20 0 DCADLN Cc1nc([C@@H](C)NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)n[nH]1 ZINC001364854750 950081468 /nfs/dbraw/zinc/08/14/68/950081468.db2.gz DULOIRKECDENNW-QOLSBQFWSA-N 0 2 323.444 0.905 20 0 DCADLN CC(C)n1cc(CNS(=O)(=O)N=S2(=O)CCCC2)nn1 ZINC001364876937 950119732 /nfs/dbraw/zinc/11/97/32/950119732.db2.gz BPGBBAXUDYMISB-UHFFFAOYSA-N 0 2 321.428 0.455 20 0 DCADLN COc1nnccc1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365061318 950437218 /nfs/dbraw/zinc/43/72/18/950437218.db2.gz MVYVYGJOTIFILG-QMMMGPOBSA-N 0 2 319.325 0.720 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2nnn(C)n2)c(C2CCCC2)n1 ZINC001365457434 951149103 /nfs/dbraw/zinc/14/91/03/951149103.db2.gz JWZKVWAZIMDLSI-UHFFFAOYSA-N 0 2 311.371 0.402 20 0 DCADLN C[C@H](NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(F)F ZINC001365457458 951149489 /nfs/dbraw/zinc/14/94/89/951149489.db2.gz NMRZMNVTNYSNLJ-BYPYZUCNSA-N 0 2 320.277 0.368 20 0 DCADLN COC(=O)[C@@H](CC(F)(F)F)NS(=O)(=O)Cc1ccno1 ZINC001365698500 951449749 /nfs/dbraw/zinc/44/97/49/951449749.db2.gz DVYLSBZOJYCZPI-SSDOTTSWSA-N 0 2 316.257 0.588 20 0 DCADLN CO[C@H](C)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCc1c[nH+]cn1C ZINC001365804653 951561874 /nfs/dbraw/zinc/56/18/74/951561874.db2.gz MYOYKEVGPRLDPK-HIFRSBDPSA-N 0 2 308.426 0.920 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC001332653829 951745977 /nfs/dbraw/zinc/74/59/77/951745977.db2.gz RPWSMQZBWNMAHB-NSCUHMNNSA-N 0 2 308.334 0.782 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H](C2CCC2)C1 ZINC001411445513 952152251 /nfs/dbraw/zinc/15/22/51/952152251.db2.gz YNTPOHXQDVFUCJ-NSHDSACASA-N 0 2 301.350 0.720 20 0 DCADLN O=C([O-])[C@@H](Cc1ccccc1)[NH2+]Cc1nnc2n1CCOC2 ZINC001595159351 952188369 /nfs/dbraw/zinc/18/83/69/952188369.db2.gz RARSSYHBSSGIME-GFCCVEGCSA-N 0 2 302.334 0.594 20 0 DCADLN CCCN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@H](C)C(=O)OCC ZINC001412083574 952637834 /nfs/dbraw/zinc/63/78/34/952637834.db2.gz ILKMBTAUUUDECV-BDAKNGLRSA-N 0 2 315.395 0.733 20 0 DCADLN O=C(NC[C@H]1CNC(=O)C1)C1=NN(c2ccccc2)CC1=O ZINC001412251307 952718468 /nfs/dbraw/zinc/71/84/68/952718468.db2.gz UZPWQADXQWEJMX-SNVBAGLBSA-N 0 2 300.318 0.444 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@H]1CCCOC1 ZINC001412277025 952729357 /nfs/dbraw/zinc/72/93/57/952729357.db2.gz YSODHQVYOMMCKW-CMPLNLGQSA-N 0 2 319.365 0.393 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(F)F ZINC001451786669 952742129 /nfs/dbraw/zinc/74/21/29/952742129.db2.gz WPMYIZHEVYNDDL-WDSKDSINSA-N 0 2 324.221 0.478 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C(C)(F)F ZINC001451786669 952742134 /nfs/dbraw/zinc/74/21/34/952742134.db2.gz WPMYIZHEVYNDDL-WDSKDSINSA-N 0 2 324.221 0.478 20 0 DCADLN CCC(C)(CC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001412686971 952994310 /nfs/dbraw/zinc/99/43/10/952994310.db2.gz MQOLZFIJCATOBU-UHFFFAOYSA-N 0 2 302.400 0.792 20 0 DCADLN C[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CCC1 ZINC001412684593 952991807 /nfs/dbraw/zinc/99/18/07/952991807.db2.gz HIKBEJSPSMLNMJ-QMMMGPOBSA-N 0 2 300.384 0.402 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)c1nn(C)cc1O ZINC001412757419 953073871 /nfs/dbraw/zinc/07/38/71/953073871.db2.gz QVRXVEYYFAUZCE-DTWKUNHWSA-N 0 2 318.337 0.079 20 0 DCADLN C[C@H](NC(=O)[C@]1(C)CCCS1(=O)=O)c1nn(C)cc1O ZINC001412771125 953083975 /nfs/dbraw/zinc/08/39/75/953083975.db2.gz SIZIBTXDAFDAGG-UFBFGSQYSA-N 0 2 301.368 0.270 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)N[C@H]3CNOC3)cc2[nH]c1=S ZINC001342692376 953301929 /nfs/dbraw/zinc/30/19/29/953301929.db2.gz XDYAZVYMJKMMNM-VIFPVBQESA-N 0 2 320.374 0.338 20 0 DCADLN CC[C@H](SC)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001365942080 953440861 /nfs/dbraw/zinc/44/08/61/953440861.db2.gz JGGDGERPVWHICE-ZJUUUORDSA-N 0 2 313.427 0.733 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC001589290723 953597652 /nfs/dbraw/zinc/59/76/52/953597652.db2.gz YRYPLUAORWFLHB-WCQYABFASA-N 0 2 319.361 0.715 20 0 DCADLN C[C@@H]1C[C@@H]([NH2+][C@H]2CCCCN(CC(=O)[O-])C2=O)c2ncnn21 ZINC001589291709 953608973 /nfs/dbraw/zinc/60/89/73/953608973.db2.gz QDGMDFLEMGYJQH-OUAUKWLOSA-N 0 2 307.354 0.339 20 0 DCADLN C[C@@H]1C[C@@H](c2cccnc2)[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001589295499 953643415 /nfs/dbraw/zinc/64/34/15/953643415.db2.gz SKRMLVZPOVJTKI-YPMHNXCESA-N 0 2 312.391 0.964 20 0 DCADLN C[C@@H]1C[C@@H](c2cccnc2)[N@@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001589295499 953643418 /nfs/dbraw/zinc/64/34/18/953643418.db2.gz SKRMLVZPOVJTKI-YPMHNXCESA-N 0 2 312.391 0.964 20 0 DCADLN Cc1cnc(C(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001282892855 953725382 /nfs/dbraw/zinc/72/53/82/953725382.db2.gz CUWZQCLSGPVXSM-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1cnc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001282892855 953725389 /nfs/dbraw/zinc/72/53/89/953725389.db2.gz CUWZQCLSGPVXSM-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN O=C(C[C@@H]1CCCO1)NCCNC(=O)C(F)C(F)(F)F ZINC001282924016 953773004 /nfs/dbraw/zinc/77/30/04/953773004.db2.gz XLLHTYSUHZSCKG-IONNQARKSA-N 0 2 300.252 0.688 20 0 DCADLN O=C(C[C@@H]1CCCO1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001282924016 953773016 /nfs/dbraw/zinc/77/30/16/953773016.db2.gz XLLHTYSUHZSCKG-IONNQARKSA-N 0 2 300.252 0.688 20 0 DCADLN CCO[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001283033452 953937713 /nfs/dbraw/zinc/93/77/13/953937713.db2.gz MLUBMTQABAJEHN-RKDXNWHRSA-N 0 2 314.279 0.934 20 0 DCADLN CCO[C@@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001283033452 953937721 /nfs/dbraw/zinc/93/77/21/953937721.db2.gz MLUBMTQABAJEHN-RKDXNWHRSA-N 0 2 314.279 0.934 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001283033474 953940408 /nfs/dbraw/zinc/94/04/08/953940408.db2.gz NCMAIVJYEOJQMT-LBPRGKRZSA-N 0 2 321.425 0.402 20 0 DCADLN Cc1cc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001283059499 953965108 /nfs/dbraw/zinc/96/51/08/953965108.db2.gz PUSALIVENNVKDC-SNVBAGLBSA-N 0 2 324.278 0.404 20 0 DCADLN Cc1cc(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n(C)n1 ZINC001283059499 953965116 /nfs/dbraw/zinc/96/51/16/953965116.db2.gz PUSALIVENNVKDC-SNVBAGLBSA-N 0 2 324.278 0.404 20 0 DCADLN O=C([O-])[C@@]1(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)CC=CCC1 ZINC001594860906 954274989 /nfs/dbraw/zinc/27/49/89/954274989.db2.gz FVXQDSNOYDFSOH-HNNXBMFYSA-N 0 2 324.377 0.363 20 0 DCADLN O=C([O-])[C@@]1(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)CC=CCC1 ZINC001594860906 954274997 /nfs/dbraw/zinc/27/49/97/954274997.db2.gz FVXQDSNOYDFSOH-HNNXBMFYSA-N 0 2 324.377 0.363 20 0 DCADLN Cc1n[nH]c(C)c1CCC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001366590672 954477428 /nfs/dbraw/zinc/47/74/28/954477428.db2.gz KIPZTUYMEQMQTF-UHFFFAOYSA-N 0 2 321.385 0.031 20 0 DCADLN Cc1n[nH]c(C)c1CCC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001366590672 954477433 /nfs/dbraw/zinc/47/74/33/954477433.db2.gz KIPZTUYMEQMQTF-UHFFFAOYSA-N 0 2 321.385 0.031 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366613101 954516126 /nfs/dbraw/zinc/51/61/26/954516126.db2.gz NJWYGYCLCLMQQY-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366613101 954516137 /nfs/dbraw/zinc/51/61/37/954516137.db2.gz NJWYGYCLCLMQQY-VIFPVBQESA-N 0 2 317.340 0.884 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCCCCC(=O)[O-] ZINC001348944423 954544211 /nfs/dbraw/zinc/54/42/11/954544211.db2.gz OKUDOJWYXYJQPL-GFCCVEGCSA-N 0 2 301.387 0.603 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CCC1 ZINC001349163872 954623936 /nfs/dbraw/zinc/62/39/36/954623936.db2.gz SUZUSIZEKKDCTO-DTWKUNHWSA-N 0 2 314.279 0.934 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C1CCC1 ZINC001349163872 954623941 /nfs/dbraw/zinc/62/39/41/954623941.db2.gz SUZUSIZEKKDCTO-DTWKUNHWSA-N 0 2 314.279 0.934 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@H](C(=O)[O-])C1 ZINC001593788489 954741986 /nfs/dbraw/zinc/74/19/86/954741986.db2.gz URZMRKAJZKQOMC-KBPBESRZSA-N 0 2 319.361 0.668 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@H](C(=O)[O-])C1 ZINC001593788489 954741998 /nfs/dbraw/zinc/74/19/98/954741998.db2.gz URZMRKAJZKQOMC-KBPBESRZSA-N 0 2 319.361 0.668 20 0 DCADLN O=C(CCn1cccc1)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366757338 954781878 /nfs/dbraw/zinc/78/18/78/954781878.db2.gz GFKDBWOMSUFIJK-UHFFFAOYSA-N 0 2 318.381 0.483 20 0 DCADLN O=C(CCn1cccc1)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366757338 954781887 /nfs/dbraw/zinc/78/18/87/954781887.db2.gz GFKDBWOMSUFIJK-UHFFFAOYSA-N 0 2 318.381 0.483 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc(C(=O)[O-])cc1 ZINC001593812218 954956540 /nfs/dbraw/zinc/95/65/40/954956540.db2.gz ZTXFXIADGZMRES-GFCCVEGCSA-N 0 2 317.301 0.553 20 0 DCADLN COC(=O)C1=NO[C@]2(CCN(c3[nH+]cccc3C(=O)[O-])C2)C1 ZINC001593815040 954994517 /nfs/dbraw/zinc/99/45/17/954994517.db2.gz AKNAXMGFSUZJJH-CQSZACIVSA-N 0 2 305.290 0.678 20 0 DCADLN CS[C@H](C)CC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366894112 955009315 /nfs/dbraw/zinc/00/93/15/955009315.db2.gz UFPVVWYUCHIBKM-NXEZZACHSA-N 0 2 313.427 0.733 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)N[C@@H](C)c1nnc[nH]1 ZINC001463452603 1013132218 /nfs/dbraw/zinc/13/22/18/1013132218.db2.gz ONTFEAJDEVKDKM-LURJTMIESA-N 0 2 300.296 0.224 20 0 DCADLN CC[C@H](CNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C)C(=O)[O-] ZINC001589527465 955131636 /nfs/dbraw/zinc/13/16/36/955131636.db2.gz ZBJSSJULBBFBMH-XQQFMLRXSA-N 0 2 313.398 0.602 20 0 DCADLN CCn1ncnc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001367025418 955221667 /nfs/dbraw/zinc/22/16/67/955221667.db2.gz WMOXYRBGDCBYMS-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncnc1CN1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001367025418 955221676 /nfs/dbraw/zinc/22/16/76/955221676.db2.gz WMOXYRBGDCBYMS-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCC(C)C)C2)c1[O-] ZINC001367028352 955229031 /nfs/dbraw/zinc/22/90/31/955229031.db2.gz OKUCGHQXPZXFED-LLVKDONJSA-N 0 2 323.397 0.000 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCC(C)C)C2)c1[O-] ZINC001367028352 955229039 /nfs/dbraw/zinc/22/90/39/955229039.db2.gz OKUCGHQXPZXFED-LLVKDONJSA-N 0 2 323.397 0.000 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCCc1ccco1 ZINC001351230088 955268542 /nfs/dbraw/zinc/26/85/42/955268542.db2.gz OPZYTDWNFGFWNG-UHFFFAOYSA-N 0 2 301.306 0.413 20 0 DCADLN Cc1noc([C@@H]2CCC[N@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC001594502658 955337837 /nfs/dbraw/zinc/33/78/37/955337837.db2.gz MQGLFPGFSJGQAV-GHMZBOCLSA-N 0 2 308.338 0.448 20 0 DCADLN Cc1noc([C@@H]2CCC[N@@H+](CN3C[C@H](C(=O)[O-])CC3=O)C2)n1 ZINC001594502658 955337849 /nfs/dbraw/zinc/33/78/49/955337849.db2.gz MQGLFPGFSJGQAV-GHMZBOCLSA-N 0 2 308.338 0.448 20 0 DCADLN CN(CCCNC(=O)c1cnon1)C(=O)C(F)C(F)(F)F ZINC001351866241 955437072 /nfs/dbraw/zinc/43/70/72/955437072.db2.gz CAICRFPUMVSOEE-ZETCQYMHSA-N 0 2 312.223 0.548 20 0 DCADLN CN(CCCNC(=O)c1cnon1)C(=O)[C@H](F)C(F)(F)F ZINC001351866241 955437079 /nfs/dbraw/zinc/43/70/79/955437079.db2.gz CAICRFPUMVSOEE-ZETCQYMHSA-N 0 2 312.223 0.548 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(=O)NC ZINC001285063332 955445478 /nfs/dbraw/zinc/44/54/78/955445478.db2.gz RSHLKACSYNIZNX-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CC(=O)NC ZINC001285063332 955445484 /nfs/dbraw/zinc/44/54/84/955445484.db2.gz RSHLKACSYNIZNX-IMTBSYHQSA-N 0 2 315.267 0.034 20 0 DCADLN Cn1cc(C[NH+]2CCN(c3ccc(C(=O)[O-])cn3)CC2)cn1 ZINC001594543333 955675272 /nfs/dbraw/zinc/67/52/72/955675272.db2.gz ZXILOWISAZRKEP-UHFFFAOYSA-N 0 2 301.350 0.836 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001594555212 955754495 /nfs/dbraw/zinc/75/44/95/955754495.db2.gz CMRZVFFSBLTBRD-UHFFFAOYSA-N 0 2 319.321 0.416 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@@H+]1CCCN(C(=O)C2CC2)CC1 ZINC001594571726 955889320 /nfs/dbraw/zinc/88/93/20/955889320.db2.gz HBLLGWLYXOCLNC-UHFFFAOYSA-N 0 2 306.366 0.563 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[N@H+]1CCCN(C(=O)C2CC2)CC1 ZINC001594571726 955889334 /nfs/dbraw/zinc/88/93/34/955889334.db2.gz HBLLGWLYXOCLNC-UHFFFAOYSA-N 0 2 306.366 0.563 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)Nc1cc(N2CC[NH+](C)CC2)ccn1 ZINC001593929849 956161250 /nfs/dbraw/zinc/16/12/50/956161250.db2.gz IRRWCXLIFAIMMQ-HNNXBMFYSA-N 0 2 322.365 0.262 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001594719177 956952907 /nfs/dbraw/zinc/95/29/07/956952907.db2.gz OVPPFOSPYIJCAY-JSGCOSHPSA-N 0 2 314.345 0.653 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001594719175 956953156 /nfs/dbraw/zinc/95/31/56/956953156.db2.gz OVPPFOSPYIJCAY-GXTWGEPZSA-N 0 2 314.345 0.653 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC001603158841 972258580 /nfs/dbraw/zinc/25/85/80/972258580.db2.gz HCRGTRUSTMWXSR-RYUDHWBXSA-N 0 2 300.399 0.912 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)[O-])C[C@@H]1C ZINC000070446669 957673453 /nfs/dbraw/zinc/67/34/53/957673453.db2.gz QHIZIIXDYQIKCD-QMMMGPOBSA-N 0 2 316.383 0.131 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)[O-])C[C@@H]1C ZINC000070446669 957673463 /nfs/dbraw/zinc/67/34/63/957673463.db2.gz QHIZIIXDYQIKCD-QMMMGPOBSA-N 0 2 316.383 0.131 20 0 DCADLN CN(CCN(C)C(=O)c1ccncc1F)Cc1n[nH]c(=O)[nH]1 ZINC001367509199 957922989 /nfs/dbraw/zinc/92/29/89/957922989.db2.gz VFGUYVOXSBVFND-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccncc1F ZINC001367509199 957923009 /nfs/dbraw/zinc/92/30/09/957923009.db2.gz VFGUYVOXSBVFND-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccncc1F ZINC001367509199 957923021 /nfs/dbraw/zinc/92/30/21/957923021.db2.gz VFGUYVOXSBVFND-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN C[NH+]1CCN(C(=O)c2ncc(Br)cc2[O-])CC1 ZINC001361710398 958242529 /nfs/dbraw/zinc/24/25/29/958242529.db2.gz QMIQLCHAAJGLLM-UHFFFAOYSA-N 0 2 300.156 0.937 20 0 DCADLN C[C@H](NC(=O)N1CC[C@@](C)(C(=O)[O-])C1)[C@H]1CN(C)CC[N@@H+]1C ZINC001603226698 972342657 /nfs/dbraw/zinc/34/26/57/972342657.db2.gz AIEFGWVZKSDXCI-YWPYICTPSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@H](NC(=O)N1CC[C@@](C)(C(=O)[O-])C1)[C@H]1CN(C)CC[N@H+]1C ZINC001603226698 972342660 /nfs/dbraw/zinc/34/26/60/972342660.db2.gz AIEFGWVZKSDXCI-YWPYICTPSA-N 0 2 312.414 0.127 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)N2CCCn3nccc32)c1 ZINC001361878944 958442553 /nfs/dbraw/zinc/44/25/53/958442553.db2.gz YHRJYWHTMMERDG-UHFFFAOYSA-N 0 2 322.346 0.287 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001367798545 958608582 /nfs/dbraw/zinc/60/85/82/958608582.db2.gz XFRGBZYGIKFGNN-VIFPVBQESA-N 0 2 307.354 0.591 20 0 DCADLN Cc1ccc(CC(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001367798545 958608599 /nfs/dbraw/zinc/60/85/99/958608599.db2.gz XFRGBZYGIKFGNN-VIFPVBQESA-N 0 2 307.354 0.591 20 0 DCADLN CCc1cncc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001367819567 958650058 /nfs/dbraw/zinc/65/00/58/958650058.db2.gz NXNAWHPHJYBESM-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cncc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001367819567 958650065 /nfs/dbraw/zinc/65/00/65/958650065.db2.gz NXNAWHPHJYBESM-JTQLQIEISA-N 0 2 318.381 0.718 20 0 DCADLN Cc1noc(CCNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001367905984 958830425 /nfs/dbraw/zinc/83/04/25/958830425.db2.gz NHWLJXXSKMFGBS-VIFPVBQESA-N 0 2 321.341 0.333 20 0 DCADLN CC(=O)c1cn(C2C[NH+](CC3(O)CCC(C(=O)[O-])CC3)C2)nn1 ZINC001588533530 958907524 /nfs/dbraw/zinc/90/75/24/958907524.db2.gz AXQIAPGQWROHHU-UHFFFAOYSA-N 0 2 322.365 0.343 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001376826113 958929023 /nfs/dbraw/zinc/92/90/23/958929023.db2.gz WVMUGMUCVCWYKD-MUWHJKNJSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001376826113 958929028 /nfs/dbraw/zinc/92/90/28/958929028.db2.gz WVMUGMUCVCWYKD-MUWHJKNJSA-N 0 2 310.251 0.474 20 0 DCADLN O=C(CCc1ccccn1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367956118 958949158 /nfs/dbraw/zinc/94/91/58/958949158.db2.gz YROOKDDAMCIINV-GFCCVEGCSA-N 0 2 316.365 0.229 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCC[C@H](C2N=NC(=O)O2)C1 ZINC001362376425 959241467 /nfs/dbraw/zinc/24/14/67/959241467.db2.gz QWKVHGUDOWJIDQ-QMMMGPOBSA-N 0 2 307.314 0.017 20 0 DCADLN CN(C(N)=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC001362412703 959305651 /nfs/dbraw/zinc/30/56/51/959305651.db2.gz XKGBRKUWYOQUQD-VIFPVBQESA-N 0 2 321.362 0.696 20 0 DCADLN CC(=O)CCCC(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001368153002 959340457 /nfs/dbraw/zinc/34/04/57/959340457.db2.gz DOGLZLKACVDTMB-AWEZNQCLSA-N 0 2 309.370 0.350 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)C1(C(=O)[O-])CC1)C2 ZINC001594463492 959480836 /nfs/dbraw/zinc/48/08/36/959480836.db2.gz CXFNHFDJAGYTNJ-JTQLQIEISA-N 0 2 307.350 0.022 20 0 DCADLN COCC1(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001377148655 959574490 /nfs/dbraw/zinc/57/44/90/959574490.db2.gz JBCSGQQCKNEZEA-SFYZADRCSA-N 0 2 314.279 0.934 20 0 DCADLN COCC1(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001377148655 959574502 /nfs/dbraw/zinc/57/45/02/959574502.db2.gz JBCSGQQCKNEZEA-SFYZADRCSA-N 0 2 314.279 0.934 20 0 DCADLN C[N@H+](CCNC(=O)C[C@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001377168227 959613464 /nfs/dbraw/zinc/61/34/64/959613464.db2.gz JQVJUNOXNNOZPV-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN C[N@@H+](CCNC(=O)C[C@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001377168227 959613472 /nfs/dbraw/zinc/61/34/72/959613472.db2.gz JQVJUNOXNNOZPV-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN C[C@](O)(CNC(=O)c1cc(-n2cc[nH+]c2)ccc1O)C(=O)[O-] ZINC001603261685 972446869 /nfs/dbraw/zinc/44/68/69/972446869.db2.gz RDPRAZZTZNWPEI-AWEZNQCLSA-N 0 2 305.290 0.143 20 0 DCADLN CC1(C)CCC(C[NH+]2CC(O)(CNC(=O)c3nnc[nH]3)C2)CC1 ZINC001368313163 959663599 /nfs/dbraw/zinc/66/35/99/959663599.db2.gz KYLIYBVXKREBBA-UHFFFAOYSA-N 0 2 321.425 0.798 20 0 DCADLN CC1(C)CCC(C[NH+]2CC(O)(CNC(=O)c3ncn[nH]3)C2)CC1 ZINC001368313163 959663606 /nfs/dbraw/zinc/66/36/06/959663606.db2.gz KYLIYBVXKREBBA-UHFFFAOYSA-N 0 2 321.425 0.798 20 0 DCADLN Cc1nnc(C[NH+]2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)o1 ZINC001594491387 959714070 /nfs/dbraw/zinc/71/40/70/959714070.db2.gz WQNGHQLOYUBPCU-JTQLQIEISA-N 0 2 308.338 0.276 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCCN(C)C(=O)C(F)C(F)(F)F ZINC001492340812 959851144 /nfs/dbraw/zinc/85/11/44/959851144.db2.gz WCVHUOKKZIWUFC-BDAKNGLRSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001492340812 959851158 /nfs/dbraw/zinc/85/11/58/959851158.db2.gz WCVHUOKKZIWUFC-BDAKNGLRSA-N 0 2 316.295 0.868 20 0 DCADLN C[N@H+](CCNC(=O)CCc1cscn1)Cc1n[nH]c(=O)[n-]1 ZINC001377283387 959853339 /nfs/dbraw/zinc/85/33/39/959853339.db2.gz QRYJQLXDMRUQOJ-UHFFFAOYSA-N 0 2 310.383 0.148 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1cscn1)Cc1n[nH]c(=O)[n-]1 ZINC001377283387 959853344 /nfs/dbraw/zinc/85/33/44/959853344.db2.gz QRYJQLXDMRUQOJ-UHFFFAOYSA-N 0 2 310.383 0.148 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCc3ccnc(N)c3C2)S1 ZINC001362748467 959928258 /nfs/dbraw/zinc/92/82/58/959928258.db2.gz RSWDUSKCGLIIDQ-VIFPVBQESA-N 0 2 305.363 0.105 20 0 DCADLN Cc1cc(-c2nc(C(=O)Nn3ccc(=O)[nH]c3=O)co2)ccn1 ZINC001301697264 960008853 /nfs/dbraw/zinc/00/88/53/960008853.db2.gz NIDZOMJWXFPOJB-UHFFFAOYSA-N 0 2 313.273 0.691 20 0 DCADLN Cn1cnnc1[C@@H]1CCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC001362806160 960017116 /nfs/dbraw/zinc/01/71/16/960017116.db2.gz CPEDEWLOIHFGJY-JGVFFNPUSA-N 0 2 308.367 0.035 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1=COCCO1 ZINC001377432142 960090757 /nfs/dbraw/zinc/09/07/57/960090757.db2.gz PAWVUJRVXNDPJG-XPUUQOCRSA-N 0 2 314.235 0.396 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C1=COCCO1 ZINC001377432142 960090768 /nfs/dbraw/zinc/09/07/68/960090768.db2.gz PAWVUJRVXNDPJG-XPUUQOCRSA-N 0 2 314.235 0.396 20 0 DCADLN Cc1cnc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)c(O)c1 ZINC001362904686 960157241 /nfs/dbraw/zinc/15/72/41/960157241.db2.gz KBHSLSNDBFLVAB-JTQLQIEISA-N 0 2 302.338 0.704 20 0 DCADLN Cc1cc2cc(S(=O)(=O)Nc3nnn(C)n3)ccc2[nH]c1=O ZINC001309824668 960210565 /nfs/dbraw/zinc/21/05/65/960210565.db2.gz XYFCYWPYPMCWDH-UHFFFAOYSA-N 0 2 320.334 0.161 20 0 DCADLN COc1c(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)cnn1C ZINC001362974361 960247297 /nfs/dbraw/zinc/24/72/97/960247297.db2.gz VUBHZKOEMGHEAL-UHFFFAOYSA-N 0 2 321.337 0.253 20 0 DCADLN CCOCC1(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001368779109 960256436 /nfs/dbraw/zinc/25/64/36/960256436.db2.gz SMCCIDVVRCAKEC-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN CCOCC1(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001368779109 960256444 /nfs/dbraw/zinc/25/64/44/960256444.db2.gz SMCCIDVVRCAKEC-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN CS(=O)(=O)c1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1 ZINC001363040085 960331630 /nfs/dbraw/zinc/33/16/30/960331630.db2.gz SLIVDDUSIKKYMP-UHFFFAOYSA-N 0 2 323.330 0.221 20 0 DCADLN CSc1ncc(C(=O)N[C@H](C)C2=NN(C)CC2=O)c(=O)[nH]1 ZINC001363048174 960342559 /nfs/dbraw/zinc/34/25/59/960342559.db2.gz JWIBXCBMHLJHTI-ZCFIWIBFSA-N 0 2 309.351 0.834 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1ncccc1NCC1CCC1 ZINC001363057872 960355977 /nfs/dbraw/zinc/35/59/77/960355977.db2.gz YHLPSDZXHRPOPK-UHFFFAOYSA-N 0 2 315.333 0.940 20 0 DCADLN Cc1ncc2n1CC[C@H](C(=O)NCc1nc(O)cc(=O)[nH]1)C2 ZINC001363121179 960430060 /nfs/dbraw/zinc/43/00/60/960430060.db2.gz JENOSBORTQRNRR-VIFPVBQESA-N 0 2 303.322 0.272 20 0 DCADLN O=C(NCc1n[nH]c([C@H]2CCOC2)n1)c1c(O)cccc1O ZINC001363124639 960436997 /nfs/dbraw/zinc/43/69/97/960436997.db2.gz VPCWHHMFGWQSHB-QMMMGPOBSA-N 0 2 304.306 0.650 20 0 DCADLN CCOC(=O)c1n[nH]c([C@@H](C)NC(=O)Cn2cncc2C)n1 ZINC001363232458 960659630 /nfs/dbraw/zinc/65/96/30/960659630.db2.gz REWDKWXSNTUVFF-SECBINFHSA-N 0 2 306.326 0.364 20 0 DCADLN O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[NH2+][C@H](c2ccc(F)cc2)C1 ZINC001363315270 960871779 /nfs/dbraw/zinc/87/17/79/960871779.db2.gz ZBYBOGGFYCXDJV-JTQLQIEISA-N 0 2 319.296 0.209 20 0 DCADLN Cn1cc(CCN2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001377893200 960917266 /nfs/dbraw/zinc/91/72/66/960917266.db2.gz YWUHFSMQXKWWQH-JTQLQIEISA-N 0 2 308.279 0.663 20 0 DCADLN Cn1cc(CCN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001377893200 960917281 /nfs/dbraw/zinc/91/72/81/960917281.db2.gz YWUHFSMQXKWWQH-JTQLQIEISA-N 0 2 308.279 0.663 20 0 DCADLN CN1CCC[C@H](N2CC(NC(=O)C(F)C(F)(F)F)C2)C1=O ZINC001377893213 960918276 /nfs/dbraw/zinc/91/82/76/960918276.db2.gz ZANULFLOKDVBBZ-IUCAKERBSA-N 0 2 311.279 0.308 20 0 DCADLN CN1CCC[C@H](N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)C1=O ZINC001377893213 960918293 /nfs/dbraw/zinc/91/82/93/960918293.db2.gz ZANULFLOKDVBBZ-IUCAKERBSA-N 0 2 311.279 0.308 20 0 DCADLN CC(C)COc1ncccc1C(=O)Nn1ccc(=O)[nH]c1=O ZINC001363349602 960955819 /nfs/dbraw/zinc/95/58/19/960955819.db2.gz ZFTGDXNPNVQXFQ-UHFFFAOYSA-N 0 2 304.306 0.763 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1ccccc1CO ZINC001571080537 960998795 /nfs/dbraw/zinc/99/87/95/960998795.db2.gz SCSGPTSDBUMUHE-CYBMUJFWSA-N 0 2 303.318 0.257 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1ccccc1CO ZINC001571080537 960998819 /nfs/dbraw/zinc/99/88/19/960998819.db2.gz SCSGPTSDBUMUHE-CYBMUJFWSA-N 0 2 303.318 0.257 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@@H+]2CCC[C@@H]3COC[C@@H]32)n1 ZINC001571088286 961094494 /nfs/dbraw/zinc/09/44/94/961094494.db2.gz WCUQTRJRBRAALT-PWSUYJOCSA-N 0 2 305.334 0.829 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[N@H+]2CCC[C@@H]3COC[C@@H]32)n1 ZINC001571088286 961094513 /nfs/dbraw/zinc/09/45/13/961094513.db2.gz WCUQTRJRBRAALT-PWSUYJOCSA-N 0 2 305.334 0.829 20 0 DCADLN CCC[C@@H](C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001378649339 961194378 /nfs/dbraw/zinc/19/43/78/961194378.db2.gz DSDUVVUSTMBXSN-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN COC(=O)Cc1occc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363482779 961246552 /nfs/dbraw/zinc/24/65/52/961246552.db2.gz CCYSKJPJBDNLRW-UHFFFAOYSA-N 0 2 307.262 0.126 20 0 DCADLN COC(=O)Cc1occc1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001363482779 961246569 /nfs/dbraw/zinc/24/65/69/961246569.db2.gz CCYSKJPJBDNLRW-UHFFFAOYSA-N 0 2 307.262 0.126 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H]2CCOC2)CC1)C(F)C(F)(F)F ZINC001378671775 961248795 /nfs/dbraw/zinc/24/87/95/961248795.db2.gz BRZSOUNCNQPRFE-SFYZADRCSA-N 0 2 312.263 0.688 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H]2CCOC2)CC1)[C@H](F)C(F)(F)F ZINC001378671775 961248813 /nfs/dbraw/zinc/24/88/13/961248813.db2.gz BRZSOUNCNQPRFE-SFYZADRCSA-N 0 2 312.263 0.688 20 0 DCADLN Cn1nccc1[C@@H]1[C@H](Nc2ccc(C(=O)[O-])c[nH+]2)CC(=O)N1C ZINC001549038494 1013240737 /nfs/dbraw/zinc/24/07/37/1013240737.db2.gz YHDDAOXSZQMNFZ-YGRLFVJLSA-N 0 2 315.333 0.897 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)NCC2CCN(C(=O)[O-])CC2)c1 ZINC001571106622 961285969 /nfs/dbraw/zinc/28/59/69/961285969.db2.gz PAAJCNPYRSGGFF-UHFFFAOYSA-N 0 2 309.370 0.652 20 0 DCADLN C[C@@H]1[C@H](CO)CC[N@@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001571116438 961396367 /nfs/dbraw/zinc/39/63/67/961396367.db2.gz CMMQBVKEWOKFPS-BDAKNGLRSA-N 0 2 313.379 0.411 20 0 DCADLN C[C@@H]1[C@H](CO)CC[N@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001571116438 961396384 /nfs/dbraw/zinc/39/63/84/961396384.db2.gz CMMQBVKEWOKFPS-BDAKNGLRSA-N 0 2 313.379 0.411 20 0 DCADLN O=C(CC[C@@H]1CCCCO1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001378123174 961473950 /nfs/dbraw/zinc/47/39/50/961473950.db2.gz PMXBRPQCEBRBLN-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN C/C(=C\C(=O)N1CCC[C@@H]2C[C@@]21C(=O)[O-])C[NH+]1CCOCC1 ZINC001332352757 961555042 /nfs/dbraw/zinc/55/50/42/961555042.db2.gz TUBDVXYZDNOAEB-MZKQWIHFSA-N 0 2 308.378 0.731 20 0 DCADLN O=C([O-])C[N@H+](CCC(F)(F)F)Cc1cc(=O)n2[nH]ccc2n1 ZINC001571137287 961609467 /nfs/dbraw/zinc/60/94/67/961609467.db2.gz AIGALDHWLJDDTL-UHFFFAOYSA-N 0 2 318.255 0.862 20 0 DCADLN O=C([O-])C[N@@H+](CCC(F)(F)F)Cc1cc(=O)n2[nH]ccc2n1 ZINC001571137287 961609476 /nfs/dbraw/zinc/60/94/76/961609476.db2.gz AIGALDHWLJDDTL-UHFFFAOYSA-N 0 2 318.255 0.862 20 0 DCADLN COC(=O)CCCC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001363661748 961647508 /nfs/dbraw/zinc/64/75/08/961647508.db2.gz OHRMZEORBCJYAG-UHFFFAOYSA-N 0 2 311.338 0.540 20 0 DCADLN O=C(NC[C@@H](O)CO)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC001363663804 961652719 /nfs/dbraw/zinc/65/27/19/961652719.db2.gz ISEIBOFHGNBEDH-SNVBAGLBSA-N 0 2 311.725 0.314 20 0 DCADLN C/C(=C/C(=O)N[C@@H](CC(F)(F)F)C(=O)[O-])C[NH+]1CCOCC1 ZINC001333335745 961687758 /nfs/dbraw/zinc/68/77/58/961687758.db2.gz WMIXOGMROCVRIT-MBACFSSFSA-N 0 2 324.299 0.787 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cc2c(cc[nH]c2=O)o1 ZINC001571143112 961687878 /nfs/dbraw/zinc/68/78/78/961687878.db2.gz LNFJDSRJCCSUOL-NSHDSACASA-N 0 2 319.317 0.699 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1Cc1cc2c(cc[nH]c2=O)o1 ZINC001571143112 961687888 /nfs/dbraw/zinc/68/78/88/961687888.db2.gz LNFJDSRJCCSUOL-NSHDSACASA-N 0 2 319.317 0.699 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC001334026467 961750745 /nfs/dbraw/zinc/75/07/45/961750745.db2.gz WNRDIUUEWAXGCJ-JOAKQRRISA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC001334026467 961750755 /nfs/dbraw/zinc/75/07/55/961750755.db2.gz WNRDIUUEWAXGCJ-JOAKQRRISA-N 0 2 308.334 0.828 20 0 DCADLN C[C@@H]1CCOCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363846466 962008223 /nfs/dbraw/zinc/00/82/23/962008223.db2.gz DJTBRGMTGMLAJQ-SNVBAGLBSA-N 0 2 318.333 0.517 20 0 DCADLN CCc1noc(COCC(=O)N[C@@H](C)c2nn(C)cc2O)n1 ZINC001363845881 962011096 /nfs/dbraw/zinc/01/10/96/962011096.db2.gz CDKBUOUXVDRESA-QMMMGPOBSA-N 0 2 309.326 0.465 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@H](C)c2nn(C)cc2O)cn1 ZINC001363850342 962022795 /nfs/dbraw/zinc/02/27/95/962022795.db2.gz LLKIAASXLSZPNN-MRVPVSSYSA-N 0 2 304.306 0.798 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)[C@H]1CCCO1 ZINC001379097663 962097510 /nfs/dbraw/zinc/09/75/10/962097510.db2.gz ZKYMEZUKRKNDQX-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)[C@H]1CCCO1 ZINC001379097663 962097526 /nfs/dbraw/zinc/09/75/26/962097526.db2.gz ZKYMEZUKRKNDQX-WDEREUQCSA-N 0 2 311.386 0.216 20 0 DCADLN CC(C)c1oncc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379123821 962151369 /nfs/dbraw/zinc/15/13/69/962151369.db2.gz YMYRCOPWMPWIPR-UHFFFAOYSA-N 0 2 322.369 0.826 20 0 DCADLN CC(C)c1oncc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379123821 962151383 /nfs/dbraw/zinc/15/13/83/962151383.db2.gz YMYRCOPWMPWIPR-UHFFFAOYSA-N 0 2 322.369 0.826 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC001574074878 962255602 /nfs/dbraw/zinc/25/56/02/962255602.db2.gz DGYMJZRQQHVXBO-NXEZZACHSA-N 0 2 311.338 0.357 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC001574074878 962255611 /nfs/dbraw/zinc/25/56/11/962255611.db2.gz DGYMJZRQQHVXBO-NXEZZACHSA-N 0 2 311.338 0.357 20 0 DCADLN COC[C@H](NC(=O)c1cc(SC)ccc1O)c1nn[nH]n1 ZINC001364031099 962329675 /nfs/dbraw/zinc/32/96/75/962329675.db2.gz NDBFACZAHLIFLT-VIFPVBQESA-N 0 2 309.351 0.745 20 0 DCADLN Cc1ccc([C@H](C)C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001380027376 962525328 /nfs/dbraw/zinc/52/53/28/962525328.db2.gz YZVHHTOPGUDOMO-WDEREUQCSA-N 0 2 319.365 0.906 20 0 DCADLN CCn1cccc(NC(=O)NCc2nnc(O)n2C2CC2)c1=O ZINC001364134672 962534659 /nfs/dbraw/zinc/53/46/59/962534659.db2.gz JLTLTZOFOSKDPO-UHFFFAOYSA-N 0 2 318.337 0.822 20 0 DCADLN C[C@H]1OC(=O)N[C@@H]1C(=O)Nc1nccc(Br)c1O ZINC001364310014 962871484 /nfs/dbraw/zinc/87/14/84/962871484.db2.gz ZROQNYVJCXHZAN-XINAWCOVSA-N 0 2 316.111 0.985 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001380219257 962884176 /nfs/dbraw/zinc/88/41/76/962884176.db2.gz WBFCZQBITJSKTD-JTQLQIEISA-N 0 2 311.386 0.214 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)N(C)C(=O)C(F)C(F)(F)F ZINC001380645728 963453865 /nfs/dbraw/zinc/45/38/65/963453865.db2.gz UCZSBJOPEMBBPO-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001380645728 963453871 /nfs/dbraw/zinc/45/38/71/963453871.db2.gz UCZSBJOPEMBBPO-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN O=C(NCC1CN(C(=O)c2ncccn2)C1)C(F)C(F)(F)F ZINC001430391513 1013427616 /nfs/dbraw/zinc/42/76/16/1013427616.db2.gz UHMQDKUKIJKZEY-QMMMGPOBSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2ncccn2)C1)[C@H](F)C(F)(F)F ZINC001430391513 1013427627 /nfs/dbraw/zinc/42/76/27/1013427627.db2.gz UHMQDKUKIJKZEY-QMMMGPOBSA-N 0 2 320.246 0.565 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccnn1C ZINC001380756997 963560503 /nfs/dbraw/zinc/56/05/03/963560503.db2.gz DFPBLPYOTGXACW-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ccnn1C ZINC001380756997 963560509 /nfs/dbraw/zinc/56/05/09/963560509.db2.gz DFPBLPYOTGXACW-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN CCC(=O)N1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001380979437 963738166 /nfs/dbraw/zinc/73/81/66/963738166.db2.gz DSAJWVANRNQNLQ-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)N1CC(CCO)(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001380979437 963738169 /nfs/dbraw/zinc/73/81/69/963738169.db2.gz DSAJWVANRNQNLQ-QMMMGPOBSA-N 0 2 300.252 0.376 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2c(c1)COC2 ZINC000315605967 963918900 /nfs/dbraw/zinc/91/89/00/963918900.db2.gz UJDYJWODCJTDSI-CYBMUJFWSA-N 0 2 301.302 0.866 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2c(c1)COC2 ZINC000315605967 963918907 /nfs/dbraw/zinc/91/89/07/963918907.db2.gz UJDYJWODCJTDSI-CYBMUJFWSA-N 0 2 301.302 0.866 20 0 DCADLN O=C([O-])c1cn(C2C[NH+](Cc3ccc4c(c3)CCO4)C2)nn1 ZINC000316338476 964004402 /nfs/dbraw/zinc/00/44/02/964004402.db2.gz HVXZNBVNZJSKHJ-UHFFFAOYSA-N 0 2 300.318 0.968 20 0 DCADLN CCC[NH+](C)CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001375478752 964453197 /nfs/dbraw/zinc/45/31/97/964453197.db2.gz XRYRHWWMDORSDL-UKRRQHHQSA-N 0 2 324.469 0.528 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@H]1CCCOC1 ZINC001375477053 964455047 /nfs/dbraw/zinc/45/50/47/964455047.db2.gz QJPKVVQRCMJXCV-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN COC[C@@H](C)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001369150875 964462052 /nfs/dbraw/zinc/46/20/52/964462052.db2.gz XGTQVFFFEURUSB-LLVKDONJSA-N 0 2 323.397 0.217 20 0 DCADLN COC[C@@H](C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001369150875 964462056 /nfs/dbraw/zinc/46/20/56/964462056.db2.gz XGTQVFFFEURUSB-LLVKDONJSA-N 0 2 323.397 0.217 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@H](C)C[NH2+]Cc2nccc(C)n2)c1[O-] ZINC001374218423 965147514 /nfs/dbraw/zinc/14/75/14/965147514.db2.gz LDCBFBZLHUICJC-SNVBAGLBSA-N 0 2 318.381 0.772 20 0 DCADLN O=C(CC[C@H]1CCCO1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369951743 965604154 /nfs/dbraw/zinc/60/41/54/965604154.db2.gz GICNKTGWXAEHFF-WDEREUQCSA-N 0 2 309.370 0.160 20 0 DCADLN CO[C@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)C(C)C ZINC001374665866 965725881 /nfs/dbraw/zinc/72/58/81/965725881.db2.gz OPCQRVXRGVJAFP-IUCAKERBSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@H](C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1)C(C)C ZINC001374665866 965725889 /nfs/dbraw/zinc/72/58/89/965725889.db2.gz OPCQRVXRGVJAFP-IUCAKERBSA-N 0 2 314.279 0.885 20 0 DCADLN COCC1(C(=O)NC[C@H]2CCCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001376583027 965855207 /nfs/dbraw/zinc/85/52/07/965855207.db2.gz ODDLBFFJVPPYQS-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(C(=O)NC[C@H]2CCCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001376583027 965855214 /nfs/dbraw/zinc/85/52/14/965855214.db2.gz ODDLBFFJVPPYQS-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccncn2)C1)C(F)C(F)(F)F ZINC001374849001 966028543 /nfs/dbraw/zinc/02/85/43/966028543.db2.gz WLULXFKBUNKUBF-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccncn2)C1)[C@@H](F)C(F)(F)F ZINC001374849001 966028553 /nfs/dbraw/zinc/02/85/53/966028553.db2.gz WLULXFKBUNKUBF-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN COc1ccnc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001375090353 966337976 /nfs/dbraw/zinc/33/79/76/966337976.db2.gz SCTGUIUGYUKSNF-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1ccnc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001375090353 966337988 /nfs/dbraw/zinc/33/79/88/966337988.db2.gz SCTGUIUGYUKSNF-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1ccnc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001375090352 966339078 /nfs/dbraw/zinc/33/90/78/966339078.db2.gz SCTGUIUGYUKSNF-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1ccnc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001375090352 966339085 /nfs/dbraw/zinc/33/90/85/966339085.db2.gz SCTGUIUGYUKSNF-SECBINFHSA-N 0 2 320.353 0.164 20 0 DCADLN COc1cc(C[NH2+][C@H]2C[C@@H](NC(=O)CCc3cnn[nH]3)C2)on1 ZINC001370684455 966375770 /nfs/dbraw/zinc/37/57/70/966375770.db2.gz XGYUKAZVYZHDSI-PHIMTYICSA-N 0 2 320.353 0.171 20 0 DCADLN CNC(=O)C[NH2+]C[C@H]1CCCCN1C(=O)CCc1[nH+]ccn1C ZINC001370931141 966596172 /nfs/dbraw/zinc/59/61/72/966596172.db2.gz MIALOFXQDNJGBA-CYBMUJFWSA-N 0 2 321.425 0.069 20 0 DCADLN CN(C[C@H](O)CNC(=O)CCCF)C(=O)C(F)C(F)(F)F ZINC001371005521 966659436 /nfs/dbraw/zinc/65/94/36/966659436.db2.gz JVIDXHCXEMXLSV-APPZFPTMSA-N 0 2 320.258 0.572 20 0 DCADLN CN(C[C@H](O)CNC(=O)CCCF)C(=O)[C@H](F)C(F)(F)F ZINC001371005521 966659441 /nfs/dbraw/zinc/65/94/41/966659441.db2.gz JVIDXHCXEMXLSV-APPZFPTMSA-N 0 2 320.258 0.572 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCc1ncc[nH]1 ZINC001447977121 1013680015 /nfs/dbraw/zinc/68/00/15/1013680015.db2.gz GFPSDBWJKTWNIU-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1Cc2ccccc2O1)Cc1n[nH]c(=O)[n-]1 ZINC001372030472 967583672 /nfs/dbraw/zinc/58/36/72/967583672.db2.gz LUBACTPUJGDUQF-GFCCVEGCSA-N 0 2 317.349 0.062 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1Cc2ccccc2O1)Cc1n[nH]c(=O)[n-]1 ZINC001372030472 967583677 /nfs/dbraw/zinc/58/36/77/967583677.db2.gz LUBACTPUJGDUQF-GFCCVEGCSA-N 0 2 317.349 0.062 20 0 DCADLN CCOCC(=O)NC[C@H](C)N(C)C(=O)C(F)C(F)(F)F ZINC001382897995 967731978 /nfs/dbraw/zinc/73/19/78/967731978.db2.gz WTFFJISVWMQUFO-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)NC[C@H](C)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001382897995 967731986 /nfs/dbraw/zinc/73/19/86/967731986.db2.gz WTFFJISVWMQUFO-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H](C)[C@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001372406008 967967448 /nfs/dbraw/zinc/96/74/48/967967448.db2.gz OEELKTQYEXWOTC-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H](C)[C@H]1CCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001372406008 967967454 /nfs/dbraw/zinc/96/74/54/967967454.db2.gz OEELKTQYEXWOTC-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1nc(CC(=O)NC[C@@H]2CCC[N@@H+]2Cc2cccnc2)n[nH]1 ZINC001372509191 968063284 /nfs/dbraw/zinc/06/32/84/968063284.db2.gz UFQXGODNHQUNTA-AWEZNQCLSA-N 0 2 314.393 0.831 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)C[N@@H+]2CC[C@@H](C)C2)c1[O-] ZINC001373121021 968732336 /nfs/dbraw/zinc/73/23/36/968732336.db2.gz VRRVEKSODYFBBA-ZJUUUORDSA-N 0 2 323.397 0.000 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H](C)CNC(=O)C[N@H+]2CC[C@@H](C)C2)c1[O-] ZINC001373121021 968732343 /nfs/dbraw/zinc/73/23/43/968732343.db2.gz VRRVEKSODYFBBA-ZJUUUORDSA-N 0 2 323.397 0.000 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001373284416 968898494 /nfs/dbraw/zinc/89/84/94/968898494.db2.gz LFUIPHVKGFFWNW-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001373284416 968898500 /nfs/dbraw/zinc/89/85/00/968898500.db2.gz LFUIPHVKGFFWNW-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001448608833 1013967054 /nfs/dbraw/zinc/96/70/54/1013967054.db2.gz GPAAGUYWCAALRH-VDTYLAMSSA-N 0 2 312.223 0.595 20 0 DCADLN C[C@H](CCNC(=O)c1cnon1)NC(=O)[C@H](F)C(F)(F)F ZINC001448608833 1013967059 /nfs/dbraw/zinc/96/70/59/1013967059.db2.gz GPAAGUYWCAALRH-VDTYLAMSSA-N 0 2 312.223 0.595 20 0 DCADLN O=C([O-])Cc1occc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000394625039 972723495 /nfs/dbraw/zinc/72/34/95/972723495.db2.gz ZSBBCWBHROFWEQ-GFCCVEGCSA-N 0 2 322.361 0.844 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])[C@@H](C)CO1 ZINC001603460214 973280562 /nfs/dbraw/zinc/28/05/62/973280562.db2.gz ARMSOEYLQDLGKF-NWDGAFQWSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])[C@@H](C)CO1 ZINC001603460214 973280571 /nfs/dbraw/zinc/28/05/71/973280571.db2.gz ARMSOEYLQDLGKF-NWDGAFQWSA-N 0 2 315.414 0.896 20 0 DCADLN Cc1cnc(C(C)(C)[NH2+]CCS(=O)(=O)CC(=O)[O-])s1 ZINC001605368613 973314199 /nfs/dbraw/zinc/31/41/99/973314199.db2.gz JOYSTCWNBBDYMV-UHFFFAOYSA-N 0 2 306.409 0.776 20 0 DCADLN Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)CCC(=O)[O-])cc[nH+]1 ZINC001605425323 973460784 /nfs/dbraw/zinc/46/07/84/973460784.db2.gz USQSQMAVRFAPSM-WDEREUQCSA-N 0 2 308.338 0.335 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)NC[C@@H](CC)C(=O)[O-] ZINC001603548521 973606590 /nfs/dbraw/zinc/60/65/90/973606590.db2.gz HIZVOBHXLGBXDI-VXGBXAGGSA-N 0 2 301.387 0.507 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)c2cc(C(=O)[O-])nn2C)CC1 ZINC001591725079 975676863 /nfs/dbraw/zinc/67/68/63/975676863.db2.gz TZDSKASGBFQTRR-UHFFFAOYSA-N 0 2 324.381 0.301 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nnc[nH]2)C[C@@H]1O)C(F)C(F)(F)F ZINC001549517534 1014284128 /nfs/dbraw/zinc/28/41/28/1014284128.db2.gz DIIUQZCGZLIWQA-XAMCCFCMSA-N 0 2 310.251 0.676 20 0 DCADLN Cn1cc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001522829732 1014405839 /nfs/dbraw/zinc/40/58/39/1014405839.db2.gz NGBUJNQPBGUNKA-QMMMGPOBSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1cc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001522829732 1014405845 /nfs/dbraw/zinc/40/58/45/1014405845.db2.gz NGBUJNQPBGUNKA-QMMMGPOBSA-N 0 2 310.251 0.557 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](S(=O)(=O)NCC[NH+]2CCSCC2)C1 ZINC000712793775 977595844 /nfs/dbraw/zinc/59/58/44/977595844.db2.gz CVVRSFZRPWTHPE-MNOVXSKESA-N 0 2 322.452 0.208 20 0 DCADLN C[C@H](CNC(=O)NCC(C)(C)CC(=O)[O-])[NH+]1CCN(C)CC1 ZINC001592664858 979067105 /nfs/dbraw/zinc/06/71/05/979067105.db2.gz HAZHRVUJOSOEHB-GFCCVEGCSA-N 0 2 314.430 0.422 20 0 DCADLN C[C@](CO)(NC(=O)c1cc(-n2cc[nH+]c2)ccc1O)C(=O)[O-] ZINC001592738959 979266479 /nfs/dbraw/zinc/26/64/79/979266479.db2.gz IXODLFFISOTWPN-CQSZACIVSA-N 0 2 305.290 0.143 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC2(CC(C(=O)[O-])=NO2)CC1 ZINC001592906346 979844066 /nfs/dbraw/zinc/84/40/66/979844066.db2.gz FSIBAVIKQAHZLG-SNVBAGLBSA-N 0 2 320.349 0.810 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC2(CC(C(=O)[O-])=NO2)CC1 ZINC001592906346 979844073 /nfs/dbraw/zinc/84/40/73/979844073.db2.gz FSIBAVIKQAHZLG-SNVBAGLBSA-N 0 2 320.349 0.810 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1CCO[C@@H]1C(=O)[O-])[N@@H+]1CCO[C@@H](CC)C1 ZINC001595484569 981222630 /nfs/dbraw/zinc/22/26/30/981222630.db2.gz SSEMHOPGWFFUAX-RNJOBUHISA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@@H](C(=O)N[C@@H]1CCO[C@@H]1C(=O)[O-])[N@H+]1CCO[C@@H](CC)C1 ZINC001595484569 981222635 /nfs/dbraw/zinc/22/26/35/981222635.db2.gz SSEMHOPGWFFUAX-RNJOBUHISA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1cccc(C(=O)[O-])n1 ZINC001595501158 981275591 /nfs/dbraw/zinc/27/55/91/981275591.db2.gz WRYCFTIZPXOMFM-LLVKDONJSA-N 0 2 307.350 0.620 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)c1cccc(C(=O)[O-])n1 ZINC001595501158 981275594 /nfs/dbraw/zinc/27/55/94/981275594.db2.gz WRYCFTIZPXOMFM-LLVKDONJSA-N 0 2 307.350 0.620 20 0 DCADLN CCCC(=O)N1CCC[C@H]1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001595523667 981348758 /nfs/dbraw/zinc/34/87/58/981348758.db2.gz NSCIOZUFUXFJEE-RYUDHWBXSA-N 0 2 322.365 0.313 20 0 DCADLN CCCC(=O)N1CCC[C@H]1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001595523667 981348764 /nfs/dbraw/zinc/34/87/64/981348764.db2.gz NSCIOZUFUXFJEE-RYUDHWBXSA-N 0 2 322.365 0.313 20 0 DCADLN CCN(C[C@@H](C)OC)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001450020539 1014848914 /nfs/dbraw/zinc/84/89/14/1014848914.db2.gz FYAHXJFFNLNEMW-SNVBAGLBSA-N 0 2 320.349 0.763 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(c3cc(N4CCC[C@@H]4CO)nc[nH+]3)C[C@@H]21 ZINC001550919429 1014913559 /nfs/dbraw/zinc/91/35/59/1014913559.db2.gz OIXBQNORHUGJKH-MCYUEQNJSA-N 0 2 318.377 0.595 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(c3cc(N4CCC[C@@H]4CO)[nH+]cn3)C[C@@H]21 ZINC001550919429 1014913568 /nfs/dbraw/zinc/91/35/68/1014913568.db2.gz OIXBQNORHUGJKH-MCYUEQNJSA-N 0 2 318.377 0.595 20 0 DCADLN CCN(CC)C(=O)C[N@@H+]1C[C@H](C(=O)OC)CC[C@H]1C(=O)[O-] ZINC001596247882 983649325 /nfs/dbraw/zinc/64/93/25/983649325.db2.gz WOVWXYOTLICYJR-MNOVXSKESA-N 0 2 300.355 0.193 20 0 DCADLN CCN(CC)C(=O)C[N@H+]1C[C@H](C(=O)OC)CC[C@H]1C(=O)[O-] ZINC001596247882 983649329 /nfs/dbraw/zinc/64/93/29/983649329.db2.gz WOVWXYOTLICYJR-MNOVXSKESA-N 0 2 300.355 0.193 20 0 DCADLN CCN(CC)C(=O)C[N@@H+]1C[C@@H](C(=O)OC)CC[C@H]1C(=O)[O-] ZINC001596247883 983649460 /nfs/dbraw/zinc/64/94/60/983649460.db2.gz WOVWXYOTLICYJR-QWRGUYRKSA-N 0 2 300.355 0.193 20 0 DCADLN CCN(CC)C(=O)C[N@H+]1C[C@@H](C(=O)OC)CC[C@H]1C(=O)[O-] ZINC001596247883 983649462 /nfs/dbraw/zinc/64/94/62/983649462.db2.gz WOVWXYOTLICYJR-QWRGUYRKSA-N 0 2 300.355 0.193 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccsc2C(=O)[O-])CC1 ZINC001596427609 984086144 /nfs/dbraw/zinc/08/61/44/984086144.db2.gz JHJJGZSIFWCRBN-UHFFFAOYSA-N 0 2 311.363 0.549 20 0 DCADLN CCO[C@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001450278398 1015003941 /nfs/dbraw/zinc/00/39/41/1015003941.db2.gz FYPBVZXXNDZPBP-SVRRBLITSA-N 0 2 300.252 0.639 20 0 DCADLN CCO[C@H](C)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001450278398 1015003954 /nfs/dbraw/zinc/00/39/54/1015003954.db2.gz FYPBVZXXNDZPBP-SVRRBLITSA-N 0 2 300.252 0.639 20 0 DCADLN CCO[C@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001450278266 1015004322 /nfs/dbraw/zinc/00/43/22/1015004322.db2.gz FYPBVZXXNDZPBP-HTRCEHHLSA-N 0 2 300.252 0.639 20 0 DCADLN CCO[C@H](C)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450278266 1015004333 /nfs/dbraw/zinc/00/43/33/1015004333.db2.gz FYPBVZXXNDZPBP-HTRCEHHLSA-N 0 2 300.252 0.639 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2occc2C(=O)[O-])[C@H](C)C1 ZINC001596438562 984127747 /nfs/dbraw/zinc/12/77/47/984127747.db2.gz JVINHUCEDBPQDA-SECBINFHSA-N 0 2 302.352 0.693 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2occc2C(=O)[O-])[C@H](C)C1 ZINC001596438562 984127749 /nfs/dbraw/zinc/12/77/49/984127749.db2.gz JVINHUCEDBPQDA-SECBINFHSA-N 0 2 302.352 0.693 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)O[C@H](Cc2ccccc2)C(=O)[O-])C1 ZINC001596442959 984142096 /nfs/dbraw/zinc/14/20/96/984142096.db2.gz XQCOOIDOMHMQQA-KGLIPLIRSA-N 0 2 307.346 0.946 20 0 DCADLN CC[N@H+]1CCO[C@H](C(=O)O[C@H](Cc2ccccc2)C(=O)[O-])C1 ZINC001596442959 984142100 /nfs/dbraw/zinc/14/21/00/984142100.db2.gz XQCOOIDOMHMQQA-KGLIPLIRSA-N 0 2 307.346 0.946 20 0 DCADLN CCN1OC[C@@H]([N@@H+]2CC[C@H](c3ncc(C(=O)[O-])s3)C2)C1=O ZINC001596449144 984148340 /nfs/dbraw/zinc/14/83/40/984148340.db2.gz CSWNLXRQSRJDSW-DTWKUNHWSA-N 0 2 311.363 0.793 20 0 DCADLN CCN1OC[C@@H]([N@H+]2CC[C@H](c3ncc(C(=O)[O-])s3)C2)C1=O ZINC001596449144 984148344 /nfs/dbraw/zinc/14/83/44/984148344.db2.gz CSWNLXRQSRJDSW-DTWKUNHWSA-N 0 2 311.363 0.793 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001524858446 1015056563 /nfs/dbraw/zinc/05/65/63/1015056563.db2.gz NZGKUTDAKGBXGE-JBDRJPRFSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC001524858446 1015056601 /nfs/dbraw/zinc/05/66/01/1015056601.db2.gz NZGKUTDAKGBXGE-JBDRJPRFSA-N 0 2 312.263 0.829 20 0 DCADLN CCOC(=O)c1c(C)n[nH]c1C[N@@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001596696627 984840859 /nfs/dbraw/zinc/84/08/59/984840859.db2.gz KUBWISUIPRSJPE-NXEZZACHSA-N 0 2 311.338 0.162 20 0 DCADLN CCOC(=O)c1c(C)n[nH]c1C[N@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001596696627 984840874 /nfs/dbraw/zinc/84/08/74/984840874.db2.gz KUBWISUIPRSJPE-NXEZZACHSA-N 0 2 311.338 0.162 20 0 DCADLN C[N@H+](CC(=O)N[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1)C1CCC1 ZINC001525087497 1015097805 /nfs/dbraw/zinc/09/78/05/1015097805.db2.gz DDRYUPBHNZKYRG-JOCQHMNTSA-N 0 2 319.409 0.131 20 0 DCADLN CC(=O)N1CC[NH+](C[C@@H](O)COc2ccc(C(=O)[O-])cc2)CC1 ZINC001589081034 985246869 /nfs/dbraw/zinc/24/68/69/985246869.db2.gz GJCFQWYWTJDVNV-CQSZACIVSA-N 0 2 322.361 0.289 20 0 DCADLN CCOC(C[N@@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC)OCC ZINC001596788913 985255309 /nfs/dbraw/zinc/25/53/09/985255309.db2.gz CGPBGOUEWGONTI-WDEREUQCSA-N 0 2 303.355 0.724 20 0 DCADLN CCOC(C[N@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC)OCC ZINC001596788913 985255315 /nfs/dbraw/zinc/25/53/15/985255315.db2.gz CGPBGOUEWGONTI-WDEREUQCSA-N 0 2 303.355 0.724 20 0 DCADLN COCCC(=O)N1C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001384771420 985803445 /nfs/dbraw/zinc/80/34/45/985803445.db2.gz DLMQOSYLFYGWQA-MRTMQBJTSA-N 0 2 314.279 0.886 20 0 DCADLN COCCC(=O)N1C[C@@H](C)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001384771420 985803459 /nfs/dbraw/zinc/80/34/59/985803459.db2.gz DLMQOSYLFYGWQA-MRTMQBJTSA-N 0 2 314.279 0.886 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@@H](O)C(C)(C)C)n[nH]1 ZINC001551666457 1015170653 /nfs/dbraw/zinc/17/06/53/1015170653.db2.gz GEDNEXMZTJJRRC-GRYCIOLGSA-N 0 2 310.398 0.851 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)Cc2ccon2)n[nH]1 ZINC001551676415 1015175795 /nfs/dbraw/zinc/17/57/95/1015175795.db2.gz SZNNUPMUXISCAV-RYUDHWBXSA-N 0 2 305.338 0.675 20 0 DCADLN Cc1cc(CC(=O)N(C)C[C@@H]2OCC[C@@H]2c2n[nH]c(C)n2)no1 ZINC001551676307 1015176852 /nfs/dbraw/zinc/17/68/52/1015176852.db2.gz SRCVZBBENJIZBN-STQMWFEESA-N 0 2 319.365 0.983 20 0 DCADLN Cc1c(-c2cc(C[N@@H+]3C[C@H](O)C[C@H]3C(=O)[O-])on2)cnn1C ZINC001599969895 985988212 /nfs/dbraw/zinc/98/82/12/985988212.db2.gz XSDZDQQEOCTYOO-RNCFNFMXSA-N 0 2 306.322 0.403 20 0 DCADLN Cc1c(-c2cc(C[N@H+]3C[C@H](O)C[C@H]3C(=O)[O-])on2)cnn1C ZINC001599969895 985988222 /nfs/dbraw/zinc/98/82/22/985988222.db2.gz XSDZDQQEOCTYOO-RNCFNFMXSA-N 0 2 306.322 0.403 20 0 DCADLN CC(=O)c1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1O ZINC001589276471 985990276 /nfs/dbraw/zinc/99/02/76/985990276.db2.gz WRAKQCSQBQEKFJ-GFCCVEGCSA-N 0 2 317.301 0.675 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)c1cncc(C=O)c1 ZINC001594522913 986017500 /nfs/dbraw/zinc/01/75/00/986017500.db2.gz XVRKWKOHJMCVAK-LLVKDONJSA-N 0 2 305.334 0.515 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1cncc(C=O)c1 ZINC001594522913 986017515 /nfs/dbraw/zinc/01/75/15/986017515.db2.gz XVRKWKOHJMCVAK-LLVKDONJSA-N 0 2 305.334 0.515 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385199660 986154037 /nfs/dbraw/zinc/15/40/37/986154037.db2.gz AFURRBUKLGUWNP-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385199660 986154042 /nfs/dbraw/zinc/15/40/42/986154042.db2.gz AFURRBUKLGUWNP-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN C[C@@H](C(=O)N1CCc2ccc(C(=O)[O-])cc21)N1CC[NH2+]CC1=O ZINC001589368095 986393936 /nfs/dbraw/zinc/39/39/36/986393936.db2.gz ASDTWSKFIBJPLP-JTQLQIEISA-N 0 2 317.345 0.094 20 0 DCADLN COCCC(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001385545375 986417302 /nfs/dbraw/zinc/41/73/02/986417302.db2.gz XRMKQCXTRAJDNP-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN COCCC(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385545375 986417305 /nfs/dbraw/zinc/41/73/05/986417305.db2.gz XRMKQCXTRAJDNP-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H](C(=O)N[C@H](C(=O)[O-])c1ccn(C)n1)[NH+]1CCSCC1 ZINC001589378374 986442639 /nfs/dbraw/zinc/44/26/39/986442639.db2.gz IPQUFWKZKYKEKF-KOLCDFICSA-N 0 2 312.395 0.099 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001385650585 986495907 /nfs/dbraw/zinc/49/59/07/986495907.db2.gz CIYAWRGNZMFNDN-RITPCOANSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2nc[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001385650585 986495908 /nfs/dbraw/zinc/49/59/08/986495908.db2.gz CIYAWRGNZMFNDN-RITPCOANSA-N 0 2 309.223 0.036 20 0 DCADLN C[C@H](C(=O)NCc1ccccc1)[N@@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001589415175 986642298 /nfs/dbraw/zinc/64/22/98/986642298.db2.gz JYVHYVHFILAFSH-BZPMIXESSA-N 0 2 306.362 0.865 20 0 DCADLN C[C@H](C(=O)NCc1ccccc1)[N@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001589415175 986642299 /nfs/dbraw/zinc/64/22/99/986642299.db2.gz JYVHYVHFILAFSH-BZPMIXESSA-N 0 2 306.362 0.865 20 0 DCADLN COCCC(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385973530 986724608 /nfs/dbraw/zinc/72/46/08/986724608.db2.gz VFPGYSDAGCHIJX-BKPPORCPSA-N 0 2 300.252 0.687 20 0 DCADLN COCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385973530 986724609 /nfs/dbraw/zinc/72/46/09/986724609.db2.gz VFPGYSDAGCHIJX-BKPPORCPSA-N 0 2 300.252 0.687 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@@H](NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001386251215 987000544 /nfs/dbraw/zinc/00/05/44/987000544.db2.gz BNGFENXWSLCBMC-YIZRAAEISA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001386251215 987000552 /nfs/dbraw/zinc/00/05/52/987000552.db2.gz BNGFENXWSLCBMC-YIZRAAEISA-N 0 2 322.262 0.698 20 0 DCADLN C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)NC(=O)C(F)C(F)(F)F ZINC001386439329 987149776 /nfs/dbraw/zinc/14/97/76/987149776.db2.gz FWUQAVAYVHGSBL-XGQMLPDNSA-N 0 2 312.263 0.400 20 0 DCADLN C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)NC(=O)[C@@H](F)C(F)(F)F ZINC001386439329 987149779 /nfs/dbraw/zinc/14/97/79/987149779.db2.gz FWUQAVAYVHGSBL-XGQMLPDNSA-N 0 2 312.263 0.400 20 0 DCADLN CC(C)(C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C1CCOCC1 ZINC001589579168 987358356 /nfs/dbraw/zinc/35/83/56/987358356.db2.gz DYCDWYWETCKRSL-GFCCVEGCSA-N 0 2 309.366 0.974 20 0 DCADLN CC(C)(C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C1CCOCC1 ZINC001589579168 987358362 /nfs/dbraw/zinc/35/83/62/987358362.db2.gz DYCDWYWETCKRSL-GFCCVEGCSA-N 0 2 309.366 0.974 20 0 DCADLN Cc1cnn(C)c1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001386934694 987513525 /nfs/dbraw/zinc/51/35/25/987513525.db2.gz LRESWMOBFJTYEG-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cnn(C)c1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001386934694 987513527 /nfs/dbraw/zinc/51/35/27/987513527.db2.gz LRESWMOBFJTYEG-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ccn(C)n1 ZINC001386934686 987513938 /nfs/dbraw/zinc/51/39/38/987513938.db2.gz LORKJBIBSUJOEE-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cc1ccn(C)n1 ZINC001386934686 987513945 /nfs/dbraw/zinc/51/39/45/987513945.db2.gz LORKJBIBSUJOEE-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CC(C)[N@H+]1CCC[C@@H]1C(=O)N[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001387193626 987695963 /nfs/dbraw/zinc/69/59/63/987695963.db2.gz VLNUTJMSVOMRTH-TZMCWYRMSA-N 0 2 321.425 0.446 20 0 DCADLN CCc1cc(=O)[nH]c(C[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001597488226 987998252 /nfs/dbraw/zinc/99/82/52/987998252.db2.gz YJOLDGFVXYBJKA-SNVBAGLBSA-N 0 2 318.337 0.481 20 0 DCADLN CCc1cc(=O)[nH]c(C[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001597488226 987998264 /nfs/dbraw/zinc/99/82/64/987998264.db2.gz YJOLDGFVXYBJKA-SNVBAGLBSA-N 0 2 318.337 0.481 20 0 DCADLN CCc1ccc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])nc1 ZINC001597521490 988155929 /nfs/dbraw/zinc/15/59/29/988155929.db2.gz OTCAJMHLHQWDOS-ZDUSSCGKSA-N 0 2 302.334 0.722 20 0 DCADLN CCc1ccc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])nc1 ZINC001597521490 988155932 /nfs/dbraw/zinc/15/59/32/988155932.db2.gz OTCAJMHLHQWDOS-ZDUSSCGKSA-N 0 2 302.334 0.722 20 0 DCADLN O=C(NCC1CN(C(=O)c2cocn2)C1)C(F)C(F)(F)F ZINC001387879114 988247437 /nfs/dbraw/zinc/24/74/37/988247437.db2.gz QTHDCEXRNJJPBD-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)c2cocn2)C1)[C@@H](F)C(F)(F)F ZINC001387879114 988247447 /nfs/dbraw/zinc/24/74/47/988247447.db2.gz QTHDCEXRNJJPBD-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN CCc1cnc(C[NH2+]C2(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)o1 ZINC001597631641 988599100 /nfs/dbraw/zinc/59/91/00/988599100.db2.gz YCLLITIJYORRBU-GHMZBOCLSA-N 0 2 307.350 0.696 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCN(C)C(=O)C(F)C(F)(F)F ZINC001388989508 988719454 /nfs/dbraw/zinc/71/94/54/988719454.db2.gz MTZPXCKXMAOHMS-BDAKNGLRSA-N 0 2 316.295 0.821 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001388989508 988719459 /nfs/dbraw/zinc/71/94/59/988719459.db2.gz MTZPXCKXMAOHMS-BDAKNGLRSA-N 0 2 316.295 0.821 20 0 DCADLN C[C@H](CNC(=O)Cc1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001389199781 988975864 /nfs/dbraw/zinc/97/58/64/988975864.db2.gz ZQIONQPDJRJJDQ-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001389383577 989184999 /nfs/dbraw/zinc/18/49/99/989184999.db2.gz SJVBITHAMKVVHJ-IMTBSYHQSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@@H](CNC(=O)Cc1cc[nH]n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001389383577 989185005 /nfs/dbraw/zinc/18/50/05/989185005.db2.gz SJVBITHAMKVVHJ-IMTBSYHQSA-N 0 2 310.251 0.474 20 0 DCADLN CC[C@H](C)NC(=O)C[N@@H+]1CC[C@H](NC(=O)C[NH+](C)C2CCC2)C1 ZINC001389452738 989267563 /nfs/dbraw/zinc/26/75/63/989267563.db2.gz CEBUKAAQRFZPPX-KBPBESRZSA-N 0 2 324.469 0.576 20 0 DCADLN CCn1nncc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001389462844 989287722 /nfs/dbraw/zinc/28/77/22/989287722.db2.gz PORYZXOKPRPXCY-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1nncc1CN1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001389462844 989287730 /nfs/dbraw/zinc/28/77/30/989287730.db2.gz PORYZXOKPRPXCY-PSASIEDQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncc(C[N@H+](C)CCCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001597957201 989969052 /nfs/dbraw/zinc/96/90/52/989969052.db2.gz OPUZUYCJIYSVGB-OLZOCXBDSA-N 0 2 323.397 0.299 20 0 DCADLN CCn1ncc(C[N@@H+](C)CCCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])n1 ZINC001597957201 989969059 /nfs/dbraw/zinc/96/90/59/989969059.db2.gz OPUZUYCJIYSVGB-OLZOCXBDSA-N 0 2 323.397 0.299 20 0 DCADLN COc1cncc(C[N@H+](C)CCNC(=O)[C@@H](C(C)C)[NH+](C)C)n1 ZINC001390851723 990436219 /nfs/dbraw/zinc/43/62/19/990436219.db2.gz ZRHIMQZASYOUKY-OAHLLOKOSA-N 0 2 323.441 0.619 20 0 DCADLN CSC[C@@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391044133 990536844 /nfs/dbraw/zinc/53/68/44/990536844.db2.gz MKWZPSJMJPZJAM-NXEZZACHSA-N 0 2 313.427 0.590 20 0 DCADLN CSC[C@@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001391044133 990536849 /nfs/dbraw/zinc/53/68/49/990536849.db2.gz MKWZPSJMJPZJAM-NXEZZACHSA-N 0 2 313.427 0.590 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001451074561 1015576215 /nfs/dbraw/zinc/57/62/15/1015576215.db2.gz YOPPSOKBPZHJNL-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001451074561 1015576224 /nfs/dbraw/zinc/57/62/24/1015576224.db2.gz YOPPSOKBPZHJNL-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN CC(C)([NH2+]CC(=O)NC(=O)NC1CC1)c1nocc1C(=O)[O-] ZINC001590866973 990764724 /nfs/dbraw/zinc/76/47/24/990764724.db2.gz YIRGHYNIHPHPKZ-UHFFFAOYSA-N 0 2 310.310 0.186 20 0 DCADLN CN1CC[NH+]([C@@H]2CCN(C(=O)NCc3cncc(O)c3)C2)CC1 ZINC001553035696 1015592571 /nfs/dbraw/zinc/59/25/71/1015592571.db2.gz ZAJSHRWBFCHBIP-CQSZACIVSA-N 0 2 319.409 0.319 20 0 DCADLN CC(C)C(=O)N1CCC[N@H+](Cc2cnc(C(=O)[O-])cn2)CC1 ZINC001590933360 990947649 /nfs/dbraw/zinc/94/76/49/990947649.db2.gz ILIQEZZDEQHLKT-UHFFFAOYSA-N 0 2 306.366 0.865 20 0 DCADLN CC(C)C(=O)N1CCC[N@@H+](Cc2cnc(C(=O)[O-])cn2)CC1 ZINC001590933360 990947658 /nfs/dbraw/zinc/94/76/58/990947658.db2.gz ILIQEZZDEQHLKT-UHFFFAOYSA-N 0 2 306.366 0.865 20 0 DCADLN CC[C@H](SC)C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391619731 991024813 /nfs/dbraw/zinc/02/48/13/991024813.db2.gz PNJWNFRASSKLNH-UWVGGRQHSA-N 0 2 313.427 0.685 20 0 DCADLN CCn1cc(C[NH2+]C[C@](C)(NC(=O)c2ncn[nH]2)C2CC2)nn1 ZINC001391794795 991189467 /nfs/dbraw/zinc/18/94/67/991189467.db2.gz JCPDGDGSQMYGDD-AWEZNQCLSA-N 0 2 318.385 0.104 20 0 DCADLN CCn1cc(C[NH2+]C[C@](C)(NC(=O)c2nc[nH]n2)C2CC2)nn1 ZINC001391794795 991189469 /nfs/dbraw/zinc/18/94/69/991189469.db2.gz JCPDGDGSQMYGDD-AWEZNQCLSA-N 0 2 318.385 0.104 20 0 DCADLN COc1ccnc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001392300929 991719674 /nfs/dbraw/zinc/71/96/74/991719674.db2.gz PIXLFMRGEGYZOO-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN COc1ccnc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001392300929 991719679 /nfs/dbraw/zinc/71/96/79/991719679.db2.gz PIXLFMRGEGYZOO-UHFFFAOYSA-N 0 2 320.353 0.118 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)[C@@H](C)C(=O)[O-] ZINC001591109095 991758420 /nfs/dbraw/zinc/75/84/20/991758420.db2.gz UIUKQSHVEKYEGA-CMPLNLGQSA-N 0 2 324.381 0.415 20 0 DCADLN CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)[C@@H](C)C(=O)[O-] ZINC001591109095 991758430 /nfs/dbraw/zinc/75/84/30/991758430.db2.gz UIUKQSHVEKYEGA-CMPLNLGQSA-N 0 2 324.381 0.415 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)[C@@H](C)C(=O)[O-] ZINC001591109096 991758908 /nfs/dbraw/zinc/75/89/08/991758908.db2.gz UIUKQSHVEKYEGA-JQWIXIFHSA-N 0 2 324.381 0.415 20 0 DCADLN CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)[C@@H](C)C(=O)[O-] ZINC001591109096 991758916 /nfs/dbraw/zinc/75/89/16/991758916.db2.gz UIUKQSHVEKYEGA-JQWIXIFHSA-N 0 2 324.381 0.415 20 0 DCADLN Cn1ncnc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001392829934 992437803 /nfs/dbraw/zinc/43/78/03/992437803.db2.gz CWGPCSUUWZAQGH-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncnc1CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001392829934 992437808 /nfs/dbraw/zinc/43/78/08/992437808.db2.gz CWGPCSUUWZAQGH-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN CC(C)Cn1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001591520059 992685041 /nfs/dbraw/zinc/68/50/41/992685041.db2.gz BITWDHNBBOLUNR-GFCCVEGCSA-N 0 2 305.338 0.688 20 0 DCADLN CC(C)Cn1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001591520059 992685043 /nfs/dbraw/zinc/68/50/43/992685043.db2.gz BITWDHNBBOLUNR-GFCCVEGCSA-N 0 2 305.338 0.688 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393124930 992703491 /nfs/dbraw/zinc/70/34/91/992703491.db2.gz TXJWDBNNFSNHSQ-HZMBPMFUSA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393124930 992703497 /nfs/dbraw/zinc/70/34/97/992703497.db2.gz TXJWDBNNFSNHSQ-HZMBPMFUSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(CCCC1CC1)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393255220 992796297 /nfs/dbraw/zinc/79/62/97/992796297.db2.gz KRVMWGKTGLEBHZ-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN O=C(CCCC1CC1)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001393255220 992796306 /nfs/dbraw/zinc/79/63/06/992796306.db2.gz KRVMWGKTGLEBHZ-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)[C@H]2CCCC[C@@H]2C(=O)[O-])CC1 ZINC001591633296 992936236 /nfs/dbraw/zinc/93/62/36/992936236.db2.gz CYBMVYWNJFAGGT-STQMWFEESA-N 0 2 318.439 0.986 20 0 DCADLN CC1(CC(=O)N[C@]2(CO)CCCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001393441486 992991409 /nfs/dbraw/zinc/99/14/09/992991409.db2.gz SSGFDZQKCZNGSJ-OAHLLOKOSA-N 0 2 323.397 0.144 20 0 DCADLN CC(C)(O)CC(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001393619614 993131259 /nfs/dbraw/zinc/13/12/59/993131259.db2.gz STBJZCDEMFHMBY-MRVPVSSYSA-N 0 2 314.279 0.813 20 0 DCADLN CC(C)(O)CC(=O)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001393619614 993131263 /nfs/dbraw/zinc/13/12/63/993131263.db2.gz STBJZCDEMFHMBY-MRVPVSSYSA-N 0 2 314.279 0.813 20 0 DCADLN CS[C@H](C)CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394052057 993436642 /nfs/dbraw/zinc/43/66/42/993436642.db2.gz OGCLHIQBOFDRSJ-RKDXNWHRSA-N 0 2 301.416 0.588 20 0 DCADLN CS[C@H](C)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394052057 993436649 /nfs/dbraw/zinc/43/66/49/993436649.db2.gz OGCLHIQBOFDRSJ-RKDXNWHRSA-N 0 2 301.416 0.588 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001399685296 993669151 /nfs/dbraw/zinc/66/91/51/993669151.db2.gz FUBJZEHOMYGAOR-ZETCQYMHSA-N 0 2 310.251 0.841 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC001399685296 993669154 /nfs/dbraw/zinc/66/91/54/993669154.db2.gz FUBJZEHOMYGAOR-ZETCQYMHSA-N 0 2 310.251 0.841 20 0 DCADLN O=C(Cc1ccco1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399883418 993876399 /nfs/dbraw/zinc/87/63/99/993876399.db2.gz UUTMEYJCQBXZBO-SNVBAGLBSA-N 0 2 305.338 0.427 20 0 DCADLN O=C(Cc1ccco1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399883418 993876405 /nfs/dbraw/zinc/87/64/05/993876405.db2.gz UUTMEYJCQBXZBO-SNVBAGLBSA-N 0 2 305.338 0.427 20 0 DCADLN CSC[C@H](C)C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001399994691 994033970 /nfs/dbraw/zinc/03/39/70/994033970.db2.gz HGJCQXHHSPWAHG-VIFPVBQESA-N 0 2 313.427 0.590 20 0 DCADLN CSC[C@H](C)C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001399994691 994033977 /nfs/dbraw/zinc/03/39/77/994033977.db2.gz HGJCQXHHSPWAHG-VIFPVBQESA-N 0 2 313.427 0.590 20 0 DCADLN C[C@H]([NH2+]C(c1nccn1C)c1nccn1C)[C@H]1C[C@H]1C(=O)[O-] ZINC001593208709 994229231 /nfs/dbraw/zinc/22/92/31/994229231.db2.gz DZQFZYBSXVSDEU-HBNTYKKESA-N 0 2 303.366 0.942 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccn(C)n1 ZINC001400198830 994271538 /nfs/dbraw/zinc/27/15/38/994271538.db2.gz HJVZYPHSRHXUGX-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1ccn(C)n1 ZINC001400198830 994271548 /nfs/dbraw/zinc/27/15/48/994271548.db2.gz HJVZYPHSRHXUGX-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001400215190 994289298 /nfs/dbraw/zinc/28/92/98/994289298.db2.gz ROSVUJJVHSVKTG-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@@H](CNC(=O)c1cn(C)cn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001400215190 994289309 /nfs/dbraw/zinc/28/93/09/994289309.db2.gz ROSVUJJVHSVKTG-POYBYMJQSA-N 0 2 310.251 0.555 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN(Cc2nc(C(=O)[O-])cs2)CC1 ZINC001598642480 994334133 /nfs/dbraw/zinc/33/41/33/994334133.db2.gz JYGAPYJLDPCFAP-UHFFFAOYSA-N 0 2 312.395 0.095 20 0 DCADLN CNC(=O)C[N@H+]1CCCN(Cc2nc(C(=O)[O-])cs2)CC1 ZINC001598642480 994334143 /nfs/dbraw/zinc/33/41/43/994334143.db2.gz JYGAPYJLDPCFAP-UHFFFAOYSA-N 0 2 312.395 0.095 20 0 DCADLN O=C(CCc1ccsc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400340470 994426177 /nfs/dbraw/zinc/42/61/77/994426177.db2.gz JBHXLHIKNLQRKY-UHFFFAOYSA-N 0 2 307.379 0.505 20 0 DCADLN COCCC(=O)N[C@@H](C)CN(C)C(=O)C(F)C(F)(F)F ZINC001510604625 1015885762 /nfs/dbraw/zinc/88/57/62/1015885762.db2.gz FJEBHZDFDGMMKX-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N[C@@H](C)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001510604625 1015885778 /nfs/dbraw/zinc/88/57/78/1015885778.db2.gz FJEBHZDFDGMMKX-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N[C@@H](C)CN(C)C(=O)C(F)C(F)(F)F ZINC001510604627 1015886337 /nfs/dbraw/zinc/88/63/37/1015886337.db2.gz FJEBHZDFDGMMKX-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN COCCC(=O)N[C@@H](C)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001510604627 1015886344 /nfs/dbraw/zinc/88/63/44/1015886344.db2.gz FJEBHZDFDGMMKX-IONNQARKSA-N 0 2 302.268 0.886 20 0 DCADLN C[C@@H]([NH2+]Cc1cn(CC(=O)[O-])nn1)c1ccc([S@@](C)=O)cc1 ZINC001593310418 994772084 /nfs/dbraw/zinc/77/20/84/994772084.db2.gz IEBNKQJRIHFEDW-ZQJOYCHOSA-N 0 2 322.390 0.951 20 0 DCADLN C[C@@](O)(CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1)C(=O)[O-] ZINC001593399269 995154216 /nfs/dbraw/zinc/15/42/16/995154216.db2.gz PDXCHOBCYXGYOQ-XJKSGUPXSA-N 0 2 321.377 0.388 20 0 DCADLN C[C@@](O)(CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1)C(=O)[O-] ZINC001593399269 995154224 /nfs/dbraw/zinc/15/42/24/995154224.db2.gz PDXCHOBCYXGYOQ-XJKSGUPXSA-N 0 2 321.377 0.388 20 0 DCADLN C[C@H](O)c1ncc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])s1 ZINC001593482182 995431715 /nfs/dbraw/zinc/43/17/15/995431715.db2.gz PSSONBIVAYIVIR-WCBMZHEXSA-N 0 2 313.379 0.362 20 0 DCADLN C[C@H](O)c1ncc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])s1 ZINC001593482182 995431717 /nfs/dbraw/zinc/43/17/17/995431717.db2.gz PSSONBIVAYIVIR-WCBMZHEXSA-N 0 2 313.379 0.362 20 0 DCADLN C[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001396987037 995725194 /nfs/dbraw/zinc/72/51/94/995725194.db2.gz FIYDEAOKRDLZNB-SFYZADRCSA-N 0 2 321.303 0.741 20 0 DCADLN CCOCC(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001397147105 995824413 /nfs/dbraw/zinc/82/44/13/995824413.db2.gz QBAXTYPINALLFT-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397147105 995824422 /nfs/dbraw/zinc/82/44/22/995824422.db2.gz QBAXTYPINALLFT-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001397703705 996138999 /nfs/dbraw/zinc/13/89/99/996138999.db2.gz RRSIILFWFYLENZ-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N1CCC[C@@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397703705 996139005 /nfs/dbraw/zinc/13/90/05/996139005.db2.gz RRSIILFWFYLENZ-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN COC(=O)[C@H]1C[N@H+](CC(=O)NCCC(=O)[O-])Cc2ccccc21 ZINC001598822597 996346188 /nfs/dbraw/zinc/34/61/88/996346188.db2.gz RITOYUWSVZXHNX-ZDUSSCGKSA-N 0 2 320.345 0.350 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](CC(=O)NCCC(=O)[O-])Cc2ccccc21 ZINC001598822597 996346191 /nfs/dbraw/zinc/34/61/91/996346191.db2.gz RITOYUWSVZXHNX-ZDUSSCGKSA-N 0 2 320.345 0.350 20 0 DCADLN CSCCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001398602796 996599048 /nfs/dbraw/zinc/59/90/48/996599048.db2.gz GMYTVMPNQWGCRI-QMMMGPOBSA-N 0 2 302.293 0.967 20 0 DCADLN CSCCC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001398602796 996599050 /nfs/dbraw/zinc/59/90/50/996599050.db2.gz GMYTVMPNQWGCRI-QMMMGPOBSA-N 0 2 302.293 0.967 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001599078778 996945425 /nfs/dbraw/zinc/94/54/25/996945425.db2.gz KBARMSCITJUNOH-SNVBAGLBSA-N 0 2 309.244 0.850 20 0 DCADLN CO[C@]1(C(=O)[O-])CC[N@@H+](Cc2nc(CC(F)(F)F)no2)C1 ZINC001599078778 996945439 /nfs/dbraw/zinc/94/54/39/996945439.db2.gz KBARMSCITJUNOH-SNVBAGLBSA-N 0 2 309.244 0.850 20 0 DCADLN CC1(C)C[N@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C[C@@]2(CCOC2)O1 ZINC001593942028 996959715 /nfs/dbraw/zinc/95/97/15/996959715.db2.gz ABRLCEFESZLGEX-XHDPSFHLSA-N 0 2 312.366 0.147 20 0 DCADLN CC1(C)C[N@@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C[C@@]2(CCOC2)O1 ZINC001593942028 996959725 /nfs/dbraw/zinc/95/97/25/996959725.db2.gz ABRLCEFESZLGEX-XHDPSFHLSA-N 0 2 312.366 0.147 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCC[N@@H+]1CC(=O)NC1CCOCC1 ZINC001599189074 997086794 /nfs/dbraw/zinc/08/67/94/997086794.db2.gz VQIAQAAXYBVSAM-OAHLLOKOSA-N 0 2 314.382 0.237 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCC[N@H+]1CC(=O)NC1CCOCC1 ZINC001599189074 997086804 /nfs/dbraw/zinc/08/68/04/997086804.db2.gz VQIAQAAXYBVSAM-OAHLLOKOSA-N 0 2 314.382 0.237 20 0 DCADLN CON(C(=O)/C=C(/C)C[NH+]1CCOCC1)[C@@H](C)CC(=O)[O-] ZINC001599310749 997410750 /nfs/dbraw/zinc/41/07/50/997410750.db2.gz MPYJYVPDTDSZGH-KGTBHZDVSA-N 0 2 300.355 0.518 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1CN1CCn2c[nH+]cc2C1 ZINC001598925877 997645012 /nfs/dbraw/zinc/64/50/12/997645012.db2.gz FYLRBCICPBTUDF-UHFFFAOYSA-N 0 2 305.294 0.038 20 0 DCADLN CO[C@@H]1C[N@H+](CC(=O)NC2(C(=O)[O-])CCCCC2)C[C@@H]1OC ZINC001599325668 997659274 /nfs/dbraw/zinc/65/92/74/997659274.db2.gz QBMHEWRBMKSVMR-TXEJJXNPSA-N 0 2 314.382 0.236 20 0 DCADLN CO[C@@H]1C[N@@H+](CC(=O)NC2(C(=O)[O-])CCCCC2)C[C@@H]1OC ZINC001599325668 997659283 /nfs/dbraw/zinc/65/92/83/997659283.db2.gz QBMHEWRBMKSVMR-TXEJJXNPSA-N 0 2 314.382 0.236 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001405013673 997684285 /nfs/dbraw/zinc/68/42/85/997684285.db2.gz WVAXTMRAOHWPIG-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001405013673 997684287 /nfs/dbraw/zinc/68/42/87/997684287.db2.gz WVAXTMRAOHWPIG-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN COCCCNC(=O)[C@@H](C)[N@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001599225423 997749383 /nfs/dbraw/zinc/74/93/83/997749383.db2.gz IMHCEIUNNTXREA-LLVKDONJSA-N 0 2 309.366 0.753 20 0 DCADLN COCCCNC(=O)[C@@H](C)[N@@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001599225423 997749396 /nfs/dbraw/zinc/74/93/96/997749396.db2.gz IMHCEIUNNTXREA-LLVKDONJSA-N 0 2 309.366 0.753 20 0 DCADLN CSC[C@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405096333 997783288 /nfs/dbraw/zinc/78/32/88/997783288.db2.gz HJFJJDNVNLGBHB-VHSXEESVSA-N 0 2 313.427 0.542 20 0 DCADLN CSC[C@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405096333 997783294 /nfs/dbraw/zinc/78/32/94/997783294.db2.gz HJFJJDNVNLGBHB-VHSXEESVSA-N 0 2 313.427 0.542 20 0 DCADLN CC(C)(C)n1cc(C(=O)C(=O)NCCc2n[nH]c(=S)o2)cn1 ZINC001467311199 1016156671 /nfs/dbraw/zinc/15/66/71/1016156671.db2.gz XZTCRSLWEHNCIM-UHFFFAOYSA-N 0 2 323.378 0.851 20 0 DCADLN COCCN(C)c1ccc(C[N@@H+]2C[C@@H](C)O[C@@H](C(=O)[O-])C2)cn1 ZINC001599231331 997862624 /nfs/dbraw/zinc/86/26/24/997862624.db2.gz QTVNYYPKEIGTCM-TZMCWYRMSA-N 0 2 323.393 0.838 20 0 DCADLN COCCN(C)c1ccc(C[N@H+]2C[C@@H](C)O[C@@H](C(=O)[O-])C2)cn1 ZINC001599231331 997862637 /nfs/dbraw/zinc/86/26/37/997862637.db2.gz QTVNYYPKEIGTCM-TZMCWYRMSA-N 0 2 323.393 0.838 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H](O)C(C)(C)O ZINC001467397393 1016162914 /nfs/dbraw/zinc/16/29/14/1016162914.db2.gz CBEYQNGCTPSFDH-SNVBAGLBSA-N 0 2 306.322 0.556 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001405197494 997921346 /nfs/dbraw/zinc/92/13/46/997921346.db2.gz SNRIYBYQFNNCIQ-VXNVDRBHSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccccn1 ZINC001405197494 997921353 /nfs/dbraw/zinc/92/13/53/997921353.db2.gz SNRIYBYQFNNCIQ-VXNVDRBHSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001405197473 997922781 /nfs/dbraw/zinc/92/27/81/997922781.db2.gz SNRIYBYQFNNCIQ-CBAPKCEASA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccccn1 ZINC001405197473 997922795 /nfs/dbraw/zinc/92/27/95/997922795.db2.gz SNRIYBYQFNNCIQ-CBAPKCEASA-N 0 2 323.246 0.189 20 0 DCADLN O=C(CC1CCC1)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001405247320 998006097 /nfs/dbraw/zinc/00/60/97/998006097.db2.gz DGLCGPNHTNTQLL-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001405247320 998006108 /nfs/dbraw/zinc/00/61/08/998006108.db2.gz DGLCGPNHTNTQLL-WPRPVWTQSA-N 0 2 314.279 0.670 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@@H+]2C[C@@H](O)[C@H](CO)C2)c1 ZINC001599450476 998086984 /nfs/dbraw/zinc/08/69/84/998086984.db2.gz GHNGDUJBOXWJNC-ZLKJLUDKSA-N 0 2 311.334 0.115 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2C[C@@H](O)[C@H](CO)C2)c1 ZINC001599450476 998086997 /nfs/dbraw/zinc/08/69/97/998086997.db2.gz GHNGDUJBOXWJNC-ZLKJLUDKSA-N 0 2 311.334 0.115 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@H]2C(=O)NCCOCC(=O)[O-])cc1 ZINC001599561352 998201739 /nfs/dbraw/zinc/20/17/39/998201739.db2.gz VDRAFTWBJFKEOI-AWEZNQCLSA-N 0 2 322.361 0.487 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@H]2C(=O)NCCOCC(=O)[O-])cc1 ZINC001599561352 998201749 /nfs/dbraw/zinc/20/17/49/998201749.db2.gz VDRAFTWBJFKEOI-AWEZNQCLSA-N 0 2 322.361 0.487 20 0 DCADLN CCOC(=O)[C@H]1CSCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC001467755004 1016197003 /nfs/dbraw/zinc/19/70/03/1016197003.db2.gz UZLYBRQCNUGEEA-RNFRBKRXSA-N 0 2 317.392 0.007 20 0 DCADLN COc1ccc(F)c(C[N@@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c1 ZINC001599581117 998531295 /nfs/dbraw/zinc/53/12/95/998531295.db2.gz APVSKLODBWNXIZ-ZDUSSCGKSA-N 0 2 310.325 1.000 20 0 DCADLN COc1ccc(F)c(C[N@H+]2CCC[C@H]2C(=O)NCC(=O)[O-])c1 ZINC001599581117 998531305 /nfs/dbraw/zinc/53/13/05/998531305.db2.gz APVSKLODBWNXIZ-ZDUSSCGKSA-N 0 2 310.325 1.000 20 0 DCADLN COC(=O)c1ccc2[nH]c(C[NH2+][C@](C)(CO)C(=O)[O-])nc2c1 ZINC001598987757 998710161 /nfs/dbraw/zinc/71/01/61/998710161.db2.gz IVTCPMXJTXAYDV-CQSZACIVSA-N 0 2 307.306 0.275 20 0 DCADLN COC(=O)c1cncc(C[N@H+]2C[C@H](OC)C[C@@]2(C)C(=O)[O-])c1 ZINC001599011320 998900979 /nfs/dbraw/zinc/90/09/79/998900979.db2.gz UIYDNDSJGAENMY-DOMZBBRYSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cncc(C[N@@H+]2C[C@H](OC)C[C@@]2(C)C(=O)[O-])c1 ZINC001599011320 998900983 /nfs/dbraw/zinc/90/09/83/998900983.db2.gz UIYDNDSJGAENMY-DOMZBBRYSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1csc(C[N@@H+]2CC[C@@](OC)(C(=O)[O-])C2)n1 ZINC001599013022 998915253 /nfs/dbraw/zinc/91/52/53/998915253.db2.gz QXFCTOUWIOQLEU-LBPRGKRZSA-N 0 2 300.336 0.605 20 0 DCADLN COC(=O)c1csc(C[N@H+]2CC[C@@](OC)(C(=O)[O-])C2)n1 ZINC001599013022 998915255 /nfs/dbraw/zinc/91/52/55/998915255.db2.gz QXFCTOUWIOQLEU-LBPRGKRZSA-N 0 2 300.336 0.605 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NC1CC(C(=O)[O-])C1 ZINC001594249475 998954294 /nfs/dbraw/zinc/95/42/94/998954294.db2.gz IEWNVSFVLCMVED-WTIISPKJSA-N 0 2 311.382 0.354 20 0 DCADLN CC[S@@](=O)CCNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001468944744 1016293223 /nfs/dbraw/zinc/29/32/23/1016293223.db2.gz PPBXPAYGWPYQEZ-JLTPVHFHSA-N 0 2 315.399 0.168 20 0 DCADLN O=C(CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)N1CCCC1 ZINC001468947006 1016293600 /nfs/dbraw/zinc/29/36/00/1016293600.db2.gz QWFRUXCPJUMVBN-SNVBAGLBSA-N 0 2 322.369 0.022 20 0 DCADLN Cc1ccc(F)cc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418488373 1000276961 /nfs/dbraw/zinc/27/69/61/1000276961.db2.gz LWSHBJMYHDPUMI-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN Cc1ccc(F)cc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001418488373 1000276966 /nfs/dbraw/zinc/27/69/66/1000276966.db2.gz LWSHBJMYHDPUMI-UHFFFAOYSA-N 0 2 307.329 0.820 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccnn1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001418544286 1000331342 /nfs/dbraw/zinc/33/13/42/1000331342.db2.gz FDGMDHNNLLRIBH-UHFFFAOYSA-N 0 2 321.385 0.540 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccnn1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001418544286 1000331347 /nfs/dbraw/zinc/33/13/47/1000331347.db2.gz FDGMDHNNLLRIBH-UHFFFAOYSA-N 0 2 321.385 0.540 20 0 DCADLN COC(=O)c1sccc1C(=O)NCC1=NC(=O)CC(=O)N1 ZINC001418627410 1000404887 /nfs/dbraw/zinc/40/48/87/1000404887.db2.gz XCIYITDJMDDMOB-UHFFFAOYSA-N 0 2 309.303 0.666 20 0 DCADLN COC(=O)c1sccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001418627410 1000404891 /nfs/dbraw/zinc/40/48/91/1000404891.db2.gz XCIYITDJMDDMOB-UHFFFAOYSA-N 0 2 309.303 0.666 20 0 DCADLN Cc1nnc([C@@H](C)N2CC(NC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001418970595 1000655888 /nfs/dbraw/zinc/65/58/88/1000655888.db2.gz FGIIATPYQBANJZ-SVGQVSJJSA-N 0 2 309.267 0.875 20 0 DCADLN CCNC(=O)C[NH+]1CC(CNC(=O)[C@H](C)[NH+]2CCCCCC2)C1 ZINC001419031299 1000703302 /nfs/dbraw/zinc/70/33/02/1000703302.db2.gz LIUTYTYYDFNDHS-AWEZNQCLSA-N 0 2 324.469 0.435 20 0 DCADLN CC[C@H](CC(F)F)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401893095 1000828179 /nfs/dbraw/zinc/82/81/79/1000828179.db2.gz KNIVPIRLHVKJOC-RKDXNWHRSA-N 0 2 317.340 0.882 20 0 DCADLN CNC(=O)c1cccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001470583085 1016461963 /nfs/dbraw/zinc/46/19/63/1016461963.db2.gz NYSFSTVQRDETDZ-UHFFFAOYSA-N 0 2 302.290 0.177 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402172437 1001082290 /nfs/dbraw/zinc/08/22/90/1001082290.db2.gz FBPJSYNGSICGIN-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN CCN(CC)C(=O)C[N@@H+]1CC[C@H](NC(=O)C[NH+]2CC[C@H](C)C2)C1 ZINC001402190648 1001095996 /nfs/dbraw/zinc/09/59/96/1001095996.db2.gz WNPJSNYRDZIBKG-GJZGRUSLSA-N 0 2 324.469 0.387 20 0 DCADLN Cn1cnc2cc(CNC(=O)C[C@@H]3SC(=N)NC3=O)ccc21 ZINC001419689592 1001223571 /nfs/dbraw/zinc/22/35/71/1001223571.db2.gz DOUZEOJSRRQYSF-NSHDSACASA-N 0 2 317.374 0.746 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cnnn1C ZINC001403134338 1001689467 /nfs/dbraw/zinc/68/94/67/1001689467.db2.gz YSYBGISICHMFBO-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001403299106 1001788973 /nfs/dbraw/zinc/78/89/73/1001788973.db2.gz JGUOVBZIYATWFC-OIBJUYFYSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2cn(C)nn2)C1 ZINC001403299106 1001788977 /nfs/dbraw/zinc/78/89/77/1001788977.db2.gz JGUOVBZIYATWFC-OIBJUYFYSA-N 0 2 323.294 0.652 20 0 DCADLN COC(=O)C[C@@](C)(NC(=O)C[C@@H]1SC(=N)NC1=O)C1CC1 ZINC001471280362 1016550412 /nfs/dbraw/zinc/55/04/12/1016550412.db2.gz PHPKHDMCWWNXLS-ISVAXAHUSA-N 0 2 313.379 0.391 20 0 DCADLN COc1cnc(C2(NC(=O)Cc3nnc[nH]3)CCCC2)[nH]c1=O ZINC001420213832 1002051297 /nfs/dbraw/zinc/05/12/97/1002051297.db2.gz RRUDTCPRWRMAOZ-UHFFFAOYSA-N 0 2 318.337 0.437 20 0 DCADLN CC[C@@H](C)n1nccc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403562894 1002146316 /nfs/dbraw/zinc/14/63/16/1002146316.db2.gz IIMOUMLCWFXWCV-SNVBAGLBSA-N 0 2 321.385 0.540 20 0 DCADLN CC[C@@H](C)n1nccc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403562894 1002146323 /nfs/dbraw/zinc/14/63/23/1002146323.db2.gz IIMOUMLCWFXWCV-SNVBAGLBSA-N 0 2 321.385 0.540 20 0 DCADLN Cc1ccncc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424941116 1002166048 /nfs/dbraw/zinc/16/60/48/1002166048.db2.gz SYQREXREMTZIQA-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN Cc1ccncc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424941116 1002166063 /nfs/dbraw/zinc/16/60/63/1002166063.db2.gz SYQREXREMTZIQA-SNVBAGLBSA-N 0 2 304.354 0.464 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)c1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425014513 1002249766 /nfs/dbraw/zinc/24/97/66/1002249766.db2.gz UMAPFUZZWVSBBP-ZJUUUORDSA-N 0 2 307.354 0.844 20 0 DCADLN C[C@H](CNC(=O)[C@@H](C)c1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425014513 1002249771 /nfs/dbraw/zinc/24/97/71/1002249771.db2.gz UMAPFUZZWVSBBP-ZJUUUORDSA-N 0 2 307.354 0.844 20 0 DCADLN COC[C@@H](C)CC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425243757 1002472061 /nfs/dbraw/zinc/47/20/61/1002472061.db2.gz FUMBQUJHYXHCMG-UWVGGRQHSA-N 0 2 311.386 0.119 20 0 DCADLN COC[C@H](C)CC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425243751 1002473034 /nfs/dbraw/zinc/47/30/34/1002473034.db2.gz FUMBQUJHYXHCMG-NXEZZACHSA-N 0 2 311.386 0.119 20 0 DCADLN Cn1cc(CC[N@H+](C)CCNC(=O)CCc2c[nH+]cn2C)cn1 ZINC001403755658 1002474794 /nfs/dbraw/zinc/47/47/94/1002474794.db2.gz IFYAGLRAJDNDEU-UHFFFAOYSA-N 0 2 318.425 0.377 20 0 DCADLN CC[C@@H](C)OCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403983817 1002848668 /nfs/dbraw/zinc/84/86/68/1002848668.db2.gz NOZQQNDVPUFGAU-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@@H](C)OCC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001403983817 1002848684 /nfs/dbraw/zinc/84/86/84/1002848684.db2.gz NOZQQNDVPUFGAU-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2CC23CCCC3)[nH]1 ZINC001472082390 1016723679 /nfs/dbraw/zinc/72/36/79/1016723679.db2.gz OEUOSSILHCBCDR-VIFPVBQESA-N 0 2 312.395 0.546 20 0 DCADLN CO[C@@H](C)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001421019563 1003241350 /nfs/dbraw/zinc/24/13/50/1003241350.db2.gz HWJIKYKUNXSWIN-SDDRHHMPSA-N 0 2 323.397 0.501 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc[nH]c1 ZINC001426105596 1003440314 /nfs/dbraw/zinc/44/03/14/1003440314.db2.gz WQCZRBUEQIKSOI-UHFFFAOYSA-N 0 2 306.370 0.821 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc[nH]c1 ZINC001426105596 1003440319 /nfs/dbraw/zinc/44/03/19/1003440319.db2.gz WQCZRBUEQIKSOI-UHFFFAOYSA-N 0 2 306.370 0.821 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccncn1 ZINC001426113161 1003445133 /nfs/dbraw/zinc/44/51/33/1003445133.db2.gz HIPAWRYCHZWLAD-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccncn1 ZINC001426113161 1003445139 /nfs/dbraw/zinc/44/51/39/1003445139.db2.gz HIPAWRYCHZWLAD-UHFFFAOYSA-N 0 2 319.369 0.283 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccco2)C[C@H]1O)C(F)C(F)(F)F ZINC001512969939 1016776513 /nfs/dbraw/zinc/77/65/13/1016776513.db2.gz AMGAJSOERSMVIV-BHNWBGBOSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)c2ccco2)C[C@H]1O)[C@H](F)C(F)(F)F ZINC001512969939 1016776525 /nfs/dbraw/zinc/77/65/25/1016776525.db2.gz AMGAJSOERSMVIV-BHNWBGBOSA-N 0 2 324.230 0.482 20 0 DCADLN Cc1nn(CC(=O)NCCCc2n[nH]c(=O)[nH]2)c2ncccc12 ZINC001472518882 1016816949 /nfs/dbraw/zinc/81/69/49/1016816949.db2.gz GRWSHBNXMUKKEO-UHFFFAOYSA-N 0 2 315.337 0.312 20 0 DCADLN CC[C@H](C)CN(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001428335167 1003874824 /nfs/dbraw/zinc/87/48/24/1003874824.db2.gz WNBBRAQSCMAHLE-VIFPVBQESA-N 0 2 317.415 0.537 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001406590939 1003895631 /nfs/dbraw/zinc/89/56/31/1003895631.db2.gz NAMGFBCQQMDPAH-SDDRHHMPSA-N 0 2 308.382 0.307 20 0 DCADLN CCc1occc1C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406617554 1003932223 /nfs/dbraw/zinc/93/22/23/1003932223.db2.gz PEHFDJNRKLKLOK-SECBINFHSA-N 0 2 307.354 0.916 20 0 DCADLN CCc1occc1C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406617554 1003932229 /nfs/dbraw/zinc/93/22/29/1003932229.db2.gz PEHFDJNRKLKLOK-SECBINFHSA-N 0 2 307.354 0.916 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001427456604 1004398896 /nfs/dbraw/zinc/39/88/96/1004398896.db2.gz KPVROUWQMKNVPJ-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnn(C)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001427456604 1004398906 /nfs/dbraw/zinc/39/89/06/1004398906.db2.gz KPVROUWQMKNVPJ-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001427456606 1004399363 /nfs/dbraw/zinc/39/93/63/1004399363.db2.gz KPVROUWQMKNVPJ-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cnn(C)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001427456606 1004399371 /nfs/dbraw/zinc/39/93/71/1004399371.db2.gz KPVROUWQMKNVPJ-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001429519285 1004645863 /nfs/dbraw/zinc/64/58/63/1004645863.db2.gz SQONNPSRHXSRTP-HLTSFMKQSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001429519285 1004645865 /nfs/dbraw/zinc/64/58/65/1004645865.db2.gz SQONNPSRHXSRTP-HLTSFMKQSA-N 0 2 314.279 0.621 20 0 DCADLN CC[C@@H](C(=O)N[C@H]1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1)[NH+](C)C ZINC001407842316 1004894656 /nfs/dbraw/zinc/89/46/56/1004894656.db2.gz KQRNSQMFAXBKQT-OBJOEFQTSA-N 0 2 321.425 0.364 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001408107132 1005046534 /nfs/dbraw/zinc/04/65/34/1005046534.db2.gz SJVBITHAMKVVHJ-MUWHJKNJSA-N 0 2 310.251 0.474 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001408107132 1005046537 /nfs/dbraw/zinc/04/65/37/1005046537.db2.gz SJVBITHAMKVVHJ-MUWHJKNJSA-N 0 2 310.251 0.474 20 0 DCADLN Cc1cc(CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001408189877 1005100248 /nfs/dbraw/zinc/10/02/48/1005100248.db2.gz CSLVILXQKYFQHT-GMSGAONNSA-N 0 2 324.278 0.782 20 0 DCADLN Cc1cc(CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001408189877 1005100249 /nfs/dbraw/zinc/10/02/49/1005100249.db2.gz CSLVILXQKYFQHT-GMSGAONNSA-N 0 2 324.278 0.782 20 0 DCADLN O=C(CC1CCC1)NC1(CO)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001408267641 1005134005 /nfs/dbraw/zinc/13/40/05/1005134005.db2.gz MMJDDGARPXZOQL-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N2CCC[C@@H]3C[C@@H]32)[nH]1 ZINC001414736085 1005393035 /nfs/dbraw/zinc/39/30/35/1005393035.db2.gz CVHJAYBHVPAXGW-BDAKNGLRSA-N 0 2 313.383 0.043 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)NC(=O)c1ccc(F)cn1 ZINC001415232967 1005486289 /nfs/dbraw/zinc/48/62/89/1005486289.db2.gz NOQKWFDAFYUAFZ-VIFPVBQESA-N 0 2 319.340 0.729 20 0 DCADLN Cc1cnc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)cn1 ZINC001415439837 1005526963 /nfs/dbraw/zinc/52/69/63/1005526963.db2.gz NGZYVIDSMXCTAM-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1cnc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)cn1 ZINC001415439837 1005526966 /nfs/dbraw/zinc/52/69/66/1005526966.db2.gz NGZYVIDSMXCTAM-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](C[C@H]3CCO[C@@H](C)C3)CC2)c1[O-] ZINC001415826183 1005618543 /nfs/dbraw/zinc/61/85/43/1005618543.db2.gz QGVFQPJOTSOANJ-AAEUAGOBSA-N 0 2 322.409 0.997 20 0 DCADLN CO[C@@H](C)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001416092821 1005660227 /nfs/dbraw/zinc/66/02/27/1005660227.db2.gz IETCCCVNNIQCCQ-POYBYMJQSA-N 0 2 300.252 0.496 20 0 DCADLN CO[C@@H](C)C(=O)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416092821 1005660229 /nfs/dbraw/zinc/66/02/29/1005660229.db2.gz IETCCCVNNIQCCQ-POYBYMJQSA-N 0 2 300.252 0.496 20 0 DCADLN O=C(NCC1(NC(=O)C(F)F)CCCC1)c1[nH]ncc1F ZINC001416309269 1005684396 /nfs/dbraw/zinc/68/43/96/1005684396.db2.gz RTCFHBBRHVIJDK-UHFFFAOYSA-N 0 2 304.272 0.973 20 0 DCADLN C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)NC(=O)C[N@H+](C)C1CCC1 ZINC001416823844 1005741922 /nfs/dbraw/zinc/74/19/22/1005741922.db2.gz CSEZIPAXSVDGQP-GFCCVEGCSA-N 0 2 321.425 0.448 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001417033167 1005773360 /nfs/dbraw/zinc/77/33/60/1005773360.db2.gz AQGGXYDBTXHVFX-HTRCEHHLSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001417033167 1005773363 /nfs/dbraw/zinc/77/33/63/1005773363.db2.gz AQGGXYDBTXHVFX-HTRCEHHLSA-N 0 2 324.234 0.609 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1c(C(F)F)nc2n1CCCC2 ZINC001417663737 1005862879 /nfs/dbraw/zinc/86/28/79/1005862879.db2.gz UGNJCPLCEOPJHN-UHFFFAOYSA-N 0 2 312.280 0.911 20 0 DCADLN C[C@H](CC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001417760197 1005885309 /nfs/dbraw/zinc/88/53/09/1005885309.db2.gz LNFGZIGLHGTZIQ-ZYHUDNBSSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001417837853 1005900691 /nfs/dbraw/zinc/90/06/91/1005900691.db2.gz IDYZSIPXSIPORN-SCVCMEIPSA-N 0 2 323.397 0.404 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001417837853 1005900693 /nfs/dbraw/zinc/90/06/93/1005900693.db2.gz IDYZSIPXSIPORN-SCVCMEIPSA-N 0 2 323.397 0.404 20 0 DCADLN O=C(N[C@@H]1CO[C@H](C2CC2)C1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434826093 1006014745 /nfs/dbraw/zinc/01/47/45/1006014745.db2.gz SRULUEISADFYIJ-WOPDTQHZSA-N 0 2 321.381 0.967 20 0 DCADLN O=C(NCc1ccc[nH]c1=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434826107 1006015774 /nfs/dbraw/zinc/01/57/74/1006015774.db2.gz UBNLNJISXTYVCC-SNVBAGLBSA-N 0 2 318.337 0.700 20 0 DCADLN Cc1ccc(NC(=O)CC2SC(=N)NC2=O)c(C(N)=O)c1 ZINC001418178581 1006132957 /nfs/dbraw/zinc/13/29/57/1006132957.db2.gz JVUYTHRIRTZWPY-VIFPVBQESA-N 0 2 306.347 0.589 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2n[nH]cc2C2CC2)c1 ZINC001418179544 1006136393 /nfs/dbraw/zinc/13/63/93/1006136393.db2.gz PGXXCVMMCGLVTJ-UHFFFAOYSA-N 0 2 322.346 0.892 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cccc(F)c1 ZINC001438927702 1006235501 /nfs/dbraw/zinc/23/55/01/1006235501.db2.gz LKLVTXJSCFXUAM-UHFFFAOYSA-N 0 2 321.356 0.782 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cc1cccc(F)c1 ZINC001438927702 1006235511 /nfs/dbraw/zinc/23/55/11/1006235511.db2.gz LKLVTXJSCFXUAM-UHFFFAOYSA-N 0 2 321.356 0.782 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC[C@@]12CCOC2 ZINC001451687631 1006359946 /nfs/dbraw/zinc/35/99/46/1006359946.db2.gz OXBBFMDADKGPRL-HNNXBMFYSA-N 0 2 316.317 0.271 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cnccn1 ZINC001452051141 1006573079 /nfs/dbraw/zinc/57/30/79/1006573079.db2.gz FSMNCSPXWQKZKR-SECBINFHSA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnccn1 ZINC001452051141 1006573088 /nfs/dbraw/zinc/57/30/88/1006573088.db2.gz FSMNCSPXWQKZKR-SECBINFHSA-N 0 2 322.262 0.907 20 0 DCADLN O=C(C(=O)N1CCC[C@@H](c2nn[nH]n2)C1)c1ccc(O)cc1 ZINC001546037605 1006713602 /nfs/dbraw/zinc/71/36/02/1006713602.db2.gz KSISTJGDKRUKEG-SNVBAGLBSA-N 0 2 301.306 0.494 20 0 DCADLN CC(=O)Nc1ccc(O)c(C(=O)N=c2ccn(C)c(=O)[nH]2)c1 ZINC001452679224 1006983464 /nfs/dbraw/zinc/98/34/64/1006983464.db2.gz FWIBEEPOHPCEDD-UHFFFAOYSA-N 0 2 302.290 0.531 20 0 DCADLN CCCC(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001452747713 1007037829 /nfs/dbraw/zinc/03/78/29/1007037829.db2.gz TZDVIVGIWYETTJ-BKPPORCPSA-N 0 2 300.252 0.375 20 0 DCADLN CCCCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001452736263 1007023107 /nfs/dbraw/zinc/02/31/07/1007023107.db2.gz TZOYVIIXQJDBCO-KHQFGBGNSA-N 0 2 314.279 0.765 20 0 DCADLN CCCCC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC001452736263 1007023120 /nfs/dbraw/zinc/02/31/20/1007023120.db2.gz TZOYVIIXQJDBCO-KHQFGBGNSA-N 0 2 314.279 0.765 20 0 DCADLN CCCC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001452747713 1007037821 /nfs/dbraw/zinc/03/78/21/1007037821.db2.gz TZDVIVGIWYETTJ-BKPPORCPSA-N 0 2 300.252 0.375 20 0 DCADLN Cn1nncc1CN1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001437682615 1007253427 /nfs/dbraw/zinc/25/34/27/1007253427.db2.gz UHMQDFNFCZHTMS-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@@H](c1nnnn1C)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001438124357 1007652133 /nfs/dbraw/zinc/65/21/33/1007652133.db2.gz UVWNNEJIUKLDRK-XPUUQOCRSA-N 0 2 324.282 0.220 20 0 DCADLN C[C@@H](c1nnnn1C)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001438124357 1007652139 /nfs/dbraw/zinc/65/21/39/1007652139.db2.gz UVWNNEJIUKLDRK-XPUUQOCRSA-N 0 2 324.282 0.220 20 0 DCADLN CCOCC1(C(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001438230919 1007720921 /nfs/dbraw/zinc/72/09/21/1007720921.db2.gz GCZSKAVEYOEXLL-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CCOCC1(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001438230919 1007720928 /nfs/dbraw/zinc/72/09/28/1007720928.db2.gz GCZSKAVEYOEXLL-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)CCc1ccoc1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438230878 1007723793 /nfs/dbraw/zinc/72/37/93/1007723793.db2.gz ACVUQTWDWASLAX-GFCCVEGCSA-N 0 2 319.365 0.769 20 0 DCADLN CN(C(=O)CCc1ccoc1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001438230878 1007723805 /nfs/dbraw/zinc/72/38/05/1007723805.db2.gz ACVUQTWDWASLAX-GFCCVEGCSA-N 0 2 319.365 0.769 20 0 DCADLN COc1cc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC001477106293 1017252504 /nfs/dbraw/zinc/25/25/04/1017252504.db2.gz WZPVYLIBNHAPHW-QMMMGPOBSA-N 0 2 321.341 0.664 20 0 DCADLN CC(C)CCC(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001440479902 1007812620 /nfs/dbraw/zinc/81/26/20/1007812620.db2.gz MFAYSHUKBYNWMU-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CCCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001441166324 1008252323 /nfs/dbraw/zinc/25/23/23/1008252323.db2.gz TUFFQXAEPIILSD-SECBINFHSA-N 0 2 323.397 0.000 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@H]2C[C@H]([NH2+]CC=C(Cl)Cl)C2)C1 ZINC001441389711 1008342458 /nfs/dbraw/zinc/34/24/58/1008342458.db2.gz UKMKMLVDVUXTHU-GMTAPVOTSA-N 0 2 322.236 0.873 20 0 DCADLN C[C@H](C(=O)N(C)C)[N@H+](C)C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001441395922 1008344498 /nfs/dbraw/zinc/34/44/98/1008344498.db2.gz NLSMVAVWICQPFE-CYBMUJFWSA-N 0 2 321.425 0.283 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001453554473 1008576301 /nfs/dbraw/zinc/57/63/01/1008576301.db2.gz DWMZKQYWMWNESI-HLTSFMKQSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001453554473 1008576314 /nfs/dbraw/zinc/57/63/14/1008576314.db2.gz DWMZKQYWMWNESI-HLTSFMKQSA-N 0 2 314.279 0.621 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cc(-c3cccnc3)on2)C(=O)N1C ZINC001453749113 1008697685 /nfs/dbraw/zinc/69/76/85/1008697685.db2.gz MBRTZCVETZMDBO-QMMMGPOBSA-N 0 2 315.289 0.664 20 0 DCADLN CSCC[C@H](NC(=O)c1ccc(O)cc1O)c1nn[nH]n1 ZINC001453779888 1008714566 /nfs/dbraw/zinc/71/45/66/1008714566.db2.gz GFJHMFFWRUHZCO-VIFPVBQESA-N 0 2 309.351 0.835 20 0 DCADLN CC(C)(C)C(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001421915755 1009255076 /nfs/dbraw/zinc/25/50/76/1009255076.db2.gz WSHALTAQALPARN-SNVBAGLBSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(Cc1ccon1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001433857633 1009266369 /nfs/dbraw/zinc/26/63/69/1009266369.db2.gz ITHXWCIKDVDAOO-SECBINFHSA-N 0 2 323.246 0.883 20 0 DCADLN O=C(Cc1ccon1)NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001433857633 1009266377 /nfs/dbraw/zinc/26/63/77/1009266377.db2.gz ITHXWCIKDVDAOO-SECBINFHSA-N 0 2 323.246 0.883 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)c2cn(C)cn2)CC1 ZINC001433953952 1009396230 /nfs/dbraw/zinc/39/62/30/1009396230.db2.gz NQFVPKWLDQFSFP-UHFFFAOYSA-N 0 2 316.365 0.073 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H](CCO)CC1 ZINC001434100658 1009564483 /nfs/dbraw/zinc/56/44/83/1009564483.db2.gz VLSMSNVBKLGRFM-LLVKDONJSA-N 0 2 319.365 0.082 20 0 DCADLN CN(CCNC(=O)c1ccn(C)n1)C(=O)[C@@H](F)C(F)(F)F ZINC001455246659 1009611279 /nfs/dbraw/zinc/61/12/79/1009611279.db2.gz BLEYPXLNPPVRCK-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)c1ccn(C)n1)C(=O)C(F)C(F)(F)F ZINC001455246659 1009611274 /nfs/dbraw/zinc/61/12/74/1009611274.db2.gz BLEYPXLNPPVRCK-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CCC[C@@H](CCO)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434283046 1009725687 /nfs/dbraw/zinc/72/56/87/1009725687.db2.gz XAZIJMQJAKHHSD-NSHDSACASA-N 0 2 320.349 0.547 20 0 DCADLN COC[C@H](NC(=O)Cc1ccc(O)c(Cl)c1)c1nn[nH]n1 ZINC001434362331 1009833040 /nfs/dbraw/zinc/83/30/40/1009833040.db2.gz CXFYVTNQFGHXGB-VIFPVBQESA-N 0 2 311.729 0.605 20 0 DCADLN CC(=O)Nc1cnccc1C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001434405563 1009911509 /nfs/dbraw/zinc/91/15/09/1009911509.db2.gz AINSOAFIVYDAHH-QMMMGPOBSA-N 0 2 303.322 0.970 20 0 DCADLN CC(=O)Nc1cnccc1C(=O)N[C@H](C)c1nn(C)cc1O ZINC001434405562 1009912729 /nfs/dbraw/zinc/91/27/29/1009912729.db2.gz AINSOAFIVYDAHH-MRVPVSSYSA-N 0 2 303.322 0.970 20 0 DCADLN COC(=O)C1(C2(O)CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCC1 ZINC001422397672 1010017656 /nfs/dbraw/zinc/01/76/56/1010017656.db2.gz ZYLXFDKEWFUTGK-UHFFFAOYSA-N 0 2 310.354 0.181 20 0 DCADLN Cc1cc(CO)cc(C)c1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC001455959466 1010055769 /nfs/dbraw/zinc/05/57/69/1010055769.db2.gz XRXHEIXDBVEYSH-LBPRGKRZSA-N 0 2 321.402 0.968 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)c1ncc[nH]1)c1ccccc1F ZINC001434558008 1010086541 /nfs/dbraw/zinc/08/65/41/1010086541.db2.gz QYYSFZSQPAONTA-JTQLQIEISA-N 0 2 313.310 0.741 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)N[C@H](CCO)c1ccco1 ZINC001434561831 1010092528 /nfs/dbraw/zinc/09/25/28/1010092528.db2.gz DELCOYHJDITUJE-SNVBAGLBSA-N 0 2 322.408 0.799 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C[C@H]2CC=CCC2)[nH]1 ZINC001456308704 1010187318 /nfs/dbraw/zinc/18/73/18/1010187318.db2.gz SXQMCGZDUZOYSY-JTQLQIEISA-N 0 2 312.395 0.712 20 0 DCADLN NC(=O)CCNC(=O)c1cc(Br)c(F)cc1O ZINC001444414760 1011006362 /nfs/dbraw/zinc/00/63/62/1011006362.db2.gz PPUSEGBXIRKPIX-UHFFFAOYSA-N 0 2 305.103 0.899 20 0 DCADLN C[C@]1(NC(=O)CC2CCOCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423562159 1011268322 /nfs/dbraw/zinc/26/83/22/1011268322.db2.gz DAVHKCWYPTYFPK-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(NC(=O)CC2CCOCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423562159 1011268332 /nfs/dbraw/zinc/26/83/32/1011268332.db2.gz DAVHKCWYPTYFPK-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnco2)C1)C(F)C(F)(F)F ZINC001456514515 1011319242 /nfs/dbraw/zinc/31/92/42/1011319242.db2.gz XHKSPSSZKMTLFK-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)c2cnco2)C1)[C@@H](F)C(F)(F)F ZINC001456514515 1011319251 /nfs/dbraw/zinc/31/92/51/1011319251.db2.gz XHKSPSSZKMTLFK-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN Cc1cccc(CC(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001445039176 1011342641 /nfs/dbraw/zinc/34/26/41/1011342641.db2.gz UQWIKIMGJXLRBY-UHFFFAOYSA-N 0 2 317.393 0.952 20 0 DCADLN Cc1cccc(CC(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001445039176 1011342657 /nfs/dbraw/zinc/34/26/57/1011342657.db2.gz UQWIKIMGJXLRBY-UHFFFAOYSA-N 0 2 317.393 0.952 20 0 DCADLN O=C(CCCF)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001431540828 1011379747 /nfs/dbraw/zinc/37/97/47/1011379747.db2.gz FPNGBTWJGIISLO-SVRRBLITSA-N 0 2 306.231 0.230 20 0 DCADLN O=C(CCCF)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001431540828 1011379763 /nfs/dbraw/zinc/37/97/63/1011379763.db2.gz FPNGBTWJGIISLO-SVRRBLITSA-N 0 2 306.231 0.230 20 0 DCADLN CCC(=O)N[C@H](CC)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001456981258 1011552483 /nfs/dbraw/zinc/55/24/83/1011552483.db2.gz NFQMWVKQLRZDND-LLVKDONJSA-N 0 2 318.381 0.845 20 0 DCADLN Cc1ccnc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001431804866 1011667387 /nfs/dbraw/zinc/66/73/87/1011667387.db2.gz XSMLSBUYDKEVMS-YUMQZZPRSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccnc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001431804866 1011667398 /nfs/dbraw/zinc/66/73/98/1011667398.db2.gz XSMLSBUYDKEVMS-YUMQZZPRSA-N 0 2 322.262 0.920 20 0 DCADLN O=C(CCn1sc2ccccc2c1=O)NCc1n[nH]c(=O)[nH]1 ZINC001445410071 1011741778 /nfs/dbraw/zinc/74/17/78/1011741778.db2.gz AWXAHIISVPMLBX-UHFFFAOYSA-N 0 2 319.346 0.593 20 0 DCADLN CCn1c2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2[nH]c1=O ZINC001445414372 1011743656 /nfs/dbraw/zinc/74/36/56/1011743656.db2.gz MZVAXEYFOWKJSG-UHFFFAOYSA-N 0 2 302.294 0.516 20 0 DCADLN C[C@@H](CNC(=O)Cc1cncnc1)NC(=O)C(F)C(F)(F)F ZINC001458425105 1012173371 /nfs/dbraw/zinc/17/33/71/1012173371.db2.gz HHHCITHJSSEEBE-OIBJUYFYSA-N 0 2 322.262 0.540 20 0 DCADLN C[C@@H](CNC(=O)Cc1cncnc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001458425105 1012173383 /nfs/dbraw/zinc/17/33/83/1012173383.db2.gz HHHCITHJSSEEBE-OIBJUYFYSA-N 0 2 322.262 0.540 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001432259680 1012215820 /nfs/dbraw/zinc/21/58/20/1012215820.db2.gz DYFBQIQIQKCSSA-AAEUAGOBSA-N 0 2 320.393 0.451 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(-c3ccccc3C)c2)c1=O ZINC001556825691 1012235192 /nfs/dbraw/zinc/23/51/92/1012235192.db2.gz JPAOAECIPADSBU-UHFFFAOYSA-N 0 2 312.333 0.943 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cn[nH]c1)C(F)C(F)(F)F ZINC001458728252 1012327569 /nfs/dbraw/zinc/32/75/69/1012327569.db2.gz PPIVBNJMJDZAHK-SFYZADRCSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1cn[nH]c1)[C@H](F)C(F)(F)F ZINC001458728252 1012327587 /nfs/dbraw/zinc/32/75/87/1012327587.db2.gz PPIVBNJMJDZAHK-SFYZADRCSA-N 0 2 308.235 0.641 20 0 DCADLN C[C@@H](C[N@H+](C)CCn1cncn1)NC(=O)CCc1c[nH+]cn1C ZINC001445849551 1012353207 /nfs/dbraw/zinc/35/32/07/1012353207.db2.gz WJWLRQKAZMBTAO-ZDUSSCGKSA-N 0 2 319.413 0.081 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001424349343 1012415064 /nfs/dbraw/zinc/41/50/64/1012415064.db2.gz PGXDEONPWNKBCA-JTQLQIEISA-N 0 2 309.370 0.016 20 0 DCADLN Cc1cc(CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)no1 ZINC001543612110 1012523976 /nfs/dbraw/zinc/52/39/76/1012523976.db2.gz YWWVGQNZNOEXRY-UHFFFAOYSA-N 0 2 315.289 0.493 20 0 DCADLN Cn1ccc(Nc2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2)n1 ZINC001545329291 1012563079 /nfs/dbraw/zinc/56/30/79/1012563079.db2.gz DEBGUPMLMBCJBS-UHFFFAOYSA-N 0 2 313.321 0.917 20 0 DCADLN CO[C@@H]1C[C@H](c2n[nH]c(C)n2)N(C(=O)c2cncc(O)c2)C1 ZINC001548498757 1012662850 /nfs/dbraw/zinc/66/28/50/1012662850.db2.gz TYPLFODWZZTEES-VXGBXAGGSA-N 0 2 303.322 0.816 20 0 DCADLN O=C1N=NC2CN(Cc3nc(=O)c4sccc4[nH]3)CCN12 ZINC001459906278 1012835959 /nfs/dbraw/zinc/83/59/59/1012835959.db2.gz YYSMDONCNLWBGT-UHFFFAOYSA-N 0 2 304.335 0.710 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)NCCCCC(=O)[O-] ZINC001521217462 1017336756 /nfs/dbraw/zinc/33/67/56/1017336756.db2.gz RJGDYOQVEXQHKR-LBPRGKRZSA-N 0 2 301.387 0.651 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)NCCCCC(=O)[O-] ZINC001521217462 1017336767 /nfs/dbraw/zinc/33/67/67/1017336767.db2.gz RJGDYOQVEXQHKR-LBPRGKRZSA-N 0 2 301.387 0.651 20 0 DCADLN CO[C@H](C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001506639670 1017428578 /nfs/dbraw/zinc/42/85/78/1017428578.db2.gz KXJXNLQMYHMNQI-RNCFNFMXSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H]([NH2+]C1(CNC(=O)C2=NC(=O)N(C)C2)CC1)c1cnccn1 ZINC001499338598 1017864039 /nfs/dbraw/zinc/86/40/39/1017864039.db2.gz ACSNEMDFADFKGM-SNVBAGLBSA-N 0 2 316.365 0.529 20 0 DCADLN CCCOCC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001498121153 1018669056 /nfs/dbraw/zinc/66/90/56/1018669056.db2.gz CRKKUTPIRAQTRZ-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CCCOCC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001498121153 1018669058 /nfs/dbraw/zinc/66/90/58/1018669058.db2.gz CRKKUTPIRAQTRZ-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC[N@H+](C)[C@@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001480721964 1018810791 /nfs/dbraw/zinc/81/07/91/1018810791.db2.gz IYMVZUSXPTZOTI-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN CCOCC[N@@H+](C)[C@@H]1CCCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001480721964 1018810817 /nfs/dbraw/zinc/81/08/17/1018810817.db2.gz IYMVZUSXPTZOTI-GFCCVEGCSA-N 0 2 310.398 0.997 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C(C1CC1)C1CC1 ZINC001498430302 1019110815 /nfs/dbraw/zinc/11/08/15/1019110815.db2.gz STXRWSVVTQPMES-VIFPVBQESA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C(C1CC1)C1CC1 ZINC001498430302 1019110820 /nfs/dbraw/zinc/11/08/20/1019110820.db2.gz STXRWSVVTQPMES-VIFPVBQESA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001493128547 1019123675 /nfs/dbraw/zinc/12/36/75/1019123675.db2.gz HYCNYOVSLNKROG-XHDPSFHLSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001493128547 1019123686 /nfs/dbraw/zinc/12/36/86/1019123686.db2.gz HYCNYOVSLNKROG-XHDPSFHLSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498451047 1019129617 /nfs/dbraw/zinc/12/96/17/1019129617.db2.gz VMVYUOALMASEGB-MRVPVSSYSA-N 0 2 321.385 0.029 20 0 DCADLN Cc1n[nH]c(C)c1CC(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001498451047 1019129624 /nfs/dbraw/zinc/12/96/24/1019129624.db2.gz VMVYUOALMASEGB-MRVPVSSYSA-N 0 2 321.385 0.029 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+]Cc3nncs3)CCC2)c1[O-] ZINC001501938850 1019573330 /nfs/dbraw/zinc/57/33/30/1019573330.db2.gz CTJHDCWVLHLVSW-UHFFFAOYSA-N 0 2 322.394 0.718 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001606070205 1170069192 /nfs/dbraw/zinc/06/91/92/1170069192.db2.gz KSLFRIFFSSWCTK-GFCCVEGCSA-N 0 2 300.746 0.217 20 0 DCADLN CN(C)c1ncnc(Cl)c1C[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001606070205 1170069197 /nfs/dbraw/zinc/06/91/97/1170069197.db2.gz KSLFRIFFSSWCTK-GFCCVEGCSA-N 0 2 300.746 0.217 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CCC[C@](O)(C(F)(F)F)CC2)nn1 ZINC001608797266 1170756621 /nfs/dbraw/zinc/75/66/21/1170756621.db2.gz YKCUWRJEFWCMNI-LLVKDONJSA-N 0 2 322.287 0.642 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CC[C@@](F)(CO)C1 ZINC001609632135 1171055600 /nfs/dbraw/zinc/05/56/00/1171055600.db2.gz CVWJQFQNQFYTTL-HZMBPMFUSA-N 0 2 302.346 0.104 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CC[C@@](F)(CO)C1 ZINC001609632135 1171055606 /nfs/dbraw/zinc/05/56/06/1171055606.db2.gz CVWJQFQNQFYTTL-HZMBPMFUSA-N 0 2 302.346 0.104 20 0 DCADLN C[C@H](CS(=O)(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001609749234 1171078088 /nfs/dbraw/zinc/07/80/88/1171078088.db2.gz FQFYIKCJPSBUTE-ZWNOBZJWSA-N 0 2 312.391 0.813 20 0 DCADLN C[C@H](CS(=O)(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21)C(=O)[O-] ZINC001609749234 1171078093 /nfs/dbraw/zinc/07/80/93/1171078093.db2.gz FQFYIKCJPSBUTE-ZWNOBZJWSA-N 0 2 312.391 0.813 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCC[N@@H+]1CC(=O)NCCC1CCCCC1 ZINC001610664013 1171285630 /nfs/dbraw/zinc/28/56/30/1171285630.db2.gz MPVKUEXYDBMWSW-DZGCQCFKSA-N 0 2 312.410 0.983 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCC[N@H+]1CC(=O)NCCC1CCCCC1 ZINC001610664013 1171285631 /nfs/dbraw/zinc/28/56/31/1171285631.db2.gz MPVKUEXYDBMWSW-DZGCQCFKSA-N 0 2 312.410 0.983 20 0 DCADLN COc1cccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000092616777 185329322 /nfs/dbraw/zinc/32/93/22/185329322.db2.gz CYBDEEPNIBOWCT-UHFFFAOYSA-N 0 2 317.349 0.065 20 0 DCADLN Cc1cccc(-n2nnnc2SCc2n[nH]c(=O)[nH]2)c1C ZINC000092870071 185347412 /nfs/dbraw/zinc/34/74/12/185347412.db2.gz AMDAGRDAGXLHLT-UHFFFAOYSA-N 0 2 303.351 0.983 20 0 DCADLN O=C(NCCN1CCNC(=O)C1)c1cc(F)cc(Cl)c1O ZINC000272682638 210087768 /nfs/dbraw/zinc/08/77/68/210087768.db2.gz NIHIPSZDERULPE-UHFFFAOYSA-N 0 2 315.732 0.346 20 0 DCADLN O=c1nc(CN2CCC[C@@H](OCCO)C2)[nH]c2ccsc21 ZINC000172299734 198073995 /nfs/dbraw/zinc/07/39/95/198073995.db2.gz UCYKZNSQUCQOAH-SNVBAGLBSA-N 0 2 309.391 0.958 20 0 DCADLN Cc1cc(NC(=O)[C@@H](C)[N@H+](C)CCCN2CC[NH+](C)CC2)on1 ZINC000174716971 198374583 /nfs/dbraw/zinc/37/45/83/198374583.db2.gz MBIFXFFBYHKQNE-CQSZACIVSA-N 0 2 323.441 0.879 20 0 DCADLN O=C([O-])C1CCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)CC1 ZINC000262066508 304042044 /nfs/dbraw/zinc/04/20/44/304042044.db2.gz BCULTDLUCKSKLA-LBPRGKRZSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])C1CCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)CC1 ZINC000262066508 304042048 /nfs/dbraw/zinc/04/20/48/304042048.db2.gz BCULTDLUCKSKLA-LBPRGKRZSA-N 0 2 306.366 0.739 20 0 DCADLN CCCN(CC(N)=O)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000346909087 283157773 /nfs/dbraw/zinc/15/77/73/283157773.db2.gz PMQIIBDPOWEREE-UHFFFAOYSA-N 0 2 302.334 0.915 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@H]1CCCC[C@@H]1O)c2=O ZINC000181250434 237263335 /nfs/dbraw/zinc/26/33/35/237263335.db2.gz WBNJOBSIKZOGJZ-SKDRFNHKSA-N 0 2 304.350 0.955 20 0 DCADLN CC(C)(C)NC(=O)NC(=O)CN(CCCO)Cc1ccccn1 ZINC000281140267 216105651 /nfs/dbraw/zinc/10/56/51/216105651.db2.gz ZERIPZUWFNILOF-UHFFFAOYSA-N 0 2 322.409 0.890 20 0 DCADLN COCCN(Cc1ccco1)S(=O)(=O)c1c(C)onc1N ZINC000281139317 216106096 /nfs/dbraw/zinc/10/60/96/216106096.db2.gz JNPPZZVZXUUCOQ-UHFFFAOYSA-N 0 2 315.351 0.996 20 0 DCADLN COCCOCCN(Cc1ccccc1)C(=O)c1nc(=O)[nH][nH]1 ZINC000155506319 291220327 /nfs/dbraw/zinc/22/03/27/291220327.db2.gz ZKDXERVZXPNVJM-UHFFFAOYSA-N 0 2 320.349 0.403 20 0 DCADLN COC(=O)Cc1[nH][nH]c(=O)c1C(=O)Nc1cc(C)ccc1F ZINC000421812072 240105768 /nfs/dbraw/zinc/10/57/68/240105768.db2.gz KBYVYBFVXQLFMP-LBPRGKRZSA-N 0 2 307.281 0.738 20 0 DCADLN COC(=O)c1ccccc1NC(=O)c1c(=O)[nH][nH]c1C1CC1 ZINC000421816071 240105779 /nfs/dbraw/zinc/10/57/79/240105779.db2.gz WFSQFQOMIFVGMP-NSHDSACASA-N 0 2 301.302 0.924 20 0 DCADLN O=C([O-])[C@@H]1CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000263525775 304122995 /nfs/dbraw/zinc/12/29/95/304122995.db2.gz TXQYRWJLNQNQOQ-VXGBXAGGSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@@H]1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000263525775 304122996 /nfs/dbraw/zinc/12/29/96/304122996.db2.gz TXQYRWJLNQNQOQ-VXGBXAGGSA-N 0 2 306.366 0.739 20 0 DCADLN COC[C@@H](Cc1ccccc1)NC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000567871744 291386408 /nfs/dbraw/zinc/38/64/08/291386408.db2.gz LKPFXSKIWDNBFX-CVEARBPZSA-N 0 2 320.437 0.528 20 0 DCADLN C[C@@H]1CN(C(=O)C2=NN(c3ccccc3)CC2=O)CC(=O)N1C ZINC000282462146 217013939 /nfs/dbraw/zinc/01/39/39/217013939.db2.gz UBDQTQZACWPDEW-LLVKDONJSA-N 0 2 314.345 0.881 20 0 DCADLN C[C@H](NC(=O)C1=NN(c2ccccc2)CC1=O)C(=O)NCCF ZINC000282677108 217169965 /nfs/dbraw/zinc/16/99/65/217169965.db2.gz ZOICVXIUQJEPDS-JTQLQIEISA-N 0 2 320.324 0.782 20 0 DCADLN O=C(c1[nH]nc2ccccc21)N1CCC[C@@H](c2nc(=O)[nH][nH]2)C1 ZINC000282799388 217259022 /nfs/dbraw/zinc/25/90/22/217259022.db2.gz ACXRWMYEGCYPDB-SECBINFHSA-N 0 2 312.333 0.994 20 0 DCADLN CCC[C@@H](O)[C@@H](CO)Nc1cc(C(C)(C)C)[nH+]c(C(=O)[O-])n1 ZINC000572964370 291601537 /nfs/dbraw/zinc/60/15/37/291601537.db2.gz IUEABWWDFBULBH-NXEZZACHSA-N 0 2 311.382 0.828 20 0 DCADLN Cc1ncsc1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000104472327 194010017 /nfs/dbraw/zinc/01/00/17/194010017.db2.gz RMFGNHHMEFGSQW-UHFFFAOYSA-N 0 2 317.330 0.306 20 0 DCADLN CC[C@@H](C)[C@@H](OC)C(=O)N1CCC(NS(=O)(=O)CC)CC1 ZINC000331043083 252648467 /nfs/dbraw/zinc/64/84/67/252648467.db2.gz RULJIYWRKOIDFO-DGCLKSJQSA-N 0 2 320.455 0.978 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)cc1 ZINC000037838964 304249908 /nfs/dbraw/zinc/24/99/08/304249908.db2.gz ANWUHUBFFDRJMB-UHFFFAOYSA-N 0 2 310.375 0.854 20 0 DCADLN C[C@H]1CC(=O)N[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000339691833 253128165 /nfs/dbraw/zinc/12/81/65/253128165.db2.gz RQDGIHHFKFWGAN-LDYMZIIASA-N 0 2 316.317 0.883 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1)S(C)(=O)=O ZINC000339706787 253129424 /nfs/dbraw/zinc/12/94/24/253129424.db2.gz QLKLCQLLVCXGAQ-ZETCQYMHSA-N 0 2 311.319 0.402 20 0 DCADLN CNC(=O)c1ccc(OC)c(NS(=O)(=O)c2cnn(C)c2)c1 ZINC000340237623 253222526 /nfs/dbraw/zinc/22/25/26/253222526.db2.gz RAMPPSBBSWRJCK-UHFFFAOYSA-N 0 2 324.362 0.589 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc([C@H]3CCCO3)nn2C)c1O ZINC000340792027 253304090 /nfs/dbraw/zinc/30/40/90/253304090.db2.gz ISISMNGHEUKUSX-MWLCHTKSSA-N 0 2 322.321 0.260 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cccc(C)n2)cn1C ZINC000342068687 253453550 /nfs/dbraw/zinc/45/35/50/253453550.db2.gz XOFDVCVGUSIZMZ-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN COC[C@@H](O)CCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000346321593 254037263 /nfs/dbraw/zinc/03/72/63/254037263.db2.gz HUXHDTDWIKZYBC-NSHDSACASA-N 0 2 307.306 0.157 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@H]1C ZINC000347209676 254138726 /nfs/dbraw/zinc/13/87/26/254138726.db2.gz STKCYOPORNKJCU-DTWKUNHWSA-N 0 2 318.333 0.698 20 0 DCADLN CS(=O)(=O)Cc1ccccc1NS(=O)(=O)c1cn[nH]c1 ZINC000349910495 254303775 /nfs/dbraw/zinc/30/37/75/254303775.db2.gz AKCRNMMYBACFKM-UHFFFAOYSA-N 0 2 315.376 0.755 20 0 DCADLN Cc1oncc1NS(=O)(=O)c1ccc(-n2cnnn2)cc1 ZINC000350303731 254315491 /nfs/dbraw/zinc/31/54/91/254315491.db2.gz XFRXWRFFKCHHNG-UHFFFAOYSA-N 0 2 306.307 0.760 20 0 DCADLN CCc1nc(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)no1 ZINC000350648071 254322582 /nfs/dbraw/zinc/32/25/82/254322582.db2.gz RWOFNCVNKFEFDM-UHFFFAOYSA-N 0 2 321.322 0.409 20 0 DCADLN O=c1[nH]nc(Cn2nnc(-c3ccc(Br)o3)n2)[nH]1 ZINC000351689395 254359028 /nfs/dbraw/zinc/35/90/28/254359028.db2.gz BUFKAADVRINLAL-UHFFFAOYSA-N 0 2 312.087 0.568 20 0 DCADLN COC(=O)[C@H]([C@@H](C)O)N(Cc1n[nH]c(=O)[nH]1)Cc1ccccc1 ZINC000351980236 254386829 /nfs/dbraw/zinc/38/68/29/254386829.db2.gz BAAQXVAYBWPGSG-MFKMUULPSA-N 0 2 320.349 0.435 20 0 DCADLN COC(=O)C1(O)CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000332578613 533259146 /nfs/dbraw/zinc/25/91/46/533259146.db2.gz HFXODPRLHQBCSF-UHFFFAOYSA-N 0 2 323.374 0.897 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC2(C(N)=O)CCCC2)cn1 ZINC000275652112 130357196 /nfs/dbraw/zinc/35/71/96/130357196.db2.gz XTYKXBAWPZWILL-UHFFFAOYSA-N 0 2 316.365 0.586 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)CCCO3)c1O ZINC000278237262 130674860 /nfs/dbraw/zinc/67/48/60/130674860.db2.gz SLMPLEVIHBBIEE-LBPRGKRZSA-N 0 2 304.302 1.000 20 0 DCADLN Cc1cccc(S(O)=CC(=O)NCC(=O)N2CCCC2)c1 ZINC000108100201 395786473 /nfs/dbraw/zinc/78/64/73/395786473.db2.gz ZNSRSZJQARATBL-OAQYLSRUSA-N 0 2 308.403 0.841 20 0 DCADLN C[C@H](C(N)=O)S(=O)(=O)c1n[nH]c(-c2ccc3c(c2)CCC3)n1 ZINC000195211640 395821617 /nfs/dbraw/zinc/82/16/17/395821617.db2.gz KWMLVVRAVAENBK-MRVPVSSYSA-N 0 2 320.374 0.608 20 0 DCADLN C[C@@H](C(=O)Nc1nc(-c2ccccc2F)n[nH]1)S(C)(=O)=O ZINC000113428408 395830924 /nfs/dbraw/zinc/83/09/24/395830924.db2.gz DCJBBOHCMJEKTP-ZETCQYMHSA-N 0 2 312.326 0.982 20 0 DCADLN CCc1nsc(Nc2ccn(CC(=O)N3CCOCC3)n2)n1 ZINC000271319194 395858032 /nfs/dbraw/zinc/85/80/32/395858032.db2.gz ODBWPFKJGJZJNM-UHFFFAOYSA-N 0 2 322.394 0.899 20 0 DCADLN O=C(NC[C@H]1CCN(c2ccc(F)c(F)c2)C1)c1nc(=O)[nH][nH]1 ZINC000123507195 395894937 /nfs/dbraw/zinc/89/49/37/395894937.db2.gz XMQMANZXPZYNGK-MRVPVSSYSA-N 0 2 323.303 0.633 20 0 DCADLN COC(=O)[C@H]1CN(Cc2nc(=O)c3sccc3[nH]2)C[C@@H](C)O1 ZINC000123515722 395895007 /nfs/dbraw/zinc/89/50/07/395895007.db2.gz IGHCQICSCFHDTJ-PSASIEDQSA-N 0 2 323.374 0.747 20 0 DCADLN CCNC(=O)c1cccc(NS(=O)(=O)c2cnn(C)c2)c1 ZINC000055567839 395920275 /nfs/dbraw/zinc/92/02/75/395920275.db2.gz SZENTIJBSJOIGQ-UHFFFAOYSA-N 0 2 308.363 0.971 20 0 DCADLN CNC(=O)N1CCN(CC(=O)NOCc2ccccc2)CC1 ZINC000271394802 395863385 /nfs/dbraw/zinc/86/33/85/395863385.db2.gz RYOVODDWAWWWCS-UHFFFAOYSA-N 0 2 306.366 0.191 20 0 DCADLN CNC(=O)N1CC[NH+](CC(=O)[N-]OCc2ccccc2)CC1 ZINC000271394802 395863388 /nfs/dbraw/zinc/86/33/88/395863388.db2.gz RYOVODDWAWWWCS-UHFFFAOYSA-N 0 2 306.366 0.191 20 0 DCADLN CCNC(=O)N1CCN(CC(=O)NOCc2ccccc2)CC1 ZINC000119312138 395868664 /nfs/dbraw/zinc/86/86/64/395868664.db2.gz XZQMCQVNLRYSJY-UHFFFAOYSA-N 0 2 320.393 0.582 20 0 DCADLN CCNC(=O)N1CC[NH+](CC(=O)[N-]OCc2ccccc2)CC1 ZINC000119312138 395868666 /nfs/dbraw/zinc/86/86/66/395868666.db2.gz XZQMCQVNLRYSJY-UHFFFAOYSA-N 0 2 320.393 0.582 20 0 DCADLN C[C@H]1CC[N@H+](CCCOC(=O)NC(N)=O)C[C@H]1n1cc[nH+]c1 ZINC000245549147 395932339 /nfs/dbraw/zinc/93/23/39/395932339.db2.gz ZAEOADHRQDUJJK-NWDGAFQWSA-N 0 2 309.370 0.961 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(NC(C)=O)c2)c1O ZINC000277197005 396054954 /nfs/dbraw/zinc/05/49/54/396054954.db2.gz DKSLKRBALPOQKD-LLVKDONJSA-N 0 2 305.290 0.633 20 0 DCADLN CCc1ccccc1S(=O)(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000277289435 396060147 /nfs/dbraw/zinc/06/01/47/396060147.db2.gz QRQXADRLJXWYRO-GFCCVEGCSA-N 0 2 323.374 0.946 20 0 DCADLN CCc1ccccc1S(=O)(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000277289435 396060150 /nfs/dbraw/zinc/06/01/50/396060150.db2.gz QRQXADRLJXWYRO-GFCCVEGCSA-N 0 2 323.374 0.946 20 0 DCADLN Cc1nc(CN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F)no1 ZINC000277436646 396067860 /nfs/dbraw/zinc/06/78/60/396067860.db2.gz WGYREMIGEVCHCU-UHFFFAOYSA-N 0 2 308.260 0.794 20 0 DCADLN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000176200068 396151322 /nfs/dbraw/zinc/15/13/22/396151322.db2.gz IHGDHPCTNSFCPP-QMMMGPOBSA-N 0 2 315.337 0.177 20 0 DCADLN C[C@H](N(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1(C)CC1 ZINC000176251845 396153084 /nfs/dbraw/zinc/15/30/84/396153084.db2.gz ZXLHFXHGLQLCCY-QMMMGPOBSA-N 0 2 302.334 0.872 20 0 DCADLN O=C([O-])[C@H](NC(=O)Cc1cn2ccccc2[nH+]1)[C@@H]1CCCOC1 ZINC000262810980 396184833 /nfs/dbraw/zinc/18/48/33/396184833.db2.gz PDAJFDFBHZLRJJ-IAQYHMDHSA-N 0 2 317.345 0.873 20 0 DCADLN CCC[C@@H]([NH3+])C(=O)N1CC[NH+](Cc2c(C)nn(C)c2C)CC1 ZINC000178886641 396212485 /nfs/dbraw/zinc/21/24/85/396212485.db2.gz LZOIMWQBVNCDTE-OAHLLOKOSA-N 0 2 307.442 0.809 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](CC(=O)NC(=O)NCc1ccccc1)C1CC1 ZINC000263132715 396202736 /nfs/dbraw/zinc/20/27/36/396202736.db2.gz NGLBXFTXZLTLLR-LLVKDONJSA-N 0 2 319.361 0.950 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](CC(=O)NC(=O)NCc1ccccc1)C1CC1 ZINC000263132715 396202739 /nfs/dbraw/zinc/20/27/39/396202739.db2.gz NGLBXFTXZLTLLR-LLVKDONJSA-N 0 2 319.361 0.950 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2cnc(C)nc2)cn1C ZINC000264128515 396256887 /nfs/dbraw/zinc/25/68/87/396256887.db2.gz ONIXQLGNHYGMNJ-UHFFFAOYSA-N 0 2 309.351 0.284 20 0 DCADLN CC(C)Nc1nc(N2CCC[C@H](C(=O)[O-])C2)nc(NCCO)[nH+]1 ZINC000263401701 396216303 /nfs/dbraw/zinc/21/63/03/396216303.db2.gz JGUVEMORVWOYSP-JTQLQIEISA-N 0 2 324.385 0.397 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2CCC[C@H](C(=O)[O-])C2)n1 ZINC000263401701 396216305 /nfs/dbraw/zinc/21/63/05/396216305.db2.gz JGUVEMORVWOYSP-JTQLQIEISA-N 0 2 324.385 0.397 20 0 DCADLN CC(C)Nc1nc(NCCO)nc(N2CCC[C@H](C(=O)[O-])C2)[nH+]1 ZINC000263401701 396216307 /nfs/dbraw/zinc/21/63/07/396216307.db2.gz JGUVEMORVWOYSP-JTQLQIEISA-N 0 2 324.385 0.397 20 0 DCADLN Cn1cc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)c2ccccc21 ZINC000080974249 396290170 /nfs/dbraw/zinc/29/01/70/396290170.db2.gz LMRIZRTYIZFNCQ-UHFFFAOYSA-N 0 2 313.361 0.881 20 0 DCADLN C[C@@H](CO)NC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000184513277 396297506 /nfs/dbraw/zinc/29/75/06/396297506.db2.gz MDOJEZSLEKTONA-JTQLQIEISA-N 0 2 305.338 0.564 20 0 DCADLN COCCNC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000184720970 396302814 /nfs/dbraw/zinc/30/28/14/396302814.db2.gz PFSUBEZXFKNTTD-UHFFFAOYSA-N 0 2 305.338 0.829 20 0 DCADLN C[C@H]1CCCC[C@@H]1OCCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000186944536 396360404 /nfs/dbraw/zinc/36/04/04/396360404.db2.gz JBJKNHXHCQYOFS-UWVGGRQHSA-N 0 2 314.411 0.902 20 0 DCADLN COC(=O)CCCONC(=O)CNC(=O)c1cccc(F)c1 ZINC000290731637 396471015 /nfs/dbraw/zinc/47/10/15/396471015.db2.gz SLLRZMLTEJPRMW-UHFFFAOYSA-N 0 2 312.297 0.557 20 0 DCADLN C[C@@H]1CCN(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)C[C@@H]1C(=O)[O-] ZINC000581487193 396559032 /nfs/dbraw/zinc/55/90/32/396559032.db2.gz YHBVWWIHOFXRNX-AGIUHOORSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1CCN(C(=O)NCC[N@H+]2CCOC[C@@H]2C)C[C@@H]1C(=O)[O-] ZINC000581487193 396559033 /nfs/dbraw/zinc/55/90/33/396559033.db2.gz YHBVWWIHOFXRNX-AGIUHOORSA-N 0 2 313.398 0.459 20 0 DCADLN COc1cccc([C@]2(C)NC(=O)N(NC(=O)C(F)F)C2=O)c1 ZINC000292689384 396563061 /nfs/dbraw/zinc/56/30/61/396563061.db2.gz BUYFUECPSBIKIZ-ZDUSSCGKSA-N 0 2 313.260 0.759 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ncn(CC(F)(F)F)n1 ZINC000560452561 396614395 /nfs/dbraw/zinc/61/43/95/396614395.db2.gz NUXYIOOGPLXCOV-UHFFFAOYSA-N 0 2 324.288 0.683 20 0 DCADLN COC(=O)Cc1cccc(NS(=O)(=O)c2c(C)cnn2C)n1 ZINC000586754232 396662310 /nfs/dbraw/zinc/66/23/10/396662310.db2.gz IZLDOCWDYRFGTA-UHFFFAOYSA-N 0 2 324.362 0.640 20 0 DCADLN Cc1c(NS(=O)(=O)c2ccnn2C)cccc1-n1cnnn1 ZINC000589429993 396713997 /nfs/dbraw/zinc/71/39/97/396713997.db2.gz OPWYSYCTNFGMEL-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C2(S(C)(=O)=O)CC2)s[nH]1 ZINC000634148576 396796116 /nfs/dbraw/zinc/79/61/16/396796116.db2.gz CWPUSQPNQUZHTR-UHFFFAOYSA-N 0 2 319.408 0.357 20 0 DCADLN CCOc1ncc(C)cc1NC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+] ZINC000563494710 396742001 /nfs/dbraw/zinc/74/20/01/396742001.db2.gz KJMBGSPDSMKIOQ-LBPRGKRZSA-N 0 2 307.398 0.895 20 0 DCADLN COC(=O)[C@H]1CCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000596738453 396826330 /nfs/dbraw/zinc/82/63/30/396826330.db2.gz BJQKMOIXEMLUBZ-LLVKDONJSA-N 0 2 317.301 0.808 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn(C[C@@H]3CCCO3)c2)c1O ZINC000375951887 396850307 /nfs/dbraw/zinc/85/03/07/396850307.db2.gz XNABKFGXWTUAHD-QWRGUYRKSA-N 0 2 322.321 0.050 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn(C[C@@H]3CCCO3)c2)c1O ZINC000375951888 396850732 /nfs/dbraw/zinc/85/07/32/396850732.db2.gz XNABKFGXWTUAHD-WDEREUQCSA-N 0 2 322.321 0.050 20 0 DCADLN C[C@@]1(C(N)=O)CCN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000564696955 396855892 /nfs/dbraw/zinc/85/58/92/396855892.db2.gz LOTUPBJJOSYILF-OAHLLOKOSA-N 0 2 316.317 0.367 20 0 DCADLN Cn1cc2c(n1)CCC[C@H]2NS(=O)(=O)NCC(F)(F)F ZINC000375710070 396800696 /nfs/dbraw/zinc/80/06/96/396800696.db2.gz DUYKYJHWSRROHK-SECBINFHSA-N 0 2 312.317 0.784 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CCC(C(=O)[O-])CC3)[nH+]cn2)C1 ZINC000564089945 396808534 /nfs/dbraw/zinc/80/85/34/396808534.db2.gz KTUFGRPSDKJKPY-WCQYABFASA-N 0 2 320.393 0.985 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CCC(C(=O)[O-])CC3)nc[nH+]2)C1 ZINC000564089945 396808545 /nfs/dbraw/zinc/80/85/45/396808545.db2.gz KTUFGRPSDKJKPY-WCQYABFASA-N 0 2 320.393 0.985 20 0 DCADLN Cn1[n-]c(C(=O)Nc2cc(N3CC[NH+](C)CC3)ccn2)cc1=O ZINC000634194820 396811272 /nfs/dbraw/zinc/81/12/72/396811272.db2.gz WIDFYDNKMDRZCM-UHFFFAOYSA-N 0 2 316.365 0.525 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000320785544 396814017 /nfs/dbraw/zinc/81/40/17/396814017.db2.gz IJTUXRZDUARRRJ-MFKMUULPSA-N 0 2 319.361 0.905 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000320785544 396814020 /nfs/dbraw/zinc/81/40/20/396814020.db2.gz IJTUXRZDUARRRJ-MFKMUULPSA-N 0 2 319.361 0.905 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000320785539 396814039 /nfs/dbraw/zinc/81/40/39/396814039.db2.gz IJTUXRZDUARRRJ-GWCFXTLKSA-N 0 2 319.361 0.905 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000320785539 396814043 /nfs/dbraw/zinc/81/40/43/396814043.db2.gz IJTUXRZDUARRRJ-GWCFXTLKSA-N 0 2 319.361 0.905 20 0 DCADLN C=CCNC(=O)NC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000321661683 396816969 /nfs/dbraw/zinc/81/69/69/396816969.db2.gz YXPZJUQHOKLZJM-CQSZACIVSA-N 0 2 317.345 0.682 20 0 DCADLN C=CCNC(=O)NC(=O)C[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000321661683 396816978 /nfs/dbraw/zinc/81/69/78/396816978.db2.gz YXPZJUQHOKLZJM-CQSZACIVSA-N 0 2 317.345 0.682 20 0 DCADLN CN(C[C@H]1COCCO1)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000618220897 396928101 /nfs/dbraw/zinc/92/81/01/396928101.db2.gz BJZZVXPKOUHTDL-LBPRGKRZSA-N 0 2 319.317 0.517 20 0 DCADLN O=C(NC[C@@]1(O)CCSC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000611869132 396941848 /nfs/dbraw/zinc/94/18/48/396941848.db2.gz MDZLCFLXMMCUSR-AWEZNQCLSA-N 0 2 321.358 0.628 20 0 DCADLN COC(=O)C[C@@H]1COCCN1CC(=O)NOCc1ccccc1 ZINC000376542272 396944053 /nfs/dbraw/zinc/94/40/53/396944053.db2.gz QCXMLHMPCAKAGK-CQSZACIVSA-N 0 2 322.361 0.498 20 0 DCADLN Cc1cc(C)[nH+]c(S([O-])=CC(=O)NCC(=O)N2CCCC2)c1 ZINC000618534222 396990268 /nfs/dbraw/zinc/99/02/68/396990268.db2.gz JWUHJWYGZCGRIK-QFIPXVFZSA-N 0 2 323.418 0.545 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@@H](CC(N)=O)C2)c1 ZINC000591780482 397002901 /nfs/dbraw/zinc/00/29/01/397002901.db2.gz LTPZSVJQQLYPAZ-KPWVOAKYSA-N 0 2 324.402 0.527 20 0 DCADLN NC(=O)C[C@@H]1CCN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC000377137186 397034863 /nfs/dbraw/zinc/03/48/63/397034863.db2.gz YGGPNHLAXSDLAS-NSHDSACASA-N 0 2 307.423 0.732 20 0 DCADLN NC(=O)[C@@H]1CCC[C@@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000571645818 396973278 /nfs/dbraw/zinc/97/32/78/396973278.db2.gz VAHQVGVZNLGFMR-MXWKQRLJSA-N 0 2 321.381 0.508 20 0 DCADLN CCc1cnc(NS(=O)(=O)CCCS(N)(=O)=O)s1 ZINC000349445582 397073327 /nfs/dbraw/zinc/07/33/27/397073327.db2.gz GKNYPMRSAGZUSN-UHFFFAOYSA-N 0 2 313.426 0.126 20 0 DCADLN COC(=O)[C@@H]1C[C@H](O)CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000592197939 397123597 /nfs/dbraw/zinc/12/35/97/397123597.db2.gz QBAQSJVRSHNMMC-BDAKNGLRSA-N 0 2 323.374 0.753 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)C[C@H](OC)C(C)C)c[nH]1 ZINC000592385127 397166826 /nfs/dbraw/zinc/16/68/26/397166826.db2.gz KIEXNPXYULOTGH-VIFPVBQESA-N 0 2 319.383 0.999 20 0 DCADLN CCOC(=O)c1ncc(NS(=O)(=O)C[C@H](OC)C(C)C)[nH]1 ZINC000592385127 397166831 /nfs/dbraw/zinc/16/68/31/397166831.db2.gz KIEXNPXYULOTGH-VIFPVBQESA-N 0 2 319.383 0.999 20 0 DCADLN Cn1cc([C@H]2[C@H](C[N@H+](C)[C@@H]3COC[C@H]3O)CCC[NH+]2C)cn1 ZINC000573501944 397174407 /nfs/dbraw/zinc/17/44/07/397174407.db2.gz DZJHJSCDAFWPIQ-LCGIIJARSA-N 0 2 308.426 0.495 20 0 DCADLN CC(C)(C)c1cc(N2CC[C@H](CO)[C@@H](O)C2)nc(C(=O)[O-])[nH+]1 ZINC000573613523 397187739 /nfs/dbraw/zinc/18/77/39/397187739.db2.gz BBDCLHNWHMWLBA-ZJUUUORDSA-N 0 2 309.366 0.652 20 0 DCADLN Cn1[n-]c(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)cc1=O ZINC000619882612 397203569 /nfs/dbraw/zinc/20/35/69/397203569.db2.gz ISDMKGMMHUPBAT-UHFFFAOYSA-N 0 2 308.382 0.310 20 0 DCADLN C[N@@H+]1CCOC[C@H]1CNC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000626767424 397234981 /nfs/dbraw/zinc/23/49/81/397234981.db2.gz LBPINOAAXSHNHE-CYBMUJFWSA-N 0 2 307.350 0.515 20 0 DCADLN C[N@H+]1CCOC[C@H]1CNC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000626767424 397234982 /nfs/dbraw/zinc/23/49/82/397234982.db2.gz LBPINOAAXSHNHE-CYBMUJFWSA-N 0 2 307.350 0.515 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CC[C@@H](n2cncn2)C1 ZINC000365321556 397265522 /nfs/dbraw/zinc/26/55/22/397265522.db2.gz HOPQZABZYRNYBO-MRVPVSSYSA-N 0 2 319.287 0.509 20 0 DCADLN CCN1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)CC1=O ZINC000613485956 397265703 /nfs/dbraw/zinc/26/57/03/397265703.db2.gz OALPURIBPBESAF-UHFFFAOYSA-N 0 2 316.317 0.334 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000592940357 397297720 /nfs/dbraw/zinc/29/77/20/397297720.db2.gz USZSHNWNZLDFSM-RKDXNWHRSA-N 0 2 315.272 0.666 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)Nc1cccc(C(=O)OC)c1 ZINC000592988163 397309609 /nfs/dbraw/zinc/30/96/09/397309609.db2.gz QFUKTYDTRZTHNM-UHFFFAOYSA-N 0 2 324.333 0.445 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)Nc1cccc(C(=O)OC)c1 ZINC000592988163 397309613 /nfs/dbraw/zinc/30/96/13/397309613.db2.gz QFUKTYDTRZTHNM-UHFFFAOYSA-N 0 2 324.333 0.445 20 0 DCADLN C[C@@H]1C[C@H](C)[C@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)O1 ZINC000407962905 397338850 /nfs/dbraw/zinc/33/88/50/397338850.db2.gz BHAQENVXIMWHJQ-RRKCRQDMSA-N 0 2 320.396 0.662 20 0 DCADLN C[C@@H]1OCC[C@@]1(O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614205461 397400099 /nfs/dbraw/zinc/40/00/99/397400099.db2.gz UNEGSNRVOUCLMQ-BJOHPYRUSA-N 0 2 319.317 0.300 20 0 DCADLN O=C([O-])c1cccnc1N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000614259505 397408091 /nfs/dbraw/zinc/40/80/91/397408091.db2.gz SASVUSVJIZYUIF-UHFFFAOYSA-N 0 2 318.377 0.524 20 0 DCADLN CCN(CCCNc1[nH+]cccc1C(=O)[O-])S(C)(=O)=O ZINC000614310308 397419538 /nfs/dbraw/zinc/41/95/38/397419538.db2.gz IGYVBUOTXPZULY-UHFFFAOYSA-N 0 2 301.368 0.863 20 0 DCADLN Cn1ncc(Cl)c1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518018 397463329 /nfs/dbraw/zinc/46/33/29/397463329.db2.gz DSCHZZCVQCCHLN-SSDOTTSWSA-N 0 2 310.745 0.917 20 0 DCADLN CN1C(=O)CC[C@@H]1CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000614518911 397463360 /nfs/dbraw/zinc/46/33/60/397463360.db2.gz OYSFAGHYIGKOIM-VHSXEESVSA-N 0 2 307.354 0.227 20 0 DCADLN Cn1cc(S(=O)(=O)NC(=O)/C=C\C2CCC2)cc1C(N)=O ZINC000492101856 397511833 /nfs/dbraw/zinc/51/18/33/397511833.db2.gz DGJPGDYGTJTYST-WAYWQWQTSA-N 0 2 311.363 0.285 20 0 DCADLN COC(=O)N(C)CC(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000492135360 397512686 /nfs/dbraw/zinc/51/26/86/397512686.db2.gz QEKYEUDYBXTDOU-UHFFFAOYSA-N 0 2 306.278 0.667 20 0 DCADLN Cc1sc(=O)n(CCC(=O)N2CC[N@@H+](C)C[C@H]2C[NH3+])c1C ZINC000579107858 397523118 /nfs/dbraw/zinc/52/31/18/397523118.db2.gz HUSRDQVTAHFSKR-GFCCVEGCSA-N 0 2 312.439 0.018 20 0 DCADLN COC1CCN(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1 ZINC000328812704 292162804 /nfs/dbraw/zinc/16/28/04/292162804.db2.gz FRQPVOQCOCIJRL-SNVBAGLBSA-N 0 2 309.370 0.921 20 0 DCADLN COC[C@@H]1C[C@@H](OC)CN1S(=O)(=O)NCC(F)(F)F ZINC000343177059 292930960 /nfs/dbraw/zinc/93/09/60/292930960.db2.gz QROVTWAAOYDOIE-JGVFFNPUSA-N 0 2 306.306 0.119 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc(OCC(N)=O)cc2)c[nH]1 ZINC000070069556 158046688 /nfs/dbraw/zinc/04/66/88/158046688.db2.gz ZTOOQQCNJOXDPA-UHFFFAOYSA-N 0 2 310.335 0.383 20 0 DCADLN COc1cc(C)ccc1OCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000081815077 158085789 /nfs/dbraw/zinc/08/57/89/158085789.db2.gz KAZPTFJCUQRFRH-UHFFFAOYSA-N 0 2 320.349 0.543 20 0 DCADLN CC[NH+]1CCN([C@@H]2CC[N@@H+](CC(=O)N(C)CC3CC3)C2)CC1 ZINC000113504134 158148039 /nfs/dbraw/zinc/14/80/39/158148039.db2.gz MTFCTHHWJQKHOX-MRXNPFEDSA-N 0 2 308.470 0.567 20 0 DCADLN CN(CCC1CC1)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000119163353 158184195 /nfs/dbraw/zinc/18/41/95/158184195.db2.gz YSXNHGHDSNAYKG-UHFFFAOYSA-N 0 2 324.362 0.032 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1cccnc1OCC(F)F ZINC000123018140 158225909 /nfs/dbraw/zinc/22/59/09/158225909.db2.gz ZMKZSKQJJSSFIU-UHFFFAOYSA-N 0 2 314.252 0.459 20 0 DCADLN NC(=O)[C@@H]1CCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000123233188 158228520 /nfs/dbraw/zinc/22/85/20/158228520.db2.gz UZILKNZPAHBDCZ-NSHDSACASA-N 0 2 300.318 0.668 20 0 DCADLN Cn1cc(CCCNC(=O)c2c[nH]c3c(cnn3C)c2=O)cn1 ZINC000132649009 158312752 /nfs/dbraw/zinc/31/27/52/158312752.db2.gz YIOPAFZERXOXOR-UHFFFAOYSA-N 0 2 314.349 0.770 20 0 DCADLN O=C(c1cnn2cccnc12)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328751603 159026479 /nfs/dbraw/zinc/02/64/79/159026479.db2.gz CMYADRRLHTXVMX-SECBINFHSA-N 0 2 313.321 0.573 20 0 DCADLN CN(C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC000328837145 159033101 /nfs/dbraw/zinc/03/31/01/159033101.db2.gz MZEOWBSJLJSOPI-JTQLQIEISA-N 0 2 309.370 0.921 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)CCC3CC3)C2)[nH]1 ZINC000328846147 159033947 /nfs/dbraw/zinc/03/39/47/159033947.db2.gz QIMOERRUNLFKSS-SNVBAGLBSA-N 0 2 300.384 0.820 20 0 DCADLN CNS(=O)(=O)c1cccc(S(=O)(=O)Nc2cn[nH]c2)c1 ZINC000341718530 159224032 /nfs/dbraw/zinc/22/40/32/159224032.db2.gz YSUUHFCUUXPAOW-UHFFFAOYSA-N 0 2 316.364 0.119 20 0 DCADLN Cc1ncc2c(n1)CC[C@@H](NS(=O)(=O)NCC(F)(F)F)C2 ZINC000367029650 159306746 /nfs/dbraw/zinc/30/67/46/159306746.db2.gz KRIXDSAMIKAIMG-SECBINFHSA-N 0 2 324.328 0.629 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCO[C@H](c2ccco2)C1 ZINC000376871393 159881449 /nfs/dbraw/zinc/88/14/49/159881449.db2.gz KEEMOVCMTUALCS-VIFPVBQESA-N 0 2 310.335 0.796 20 0 DCADLN CO[C@H](C)CS(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000408192402 160056864 /nfs/dbraw/zinc/05/68/64/160056864.db2.gz LZBQCWZTESRUAH-BDAKNGLRSA-N 0 2 304.372 0.054 20 0 DCADLN Cc1cc(S(=O)(=O)NC(=O)C[C@@H]2CCCC(=O)N2)c(C)o1 ZINC000408446286 160109599 /nfs/dbraw/zinc/10/95/99/160109599.db2.gz JKIBBMKGUXTNPD-JTQLQIEISA-N 0 2 314.363 0.760 20 0 DCADLN C[C@H]1CC(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H](C)O1 ZINC000130888892 286996984 /nfs/dbraw/zinc/99/69/84/286996984.db2.gz RDPIYOIBLRHKIP-YUMQZZPRSA-N 0 2 318.333 0.297 20 0 DCADLN O=C(N[C@H]1CCCN(c2ccccc2F)C1=O)c1nc(=O)[nH][nH]1 ZINC000130966004 286997354 /nfs/dbraw/zinc/99/73/54/286997354.db2.gz HUZGEBPPUIODFR-VIFPVBQESA-N 0 2 319.296 0.163 20 0 DCADLN O=c1[nH]nc(CNc2ncnc3c2cnn3-c2ccccc2)[nH]1 ZINC000301612868 287141899 /nfs/dbraw/zinc/14/18/99/287141899.db2.gz GKLMKQAAZDVQMQ-UHFFFAOYSA-N 0 2 308.305 0.839 20 0 DCADLN CCc1cccc(C)c1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000355992939 287190738 /nfs/dbraw/zinc/19/07/38/287190738.db2.gz GORGGFDASHALCY-UHFFFAOYSA-N 0 2 303.322 0.636 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)OC ZINC000358311100 287206728 /nfs/dbraw/zinc/20/67/28/287206728.db2.gz KIWFFZLUEKHWCO-GZMMTYOYSA-N 0 2 320.349 0.991 20 0 DCADLN CC(C)n1nnnc1C[N@@H+]1CC[C@@H](N2CC[NH+](C(C)C)CC2)C1 ZINC000375888350 287228636 /nfs/dbraw/zinc/22/86/36/287228636.db2.gz GKSZUSVDIHEYQS-OAHLLOKOSA-N 0 2 321.473 0.854 20 0 DCADLN COC(=O)c1cccc(S(=O)(=O)Nc2nnn(C)n2)c1C ZINC000600865131 412384515 /nfs/dbraw/zinc/38/45/15/412384515.db2.gz XMVLJYVJWNZNFS-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NC[C@@H]1CCC[C@@H]1O ZINC000331630787 415160767 /nfs/dbraw/zinc/16/07/67/415160767.db2.gz LGEARISZKBVVOR-JQWIXIFHSA-N 0 2 304.350 0.151 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(NC(=O)CO)c1 ZINC000342772498 415290101 /nfs/dbraw/zinc/29/01/01/415290101.db2.gz AERFXHTXALHXND-UHFFFAOYSA-N 0 2 310.335 0.152 20 0 DCADLN COc1ccc(NC(=O)C(N)C(F)(F)F)cc1-n1cnnn1 ZINC000352925174 415373804 /nfs/dbraw/zinc/37/38/04/415373804.db2.gz XDJDNFJKERYGRL-VIFPVBQESA-N 0 2 316.243 0.499 20 0 DCADLN COc1ccc(NC(=O)[C@H](N)C(F)(F)F)cc1-n1cnnn1 ZINC000352925174 415373813 /nfs/dbraw/zinc/37/38/13/415373813.db2.gz XDJDNFJKERYGRL-VIFPVBQESA-N 0 2 316.243 0.499 20 0 DCADLN CS(=O)(=O)Nc1ccc(NC(=O)C(N)C(F)(F)F)cc1 ZINC000353144946 415451028 /nfs/dbraw/zinc/45/10/28/415451028.db2.gz JCIGKMFMCIJMGA-MRVPVSSYSA-N 0 2 311.285 0.886 20 0 DCADLN CS(=O)(=O)Nc1ccc(NC(=O)[C@@H](N)C(F)(F)F)cc1 ZINC000353144946 415451034 /nfs/dbraw/zinc/45/10/34/415451034.db2.gz JCIGKMFMCIJMGA-MRVPVSSYSA-N 0 2 311.285 0.886 20 0 DCADLN CCn1cc(CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)cn1 ZINC000343133632 415467237 /nfs/dbraw/zinc/46/72/37/415467237.db2.gz DRELVFKPKDHRQI-UHFFFAOYSA-N 0 2 314.305 0.582 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCN(C(C)=O)C2)c1 ZINC000424734160 287313122 /nfs/dbraw/zinc/31/31/22/287313122.db2.gz TYLDQQATZSWTII-AMXDTQDGSA-N 0 2 324.402 0.540 20 0 DCADLN COCCCN(C)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000353781834 415657200 /nfs/dbraw/zinc/65/72/00/415657200.db2.gz YIJZCCKQKJSKKN-UHFFFAOYSA-N 0 2 306.322 0.853 20 0 DCADLN COC1CCN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)CC1 ZINC000353806166 415663527 /nfs/dbraw/zinc/66/35/27/415663527.db2.gz IHJRBCTZKJUSKO-UHFFFAOYSA-N 0 2 318.333 0.995 20 0 DCADLN C[C@H]1C[C@@H]1c1cc(=NC(=O)N=c2nc3n([nH]2)CCCC3)[nH][nH]1 ZINC000333642947 415700513 /nfs/dbraw/zinc/70/05/13/415700513.db2.gz JEABQBLSAMGVTO-IUCAKERBSA-N 0 2 301.354 0.949 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@H](C)[N@@H+]2CC[C@H](c3nc(C)n[nH]3)C2)CC1 ZINC000334023443 415784544 /nfs/dbraw/zinc/78/45/44/415784544.db2.gz FILWYUIFPFAHCL-JSGCOSHPSA-N 0 2 320.441 0.455 20 0 DCADLN CC[NH+]1CCN(C(=O)[C@H](C)[N@H+]2CC[C@H](c3nc(C)n[nH]3)C2)CC1 ZINC000334023443 415784550 /nfs/dbraw/zinc/78/45/50/415784550.db2.gz FILWYUIFPFAHCL-JSGCOSHPSA-N 0 2 320.441 0.455 20 0 DCADLN COCc1ncc(C(=O)NCC2C[C@@H](C)O[C@H](C)C2)c(N)n1 ZINC000334029017 415788251 /nfs/dbraw/zinc/78/82/51/415788251.db2.gz VTAPRKZMQAFHMP-NXEZZACHSA-N 0 2 308.382 1.139 20 0 DCADLN C[C@@H](NC(=O)c1ccccc1)C(=O)N[C@H]1CCN(C(N)=O)C1 ZINC000334028686 415788274 /nfs/dbraw/zinc/78/82/74/415788274.db2.gz DFNWRIRGKASJTF-PWSUYJOCSA-N 0 2 304.350 0.074 20 0 DCADLN CCS(=O)(=O)N(C)CCCNC(=O)N1CC[C@@H]([C@@H](C)O)C1 ZINC000334033779 415789724 /nfs/dbraw/zinc/78/97/24/415789724.db2.gz DGVRFBLDEJDJHF-VXGBXAGGSA-N 0 2 321.443 0.070 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2oc(=O)[nH]c2c1 ZINC000354335278 415791037 /nfs/dbraw/zinc/79/10/37/415791037.db2.gz GZJDTJQVTICVQH-UHFFFAOYSA-N 0 2 303.278 0.720 20 0 DCADLN CCOC(=O)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000290481043 415810184 /nfs/dbraw/zinc/81/01/84/415810184.db2.gz LXPHLNXKGCQKLI-ZETCQYMHSA-N 0 2 314.235 0.121 20 0 DCADLN CCOC(=O)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000290481043 415810193 /nfs/dbraw/zinc/81/01/93/415810193.db2.gz LXPHLNXKGCQKLI-ZETCQYMHSA-N 0 2 314.235 0.121 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C/c2ccnn2CC)cn1 ZINC000127688713 415887382 /nfs/dbraw/zinc/88/73/82/415887382.db2.gz UWTAJKYZEUUIRP-AATRIKPKSA-N 0 2 323.378 0.638 20 0 DCADLN CC(C)(C)[NH+](CCC[N@H+](CC(N)=O)C(C)(C)C)CC(N)=O ZINC000131142575 415926532 /nfs/dbraw/zinc/92/65/32/415926532.db2.gz FSMNLDNLVBFKIE-UHFFFAOYSA-N 0 2 300.447 0.548 20 0 DCADLN CC(C)(CO)ONC(=O)CNC(=O)c1cc(F)cc(F)c1 ZINC000297153946 415955976 /nfs/dbraw/zinc/95/59/76/415955976.db2.gz JGNYBRVVANNEKA-UHFFFAOYSA-N 0 2 302.277 0.513 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N(CCCCO)C1CC1 ZINC000344642374 415965146 /nfs/dbraw/zinc/96/51/46/415965146.db2.gz UUBKCTUVDWZSNR-UHFFFAOYSA-N 0 2 318.333 0.813 20 0 DCADLN C[C@H](C(=O)NCC(F)(F)F)[N@@H+]1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000298675502 416021677 /nfs/dbraw/zinc/02/16/77/416021677.db2.gz YTHLKZAMNSLAHC-SFYZADRCSA-N 0 2 322.287 0.609 20 0 DCADLN CNC(=O)c1sccc1S(=O)(=O)Nc1cnn(C)c1 ZINC000338032354 416035590 /nfs/dbraw/zinc/03/55/90/416035590.db2.gz ZLRGEVQOJMZIFF-UHFFFAOYSA-N 0 2 300.365 0.642 20 0 DCADLN O=S(=O)(Nc1nc2ncccn2n1)c1cncc(Cl)c1 ZINC000339034228 416149569 /nfs/dbraw/zinc/14/95/69/416149569.db2.gz ZQZRMWKEJUVXJR-UHFFFAOYSA-N 0 2 310.726 0.974 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCc2n[nH]nc2C1 ZINC000345970117 416177108 /nfs/dbraw/zinc/17/71/08/416177108.db2.gz FTWBFIMXHBWEEW-UHFFFAOYSA-N 0 2 310.317 0.895 20 0 DCADLN CN(C)C(=O)NCCN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358353101 416227139 /nfs/dbraw/zinc/22/71/39/416227139.db2.gz LVKOCOWVTWPSNN-UHFFFAOYSA-N 0 2 320.353 0.017 20 0 DCADLN O=C(CCc1nc(-c2cccs2)no1)NCc1n[nH]c(=O)[nH]1 ZINC000358362973 416229769 /nfs/dbraw/zinc/22/97/69/416229769.db2.gz WZJSSVPMGNLYNY-UHFFFAOYSA-N 0 2 320.334 0.871 20 0 DCADLN Cn1ccc(CNC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)n1 ZINC000345876620 416162759 /nfs/dbraw/zinc/16/27/59/416162759.db2.gz VBNVDWAANPGRDH-UHFFFAOYSA-N 0 2 322.394 0.246 20 0 DCADLN NC(=O)Cn1ccc(NC(=O)c2cc(F)c(F)c(O)c2F)n1 ZINC000348600798 416242137 /nfs/dbraw/zinc/24/21/37/416242137.db2.gz QBNSFUKRWRZJJY-UHFFFAOYSA-N 0 2 314.223 0.744 20 0 DCADLN CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)NCc2n[nH]c(=O)[nH]2)C1 ZINC000331080393 416261992 /nfs/dbraw/zinc/26/19/92/416261992.db2.gz LMAYTTCJOPXYCP-VHSXEESVSA-N 0 2 309.370 0.411 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc(Cl)c1F ZINC000342043737 416272255 /nfs/dbraw/zinc/27/22/55/416272255.db2.gz KPWVMAJHXQMPJQ-UHFFFAOYSA-N 0 2 313.676 0.558 20 0 DCADLN O=S(=O)(Nc1ccnc2ncnn21)c1ccc2c(c1)COC2 ZINC000358808067 416277181 /nfs/dbraw/zinc/27/71/81/416277181.db2.gz ZPLCCGIWELQHGX-UHFFFAOYSA-N 0 2 317.330 0.955 20 0 DCADLN COC(=O)CCN(CC(C)C)S(=O)(=O)NCC(F)(F)F ZINC000195116144 416325123 /nfs/dbraw/zinc/32/51/23/416325123.db2.gz HUEVPDHLVCXOQB-UHFFFAOYSA-N 0 2 320.333 0.904 20 0 DCADLN O=S(=O)(NC[C@H](O)C1CCCCC1)NCC(F)(F)F ZINC000195282600 416325835 /nfs/dbraw/zinc/32/58/35/416325835.db2.gz IEDMFRZOKLFULF-VIFPVBQESA-N 0 2 304.334 0.914 20 0 DCADLN COC[C@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@H](C)O1 ZINC000195466330 416326526 /nfs/dbraw/zinc/32/65/26/416326526.db2.gz CLOACOIISXUIAD-JGVFFNPUSA-N 0 2 306.306 0.119 20 0 DCADLN CCOC(=O)[C@@H](C)N(C1CC1)S(=O)(=O)NCC(F)(F)F ZINC000195468392 416326569 /nfs/dbraw/zinc/32/65/69/416326569.db2.gz BRVUVGIHIJWBDV-SSDOTTSWSA-N 0 2 318.317 0.799 20 0 DCADLN CCCc1nsc(NCCNS(=O)(=O)NC(C)C)n1 ZINC000360066871 416368947 /nfs/dbraw/zinc/36/89/47/416368947.db2.gz STCXULCMBCCTGL-UHFFFAOYSA-N 0 2 307.445 0.157 20 0 DCADLN CO[C@H]1C[C@H](NS(=O)(=O)NCC(F)(F)F)C12CCC2 ZINC000416558997 416375871 /nfs/dbraw/zinc/37/58/71/416375871.db2.gz JIBZXJHAHFIAPD-YUMQZZPRSA-N 0 2 302.318 0.930 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCO[C@@H](C2CCC2)C1 ZINC000416568851 416376633 /nfs/dbraw/zinc/37/66/33/416376633.db2.gz HZUKEEDYBJRABO-SECBINFHSA-N 0 2 302.318 0.884 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(F)cc2O)cc1C(N)=O ZINC000360630998 416400503 /nfs/dbraw/zinc/40/05/03/416400503.db2.gz ZMBQFBHJOJRSCG-UHFFFAOYSA-N 0 2 313.310 0.770 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2c(cnn2C)c1=O)c1ccn(C)n1 ZINC000458281149 416448276 /nfs/dbraw/zinc/44/82/76/416448276.db2.gz YHDSKRXPICHPPV-QMMMGPOBSA-N 0 2 300.322 0.898 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)CN1CCOCC1 ZINC000582262944 416450066 /nfs/dbraw/zinc/45/00/66/416450066.db2.gz YCCVMJXDAWROAO-UHFFFAOYSA-N 0 2 317.349 0.756 20 0 DCADLN C[C@H](C[C@H]1COCC[NH2+]1)[NH2+]CC(=O)NCCc1ccccc1 ZINC000422942277 416451158 /nfs/dbraw/zinc/45/11/58/416451158.db2.gz WIKPNJLKSHLOFA-ZBFHGGJFSA-N 0 2 305.422 0.702 20 0 DCADLN C[C@@H]1CO[C@@H](CO)CN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000361603563 416459344 /nfs/dbraw/zinc/45/93/44/416459344.db2.gz NQDULTIJTUNRNO-RKDXNWHRSA-N 0 2 314.411 0.871 20 0 DCADLN COc1ccc2nc(SCC(=O)Nc3nn[nH]n3)[nH]c2c1 ZINC000439266805 416609470 /nfs/dbraw/zinc/60/94/70/416609470.db2.gz BSRPHANOXRIFSF-UHFFFAOYSA-N 0 2 305.323 0.815 20 0 DCADLN Nc1nnc(CCNC(=O)c2cc(F)cc(Cl)c2O)o1 ZINC000436989560 416579095 /nfs/dbraw/zinc/57/90/95/416579095.db2.gz NZLIBRDQILFTAL-UHFFFAOYSA-N 0 2 300.677 1.122 20 0 DCADLN Cc1c(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cnn1C ZINC000514605457 416665885 /nfs/dbraw/zinc/66/58/85/416665885.db2.gz OGUFJRXTZJULQR-SNVBAGLBSA-N 0 2 304.354 0.501 20 0 DCADLN C[C@H](CCO)CNC(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000442572116 416697856 /nfs/dbraw/zinc/69/78/56/416697856.db2.gz DQXCREQWRXUBNZ-MRVPVSSYSA-N 0 2 314.411 0.331 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2cccc(-c3nnnn3C)c2)o1 ZINC000443368564 416754554 /nfs/dbraw/zinc/75/45/54/416754554.db2.gz DLWSMQUEBQODCV-UHFFFAOYSA-N 0 2 320.334 0.974 20 0 DCADLN CC[C@H](NS(=O)(=O)NCC(F)(F)F)[C@@H](O)C(F)(F)F ZINC000443034110 416726645 /nfs/dbraw/zinc/72/66/45/416726645.db2.gz CTDYQPLZBIXFQI-CRCLSJGQSA-N 0 2 318.239 0.675 20 0 DCADLN CCOC(=O)[C@@H]1CC[C@H](NS(=O)(=O)NCC(F)(F)F)C1 ZINC000443214244 416736293 /nfs/dbraw/zinc/73/62/93/416736293.db2.gz UHAUEJBKQXATIO-SFYZADRCSA-N 0 2 318.317 0.705 20 0 DCADLN Cc1cccnc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000425937108 416739006 /nfs/dbraw/zinc/73/90/06/416739006.db2.gz PXIJWPLTASQIMH-UHFFFAOYSA-N 0 2 313.364 0.865 20 0 DCADLN CCC(=O)N[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000443525291 416765386 /nfs/dbraw/zinc/76/53/86/416765386.db2.gz YKFOJOTYRXCIMB-LBPRGKRZSA-N 0 2 303.322 0.394 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccccc2-n2cnnn2)cnn1C ZINC000427102119 416799088 /nfs/dbraw/zinc/79/90/88/416799088.db2.gz RNKMXLJTVQFJEN-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN C[C@@H]1C(=O)Nc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccc2N1C ZINC000428010374 416865051 /nfs/dbraw/zinc/86/50/51/416865051.db2.gz LOCUDCQPZCVLEM-SSDOTTSWSA-N 0 2 316.321 0.217 20 0 DCADLN C[C@H]1CN(S(=O)(=O)c2ccccn2)CC[N@@H+]1CC(C)(C)O ZINC000444275703 416826635 /nfs/dbraw/zinc/82/66/35/416826635.db2.gz JMRRFEJEGGVGPD-LBPRGKRZSA-N 0 2 313.423 0.547 20 0 DCADLN CCOCc1nnc(NS(=O)(=O)c2cncc(F)c2)o1 ZINC000446948402 416955597 /nfs/dbraw/zinc/95/55/97/416955597.db2.gz OSSLESYHVBASPH-UHFFFAOYSA-N 0 2 302.287 0.941 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H]2CCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000428601006 416909590 /nfs/dbraw/zinc/90/95/90/416909590.db2.gz QEEYDWFWZAYBSN-VIFPVBQESA-N 0 2 318.333 0.731 20 0 DCADLN Cn1cc(-c2nnc(NS(=O)(=O)c3cncc(F)c3)o2)cn1 ZINC000446770816 416946021 /nfs/dbraw/zinc/94/60/21/416946021.db2.gz SFKMNCHYRXOHGR-UHFFFAOYSA-N 0 2 324.297 0.805 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2ncn(Cc3ccccc3)n2)n1 ZINC000521554273 417015736 /nfs/dbraw/zinc/01/57/36/417015736.db2.gz TVJSQOHOECBVSS-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN CC(C)[C@H](CNC(=O)c1ccc(C(=O)[O-])cn1)[NH+]1CCOCC1 ZINC000642209427 417035275 /nfs/dbraw/zinc/03/52/75/417035275.db2.gz FQLVEHWMGGPREJ-AWEZNQCLSA-N 0 2 321.377 0.866 20 0 DCADLN COCCOc1ccc(CC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000429817576 417000376 /nfs/dbraw/zinc/00/03/76/417000376.db2.gz CSSRCHZGYGRPKB-UHFFFAOYSA-N 0 2 306.322 0.394 20 0 DCADLN O=C(N[C@@H](CO)CC(F)F)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000430674442 417059692 /nfs/dbraw/zinc/05/96/92/417059692.db2.gz AZKCNTOVOHBMEZ-SECBINFHSA-N 0 2 313.260 0.776 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cnn(-c2ccccn2)c1 ZINC000523532970 417083152 /nfs/dbraw/zinc/08/31/52/417083152.db2.gz JMIAEGMYWMOBIA-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN Cc1cn2ccnc(C(=O)Nc3c(C)nc(=O)[nH]c3O)c2n1 ZINC000431808825 417145441 /nfs/dbraw/zinc/14/54/41/417145441.db2.gz CQUDHSNIDMWWAE-UHFFFAOYSA-N 0 2 300.278 0.800 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@@H+]1CCOC2(CCOCC2)CC1 ZINC000568881602 417188705 /nfs/dbraw/zinc/18/87/05/417188705.db2.gz WQSGBQXNLIZRFD-OAHLLOKOSA-N 0 2 316.442 0.197 20 0 DCADLN C[C@H]1OCC[C@@]1(O)CNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000433216268 417243647 /nfs/dbraw/zinc/24/36/47/417243647.db2.gz AASKPSFVTAOLOK-AMIZOPFISA-N 0 2 314.411 0.919 20 0 DCADLN C[NH+]1CCN(C(=O)C[N@@H+]2CCC[C@H](CC(C)(C)C(N)=O)C2)CC1 ZINC000451208137 417312023 /nfs/dbraw/zinc/31/20/23/417312023.db2.gz COADZLWERIKMBF-CQSZACIVSA-N 0 2 324.469 0.374 20 0 DCADLN CN(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC[C@H]2CCCO2)C1 ZINC000451998518 417428351 /nfs/dbraw/zinc/42/83/51/417428351.db2.gz SGPPHVXTBWKSCV-CHWSQXEVSA-N 0 2 312.414 0.017 20 0 DCADLN O=C([O-])c1cccc(N[C@H]2CCN(C3CCOCC3)C2=O)[nH+]1 ZINC000634963195 417550094 /nfs/dbraw/zinc/55/00/94/417550094.db2.gz MTBLKZUWYDKXNT-NSHDSACASA-N 0 2 305.334 0.972 20 0 DCADLN O=C(c1cc(F)c(F)c(O)c1F)N(CCO)CCOCCO ZINC000628176691 417585537 /nfs/dbraw/zinc/58/55/37/417585537.db2.gz UAZKLIRUOPWXGV-UHFFFAOYSA-N 0 2 323.267 0.253 20 0 DCADLN O=C([O-])C1(O)CCN(c2cc(N3CCSCC3)nc[nH+]2)CC1 ZINC000576283006 417597027 /nfs/dbraw/zinc/59/70/27/417597027.db2.gz CBLGTEXZMLZSJV-UHFFFAOYSA-N 0 2 324.406 0.446 20 0 DCADLN O=C([O-])C1(O)CCN(c2cc(N3CCSCC3)[nH+]cn2)CC1 ZINC000576283006 417597030 /nfs/dbraw/zinc/59/70/30/417597030.db2.gz CBLGTEXZMLZSJV-UHFFFAOYSA-N 0 2 324.406 0.446 20 0 DCADLN C[C@@](O)(CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000440228975 287395160 /nfs/dbraw/zinc/39/51/60/287395160.db2.gz QZYOXNZBYUTHCO-CQSZACIVSA-N 0 2 304.306 0.327 20 0 DCADLN CO[C@@H]1CCCC[C@H]1NC(=O)C(=O)N=c1ccc(C2CC2)n[nH]1 ZINC000652032457 417838158 /nfs/dbraw/zinc/83/81/58/417838158.db2.gz WQFLZKIYAWRPTH-CHWSQXEVSA-N 0 2 318.377 0.788 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NC[C@H]2CCCSC2)[nH]1 ZINC000651805192 417787586 /nfs/dbraw/zinc/78/75/86/417787586.db2.gz JIFGLQVUWBOLHR-SNVBAGLBSA-N 0 2 311.411 0.479 20 0 DCADLN CCC[C@]1(CO)CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651807663 417788046 /nfs/dbraw/zinc/78/80/46/417788046.db2.gz MOMZZIJSWVLJPY-HNNXBMFYSA-N 0 2 323.397 0.231 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC(F)(F)[C@H](C)C2)[nH]1 ZINC000651813824 417788109 /nfs/dbraw/zinc/78/81/09/417788109.db2.gz ZXNQYFCDTKYJRJ-SECBINFHSA-N 0 2 315.324 0.723 20 0 DCADLN CCc1[nH]nc(NC(=O)C(N)C(F)(F)F)c1Br ZINC000646137260 417788110 /nfs/dbraw/zinc/78/81/10/417788110.db2.gz VDQCXCATXGNAQV-YFKPBYRVSA-N 0 2 315.093 1.563 20 0 DCADLN O=C(CCCn1cc[nH+]c1)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000646131026 417788362 /nfs/dbraw/zinc/78/83/62/417788362.db2.gz AWMOFYOGVVOWJZ-LLVKDONJSA-N 0 2 304.354 0.893 20 0 DCADLN COC1(CCNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCC1 ZINC000651822843 417791078 /nfs/dbraw/zinc/79/10/78/417791078.db2.gz LQXNBDITIUGCFY-UHFFFAOYSA-N 0 2 309.370 0.295 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccccc2OC)[nH]1 ZINC000657104313 417812493 /nfs/dbraw/zinc/81/24/93/417812493.db2.gz MPNWXNFIOVNQBJ-UHFFFAOYSA-N 0 2 312.351 0.438 20 0 DCADLN O=C(CCn1ccccc1=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000646044162 417858844 /nfs/dbraw/zinc/85/88/44/417858844.db2.gz HLFILESEFBSJID-UHFFFAOYSA-N 0 2 317.349 0.468 20 0 DCADLN O=C(CCCn1cc[nH+]c1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000646043573 417860154 /nfs/dbraw/zinc/86/01/54/417860154.db2.gz YQZIZSQTXVIEID-UHFFFAOYSA-N 0 2 304.354 0.893 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CCOCC(F)(F)C(F)(F)F)CCO1 ZINC000652473283 417916038 /nfs/dbraw/zinc/91/60/38/417916038.db2.gz YAMXNSJMSGTWGU-ZETCQYMHSA-N 0 2 307.215 0.986 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CCOCC(F)(F)C(F)(F)F)CCO1 ZINC000652473283 417916043 /nfs/dbraw/zinc/91/60/43/417916043.db2.gz YAMXNSJMSGTWGU-ZETCQYMHSA-N 0 2 307.215 0.986 20 0 DCADLN CCO[C@@H]1C[C@H](NC(=O)N=c2cccn[nH]2)[C@@H]1n1cccn1 ZINC000652678364 417942190 /nfs/dbraw/zinc/94/21/90/417942190.db2.gz PLRFFQAVEPFOOL-LOWVWBTDSA-N 0 2 302.338 0.635 20 0 DCADLN O=C([O-])[C@@H]1COCCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000663028513 417979825 /nfs/dbraw/zinc/97/98/25/417979825.db2.gz WFSORWMRACCTCQ-LBPRGKRZSA-N 0 2 302.290 0.193 20 0 DCADLN CC[C@@H](C)NC(=O)C[NH+]1CCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000647448237 418010779 /nfs/dbraw/zinc/01/07/79/418010779.db2.gz BGZQTCUDUZTPGG-GFCCVEGCSA-N 0 2 320.393 0.817 20 0 DCADLN CC(C)NS(=O)(=O)NCCNc1[nH+]cccc1C(=O)[O-] ZINC000647453566 418011976 /nfs/dbraw/zinc/01/19/76/418011976.db2.gz LPVYAMCODZLIPO-UHFFFAOYSA-N 0 2 302.356 0.024 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC([C@H]3NC(=O)C3(C)C)CC2)c1O ZINC000647503660 418015503 /nfs/dbraw/zinc/01/55/03/418015503.db2.gz SQDFLQKSUXUXBZ-GFCCVEGCSA-N 0 2 306.366 0.801 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NCC[C@@]3(O)CCOC3)c[nH]c2n1 ZINC000653039960 418016626 /nfs/dbraw/zinc/01/66/26/418016626.db2.gz VBYYTLDAIUVQSE-MRXNPFEDSA-N 0 2 317.345 0.915 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC000647738946 418047301 /nfs/dbraw/zinc/04/73/01/418047301.db2.gz QVWIVYNSVNJXAI-GHMZBOCLSA-N 0 2 305.334 0.886 20 0 DCADLN O=C([O-])CCC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000663126898 417993587 /nfs/dbraw/zinc/99/35/87/417993587.db2.gz XSNUQVRDCKLXPF-CABCVRRESA-N 0 2 318.373 0.963 20 0 DCADLN O=C([O-])CCC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000663126898 417993590 /nfs/dbraw/zinc/99/35/90/417993590.db2.gz XSNUQVRDCKLXPF-CABCVRRESA-N 0 2 318.373 0.963 20 0 DCADLN O=c1cc(C[N@@H+]2CCC[C@H]([C@H]3CC[NH2+]C3)C2)nc2cc[nH]n21 ZINC000647986836 418084311 /nfs/dbraw/zinc/08/43/11/418084311.db2.gz APSVJNKGILTKNB-STQMWFEESA-N 0 2 301.394 0.844 20 0 DCADLN COc1cc[nH+]cc1CNC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000659404619 418092557 /nfs/dbraw/zinc/09/25/57/418092557.db2.gz LXXHXZJNTIMVNI-IAQYHMDHSA-N 0 2 321.333 0.333 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)C(=O)NCC1(C(=O)[O-])CCC1 ZINC000659668045 418140664 /nfs/dbraw/zinc/14/06/64/418140664.db2.gz RNLGXWUDBRKBAQ-UHFFFAOYSA-N 0 2 322.365 0.103 20 0 DCADLN O=C([O-])CCC1CCN(C(=O)C(=O)NCCn2cc[nH+]c2)CC1 ZINC000659645166 418135971 /nfs/dbraw/zinc/13/59/71/418135971.db2.gz UHXSLZVGVGHRNP-UHFFFAOYSA-N 0 2 322.365 0.103 20 0 DCADLN C[C@@H](C(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1)c1ccnn1C ZINC000648887652 418170350 /nfs/dbraw/zinc/17/03/50/418170350.db2.gz CYBYSEJGKKYTDH-SECBINFHSA-N 0 2 304.354 0.754 20 0 DCADLN CO[C@H]1C[C@H](CC(=O)[O-])N(c2cc(-n3cc[nH+]c3)ncn2)C1 ZINC000649406731 418251222 /nfs/dbraw/zinc/25/12/22/418251222.db2.gz DAVQXRXTAGNPHV-MNOVXSKESA-N 0 2 303.322 0.731 20 0 DCADLN CO[C@@H]1C[C@@H](CC(=O)[O-])N(c2nc3c(cnn3C)c(N)[nH+]2)C1 ZINC000649406725 418251644 /nfs/dbraw/zinc/25/16/44/418251644.db2.gz BXKIWJWNCLZINE-JGVFFNPUSA-N 0 2 306.326 0.014 20 0 DCADLN CN=c1[nH]nc(CC(=O)N[C@H](CCO)c2ccccc2F)s1 ZINC000649110075 418199745 /nfs/dbraw/zinc/19/97/45/418199745.db2.gz YXHFDBDVFDZCMY-LLVKDONJSA-N 0 2 324.381 0.923 20 0 DCADLN CNC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)c2n[nH]c(C)c2O)C1 ZINC000649146958 418202982 /nfs/dbraw/zinc/20/29/82/418202982.db2.gz CMIHVBUFOHGFAX-LLVKDONJSA-N 0 2 320.271 0.564 20 0 DCADLN CN(C)C(=O)CN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000665511924 418214196 /nfs/dbraw/zinc/21/41/96/418214196.db2.gz QRBVQJIMYFECDZ-UHFFFAOYSA-N 0 2 304.306 0.190 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000650777405 418324187 /nfs/dbraw/zinc/32/41/87/418324187.db2.gz DUPHLUBTYIYALL-VXGBXAGGSA-N 0 2 308.382 0.985 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000650777405 418324189 /nfs/dbraw/zinc/32/41/89/418324189.db2.gz DUPHLUBTYIYALL-VXGBXAGGSA-N 0 2 308.382 0.985 20 0 DCADLN CCCCn1nnnc1CN1CCC[C@H](C2N=NC(=O)O2)C1 ZINC000649534945 418259608 /nfs/dbraw/zinc/25/96/08/418259608.db2.gz RHPUZXLTSNMOAM-JTQLQIEISA-N 0 2 307.358 0.941 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H]2CCOc3ccccc32)[nH]n1 ZINC000650817331 418326306 /nfs/dbraw/zinc/32/63/06/418326306.db2.gz ZHXMPSIAAYAYIY-LLVKDONJSA-N 0 2 312.329 0.763 20 0 DCADLN Cc1oc(C(=O)[O-])cc1C[N@@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000656764661 418375432 /nfs/dbraw/zinc/37/54/32/418375432.db2.gz ZYFNBSLQXPXECO-SECBINFHSA-N 0 2 316.379 0.752 20 0 DCADLN Cc1oc(C(=O)[O-])cc1C[N@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000656764661 418375434 /nfs/dbraw/zinc/37/54/34/418375434.db2.gz ZYFNBSLQXPXECO-SECBINFHSA-N 0 2 316.379 0.752 20 0 DCADLN COCc1nnc(CN2C(=O)NC3(CCC(C)CC3)C2=O)[nH]1 ZINC000656831774 418377945 /nfs/dbraw/zinc/37/79/45/418377945.db2.gz IQDOVJVDSGHGKI-UHFFFAOYSA-N 0 2 307.354 0.952 20 0 DCADLN CC(C)N1C(=O)C[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CC1(C)C ZINC000662203403 418388185 /nfs/dbraw/zinc/38/81/85/418388185.db2.gz DKQZEMULLPCESV-NSHDSACASA-N 0 2 311.382 0.003 20 0 DCADLN CC(C)N1C(=O)C[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)CC1(C)C ZINC000662203403 418388188 /nfs/dbraw/zinc/38/81/88/418388188.db2.gz DKQZEMULLPCESV-NSHDSACASA-N 0 2 311.382 0.003 20 0 DCADLN CC(C)CCOCCNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651540626 418389048 /nfs/dbraw/zinc/38/90/48/418389048.db2.gz LTVVOTMEQYICJE-UHFFFAOYSA-N 0 2 311.386 0.398 20 0 DCADLN CC(C)OCCCN(C)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651584309 418394908 /nfs/dbraw/zinc/39/49/08/418394908.db2.gz IUKQYTITBRXMHF-UHFFFAOYSA-N 0 2 311.386 0.493 20 0 DCADLN CCOC[C@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651598713 418396368 /nfs/dbraw/zinc/39/63/68/418396368.db2.gz SCTNNJZQDXESTJ-NSHDSACASA-N 0 2 309.370 0.104 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N(C)CC[NH+]1CCCCC1 ZINC000650844323 418328625 /nfs/dbraw/zinc/32/86/25/418328625.db2.gz CMZHTDOLGAFQSZ-OAHLLOKOSA-N 0 2 312.458 0.834 20 0 DCADLN COc1ccccc1NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651687709 418404240 /nfs/dbraw/zinc/40/42/40/418404240.db2.gz NJPVKKYCULKJCX-UHFFFAOYSA-N 0 2 303.322 0.867 20 0 DCADLN C[C@H]1CN(C(=O)C2=NN(c3ccc(F)cc3)CC2=O)CC(=O)N1 ZINC000453042232 287491432 /nfs/dbraw/zinc/49/14/32/287491432.db2.gz MEJZPXROVBXMSP-VIFPVBQESA-N 0 2 318.308 0.678 20 0 DCADLN CC(C)(C)OC(=O)N1CC(=CC(=O)OCc2n[nH]c(=O)[nH]2)C1 ZINC000494692742 287579415 /nfs/dbraw/zinc/57/94/15/287579415.db2.gz FYWFNIXZRDOJMU-UHFFFAOYSA-N 0 2 310.310 0.731 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCCCC(N)=O)=N2)cc1 ZINC000354426226 261217595 /nfs/dbraw/zinc/21/75/95/261217595.db2.gz UEMLAVCMUUJXHB-UHFFFAOYSA-N 0 2 318.333 0.582 20 0 DCADLN NC(=O)c1cc(C(=O)N=c2nc(-c3cccnc3)[nH]s2)co1 ZINC000354434516 261218650 /nfs/dbraw/zinc/21/86/50/261218650.db2.gz ZTSJXCOFXPPWQG-UHFFFAOYSA-N 0 2 315.314 0.966 20 0 DCADLN CCSc1cccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000355989391 261382292 /nfs/dbraw/zinc/38/22/92/261382292.db2.gz MXGCMZRJPXNNPB-UHFFFAOYSA-N 0 2 321.362 0.877 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2CC(O)(C3CC3)C2)s[nH]1 ZINC000412013928 262178415 /nfs/dbraw/zinc/17/84/15/262178415.db2.gz PKKKCMBLVHBKRI-UHFFFAOYSA-N 0 2 324.406 0.179 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N[C@H](CO)[C@@H](C)O)=N1 ZINC000412424306 262188577 /nfs/dbraw/zinc/18/85/77/262188577.db2.gz HMUPQPSHRCGCEN-MWLCHTKSSA-N 0 2 323.324 0.497 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N2CC[C@@](C)(C(=O)[O-])C2)cc(C)[nH+]1 ZINC000422704361 271379089 /nfs/dbraw/zinc/37/90/89/271379089.db2.gz PLYMWAWDPWGTKO-MRXNPFEDSA-N 0 2 319.361 0.638 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C/c1cscn1 ZINC000493521147 272193822 /nfs/dbraw/zinc/19/38/22/272193822.db2.gz QHCNNYDYWYQLAS-ONEGZZNKSA-N 0 2 312.376 0.703 20 0 DCADLN CNC(=O)[C@H](C)NC(=O)N=c1nc(-c2ccccc2)[nH]s1 ZINC000494459132 272222329 /nfs/dbraw/zinc/22/23/29/272222329.db2.gz UNSDXBGFRDIUSX-QMMMGPOBSA-N 0 2 305.363 0.883 20 0 DCADLN C[C@H]1C[C@@H](C(=O)N=c2nc(-c3cccnc3)[nH]s2)CC(=O)N1 ZINC000544618045 287978945 /nfs/dbraw/zinc/97/89/45/287978945.db2.gz UEMDQRNYMJDGLE-WCBMZHEXSA-N 0 2 317.374 0.875 20 0 DCADLN COc1cccc(C(F)(F)CNS(=O)(=O)C2COC2)n1 ZINC000551997341 288256684 /nfs/dbraw/zinc/25/66/84/288256684.db2.gz YVKDMXSPRGFOSP-UHFFFAOYSA-N 0 2 308.306 0.500 20 0 DCADLN CC[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H](C)O1 ZINC000111018881 288276467 /nfs/dbraw/zinc/27/64/67/288276467.db2.gz MBQDWHOGDFIPEC-SCZZXKLOSA-N 0 2 318.333 0.251 20 0 DCADLN C[C@@H]1CN(C(=O)C[N@@H+]2CCC(C)(C)C2)C[C@@H]1[NH+]1CCOCC1 ZINC000553493020 288300546 /nfs/dbraw/zinc/30/05/46/288300546.db2.gz NOKDFKPEXNXZRR-CABCVRRESA-N 0 2 309.454 0.897 20 0 DCADLN O=S(=O)(NCCOC[C@@H]1CCCO1)NCC(F)(F)F ZINC000559791704 288479696 /nfs/dbraw/zinc/47/96/96/288479696.db2.gz UUBHOFFAQZEKTH-QMMMGPOBSA-N 0 2 306.306 0.168 20 0 DCADLN O=C(c1ccc2nc[nH]c2n1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000563195100 288646459 /nfs/dbraw/zinc/64/64/59/288646459.db2.gz RLZRAWJUPGNBOM-QMMMGPOBSA-N 0 2 313.321 0.801 20 0 DCADLN COC[C@H]1CN(S(=O)(=O)NCC(F)(F)F)CC(C)(C)O1 ZINC000343184139 293235408 /nfs/dbraw/zinc/23/54/08/293235408.db2.gz ZBRBWGSLFMLVQT-MRVPVSSYSA-N 0 2 320.333 0.509 20 0 DCADLN C[C@@H]1CSCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000172455284 297182399 /nfs/dbraw/zinc/18/23/99/297182399.db2.gz QFNBEHXFXFLFHD-SSDOTTSWSA-N 0 2 306.347 0.189 20 0 DCADLN C[C@@H]1CSC[C@@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342352430 297187889 /nfs/dbraw/zinc/18/78/89/297187889.db2.gz QICHRGYFRVFZAL-MUWHJKNJSA-N 0 2 306.347 0.917 20 0 DCADLN Cc1cc(C(=O)N2CCSCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000265852475 301110386 /nfs/dbraw/zinc/11/03/86/301110386.db2.gz UXXZGDFOXZXJND-UHFFFAOYSA-N 0 2 306.347 0.933 20 0 DCADLN CSC[C@H](C)C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404681130 1159237414 /nfs/dbraw/zinc/23/74/14/1159237414.db2.gz PLGNPODPKVZGDO-VHSXEESVSA-N 0 2 313.427 0.590 20 0 DCADLN O=C(NC[C@H](O)C1CCC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000583190640 337263287 /nfs/dbraw/zinc/26/32/87/337263287.db2.gz SWENQWCOXRQGDV-LBPRGKRZSA-N 0 2 303.318 0.921 20 0 DCADLN O=C(CN1CCCC[C@@H]1c1n[nH]c(=O)[nH]1)Nc1ccncc1 ZINC000584571240 337364107 /nfs/dbraw/zinc/36/41/07/337364107.db2.gz NISNSIAXWHGWET-LLVKDONJSA-N 0 2 302.338 0.493 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2CC(S(C)(=O)=O)C2)s[nH]1 ZINC000575320415 341792395 /nfs/dbraw/zinc/79/23/95/341792395.db2.gz STCVZILNCXUPKT-UHFFFAOYSA-N 0 2 318.424 0.518 20 0 DCADLN Cc1cc(C(=O)NCC2(O)CCCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266847837 526265573 /nfs/dbraw/zinc/26/55/73/526265573.db2.gz PXIWQCANCSDIBI-UHFFFAOYSA-N 0 2 318.333 0.779 20 0 DCADLN CCS(=O)(=O)NC1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000285025044 535668977 /nfs/dbraw/zinc/66/89/77/535668977.db2.gz IGALQOBWSOCODG-UHFFFAOYSA-N 0 2 313.379 0.688 20 0 DCADLN CC[S@](=O)CC(=O)NOC[C@@H](C)NC(=O)OC(C)(C)C ZINC000496327870 535974512 /nfs/dbraw/zinc/97/45/12/535974512.db2.gz MXIKFXVITBZVGM-YBYGRFCBSA-N 0 2 308.400 0.716 20 0 DCADLN O=C(Nc1ccc(-c2nc(=O)o[nH]2)cc1)[C@@H]1CCNC(=O)C1 ZINC000177436140 545891063 /nfs/dbraw/zinc/89/10/63/545891063.db2.gz REWXQQAFMFSAHJ-SECBINFHSA-N 0 2 302.290 0.495 20 0 DCADLN CC(C)(O)C1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000547753635 546292359 /nfs/dbraw/zinc/29/23/59/546292359.db2.gz XVFVROYXXAMNJX-UHFFFAOYSA-N 0 2 303.318 0.873 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@H]1CCCC(=O)N1 ZINC000669600335 546995869 /nfs/dbraw/zinc/99/58/69/546995869.db2.gz IXJZWCAAHRMNHQ-SNVBAGLBSA-N 0 2 302.290 0.637 20 0 DCADLN CCOc1ccc(CS(=O)(=O)c2n[nH]c(COC)n2)nc1 ZINC000671194038 547175833 /nfs/dbraw/zinc/17/58/33/547175833.db2.gz LERRZZJVEAUZSS-UHFFFAOYSA-N 0 2 312.351 0.719 20 0 DCADLN C[C@H]1Oc2ccccc2O[C@@H]1C(=O)N(C)CC1N=NC(=O)O1 ZINC000673478735 547483008 /nfs/dbraw/zinc/48/30/08/547483008.db2.gz GSHAZTHCTKHTPR-PELKAZGASA-N 0 2 305.290 0.962 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cc(C(N)=O)sc1C ZINC000673477702 547483191 /nfs/dbraw/zinc/48/31/91/547483191.db2.gz BSHCQMLXQFJXQY-UHFFFAOYSA-N 0 2 314.392 0.998 20 0 DCADLN O=C(NCc1nn[nH]n1)[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000673837564 547528102 /nfs/dbraw/zinc/52/81/02/547528102.db2.gz JAVOCTBDHYBUEL-GFCCVEGCSA-N 0 2 304.329 0.620 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(-n2cncn2)cn1 ZINC000675260679 547671536 /nfs/dbraw/zinc/67/15/36/547671536.db2.gz MCFSXIRWJZTJMG-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN Cc1ccccc1CCNC(=O)[C@@H](C)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231232 547746675 /nfs/dbraw/zinc/74/66/75/547746675.db2.gz JQTKACNPWBDRLS-UKRRQHHQSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1ccccc1CCNC(=O)[C@@H](C)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231232 547746680 /nfs/dbraw/zinc/74/66/80/547746680.db2.gz JQTKACNPWBDRLS-UKRRQHHQSA-N 0 2 320.389 0.828 20 0 DCADLN Cc1ccc(CNC(=O)[C@H](C)[N@@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000676231152 547746764 /nfs/dbraw/zinc/74/67/64/547746764.db2.gz GAKQOAVPRIIMOU-GXTWGEPZSA-N 0 2 306.362 0.785 20 0 DCADLN Cc1ccc(CNC(=O)[C@H](C)[N@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000676231152 547746769 /nfs/dbraw/zinc/74/67/69/547746769.db2.gz GAKQOAVPRIIMOU-GXTWGEPZSA-N 0 2 306.362 0.785 20 0 DCADLN C[C@@H](C(=O)NCCc1ccccc1)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231974 547748218 /nfs/dbraw/zinc/74/82/18/547748218.db2.gz OHZUHHXBXILCPL-GXTWGEPZSA-N 0 2 306.362 0.519 20 0 DCADLN C[C@@H](C(=O)NCCc1ccccc1)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231974 547748221 /nfs/dbraw/zinc/74/82/21/547748221.db2.gz OHZUHHXBXILCPL-GXTWGEPZSA-N 0 2 306.362 0.519 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(Cc3ccccn3)CC2)c1O ZINC000676687278 547803808 /nfs/dbraw/zinc/80/38/08/547803808.db2.gz SJPPJOZTFRHYKL-UHFFFAOYSA-N 0 2 301.350 0.777 20 0 DCADLN Cc1nsc(N2CCCN(C(=O)c3n[nH]c(C)c3O)CC2)n1 ZINC000676698923 547805418 /nfs/dbraw/zinc/80/54/18/547805418.db2.gz ALUFNUIKKBTOAY-UHFFFAOYSA-N 0 2 322.394 0.936 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCC[C@@H](CCO)C1 ZINC000677450175 547877519 /nfs/dbraw/zinc/87/75/19/547877519.db2.gz QLUXWIQVICZRQS-VIFPVBQESA-N 0 2 318.333 0.671 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cccc(F)c2F)[nH]n1 ZINC000677644709 547894175 /nfs/dbraw/zinc/89/41/75/547894175.db2.gz FLXJQNTXCHWROT-UHFFFAOYSA-N 0 2 302.262 0.588 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cccc3cccnc32)[nH]n1 ZINC000677645085 547894194 /nfs/dbraw/zinc/89/41/94/547894194.db2.gz XLBKOWDADYMVFG-UHFFFAOYSA-N 0 2 317.330 0.858 20 0 DCADLN Cc1cccn2cc(CNC(=O)c3n[nH]c(=O)[n-]c3=O)[nH+]c12 ZINC000677950739 547927744 /nfs/dbraw/zinc/92/77/44/547927744.db2.gz HUSYBHWJPKAZQU-UHFFFAOYSA-N 0 2 300.278 0.169 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ncn(-c2ccccc2)n1 ZINC000682806728 548427035 /nfs/dbraw/zinc/42/70/35/548427035.db2.gz ARELKRCVGFQMKP-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN O=C(NC[C@H]1CCCC(=O)N1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000684153960 548573418 /nfs/dbraw/zinc/57/34/18/548573418.db2.gz ROXMKVUKECJSFU-LLVKDONJSA-N 0 2 316.317 0.428 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000315273469 597056580 /nfs/dbraw/zinc/05/65/80/597056580.db2.gz ALHAUOSNDFGSHG-CHWSQXEVSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])CCNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000382222335 597283688 /nfs/dbraw/zinc/28/36/88/597283688.db2.gz RQKKFINUPVWVON-CQSZACIVSA-N 0 2 321.377 0.661 20 0 DCADLN O=C([O-])CCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000382222335 597283692 /nfs/dbraw/zinc/28/36/92/597283692.db2.gz RQKKFINUPVWVON-CQSZACIVSA-N 0 2 321.377 0.661 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N[C@H](C(=O)[O-])C(C)C)c(N(C)C)[nH+]1 ZINC000817884706 597369484 /nfs/dbraw/zinc/36/94/84/597369484.db2.gz WBQLVYFQSPTRNY-NSHDSACASA-N 0 2 322.365 0.620 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000819258116 597508277 /nfs/dbraw/zinc/50/82/77/597508277.db2.gz MYYZDVZVBWJMQP-LLVKDONJSA-N 0 2 306.366 0.878 20 0 DCADLN CC(C)N(CC(=O)[O-])C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000819996310 598073325 /nfs/dbraw/zinc/07/33/25/598073325.db2.gz GNFIOOPJAFCLNT-UHFFFAOYSA-N 0 2 322.409 0.954 20 0 DCADLN CNC(=O)[C@H](NC(=O)C[N@H+](CC(=O)[O-])C(C)C)c1ccccc1 ZINC000820630143 598083138 /nfs/dbraw/zinc/08/31/38/598083138.db2.gz AOLBTUNWKKRRAY-OAHLLOKOSA-N 0 2 321.377 0.385 20 0 DCADLN CNC(=O)[C@H](NC(=O)C[N@@H+](CC(=O)[O-])C(C)C)c1ccccc1 ZINC000820630143 598083140 /nfs/dbraw/zinc/08/31/40/598083140.db2.gz AOLBTUNWKKRRAY-OAHLLOKOSA-N 0 2 321.377 0.385 20 0 DCADLN C[C@@H](CC(=O)[O-])SCC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000736551854 600585905 /nfs/dbraw/zinc/58/59/05/600585905.db2.gz LCTPTOZVTGIHTO-NSHDSACASA-N 0 2 318.439 0.810 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(-c2nn[nH]n2)cc1 ZINC000823196480 599692416 /nfs/dbraw/zinc/69/24/16/599692416.db2.gz GRYWHFUXCNOERK-UHFFFAOYSA-N 0 2 314.309 0.053 20 0 DCADLN CS(=O)(=O)N1CCC[N@H+](Cc2cc(C(=O)[O-])co2)CC1 ZINC000703135699 599845658 /nfs/dbraw/zinc/84/56/58/599845658.db2.gz AHMYBRJYUMHPJR-UHFFFAOYSA-N 0 2 302.352 0.445 20 0 DCADLN CS(=O)(=O)N1CCC[N@@H+](Cc2cc(C(=O)[O-])co2)CC1 ZINC000703135699 599845659 /nfs/dbraw/zinc/84/56/59/599845659.db2.gz AHMYBRJYUMHPJR-UHFFFAOYSA-N 0 2 302.352 0.445 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)CN1CCc2c([nH+]cn2C)C1)C(=O)[O-] ZINC000736887939 599925257 /nfs/dbraw/zinc/92/52/57/599925257.db2.gz SNPZAPMLZBXNRE-YGRLFVJLSA-N 0 2 308.382 0.394 20 0 DCADLN CCOc1ccc(OCC[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000391353232 599983014 /nfs/dbraw/zinc/98/30/14/599983014.db2.gz NUOPXLOBBPOBFL-AWEZNQCLSA-N 0 2 322.361 0.739 20 0 DCADLN CCOc1ccc(OCC[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000391353232 599983017 /nfs/dbraw/zinc/98/30/17/599983017.db2.gz NUOPXLOBBPOBFL-AWEZNQCLSA-N 0 2 322.361 0.739 20 0 DCADLN CC(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCCC(=O)[O-])C1 ZINC000736237713 599992174 /nfs/dbraw/zinc/99/21/74/599992174.db2.gz BDRANGAZNYGYLF-NSHDSACASA-N 0 2 313.398 0.204 20 0 DCADLN CC(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCCC(=O)[O-])C1 ZINC000736237713 599992177 /nfs/dbraw/zinc/99/21/77/599992177.db2.gz BDRANGAZNYGYLF-NSHDSACASA-N 0 2 313.398 0.204 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)N2CCO[C@@H](C(=O)[O-])C2)c1C ZINC000737839594 600039568 /nfs/dbraw/zinc/03/95/68/600039568.db2.gz MNWUYNHIACGJGX-GFCCVEGCSA-N 0 2 323.349 0.702 20 0 DCADLN C[N@@H+](CC(=O)NCCC(=O)[O-])C[C@H]1COc2ccccc2O1 ZINC000737394013 600042341 /nfs/dbraw/zinc/04/23/41/600042341.db2.gz HKOPICGHECAJLX-NSHDSACASA-N 0 2 308.334 0.349 20 0 DCADLN C[N@H+](CC(=O)NCCC(=O)[O-])C[C@H]1COc2ccccc2O1 ZINC000737394013 600042343 /nfs/dbraw/zinc/04/23/43/600042343.db2.gz HKOPICGHECAJLX-NSHDSACASA-N 0 2 308.334 0.349 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)c1cccc(C(=O)[O-])c1 ZINC000736586765 600076326 /nfs/dbraw/zinc/07/63/26/600076326.db2.gz QISRGYQDENONHB-LBPRGKRZSA-N 0 2 305.378 0.751 20 0 DCADLN Cc1[nH]c(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)c(C)c1C(=O)[O-] ZINC000738153398 600076533 /nfs/dbraw/zinc/07/65/33/600076533.db2.gz CCVVZELUXCAMSZ-SNVBAGLBSA-N 0 2 322.409 0.695 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000739537774 600114500 /nfs/dbraw/zinc/11/45/00/600114500.db2.gz BWXSRLZJOXCQGI-WDMOLILDSA-N 0 2 314.407 0.031 20 0 DCADLN CCCCN(CCCC)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000253103945 600139992 /nfs/dbraw/zinc/13/99/92/600139992.db2.gz ZBURTVHPPCMGME-OLZOCXBDSA-N 0 2 300.399 0.935 20 0 DCADLN CCCCN(CCCC)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000253103945 600139995 /nfs/dbraw/zinc/13/99/95/600139995.db2.gz ZBURTVHPPCMGME-OLZOCXBDSA-N 0 2 300.399 0.935 20 0 DCADLN COc1cccc(CN(C)C(=O)C[N@@H+]2C[C@H](O)C[C@H]2C(=O)[O-])c1 ZINC000738097330 600230667 /nfs/dbraw/zinc/23/06/67/600230667.db2.gz PGEIOVBCOBDTOX-OCCSQVGLSA-N 0 2 322.361 0.173 20 0 DCADLN COc1cccc(CN(C)C(=O)C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])c1 ZINC000738097330 600230668 /nfs/dbraw/zinc/23/06/68/600230668.db2.gz PGEIOVBCOBDTOX-OCCSQVGLSA-N 0 2 322.361 0.173 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc1 ZINC000737263997 600230907 /nfs/dbraw/zinc/23/09/07/600230907.db2.gz DBJFGPOVBNHPIL-CABCVRRESA-N 0 2 320.389 0.727 20 0 DCADLN CCc1ccc(CN(C)C(=O)C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc1 ZINC000737263997 600230908 /nfs/dbraw/zinc/23/09/08/600230908.db2.gz DBJFGPOVBNHPIL-CABCVRRESA-N 0 2 320.389 0.727 20 0 DCADLN COC(=O)C[C@@H](NC(=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)[O-] ZINC000737591213 600242508 /nfs/dbraw/zinc/24/25/08/600242508.db2.gz KZKHOMAAQJYAIQ-LLVKDONJSA-N 0 2 319.317 0.318 20 0 DCADLN COC(=O)[C@@H]1Cc2ccccc2C[N@@H+]1CCC(=O)NCC(=O)[O-] ZINC000737587567 600285655 /nfs/dbraw/zinc/28/56/55/600285655.db2.gz SEGHYNGINONSLE-ZDUSSCGKSA-N 0 2 320.345 0.177 20 0 DCADLN COC(=O)[C@@H]1Cc2ccccc2C[N@H+]1CCC(=O)NCC(=O)[O-] ZINC000737587567 600285656 /nfs/dbraw/zinc/28/56/56/600285656.db2.gz SEGHYNGINONSLE-ZDUSSCGKSA-N 0 2 320.345 0.177 20 0 DCADLN C[N@H+](CCn1cccn1)CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC000737454234 600332944 /nfs/dbraw/zinc/33/29/44/600332944.db2.gz FJJLXVILOCZZGE-UHFFFAOYSA-N 0 2 323.378 0.537 20 0 DCADLN C[N@@H+](CCn1cccn1)CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC000737454234 600332948 /nfs/dbraw/zinc/33/29/48/600332948.db2.gz FJJLXVILOCZZGE-UHFFFAOYSA-N 0 2 323.378 0.537 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000736581525 600495479 /nfs/dbraw/zinc/49/54/79/600495479.db2.gz IHHCISSCVVZMFU-QWHCGFSZSA-N 0 2 312.414 0.129 20 0 DCADLN COc1c(C)c[nH+]c(CNS(=O)(=O)CCCC(=O)[O-])c1C ZINC000737840481 600496631 /nfs/dbraw/zinc/49/66/31/600496631.db2.gz VLGRJEKYUSXWMT-UHFFFAOYSA-N 0 2 316.379 0.991 20 0 DCADLN CC(C)C[C@H](NC(=O)COC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000826794122 600681315 /nfs/dbraw/zinc/68/13/15/600681315.db2.gz VFZWXCFXMIEWDI-RYUDHWBXSA-N 0 2 314.382 0.629 20 0 DCADLN CC(C)C[C@H](NC(=O)COC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000826794122 600681317 /nfs/dbraw/zinc/68/13/17/600681317.db2.gz VFZWXCFXMIEWDI-RYUDHWBXSA-N 0 2 314.382 0.629 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CC[C@@H]([NH+]3CC=CC3)C2)o1 ZINC000833324086 600771640 /nfs/dbraw/zinc/77/16/40/600771640.db2.gz VALHOZCQDMATOB-SNVBAGLBSA-N 0 2 312.347 0.613 20 0 DCADLN C[C@H](c1cccnc1)[NH+]1CCN(CC(=O)NCCC(=O)[O-])CC1 ZINC000736727373 600956529 /nfs/dbraw/zinc/95/65/29/600956529.db2.gz ZJUTYVRDOFQXCN-CYBMUJFWSA-N 0 2 320.393 0.351 20 0 DCADLN O=C([O-])CCNC(=O)CN1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000833236873 601171680 /nfs/dbraw/zinc/17/16/80/601171680.db2.gz JATUPKGGQOYGRV-UHFFFAOYSA-N 0 2 321.377 0.101 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+](C)Cc1cnccn1 ZINC000825977637 601420403 /nfs/dbraw/zinc/42/04/03/601420403.db2.gz LFHQUYWCRPANED-NSHDSACASA-N 0 2 306.366 0.620 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+](C)Cc1cnccn1 ZINC000825977637 601420405 /nfs/dbraw/zinc/42/04/05/601420405.db2.gz LFHQUYWCRPANED-NSHDSACASA-N 0 2 306.366 0.620 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](CC(=O)NCCOc2ccccc2)C1 ZINC000833104384 601442686 /nfs/dbraw/zinc/44/26/86/601442686.db2.gz AXQPORORHGGHEC-OAHLLOKOSA-N 0 2 310.325 0.680 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](CC(=O)NCCOc2ccccc2)C1 ZINC000833104384 601442687 /nfs/dbraw/zinc/44/26/87/601442687.db2.gz AXQPORORHGGHEC-OAHLLOKOSA-N 0 2 310.325 0.680 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@H+](CC(=O)[O-])C1CC1 ZINC000829833004 601461937 /nfs/dbraw/zinc/46/19/37/601461937.db2.gz UXIYPJNNWQJYFS-GFCCVEGCSA-N 0 2 312.366 0.480 20 0 DCADLN CCOC(=O)[C@H]1CCCCN1C(=O)C[N@@H+](CC(=O)[O-])C1CC1 ZINC000829833004 601461941 /nfs/dbraw/zinc/46/19/41/601461941.db2.gz UXIYPJNNWQJYFS-GFCCVEGCSA-N 0 2 312.366 0.480 20 0 DCADLN CCC1CCC([NH2+]Cc2nc(CC(=O)NC)no2)(C(=O)[O-])CC1 ZINC000829108313 601494339 /nfs/dbraw/zinc/49/43/39/601494339.db2.gz XSFYAXKTAFMKLM-UHFFFAOYSA-N 0 2 324.381 0.871 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)N2CC[N@@H+]3CCCC[C@H]3C2)CC1 ZINC000833201925 601507439 /nfs/dbraw/zinc/50/74/39/601507439.db2.gz NIRLMNINLHZOCX-LBPRGKRZSA-N 0 2 316.423 0.741 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)N2CC[N@H+]3CCCC[C@H]3C2)CC1 ZINC000833201925 601507440 /nfs/dbraw/zinc/50/74/40/601507440.db2.gz NIRLMNINLHZOCX-LBPRGKRZSA-N 0 2 316.423 0.741 20 0 DCADLN O=C([O-])C[C@H]1C[N@H+](Cc2ccc(-n3ccnc3)nc2)CCO1 ZINC000833219845 601571029 /nfs/dbraw/zinc/57/10/29/601571029.db2.gz ISCLDSGOQCXDLL-ZDUSSCGKSA-N 0 2 302.334 0.943 20 0 DCADLN O=C([O-])C[C@H]1C[N@@H+](Cc2ccc(-n3ccnc3)nc2)CCO1 ZINC000833219845 601571031 /nfs/dbraw/zinc/57/10/31/601571031.db2.gz ISCLDSGOQCXDLL-ZDUSSCGKSA-N 0 2 302.334 0.943 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NCC1(C(=O)[O-])CCCC1 ZINC000828509202 601772764 /nfs/dbraw/zinc/77/27/64/601772764.db2.gz MIEYGTJONSQAPL-LBPRGKRZSA-N 0 2 313.398 0.651 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NCC1(C(=O)[O-])CCCC1 ZINC000828509202 601772766 /nfs/dbraw/zinc/77/27/66/601772766.db2.gz MIEYGTJONSQAPL-LBPRGKRZSA-N 0 2 313.398 0.651 20 0 DCADLN C[C@@H](CNC(=O)CCCN(C)C(=O)[O-])[NH+]1CCN(C)CC1 ZINC000736595875 602066794 /nfs/dbraw/zinc/06/67/94/602066794.db2.gz MGVALDYUFGHUQX-LBPRGKRZSA-N 0 2 300.403 0.129 20 0 DCADLN C[C@H]1CCN(S(=O)(=O)CCCC(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000828296047 602321239 /nfs/dbraw/zinc/32/12/39/602321239.db2.gz SEDZICIYGSLBDW-NWDGAFQWSA-N 0 2 315.395 0.961 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000828508360 602369975 /nfs/dbraw/zinc/36/99/75/602369975.db2.gz CMKCWSNCEXSCRV-SNVBAGLBSA-N 0 2 310.354 0.566 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000828508360 602369978 /nfs/dbraw/zinc/36/99/78/602369978.db2.gz CMKCWSNCEXSCRV-SNVBAGLBSA-N 0 2 310.354 0.566 20 0 DCADLN O=C([O-])C[C@H]1CN(C(=O)NCc2ccn3cc[nH+]c3c2)CCO1 ZINC000833217513 602402046 /nfs/dbraw/zinc/40/20/46/602402046.db2.gz HXJKAZWACIYZHW-LBPRGKRZSA-N 0 2 318.333 0.719 20 0 DCADLN O=C([O-])N1CC[C@@H](C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)C1 ZINC000831862659 603038022 /nfs/dbraw/zinc/03/80/22/603038022.db2.gz BBVKBFWYTQWEAV-OLZOCXBDSA-N 0 2 311.382 0.310 20 0 DCADLN C[C@H](CNC(=O)N[C@@H]1CCCN(C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000736594737 603249558 /nfs/dbraw/zinc/24/95/58/603249558.db2.gz CIIWWMXVPKYTLW-VXGBXAGGSA-N 0 2 314.386 0.149 20 0 DCADLN CC(C)(CNc1cc(N2CCC[C@H]2CO)nc[nH+]1)NC(=O)[O-] ZINC000824011110 603519125 /nfs/dbraw/zinc/51/91/25/603519125.db2.gz DDRBGLSRXBUVFG-JTQLQIEISA-N 0 2 309.370 0.896 20 0 DCADLN CC(C)(CNc1cc(N2CCC[C@H]2CO)[nH+]cn1)NC(=O)[O-] ZINC000824011110 603519129 /nfs/dbraw/zinc/51/91/29/603519129.db2.gz DDRBGLSRXBUVFG-JTQLQIEISA-N 0 2 309.370 0.896 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)[C@@H]2CC[C@@H](NC(=O)[O-])C2)CCO1 ZINC000825733409 603545731 /nfs/dbraw/zinc/54/57/31/603545731.db2.gz BBYOBTNYHMHSDI-VXGBXAGGSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)[C@@H]2CC[C@@H](NC(=O)[O-])C2)CCO1 ZINC000825733409 603545735 /nfs/dbraw/zinc/54/57/35/603545735.db2.gz BBYOBTNYHMHSDI-VXGBXAGGSA-N 0 2 313.398 0.650 20 0 DCADLN O=C([O-])N1CCOC[C@H]1C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000831283847 603560713 /nfs/dbraw/zinc/56/07/13/603560713.db2.gz RPFNFCVZUWURRK-LBPRGKRZSA-N 0 2 308.338 0.495 20 0 DCADLN O=C([O-])N1CCOC[C@H]1C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000831283847 603560717 /nfs/dbraw/zinc/56/07/17/603560717.db2.gz RPFNFCVZUWURRK-LBPRGKRZSA-N 0 2 308.338 0.495 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@@H+]3CCCN(C(=O)[O-])CC3)C2=O)n(C)n1 ZINC000830072702 603563878 /nfs/dbraw/zinc/56/38/78/603563878.db2.gz IRFNWECJPWLBSU-GFCCVEGCSA-N 0 2 321.381 0.520 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@H+]3CCCN(C(=O)[O-])CC3)C2=O)n(C)n1 ZINC000830072702 603563880 /nfs/dbraw/zinc/56/38/80/603563880.db2.gz IRFNWECJPWLBSU-GFCCVEGCSA-N 0 2 321.381 0.520 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@H]1CNC(=O)[O-] ZINC000824907203 603697030 /nfs/dbraw/zinc/69/70/30/603697030.db2.gz JJHSEKADXFCJKN-NEPJUHHUSA-N 0 2 314.386 0.149 20 0 DCADLN O=C([O-])N[C@@H]1CC[C@@H](C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)C1 ZINC000832247326 603928751 /nfs/dbraw/zinc/92/87/51/603928751.db2.gz OWHMUXPIIMICAS-JHJVBQTASA-N 0 2 311.382 0.356 20 0 DCADLN O=C([O-])N1CC(OCC(=O)N2CC(Oc3cc[nH+]cc3)C2)C1 ZINC000831852703 603998229 /nfs/dbraw/zinc/99/82/29/603998229.db2.gz SUDKRZLDZYTFPJ-UHFFFAOYSA-N 0 2 307.306 0.050 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000824841237 604057798 /nfs/dbraw/zinc/05/77/98/604057798.db2.gz PXDHJJBXXLLZTN-GFCCVEGCSA-N 0 2 306.366 0.976 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000824848971 604153462 /nfs/dbraw/zinc/15/34/62/604153462.db2.gz QWYAOGHHIBTGDL-GRYCIOLGSA-N 0 2 301.387 0.458 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000825618940 604407088 /nfs/dbraw/zinc/40/70/88/604407088.db2.gz YCRQRYMKTNPAMX-CHWSQXEVSA-N 0 2 321.377 0.864 20 0 DCADLN C[C@@H](Oc1ccccc1)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000825618940 604407089 /nfs/dbraw/zinc/40/70/89/604407089.db2.gz YCRQRYMKTNPAMX-CHWSQXEVSA-N 0 2 321.377 0.864 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)C1 ZINC000832049900 604794384 /nfs/dbraw/zinc/79/43/84/604794384.db2.gz KSJFXKGYBANPIT-LLVKDONJSA-N 0 2 308.338 0.495 20 0 DCADLN CCc1[nH]c(C(=O)NC[C@H]2C[NH+]3CCN2CC3)c(C)c1C(=O)[O-] ZINC000833666846 604888191 /nfs/dbraw/zinc/88/81/91/604888191.db2.gz AVRUNHNOYGRZAI-NSHDSACASA-N 0 2 320.393 0.313 20 0 DCADLN C[C@@H](C(=O)NCC(F)(F)F)[NH+]1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833404802 604974040 /nfs/dbraw/zinc/97/40/40/604974040.db2.gz PSFWIZBSYTVRKJ-CBAPKCEASA-N 0 2 312.288 0.211 20 0 DCADLN Cc1nnc(NC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])s1 ZINC000830887471 605454116 /nfs/dbraw/zinc/45/41/16/605454116.db2.gz LLRVPPGKWIRBJN-QMMMGPOBSA-N 0 2 314.371 0.262 20 0 DCADLN Cc1nnc(NC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])s1 ZINC000830887471 605454121 /nfs/dbraw/zinc/45/41/21/605454121.db2.gz LLRVPPGKWIRBJN-QMMMGPOBSA-N 0 2 314.371 0.262 20 0 DCADLN O=C([O-])N1CCC[C@H]1C[NH+]1CCN(C(=O)c2cccnc2)CC1 ZINC000834287375 605462614 /nfs/dbraw/zinc/46/26/14/605462614.db2.gz KHXWKQNTHASKNP-AWEZNQCLSA-N 0 2 318.377 0.982 20 0 DCADLN O=C([O-])N1CC[C@H]([NH+]2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC000834084774 605582353 /nfs/dbraw/zinc/58/23/53/605582353.db2.gz OECUYEABWXXBBX-AWEZNQCLSA-N 0 2 324.425 0.369 20 0 DCADLN O=C([O-])N1CC[C@H]([NH+]2CCN(C(=O)C3CCOCC3)CC2)C1 ZINC000834081868 605607369 /nfs/dbraw/zinc/60/73/69/605607369.db2.gz CFBYDWIPGSXZDA-ZDUSSCGKSA-N 0 2 311.382 0.310 20 0 DCADLN Cc1csc(CNC(=O)C[NH+]2CCC(NC(=O)[O-])CC2)n1 ZINC000830667433 605608531 /nfs/dbraw/zinc/60/85/31/605608531.db2.gz VZQALDHGHSHELI-UHFFFAOYSA-N 0 2 312.395 0.800 20 0 DCADLN COC(=O)[C@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCn1cc[nH+]c1 ZINC000833710407 605664969 /nfs/dbraw/zinc/66/49/69/605664969.db2.gz XQVWXMHCRDFPFS-WDEREUQCSA-N 0 2 309.322 0.138 20 0 DCADLN COc1cc(C[N@H+](C)CC(=O)N2CCN(C(=O)[O-])CC2)ccn1 ZINC000833887140 605760562 /nfs/dbraw/zinc/76/05/62/605760562.db2.gz MMXXNQMUUSUSMZ-UHFFFAOYSA-N 0 2 322.365 0.344 20 0 DCADLN COc1cc(C[N@@H+](C)CC(=O)N2CCN(C(=O)[O-])CC2)ccn1 ZINC000833887140 605760565 /nfs/dbraw/zinc/76/05/65/605760565.db2.gz MMXXNQMUUSUSMZ-UHFFFAOYSA-N 0 2 322.365 0.344 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)NC[C@H](C)CNC(=O)[O-])C2 ZINC000833914592 605824808 /nfs/dbraw/zinc/82/48/08/605824808.db2.gz CGSRVFAKVXXLCX-VIFPVBQESA-N 0 2 309.370 0.929 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NC[C@@H](NC(=O)[O-])C2CC2)C1 ZINC000833953858 605855092 /nfs/dbraw/zinc/85/50/92/605855092.db2.gz CUZKLPZPMAAKKZ-VXGBXAGGSA-N 0 2 321.381 0.965 20 0 DCADLN O=C([O-])NCCCNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000834238212 605964091 /nfs/dbraw/zinc/96/40/91/605964091.db2.gz QAWOWBWMARIMQM-LLVKDONJSA-N 0 2 307.354 0.617 20 0 DCADLN CC[C@@H](C)CN(CC)c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820870563 606241269 /nfs/dbraw/zinc/24/12/69/606241269.db2.gz VHJCUYRFRNVPHI-SECBINFHSA-N 0 2 321.385 0.137 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1Cc1ncn(-c2ccccc2)n1 ZINC000826501425 608152869 /nfs/dbraw/zinc/15/28/69/608152869.db2.gz PKCHFTVDZGRTGL-UHFFFAOYSA-N 0 2 320.316 0.657 20 0 DCADLN CCc1cc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001027764458 660705272 /nfs/dbraw/zinc/70/52/72/660705272.db2.gz ZMVCMTIHGJFHFH-SNVBAGLBSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1cc(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001027764458 660705275 /nfs/dbraw/zinc/70/52/75/660705275.db2.gz ZMVCMTIHGJFHFH-SNVBAGLBSA-N 0 2 319.369 0.190 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)nn1 ZINC001027881391 660873609 /nfs/dbraw/zinc/87/36/09/660873609.db2.gz ZVPCYVRUNOQODA-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN CSCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010193189 660965374 /nfs/dbraw/zinc/96/53/74/660965374.db2.gz STTCCAHLJRWKDR-MRVPVSSYSA-N 0 2 302.293 0.921 20 0 DCADLN CSCC(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001010193189 660965375 /nfs/dbraw/zinc/96/53/75/660965375.db2.gz STTCCAHLJRWKDR-MRVPVSSYSA-N 0 2 302.293 0.921 20 0 DCADLN O=C(c1cncs1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980777382 661008941 /nfs/dbraw/zinc/00/89/41/661008941.db2.gz LRBGMEDDBIAAJW-UHFFFAOYSA-N 0 2 308.367 0.315 20 0 DCADLN Cn1cccc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006768915 661042808 /nfs/dbraw/zinc/04/28/08/661042808.db2.gz YKWDNAWGMXPFQU-JTQLQIEISA-N 0 2 304.354 0.243 20 0 DCADLN C[C@H]1OCCC[C@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981053261 661067982 /nfs/dbraw/zinc/06/79/82/661067982.db2.gz YAJVTPCYUPDLOE-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC2(CC2)C1 ZINC001028128834 661225072 /nfs/dbraw/zinc/22/50/72/661225072.db2.gz ZWIOUKRKZMNRLF-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC2(CC2)C1 ZINC001028128834 661225075 /nfs/dbraw/zinc/22/50/75/661225075.db2.gz ZWIOUKRKZMNRLF-NSHDSACASA-N 0 2 305.382 0.781 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001028143406 661235845 /nfs/dbraw/zinc/23/58/45/661235845.db2.gz GIZYXPFXGBBERS-JTQLQIEISA-N 0 2 319.369 0.245 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001028143406 661235847 /nfs/dbraw/zinc/23/58/47/661235847.db2.gz GIZYXPFXGBBERS-JTQLQIEISA-N 0 2 319.369 0.245 20 0 DCADLN C[C@@H]1CCC[N@H+]1CC(=O)N1CCC[NH+]([C@@H]2CCN(C)C2=O)CC1 ZINC000981953466 661254584 /nfs/dbraw/zinc/25/45/84/661254584.db2.gz OBGYMBLVZWVMOY-HUUCEWRRSA-N 0 2 322.453 0.236 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NC[C@](C)(O)c1ccccc1 ZINC000866843521 657474925 /nfs/dbraw/zinc/47/49/25/657474925.db2.gz KVIYOTZDCZDTMP-BUXKBTBVSA-N 0 2 320.436 0.846 20 0 DCADLN CCOC(=O)N1C[C@H](NC(=O)C(F)C(F)(F)F)[C@@H](CO)C1 ZINC000890977632 657496528 /nfs/dbraw/zinc/49/65/28/657496528.db2.gz HARXDQASIDCAIR-CSMHCCOUSA-N 0 2 316.251 0.452 20 0 DCADLN CCOC(=O)N1C[C@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](CO)C1 ZINC000890977632 657496534 /nfs/dbraw/zinc/49/65/34/657496534.db2.gz HARXDQASIDCAIR-CSMHCCOUSA-N 0 2 316.251 0.452 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032637688 665493046 /nfs/dbraw/zinc/49/30/46/665493046.db2.gz UHWLSJCXWWUKGL-MROQNXINSA-N 0 2 319.409 0.978 20 0 DCADLN C[C@@H](NC(=O)c1cccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969237059 657615454 /nfs/dbraw/zinc/61/54/54/657615454.db2.gz NQMLKMRQHVMURB-MRVPVSSYSA-N 0 2 307.379 0.822 20 0 DCADLN Cn1ccnc1C[NH+]1CCC[C@@H](CNC(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001023273546 657688974 /nfs/dbraw/zinc/68/89/74/657688974.db2.gz NTXZNWSLDHMVDN-GJZGRUSLSA-N 0 2 319.453 0.843 20 0 DCADLN Cc1ncsc1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969335450 657725838 /nfs/dbraw/zinc/72/58/38/657725838.db2.gz AILXQVGQHPDPPC-ZETCQYMHSA-N 0 2 322.394 0.526 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCOC2CCSCC2)S1 ZINC000891234338 658041693 /nfs/dbraw/zinc/04/16/93/658041693.db2.gz ULGDXWRPMXRBBU-SECBINFHSA-N 0 2 317.436 0.571 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)c1cnon1 ZINC000939391993 665568844 /nfs/dbraw/zinc/56/88/44/665568844.db2.gz IVLLOSLLOFDKTO-LPBLVHEISA-N 0 2 324.234 0.547 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1NC(=O)c1cnon1 ZINC000939391993 665568846 /nfs/dbraw/zinc/56/88/46/665568846.db2.gz IVLLOSLLOFDKTO-LPBLVHEISA-N 0 2 324.234 0.547 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970032064 658380404 /nfs/dbraw/zinc/38/04/04/658380404.db2.gz NYLUKEZTWSBMGH-MRVPVSSYSA-N 0 2 319.369 0.046 20 0 DCADLN O=C(C1CCC1)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972208964 658440185 /nfs/dbraw/zinc/44/01/85/658440185.db2.gz WMQNUKVWKYQVTG-OAHLLOKOSA-N 0 2 321.381 0.114 20 0 DCADLN O=C([O-])CCc1ccc(S(=O)(=O)NCCn2cc[nH+]c2)cc1 ZINC000238034647 658477001 /nfs/dbraw/zinc/47/70/01/658477001.db2.gz OVIPGKHGBCIVGN-UHFFFAOYSA-N 0 2 323.374 0.879 20 0 DCADLN O=C(CCc1cnn[nH]1)N1CCC[C@@H](C[NH2+]Cc2cnon2)C1 ZINC001024164210 658497332 /nfs/dbraw/zinc/49/73/32/658497332.db2.gz YHZYBBVURWPNFL-NSHDSACASA-N 0 2 319.369 0.149 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cnccn1 ZINC001024311241 658565517 /nfs/dbraw/zinc/56/55/17/658565517.db2.gz WTYQQIWNIQEHMN-JTQLQIEISA-N 0 2 317.353 0.085 20 0 DCADLN Cc1nnc(CN2CCCC[C@@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001024527492 658687286 /nfs/dbraw/zinc/68/72/86/658687286.db2.gz UINXMPPBLURPTC-SNVBAGLBSA-N 0 2 304.358 0.016 20 0 DCADLN C[C@@H](NC(=O)[C@H]1C[C@H]2C[C@H]2C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970313566 658847433 /nfs/dbraw/zinc/84/74/33/658847433.db2.gz FIWRMXSBQFMXJQ-ZNSHCXBVSA-N 0 2 305.382 0.493 20 0 DCADLN O=C(c1ncccn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011239803 658876219 /nfs/dbraw/zinc/87/62/19/658876219.db2.gz GCOGLURKJVWYSN-QMMMGPOBSA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1ncccn1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011239803 658876223 /nfs/dbraw/zinc/87/62/23/658876223.db2.gz GCOGLURKJVWYSN-QMMMGPOBSA-N 0 2 320.246 0.661 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006718344 659419161 /nfs/dbraw/zinc/41/91/61/659419161.db2.gz ZZAJCXOJPZSWBL-SNVBAGLBSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001006665694 659462214 /nfs/dbraw/zinc/46/22/14/659462214.db2.gz HYBVUQXIRXCHAI-SNVBAGLBSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)n1C ZINC001006730134 659515383 /nfs/dbraw/zinc/51/53/83/659515383.db2.gz WPRSDMFAHAAQIE-NSHDSACASA-N 0 2 318.381 0.552 20 0 DCADLN CCC[NH+]1CCC[C@H]1C(=O)N[C@@H]1C[N@@H+](CC(N)=O)CC1(C)C ZINC000974662046 659606591 /nfs/dbraw/zinc/60/65/91/659606591.db2.gz ZQGGUJOCOOIJPR-QWHCGFSZSA-N 0 2 310.442 0.173 20 0 DCADLN CC1(C)C[N@H+](CCO)C[C@@H]1NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000974742128 659656422 /nfs/dbraw/zinc/65/64/22/659656422.db2.gz LUKMMOFDPFDZTA-STQMWFEESA-N 0 2 306.410 0.264 20 0 DCADLN CC1(C)C[N@H+](CCCO)C[C@H]1NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000974755743 659659674 /nfs/dbraw/zinc/65/96/74/659659674.db2.gz WZXMLKHJLOAPEE-UKRRQHHQSA-N 0 2 320.437 0.655 20 0 DCADLN Cn1nccc1C[N@@H+]1CCC[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001008680610 659662213 /nfs/dbraw/zinc/66/22/13/659662213.db2.gz HHSLGADQKRNFFG-LBPRGKRZSA-N 0 2 302.382 0.467 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccco1 ZINC000977371502 659663493 /nfs/dbraw/zinc/66/34/93/659663493.db2.gz OEVYWQWKRGQDGF-LLVKDONJSA-N 0 2 319.365 0.673 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccco1 ZINC000977371502 659663495 /nfs/dbraw/zinc/66/34/95/659663495.db2.gz OEVYWQWKRGQDGF-LLVKDONJSA-N 0 2 319.365 0.673 20 0 DCADLN O=C(c1cc(Cl)no1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032744223 665761372 /nfs/dbraw/zinc/76/13/72/665761372.db2.gz BBHQOYNXBFKTDG-BQBZGAKWSA-N 0 2 324.728 0.251 20 0 DCADLN Cc1cc(N)nc(N2CC[NH+]([C@@H]3CCNC(=O)CC3)CC2)[nH+]1 ZINC000894110346 661487880 /nfs/dbraw/zinc/48/78/80/661487880.db2.gz DEFIJKLEPMJBHO-LBPRGKRZSA-N 0 2 304.398 0.158 20 0 DCADLN CC(C)[N@@H+]1CCC[C@@H]1C(=O)NC[C@H]1CC[NH+](Cc2cnon2)C1 ZINC001028537121 661602398 /nfs/dbraw/zinc/60/23/98/661602398.db2.gz HJKBYPXBJHMEBX-UKRRQHHQSA-N 0 2 321.425 0.881 20 0 DCADLN C[C@H]1C[N@H+](CCN=c2nn[n-]n2Cc2ccccc2)[C@@H](C)CO1 ZINC000894520681 661976603 /nfs/dbraw/zinc/97/66/03/661976603.db2.gz CVPLTZZINYFGMV-KBPBESRZSA-N 0 2 316.409 0.664 20 0 DCADLN C[C@H]1C[N@@H+](CCN=c2nn[n-]n2Cc2ccccc2)[C@@H](C)CO1 ZINC000894520681 661976607 /nfs/dbraw/zinc/97/66/07/661976607.db2.gz CVPLTZZINYFGMV-KBPBESRZSA-N 0 2 316.409 0.664 20 0 DCADLN O=C(C=C1CCC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029267903 662072787 /nfs/dbraw/zinc/07/27/87/662072787.db2.gz MCLZUCSNDBDQQX-TXEJJXNPSA-N 0 2 303.366 0.796 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCCCS(C)(=O)=O ZINC000759101722 668206296 /nfs/dbraw/zinc/20/62/96/668206296.db2.gz BYHQHMBMHOBTJH-UHFFFAOYSA-N 0 2 319.301 0.421 20 0 DCADLN Cc1cnc([C@H](C)[N@@H+]2CC[C@H]2CNC(=O)c2cnn[n-]2)cn1 ZINC001038453686 662329964 /nfs/dbraw/zinc/32/99/64/662329964.db2.gz UZHDXDOAMZMQAP-QWRGUYRKSA-N 0 2 301.354 0.468 20 0 DCADLN Cc1cnc([C@H](C)[N@H+]2CC[C@H]2CNC(=O)c2cnn[n-]2)cn1 ZINC001038453686 662329966 /nfs/dbraw/zinc/32/99/66/662329966.db2.gz UZHDXDOAMZMQAP-QWRGUYRKSA-N 0 2 301.354 0.468 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cncs1 ZINC001000484973 665857801 /nfs/dbraw/zinc/85/78/01/665857801.db2.gz ABOVUBWPJOXPDB-UHFFFAOYSA-N 0 2 320.378 0.529 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cncs1 ZINC001000484973 665857803 /nfs/dbraw/zinc/85/78/03/665857803.db2.gz ABOVUBWPJOXPDB-UHFFFAOYSA-N 0 2 320.378 0.529 20 0 DCADLN Cn1ccc(C[NH+]2CC=C(CNC(=O)[C@H]3CCC[N@@H+]3C)CC2)n1 ZINC001000522363 665869075 /nfs/dbraw/zinc/86/90/75/665869075.db2.gz QFFQWVINKRDKIW-MRXNPFEDSA-N 0 2 317.437 0.763 20 0 DCADLN Cc1ccoc1C(=O)N1C[C@@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@H]1C ZINC000988090088 662728352 /nfs/dbraw/zinc/72/83/52/662728352.db2.gz NODQPXBYMXDYAE-MNOVXSKESA-N 0 2 319.365 0.403 20 0 DCADLN Cc1ccoc1C(=O)N1C[C@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@@H]1C ZINC000988090097 662728370 /nfs/dbraw/zinc/72/83/70/662728370.db2.gz NODQPXBYMXDYAE-WDEREUQCSA-N 0 2 319.365 0.403 20 0 DCADLN CCC(CC)C(=O)N1C[C@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@H]1C ZINC000988115275 662732136 /nfs/dbraw/zinc/73/21/36/662732136.db2.gz WBYGLURVOGSVFJ-ZYHUDNBSSA-N 0 2 309.414 0.624 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000937737979 662814285 /nfs/dbraw/zinc/81/42/85/662814285.db2.gz OLEYARUSQHSMGW-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000937737979 662814286 /nfs/dbraw/zinc/81/42/86/662814286.db2.gz OLEYARUSQHSMGW-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cc1ncc(C(=O)N[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC000937752559 662815548 /nfs/dbraw/zinc/81/55/48/662815548.db2.gz BDEOPTJVOKRDIZ-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN CC[C@@H](C(=O)N1CC[C@H](NC(=O)c2n[nH]c(C)c2[O-])C1)[NH+](C)C ZINC000937832301 662828158 /nfs/dbraw/zinc/82/81/58/662828158.db2.gz PBYNTKYEXPSANO-QWRGUYRKSA-N 0 2 323.397 0.095 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000938097621 662881665 /nfs/dbraw/zinc/88/16/65/662881665.db2.gz GJSFAHMBFBPBGV-DJLDLDEBSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000938097621 662881666 /nfs/dbraw/zinc/88/16/66/662881666.db2.gz GJSFAHMBFBPBGV-DJLDLDEBSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCC(=O)NCC12COC2 ZINC000921800750 665912085 /nfs/dbraw/zinc/91/20/85/665912085.db2.gz KGJXNGLQMUXNPM-UHFFFAOYSA-N 0 2 312.272 0.402 20 0 DCADLN CO[C@@]1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCSC1 ZINC000897677504 662920105 /nfs/dbraw/zinc/92/01/05/662920105.db2.gz QGGZVTNKAMSNQL-ZANVPECISA-N 0 2 312.395 0.738 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cccnc1 ZINC000990075554 663001434 /nfs/dbraw/zinc/00/14/34/663001434.db2.gz MOAHMJJFOJYMJR-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cccnc1 ZINC000990075554 663001436 /nfs/dbraw/zinc/00/14/36/663001436.db2.gz MOAHMJJFOJYMJR-SECBINFHSA-N 0 2 305.231 0.923 20 0 DCADLN Cn1cccc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990264237 663025486 /nfs/dbraw/zinc/02/54/86/663025486.db2.gz GKXWFYYSMHLITL-VIFPVBQESA-N 0 2 307.247 0.866 20 0 DCADLN Cn1cccc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990264237 663025488 /nfs/dbraw/zinc/02/54/88/663025488.db2.gz GKXWFYYSMHLITL-VIFPVBQESA-N 0 2 307.247 0.866 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)[nH]n1 ZINC000990371607 663039528 /nfs/dbraw/zinc/03/95/28/663039528.db2.gz KGBXSNSGYIEMAQ-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)[nH]n1 ZINC000990371607 663039530 /nfs/dbraw/zinc/03/95/30/663039530.db2.gz KGBXSNSGYIEMAQ-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cn1nccc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990431123 663048435 /nfs/dbraw/zinc/04/84/35/663048435.db2.gz KCZUXUWVOICTSB-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1nccc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990431123 663048436 /nfs/dbraw/zinc/04/84/36/663048436.db2.gz KCZUXUWVOICTSB-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN C[NH+]1CCC[C@@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(CO)cc1 ZINC001038116254 663081438 /nfs/dbraw/zinc/08/14/38/663081438.db2.gz KVFPMDPILTUWDX-DLBZAZTESA-N 0 2 317.433 0.964 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cccc3c2OCCCO3)C(=O)N1C ZINC000899042174 663119169 /nfs/dbraw/zinc/11/91/69/663119169.db2.gz YJOLXZQFKYPFOP-SECBINFHSA-N 0 2 319.317 0.775 20 0 DCADLN CCC(CC)[C@H](C(=O)NCC[N@@H+](C)CCO)[NH+]1CCOCC1 ZINC000899734233 663187505 /nfs/dbraw/zinc/18/75/05/663187505.db2.gz XFROBYXWZWFGLA-OAHLLOKOSA-N 0 2 315.458 0.164 20 0 DCADLN Cn1ccc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)c1 ZINC000990822229 663207041 /nfs/dbraw/zinc/20/70/41/663207041.db2.gz IMRIYTOKTGOWQD-SECBINFHSA-N 0 2 307.247 0.866 20 0 DCADLN Cn1ccc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC000990822229 663207044 /nfs/dbraw/zinc/20/70/44/663207044.db2.gz IMRIYTOKTGOWQD-SECBINFHSA-N 0 2 307.247 0.866 20 0 DCADLN CC[C@@H](C)NC(=O)CN1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000900111571 663218018 /nfs/dbraw/zinc/21/80/18/663218018.db2.gz APBMQUCPDWURTE-GFCCVEGCSA-N 0 2 307.398 0.011 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccsn1 ZINC001000829528 665940263 /nfs/dbraw/zinc/94/02/63/665940263.db2.gz PVUYPKQENNKMGH-UHFFFAOYSA-N 0 2 320.378 0.529 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccsn1 ZINC001000829528 665940265 /nfs/dbraw/zinc/94/02/65/665940265.db2.gz PVUYPKQENNKMGH-UHFFFAOYSA-N 0 2 320.378 0.529 20 0 DCADLN O=C(NCc1cn(Cc2cnn(CCF)c2)nn1)C(F)(F)F ZINC000900635645 663264693 /nfs/dbraw/zinc/26/46/93/663264693.db2.gz GXWPBJMUSOGBFK-UHFFFAOYSA-N 0 2 320.250 0.671 20 0 DCADLN CCO[C@@H]1C[C@]([NH3+])(C(=O)[N-]S(=O)(=O)C2CCCC2)C1(C)C ZINC000901035765 663306575 /nfs/dbraw/zinc/30/65/75/663306575.db2.gz PWJKRXDECNFFTR-RISCZKNCSA-N 0 2 318.439 0.908 20 0 DCADLN Cc1nc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)co1 ZINC000991002806 663412713 /nfs/dbraw/zinc/41/27/13/663412713.db2.gz YZZFSNVNMJCNLY-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)co1 ZINC000991002806 663412714 /nfs/dbraw/zinc/41/27/14/663412714.db2.gz YZZFSNVNMJCNLY-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000902711855 663428761 /nfs/dbraw/zinc/42/87/61/663428761.db2.gz HJXKWHTUEIXBAU-QWHCGFSZSA-N 0 2 303.318 0.840 20 0 DCADLN COC[C@H]1CNCCN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000903597879 663470223 /nfs/dbraw/zinc/47/02/23/663470223.db2.gz GBESTXRNATYYHM-SNVBAGLBSA-N 0 2 304.343 0.140 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)cnn1 ZINC000991035645 663492247 /nfs/dbraw/zinc/49/22/47/663492247.db2.gz QBIRHKPFSYKJDH-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)cnn1 ZINC000991035645 663492249 /nfs/dbraw/zinc/49/22/49/663492249.db2.gz QBIRHKPFSYKJDH-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@]12C[C@H]1CCCC2 ZINC000927449332 663606040 /nfs/dbraw/zinc/60/60/40/663606040.db2.gz QNYACDBMUNRECX-BMIGLBTASA-N 0 2 301.350 0.768 20 0 DCADLN NS(=O)(=O)c1ccc(SCC(=O)NOCC2CC2)cc1 ZINC000908384420 663753194 /nfs/dbraw/zinc/75/31/94/663753194.db2.gz XQDZGGFSGHJGIV-UHFFFAOYSA-N 0 2 316.404 0.884 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2C[C@@H](F)C[C@H]2C(=O)[O-])CCO1 ZINC000908915119 663774258 /nfs/dbraw/zinc/77/42/58/663774258.db2.gz TVADZELWNSHUNE-QWRGUYRKSA-N 0 2 317.361 0.304 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2C[C@@H](F)C[C@H]2C(=O)[O-])CCO1 ZINC000908915119 663774259 /nfs/dbraw/zinc/77/42/59/663774259.db2.gz TVADZELWNSHUNE-QWRGUYRKSA-N 0 2 317.361 0.304 20 0 DCADLN CSC[C@@H](NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)C(=O)[O-] ZINC000909081221 663780423 /nfs/dbraw/zinc/78/04/23/663780423.db2.gz CMSISBGUACZKAK-WDEREUQCSA-N 0 2 324.406 0.776 20 0 DCADLN C/C(=C/C(=O)N1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC000909678390 663792824 /nfs/dbraw/zinc/79/28/24/663792824.db2.gz LUMYZEZQPJFIOI-LKLIROHVSA-N 0 2 322.405 0.977 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN(C(=O)CNc2cccc[nH+]2)C1 ZINC000909717815 663797214 /nfs/dbraw/zinc/79/72/14/663797214.db2.gz ZLUKSKLLAYOAJK-HNNXBMFYSA-N 0 2 307.350 0.833 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H](C)C[C@@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC000909781296 663804183 /nfs/dbraw/zinc/80/41/83/663804183.db2.gz OCEYOYQBMMBFEV-BILBVFMZSA-N 0 2 310.394 0.977 20 0 DCADLN O=C([O-])C12CC(C1)CN2c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000910467245 663888201 /nfs/dbraw/zinc/88/82/01/663888201.db2.gz USGJPWVRZGDBED-FZWSLVFFSA-N 0 2 318.377 0.739 20 0 DCADLN O=C([O-])C12CC(C1)CN2c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000910467245 663888203 /nfs/dbraw/zinc/88/82/03/663888203.db2.gz USGJPWVRZGDBED-FZWSLVFFSA-N 0 2 318.377 0.739 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(NC[C@@H]3C[C@@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000910470814 663888798 /nfs/dbraw/zinc/88/87/98/663888798.db2.gz VFPPJXLQWCEJLP-RHYQMDGZSA-N 0 2 306.366 0.816 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(NC[C@@H]3C[C@@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000910470814 663888800 /nfs/dbraw/zinc/88/88/00/663888800.db2.gz VFPPJXLQWCEJLP-RHYQMDGZSA-N 0 2 306.366 0.816 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C(F)(F)F ZINC000911158253 663994466 /nfs/dbraw/zinc/99/44/66/663994466.db2.gz NKWIGDTXBXBFBK-JGVFFNPUSA-N 0 2 305.256 0.967 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)CCOCC1 ZINC000911335367 664030129 /nfs/dbraw/zinc/03/01/29/664030129.db2.gz ZQAUZHDYZNYHNL-LBPRGKRZSA-N 0 2 307.350 0.928 20 0 DCADLN C[C@H]([C@H](C)NC(=O)c1csc(C(=O)[O-])n1)[NH+]1CCOCC1 ZINC000911351725 664034434 /nfs/dbraw/zinc/03/44/34/664034434.db2.gz SQSVYPPQVHAPBD-DTWKUNHWSA-N 0 2 313.379 0.680 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1cc(C(=O)[O-])ccn1 ZINC000911339474 664038470 /nfs/dbraw/zinc/03/84/70/664038470.db2.gz MIZMFZBXFBLOAH-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)c1cc(C(=O)[O-])ccn1 ZINC000911339474 664038471 /nfs/dbraw/zinc/03/84/71/664038471.db2.gz MIZMFZBXFBLOAH-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc3oc(=S)[n-]c3c2)[C@H](CO)C1 ZINC000912739358 664215242 /nfs/dbraw/zinc/21/52/42/664215242.db2.gz XNJHNBIMWLCIPZ-JTQLQIEISA-N 0 2 307.375 0.865 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc3oc(=S)[n-]c3c2)[C@H](CO)C1 ZINC000912739358 664215244 /nfs/dbraw/zinc/21/52/44/664215244.db2.gz XNJHNBIMWLCIPZ-JTQLQIEISA-N 0 2 307.375 0.865 20 0 DCADLN O=C(NCC12COCCN1CCOC2)C(F)C(F)(F)F ZINC000913299740 664297163 /nfs/dbraw/zinc/29/71/63/664297163.db2.gz RVWOWGTWKCDCJR-QMMMGPOBSA-N 0 2 300.252 0.104 20 0 DCADLN O=C(NCC12COCCN1CCOC2)[C@H](F)C(F)(F)F ZINC000913299740 664297165 /nfs/dbraw/zinc/29/71/65/664297165.db2.gz RVWOWGTWKCDCJR-QMMMGPOBSA-N 0 2 300.252 0.104 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)c([O-])c(Cl)c2)C[C@@H]1CO ZINC000913544272 664347302 /nfs/dbraw/zinc/34/73/02/664347302.db2.gz AOPVGFDNHIDZLR-SECBINFHSA-N 0 2 302.733 0.933 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)c([O-])c(Cl)c2)C[C@@H]1CO ZINC000913544272 664347306 /nfs/dbraw/zinc/34/73/06/664347306.db2.gz AOPVGFDNHIDZLR-SECBINFHSA-N 0 2 302.733 0.933 20 0 DCADLN Cc1n[nH]c(C(=O)NC2C[NH+](C[C@@H](O)c3ccccc3)C2)c1[O-] ZINC001030222969 664480184 /nfs/dbraw/zinc/48/01/84/664480184.db2.gz VVHDKDFFZIDGSE-CYBMUJFWSA-N 0 2 316.361 0.571 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1nc2c(s1)CCC2 ZINC001030678852 664629149 /nfs/dbraw/zinc/62/91/49/664629149.db2.gz NQOXHBUFAVZNBS-UHFFFAOYSA-N 0 2 320.378 0.070 20 0 DCADLN Cc1onc(C2CC2)c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030691449 664636023 /nfs/dbraw/zinc/63/60/23/664636023.db2.gz ZAIAYCQCFBPCJY-UHFFFAOYSA-N 0 2 318.337 0.298 20 0 DCADLN C[C@@H](CCc1ccco1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730516141 664784318 /nfs/dbraw/zinc/78/43/18/664784318.db2.gz IGDGEEJGVUDYAF-JTQLQIEISA-N 0 2 315.333 0.802 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H]1c1ccncc1 ZINC000730519883 664784850 /nfs/dbraw/zinc/78/48/50/664784850.db2.gz DICPLOXAOGZHRR-ZDUSSCGKSA-N 0 2 324.344 0.830 20 0 DCADLN Cc1csc(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000730523579 664785029 /nfs/dbraw/zinc/78/50/29/664785029.db2.gz KUIYSJLVPDXYIO-UHFFFAOYSA-N 0 2 318.362 0.195 20 0 DCADLN CC(C)(C)n1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000730538034 664790801 /nfs/dbraw/zinc/79/08/01/664790801.db2.gz NINLTSGTSVEPIX-UHFFFAOYSA-N 0 2 315.337 0.661 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cnn(C)c2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993010119 664791538 /nfs/dbraw/zinc/79/15/38/664791538.db2.gz DWDJABFXDDXYFX-KOLCDFICSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1noc(C)c1[C@@H](C)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031102727 664792729 /nfs/dbraw/zinc/79/27/29/664792729.db2.gz LNQGIAUTAXVRDF-SSDOTTSWSA-N 0 2 320.353 0.219 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)[nH]n1 ZINC000993101706 664808511 /nfs/dbraw/zinc/80/85/11/664808511.db2.gz BVXDWEAVIYAGKK-NXEZZACHSA-N 0 2 319.369 0.325 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(CC(F)(F)F)C1CC1 ZINC000731980732 664896133 /nfs/dbraw/zinc/89/61/33/664896133.db2.gz TXADRDMZZHMSQN-UHFFFAOYSA-N 0 2 315.255 0.625 20 0 DCADLN CC[C@@H]1C[C@@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001031219438 664902184 /nfs/dbraw/zinc/90/21/84/664902184.db2.gz JBTLFVDEAOIWBR-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1[C@H](NC(=O)C2=CCOCC2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993218628 664904849 /nfs/dbraw/zinc/90/48/49/664904849.db2.gz BVMKKEVDBMHBQI-CMPLNLGQSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@H]1[C@H](NC(=O)C2=CCOCC2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993218628 664904850 /nfs/dbraw/zinc/90/48/50/664904850.db2.gz BVMKKEVDBMHBQI-CMPLNLGQSA-N 0 2 321.381 0.326 20 0 DCADLN Cc1nscc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007149695 664925075 /nfs/dbraw/zinc/92/50/75/664925075.db2.gz MOWWOQYIMNBGBH-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)CC3(F)CCC3)C2)[nH]1 ZINC000915692330 664936967 /nfs/dbraw/zinc/93/69/67/664936967.db2.gz MUSKPOGNEDKEFL-SECBINFHSA-N 0 2 318.374 0.912 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2csnn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993633658 664990099 /nfs/dbraw/zinc/99/00/99/664990099.db2.gz LDGIZSWAAZGOSR-JGVFFNPUSA-N 0 2 323.382 0.145 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccncn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994033576 665034231 /nfs/dbraw/zinc/03/42/31/665034231.db2.gz CQMHNJOPTMNREV-UWVGGRQHSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@H]1[C@H](NC(=O)C(C)(C)c2c[nH]cn2)CCC[N@@H+]1CC(N)=O ZINC000994227782 665050796 /nfs/dbraw/zinc/05/07/96/665050796.db2.gz ILXBFCQUGOUWCW-WDEREUQCSA-N 0 2 307.398 0.142 20 0 DCADLN COC(=O)COC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000917948418 665150891 /nfs/dbraw/zinc/15/08/91/665150891.db2.gz DSFPDHWORGVKSC-UHFFFAOYSA-N 0 2 308.315 0.552 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC2CCC1CC2 ZINC001031445338 665154036 /nfs/dbraw/zinc/15/40/36/665154036.db2.gz ZOYMFNDCFNOEPJ-CBINBANVSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000994553075 665155722 /nfs/dbraw/zinc/15/57/22/665155722.db2.gz YMLUPEAXQREQFV-NXEZZACHSA-N 0 2 319.369 0.325 20 0 DCADLN COc1ncc(S(=O)(=O)N[C@@H](CCO)C(F)(F)F)s1 ZINC000919961859 665248027 /nfs/dbraw/zinc/24/80/27/665248027.db2.gz VSGAWXAUVOLSQU-YFKPBYRVSA-N 0 2 320.314 0.743 20 0 DCADLN Cc1csc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031654928 665267411 /nfs/dbraw/zinc/26/74/11/665267411.db2.gz OMSIWCKXSQACCF-UHFFFAOYSA-N 0 2 307.379 0.742 20 0 DCADLN CC[C@H]1OCCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031721658 665329434 /nfs/dbraw/zinc/32/94/34/665329434.db2.gz HDHUCYZAVUXMTK-VXGBXAGGSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cnn(C)c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000997912360 665366951 /nfs/dbraw/zinc/36/69/51/665366951.db2.gz BITINRBQZXSECC-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cnn(C)c1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000997912360 665366952 /nfs/dbraw/zinc/36/69/52/665366952.db2.gz BITINRBQZXSECC-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN CCc1c(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001031977484 666076529 /nfs/dbraw/zinc/07/65/29/666076529.db2.gz ASTKZRYVNBSDTD-UHFFFAOYSA-N 0 2 318.381 0.273 20 0 DCADLN Cc1nnsc1C[NH+]1CCC(CNC(=O)c2ncn[nH]2)CC1 ZINC001001952479 666114163 /nfs/dbraw/zinc/11/41/63/666114163.db2.gz FFVUHXMCQJIKBA-UHFFFAOYSA-N 0 2 321.410 0.607 20 0 DCADLN Cc1nnsc1C[NH+]1CCC(CNC(=O)c2nc[nH]n2)CC1 ZINC001001952479 666114164 /nfs/dbraw/zinc/11/41/64/666114164.db2.gz FFVUHXMCQJIKBA-UHFFFAOYSA-N 0 2 321.410 0.607 20 0 DCADLN C/C=C(/C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377705 666117249 /nfs/dbraw/zinc/11/72/49/666117249.db2.gz UPFUQVXHZLGBTM-LSFDQVSLSA-N 0 2 312.263 0.543 20 0 DCADLN C/C=C(/C)C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043377705 666117251 /nfs/dbraw/zinc/11/72/51/666117251.db2.gz UPFUQVXHZLGBTM-LSFDQVSLSA-N 0 2 312.263 0.543 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1coc(C2CC2)n1 ZINC001032032204 666191867 /nfs/dbraw/zinc/19/18/67/666191867.db2.gz PQWISPGUKBFRFQ-UHFFFAOYSA-N 0 2 318.337 0.238 20 0 DCADLN O=C(NCC1C[NH+](CCOc2ccccc2)C1)c1nc[nH]n1 ZINC001032060814 666239900 /nfs/dbraw/zinc/23/99/00/666239900.db2.gz HHXIZWDFUPQADF-UHFFFAOYSA-N 0 2 301.350 0.545 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@]12C[C@H]1CCC2 ZINC001003347416 666298209 /nfs/dbraw/zinc/29/82/09/666298209.db2.gz FAXDOXNJHCBIKM-MEBBXXQBSA-N 0 2 305.382 0.781 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CC=C[C@H]3CO)=N2)cc1 ZINC000922269985 666361507 /nfs/dbraw/zinc/36/15/07/666361507.db2.gz FFZKZVXRHKHYCR-LBPRGKRZSA-N 0 2 315.329 0.959 20 0 DCADLN Cc1nc(C)c(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001032174490 666383550 /nfs/dbraw/zinc/38/35/50/666383550.db2.gz BGICYRWRHULYJM-UHFFFAOYSA-N 0 2 322.394 0.445 20 0 DCADLN CN(C(=O)c1cncs1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032942613 666402634 /nfs/dbraw/zinc/40/26/34/666402634.db2.gz MDHJUFWSAHSTSV-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@H]1C[C@@H]2[C@H](C1)C2(F)F ZINC000922427017 666448096 /nfs/dbraw/zinc/44/80/96/666448096.db2.gz SRFWVWAMKWZTPI-LETLQTKMSA-N 0 2 324.287 0.906 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCO[C@H]1CCOC1 ZINC000922449789 666456170 /nfs/dbraw/zinc/45/61/70/666456170.db2.gz MLXSRVBTEUNMIU-NSHDSACASA-N 0 2 321.333 0.222 20 0 DCADLN CCc1[nH]ccc1C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033060363 666475966 /nfs/dbraw/zinc/47/59/66/666475966.db2.gz OELIQSLYUYMIFV-JTQLQIEISA-N 0 2 318.381 0.747 20 0 DCADLN CCc1[nH]ccc1C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033060363 666475968 /nfs/dbraw/zinc/47/59/68/666475968.db2.gz OELIQSLYUYMIFV-JTQLQIEISA-N 0 2 318.381 0.747 20 0 DCADLN CN(C(=O)Cc1cn2c([nH+]1)CCCC2)[C@@H]1CC[N@@H+](CCO)C1 ZINC001033095700 666502887 /nfs/dbraw/zinc/50/28/87/666502887.db2.gz DMSXHRINJPNJMD-CQSZACIVSA-N 0 2 306.410 0.287 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccnc(F)c1 ZINC001038093275 666600197 /nfs/dbraw/zinc/60/01/97/666600197.db2.gz WFODNXXSHSECRX-VIFPVBQESA-N 0 2 306.301 0.049 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)[C@@H]1CC[N@@H+]([C@H](C)C(=O)N(C)C)C1 ZINC001033668112 666787710 /nfs/dbraw/zinc/78/77/10/666787710.db2.gz LAYIJPDNTWVEFQ-TZMCWYRMSA-N 0 2 321.425 0.201 20 0 DCADLN CCN(C(=O)[C@H]1CCn2c[nH+]cc2C1)[C@@H]1CC[N@H+](CCCO)C1 ZINC001033876129 666850134 /nfs/dbraw/zinc/85/01/34/666850134.db2.gz OIHDUSUQVDCJPC-LSDHHAIUSA-N 0 2 320.437 0.751 20 0 DCADLN CCN(C(=O)[C@H]1CCc2[nH+]ccn2C1)[C@@H]1CC[N@H+](CCO)C1 ZINC001033881338 666855240 /nfs/dbraw/zinc/85/52/40/666855240.db2.gz PROPVQYDOLZPBE-UONOGXRCSA-N 0 2 306.410 0.361 20 0 DCADLN CCN(C(=O)c1ncccn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033998161 666890082 /nfs/dbraw/zinc/89/00/82/666890082.db2.gz DIICVASVKHUGRM-JTQLQIEISA-N 0 2 317.353 0.037 20 0 DCADLN CC(F)(F)C(=O)N[C@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001034071178 666911009 /nfs/dbraw/zinc/91/10/09/666911009.db2.gz AVMVPAUXQDMJCN-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cocn1 ZINC001034130561 666933206 /nfs/dbraw/zinc/93/32/06/666933206.db2.gz IQXCMYXHDMCVQB-SECBINFHSA-N 0 2 306.326 0.283 20 0 DCADLN CC(C)[C@H](NC=O)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000861576186 666946460 /nfs/dbraw/zinc/94/64/60/666946460.db2.gz BHSOIYCSYNYRAR-NSHDSACASA-N 0 2 303.322 0.886 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CC1(F)F ZINC001034196631 666951928 /nfs/dbraw/zinc/95/19/28/666951928.db2.gz DJJZTGTXEKRPAG-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CC1(F)F ZINC001034196631 666951929 /nfs/dbraw/zinc/95/19/29/666951929.db2.gz DJJZTGTXEKRPAG-DTWKUNHWSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ncc[nH]1 ZINC001034196725 666951978 /nfs/dbraw/zinc/95/19/78/666951978.db2.gz DIIYGDOVAUVAGJ-VIFPVBQESA-N 0 2 305.342 0.018 20 0 DCADLN O=C(N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ncc[nH]1 ZINC001034196725 666951979 /nfs/dbraw/zinc/95/19/79/666951979.db2.gz DIIYGDOVAUVAGJ-VIFPVBQESA-N 0 2 305.342 0.018 20 0 DCADLN Cc1nnc([C@@H](C)N2CCCC[C@@H](NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC001034293202 666980106 /nfs/dbraw/zinc/98/01/06/666980106.db2.gz MAVSDMHRRGWYIL-MWLCHTKSSA-N 0 2 318.385 0.577 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)oc1C ZINC001032356944 667026459 /nfs/dbraw/zinc/02/64/59/667026459.db2.gz AJKLHBPEBUXRDF-QWRGUYRKSA-N 0 2 317.349 0.819 20 0 DCADLN CCn1nncc1CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000862364210 667040053 /nfs/dbraw/zinc/04/00/53/667040053.db2.gz GQWJAMOBFQXIGR-UHFFFAOYSA-N 0 2 307.276 0.371 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)co1 ZINC001032372427 667112410 /nfs/dbraw/zinc/11/24/10/667112410.db2.gz BYYITDCPMNPTDC-QWRGUYRKSA-N 0 2 303.322 0.511 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)C1CC1 ZINC000864119954 667216763 /nfs/dbraw/zinc/21/67/63/667216763.db2.gz RBIYGOJVAPGHJZ-ZIAGYGMSSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@H]1COCC[N@H+]1C1CCCC1)C1CC1 ZINC000864119954 667216765 /nfs/dbraw/zinc/21/67/65/667216765.db2.gz RBIYGOJVAPGHJZ-ZIAGYGMSSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)C1CC1 ZINC000864119952 667216952 /nfs/dbraw/zinc/21/69/52/667216952.db2.gz RBIYGOJVAPGHJZ-KGLIPLIRSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])C[C@@H](NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)C1CC1 ZINC000864119952 667216956 /nfs/dbraw/zinc/21/69/56/667216956.db2.gz RBIYGOJVAPGHJZ-KGLIPLIRSA-N 0 2 310.394 0.999 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000864125105 667217449 /nfs/dbraw/zinc/21/74/49/667217449.db2.gz XHAGNGOOGHYVJA-SWLSCSKDSA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])[C@@]1(F)CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000864125105 667217451 /nfs/dbraw/zinc/21/74/51/667217451.db2.gz XHAGNGOOGHYVJA-SWLSCSKDSA-N 0 2 314.357 0.655 20 0 DCADLN O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)c1cccs1 ZINC001035291862 667283578 /nfs/dbraw/zinc/28/35/78/667283578.db2.gz YTHBSTNFQUCHTB-SECBINFHSA-N 0 2 323.378 0.203 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)o1 ZINC001035279385 667286808 /nfs/dbraw/zinc/28/68/08/667286808.db2.gz LAHBMRHBBDOOLS-SNVBAGLBSA-N 0 2 321.337 0.043 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC1(F)F ZINC001014890000 667580555 /nfs/dbraw/zinc/58/05/55/667580555.db2.gz LTTKJEJBCJYQFN-SFYZADRCSA-N 0 2 301.297 0.246 20 0 DCADLN Cc1cnoc1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006239638 667589803 /nfs/dbraw/zinc/58/98/03/667589803.db2.gz RGRHVDJDAOSLBX-UHFFFAOYSA-N 0 2 320.353 0.543 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000738167376 667658938 /nfs/dbraw/zinc/65/89/38/667658938.db2.gz YZERDMKZFOENHI-VIFPVBQESA-N 0 2 309.366 0.780 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000738167376 667658940 /nfs/dbraw/zinc/65/89/40/667658940.db2.gz YZERDMKZFOENHI-VIFPVBQESA-N 0 2 309.366 0.780 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2cccc3c2CCOC3)S1 ZINC000871022529 667785006 /nfs/dbraw/zinc/78/50/06/667785006.db2.gz ALYDYQZNYAYCHF-GFCCVEGCSA-N 0 2 319.386 0.932 20 0 DCADLN Cc1cc(C(N)=O)ccc1NC(=O)CC1SC(=N)NC1=O ZINC000742536542 667793254 /nfs/dbraw/zinc/79/32/54/667793254.db2.gz NPKHMIXOQLQWRF-SECBINFHSA-N 0 2 306.347 0.589 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC2CCC3(CC2)OCCO3)S1 ZINC000742551068 667793887 /nfs/dbraw/zinc/79/38/87/667793887.db2.gz ZYCHQOBDURRRSL-SECBINFHSA-N 0 2 313.379 0.345 20 0 DCADLN Cn1c2cc(NC(=O)CC3SC(=N)NC3=O)ccc2oc1=O ZINC000742617631 667795364 /nfs/dbraw/zinc/79/53/64/667795364.db2.gz NXAXVWVRRNQQTH-VIFPVBQESA-N 0 2 320.330 0.626 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2nc3c(s2)COCC3)S1 ZINC000742627008 667795910 /nfs/dbraw/zinc/79/59/10/667795910.db2.gz BMFMMVRAWNWDSL-ZCFIWIBFSA-N 0 2 312.376 0.711 20 0 DCADLN Cc1cccn2cc(CNC(=O)C[C@@H]3SC(=N)NC3=O)nc12 ZINC000742651142 667796463 /nfs/dbraw/zinc/79/64/63/667796463.db2.gz XKVNLOVCNNOMEV-JTQLQIEISA-N 0 2 317.374 0.815 20 0 DCADLN Cc1ncc2c(n1)CCC[C@@H]2NC(=O)C[C@H]1SC(=N)NC1=O ZINC000742681188 667796997 /nfs/dbraw/zinc/79/69/97/667796997.db2.gz BRCPZKXWHGKSSV-WDEREUQCSA-N 0 2 319.390 0.835 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCCNc2ccccn2)S1 ZINC000742690334 667797396 /nfs/dbraw/zinc/79/73/96/667797396.db2.gz OUXWDVNPXLRVBL-SECBINFHSA-N 0 2 307.379 0.556 20 0 DCADLN CN1C(=O)[C@H]2CN(C(=O)c3c(O)cc(F)cc3F)C[C@H]2C1=O ZINC000871528790 667830509 /nfs/dbraw/zinc/83/05/09/667830509.db2.gz OYGSTGNPDSJSAP-OCAPTIKFSA-N 0 2 310.256 0.357 20 0 DCADLN CCC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCOCC1 ZINC000744801634 667833267 /nfs/dbraw/zinc/83/32/67/667833267.db2.gz DBMNWHYSYOCQBH-UHFFFAOYSA-N 0 2 319.365 0.394 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc(NC(N)=O)cc2)S1 ZINC000745421792 667847202 /nfs/dbraw/zinc/84/72/02/667847202.db2.gz TZSFDDUCEBLWGS-VIFPVBQESA-N 0 2 321.362 0.350 20 0 DCADLN CNC(=O)c1ccc(C)c(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000745427060 667847732 /nfs/dbraw/zinc/84/77/32/667847732.db2.gz BYOBVKGJQYACJU-JTQLQIEISA-N 0 2 320.374 0.850 20 0 DCADLN COCc1nc(Cl)cc(N2N=C(C)[C@H](NC(C)=O)C2=O)n1 ZINC000871958647 667859660 /nfs/dbraw/zinc/85/96/60/667859660.db2.gz ZFCSMUFAERIIDC-NSHDSACASA-N 0 2 311.729 0.504 20 0 DCADLN CN(C)c1nc(CNC(=O)C[C@H]2SC(=N)NC2=O)cs1 ZINC000746866059 667888411 /nfs/dbraw/zinc/88/84/11/667888411.db2.gz UBOCOWSROKSNFK-SSDOTTSWSA-N 0 2 313.408 0.382 20 0 DCADLN CCn1ncc(NS(=O)(=O)c2cnn(CCOC)c2)c1C ZINC000872422475 667894612 /nfs/dbraw/zinc/89/46/12/667894612.db2.gz OODZCMDPMCQYNF-UHFFFAOYSA-N 0 2 313.383 0.855 20 0 DCADLN C[C@](O)(CNC(=O)C[C@@H]1SC(=N)NC1=O)c1ccsc1 ZINC000748550428 667931772 /nfs/dbraw/zinc/93/17/72/667931772.db2.gz JALRXGFZUIBXSI-UFBFGSQYSA-N 0 2 313.404 0.628 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H]([C@H]3CCOC3)C2)S1 ZINC000749124051 667946773 /nfs/dbraw/zinc/94/67/73/667946773.db2.gz VCYHPOZXPYOPDW-DCAQKATOSA-N 0 2 311.407 0.818 20 0 DCADLN CCCN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1cccnc1 ZINC000750121137 667972121 /nfs/dbraw/zinc/97/21/21/667972121.db2.gz KIBBWTZUXXEPCM-UHFFFAOYSA-N 0 2 312.333 0.909 20 0 DCADLN O=C1OCc2c1cccc2S(=O)(=O)Nc1cnn(CCO)c1 ZINC000751271303 667998635 /nfs/dbraw/zinc/99/86/35/667998635.db2.gz MYSDPZLCPLVXSU-UHFFFAOYSA-N 0 2 323.330 0.347 20 0 DCADLN COC(=O)C1(CNC(=O)C[C@H]2SC(=N)NC2=O)CCCC1 ZINC000752669284 668020512 /nfs/dbraw/zinc/02/05/12/668020512.db2.gz LKHAOQAABBJYRB-MRVPVSSYSA-N 0 2 313.379 0.392 20 0 DCADLN CCCS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000124883382 668159101 /nfs/dbraw/zinc/15/91/01/668159101.db2.gz VGKKQZKGBFEDRW-FRRDWIJNSA-N 0 2 320.455 0.976 20 0 DCADLN CCCS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000124883382 668159105 /nfs/dbraw/zinc/15/91/05/668159105.db2.gz VGKKQZKGBFEDRW-FRRDWIJNSA-N 0 2 320.455 0.976 20 0 DCADLN O=C(N[C@H]1C[C@H](C(=O)[O-])C1)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000874396718 668179697 /nfs/dbraw/zinc/17/96/97/668179697.db2.gz KAIOTOPREBFZOV-SRVKXCTJSA-N 0 2 304.350 0.823 20 0 DCADLN Cc1ccc(Cc2noc(Cn3[nH]cc4c(=O)ncnc3-4)n2)cn1 ZINC000761774604 668336236 /nfs/dbraw/zinc/33/62/36/668336236.db2.gz MLVXZQGTWDCHEG-UHFFFAOYSA-N 0 2 323.316 0.797 20 0 DCADLN CC(C)C[C@@H](CCO)CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000762694974 668372020 /nfs/dbraw/zinc/37/20/20/668372020.db2.gz FRWGMAGOZQXSGP-NXEZZACHSA-N 0 2 301.412 0.704 20 0 DCADLN COCCN(C(=O)C(F)C(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000764499312 668450329 /nfs/dbraw/zinc/45/03/29/668450329.db2.gz MNJBUDCLKJUAMC-HTQZYQBOSA-N 0 2 321.292 0.549 20 0 DCADLN COCCN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000764499312 668450333 /nfs/dbraw/zinc/45/03/33/668450333.db2.gz MNJBUDCLKJUAMC-HTQZYQBOSA-N 0 2 321.292 0.549 20 0 DCADLN C[C@@H](CCC(=O)NCc1n[nH]c(=O)[nH]1)NC(=O)OC(C)(C)C ZINC000765006587 668470921 /nfs/dbraw/zinc/47/09/21/668470921.db2.gz NDLTWYDCNQJWNB-QMMMGPOBSA-N 0 2 313.358 0.820 20 0 DCADLN COc1cc(CN(C)C(=O)C[C@@H]2SC(=N)NC2=O)ccc1O ZINC000769280427 668641945 /nfs/dbraw/zinc/64/19/45/668641945.db2.gz JVUZMBKMFDKIKO-NSHDSACASA-N 0 2 323.374 0.916 20 0 DCADLN COc1ccc(CN(C)C(=O)C[C@@H]2SC(=N)NC2=O)cc1O ZINC000769295370 668642513 /nfs/dbraw/zinc/64/25/13/668642513.db2.gz VNORHGWOUYLPBS-NSHDSACASA-N 0 2 323.374 0.916 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)O[C@@H]1Cc2ccccc2C1=O ZINC000770017014 668674973 /nfs/dbraw/zinc/67/49/73/668674973.db2.gz OLOXEDAWPKXKCN-GFCCVEGCSA-N 0 2 324.296 0.422 20 0 DCADLN C[C@H](CC(=O)c1ccco1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000771561934 668742963 /nfs/dbraw/zinc/74/29/63/668742963.db2.gz GJTSFSQWAUJTPH-GMSGAONNSA-N 0 2 309.347 0.914 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCC[C@@H]2CCCC[C@@H]2O)S1 ZINC000771746975 668749498 /nfs/dbraw/zinc/74/94/98/668749498.db2.gz HBPYGOGCPJVHEY-DCAQKATOSA-N 0 2 313.423 0.990 20 0 DCADLN CC(=O)Nc1nc(C(=O)NCCc2n[nH]c(=S)o2)cs1 ZINC000773100235 668792912 /nfs/dbraw/zinc/79/29/12/668792912.db2.gz COYJVTHFPWMKDC-UHFFFAOYSA-N 0 2 313.364 0.746 20 0 DCADLN O=C([N-]OCc1cccnc1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000774339088 668831281 /nfs/dbraw/zinc/83/12/81/668831281.db2.gz UNRDDDCNGGZACX-UHFFFAOYSA-N 0 2 311.301 0.916 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000774920166 668851589 /nfs/dbraw/zinc/85/15/89/668851589.db2.gz UDZCLSSHMQIVGC-SECBINFHSA-N 0 2 308.338 0.735 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc(N2CCOCC2)cc1 ZINC000774934162 668851825 /nfs/dbraw/zinc/85/18/25/668851825.db2.gz KUUVHIWQOCKOIA-UHFFFAOYSA-N 0 2 304.306 0.704 20 0 DCADLN C[C@H]1C(=O)Nc2cc(C(=O)OCc3n[nH]c(=O)[nH]3)ccc2N1C ZINC000774965960 668852931 /nfs/dbraw/zinc/85/29/31/668852931.db2.gz BUJOBPNMJKXSHD-ZETCQYMHSA-N 0 2 317.305 0.644 20 0 DCADLN O=C([N-]S(=O)(=O)[C@H]1CCOC1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000776088518 668870710 /nfs/dbraw/zinc/87/07/10/668870710.db2.gz MYTIUVQJVURAID-ZDUSSCGKSA-N 0 2 321.358 0.721 20 0 DCADLN CN1C(=O)CN(NC(=O)c2cnc(-c3ccco3)s2)C1=O ZINC000776243051 668873903 /nfs/dbraw/zinc/87/39/03/668873903.db2.gz BTECTSHULDRCOD-UHFFFAOYSA-N 0 2 306.303 0.942 20 0 DCADLN CC(=O)c1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)nc1C ZINC000777434747 668887331 /nfs/dbraw/zinc/88/73/31/668887331.db2.gz AJRLBAUNSLRMKH-NSHDSACASA-N 0 2 320.374 0.765 20 0 DCADLN CCS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000778640117 668902096 /nfs/dbraw/zinc/90/20/96/668902096.db2.gz OZWXDPAURVKIEI-GRYCIOLGSA-N 0 2 306.428 0.586 20 0 DCADLN CCS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000778640117 668902097 /nfs/dbraw/zinc/90/20/97/668902097.db2.gz OZWXDPAURVKIEI-GRYCIOLGSA-N 0 2 306.428 0.586 20 0 DCADLN COC[C@@H](C)n1ccc(NC(=O)CC2SC(=N)NC2=O)n1 ZINC000779346622 668909961 /nfs/dbraw/zinc/90/99/61/668909961.db2.gz KPXANIVWVZYKNY-HTQZYQBOSA-N 0 2 311.367 0.585 20 0 DCADLN c1nnc(CN2CC[NH+](C[C@H]3CCC4(CCOCC4)O3)CC2)[nH]1 ZINC000878810820 668932500 /nfs/dbraw/zinc/93/25/00/668932500.db2.gz KJZURMZYFSQSOS-CQSZACIVSA-N 0 2 321.425 0.651 20 0 DCADLN COc1ccc2c(c1)CC[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)C2 ZINC000879069156 668978427 /nfs/dbraw/zinc/97/84/27/668978427.db2.gz APTSAVOUDSVNSI-LLVKDONJSA-N 0 2 302.334 0.940 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCCC1OCCO1 ZINC000781179972 669033406 /nfs/dbraw/zinc/03/34/06/669033406.db2.gz UNIOWIZEYGSQBN-UHFFFAOYSA-N 0 2 307.306 0.180 20 0 DCADLN CC(C)(C)OC(=O)NCCCCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000782004201 669074425 /nfs/dbraw/zinc/07/44/25/669074425.db2.gz ZGNPGDCIRJJNGU-UHFFFAOYSA-N 0 2 313.358 0.822 20 0 DCADLN Cc1ccc(CN(CCO)C(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000783640304 669166294 /nfs/dbraw/zinc/16/62/94/669166294.db2.gz OZUGPHQTFDQDEO-LBPRGKRZSA-N 0 2 321.402 0.872 20 0 DCADLN C[C@H]1C[C@H](CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000784379932 669201884 /nfs/dbraw/zinc/20/18/84/669201884.db2.gz NKQUZXMHWXUMIV-WDEREUQCSA-N 0 2 319.365 0.393 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)OC[C@@H]1COC(=O)O1 ZINC000786768125 669328782 /nfs/dbraw/zinc/32/87/82/669328782.db2.gz VULQBGDFGOXXNR-RQJHMYQMSA-N 0 2 313.228 0.768 20 0 DCADLN CCCn1ncnc1Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881372653 669330954 /nfs/dbraw/zinc/33/09/54/669330954.db2.gz AMWUATDMYHUNDH-UHFFFAOYSA-N 0 2 317.275 0.506 20 0 DCADLN CC(C)OC[C@@H](O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881392692 669333389 /nfs/dbraw/zinc/33/33/89/669333389.db2.gz CEDNRLURTOLUPI-VIFPVBQESA-N 0 2 310.276 0.243 20 0 DCADLN CCOC(=O)C(C(=O)OCC)N(C)S(=O)(=O)C1CCC1 ZINC000882214175 669477530 /nfs/dbraw/zinc/47/75/30/669477530.db2.gz NCFIAFLEHCNNRB-UHFFFAOYSA-N 0 2 307.368 0.295 20 0 DCADLN C[C@@H]1C[C@@H](C)[C@@H](C)N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000789687471 669505024 /nfs/dbraw/zinc/50/50/24/669505024.db2.gz BFNDDOAEOPIGQT-GMTAPVOTSA-N 0 2 303.366 0.964 20 0 DCADLN CN1c2ccc(NC(=O)CC3SC(=N)NC3=O)cc2CC1=O ZINC000790098322 669536985 /nfs/dbraw/zinc/53/69/85/669536985.db2.gz UXLDMXXCMOPNIE-SNVBAGLBSA-N 0 2 318.358 0.700 20 0 DCADLN Cc1nn(CC(=O)NCCc2n[nH]c(=S)o2)c2ncccc12 ZINC000935449015 669724067 /nfs/dbraw/zinc/72/40/67/669724067.db2.gz UJUQMNHTFTYRHO-UHFFFAOYSA-N 0 2 318.362 0.770 20 0 DCADLN O=C([N-]OC1CCOCC1)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000935455019 669725108 /nfs/dbraw/zinc/72/51/08/669725108.db2.gz DILKWUBASJJCGB-KGLIPLIRSA-N 0 2 314.382 0.093 20 0 DCADLN CC(C)c1ncc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000794410853 669833487 /nfs/dbraw/zinc/83/34/87/669833487.db2.gz LEEHNCDBSANIJM-UHFFFAOYSA-N 0 2 313.321 0.623 20 0 DCADLN O=C(c1cc(F)cc(Cl)c1O)N1CC2(C1)NC(=O)NC2=O ZINC000889303586 669835532 /nfs/dbraw/zinc/83/55/32/669835532.db2.gz KUISGIBHYBVRJN-UHFFFAOYSA-N 0 2 313.672 0.219 20 0 DCADLN O=S(=O)(Nc1cccc(F)n1)c1cccc(-n2cnnn2)c1 ZINC000156275011 669840030 /nfs/dbraw/zinc/84/00/30/669840030.db2.gz FWCAYVHCITXMID-UHFFFAOYSA-N 0 2 320.309 0.997 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@H]1CCC[C@@H](O)C1 ZINC000795394156 669886637 /nfs/dbraw/zinc/88/66/37/669886637.db2.gz FFHAEWHMTNBTFV-VHSXEESVSA-N 0 2 306.322 0.166 20 0 DCADLN Cc1n[nH]c(NC(=O)c2ccc(Cl)c(S(N)(=O)=O)c2)n1 ZINC000798324948 669998641 /nfs/dbraw/zinc/99/86/41/669998641.db2.gz KCPSDSJOPRAUIP-UHFFFAOYSA-N 0 2 315.742 0.666 20 0 DCADLN CC(C)(C)n1ncnc1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000800642159 670097118 /nfs/dbraw/zinc/09/71/18/670097118.db2.gz KJIQUJOTXGUCPL-SSDOTTSWSA-N 0 2 310.383 0.206 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)o1 ZINC000951553809 670300658 /nfs/dbraw/zinc/30/06/58/670300658.db2.gz VUKAZVJXYVRIHP-LLVKDONJSA-N 0 2 319.365 0.860 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccncn1 ZINC000951743957 670354147 /nfs/dbraw/zinc/35/41/47/670354147.db2.gz CPZBWYULIGITLY-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ccncn1 ZINC000951743957 670354151 /nfs/dbraw/zinc/35/41/51/670354151.db2.gz CPZBWYULIGITLY-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CCCSC1 ZINC000807849869 670377654 /nfs/dbraw/zinc/37/76/54/670377654.db2.gz DYKKFEFXSPWJQC-VIFPVBQESA-N 0 2 307.379 0.331 20 0 DCADLN CCc1[nH]ccc1C(=O)N(CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950011078 670481289 /nfs/dbraw/zinc/48/12/89/670481289.db2.gz XNIPSCMYILHJAO-UHFFFAOYSA-N 0 2 318.381 0.747 20 0 DCADLN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)C(F)C(F)(F)F ZINC000810461671 670486129 /nfs/dbraw/zinc/48/61/29/670486129.db2.gz CXCADODSHUASMF-BAJZRUMYSA-N 0 2 311.235 0.381 20 0 DCADLN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)[C@@H](F)C(F)(F)F ZINC000810461671 670486131 /nfs/dbraw/zinc/48/61/31/670486131.db2.gz CXCADODSHUASMF-BAJZRUMYSA-N 0 2 311.235 0.381 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NN1CCCc2ccccc21 ZINC000847063865 670515787 /nfs/dbraw/zinc/51/57/87/670515787.db2.gz NJPGAHDZERBXSP-UHFFFAOYSA-N 0 2 324.344 0.555 20 0 DCADLN CCn1ncn(NC(=O)c2cncc(C(F)(F)F)c2)c1=O ZINC000854628179 670600928 /nfs/dbraw/zinc/60/09/28/670600928.db2.gz UWIIFZKSGGOOSN-UHFFFAOYSA-N 0 2 301.228 0.862 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](c3ccncc3)C2)S1 ZINC000848102117 670634611 /nfs/dbraw/zinc/63/46/11/670634611.db2.gz VEGSWSTZHPLNOS-QWRGUYRKSA-N 0 2 304.375 0.954 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)NC/C=C\CO)cc2[nH]c1=S ZINC000855404535 670705366 /nfs/dbraw/zinc/70/53/66/670705366.db2.gz WMEPCMNRIXWTDG-ARJAWSKDSA-N 0 2 319.386 0.983 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cnc(Cl)cn1)[C@H]1CCOC1 ZINC000849338091 670778756 /nfs/dbraw/zinc/77/87/56/670778756.db2.gz WEXYWCRNPWFRKE-IUCAKERBSA-N 0 2 321.786 0.923 20 0 DCADLN Cc1cc2nccc(NC(=O)CC3SC(=N)NC3=O)n2n1 ZINC000856465584 670822951 /nfs/dbraw/zinc/82/29/51/670822951.db2.gz YUYCAIKNZZXSMD-ZETCQYMHSA-N 0 2 304.335 0.533 20 0 DCADLN CCO[C@H]1COCC[C@H]1CC(=O)NCCc1n[nH]c(=S)o1 ZINC000867484042 670955097 /nfs/dbraw/zinc/95/50/97/670955097.db2.gz PXYJCXRBBHQZRN-UWVGGRQHSA-N 0 2 315.395 0.849 20 0 DCADLN CCc1noc(C)c1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000818002967 670961117 /nfs/dbraw/zinc/96/11/17/670961117.db2.gz NGPNYXDCJCHFMM-UHFFFAOYSA-N 0 2 316.321 0.246 20 0 DCADLN O=C([O-])[C@@H]1[C@H](NC(=O)C(F)(F)F)CCC[N@@H+]1CCCF ZINC000852736124 671154364 /nfs/dbraw/zinc/15/43/64/671154364.db2.gz LFAIISGWAWKMAV-SFYZADRCSA-N 0 2 300.252 0.942 20 0 DCADLN O=C([O-])[C@@H]1[C@H](NC(=O)C(F)(F)F)CCC[N@H+]1CCCF ZINC000852736124 671154368 /nfs/dbraw/zinc/15/43/68/671154368.db2.gz LFAIISGWAWKMAV-SFYZADRCSA-N 0 2 300.252 0.942 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)C(F)(F)F ZINC000852757588 671157990 /nfs/dbraw/zinc/15/79/90/671157990.db2.gz WVNMWWPUINYZON-RQJHMYQMSA-N 0 2 307.276 0.399 20 0 DCADLN CC(=O)N[C@@H](CCC(C)C)C(=O)NCCc1n[nH]c(=S)o1 ZINC000832362606 671716171 /nfs/dbraw/zinc/71/61/71/671716171.db2.gz CPKJDXOFCINADF-JTQLQIEISA-N 0 2 314.411 0.958 20 0 DCADLN COC(=O)[C@@H](F)CNS(=O)(=O)c1c(F)cc(F)cc1F ZINC000841549513 671942591 /nfs/dbraw/zinc/94/25/91/671942591.db2.gz XQWQGIBDUCGQEL-QMMMGPOBSA-N 0 2 315.244 0.893 20 0 DCADLN Cn1ncc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1Cl ZINC000843878573 672127594 /nfs/dbraw/zinc/12/75/94/672127594.db2.gz OAAYZGIVRRWVMA-ZETCQYMHSA-N 0 2 310.745 0.917 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2[C@H]2CCCOC2)S1 ZINC000843924482 672129940 /nfs/dbraw/zinc/12/99/40/672129940.db2.gz QDBPXVBSLOMZFC-AXFHLTTASA-N 0 2 311.407 0.960 20 0 DCADLN CC[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@H](CC)CO1 ZINC000844786812 672202940 /nfs/dbraw/zinc/20/29/40/672202940.db2.gz DYAMXICCXVIAJW-GHMZBOCLSA-N 0 2 319.365 0.487 20 0 DCADLN Cn1cc(S(=O)(=O)[N-]C(=O)Cc2cn3c([nH+]2)CCCC3)cn1 ZINC000845439302 672248483 /nfs/dbraw/zinc/24/84/83/672248483.db2.gz WHMHEJSXXZYLBZ-UHFFFAOYSA-N 0 2 323.378 0.001 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)Cc2cn3c([nH+]2)CCCC3)CCCOC1 ZINC000846119926 672294461 /nfs/dbraw/zinc/29/44/61/672294461.db2.gz MGJFQJRLYVWKAT-INIZCTEOSA-N 0 2 321.377 0.902 20 0 DCADLN Cn1ccc(C(=O)NC[C@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001024799698 693832840 /nfs/dbraw/zinc/83/28/40/693832840.db2.gz OIXVJEADBWUJJL-SNVBAGLBSA-N 0 2 319.369 0.028 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]n1)[C@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC000953559221 685736028 /nfs/dbraw/zinc/73/60/28/685736028.db2.gz MEXYUOKZLBIJFV-BXKDBHETSA-N 0 2 317.353 0.341 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1)C1CCC1 ZINC001038004223 693982822 /nfs/dbraw/zinc/98/28/22/693982822.db2.gz GVFUJOSUZOEZID-CYBMUJFWSA-N 0 2 315.377 0.513 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)cn1 ZINC001038182072 694006096 /nfs/dbraw/zinc/00/60/96/694006096.db2.gz DKGXXRABWZSDRS-VIFPVBQESA-N 0 2 306.301 0.049 20 0 DCADLN Cc1nc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)sc1C ZINC000957697152 687164727 /nfs/dbraw/zinc/16/47/27/687164727.db2.gz DVAFGLMINPBTJE-UHFFFAOYSA-N 0 2 322.394 0.542 20 0 DCADLN O=C(c1ccc(F)s1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957883945 687237681 /nfs/dbraw/zinc/23/76/81/687237681.db2.gz USTHJMUHZAONFD-UHFFFAOYSA-N 0 2 311.342 0.669 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)o1 ZINC000958160172 687393544 /nfs/dbraw/zinc/39/35/44/687393544.db2.gz JHVXAPKRYHNXFM-GDGBQDQQSA-N 0 2 303.322 0.272 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)o1 ZINC000958160172 687393548 /nfs/dbraw/zinc/39/35/48/687393548.db2.gz JHVXAPKRYHNXFM-GDGBQDQQSA-N 0 2 303.322 0.272 20 0 DCADLN CN(C(=O)C1CC1)[C@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000958380871 687603725 /nfs/dbraw/zinc/60/37/25/687603725.db2.gz ONQKTPMCDDPQBG-CIUDSAMLSA-N 0 2 312.263 0.327 20 0 DCADLN CN(C(=O)C1CC1)[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC000958380871 687603728 /nfs/dbraw/zinc/60/37/28/687603728.db2.gz ONQKTPMCDDPQBG-CIUDSAMLSA-N 0 2 312.263 0.327 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1C[C@H]1C1CCC1 ZINC001038799630 694194517 /nfs/dbraw/zinc/19/45/17/694194517.db2.gz XCOHDOLBQPGOGB-SDDRHHMPSA-N 0 2 305.382 0.637 20 0 DCADLN CCc1nc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)o1 ZINC001038804949 694196937 /nfs/dbraw/zinc/19/69/37/694196937.db2.gz UCNWYQUGGXCAEI-VIFPVBQESA-N 0 2 320.353 0.373 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ncccc1F ZINC001038843519 694213888 /nfs/dbraw/zinc/21/38/88/694213888.db2.gz LIDAGNLVXMXCNJ-MRVPVSSYSA-N 0 2 306.301 0.049 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC12CCCC2 ZINC001038883997 694229813 /nfs/dbraw/zinc/22/98/13/694229813.db2.gz FNRATGZWNPNDGC-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(Cl)cn1 ZINC001038942005 694249627 /nfs/dbraw/zinc/24/96/27/694249627.db2.gz GWMCJZAHWBQQQR-VIFPVBQESA-N 0 2 322.756 0.563 20 0 DCADLN CCc1noc(C)c1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001039005371 694268313 /nfs/dbraw/zinc/26/83/13/694268313.db2.gz FMOBNRPKOGOCBN-SECBINFHSA-N 0 2 320.353 0.373 20 0 DCADLN Cc1nc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)sc1C ZINC001039037761 694282005 /nfs/dbraw/zinc/28/20/05/694282005.db2.gz SYIJDHINOYCRAL-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN CC1(C)CN(C(=O)c2ccn[nH]2)CC[C@H]1NC(=O)c1cn[nH]n1 ZINC000967070941 689924440 /nfs/dbraw/zinc/92/44/40/689924440.db2.gz SRNDXGYOYVEZNF-LLVKDONJSA-N 0 2 317.353 0.199 20 0 DCADLN CC1(C)CN(C(=O)c2cc[nH]n2)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000967070941 689924442 /nfs/dbraw/zinc/92/44/42/689924442.db2.gz SRNDXGYOYVEZNF-LLVKDONJSA-N 0 2 317.353 0.199 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cc(F)c[nH]1 ZINC000967407867 690002539 /nfs/dbraw/zinc/00/25/39/690002539.db2.gz HPOZDZTUDGVLGE-KCJUWKMLSA-N 0 2 322.344 0.618 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000968501470 690295406 /nfs/dbraw/zinc/29/54/06/690295406.db2.gz VRAHTPKNSCCJAD-WPRPVWTQSA-N 0 2 320.353 0.447 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccn[nH]1 ZINC000968761097 690386390 /nfs/dbraw/zinc/38/63/90/690386390.db2.gz JQOYCMFIUZMHSZ-JTQLQIEISA-N 0 2 319.369 0.408 20 0 DCADLN O=C(NC[C@@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccn[nH]1 ZINC000968761097 690386392 /nfs/dbraw/zinc/38/63/92/690386392.db2.gz JQOYCMFIUZMHSZ-JTQLQIEISA-N 0 2 319.369 0.408 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C[C@H]1CCOC1 ZINC000972980395 690500107 /nfs/dbraw/zinc/50/01/07/690500107.db2.gz OYPWLXOUIOOKBS-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C[C@H]1CCOC1 ZINC000972980395 690500109 /nfs/dbraw/zinc/50/01/09/690500109.db2.gz OYPWLXOUIOOKBS-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN CCn1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001006952502 690610150 /nfs/dbraw/zinc/61/01/50/690610150.db2.gz SWNODGSRPGMSNR-LLVKDONJSA-N 0 2 319.369 0.121 20 0 DCADLN O=C(NC1CCCN(CCF)C1)C1COCCN1CC(F)F ZINC001008054628 690792828 /nfs/dbraw/zinc/79/28/28/690792828.db2.gz ADWXHGBNGOXREH-RYUDHWBXSA-N 0 2 323.359 0.502 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001008591868 690894574 /nfs/dbraw/zinc/89/45/74/690894574.db2.gz QVEIOROVYQZDFN-GMTAPVOTSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@]1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCCOC1 ZINC001008993216 690969906 /nfs/dbraw/zinc/96/99/06/690969906.db2.gz KHUSNNLEOYUQDX-NHYWBVRUSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1cccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001010225125 691192431 /nfs/dbraw/zinc/19/24/31/691192431.db2.gz IQRNJMBETHANPR-SNVBAGLBSA-N 0 2 302.338 0.218 20 0 DCADLN Cc1cc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)[nH]n1 ZINC001010532999 691303766 /nfs/dbraw/zinc/30/37/66/691303766.db2.gz QBQWYWRGIUGKMA-SECBINFHSA-N 0 2 322.262 0.903 20 0 DCADLN Cc1cc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)n[nH]1 ZINC001010532999 691303769 /nfs/dbraw/zinc/30/37/69/691303769.db2.gz QBQWYWRGIUGKMA-SECBINFHSA-N 0 2 322.262 0.903 20 0 DCADLN O=C(C1=CCOCC1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011038807 691446680 /nfs/dbraw/zinc/44/66/80/691446680.db2.gz OFICBSNPQFXJJL-SNVBAGLBSA-N 0 2 324.274 0.904 20 0 DCADLN O=C(C1=CCOCC1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011038807 691446683 /nfs/dbraw/zinc/44/66/83/691446683.db2.gz OFICBSNPQFXJJL-SNVBAGLBSA-N 0 2 324.274 0.904 20 0 DCADLN O=C(c1ncc[nH]1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011100406 691466612 /nfs/dbraw/zinc/46/66/12/691466612.db2.gz MBWFNHHYXKQUFV-SSDOTTSWSA-N 0 2 308.235 0.595 20 0 DCADLN O=C(c1ncc[nH]1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011100406 691466615 /nfs/dbraw/zinc/46/66/15/691466615.db2.gz MBWFNHHYXKQUFV-SSDOTTSWSA-N 0 2 308.235 0.595 20 0 DCADLN Cc1c[nH]c(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001011191824 691484973 /nfs/dbraw/zinc/48/49/73/691484973.db2.gz DARMMKBYPKNANQ-MRVPVSSYSA-N 0 2 322.262 0.903 20 0 DCADLN Cc1c[nH]c(C(=O)N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001011191824 691484974 /nfs/dbraw/zinc/48/49/74/691484974.db2.gz DARMMKBYPKNANQ-MRVPVSSYSA-N 0 2 322.262 0.903 20 0 DCADLN CCCC(=O)N1CC[C@@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040069521 694475549 /nfs/dbraw/zinc/47/55/49/694475549.db2.gz LQKHOEUJEJWWRJ-MWLCHTKSSA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)N1CC[C@@](O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001040069521 694475554 /nfs/dbraw/zinc/47/55/54/694475554.db2.gz LQKHOEUJEJWWRJ-MWLCHTKSSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N1CC[C@@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040070113 694476530 /nfs/dbraw/zinc/47/65/30/694476530.db2.gz PYNOPPFRUUZEPP-WCBMZHEXSA-N 0 2 300.252 0.376 20 0 DCADLN CCC(=O)N1CC[C@@](O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001040070113 694476533 /nfs/dbraw/zinc/47/65/33/694476533.db2.gz PYNOPPFRUUZEPP-WCBMZHEXSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1C=CC=CC=C1 ZINC001014594425 692050448 /nfs/dbraw/zinc/05/04/48/692050448.db2.gz MJPNWZYZFLVFHZ-GFCCVEGCSA-N 0 2 301.350 0.499 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H](NC(=O)c3cn[nH]n3)[C@H]2C)n[nH]1 ZINC001015053760 692171695 /nfs/dbraw/zinc/17/16/95/692171695.db2.gz QHSVJZWQQAKOCM-NXEZZACHSA-N 0 2 317.353 0.259 20 0 DCADLN Cc1cnc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)c1 ZINC001015350196 692270152 /nfs/dbraw/zinc/27/01/52/692270152.db2.gz FRPRQLOGRHWARX-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN CCc1ccoc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015660603 692385100 /nfs/dbraw/zinc/38/51/00/692385100.db2.gz FRQKIGPNNZVSLW-SNVBAGLBSA-N 0 2 305.338 0.670 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015805470 692450703 /nfs/dbraw/zinc/45/07/03/692450703.db2.gz HUALJJAYZWBDRL-GHMZBOCLSA-N 0 2 319.365 0.906 20 0 DCADLN Cc1ccc([C@@H](C)C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015805472 692450779 /nfs/dbraw/zinc/45/07/79/692450779.db2.gz HUALJJAYZWBDRL-MNOVXSKESA-N 0 2 319.365 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC=CCC1 ZINC001016282565 692634066 /nfs/dbraw/zinc/63/40/66/692634066.db2.gz ARJOQQFCULRZHH-GFCCVEGCSA-N 0 2 305.382 0.947 20 0 DCADLN CCC(=O)NCC1(O)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001074613107 694912279 /nfs/dbraw/zinc/91/22/79/694912279.db2.gz HGFPNRLOTBUVQP-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)NCC1(O)CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001074613107 694912280 /nfs/dbraw/zinc/91/22/80/694912280.db2.gz HGFPNRLOTBUVQP-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN O=C([C@@H]1CC=CCC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075539618 694957792 /nfs/dbraw/zinc/95/77/92/694957792.db2.gz PRNNGJMLCXWHQT-FRRDWIJNSA-N 0 2 317.393 0.899 20 0 DCADLN O=C([C@@H]1CC=CCC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075539618 694957793 /nfs/dbraw/zinc/95/77/93/694957793.db2.gz PRNNGJMLCXWHQT-FRRDWIJNSA-N 0 2 317.393 0.899 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2CCOCC(F)F)c1[O-] ZINC001754476798 1157735549 /nfs/dbraw/zinc/73/55/49/1157735549.db2.gz BQPIJWRLVYLEOI-VIFPVBQESA-N 0 2 318.324 0.510 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@H+]2CCOCC(F)F)c1[O-] ZINC001754476798 1157735551 /nfs/dbraw/zinc/73/55/51/1157735551.db2.gz BQPIJWRLVYLEOI-VIFPVBQESA-N 0 2 318.324 0.510 20 0 DCADLN CCC[C@H](CNS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC001771745458 1158272941 /nfs/dbraw/zinc/27/29/41/1158272941.db2.gz SKKAZGRSDHIJHI-GFCCVEGCSA-N 0 2 322.427 0.129 20 0 DCADLN C[C@H](CCNC(=O)c1cn(C)cn1)NC(=O)C(F)C(F)(F)F ZINC001397757079 1159223748 /nfs/dbraw/zinc/22/37/48/1159223748.db2.gz CXGLYRWWNGDRRI-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CCNC(=O)c1cn(C)cn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001397757079 1159223751 /nfs/dbraw/zinc/22/37/51/1159223751.db2.gz CXGLYRWWNGDRRI-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@@]1(NC(=O)CC[C@H]2CCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446520626 1159448247 /nfs/dbraw/zinc/44/82/47/1159448247.db2.gz YAMBWWPDFQLFRC-IAQYHMDHSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@@]1(NC(=O)CC[C@H]2CCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446520626 1159448249 /nfs/dbraw/zinc/44/82/49/1159448249.db2.gz YAMBWWPDFQLFRC-IAQYHMDHSA-N 0 2 323.397 0.550 20 0 DCADLN CCC(C)(C)C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001447386603 1159628824 /nfs/dbraw/zinc/62/88/24/1159628824.db2.gz KDUPYQPMPBUORK-JTQLQIEISA-N 0 2 323.397 0.406 20 0 DCADLN CN(CC[NH2+]Cc1ccc(F)cn1)C(=O)CCc1cnn[nH]1 ZINC001567563028 1160422193 /nfs/dbraw/zinc/42/21/93/1160422193.db2.gz WOAUCFXVPDMVMT-UHFFFAOYSA-N 0 2 306.345 0.520 20 0 DCADLN CC(C)C[C@H](C(=O)NCc1nc(C(=O)[O-])n[nH]1)n1cc[nH+]c1 ZINC001573492995 1163449947 /nfs/dbraw/zinc/44/99/47/1163449947.db2.gz VPRZYDMGXIEPBR-SECBINFHSA-N 0 2 306.326 0.603 20 0 DCADLN Cc1ccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c(=O)[nH]1 ZINC001575310637 1163970198 /nfs/dbraw/zinc/97/01/98/1163970198.db2.gz FETGRMVUYQUEAI-MRVPVSSYSA-N 0 2 323.246 0.842 20 0 DCADLN Cc1ccc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c(=O)[nH]1 ZINC001575310637 1163970202 /nfs/dbraw/zinc/97/02/02/1163970202.db2.gz FETGRMVUYQUEAI-MRVPVSSYSA-N 0 2 323.246 0.842 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)n1C)c1nn(C)cc1O ZINC001575326071 1163976177 /nfs/dbraw/zinc/97/61/77/1163976177.db2.gz HWPWXAXSADZYEQ-SSDOTTSWSA-N 0 2 316.325 0.135 20 0 DCADLN C[C@@H]([NH2+]Cc1c[nH]nn1)[C@H]1CCN(C(=O)c2cscn2)C1 ZINC001575703124 1164112308 /nfs/dbraw/zinc/11/23/08/1164112308.db2.gz QVUHPFKXNLWQSI-ZJUUUORDSA-N 0 2 306.395 0.902 20 0 DCADLN C[C@H]([NH2+]Cc1c[nH]nn1)[C@@H]1CCN(C(=O)c2cscn2)C1 ZINC001575703122 1164113306 /nfs/dbraw/zinc/11/33/06/1164113306.db2.gz QVUHPFKXNLWQSI-VHSXEESVSA-N 0 2 306.395 0.902 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)c1nccs1 ZINC001576032295 1164218250 /nfs/dbraw/zinc/21/82/50/1164218250.db2.gz QSBQEOMUXGZIAV-UHFFFAOYSA-N 0 2 307.379 0.653 20 0 DCADLN CC[C@@](C)(CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)OC ZINC001576556546 1164388354 /nfs/dbraw/zinc/38/83/54/1164388354.db2.gz LUSYFNPHMYFOPG-AWEZNQCLSA-N 0 2 320.353 0.821 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnn1C ZINC001577106502 1164603013 /nfs/dbraw/zinc/60/30/13/1164603013.db2.gz PTBNBCQUMRMSOK-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccnn1C ZINC001577106502 1164603021 /nfs/dbraw/zinc/60/30/21/1164603021.db2.gz PTBNBCQUMRMSOK-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2n[nH]cc2F)CC[N@@H+]1CCc1cn[nH]n1 ZINC001577186324 1164627309 /nfs/dbraw/zinc/62/73/09/1164627309.db2.gz KMVLHPYBFJORQO-KCJUWKMLSA-N 0 2 307.333 0.102 20 0 DCADLN CCOC(=O)N(C)CCCC(=O)Oc1cnn2c1CNCC2 ZINC001577966920 1164866644 /nfs/dbraw/zinc/86/66/44/1164866644.db2.gz AQAVGBSFKNEOOP-UHFFFAOYSA-N 0 2 310.354 0.760 20 0 DCADLN O=C(NOCc1c[nH]nn1)[C@@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001578014421 1164894327 /nfs/dbraw/zinc/89/43/27/1164894327.db2.gz CMROOOPAHYEXHH-SECBINFHSA-N 0 2 319.296 0.545 20 0 DCADLN CCc1nnc(NCc2n[nH]c(C)n2)c(-c2nn[nH]n2)c1CC ZINC001578705251 1165087393 /nfs/dbraw/zinc/08/73/93/1165087393.db2.gz WNRHLIBVDROVNW-UHFFFAOYSA-N 0 2 314.357 0.820 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CSC1(C)C ZINC001580603384 1165652953 /nfs/dbraw/zinc/65/29/53/1165652953.db2.gz LOQOUWKHPMLGNN-QMMMGPOBSA-N 0 2 320.378 0.900 20 0 DCADLN O=C(NC[C@@H]1CSCC[N@H+]1Cc1ccccc1)C1(O)C[NH2+]C1 ZINC001580670113 1165681448 /nfs/dbraw/zinc/68/14/48/1165681448.db2.gz DDHWDHOGQWKBGN-CQSZACIVSA-N 0 2 321.446 0.055 20 0 DCADLN Cc1ccc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)cc1 ZINC001581200456 1165796460 /nfs/dbraw/zinc/79/64/60/1165796460.db2.gz NZKRNJXSNRZDLU-UHFFFAOYSA-N 0 2 313.321 0.587 20 0 DCADLN Cn1c(=O)[nH]c(Oc2cc(F)cc(F)c2)c(-c2nn[nH]n2)c1=O ZINC001581747632 1165864383 /nfs/dbraw/zinc/86/43/83/1165864383.db2.gz SCABWOPCCMUUNS-UHFFFAOYSA-N 0 2 322.231 0.324 20 0 DCADLN O=C(Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2)c1ccc[nH]1 ZINC001582185858 1165979397 /nfs/dbraw/zinc/97/93/97/1165979397.db2.gz WKICYKQNXGGXIK-UHFFFAOYSA-N 0 2 310.317 0.728 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cscc1-c1nn[nH]n1 ZINC001582560613 1166046557 /nfs/dbraw/zinc/04/65/57/1166046557.db2.gz FTOIEMOUSZJRIH-UHFFFAOYSA-N 0 2 319.306 0.059 20 0 DCADLN O=C([C@@H]1C[C@H]1c1cccc(-c2nn[nH]n2)c1)N1CCC[C@@H]1CO ZINC001582659924 1166063078 /nfs/dbraw/zinc/06/30/78/1166063078.db2.gz LAUWPGDOYPVHMI-HZSPNIEDSA-N 0 2 313.361 0.954 20 0 DCADLN C[C@](O)(C[C@H](O)CNCc1nc(-c2nn[nH]n2)cs1)C1CC1 ZINC001589992050 1166737625 /nfs/dbraw/zinc/73/76/25/1166737625.db2.gz YYVOTGRGVMWFDZ-ZANVPECISA-N 0 2 324.410 0.325 20 0 DCADLN C[C@@H]1CN(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)CCO1 ZINC001590311987 1166857508 /nfs/dbraw/zinc/85/75/08/1166857508.db2.gz ZFOSWQOFKGBHQY-SNVBAGLBSA-N 0 2 316.365 0.195 20 0 DCADLN CC[C@]1(C)CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCO1 ZINC001590490595 1166922311 /nfs/dbraw/zinc/92/23/11/1166922311.db2.gz QGRAMWGTGDLLNK-CQSZACIVSA-N 0 2 304.354 0.487 20 0 DCADLN CCOC1(C)CCN(Cn2cccc(-c3nn[nH]n3)c2=O)CC1 ZINC001590784098 1167017635 /nfs/dbraw/zinc/01/76/35/1167017635.db2.gz KOMHDMHJVCXIJY-UHFFFAOYSA-N 0 2 318.381 0.877 20 0 DCADLN CCc1nc(N[C@@H](C)c2n[nH]c(C)n2)cc(-c2nn[nH]n2)n1 ZINC001590916378 1167049108 /nfs/dbraw/zinc/04/91/08/1167049108.db2.gz GYQWCZWWPSMQEA-LURJTMIESA-N 0 2 300.330 0.819 20 0 DCADLN CN(CC1CCCCC1)c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001591056202 1167097347 /nfs/dbraw/zinc/09/73/47/1167097347.db2.gz KZJCDKPSTYOPFU-UHFFFAOYSA-N 0 2 319.369 0.270 20 0 DCADLN COCC(C)(C)N(C)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001591369374 1167241579 /nfs/dbraw/zinc/24/15/79/1167241579.db2.gz NGOUCMQXBOQTBX-UHFFFAOYSA-N 0 2 320.353 0.773 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC001591835411 1167390151 /nfs/dbraw/zinc/39/01/51/1167390151.db2.gz WNYAXYAAMDVVHY-RKDXNWHRSA-N 0 2 300.322 0.758 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCC[C@@H](C)O ZINC001591836084 1167390643 /nfs/dbraw/zinc/39/06/43/1167390643.db2.gz WUFKVXOUDPNULD-MRVPVSSYSA-N 0 2 306.326 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H](C)CCO ZINC001591835837 1167390764 /nfs/dbraw/zinc/39/07/64/1167390764.db2.gz OFDHZJXOMOPXHI-SSDOTTSWSA-N 0 2 306.326 0.024 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCCC(=O)[C@@H]1C ZINC001591835417 1167391307 /nfs/dbraw/zinc/39/13/07/1167391307.db2.gz WWNKRFNADKNHGJ-QMMMGPOBSA-N 0 2 316.321 0.469 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](CCO)C1CC1 ZINC001591834944 1167391562 /nfs/dbraw/zinc/39/15/62/1167391562.db2.gz ZFCKHEVIOJTISI-JTQLQIEISA-N 0 2 318.337 0.167 20 0 DCADLN Cc1ccn(CN(C)Cc2cccn2C)c(=O)c1-c1nn[nH]n1 ZINC001592266950 1167479847 /nfs/dbraw/zinc/47/98/47/1167479847.db2.gz KIJBMBGTJOXHTB-UHFFFAOYSA-N 0 2 313.365 0.765 20 0 DCADLN Cc1nn(C[C@@H]2CC[C@H](C3CC3)O2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436665 1167522613 /nfs/dbraw/zinc/52/26/13/1167522613.db2.gz YERZXULFDAYNER-NWDGAFQWSA-N 0 2 316.365 0.998 20 0 DCADLN Cc1nn(CCc2cncs2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437323 1167523589 /nfs/dbraw/zinc/52/35/89/1167523589.db2.gz SCPFZDJSRBFMDY-UHFFFAOYSA-N 0 2 303.351 0.739 20 0 DCADLN Cc1nnsc1Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001592454233 1167530414 /nfs/dbraw/zinc/53/04/14/1167530414.db2.gz MNNAWDUFBVOEGI-UHFFFAOYSA-N 0 2 315.362 0.725 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC2(C1)CCNC(=O)O2 ZINC001592496645 1167539108 /nfs/dbraw/zinc/53/91/08/1167539108.db2.gz IMBCOXADBDXMDQ-UHFFFAOYSA-N 0 2 318.293 0.093 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC(=O)NCC1(C)C ZINC001592497455 1167539358 /nfs/dbraw/zinc/53/93/58/1167539358.db2.gz ZNXFARSODGNMLS-UHFFFAOYSA-N 0 2 304.310 0.119 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCC[C@@]12CCOC2=O ZINC001592498896 1167539578 /nfs/dbraw/zinc/53/95/78/1167539578.db2.gz DFGOLPZTMGRNFP-AWEZNQCLSA-N 0 2 317.305 0.690 20 0 DCADLN Cn1nccc1CCn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001592607829 1167582065 /nfs/dbraw/zinc/58/20/65/1167582065.db2.gz RNERJHQMASCFDG-UHFFFAOYSA-N 0 2 311.349 0.493 20 0 DCADLN O=C(CCc1cnn[nH]1)NCc1ccc(-c2nn[nH]n2)s1 ZINC001592849167 1167649111 /nfs/dbraw/zinc/64/91/11/1167649111.db2.gz HKKPZIXNJVKUNP-UHFFFAOYSA-N 0 2 304.339 0.295 20 0 DCADLN C[C@@](Cn1cccn1)(NC(=O)c1cccc2[nH+]ccn21)C(=O)[O-] ZINC001592924602 1167664722 /nfs/dbraw/zinc/66/47/22/1167664722.db2.gz JGRDDQRTHMRVLG-HNNXBMFYSA-N 0 2 313.317 0.804 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@@H]1C[C@H]1c1ccccc1 ZINC001593489438 1167870323 /nfs/dbraw/zinc/87/03/23/1167870323.db2.gz MYCRYBAVEJBUNO-QWRGUYRKSA-N 0 2 310.317 0.933 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001593489190 1167872814 /nfs/dbraw/zinc/87/28/14/1167872814.db2.gz CZEWLMIYNPDDHE-WEDXCCLWSA-N 0 2 304.310 0.087 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@H](O)CCc1ccccc1 ZINC001593494080 1167877015 /nfs/dbraw/zinc/87/70/15/1167877015.db2.gz OAJWQRBILLRTNV-GFCCVEGCSA-N 0 2 312.333 0.417 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1nncn1-c1ccccc1 ZINC001593495087 1167878445 /nfs/dbraw/zinc/87/84/45/1167878445.db2.gz UHJSUTGPWACSBH-UHFFFAOYSA-N 0 2 321.304 0.052 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@H]2[N@@H+]1Cc1nc(N(C)C)no1 ZINC001594341225 1167965741 /nfs/dbraw/zinc/96/57/41/1167965741.db2.gz SOXXTLUXNKCRJV-CHWFTXMASA-N 0 2 310.354 0.731 20 0 DCADLN C[C@@H]1CC[C@@H]2O[C@@H](C(=O)[O-])C[C@H]2[N@H+]1Cc1nc(N(C)C)no1 ZINC001594341225 1167965748 /nfs/dbraw/zinc/96/57/48/1167965748.db2.gz SOXXTLUXNKCRJV-CHWFTXMASA-N 0 2 310.354 0.731 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)NN2CC(=O)N(C)C2=O)o1 ZINC001596444047 1167993106 /nfs/dbraw/zinc/99/31/06/1167993106.db2.gz BOAODKQEYYGORH-XQRVVYSFSA-N 0 2 307.262 0.005 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[NH2+]Cc2nnc3c(=O)[nH]ccn23)n1C ZINC001599994135 1168081970 /nfs/dbraw/zinc/08/19/70/1168081970.db2.gz PHRFWMBWLMBPKH-UHFFFAOYSA-N 0 2 316.321 0.053 20 0 DCADLN Cc1cc(C(=O)C[NH+]2CCC([C@H](O)C(=O)[O-])CC2)c(C)n1C ZINC001600076459 1168111944 /nfs/dbraw/zinc/11/19/44/1168111944.db2.gz AYRNGCGEEIAFQZ-HNNXBMFYSA-N 0 2 308.378 0.982 20 0 DCADLN Cc1cc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@@H]2C(=O)[O-])no1 ZINC001600196096 1168135930 /nfs/dbraw/zinc/13/59/30/1168135930.db2.gz KCECEMMGBLBWAG-JZRPKSSGSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1cc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@@H]2C(=O)[O-])no1 ZINC001600196096 1168135933 /nfs/dbraw/zinc/13/59/33/1168135933.db2.gz KCECEMMGBLBWAG-JZRPKSSGSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1cc(CNC(=O)NCCC(=O)NCCC(=O)[O-])cc(C)[nH+]1 ZINC001600199713 1168137300 /nfs/dbraw/zinc/13/73/00/1168137300.db2.gz BXJJDVUNDOYCJS-UHFFFAOYSA-N 0 2 322.365 0.479 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC001600352264 1168171915 /nfs/dbraw/zinc/17/19/15/1168171915.db2.gz XJSFCXOAFDQUDM-UHFFFAOYSA-N 0 2 316.317 0.645 20 0 DCADLN Cc1ccc([C@H]([NH2+]CC(=O)N2CCNC2=O)C(=O)[O-])cc1C ZINC001600381579 1168176803 /nfs/dbraw/zinc/17/68/03/1168176803.db2.gz LECKCZPHPMEGGO-ZDUSSCGKSA-N 0 2 305.334 0.571 20 0 DCADLN Cc1ccccc1OCC[NH+]1CC(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])C1 ZINC001600586420 1168203818 /nfs/dbraw/zinc/20/38/18/1168203818.db2.gz XPMMKEZGMMDXFB-ZIAGYGMSSA-N 0 2 318.373 0.895 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001600639980 1168225662 /nfs/dbraw/zinc/22/56/62/1168225662.db2.gz SKQIJCMHGBXDMB-NSHDSACASA-N 0 2 318.333 0.725 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)C[C@H](C)CC(=O)[O-])C2 ZINC001600724186 1168263149 /nfs/dbraw/zinc/26/31/49/1168263149.db2.gz IVBHOWDBLDPQAK-JQWIXIFHSA-N 0 2 323.393 0.658 20 0 DCADLN Cc1nn2cccnc2c1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600791107 1168297464 /nfs/dbraw/zinc/29/74/64/1168297464.db2.gz XSPOIPVXQQPKID-JTQLQIEISA-N 0 2 314.305 0.187 20 0 DCADLN Cc1nn2cccnc2c1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600791107 1168297471 /nfs/dbraw/zinc/29/74/71/1168297471.db2.gz XSPOIPVXQQPKID-JTQLQIEISA-N 0 2 314.305 0.187 20 0 DCADLN Cc1oncc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001600864027 1168492001 /nfs/dbraw/zinc/49/20/01/1168492001.db2.gz XAIDZSAMLRKBTI-RQJABVFESA-N 0 2 319.361 0.879 20 0 DCADLN Cc1oncc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001600864027 1168492009 /nfs/dbraw/zinc/49/20/09/1168492009.db2.gz XAIDZSAMLRKBTI-RQJABVFESA-N 0 2 319.361 0.879 20 0 DCADLN Cn1ncc(Br)c1C[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001601019776 1168545741 /nfs/dbraw/zinc/54/57/41/1168545741.db2.gz QDQAZNPKKHABQP-SNVBAGLBSA-N 0 2 304.144 0.204 20 0 DCADLN Cn1ncc(Br)c1C[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001601019776 1168545746 /nfs/dbraw/zinc/54/57/46/1168545746.db2.gz QDQAZNPKKHABQP-SNVBAGLBSA-N 0 2 304.144 0.204 20 0 DCADLN Cn1ccnc1C[N@H+]1CC=C(CNC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001600985499 1168545772 /nfs/dbraw/zinc/54/57/72/1168545772.db2.gz PYKXQTGQOZHQKV-QWHCGFSZSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1ccnc1C[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001600985499 1168545779 /nfs/dbraw/zinc/54/57/79/1168545779.db2.gz PYKXQTGQOZHQKV-QWHCGFSZSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC001601000952 1168556643 /nfs/dbraw/zinc/55/66/43/1168556643.db2.gz WTFBZUFMADVEOE-LLVKDONJSA-N 0 2 322.365 0.055 20 0 DCADLN Cn1ncnc1C[NH2+][C@H]1CCc2cc(C(=O)[O-])ccc2NC1=O ZINC001601038939 1168574490 /nfs/dbraw/zinc/57/44/90/1168574490.db2.gz UMAOPXAFZCCEMJ-LBPRGKRZSA-N 0 2 315.333 0.556 20 0 DCADLN O=C([O-])[C@@H]1OCC[C@@H]1NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC001601243067 1168674062 /nfs/dbraw/zinc/67/40/62/1168674062.db2.gz BWJDISCRRIUPRA-OYNCUSHFSA-N 0 2 321.255 0.735 20 0 DCADLN O=C([O-])C1(O)CN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)C1 ZINC001601245966 1168674607 /nfs/dbraw/zinc/67/46/07/1168674607.db2.gz YLOLKUGSAGBHBB-SSDOTTSWSA-N 0 2 307.228 0.035 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](CC(=O)OCc2ccccc2)CC1 ZINC001601304959 1168697303 /nfs/dbraw/zinc/69/73/03/1168697303.db2.gz IQISPHZRQQPLTL-OAHLLOKOSA-N 0 2 307.346 0.887 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC001601565475 1168782561 /nfs/dbraw/zinc/78/25/61/1168782561.db2.gz BMBFGSOOJZRYAO-NSHDSACASA-N 0 2 315.289 0.819 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC001601565475 1168782565 /nfs/dbraw/zinc/78/25/65/1168782565.db2.gz BMBFGSOOJZRYAO-NSHDSACASA-N 0 2 315.289 0.819 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCc2cccc(O)c21 ZINC001601566053 1168783812 /nfs/dbraw/zinc/78/38/12/1168783812.db2.gz SBVHPLVWNQPHPY-NEPJUHHUSA-N 0 2 315.329 0.957 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCc2cccc(O)c21 ZINC001601566053 1168783817 /nfs/dbraw/zinc/78/38/17/1168783817.db2.gz SBVHPLVWNQPHPY-NEPJUHHUSA-N 0 2 315.329 0.957 20 0 DCADLN O=C([O-])[C@@H](O)C1CCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC001601683810 1168814961 /nfs/dbraw/zinc/81/49/61/1168814961.db2.gz RPTFTFPCDMJKAX-YPMHNXCESA-N 0 2 307.350 0.130 20 0 DCADLN O=C([O-])C1(NS(=O)(=O)CCn2cc[nH+]c2)CCSCC1 ZINC001601722113 1168831311 /nfs/dbraw/zinc/83/13/11/1168831311.db2.gz VHQPGEULBZBCJY-UHFFFAOYSA-N 0 2 319.408 0.153 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)[C@H]3CCc4c[nH+]cn4C3)C2)C1 ZINC001601738656 1168842458 /nfs/dbraw/zinc/84/24/58/1168842458.db2.gz GURXMXLAFGKRJA-ZUZCIYMTSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])C1CC(OC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC001601772102 1168870752 /nfs/dbraw/zinc/87/07/52/1168870752.db2.gz GOUPFZLAJMUGAI-KPFVRQRISA-N 0 2 313.350 0.273 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2cncc(F)c2)C1 ZINC001601778266 1168882150 /nfs/dbraw/zinc/88/21/50/1168882150.db2.gz GJKPAAOWQFAJGJ-STQMWFEESA-N 0 2 307.325 0.489 20 0 DCADLN O=C([O-])[C@@H]1Cc2ccc(O)cc2CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001601864197 1168920483 /nfs/dbraw/zinc/92/04/83/1168920483.db2.gz FUEKXWZIGLWMLO-ZDUSSCGKSA-N 0 2 301.302 0.696 20 0 DCADLN O=C([O-])C[C@@H]1COCC[N@@H+]1[C@H]1CCC2(C[C@@H]1F)OCCO2 ZINC001601965477 1168950515 /nfs/dbraw/zinc/95/05/15/1168950515.db2.gz KMCPWGZSKMNYAN-WOPDTQHZSA-N 0 2 303.330 0.796 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([N@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)C1=O ZINC001602010666 1168961404 /nfs/dbraw/zinc/96/14/04/1168961404.db2.gz YZTZQYDAHKMKII-VHSXEESVSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([N@@H+]2CCC[C@H](C3N=NC(=O)O3)C2)C1=O ZINC001602010666 1168961411 /nfs/dbraw/zinc/96/14/11/1168961411.db2.gz YZTZQYDAHKMKII-VHSXEESVSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])CCN1CC[C@@H]([N@H+]2CCC[C@H](C3N=NC(=O)O3)C2)C1=O ZINC001602010666 1168961413 /nfs/dbraw/zinc/96/14/13/1168961413.db2.gz YZTZQYDAHKMKII-VHSXEESVSA-N 0 2 324.337 0.030 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@@H]2CC(=O)N(C3CCCCC3)C2)nn1 ZINC001602161648 1169008987 /nfs/dbraw/zinc/00/89/87/1169008987.db2.gz HDPFZXVJUWKFHE-LLVKDONJSA-N 0 2 321.381 0.386 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)nn1 ZINC001602492491 1169090464 /nfs/dbraw/zinc/09/04/64/1169090464.db2.gz CBXUMAGCPBGUBK-SECBINFHSA-N 0 2 304.310 0.106 20 0 DCADLN O=C([O-])[C@]1(C(F)(F)F)CC[N@H+](CN2C(=O)[C@@H]3CC[C@@H]3C2=O)C1 ZINC001602663016 1169153142 /nfs/dbraw/zinc/15/31/42/1169153142.db2.gz KFUYTWJFHAJKKS-SXMVTHIZSA-N 0 2 320.267 0.678 20 0 DCADLN O=C([O-])[C@]1(C(F)(F)F)CC[N@@H+](CN2C(=O)[C@@H]3CC[C@@H]3C2=O)C1 ZINC001602663016 1169153144 /nfs/dbraw/zinc/15/31/44/1169153144.db2.gz KFUYTWJFHAJKKS-SXMVTHIZSA-N 0 2 320.267 0.678 20 0 DCADLN CC(C)[C@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])N1CCCC1=O ZINC001603708291 1169367360 /nfs/dbraw/zinc/36/73/60/1169367360.db2.gz ABYGJZNNXQKKEQ-DGCLKSJQSA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)[C@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])N1CCCC1=O ZINC001603708291 1169367368 /nfs/dbraw/zinc/36/73/68/1169367368.db2.gz ABYGJZNNXQKKEQ-DGCLKSJQSA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)C[C@H](NC(=O)CNc1[nH+]cnc2c1cnn2C)C(=O)[O-] ZINC001603841494 1169410282 /nfs/dbraw/zinc/41/02/82/1169410282.db2.gz FEGNHDGXXAJLKE-JTQLQIEISA-N 0 2 320.353 0.391 20 0 DCADLN CC[C@@H]1CCC[C@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001604100311 1169491211 /nfs/dbraw/zinc/49/12/11/1169491211.db2.gz LHUIVNAJXJZPQE-HTQZYQBOSA-N 0 2 305.342 0.657 20 0 DCADLN C[C@H](CNC(=O)COCc1ocnc1C(=O)[O-])Cn1cc[nH+]c1 ZINC001604173840 1169514118 /nfs/dbraw/zinc/51/41/18/1169514118.db2.gz GAVMTIWGTWZXPI-SNVBAGLBSA-N 0 2 322.321 0.538 20 0 DCADLN C[C@@H]([NH2+][C@H](C)c1nnc2n1CCCCC2)C(=O)NCC(=O)[O-] ZINC001604357828 1169567240 /nfs/dbraw/zinc/56/72/40/1169567240.db2.gz QIOOBIXBMVIIJC-NXEZZACHSA-N 0 2 309.370 0.244 20 0 DCADLN C[C@H](Oc1ccccc1C[N@H+](C)CCS(N)(=O)=O)C(=O)[O-] ZINC001604443457 1169595235 /nfs/dbraw/zinc/59/52/35/1169595235.db2.gz NSNYNLKCCZIITD-JTQLQIEISA-N 0 2 316.379 0.259 20 0 DCADLN C[C@H](Oc1ccccc1C[N@@H+](C)CCS(N)(=O)=O)C(=O)[O-] ZINC001604443457 1169595239 /nfs/dbraw/zinc/59/52/39/1169595239.db2.gz NSNYNLKCCZIITD-JTQLQIEISA-N 0 2 316.379 0.259 20 0 DCADLN CCn1ncc(-c2nn[nH]n2)c1NS(=O)(=O)c1cccnc1 ZINC001604617539 1169632410 /nfs/dbraw/zinc/63/24/10/1169632410.db2.gz GDNDUDKGWFSIAU-UHFFFAOYSA-N 0 2 320.338 0.279 20 0 DCADLN CCC(=O)N(C)CC[NH+]1CCN(c2ncc(C(=O)[O-])cn2)CC1 ZINC001604853358 1169710338 /nfs/dbraw/zinc/71/03/38/1169710338.db2.gz RDPOMWRDURXBAU-UHFFFAOYSA-N 0 2 321.381 0.165 20 0 DCADLN COC(=O)[C@H]1CCCC[C@@H]1Cn1cncc(-c2nn[nH]n2)c1=O ZINC001604926183 1169747607 /nfs/dbraw/zinc/74/76/07/1169747607.db2.gz UWCXSNAXKBBUSX-ZJUUUORDSA-N 0 2 318.337 0.403 20 0 DCADLN CO[C@H](C)[C@H](C)NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605006544 1169777450 /nfs/dbraw/zinc/77/74/50/1169777450.db2.gz ZQIGIXQHFBEJLS-JGVFFNPUSA-N 0 2 306.326 0.429 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)[C@@H](C)CCO ZINC001605505196 1169942349 /nfs/dbraw/zinc/94/23/49/1169942349.db2.gz XCDINPOLMMKOAD-QMMMGPOBSA-N 0 2 306.326 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC[C@@H](O)C(C)C ZINC001605510375 1169943454 /nfs/dbraw/zinc/94/34/54/1169943454.db2.gz QAQHFLIJNJXTJG-SNVBAGLBSA-N 0 2 320.353 0.413 20 0 DCADLN CCOC(=O)C1([NH2+]CCc2cn(CC(=O)[O-])nn2)CCCC1 ZINC001605520866 1169946607 /nfs/dbraw/zinc/94/66/07/1169946607.db2.gz MUMYQOABAMHLDP-UHFFFAOYSA-N 0 2 310.354 0.371 20 0 DCADLN CCc1ccc(C[N@@H+]2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)[O-])o1 ZINC001605812739 1170005995 /nfs/dbraw/zinc/00/59/95/1170005995.db2.gz ODHIQXDWVGZXRZ-CABZTGNLSA-N 0 2 321.333 0.421 20 0 DCADLN CCc1ccc(C[N@H+]2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)[O-])o1 ZINC001605812739 1170005998 /nfs/dbraw/zinc/00/59/98/1170005998.db2.gz ODHIQXDWVGZXRZ-CABZTGNLSA-N 0 2 321.333 0.421 20 0 DCADLN CCc1[nH]nc(Cl)c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001605842943 1170010251 /nfs/dbraw/zinc/01/02/51/1170010251.db2.gz YDQXFMMOKKSXDX-MRVPVSSYSA-N 0 2 311.729 0.774 20 0 DCADLN CCc1ncc(C[NH2+]C2(C(=O)[O-])CCS(=O)(=O)CC2)o1 ZINC001605861283 1170015205 /nfs/dbraw/zinc/01/52/05/1170015205.db2.gz NCRNWJIKDAMCSL-UHFFFAOYSA-N 0 2 302.352 0.359 20 0 DCADLN CCn1c[nH+]c2c1CCN([C@H]1CCN(CCC(=O)[O-])C1=O)C2 ZINC001605933167 1170029931 /nfs/dbraw/zinc/02/99/31/1170029931.db2.gz YDKYPOZUZPVBLR-ZDUSSCGKSA-N 0 2 306.366 0.337 20 0 DCADLN CN(C(=O)c1cncc(C(=O)[O-])c1)C(C)(C)C[NH+]1CCOCC1 ZINC001605986322 1170042311 /nfs/dbraw/zinc/04/23/11/1170042311.db2.gz LJCUMHKWCQDOJW-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CN(C)C(=O)C[N@@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC001605997004 1170043937 /nfs/dbraw/zinc/04/39/37/1170043937.db2.gz LFYLKGGEMKNUKO-UHFFFAOYSA-N 0 2 323.349 0.214 20 0 DCADLN CN(C)C(=O)C[N@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC001605997004 1170043939 /nfs/dbraw/zinc/04/39/39/1170043939.db2.gz LFYLKGGEMKNUKO-UHFFFAOYSA-N 0 2 323.349 0.214 20 0 DCADLN Cc1nn(CC(=O)[C@H]2CCCOC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606115039 1170082208 /nfs/dbraw/zinc/08/22/08/1170082208.db2.gz JKUIWTVSWHIBBJ-JTQLQIEISA-N 0 2 318.337 0.036 20 0 DCADLN C[N@@H+]1C[C@@H](OC(=O)c2ccc(C(N)=O)s2)C[C@]1(C)C(=O)[O-] ZINC001606205551 1170112400 /nfs/dbraw/zinc/11/24/00/1170112400.db2.gz PVHBXGGQFXFBHS-WPPNPWJKSA-N 0 2 312.347 0.551 20 0 DCADLN C[N@H+]1C[C@@H](OC(=O)c2ccc(C(N)=O)s2)C[C@]1(C)C(=O)[O-] ZINC001606205551 1170112401 /nfs/dbraw/zinc/11/24/01/1170112401.db2.gz PVHBXGGQFXFBHS-WPPNPWJKSA-N 0 2 312.347 0.551 20 0 DCADLN NC(=O)NCCCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001626190001 1170135082 /nfs/dbraw/zinc/13/50/82/1170135082.db2.gz VOZYEQXAJQGRPZ-SECBINFHSA-N 0 2 310.358 0.055 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1CCC[C@@H](NC(=O)N(C)C)C1 ZINC001606330508 1170161264 /nfs/dbraw/zinc/16/12/64/1170161264.db2.gz JUXJDMHNCNYTIF-MNOVXSKESA-N 0 2 315.370 0.128 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1CCC[C@@H](NC(=O)N(C)C)C1 ZINC001606330508 1170161267 /nfs/dbraw/zinc/16/12/67/1170161267.db2.gz JUXJDMHNCNYTIF-MNOVXSKESA-N 0 2 315.370 0.128 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)CCc1c[nH+]cn1C ZINC001606369334 1170171650 /nfs/dbraw/zinc/17/16/50/1170171650.db2.gz CYGKDFLPACUOHX-JQWIXIFHSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@@H+]1CCCn1ccnc1C ZINC001606373594 1170173419 /nfs/dbraw/zinc/17/34/19/1170173419.db2.gz LLONUWUAGHZYAA-STQMWFEESA-N 0 2 309.366 0.920 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CC[N@H+]1CCCn1ccnc1C ZINC001606373594 1170173423 /nfs/dbraw/zinc/17/34/23/1170173423.db2.gz LLONUWUAGHZYAA-STQMWFEESA-N 0 2 309.366 0.920 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])N(C(=O)CCCn2cc[nH+]c2)C1 ZINC001606386129 1170178219 /nfs/dbraw/zinc/17/82/19/1170178219.db2.gz AAKLCWXLNOOVBZ-NWDGAFQWSA-N 0 2 323.349 0.528 20 0 DCADLN COC(=O)[C@@H]1CCN(C(=O)CCc2c[nH+]cn2C)[C@@H](C(=O)[O-])C1 ZINC001606403079 1170187437 /nfs/dbraw/zinc/18/74/37/1170187437.db2.gz INVNGUPSXBIUDJ-ZYHUDNBSSA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001606506416 1170222624 /nfs/dbraw/zinc/22/26/24/1170222624.db2.gz JOMBBISPSNEJMY-TUAOUCFPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001606506416 1170222629 /nfs/dbraw/zinc/22/26/29/1170222629.db2.gz JOMBBISPSNEJMY-TUAOUCFPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001606515556 1170225343 /nfs/dbraw/zinc/22/53/43/1170225343.db2.gz VDUBZMZSLBVCFY-TUAOUCFPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001606515556 1170225346 /nfs/dbraw/zinc/22/53/46/1170225346.db2.gz VDUBZMZSLBVCFY-TUAOUCFPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)c1cc(C(=O)C[N@@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)c(C)[nH]1 ZINC001606534944 1170229330 /nfs/dbraw/zinc/22/93/30/1170229330.db2.gz LXNYABMIIOZHNA-UWVGGRQHSA-N 0 2 324.333 0.060 20 0 DCADLN COC(=O)c1cc(C(=O)C[N@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)c(C)[nH]1 ZINC001606534944 1170229335 /nfs/dbraw/zinc/22/93/35/1170229335.db2.gz LXNYABMIIOZHNA-UWVGGRQHSA-N 0 2 324.333 0.060 20 0 DCADLN C[C@H]1C[N@H+](CCN2C(=O)c3ccccc3C2=O)C[C@@H](C(=O)[O-])O1 ZINC001607476395 1170464037 /nfs/dbraw/zinc/46/40/37/1170464037.db2.gz OZJORHSLXDFFGC-GWCFXTLKSA-N 0 2 318.329 0.457 20 0 DCADLN C[C@H]1C[N@@H+](CCN2C(=O)c3ccccc3C2=O)C[C@@H](C(=O)[O-])O1 ZINC001607476395 1170464038 /nfs/dbraw/zinc/46/40/38/1170464038.db2.gz OZJORHSLXDFFGC-GWCFXTLKSA-N 0 2 318.329 0.457 20 0 DCADLN Cc1c(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cnn1C(C)C ZINC001607507664 1170469907 /nfs/dbraw/zinc/46/99/07/1170469907.db2.gz DMWJXCLSQWCTKN-LBPRGKRZSA-N 0 2 305.338 0.852 20 0 DCADLN Cn1c[nH+]c(CCN2C(=O)[C@@H]3CC[C@H](C(=O)[O-])CN3C2=O)c1 ZINC001608135909 1170588851 /nfs/dbraw/zinc/58/88/51/1170588851.db2.gz YCKVUMWHVKRFDA-ONGXEEELSA-N 0 2 306.322 0.090 20 0 DCADLN Cn1c[nH+]cc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001608137123 1170590499 /nfs/dbraw/zinc/59/04/99/1170590499.db2.gz UFIHXUSSGOLLKS-ZMJPVWNMSA-N 0 2 318.377 0.316 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccccc2C(=O)[O-])C1 ZINC001608234937 1170624188 /nfs/dbraw/zinc/62/41/88/1170624188.db2.gz QQQJURCIRICWSF-JTQLQIEISA-N 0 2 305.334 0.064 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccccc2C(=O)[O-])C1 ZINC001608234937 1170624191 /nfs/dbraw/zinc/62/41/91/1170624191.db2.gz QQQJURCIRICWSF-JTQLQIEISA-N 0 2 305.334 0.064 20 0 DCADLN [NH3+][C@H](CC(=O)N1CC[C@H](C(=O)[O-])C[C@H]1[C@H]1CCCO1)C(F)F ZINC001608247467 1170629902 /nfs/dbraw/zinc/62/99/02/1170629902.db2.gz HJAFHCMKTAYDTJ-ZRUFSTJUSA-N 0 2 320.336 0.840 20 0 DCADLN O=C([O-])[C@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccccc1F ZINC001608280655 1170641769 /nfs/dbraw/zinc/64/17/69/1170641769.db2.gz AWPGQHJOGQJTRI-YFCVBGDOSA-N 0 2 322.336 0.956 20 0 DCADLN O=C([O-])[C@H]1CCN1C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC001608639735 1170728708 /nfs/dbraw/zinc/72/87/08/1170728708.db2.gz FXYDQPHWLKAADB-CYBMUJFWSA-N 0 2 307.350 0.119 20 0 DCADLN O=C([O-])CC[N@@H+](Cc1ncnn1CCF)C[C@@H]1CCCO1 ZINC001608722987 1170743494 /nfs/dbraw/zinc/74/34/94/1170743494.db2.gz FQLUNFCHFHOSEW-NSHDSACASA-N 0 2 300.334 0.703 20 0 DCADLN O=C([O-])CC[N@H+](Cc1ncnn1CCF)C[C@@H]1CCCO1 ZINC001608722987 1170743498 /nfs/dbraw/zinc/74/34/98/1170743498.db2.gz FQLUNFCHFHOSEW-NSHDSACASA-N 0 2 300.334 0.703 20 0 DCADLN O=C([O-])Cn1cc(CC[N@@H+]2CCO[C@H](c3ccco3)C2)nn1 ZINC001608796854 1170755423 /nfs/dbraw/zinc/75/54/23/1170755423.db2.gz XKTZYCABGHMBIP-ZDUSSCGKSA-N 0 2 306.322 0.572 20 0 DCADLN O=C([O-])Cn1cc(CC[N@H+]2CCO[C@H](c3ccco3)C2)nn1 ZINC001608796854 1170755426 /nfs/dbraw/zinc/75/54/26/1170755426.db2.gz XKTZYCABGHMBIP-ZDUSSCGKSA-N 0 2 306.322 0.572 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CCC[C@](O)(C(F)(F)F)CC2)nn1 ZINC001608797266 1170756618 /nfs/dbraw/zinc/75/66/18/1170756618.db2.gz YKCUWRJEFWCMNI-LLVKDONJSA-N 0 2 322.287 0.642 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](Cn2nc3n(c2=O)CCCC3)C[C@H]1C1CC1 ZINC001608809278 1170758320 /nfs/dbraw/zinc/75/83/20/1170758320.db2.gz GVHYVIBZPQBEPZ-NWDGAFQWSA-N 0 2 306.366 0.381 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](Cn2nc3n(c2=O)CCCC3)C[C@H]1C1CC1 ZINC001608809278 1170758323 /nfs/dbraw/zinc/75/83/23/1170758323.db2.gz GVHYVIBZPQBEPZ-NWDGAFQWSA-N 0 2 306.366 0.381 20 0 DCADLN O=C([O-])c1c(NC(=O)[C@@H]2CCc3c[nH+]cn3C2)nc2n1CCC2 ZINC001608830044 1170763068 /nfs/dbraw/zinc/76/30/68/1170763068.db2.gz YJWIKZIUHAYEPA-SECBINFHSA-N 0 2 315.333 0.925 20 0 DCADLN O=C([O-])c1ccc(OC[C@H](O)C[N@@H+]2CCOCC23CC3)cc1 ZINC001608907037 1170770467 /nfs/dbraw/zinc/77/04/67/1170770467.db2.gz UZXRPLQWLGPTIY-CYBMUJFWSA-N 0 2 307.346 0.989 20 0 DCADLN O=C([O-])c1ccc(OC[C@H](O)C[N@H+]2CCOCC23CC3)cc1 ZINC001608907037 1170770468 /nfs/dbraw/zinc/77/04/68/1170770468.db2.gz UZXRPLQWLGPTIY-CYBMUJFWSA-N 0 2 307.346 0.989 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCC[N@@H+](Cc3cc(=O)c(O)co3)C2)nn1 ZINC001608959448 1170775887 /nfs/dbraw/zinc/77/58/87/1170775887.db2.gz YTLUVCZUBCKDFW-SECBINFHSA-N 0 2 320.305 0.472 20 0 DCADLN O=C([O-])c1cn([C@@H]2CCC[N@H+](Cc3cc(=O)c(O)co3)C2)nn1 ZINC001608959448 1170775889 /nfs/dbraw/zinc/77/58/89/1170775889.db2.gz YTLUVCZUBCKDFW-SECBINFHSA-N 0 2 320.305 0.472 20 0 DCADLN O=C([O-])c1cn(CC[NH2+]Cc2n[nH]cc2Br)nn1 ZINC001608964346 1170777409 /nfs/dbraw/zinc/77/74/09/1170777409.db2.gz KECGLLIVXVQZFB-UHFFFAOYSA-N 0 2 315.131 0.252 20 0 DCADLN CCC(=O)CCCn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC001609181172 1170853077 /nfs/dbraw/zinc/85/30/77/1170853077.db2.gz ZPVMRTIBZVCMFI-UHFFFAOYSA-N 0 2 319.321 0.574 20 0 DCADLN CCOCCONC(=O)C1(c2cccc(-c3nn[nH]n3)c2)CC1 ZINC001609241375 1170895450 /nfs/dbraw/zinc/89/54/50/1170895450.db2.gz SINSKLCFOAUYAA-UHFFFAOYSA-N 0 2 317.349 0.983 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCC(F)(F)F)c1=O ZINC001609257986 1170900048 /nfs/dbraw/zinc/90/00/48/1170900048.db2.gz NPHMCJSENCKOHT-UHFFFAOYSA-N 0 2 304.232 0.162 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)Nc1ccc(-c2nn[nH]n2)c(C)n1 ZINC001609309212 1170928284 /nfs/dbraw/zinc/92/82/84/1170928284.db2.gz XDZJQHHYIOVQDR-ZETCQYMHSA-N 0 2 312.355 0.347 20 0 DCADLN CO[C@@H]1CCN(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)C1 ZINC001609332486 1170942090 /nfs/dbraw/zinc/94/20/90/1170942090.db2.gz TTWRHKFZPWEPFY-LLVKDONJSA-N 0 2 316.365 0.195 20 0 DCADLN Cc1ccn(C[N@H+]2CCSC[C@H](C)C2)c(=O)c1-c1nnn[n-]1 ZINC001609437957 1170976685 /nfs/dbraw/zinc/97/66/85/1170976685.db2.gz JJVPFWHVBVFPLP-SNVBAGLBSA-N 0 2 320.422 0.979 20 0 DCADLN Cc1ccn(C[N@@H+]2CCSC[C@H](C)C2)c(=O)c1-c1nnn[n-]1 ZINC001609437957 1170976689 /nfs/dbraw/zinc/97/66/89/1170976689.db2.gz JJVPFWHVBVFPLP-SNVBAGLBSA-N 0 2 320.422 0.979 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(N[C@H]2CC23CCCC3)n(C)c1=O ZINC001609476656 1170991709 /nfs/dbraw/zinc/99/17/09/1170991709.db2.gz PHFKFMSRBUNEBO-QMMMGPOBSA-N 0 2 317.353 0.009 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1nc(-c2ccccc2)no1 ZINC001609604649 1171039701 /nfs/dbraw/zinc/03/97/01/1171039701.db2.gz CXRNNDBTQBDWIH-UHFFFAOYSA-N 0 2 322.288 0.522 20 0 DCADLN C[C@H](NC(=O)c1cnc(C(=O)[O-])cn1)[C@H](C)[NH+]1CCOCC1 ZINC001609771955 1171082562 /nfs/dbraw/zinc/08/25/62/1171082562.db2.gz QUYRTCWBLVQAPV-UWVGGRQHSA-N 0 2 308.338 0.014 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)Cn1cc(C(=O)[O-])[nH+]c1C)C(=O)OC ZINC001609901348 1171119578 /nfs/dbraw/zinc/11/95/78/1171119578.db2.gz MKQWVSFSQAOTKQ-PELKAZGASA-N 0 2 311.338 0.594 20 0 DCADLN CCCC[C@@H](C(=O)[O-])[N@@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001609964272 1171128821 /nfs/dbraw/zinc/12/88/21/1171128821.db2.gz YFBIFOPLUXKLHY-AAEUAGOBSA-N 0 2 305.396 0.519 20 0 DCADLN CCCC[C@@H](C(=O)[O-])[N@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001609964272 1171128824 /nfs/dbraw/zinc/12/88/24/1171128824.db2.gz YFBIFOPLUXKLHY-AAEUAGOBSA-N 0 2 305.396 0.519 20 0 DCADLN CCN(CC(=O)[O-])C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC001609991261 1171132212 /nfs/dbraw/zinc/13/22/12/1171132212.db2.gz LXVXFCAJSMJIQA-LBPRGKRZSA-N 0 2 324.381 0.416 20 0 DCADLN CCN(CC(=O)[O-])C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC001609991261 1171132214 /nfs/dbraw/zinc/13/22/14/1171132214.db2.gz LXVXFCAJSMJIQA-LBPRGKRZSA-N 0 2 324.381 0.416 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC001610121645 1171163364 /nfs/dbraw/zinc/16/33/64/1171163364.db2.gz BXUMWEYMNNLYFB-QWHCGFSZSA-N 0 2 310.325 0.304 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1C[C@@H](O)C[C@@H]1C(=O)[O-] ZINC001610121645 1171163370 /nfs/dbraw/zinc/16/33/70/1171163370.db2.gz BXUMWEYMNNLYFB-QWHCGFSZSA-N 0 2 310.325 0.304 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C[C@H](C(=O)[O-])C1 ZINC001610132031 1171167172 /nfs/dbraw/zinc/16/71/72/1171167172.db2.gz AJQFAHKWHIQQDE-MNOVXSKESA-N 0 2 306.366 0.304 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C[C@H](C(=O)[O-])C1 ZINC001610132031 1171167175 /nfs/dbraw/zinc/16/71/75/1171167175.db2.gz AJQFAHKWHIQQDE-MNOVXSKESA-N 0 2 306.366 0.304 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccoc1CC(=O)[O-] ZINC001610190192 1171192328 /nfs/dbraw/zinc/19/23/28/1171192328.db2.gz ISCBWLBCSMMIKQ-JTQLQIEISA-N 0 2 321.289 0.144 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccoc1CC(=O)[O-] ZINC001610190192 1171192332 /nfs/dbraw/zinc/19/23/32/1171192332.db2.gz ISCBWLBCSMMIKQ-JTQLQIEISA-N 0 2 321.289 0.144 20 0 DCADLN COC(=O)[C@H]1C[N@@H+]([C@H](C(=O)[O-])C2(OC)CCC2)C[C@@H](C)O1 ZINC001610192752 1171193464 /nfs/dbraw/zinc/19/34/64/1171193464.db2.gz UEYOPXAAVYYGCQ-GMTAPVOTSA-N 0 2 301.339 0.271 20 0 DCADLN COC(=O)[C@H]1C[N@H+]([C@H](C(=O)[O-])C2(OC)CCC2)C[C@@H](C)O1 ZINC001610192752 1171193471 /nfs/dbraw/zinc/19/34/71/1171193471.db2.gz UEYOPXAAVYYGCQ-GMTAPVOTSA-N 0 2 301.339 0.271 20 0 DCADLN C[S@](=O)CC[NH+]1CCN(Cc2csc(C(=O)[O-])c2)CC1 ZINC001610379433 1171227337 /nfs/dbraw/zinc/22/73/37/1171227337.db2.gz ROITTWNFXPDNKS-FQEVSTJZSA-N 0 2 316.448 0.942 20 0 DCADLN Cc1sc(N2CC[NH+](CC[S@](C)=O)CC2)nc1C(=O)[O-] ZINC001610590151 1171261955 /nfs/dbraw/zinc/26/19/55/1171261955.db2.gz MGNGNMWMLKOLJX-FQEVSTJZSA-N 0 2 317.436 0.650 20 0 DCADLN Cn1cc2c(n1)CCC[C@@H]2C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001610607313 1171265797 /nfs/dbraw/zinc/26/57/97/1171265797.db2.gz TYNHKLZIIBSOMB-GWCFXTLKSA-N 0 2 317.349 0.375 20 0 DCADLN Cn1cc2c(n1)CCC[C@@H]2C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001610607313 1171265805 /nfs/dbraw/zinc/26/58/05/1171265805.db2.gz TYNHKLZIIBSOMB-GWCFXTLKSA-N 0 2 317.349 0.375 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCC[N@@H+]1CC(=O)NCCc1ccc(F)cc1 ZINC001610663690 1171285127 /nfs/dbraw/zinc/28/51/27/1171285127.db2.gz JBTPHXBUGSENBN-ZFWWWQNUSA-N 0 2 324.352 0.394 20 0 DCADLN O=C([O-])[C@@H]1[C@@H](O)CCC[N@H+]1CC(=O)NCCc1ccc(F)cc1 ZINC001610663690 1171285132 /nfs/dbraw/zinc/28/51/32/1171285132.db2.gz JBTPHXBUGSENBN-ZFWWWQNUSA-N 0 2 324.352 0.394 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](Cc2nc(CC(F)(F)F)no2)CC1 ZINC001610722455 1171299234 /nfs/dbraw/zinc/29/92/34/1171299234.db2.gz BMXYWZCLZIEBRO-JTQLQIEISA-N 0 2 323.271 0.832 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@H+](CN3CC(F)(F)CC3=O)C2)nn1 ZINC001610859760 1171342981 /nfs/dbraw/zinc/34/29/81/1171342981.db2.gz VKJNRWXUHPITON-MRVPVSSYSA-N 0 2 315.280 0.048 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@@H+](CN3CC(F)(F)CC3=O)C2)nn1 ZINC001610859760 1171342986 /nfs/dbraw/zinc/34/29/86/1171342986.db2.gz VKJNRWXUHPITON-MRVPVSSYSA-N 0 2 315.280 0.048 20 0 DCADLN O=C([O-])c1coc(S(=O)(=O)NCCCCn2cc[nH+]c2)c1 ZINC001610866682 1171344003 /nfs/dbraw/zinc/34/40/03/1171344003.db2.gz BSQUDHVTIMASAF-UHFFFAOYSA-N 0 2 313.335 0.933 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCC(=O)c2cccn21 ZINC001610882788 1171347788 /nfs/dbraw/zinc/34/77/88/1171347788.db2.gz CNRXDMSPGOZARL-JQWIXIFHSA-N 0 2 316.317 0.541 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCC(=O)c2cccn21 ZINC001610882788 1171347796 /nfs/dbraw/zinc/34/77/96/1171347796.db2.gz CNRXDMSPGOZARL-JQWIXIFHSA-N 0 2 316.317 0.541 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc3onc(Cl)c3c2)n1 ZINC001622523283 1171426322 /nfs/dbraw/zinc/42/63/22/1171426322.db2.gz IEOIQCWXOHRCHB-UHFFFAOYSA-N 0 2 314.714 0.806 20 0 DCADLN O=C(NN1C(=O)c2ccccc2C1=O)c1cnn2ncccc12 ZINC001624713816 1171458782 /nfs/dbraw/zinc/45/87/82/1171458782.db2.gz CFDRZGJBAWEXBP-UHFFFAOYSA-N 0 2 307.269 0.670 20 0 DCADLN C[C@H](O)c1ncc(C(=O)NCCc2n[nH]c(=S)o2)s1 ZINC001625689384 1171477708 /nfs/dbraw/zinc/47/77/08/1171477708.db2.gz LCIJTMIWFGERFU-YFKPBYRVSA-N 0 2 300.365 0.841 20 0 DCADLN CC[NH+]1CCN([C@@H](C)CNC(=O)C(=O)c2ccc(O)cc2)CC1 ZINC001628311816 1171525322 /nfs/dbraw/zinc/52/53/22/1171525322.db2.gz ATYVZUSKBBNLRR-ZDUSSCGKSA-N 0 2 319.405 0.717 20 0 DCADLN Cn1cc(C(=O)N[C@@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)cn1 ZINC001631925092 1171605919 /nfs/dbraw/zinc/60/59/19/1171605919.db2.gz PZKZVZGPSAFJQX-LBPRGKRZSA-N 0 2 312.333 0.958 20 0 DCADLN CN1C(=O)CN(NC(=O)c2coc(-c3cccnc3)n2)C1=O ZINC001631986083 1171607299 /nfs/dbraw/zinc/60/72/99/1171607299.db2.gz KJTGGGWWMXFOAH-UHFFFAOYSA-N 0 2 301.262 0.275 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cnn2cccc(Cl)c12 ZINC001634355859 1171672432 /nfs/dbraw/zinc/67/24/32/1171672432.db2.gz SWQRALPOOXPQHX-UHFFFAOYSA-N 0 2 305.681 0.634 20 0 DCADLN O=C(Cn1c(=O)oc2ccccc21)NCCc1n[nH]c(=S)o1 ZINC001639104623 1171822379 /nfs/dbraw/zinc/82/23/79/1171822379.db2.gz TWVMSBJYAOLJSX-UHFFFAOYSA-N 0 2 320.330 0.625 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1coc(-c2cccnc2)n1 ZINC001641493415 1171917516 /nfs/dbraw/zinc/91/75/16/1171917516.db2.gz PIXZAKFUFTWIIA-UHFFFAOYSA-N 0 2 313.273 0.868 20 0 DCADLN COC(=O)c1coc(C=CC(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001641493702 1171917637 /nfs/dbraw/zinc/91/76/37/1171917637.db2.gz WWTWHMHFVGDWEA-IHWYPQMZSA-N 0 2 319.273 0.597 20 0 DCADLN O=c1nc(CN2CCn3c(CO)nnc3C2)[nH]c2ccsc21 ZINC001642180652 1171938134 /nfs/dbraw/zinc/93/81/34/1171938134.db2.gz YYSGMSFHGZRAHU-UHFFFAOYSA-N 0 2 318.362 0.497 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCC1)c1cc2n[nH]nc2cc1F ZINC001643871717 1171989863 /nfs/dbraw/zinc/98/98/63/1171989863.db2.gz PIZZFJGSGDNDME-UHFFFAOYSA-N 0 2 302.273 0.419 20 0 DCADLN NS(=O)(=O)N1CCC[C@@H](C(=O)NOc2ccccc2F)C1 ZINC001644131993 1171997707 /nfs/dbraw/zinc/99/77/07/1171997707.db2.gz QCPJWWVOXWALRH-SECBINFHSA-N 0 2 317.342 0.151 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(N3CCCC3=O)CC2)S1 ZINC001645577669 1172061343 /nfs/dbraw/zinc/06/13/43/1172061343.db2.gz WKUBRPBOZKSHPG-SNVBAGLBSA-N 0 2 324.406 0.156 20 0 DCADLN Cn1nc2c(c1C(=O)NCCCc1n[nH]c(=O)[nH]1)CSCC2 ZINC001650836382 1173734798 /nfs/dbraw/zinc/73/47/98/1173734798.db2.gz IANRHERKAMZPFU-UHFFFAOYSA-N 0 2 322.394 0.396 20 0 DCADLN CC(C)C[C@]1(C(=O)N[C@H](C)c2nn(C)cc2O)CCNC1=O ZINC001653247109 1173784227 /nfs/dbraw/zinc/78/42/27/1173784227.db2.gz BRXDZEATFFMLCT-MEBBXXQBSA-N 0 2 308.382 0.855 20 0 DCADLN COc1ncc(C=CC(=O)N[C@H](C)c2nn(C)cc2O)cn1 ZINC001653245481 1173784259 /nfs/dbraw/zinc/78/42/59/1173784259.db2.gz QOUBQZOGGRYZCD-XNPJLODASA-N 0 2 303.322 0.815 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cnn(-c3ccccc3F)c2)n1 ZINC001656764675 1173880402 /nfs/dbraw/zinc/88/04/02/1173880402.db2.gz PHBWACNGCZQDBT-UHFFFAOYSA-N 0 2 323.313 0.336 20 0 DCADLN Cc1nnc([C@H](C)[N@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001660103352 1173944021 /nfs/dbraw/zinc/94/40/21/1173944021.db2.gz FHKMUJANFDUWFT-VIFPVBQESA-N 0 2 321.385 0.663 20 0 DCADLN Cc1nnc([C@H](C)[N@@H+](C)CCCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001660103352 1173944023 /nfs/dbraw/zinc/94/40/23/1173944023.db2.gz FHKMUJANFDUWFT-VIFPVBQESA-N 0 2 321.385 0.663 20 0 DCADLN CN(C)S(=O)(=O)Nc1ccc(Br)cc1C(N)=O ZINC000078238506 1174187040 /nfs/dbraw/zinc/18/70/40/1174187040.db2.gz PHGFZJNPFCXUPC-UHFFFAOYSA-N 0 2 322.184 0.766 20 0 DCADLN Cc1cc2cccnc2c(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001665318537 1174504682 /nfs/dbraw/zinc/50/46/82/1174504682.db2.gz BOMMKOIKGOIUDX-UHFFFAOYSA-N 0 2 304.335 0.868 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001666699374 1174625069 /nfs/dbraw/zinc/62/50/69/1174625069.db2.gz YTJLHCAMHXELAS-CDCCAWJDSA-N 0 2 309.370 0.184 20 0 DCADLN CCc1nc(C[NH2+]CC[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001668030121 1174747159 /nfs/dbraw/zinc/74/71/59/1174747159.db2.gz RADKBUWZFODOCD-QMMMGPOBSA-N 0 2 322.369 0.667 20 0 DCADLN CO[N-]C(=O)CNC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001676363761 1175439933 /nfs/dbraw/zinc/43/99/33/1175439933.db2.gz RGUREASODGFNQV-UHFFFAOYSA-N 0 2 303.322 0.349 20 0 DCADLN O=C(C[C@H]1CCCO1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001677537980 1175577313 /nfs/dbraw/zinc/57/73/13/1175577313.db2.gz PKKGHQVNDCWCLO-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN O=C(C[C@H]1CCCO1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001677537980 1175577320 /nfs/dbraw/zinc/57/73/20/1175577320.db2.gz PKKGHQVNDCWCLO-GFCCVEGCSA-N 0 2 321.381 0.328 20 0 DCADLN CC/C=C/CNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001682622620 1175944552 /nfs/dbraw/zinc/94/45/52/1175944552.db2.gz IYMNWIOOQBVTCL-SNAWJCMRSA-N 0 2 301.372 0.115 20 0 DCADLN O=C(Cc1cc[nH]n1)NCCCNC(=O)C(F)C(F)(F)F ZINC001686942472 1176252580 /nfs/dbraw/zinc/25/25/80/1176252580.db2.gz XYIQYJAECRIZBR-VIFPVBQESA-N 0 2 310.251 0.475 20 0 DCADLN O=C(Cc1cc[nH]n1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001686942472 1176252581 /nfs/dbraw/zinc/25/25/81/1176252581.db2.gz XYIQYJAECRIZBR-VIFPVBQESA-N 0 2 310.251 0.475 20 0 DCADLN Cn1cccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1=O ZINC001720129755 1178619371 /nfs/dbraw/zinc/61/93/71/1178619371.db2.gz GVMLJFFNOKSBQB-QMMMGPOBSA-N 0 2 323.246 0.132 20 0 DCADLN Cn1cccc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1=O ZINC001720129755 1178619373 /nfs/dbraw/zinc/61/93/73/1178619373.db2.gz GVMLJFFNOKSBQB-QMMMGPOBSA-N 0 2 323.246 0.132 20 0 DCADLN CN(CCOCC[NH2+]CCF)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001701038140 1178893461 /nfs/dbraw/zinc/89/34/61/1178893461.db2.gz XMASCTKJHKNAFX-ZDUSSCGKSA-N 0 2 312.389 0.480 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001703593488 1179608407 /nfs/dbraw/zinc/60/84/07/1179608407.db2.gz SXYNZFVQGNUQBJ-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001703593488 1179608412 /nfs/dbraw/zinc/60/84/12/1179608412.db2.gz SXYNZFVQGNUQBJ-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CC(C)=C(F)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001718622882 1183292161 /nfs/dbraw/zinc/29/21/61/1183292161.db2.gz YRYKGIJGFMSUJD-XPUUQOCRSA-N 0 2 318.242 0.744 20 0 DCADLN CC(C)=C(F)C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001718622882 1183292167 /nfs/dbraw/zinc/29/21/67/1183292167.db2.gz YRYKGIJGFMSUJD-XPUUQOCRSA-N 0 2 318.242 0.744 20 0 DCADLN CN(CCOCCNC(=O)c1cnn[nH]1)C/C(Cl)=C\Cl ZINC001725437288 1184827840 /nfs/dbraw/zinc/82/78/40/1184827840.db2.gz XGZATLJPMUEBIU-RMKNXTFCSA-N 0 2 322.196 0.802 20 0 DCADLN C[N@H+](CCOCCNC(=O)c1cnn[n-]1)C/C(Cl)=C\Cl ZINC001725437288 1184827846 /nfs/dbraw/zinc/82/78/46/1184827846.db2.gz XGZATLJPMUEBIU-RMKNXTFCSA-N 0 2 322.196 0.802 20 0 DCADLN C[N@@H+](CCOCCNC(=O)c1cnn[n-]1)C/C(Cl)=C\Cl ZINC001725437288 1184827862 /nfs/dbraw/zinc/82/78/62/1184827862.db2.gz XGZATLJPMUEBIU-RMKNXTFCSA-N 0 2 322.196 0.802 20 0 DCADLN CN(CCCNC(=O)[C@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001737108906 1187245785 /nfs/dbraw/zinc/24/57/85/1187245785.db2.gz MCUVNBYHOGBQIO-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCCNC(=O)[C@H]1CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001737108906 1187245788 /nfs/dbraw/zinc/24/57/88/1187245788.db2.gz MCUVNBYHOGBQIO-DTWKUNHWSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1ccnnc1 ZINC001738526938 1187583326 /nfs/dbraw/zinc/58/33/26/1187583326.db2.gz YNVSHCIEXWGGHO-JKERVTFKSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1ccnnc1 ZINC001738526938 1187583331 /nfs/dbraw/zinc/58/33/31/1187583331.db2.gz YNVSHCIEXWGGHO-JKERVTFKSA-N 0 2 320.246 0.779 20 0 DCADLN Cn1cncc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001742909639 1188075182 /nfs/dbraw/zinc/07/51/82/1188075182.db2.gz DTBLKXDMSYMOOH-QMMMGPOBSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1cncc1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001742909639 1188075184 /nfs/dbraw/zinc/07/51/84/1188075184.db2.gz DTBLKXDMSYMOOH-QMMMGPOBSA-N 0 2 310.251 0.557 20 0 DCADLN C[C@H](CNC(=O)C=Cc1ccc[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001755296717 1189071397 /nfs/dbraw/zinc/07/13/97/1189071397.db2.gz PZOZRSQKWIOUTP-BRAIEQGRSA-N 0 2 304.354 0.488 20 0 DCADLN C[C@H](CNC(=O)C=Cc1ccc[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001755296717 1189071400 /nfs/dbraw/zinc/07/14/00/1189071400.db2.gz PZOZRSQKWIOUTP-BRAIEQGRSA-N 0 2 304.354 0.488 20 0 DCADLN CC[C@H](F)C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001758094519 1189719332 /nfs/dbraw/zinc/71/93/32/1189719332.db2.gz LXVYOZFFTBGBLB-NSHDSACASA-N 0 2 322.344 0.404 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001758191126 1189774699 /nfs/dbraw/zinc/77/46/99/1189774699.db2.gz DTMOSVMSDDFIFA-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN Cc1ncn(C)c1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001758191126 1189774702 /nfs/dbraw/zinc/77/47/02/1189774702.db2.gz DTMOSVMSDDFIFA-VIFPVBQESA-N 0 2 324.278 0.865 20 0 DCADLN CCC(=O)NC1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC001772465518 1190692665 /nfs/dbraw/zinc/69/26/65/1190692665.db2.gz UJWKPFBSMISVNP-VIFPVBQESA-N 0 2 312.395 0.060 20 0 DCADLN CCOCC(=O)Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12 ZINC001142829429 751967691 /nfs/dbraw/zinc/96/76/91/751967691.db2.gz ZOEKRODUTRWTMU-UHFFFAOYSA-N 0 2 315.289 0.948 20 0 DCADLN O=C(C=C1CCC1)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043375168 752201435 /nfs/dbraw/zinc/20/14/35/752201435.db2.gz JOZKSSWZABDVAG-SNVBAGLBSA-N 0 2 324.274 0.687 20 0 DCADLN O=C(C=C1CCC1)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043375168 752201440 /nfs/dbraw/zinc/20/14/40/752201440.db2.gz JOZKSSWZABDVAG-SNVBAGLBSA-N 0 2 324.274 0.687 20 0 DCADLN CN(C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043429693 752225659 /nfs/dbraw/zinc/22/56/59/752225659.db2.gz HXGUJWDSHWMEBH-ZSBIGDGJSA-N 0 2 319.409 0.979 20 0 DCADLN CC(=O)Oc1ccc(C=CC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC001143513275 748191756 /nfs/dbraw/zinc/19/17/56/748191756.db2.gz XMDANRLLHKWJBS-QPJJXVBHSA-N 0 2 302.290 0.765 20 0 DCADLN COCC(=O)N1CC[C@@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001059027434 748590880 /nfs/dbraw/zinc/59/08/80/748590880.db2.gz CFQCAMZINPLYGJ-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CC[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001059027434 748590885 /nfs/dbraw/zinc/59/08/85/748590885.db2.gz CFQCAMZINPLYGJ-PSASIEDQSA-N 0 2 314.279 0.888 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnccn2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087489616 748842201 /nfs/dbraw/zinc/84/22/01/748842201.db2.gz HPHFCBIEKDSZBU-GXSJLCMTSA-N 0 2 317.353 0.083 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cnccn2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087489616 748842204 /nfs/dbraw/zinc/84/22/04/748842204.db2.gz HPHFCBIEKDSZBU-GXSJLCMTSA-N 0 2 317.353 0.083 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cc(C)on2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087495604 748852691 /nfs/dbraw/zinc/85/26/91/748852691.db2.gz NBVFQQROQNKNGQ-GXSJLCMTSA-N 0 2 320.353 0.590 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cc(C)on2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087495604 748852694 /nfs/dbraw/zinc/85/26/94/748852694.db2.gz NBVFQQROQNKNGQ-GXSJLCMTSA-N 0 2 320.353 0.590 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCC[N@@H+]2C)CC[NH+]1Cc1cnn(C)c1 ZINC001088494072 749508538 /nfs/dbraw/zinc/50/85/38/749508538.db2.gz KEJIGUXRLWKNBQ-SNPRPXQTSA-N 0 2 305.426 0.593 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccsn2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088651879 749831028 /nfs/dbraw/zinc/83/10/28/749831028.db2.gz HBPRZSGHHKGFNO-SFYZADRCSA-N 0 2 308.367 0.360 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CC[N@H+](CCn2cccn2)[C@@H]1C ZINC001089006009 750761532 /nfs/dbraw/zinc/76/15/32/750761532.db2.gz JWXOFLBJLXQLMB-KGLIPLIRSA-N 0 2 316.409 0.736 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@@H]2CCCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001089044708 750776322 /nfs/dbraw/zinc/77/63/22/750776322.db2.gz LOYNAHSVNRLFOG-WOPDTQHZSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@@H]2CCCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001089044708 750776325 /nfs/dbraw/zinc/77/63/25/750776325.db2.gz LOYNAHSVNRLFOG-WOPDTQHZSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC[C@H](O)CNc1ncc(F)cn1)C(F)C(F)(F)F ZINC001106142657 750980345 /nfs/dbraw/zinc/98/03/45/750980345.db2.gz UXQIRZJIHLVNOM-NKWVEPMBSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@H](O)CNc1ncc(F)cn1)[C@@H](F)C(F)(F)F ZINC001106142657 750980347 /nfs/dbraw/zinc/98/03/47/750980347.db2.gz UXQIRZJIHLVNOM-NKWVEPMBSA-N 0 2 314.214 0.405 20 0 DCADLN C[C@@]1(CNC(=O)/C=C/C2CC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107841577 752408456 /nfs/dbraw/zinc/40/84/56/752408456.db2.gz PIQWCBYHNMBHFA-MBVDDHJVSA-N 0 2 321.381 0.184 20 0 DCADLN CC1(NC(=O)c2cnccn2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045354300 753157205 /nfs/dbraw/zinc/15/72/05/753157205.db2.gz WVTWUZFRAWSOJP-UHFFFAOYSA-N 0 2 317.353 0.085 20 0 DCADLN CC[N@@H+]1CC[C@H](N2CCN(C(=O)c3[nH]nc(C)c3[O-])CC2)C1 ZINC001046023955 753428410 /nfs/dbraw/zinc/42/84/10/753428410.db2.gz ZVMVUKCKNUAJKB-LBPRGKRZSA-N 0 2 307.398 0.276 20 0 DCADLN CC[N@H+]1CC[C@H](N2CCN(C(=O)c3[nH]nc(C)c3[O-])CC2)C1 ZINC001046023955 753428413 /nfs/dbraw/zinc/42/84/13/753428413.db2.gz ZVMVUKCKNUAJKB-LBPRGKRZSA-N 0 2 307.398 0.276 20 0 DCADLN CCC(=O)NC[C@@H]1COCCN1C(=O)C(F)C(F)(F)F ZINC001061158984 753521550 /nfs/dbraw/zinc/52/15/50/753521550.db2.gz KDXOVKJWEATYBN-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)NC[C@@H]1COCCN1C(=O)[C@H](F)C(F)(F)F ZINC001061158984 753521555 /nfs/dbraw/zinc/52/15/55/753521555.db2.gz KDXOVKJWEATYBN-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001046214320 753563242 /nfs/dbraw/zinc/56/32/42/753563242.db2.gz DRYGXFGZXSXWIW-CQSZACIVSA-N 0 2 320.353 0.510 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ncccn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071920065 762228994 /nfs/dbraw/zinc/22/89/94/762228994.db2.gz LYPJKVVNZPWKHX-VHSXEESVSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1ccc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)nn1 ZINC001046305917 753632793 /nfs/dbraw/zinc/63/27/93/753632793.db2.gz RKKBODHBRAWMRJ-CQSZACIVSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1ccc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001044222341 753731890 /nfs/dbraw/zinc/73/18/90/753731890.db2.gz FOTVFVKSNAPFCM-UHFFFAOYSA-N 0 2 301.350 0.775 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113320487 762242696 /nfs/dbraw/zinc/24/26/96/762242696.db2.gz DPXWKHCPMYDXCS-NSHDSACASA-N 0 2 311.386 0.216 20 0 DCADLN CC(C)C(=O)N1CCOC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001061639159 754170355 /nfs/dbraw/zinc/17/03/55/754170355.db2.gz MEZHRQRSKLIGCD-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)N1CCOC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001061639159 754170358 /nfs/dbraw/zinc/17/03/58/754170358.db2.gz MEZHRQRSKLIGCD-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CCCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001048957994 755040143 /nfs/dbraw/zinc/04/01/43/755040143.db2.gz QCTJTTRXWHEHFY-NRPADANISA-N 0 2 314.279 0.717 20 0 DCADLN CCCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001048957994 755040149 /nfs/dbraw/zinc/04/01/49/755040149.db2.gz QCTJTTRXWHEHFY-NRPADANISA-N 0 2 314.279 0.717 20 0 DCADLN CSCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049310878 755220406 /nfs/dbraw/zinc/22/04/06/755220406.db2.gz BSFCDJOFGCHJRI-VHSXEESVSA-N 0 2 311.411 0.439 20 0 DCADLN CSCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049310878 755220410 /nfs/dbraw/zinc/22/04/10/755220410.db2.gz BSFCDJOFGCHJRI-VHSXEESVSA-N 0 2 311.411 0.439 20 0 DCADLN O=C(c1ccoc1)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049331525 755225194 /nfs/dbraw/zinc/22/51/94/755225194.db2.gz MGGIGIKTIALXPQ-RYUDHWBXSA-N 0 2 317.349 0.982 20 0 DCADLN O=C(c1ccoc1)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049331525 755225199 /nfs/dbraw/zinc/22/51/99/755225199.db2.gz MGGIGIKTIALXPQ-RYUDHWBXSA-N 0 2 317.349 0.982 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)cn1 ZINC001079431066 755840990 /nfs/dbraw/zinc/84/09/90/755840990.db2.gz UXBTZUXRGZWZJE-BXKDBHETSA-N 0 2 316.365 0.464 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)cn1 ZINC001079431066 755840991 /nfs/dbraw/zinc/84/09/91/755840991.db2.gz UXBTZUXRGZWZJE-BXKDBHETSA-N 0 2 316.365 0.464 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=COCCC1 ZINC001079476101 755863929 /nfs/dbraw/zinc/86/39/29/755863929.db2.gz OVXSARGJFZJILN-MWLCHTKSSA-N 0 2 307.354 0.141 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=COCCC1 ZINC001079476101 755863934 /nfs/dbraw/zinc/86/39/34/755863934.db2.gz OVXSARGJFZJILN-MWLCHTKSSA-N 0 2 307.354 0.141 20 0 DCADLN COCCN1CC2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC001053262751 755978955 /nfs/dbraw/zinc/97/89/55/755978955.db2.gz BTHCJUPPZKRMNA-SECBINFHSA-N 0 2 314.279 0.446 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@@H](C)OC)C1 ZINC001079901270 756032929 /nfs/dbraw/zinc/03/29/29/756032929.db2.gz KCBBSEGFWNPBCX-VXNVDRBHSA-N 0 2 314.279 0.981 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)[C@@H](C)OC)C1 ZINC001079901270 756032932 /nfs/dbraw/zinc/03/29/32/756032932.db2.gz KCBBSEGFWNPBCX-VXNVDRBHSA-N 0 2 314.279 0.981 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001080590712 756256237 /nfs/dbraw/zinc/25/62/37/756256237.db2.gz BSOCYGBVGCDTIO-SASUGWTJSA-N 0 2 305.382 0.493 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001080590712 756256241 /nfs/dbraw/zinc/25/62/41/756256241.db2.gz BSOCYGBVGCDTIO-SASUGWTJSA-N 0 2 305.382 0.493 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCCOC1 ZINC001080958518 756406984 /nfs/dbraw/zinc/40/69/84/756406984.db2.gz XTBQUAWKDRBSRT-GRYCIOLGSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCCOC1 ZINC001080958518 756406987 /nfs/dbraw/zinc/40/69/87/756406987.db2.gz XTBQUAWKDRBSRT-GRYCIOLGSA-N 0 2 323.397 0.264 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1CC2(CC2)C1 ZINC001082121892 756928590 /nfs/dbraw/zinc/92/85/90/756928590.db2.gz QGAXZQQLRPCUGE-GHMZBOCLSA-N 0 2 321.381 0.016 20 0 DCADLN C/C=C(\C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001057309624 757546677 /nfs/dbraw/zinc/54/66/77/757546677.db2.gz KMWFFQFUNPGORK-IPQKIJNHSA-N 0 2 314.279 0.790 20 0 DCADLN C/C=C(\C)C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001057309624 757546681 /nfs/dbraw/zinc/54/66/81/757546681.db2.gz KMWFFQFUNPGORK-IPQKIJNHSA-N 0 2 314.279 0.790 20 0 DCADLN CC(F)(F)C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084149495 757697130 /nfs/dbraw/zinc/69/71/30/757697130.db2.gz MJPHBKLXWONDJS-HTQZYQBOSA-N 0 2 301.297 0.198 20 0 DCADLN O=C(N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)[C@]12C[C@H]1CCC2 ZINC001084534036 757897753 /nfs/dbraw/zinc/89/77/53/757897753.db2.gz MGDQOYUQJXLVCX-DSZLRUIBSA-N 0 2 317.393 0.733 20 0 DCADLN CO[C@H](C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C(C)C ZINC001084634381 757941519 /nfs/dbraw/zinc/94/15/19/757941519.db2.gz OCBGBQWTNRMMQS-WZRBSPASSA-N 0 2 323.397 0.214 20 0 DCADLN CC1(C)CC[C@@H]1C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001051067219 758410646 /nfs/dbraw/zinc/41/06/46/758410646.db2.gz DYZIXMUKOJLPLB-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccc(F)cc1 ZINC001085456919 758902215 /nfs/dbraw/zinc/90/22/15/758902215.db2.gz WIOYJBPTDGEISF-GFCCVEGCSA-N 0 2 319.340 0.996 20 0 DCADLN CCc1n[nH]cc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085689348 759198159 /nfs/dbraw/zinc/19/81/59/759198159.db2.gz DGJXPFYDQZDYSF-VIFPVBQESA-N 0 2 319.369 0.142 20 0 DCADLN Cc1ncccc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085794391 759324656 /nfs/dbraw/zinc/32/46/56/759324656.db2.gz XYGBUWRZOAMIPI-NSHDSACASA-N 0 2 316.365 0.560 20 0 DCADLN CC[C@@H](C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829332 759573411 /nfs/dbraw/zinc/57/34/11/759573411.db2.gz KCAVMPVYGQGTNQ-RKDXNWHRSA-N 0 2 316.295 0.870 20 0 DCADLN CC[C@@H](C)C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057829332 759573414 /nfs/dbraw/zinc/57/34/14/759573414.db2.gz KCAVMPVYGQGTNQ-RKDXNWHRSA-N 0 2 316.295 0.870 20 0 DCADLN C[C@@H](CNc1ccc2nnnn2n1)NC(=O)C(F)C(F)(F)F ZINC001108739975 761025458 /nfs/dbraw/zinc/02/54/58/761025458.db2.gz WVYXCLHXRCJCMG-YLWLKBPMSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H](CNc1ccc2nnnn2n1)NC(=O)[C@@H](F)C(F)(F)F ZINC001108739975 761025464 /nfs/dbraw/zinc/02/54/64/761025464.db2.gz WVYXCLHXRCJCMG-YLWLKBPMSA-N 0 2 321.238 0.336 20 0 DCADLN CCO[C@H](CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001109012503 761198209 /nfs/dbraw/zinc/19/82/09/761198209.db2.gz YOIAJRIHGOXZST-NOOOWODRSA-N 0 2 323.397 0.547 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cn(C)cn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071434541 761853330 /nfs/dbraw/zinc/85/33/30/761853330.db2.gz IXKIXBSRKFWFMR-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@H]1CCC[N@@H+]1CC(=O)NC[C@@H]1CCC(C)(C)C[NH+]1CC(N)=O ZINC001099233666 762841222 /nfs/dbraw/zinc/84/12/22/762841222.db2.gz AXVNKVJBRUBWLU-KBPBESRZSA-N 0 2 324.469 0.563 20 0 DCADLN C=C/C(C)=C\CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099956726 763164099 /nfs/dbraw/zinc/16/40/99/763164099.db2.gz DDPOCESMTOONEQ-JUTKWBCOSA-N 0 2 321.381 0.084 20 0 DCADLN O=C(CCCC1CC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099967606 763173333 /nfs/dbraw/zinc/17/33/33/763173333.db2.gz QBNLNKPKTQWERV-VXGBXAGGSA-N 0 2 323.397 0.142 20 0 DCADLN COCC[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110123614 768134408 /nfs/dbraw/zinc/13/44/08/768134408.db2.gz KAQFSPKDAJQDRY-USZNOCQGSA-N 0 2 323.397 0.404 20 0 DCADLN Nc1cnnc(NNS(=O)(=O)C[C@H]2CCCO2)c1Cl ZINC001116292783 765858221 /nfs/dbraw/zinc/85/82/21/765858221.db2.gz DTLCSDPSJRPTFI-ZCFIWIBFSA-N 0 2 307.763 0.138 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cnc2c(c1)CCCC2 ZINC001116531756 765879852 /nfs/dbraw/zinc/87/98/52/765879852.db2.gz GDSAUELVHKVJQR-UHFFFAOYSA-N 0 2 316.321 0.039 20 0 DCADLN Cc1cc(N2C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)ncn1 ZINC001090545814 766304240 /nfs/dbraw/zinc/30/42/40/766304240.db2.gz JIGXVYLHABHGTM-NQMVMOMDSA-N 0 2 322.262 0.351 20 0 DCADLN Cc1cc(N2C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C2)ncn1 ZINC001090545814 766304237 /nfs/dbraw/zinc/30/42/37/766304237.db2.gz JIGXVYLHABHGTM-NQMVMOMDSA-N 0 2 322.262 0.351 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001119901589 766856426 /nfs/dbraw/zinc/85/64/26/766856426.db2.gz CHTUJCQZSZFAOD-CYBMUJFWSA-N 0 2 306.366 0.230 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001119901589 766856437 /nfs/dbraw/zinc/85/64/37/766856437.db2.gz CHTUJCQZSZFAOD-CYBMUJFWSA-N 0 2 306.366 0.230 20 0 DCADLN COCCCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001141735624 768589450 /nfs/dbraw/zinc/58/94/50/768589450.db2.gz CQBKDJKVAFZAPY-UHFFFAOYSA-N 0 2 306.322 0.423 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)NC[C@@H]2C[N@H+](CCF)CCCO2)C1 ZINC001150333871 769066105 /nfs/dbraw/zinc/06/61/05/769066105.db2.gz QGVWHBVDRQMIGG-ZIAGYGMSSA-N 0 2 301.406 0.505 20 0 DCADLN O=C(NNC(=S)NCC(F)(F)F)c1n[nH]c2c1CCCC2 ZINC000064082336 769375879 /nfs/dbraw/zinc/37/58/79/769375879.db2.gz DTZQHPVIBCWBMI-UHFFFAOYSA-N 0 2 321.328 0.960 20 0 DCADLN COC1(CC(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001233956223 769505979 /nfs/dbraw/zinc/50/59/79/769505979.db2.gz BSEZPHRGJICNPL-LLVKDONJSA-N 0 2 323.397 0.502 20 0 DCADLN CC[C@H]1OC(=O)C(Oc2c(C(=O)OC)n[nH]c2C(=O)OC)=C1C ZINC001234189801 769576899 /nfs/dbraw/zinc/57/68/99/769576899.db2.gz MZMHVKQHCPYDHF-SSDOTTSWSA-N 0 2 324.289 0.971 20 0 DCADLN CC(F)(F)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095094331 769581087 /nfs/dbraw/zinc/58/10/87/769581087.db2.gz FATGVFFGKOHKAW-CSMHCCOUSA-N 0 2 301.297 0.387 20 0 DCADLN CN(C[C@H]1CCN1Cc1ncnn1C)C(=O)C(F)C(F)(F)F ZINC001234423077 769652422 /nfs/dbraw/zinc/65/24/22/769652422.db2.gz BYYPUSIGVUZDMQ-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@H]1CCN1Cc1ncnn1C)C(=O)[C@H](F)C(F)(F)F ZINC001234423077 769652428 /nfs/dbraw/zinc/65/24/28/769652428.db2.gz BYYPUSIGVUZDMQ-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nnn(C)n1)C(=O)C(F)C(F)(F)F ZINC001234425368 769652554 /nfs/dbraw/zinc/65/25/54/769652554.db2.gz MPWONKYACVIWPV-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nnn(C)n1)C(=O)[C@H](F)C(F)(F)F ZINC001234425368 769652557 /nfs/dbraw/zinc/65/25/57/769652557.db2.gz MPWONKYACVIWPV-CBAPKCEASA-N 0 2 324.282 0.143 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1CC=CC1 ZINC001095257103 769722611 /nfs/dbraw/zinc/72/26/11/769722611.db2.gz KGMKWMBYISSKDR-WOPDTQHZSA-N 0 2 303.366 0.698 20 0 DCADLN CC[C@H](C)NC(=O)[C@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153355637 769797253 /nfs/dbraw/zinc/79/72/53/769797253.db2.gz ARBZARHDFFIIRN-KBPBESRZSA-N 0 2 323.441 0.672 20 0 DCADLN COC(OC)[C@@H](C)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001235547178 769886025 /nfs/dbraw/zinc/88/60/25/769886025.db2.gz VIVLXWYEZZCONL-RKDXNWHRSA-N 0 2 313.358 0.407 20 0 DCADLN O=C(N=c1ncnc2[nH][nH]cc1-2)c1cnc2cccnc2c1O ZINC001153854382 769963128 /nfs/dbraw/zinc/96/31/28/769963128.db2.gz YNMWYMGATFBBIC-UHFFFAOYSA-N 0 2 307.273 0.215 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC001153856547 769964989 /nfs/dbraw/zinc/96/49/89/769964989.db2.gz WJUPHYQZYRDHPY-SNVBAGLBSA-N 0 2 312.329 0.370 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1CCC[C@@H](n2ccnn2)C1 ZINC001153855543 769965712 /nfs/dbraw/zinc/96/57/12/769965712.db2.gz GZSVKBBOHQGLCA-LLVKDONJSA-N 0 2 324.344 0.992 20 0 DCADLN Cn1cc(N2CC[C@@]3(CCC[N@H+](Cc4nc[nH]n4)C3)C2=O)cn1 ZINC001237606026 770066015 /nfs/dbraw/zinc/06/60/15/770066015.db2.gz KQGOZKQDKYYPRH-OAHLLOKOSA-N 0 2 315.381 0.557 20 0 DCADLN COC(=O)c1cc(O)c(F)cc1NC(=O)Cc1n[nH]c(C)n1 ZINC001177440043 770463792 /nfs/dbraw/zinc/46/37/92/770463792.db2.gz VGRRKRRJPXYJQA-UHFFFAOYSA-N 0 2 308.269 0.926 20 0 DCADLN C[N@@H+]1CCCC[C@H]1C(=O)NC(=N)SCCS(=O)(=O)[O-] ZINC001178697112 770840238 /nfs/dbraw/zinc/84/02/38/770840238.db2.gz BYTDLADUVXEXCT-QMMMGPOBSA-N 0 2 309.413 0.143 20 0 DCADLN C[N@H+]1CCCC[C@H]1C(=O)NC(=N)SCCS(=O)(=O)[O-] ZINC001178697112 770840248 /nfs/dbraw/zinc/84/02/48/770840248.db2.gz BYTDLADUVXEXCT-QMMMGPOBSA-N 0 2 309.413 0.143 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)C1CC(O)(c2ccccc2)C1 ZINC001181648056 771325666 /nfs/dbraw/zinc/32/56/66/771325666.db2.gz REORRONERNMVGP-ZAQGZADTSA-N 0 2 306.343 0.710 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1CCC(O)(C(F)(F)F)CC1 ZINC001181734743 771345874 /nfs/dbraw/zinc/34/58/74/771345874.db2.gz NIGMFNNASUMGJN-UHFFFAOYSA-N 0 2 308.260 0.610 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@@]1([C@H]2CCCCO2)CCCO1 ZINC001182832173 771562709 /nfs/dbraw/zinc/56/27/09/771562709.db2.gz FPFJZNMWYMXFTM-ABAIWWIYSA-N 0 2 324.381 0.677 20 0 DCADLN CCOCCS(=O)(=O)Nc1nc(OC)nc(OC)c1C ZINC001187256848 772163861 /nfs/dbraw/zinc/16/38/61/772163861.db2.gz MOYSSZRAEQMDEC-UHFFFAOYSA-N 0 2 305.356 0.580 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@@H]([N@H+](C)[C@H](C)C(=O)NC3CC3)C2)[nH]1 ZINC001189408902 772469562 /nfs/dbraw/zinc/46/95/62/772469562.db2.gz FFOHBIAWAFUSHH-ZWNOBZJWSA-N 0 2 319.409 0.532 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2ncc(F)cc2F)c(=O)[nH]1 ZINC001190213810 772608383 /nfs/dbraw/zinc/60/83/83/772608383.db2.gz IQJBSSCBURHNDI-QMMMGPOBSA-N 0 2 302.262 0.036 20 0 DCADLN CCN(C)C(=O)C[NH+](C)[C@@H]1CCN(C(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC001190946855 772696628 /nfs/dbraw/zinc/69/66/28/772696628.db2.gz OFMPRCXYXJLKRR-CABCVRRESA-N 0 2 324.469 0.482 20 0 DCADLN CNC(=O)c1ccc(S(=O)(=O)Nc2cnn(COC)c2)cc1 ZINC001191579873 772785180 /nfs/dbraw/zinc/78/51/80/772785180.db2.gz VHVFYPMFXKPOCD-UHFFFAOYSA-N 0 2 324.362 0.647 20 0 DCADLN COC(=O)c1ccc(O)c(C(=O)Nc2cn[nH]c2C(N)=O)c1 ZINC001192377273 772911588 /nfs/dbraw/zinc/91/15/88/772911588.db2.gz OLRGDOLZTNMBKF-UHFFFAOYSA-N 0 2 304.262 0.253 20 0 DCADLN C[C@]1(CO)CN(C(=O)c2ccc(O)c(F)c2F)CC[C@H]1O ZINC001192831254 772965926 /nfs/dbraw/zinc/96/59/26/772965926.db2.gz DVLDHQLWKBXGQF-QMTHXVAHSA-N 0 2 301.289 0.876 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2ccc(O)c(F)c2F)CCN1C ZINC001192832573 772966033 /nfs/dbraw/zinc/96/60/33/772966033.db2.gz FXYXSJXBPGWYLK-VIFPVBQESA-N 0 2 314.288 0.600 20 0 DCADLN Nc1nc2nc(CN3CCC[C@@H]3c3cc[nH]n3)cc(=O)n2[nH]1 ZINC001193859952 773121270 /nfs/dbraw/zinc/12/12/70/773121270.db2.gz ONACIQCVJWMDBJ-SNVBAGLBSA-N 0 2 300.326 0.060 20 0 DCADLN Cn1ncc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(F)C(F)(F)F)n1 ZINC001114308571 773208324 /nfs/dbraw/zinc/20/83/24/773208324.db2.gz JBFHTYWKLFAOCC-JLIMGVALSA-N 0 2 321.278 0.262 20 0 DCADLN CCOC(=O)NC(=S)Nc1cnc(N2CCN(C)CC2)nc1 ZINC001195454320 773405049 /nfs/dbraw/zinc/40/50/49/773405049.db2.gz GFMUEOZJSJXSKQ-UHFFFAOYSA-N 0 2 324.410 0.671 20 0 DCADLN Cn1ncc2c1ncnc2NS(=O)(=O)c1ccc(O)cc1 ZINC001195762725 773469757 /nfs/dbraw/zinc/46/97/57/773469757.db2.gz DGFMASJOSLMOTO-UHFFFAOYSA-N 0 2 305.319 0.870 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cnn(C2CCOCC2)c1 ZINC001197119850 773699683 /nfs/dbraw/zinc/69/96/83/773699683.db2.gz YXIWAYKBQIHAIY-NSHDSACASA-N 0 2 310.335 0.274 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)Cc2cccnc2)cn1 ZINC001197418576 773744450 /nfs/dbraw/zinc/74/44/50/773744450.db2.gz SOUQHNKROSESAP-UHFFFAOYSA-N 0 2 308.319 0.600 20 0 DCADLN CC[C@H](F)C[N@H+]1C[C@@H](NC(=O)CCc2c[nH]nn2)[C@H](OC)C1 ZINC001213466910 773849697 /nfs/dbraw/zinc/84/96/97/773849697.db2.gz YBKKFSLNSPCVAR-CYZMBNFOSA-N 0 2 313.377 0.301 20 0 DCADLN COc1nc(Cl)ccc1S(=O)(=O)Nc1ncn(C)n1 ZINC001198651248 773956557 /nfs/dbraw/zinc/95/65/57/773956557.db2.gz TXNQRUHQZMTTBG-UHFFFAOYSA-N 0 2 303.731 0.673 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccn(CC2CC2)c(=O)c1 ZINC001198780241 773978956 /nfs/dbraw/zinc/97/89/56/773978956.db2.gz NSAXQOZDUMTTRS-GFCCVEGCSA-N 0 2 307.331 0.297 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccnc(OC2CCC2)n1 ZINC001199652559 774162173 /nfs/dbraw/zinc/16/21/73/774162173.db2.gz CGHDFYGBTAMRJQ-SNVBAGLBSA-N 0 2 308.319 0.447 20 0 DCADLN CC(C)[NH+](C)CC(=O)N[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@@H]1O ZINC001221091617 774993142 /nfs/dbraw/zinc/99/31/42/774993142.db2.gz ROHNHUANTORZPP-CVEARBPZSA-N 0 2 323.412 0.827 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226430334 775817117 /nfs/dbraw/zinc/81/71/17/775817117.db2.gz QSPQJYXWZHICGA-JTQLQIEISA-N 0 2 323.397 0.406 20 0 DCADLN COC(=O)[C@H]1C[C@H](Oc2[nH]c(=O)nnc2Br)C1 ZINC001227064911 775920314 /nfs/dbraw/zinc/92/03/14/775920314.db2.gz VPUOUHWONCWKJT-URHBZAFASA-N 0 2 304.100 0.670 20 0 DCADLN CC1=C[C@H](Oc2[nH]c(=O)nnc2Br)CC(=O)O1 ZINC001227065229 775920586 /nfs/dbraw/zinc/92/05/86/775920586.db2.gz YDFQIEWDJILOGB-YFKPBYRVSA-N 0 2 302.084 0.938 20 0 DCADLN Cn1nnnc1CN1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001227473781 775977418 /nfs/dbraw/zinc/97/74/18/775977418.db2.gz COULSXXAKKSHRL-SECBINFHSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN1CCC(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001227473781 775977425 /nfs/dbraw/zinc/97/74/25/775977425.db2.gz COULSXXAKKSHRL-SECBINFHSA-N 0 2 324.282 0.191 20 0 DCADLN CN(CCn1cc[nH+]c1)S(=O)(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001465112578 804123303 /nfs/dbraw/zinc/12/33/03/804123303.db2.gz CNIAJZMCCNZFFG-NEPJUHHUSA-N 0 2 315.395 0.788 20 0 DCADLN Cc1nsc(NC(=O)NC[C@@H]2CCN2C)c1-c1nn[nH]n1 ZINC001592481299 1167535301 /nfs/dbraw/zinc/53/53/01/1167535301.db2.gz KZHJAYIKVQMSMW-ZETCQYMHSA-N 0 2 308.371 0.457 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@@H+]2CCC[C@@H]2CCO)n1 ZINC001602150742 1169002577 /nfs/dbraw/zinc/00/25/77/1169002577.db2.gz MHNQUAKBAMKYCS-SNVBAGLBSA-N 0 2 313.379 0.556 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@H+]2CCC[C@@H]2CCO)n1 ZINC001602150742 1169002569 /nfs/dbraw/zinc/00/25/69/1169002569.db2.gz MHNQUAKBAMKYCS-SNVBAGLBSA-N 0 2 313.379 0.556 20 0 DCADLN O=C(CCc1nc[nH]n1)N[C@@H]1CC[N@H+](CCOCC(F)F)C1 ZINC001266313397 939067518 /nfs/dbraw/zinc/06/75/18/939067518.db2.gz ADYJMVGXTDLZCM-SNVBAGLBSA-N 0 2 317.340 0.210 20 0 DCADLN COCCC[C@@H](C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001266349152 939087722 /nfs/dbraw/zinc/08/77/22/939087722.db2.gz ALOGPLYCOFFPFR-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@]1(NC(=O)C[C@@H]2C=CCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001278355294 945238676 /nfs/dbraw/zinc/23/86/76/945238676.db2.gz ATECBQFCRFSBOR-ABAIWWIYSA-N 0 2 305.382 0.947 20 0 DCADLN C[C@]1(NC(=O)C[C@@H]2C=CCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001278355294 945238683 /nfs/dbraw/zinc/23/86/83/945238683.db2.gz ATECBQFCRFSBOR-ABAIWWIYSA-N 0 2 305.382 0.947 20 0 DCADLN C/C=C(\C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001318016874 945240675 /nfs/dbraw/zinc/24/06/75/945240675.db2.gz VIJPZOGJJABPBG-XCVCLJGOSA-N 0 2 301.350 0.289 20 0 DCADLN CCC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1cn(C)nn1 ZINC001493286673 939350459 /nfs/dbraw/zinc/35/04/59/939350459.db2.gz NXBGNAYYCLKWGD-UHFFFAOYSA-N 0 2 321.385 0.194 20 0 DCADLN CCC[N@@H+](CCNC(=O)c1[nH]nc(C)c1[O-])Cc1cn(C)nn1 ZINC001493286673 939350464 /nfs/dbraw/zinc/35/04/64/939350464.db2.gz NXBGNAYYCLKWGD-UHFFFAOYSA-N 0 2 321.385 0.194 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C2CC2)n[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001481001110 939517195 /nfs/dbraw/zinc/51/71/95/939517195.db2.gz MSHBOAGDCDCLJH-UHFFFAOYSA-N 0 2 319.369 0.363 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C2CC2)n[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001481001110 939517197 /nfs/dbraw/zinc/51/71/97/939517197.db2.gz MSHBOAGDCDCLJH-UHFFFAOYSA-N 0 2 319.369 0.363 20 0 DCADLN C=C/C(C)=C/CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001267711304 939634285 /nfs/dbraw/zinc/63/42/85/939634285.db2.gz REYKLDTZPDDGPI-FDZLHUOSSA-N 0 2 303.366 0.818 20 0 DCADLN COCC(=O)N(CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001408919923 940111429 /nfs/dbraw/zinc/11/14/29/940111429.db2.gz WXJRWLHAPRVANM-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N(CCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001408919923 940111430 /nfs/dbraw/zinc/11/14/30/940111430.db2.gz WXJRWLHAPRVANM-SECBINFHSA-N 0 2 300.252 0.640 20 0 DCADLN COCCOCC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001285716241 941276177 /nfs/dbraw/zinc/27/61/77/941276177.db2.gz JZYSEDAFTGXCFO-IONNQARKSA-N 0 2 318.267 0.171 20 0 DCADLN COCCOCC(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001285716241 941276181 /nfs/dbraw/zinc/27/61/81/941276181.db2.gz JZYSEDAFTGXCFO-IONNQARKSA-N 0 2 318.267 0.171 20 0 DCADLN COCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1n[nH]c(C)c1C ZINC001280399569 941374840 /nfs/dbraw/zinc/37/48/40/941374840.db2.gz PBNMTSGAVYZYPK-ZDUSSCGKSA-N 0 2 310.398 0.494 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)NCCc2cccc(C)[nH+]2)n[n-]1 ZINC001414312505 941530076 /nfs/dbraw/zinc/53/00/76/941530076.db2.gz YHQFKEKBURWVNC-UHFFFAOYSA-N 0 2 324.362 0.421 20 0 DCADLN Cc1nnc(C[NH2+][C@@H](C)[C@H](C)NC(=O)c2nc[nH]n2)n1C1CC1 ZINC001409358636 941962750 /nfs/dbraw/zinc/96/27/50/941962750.db2.gz HGEYBIBSWNUKEI-IUCAKERBSA-N 0 2 318.385 0.336 20 0 DCADLN C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)[N@@H+](C)CCn1cccn1 ZINC001409592127 942076508 /nfs/dbraw/zinc/07/65/08/942076508.db2.gz PTWJDANSTWENOD-CYBMUJFWSA-N 0 2 304.398 0.676 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1cc[nH]c1 ZINC001412856117 942111470 /nfs/dbraw/zinc/11/14/70/942111470.db2.gz KMDCEEGLHBOTSA-HTQZYQBOSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1cc[nH]c1 ZINC001412856117 942111474 /nfs/dbraw/zinc/11/14/74/942111474.db2.gz KMDCEEGLHBOTSA-HTQZYQBOSA-N 0 2 311.235 0.122 20 0 DCADLN CC(F)(F)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001412870582 942116518 /nfs/dbraw/zinc/11/65/18/942116518.db2.gz FYNPITDQUQIDIS-CRCLSJGQSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001412870582 942116521 /nfs/dbraw/zinc/11/65/21/942116521.db2.gz FYNPITDQUQIDIS-CRCLSJGQSA-N 0 2 310.194 0.135 20 0 DCADLN CCn1nncc1C[N@H+](C)C[C@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001483325036 942266953 /nfs/dbraw/zinc/26/69/53/942266953.db2.gz DPZKKKWRLXRONM-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN CCn1nncc1C[N@@H+](C)C[C@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001483325036 942266955 /nfs/dbraw/zinc/26/69/55/942266955.db2.gz DPZKKKWRLXRONM-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)no1 ZINC001483391798 942325496 /nfs/dbraw/zinc/32/54/96/942325496.db2.gz XYKIDCBAODYWJL-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(C2CC2)no1 ZINC001483391798 942325499 /nfs/dbraw/zinc/32/54/99/942325499.db2.gz XYKIDCBAODYWJL-MRVPVSSYSA-N 0 2 320.353 0.626 20 0 DCADLN CCc1cnc(CNCc2nnc(CS(C)(=O)=O)[nH]2)s1 ZINC001413162545 942538591 /nfs/dbraw/zinc/53/85/91/942538591.db2.gz PROUQQAVEKRCEP-UHFFFAOYSA-N 0 2 315.424 0.658 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N[C@]1(C)CC[N@@H+]([C@H]2CCCNC2=O)C1 ZINC001484071960 943134995 /nfs/dbraw/zinc/13/49/95/943134995.db2.gz PXBWTOQLIJHKIO-XJKSGUPXSA-N 0 2 319.409 0.051 20 0 DCADLN CCC(=O)N1CCC[C@](CO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001055978258 943156557 /nfs/dbraw/zinc/15/65/57/943156557.db2.gz WYBMGQAKZCTXEG-KOLCDFICSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N1CCC[C@](CO)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001055978258 943156561 /nfs/dbraw/zinc/15/65/61/943156561.db2.gz WYBMGQAKZCTXEG-KOLCDFICSA-N 0 2 314.279 0.767 20 0 DCADLN CC(F)(F)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056787607 943416762 /nfs/dbraw/zinc/41/67/62/943416762.db2.gz LLYYZQUQSAJCKY-LURJTMIESA-N 0 2 324.221 0.479 20 0 DCADLN CC(F)(F)C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001056787607 943416765 /nfs/dbraw/zinc/41/67/65/943416765.db2.gz LLYYZQUQSAJCKY-LURJTMIESA-N 0 2 324.221 0.479 20 0 DCADLN Cc1coc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1C ZINC001413669825 943561799 /nfs/dbraw/zinc/56/17/99/943561799.db2.gz FIAGODHUZOARRV-UHFFFAOYSA-N 0 2 312.351 0.489 20 0 DCADLN CC/C=C(\C)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001484793694 943580125 /nfs/dbraw/zinc/58/01/25/943580125.db2.gz XCFLOWDUALUNOF-LLMHMKPQSA-N 0 2 321.381 0.326 20 0 DCADLN CO[C@H](C)CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491241555 943865184 /nfs/dbraw/zinc/86/51/84/943865184.db2.gz BNSKLFROENLRFZ-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN CO[C@H](C)CCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491241555 943865191 /nfs/dbraw/zinc/86/51/91/943865191.db2.gz BNSKLFROENLRFZ-VXNVDRBHSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@H](NC(=O)C[C@H]1C=CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485489217 943957843 /nfs/dbraw/zinc/95/78/43/943957843.db2.gz OXHACUUSLAOATJ-QWRGUYRKSA-N 0 2 305.382 0.803 20 0 DCADLN CCCc1noc(C[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001491430725 943988990 /nfs/dbraw/zinc/98/89/90/943988990.db2.gz HGJFJPHIRMJCBN-QMMMGPOBSA-N 0 2 322.369 0.667 20 0 DCADLN C[C@H](NC(=O)Cc1ccc[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485536537 943993025 /nfs/dbraw/zinc/99/30/25/943993025.db2.gz AGCUWGICUIZAKE-VIFPVBQESA-N 0 2 304.354 0.018 20 0 DCADLN C[C@@H](CC[NH2+]Cc1n[nH]c(=O)[n-]1)NC(=O)C(F)C(F)(F)F ZINC001272791085 944228687 /nfs/dbraw/zinc/22/86/87/944228687.db2.gz NEFCPPOFJNCOOG-CAHLUQPWSA-N 0 2 313.255 0.395 20 0 DCADLN C[C@@H](CC[NH2+]Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001272791085 944228692 /nfs/dbraw/zinc/22/86/92/944228692.db2.gz NEFCPPOFJNCOOG-CAHLUQPWSA-N 0 2 313.255 0.395 20 0 DCADLN C[C@@H]([NH2+]C[C@@H](NC(=O)c1nnc[nH]1)C(C)(C)C)c1nncn1C ZINC001486417603 944878607 /nfs/dbraw/zinc/87/86/07/944878607.db2.gz QXMDEYSRUFIHHI-NXEZZACHSA-N 0 2 320.401 0.429 20 0 DCADLN C[C@@H]([NH2+]C[C@@H](NC(=O)c1ncn[nH]1)C(C)(C)C)c1nncn1C ZINC001486417603 944878610 /nfs/dbraw/zinc/87/86/10/944878610.db2.gz QXMDEYSRUFIHHI-NXEZZACHSA-N 0 2 320.401 0.429 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cnccn1 ZINC001486891521 945373428 /nfs/dbraw/zinc/37/34/28/945373428.db2.gz FISGPDNNHTYMHJ-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1cnccn1 ZINC001486891521 945373443 /nfs/dbraw/zinc/37/34/43/945373443.db2.gz FISGPDNNHTYMHJ-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN CCCCS(=O)(=O)Nc1ncnc2c1ncn2C[C@@H](C)O ZINC001253786598 946180245 /nfs/dbraw/zinc/18/02/45/946180245.db2.gz FWKUCVNWMNHROJ-SECBINFHSA-N 0 2 313.383 0.749 20 0 DCADLN O=C(c1cccc2cn[nH]c21)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001321174963 946199153 /nfs/dbraw/zinc/19/91/53/946199153.db2.gz JZNQAEYTHOANBY-UHFFFAOYSA-N 0 2 313.321 0.200 20 0 DCADLN O=C(c1cccc2c[nH]nc21)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001321174963 946199160 /nfs/dbraw/zinc/19/91/60/946199160.db2.gz JZNQAEYTHOANBY-UHFFFAOYSA-N 0 2 313.321 0.200 20 0 DCADLN NC(=O)c1cn2c(n1)CN(C(=O)CC(=O)c1ccccc1)CC2 ZINC001273306418 946460722 /nfs/dbraw/zinc/46/07/22/946460722.db2.gz CILQMRCSRRJBRT-UHFFFAOYSA-N 0 2 312.329 0.597 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2c(c1)S(=O)(=O)C=C2 ZINC001259025438 946899328 /nfs/dbraw/zinc/89/93/28/946899328.db2.gz UTWXBOVABMMDFW-UHFFFAOYSA-N 0 2 317.344 0.359 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3[nH]c(=O)cnc3c2)cn1 ZINC001259827631 946991581 /nfs/dbraw/zinc/99/15/81/946991581.db2.gz JNHBGYUNRFBZRR-UHFFFAOYSA-N 0 2 305.319 0.457 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(-n3cncn3)c2)cn1 ZINC001259826356 946991825 /nfs/dbraw/zinc/99/18/25/946991825.db2.gz LOLCSSLCBTVRDW-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN CS(=O)(=O)CS(=O)(=O)Nc1cc(Cl)ncc1O ZINC001260584455 947115078 /nfs/dbraw/zinc/11/50/78/947115078.db2.gz HGXQCSHXRFZSCI-UHFFFAOYSA-N 0 2 300.745 0.184 20 0 DCADLN CCc1cnn2cc(NS(=O)(=O)CS(C)(=O)=O)cnc12 ZINC001260585088 947117020 /nfs/dbraw/zinc/11/70/20/947117020.db2.gz NMZRCKLFIFBFGF-UHFFFAOYSA-N 0 2 318.380 0.036 20 0 DCADLN O=C1C=CC(=O)N1c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC001260961867 947159311 /nfs/dbraw/zinc/15/93/11/947159311.db2.gz WQRKFGKNLORMMS-UHFFFAOYSA-N 0 2 318.314 0.640 20 0 DCADLN O=c1[nH]c(=O)c2ccc(NS(=O)(=O)c3cn[nH]c3)cc2[nH]1 ZINC001260961072 947159529 /nfs/dbraw/zinc/15/95/29/947159529.db2.gz BHXRLJXHPOPKBO-UHFFFAOYSA-N 0 2 307.291 0.565 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CC[C@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001411038136 947259913 /nfs/dbraw/zinc/25/99/13/947259913.db2.gz PMIFLAKQUWDJNZ-CJNGLKHVSA-N 0 2 319.409 0.154 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CC[C@@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001411038160 947261246 /nfs/dbraw/zinc/26/12/46/947261246.db2.gz PMIFLAKQUWDJNZ-CZUORRHYSA-N 0 2 319.409 0.154 20 0 DCADLN COCCN(CCNC(=O)c1cocc1C)Cc1n[nH]c(=O)[nH]1 ZINC001411223985 947510864 /nfs/dbraw/zinc/51/08/64/947510864.db2.gz PQZXPWWJBWLCFH-UHFFFAOYSA-N 0 2 323.353 0.290 20 0 DCADLN COC(=O)c1cnc(NCCNC(=O)C(F)C(F)(F)F)nc1 ZINC001094182788 947802382 /nfs/dbraw/zinc/80/23/82/947802382.db2.gz NNPMODAWHJKMFL-ZETCQYMHSA-N 0 2 324.234 0.692 20 0 DCADLN COC(=O)c1cnc(NCCNC(=O)[C@H](F)C(F)(F)F)nc1 ZINC001094182788 947802394 /nfs/dbraw/zinc/80/23/94/947802394.db2.gz NNPMODAWHJKMFL-ZETCQYMHSA-N 0 2 324.234 0.692 20 0 DCADLN CCOc1ccccc1Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O ZINC001570920370 948598060 /nfs/dbraw/zinc/59/80/60/948598060.db2.gz LNZVJPIQOXJHRI-UHFFFAOYSA-N 0 2 314.305 0.576 20 0 DCADLN CN(C)C(=O)[C@H]1CCC[N@H+]1CCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001328197744 948739437 /nfs/dbraw/zinc/73/94/37/948739437.db2.gz NXKGHLXKKHKMTG-GFCCVEGCSA-N 0 2 307.398 0.011 20 0 DCADLN CC(=O)N[C@H](CC1CCC1)C(=O)N[C@@H](C)c1nn(C)cc1O ZINC001364394704 949185017 /nfs/dbraw/zinc/18/50/17/949185017.db2.gz FKJYTZDWKGWEQF-JOYOIKCWSA-N 0 2 308.382 0.998 20 0 DCADLN Cc1cc(C)n(CC(=O)N[C@@H](C)c2nn(C)cc2O)c(=O)n1 ZINC001364398606 949190934 /nfs/dbraw/zinc/19/09/34/949190934.db2.gz LUDCACXBVZLYPS-JTQLQIEISA-N 0 2 305.338 0.177 20 0 DCADLN COC(=O)[C@@]1(CNC(=O)c2cc(F)c(O)c(F)c2)CCOC1 ZINC001364465441 949363222 /nfs/dbraw/zinc/36/32/22/949363222.db2.gz WHICEFJIEXIDJI-CQSZACIVSA-N 0 2 315.272 0.980 20 0 DCADLN NS(=O)(=O)c1cc(NC(=O)c2nnc(C3CC3)o2)cs1 ZINC001364501631 949434972 /nfs/dbraw/zinc/43/49/72/949434972.db2.gz YEQPNYALTDIMDN-UHFFFAOYSA-N 0 2 314.348 0.908 20 0 DCADLN Cc1[nH]ncc1CNC(=O)NC1CCC2(CC1)NC(=O)NC2=O ZINC001329211402 949438606 /nfs/dbraw/zinc/43/86/06/949438606.db2.gz DGNZHMANKOICMI-UHFFFAOYSA-N 0 2 320.353 0.038 20 0 DCADLN O=C(c1[nH]cnc1C(F)(F)F)N1CCC(c2nn[nH]n2)CC1 ZINC001364530029 949499871 /nfs/dbraw/zinc/49/98/71/949499871.db2.gz QRWUVJNCHUVTPU-UHFFFAOYSA-N 0 2 315.259 0.961 20 0 DCADLN O=C(c1nc[nH]c1C(F)(F)F)N1CCC(c2nn[nH]n2)CC1 ZINC001364530029 949499882 /nfs/dbraw/zinc/49/98/82/949499882.db2.gz QRWUVJNCHUVTPU-UHFFFAOYSA-N 0 2 315.259 0.961 20 0 DCADLN O=C(Cc1csc(-c2nc[nH]n2)n1)N1CCc2[nH]nnc2C1 ZINC001329605545 949711234 /nfs/dbraw/zinc/71/12/34/949711234.db2.gz YMWWRENRHOEBLJ-UHFFFAOYSA-N 0 2 316.350 0.174 20 0 DCADLN CO[C@]1(CNCc2ccc(O)c(F)c2F)CCS(=O)(=O)C1 ZINC001364726552 949823987 /nfs/dbraw/zinc/82/39/87/949823987.db2.gz IXUBWSRXRKVJET-ZDUSSCGKSA-N 0 2 321.345 0.964 20 0 DCADLN CCCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001489550137 949865359 /nfs/dbraw/zinc/86/53/59/949865359.db2.gz WBXGWIRJUGSOFV-FJXKBIBVSA-N 0 2 300.252 0.375 20 0 DCADLN CCCC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC001489550137 949865369 /nfs/dbraw/zinc/86/53/69/949865369.db2.gz WBXGWIRJUGSOFV-FJXKBIBVSA-N 0 2 300.252 0.375 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](CC(=O)N1CCC2(CC1)OCCO2)C1CC1 ZINC001602819767 971620835 /nfs/dbraw/zinc/62/08/35/971620835.db2.gz HIHBKDVYMMCPSN-NSHDSACASA-N 0 2 312.366 0.289 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](CC(=O)N1CCC2(CC1)OCCO2)C1CC1 ZINC001602819767 971620843 /nfs/dbraw/zinc/62/08/43/971620843.db2.gz HIHBKDVYMMCPSN-NSHDSACASA-N 0 2 312.366 0.289 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)N[C@H](CO)CC(F)F ZINC001364844799 950055698 /nfs/dbraw/zinc/05/56/98/950055698.db2.gz PVRNSLHVSUJDPI-LURJTMIESA-N 0 2 313.278 0.361 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001495276792 950083611 /nfs/dbraw/zinc/08/36/11/950083611.db2.gz QTWFJQIIYCIHRH-XPUUQOCRSA-N 0 2 324.234 0.548 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)c2cnon2)C1)[C@H](F)C(F)(F)F ZINC001495276792 950083635 /nfs/dbraw/zinc/08/36/35/950083635.db2.gz QTWFJQIIYCIHRH-XPUUQOCRSA-N 0 2 324.234 0.548 20 0 DCADLN CNc1ccc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)nc1 ZINC001364972862 950278059 /nfs/dbraw/zinc/27/80/59/950278059.db2.gz HNMCBORPSLDXCN-UHFFFAOYSA-N 0 2 317.349 0.948 20 0 DCADLN CC1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCCC1 ZINC001364997173 950317779 /nfs/dbraw/zinc/31/77/79/950317779.db2.gz HUQRXNCDOHJLFA-UHFFFAOYSA-N 0 2 300.384 0.546 20 0 DCADLN CC(F)(F)CCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364996761 950320314 /nfs/dbraw/zinc/32/03/14/950320314.db2.gz CNKFZJQQLIESAT-UHFFFAOYSA-N 0 2 310.326 0.401 20 0 DCADLN O=C(c1cc(F)cc(Cl)c1O)N1CC[C@@H](O)[C@H](CO)C1 ZINC001365197241 950715180 /nfs/dbraw/zinc/71/51/80/950715180.db2.gz HBGBODCSSTYEGW-WRWORJQWSA-N 0 2 303.717 1.000 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCN1CC[NH+](C/C=C\Cl)CC1 ZINC001490516029 950842075 /nfs/dbraw/zinc/84/20/75/950842075.db2.gz HYNSTZGOTHDVGA-PLNGDYQASA-N 0 2 316.877 0.813 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@@H](C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CO1 ZINC001595001869 951140333 /nfs/dbraw/zinc/14/03/33/951140333.db2.gz PZZTUNMTTOXMDI-IJLUTSLNSA-N 0 2 307.350 0.584 20 0 DCADLN CNC(=O)N1CCC(NS(=O)(=O)CC(F)(F)F)CC1 ZINC001253235655 951232418 /nfs/dbraw/zinc/23/24/18/951232418.db2.gz WSNKTYXNXQWWPE-UHFFFAOYSA-N 0 2 303.306 0.272 20 0 DCADLN Cn1[n-]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)cc1=O ZINC001274805368 951845676 /nfs/dbraw/zinc/84/56/76/951845676.db2.gz PTLBKPAYVAINDM-TXEJJXNPSA-N 0 2 316.365 0.338 20 0 DCADLN Cn1[n-]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)cc1=O ZINC001274805368 951845685 /nfs/dbraw/zinc/84/56/85/951845685.db2.gz PTLBKPAYVAINDM-TXEJJXNPSA-N 0 2 316.365 0.338 20 0 DCADLN COC[C@H](C)CC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333432461 952057804 /nfs/dbraw/zinc/05/78/04/952057804.db2.gz PLSSXQGQKUTOHS-LLVKDONJSA-N 0 2 323.397 0.431 20 0 DCADLN COC[C@H](C)CC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333432461 952057811 /nfs/dbraw/zinc/05/78/11/952057811.db2.gz PLSSXQGQKUTOHS-LLVKDONJSA-N 0 2 323.397 0.431 20 0 DCADLN CC(=O)c1ccc(O)c(C(=O)N2Cc3n[nH]c(C(N)=O)c3C2)c1 ZINC001275492487 952195164 /nfs/dbraw/zinc/19/51/64/952195164.db2.gz WBZVFNXDDWZMKP-UHFFFAOYSA-N 0 2 314.301 0.573 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC(F)(F)C1 ZINC001411969052 952574457 /nfs/dbraw/zinc/57/44/57/952574457.db2.gz NJHICKFQONLZLJ-UHFFFAOYSA-N 0 2 310.260 0.747 20 0 DCADLN COCC(=O)N1C[C@H](NC(=O)C(F)C(F)(F)F)C(C)(C)C1 ZINC001412054201 952623324 /nfs/dbraw/zinc/62/33/24/952623324.db2.gz RIJIDLIUMRVPQE-IONNQARKSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)N1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C(C)(C)C1 ZINC001412054201 952623326 /nfs/dbraw/zinc/62/33/26/952623326.db2.gz RIJIDLIUMRVPQE-IONNQARKSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1cc2n(n1)CCCC2 ZINC001412295763 952734946 /nfs/dbraw/zinc/73/49/46/952734946.db2.gz FMSSMOGYGRDZOG-KWQFWETISA-N 0 2 321.406 0.953 20 0 DCADLN C[C@@H](O)[C@H](O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001412422294 952789733 /nfs/dbraw/zinc/78/97/33/952789733.db2.gz YTLKHBZHOAMAOI-LDYMZIIASA-N 0 2 309.297 0.189 20 0 DCADLN COC[C@@H](OC)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001455283464 952869708 /nfs/dbraw/zinc/86/97/08/952869708.db2.gz VNVCHCTWCXCDBK-SFYZADRCSA-N 0 2 318.267 0.123 20 0 DCADLN COC[C@@H](OC)C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001455283464 952869714 /nfs/dbraw/zinc/86/97/14/952869714.db2.gz VNVCHCTWCXCDBK-SFYZADRCSA-N 0 2 318.267 0.123 20 0 DCADLN O=C(CO)Nc1cccc(S(=O)(=O)Nc2nccs2)c1 ZINC001412619966 952944771 /nfs/dbraw/zinc/94/47/71/952944771.db2.gz LPSXCLPRJLCDMM-UHFFFAOYSA-N 0 2 313.360 0.875 20 0 DCADLN C[C@H](NC(=O)c1ccoc1)C(=O)NCCc1n[nH]c(=S)o1 ZINC001338357792 953003137 /nfs/dbraw/zinc/00/31/37/953003137.db2.gz CAHLFFJUZOVHGT-ZETCQYMHSA-N 0 2 310.335 0.429 20 0 DCADLN O=C(NC1CCN([C@H]2CCOC2=O)CC1)C(F)C(F)(F)F ZINC001412725298 953023057 /nfs/dbraw/zinc/02/30/57/953023057.db2.gz ILCCWDDKIACGKD-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC[C@@H](O)CO)=N1 ZINC001412743954 953039606 /nfs/dbraw/zinc/03/96/06/953039606.db2.gz JWWPLUALKNQQKW-LLVKDONJSA-N 0 2 305.334 0.223 20 0 DCADLN CN(C(=O)CC(C)(C)O)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001365921501 953410592 /nfs/dbraw/zinc/41/05/92/953410592.db2.gz FWUSJEQAFXRUFF-UHFFFAOYSA-N 0 2 311.386 0.094 20 0 DCADLN Cn1ncnc1CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001365938364 953434729 /nfs/dbraw/zinc/43/47/29/953434729.db2.gz PYLQKPBWZYZLMI-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CCC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001365938364 953434744 /nfs/dbraw/zinc/43/47/44/953434744.db2.gz PYLQKPBWZYZLMI-PSASIEDQSA-N 0 2 323.294 0.796 20 0 DCADLN CC(C)SCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001365938581 953437161 /nfs/dbraw/zinc/43/71/61/953437161.db2.gz SSBNOYANMGSQQB-SNVBAGLBSA-N 0 2 313.427 0.733 20 0 DCADLN CCO[C@@H](C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001365989273 953530521 /nfs/dbraw/zinc/53/05/21/953530521.db2.gz YYPXMLWGNNFOAM-ZYHUDNBSSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C(=O)[O-])n[nH]1 ZINC001589288151 953582308 /nfs/dbraw/zinc/58/23/08/953582308.db2.gz BNCXFAFBXWBMGC-NXEZZACHSA-N 0 2 308.338 0.043 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001430097944 1013116232 /nfs/dbraw/zinc/11/62/32/1013116232.db2.gz QZVXHVWNDDHJJX-NKWVEPMBSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001430097944 1013116242 /nfs/dbraw/zinc/11/62/42/1013116242.db2.gz QZVXHVWNDDHJJX-NKWVEPMBSA-N 0 2 323.250 0.046 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)C[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001589293649 953623157 /nfs/dbraw/zinc/62/31/57/953623157.db2.gz PDIFLJDEBDYZLJ-VHSXEESVSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)C[N@H+]1CC(=O)NCC(=O)[O-] ZINC001589293649 953623163 /nfs/dbraw/zinc/62/31/63/953623163.db2.gz PDIFLJDEBDYZLJ-VHSXEESVSA-N 0 2 315.370 0.175 20 0 DCADLN CCO[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001283033450 953939489 /nfs/dbraw/zinc/93/94/89/953939489.db2.gz MLUBMTQABAJEHN-DTWKUNHWSA-N 0 2 314.279 0.934 20 0 DCADLN CCO[C@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001283033450 953939493 /nfs/dbraw/zinc/93/94/93/953939493.db2.gz MLUBMTQABAJEHN-DTWKUNHWSA-N 0 2 314.279 0.934 20 0 DCADLN O=C([O-])[C@@H](c1ccccc1)N1CC[C@H]([NH2+]Cc2cnc[nH]2)C1=O ZINC001594842893 954095210 /nfs/dbraw/zinc/09/52/10/954095210.db2.gz ACRMECKTCOANFH-UONOGXRCSA-N 0 2 314.345 0.926 20 0 DCADLN C[C@@H]1C[C@@H]1C(=O)NCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001348389593 954292719 /nfs/dbraw/zinc/29/27/19/954292719.db2.gz RUCSTDCNTUIIMX-KOLCDFICSA-N 0 2 316.365 0.312 20 0 DCADLN C[N@H+](CCNC(=O)c1ocnc1C(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001366563995 954427356 /nfs/dbraw/zinc/42/73/56/954427356.db2.gz RBNIHOJTXQHADA-UHFFFAOYSA-N 0 2 316.268 0.298 20 0 DCADLN C[N@@H+](CCNC(=O)c1ocnc1C(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001366563995 954427362 /nfs/dbraw/zinc/42/73/62/954427362.db2.gz RBNIHOJTXQHADA-UHFFFAOYSA-N 0 2 316.268 0.298 20 0 DCADLN Cn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(C)(C(=O)[O-])CC1 ZINC001593775112 954595832 /nfs/dbraw/zinc/59/58/32/954595832.db2.gz YNPNKMLFLCNNPZ-MNOVXSKESA-N 0 2 323.397 0.244 20 0 DCADLN Cn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(C)(C(=O)[O-])CC1 ZINC001593775112 954595844 /nfs/dbraw/zinc/59/58/44/954595844.db2.gz YNPNKMLFLCNNPZ-MNOVXSKESA-N 0 2 323.397 0.244 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@@H](C(=O)[O-])C1 ZINC001593788492 954742494 /nfs/dbraw/zinc/74/24/94/954742494.db2.gz URZMRKAJZKQOMC-ZIAGYGMSSA-N 0 2 319.361 0.668 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@@H](C(=O)[O-])C1 ZINC001593788492 954742499 /nfs/dbraw/zinc/74/24/99/954742499.db2.gz URZMRKAJZKQOMC-ZIAGYGMSSA-N 0 2 319.361 0.668 20 0 DCADLN CNC(=O)C[N@@H+]1CCCN(C(=O)[C@]2(C(=O)[O-])CC=CCC2)CC1 ZINC001593793721 954786424 /nfs/dbraw/zinc/78/64/24/954786424.db2.gz WAGWRHBBDYPLQN-INIZCTEOSA-N 0 2 323.393 0.078 20 0 DCADLN CNC(=O)C[N@H+]1CCCN(C(=O)[C@]2(C(=O)[O-])CC=CCC2)CC1 ZINC001593793721 954786439 /nfs/dbraw/zinc/78/64/39/954786439.db2.gz WAGWRHBBDYPLQN-INIZCTEOSA-N 0 2 323.393 0.078 20 0 DCADLN COC(=O)[C@H](C)Oc1ccccc1C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC001593805513 954897815 /nfs/dbraw/zinc/89/78/15/954897815.db2.gz NANWLNCNHDRZTD-FZMZJTMJSA-N 0 2 323.345 0.912 20 0 DCADLN COC(=O)[C@H](C)Oc1ccccc1C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC001593805513 954897829 /nfs/dbraw/zinc/89/78/29/954897829.db2.gz NANWLNCNHDRZTD-FZMZJTMJSA-N 0 2 323.345 0.912 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+]1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC001593809171 954922993 /nfs/dbraw/zinc/92/29/93/954922993.db2.gz ZAYKKUXZXUKRJP-SRVKXCTJSA-N 0 2 314.382 0.628 20 0 DCADLN COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+]1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC001593809171 954922998 /nfs/dbraw/zinc/92/29/98/954922998.db2.gz ZAYKKUXZXUKRJP-SRVKXCTJSA-N 0 2 314.382 0.628 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC001594957945 954995904 /nfs/dbraw/zinc/99/59/04/954995904.db2.gz WNWOLAZURJDLSW-GFCCVEGCSA-N 0 2 317.301 0.504 20 0 DCADLN CC[C@H](CNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C)C(=O)[O-] ZINC001589527463 955131874 /nfs/dbraw/zinc/13/18/74/955131874.db2.gz ZBJSSJULBBFBMH-JHJVBQTASA-N 0 2 313.398 0.602 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C)C(=O)[O-] ZINC001589538569 955182844 /nfs/dbraw/zinc/18/28/44/955182844.db2.gz QQFOWBRVNFAJCE-SDDRHHMPSA-N 0 2 301.387 0.506 20 0 DCADLN CC[C@H](CNC(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C)C(=O)[O-] ZINC001589538569 955182853 /nfs/dbraw/zinc/18/28/53/955182853.db2.gz QQFOWBRVNFAJCE-SDDRHHMPSA-N 0 2 301.387 0.506 20 0 DCADLN COCCN(CCNC(=O)[C@]1(C)C=CCC1)Cc1n[nH]c(=O)[nH]1 ZINC001284658238 955221258 /nfs/dbraw/zinc/22/12/58/955221258.db2.gz SCOBKHBKRNTTSF-OAHLLOKOSA-N 0 2 323.397 0.431 20 0 DCADLN C[C@H](CC(=O)O[C@H]1CCCCN(CC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001589007155 955369234 /nfs/dbraw/zinc/36/92/34/955369234.db2.gz DFMYBNYIWAFPOC-NEPJUHHUSA-N 0 2 323.349 0.843 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)Cn1ncnn1 ZINC001352403424 955610025 /nfs/dbraw/zinc/61/00/25/955610025.db2.gz YQVZCLAUYYZZIM-UHFFFAOYSA-N 0 2 305.323 0.042 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]c1-c1cnn(C)c1)C1N=NC(=O)N1C ZINC001300074995 955704821 /nfs/dbraw/zinc/70/48/21/955704821.db2.gz YOIHFRDXMNYTRA-SSDOTTSWSA-N 0 2 316.325 0.135 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)[C@@]2(C(=O)[O-])CCCO2)C1 ZINC001594555800 955757813 /nfs/dbraw/zinc/75/78/13/955757813.db2.gz MBBOBQOADBLIBF-IAQYHMDHSA-N 0 2 307.350 0.760 20 0 DCADLN C[C@@H](CNC(=O)c1cc[n+]([O-])cc1)NC(=O)C(F)C(F)(F)F ZINC001285738251 955945644 /nfs/dbraw/zinc/94/56/44/955945644.db2.gz FNYKYFOZTOQPNS-CBAPKCEASA-N 0 2 323.246 0.455 20 0 DCADLN C[C@@H](CNC(=O)c1cc[n+]([O-])cc1)NC(=O)[C@H](F)C(F)(F)F ZINC001285738251 955945657 /nfs/dbraw/zinc/94/56/57/955945657.db2.gz FNYKYFOZTOQPNS-CBAPKCEASA-N 0 2 323.246 0.455 20 0 DCADLN C[C@H](NC(=O)N(C)CCCC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC001589098074 955991363 /nfs/dbraw/zinc/99/13/63/955991363.db2.gz CIEVTJRFFYMOMD-RYUDHWBXSA-N 0 2 301.387 0.602 20 0 DCADLN C[C@H](NC(=O)Nc1cccnc1C(=O)[O-])[C@@H]1CN(C)CC[N@@H+]1C ZINC001589108412 956044875 /nfs/dbraw/zinc/04/48/75/956044875.db2.gz JCGFIOCWUBTVPX-JQWIXIFHSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@H](NC(=O)Nc1cccnc1C(=O)[O-])[C@@H]1CN(C)CC[N@H+]1C ZINC001589108412 956044891 /nfs/dbraw/zinc/04/48/91/956044891.db2.gz JCGFIOCWUBTVPX-JQWIXIFHSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@@H]1CCN(C(=O)NCc2n[nH]c(=O)n2C2CC2)C[C@H]1CO ZINC001413923465 956204151 /nfs/dbraw/zinc/20/41/51/956204151.db2.gz VYPLALOCSNLSPC-ZJUUUORDSA-N 0 2 309.370 0.479 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC001594640975 956419065 /nfs/dbraw/zinc/41/90/65/956419065.db2.gz GAAKMDYIIRJNOX-LBPRGKRZSA-N 0 2 305.334 0.064 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC001594640975 956419071 /nfs/dbraw/zinc/41/90/71/956419071.db2.gz GAAKMDYIIRJNOX-LBPRGKRZSA-N 0 2 305.334 0.064 20 0 DCADLN O=C(CN1CCN(C(=O)C(F)C(F)(F)F)CC1)N1CCC1 ZINC001472959409 956631300 /nfs/dbraw/zinc/63/13/00/956631300.db2.gz BJDVAXATQNSWGN-JTQLQIEISA-N 0 2 311.279 0.263 20 0 DCADLN O=C(CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1)N1CCC1 ZINC001472959409 956631309 /nfs/dbraw/zinc/63/13/09/956631309.db2.gz BJDVAXATQNSWGN-JTQLQIEISA-N 0 2 311.279 0.263 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2cnn(CCF)c2)CCO1 ZINC001593985325 956766880 /nfs/dbraw/zinc/76/68/80/956766880.db2.gz WVFKNRAYSYGIRJ-CYBMUJFWSA-N 0 2 301.318 0.155 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2cnn(CCF)c2)CCO1 ZINC001593985325 956766887 /nfs/dbraw/zinc/76/68/87/956766887.db2.gz WVFKNRAYSYGIRJ-CYBMUJFWSA-N 0 2 301.318 0.155 20 0 DCADLN CC(C)N(CCCNC(=O)c1cn[nH]n1)C(=O)c1ccn[nH]1 ZINC001356670799 956932110 /nfs/dbraw/zinc/93/21/10/956932110.db2.gz QZUFOXQQLSLXAG-UHFFFAOYSA-N 0 2 305.342 0.199 20 0 DCADLN Cn1cnc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)c1 ZINC001293247395 957325664 /nfs/dbraw/zinc/32/56/64/957325664.db2.gz ZMXFYRJHSUJSRZ-MRVPVSSYSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1cnc(C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001293247395 957325669 /nfs/dbraw/zinc/32/56/69/957325669.db2.gz ZMXFYRJHSUJSRZ-MRVPVSSYSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1ncc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)n1 ZINC001357889525 957397735 /nfs/dbraw/zinc/39/77/35/957397735.db2.gz ZOMYBOHKVOWHPD-SGJFDWMWSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1ncc(C(=O)NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001357889525 957397740 /nfs/dbraw/zinc/39/77/40/957397740.db2.gz ZOMYBOHKVOWHPD-SGJFDWMWSA-N 0 2 323.250 0.118 20 0 DCADLN COc1ccc(C(=O)[O-])c(OC[C@H](O)C[NH+]2CCOCC2)c1 ZINC000390690516 972298988 /nfs/dbraw/zinc/29/89/88/972298988.db2.gz AEHCYNRSJWLFNX-LLVKDONJSA-N 0 2 311.334 0.465 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@H]2CCCO2)C1 ZINC001417254033 957816239 /nfs/dbraw/zinc/81/62/39/957816239.db2.gz GIIXCHSFNXCOIS-BDAKNGLRSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)[C@H]2CCCO2)C1 ZINC001417254033 957816249 /nfs/dbraw/zinc/81/62/49/957816249.db2.gz GIIXCHSFNXCOIS-BDAKNGLRSA-N 0 2 312.263 0.735 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc2nccnc21 ZINC001361720442 958253152 /nfs/dbraw/zinc/25/31/52/958253152.db2.gz YVEJYXFGLLJZDQ-UHFFFAOYSA-N 0 2 321.300 0.653 20 0 DCADLN CCOCCC(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297658032 958306286 /nfs/dbraw/zinc/30/62/86/958306286.db2.gz FZNGABWQZXGMCH-CYBMUJFWSA-N 0 2 322.409 0.794 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnn2ccccc12 ZINC001361800779 958346476 /nfs/dbraw/zinc/34/64/76/958346476.db2.gz KWBFMHZFELZMDK-UHFFFAOYSA-N 0 2 309.289 0.358 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N(C)CCCC(=O)[O-])c(N(C)C)[nH+]1 ZINC001594368833 958519961 /nfs/dbraw/zinc/51/99/61/958519961.db2.gz YSPOUZJUENUEJT-UHFFFAOYSA-N 0 2 322.365 0.718 20 0 DCADLN CC(C)CC(=O)N1CCCC[C@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362059911 958671974 /nfs/dbraw/zinc/67/19/74/958671974.db2.gz AJXLBIQAYKMFFF-JTQLQIEISA-N 0 2 309.370 0.554 20 0 DCADLN CC(=O)NCC[N@H+](Cc1cn2ccnc2s1)[C@@H](C)C(=O)[O-] ZINC001588514771 958782628 /nfs/dbraw/zinc/78/26/28/958782628.db2.gz CLUMLQBAEIYTPK-VIFPVBQESA-N 0 2 310.379 0.807 20 0 DCADLN CC(=O)NCC[N@@H+](Cc1cn2ccnc2s1)[C@@H](C)C(=O)[O-] ZINC001588514771 958782649 /nfs/dbraw/zinc/78/26/49/958782649.db2.gz CLUMLQBAEIYTPK-VIFPVBQESA-N 0 2 310.379 0.807 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2oc(=O)[nH]c2c1 ZINC000148058467 959007304 /nfs/dbraw/zinc/00/73/04/959007304.db2.gz IRKXCKCDYNILHD-JTQLQIEISA-N 0 2 316.273 0.682 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2oc(=O)[nH]c2c1 ZINC000148058467 959007324 /nfs/dbraw/zinc/00/73/24/959007324.db2.gz IRKXCKCDYNILHD-JTQLQIEISA-N 0 2 316.273 0.682 20 0 DCADLN Cc1nc(CN2CCN(C(=O)c3ccc(F)c(O)c3)CC2)n[nH]1 ZINC001362254702 959010024 /nfs/dbraw/zinc/01/00/24/959010024.db2.gz HXEMUGGBUUXLOJ-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN Cc1ccnc(C2CC[NH+](CN3C[C@@H](C(=O)[O-])CC3=O)CC2)n1 ZINC001594424676 959101032 /nfs/dbraw/zinc/10/10/32/959101032.db2.gz RQVSDRGKXNHFMO-ZDUSSCGKSA-N 0 2 318.377 0.855 20 0 DCADLN O=C(NC[C@H]1CCCC1=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362370481 959230652 /nfs/dbraw/zinc/23/06/52/959230652.db2.gz NKWZAMDFZYDHTG-SNVBAGLBSA-N 0 2 316.317 0.365 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC[C@@H]1O ZINC001362408273 959293816 /nfs/dbraw/zinc/29/38/16/959293816.db2.gz RREYDIXTNLHSDB-SKDRFNHKSA-N 0 2 318.333 0.109 20 0 DCADLN CCC[N@H+](C)CC(=O)NC1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001492102987 959588172 /nfs/dbraw/zinc/58/81/72/959588172.db2.gz AODJTLJQFUNXMA-UHFFFAOYSA-N 0 2 321.425 0.332 20 0 DCADLN O=C([C@H]1CCc2nc[nH]c2C1)N1CCC[C@H](c2nn[nH]n2)C1 ZINC001362562135 959600186 /nfs/dbraw/zinc/60/01/86/959600186.db2.gz LMDZAULFLIUKJY-UWVGGRQHSA-N 0 2 301.354 0.434 20 0 DCADLN O=C([C@@H]1CCc2nc[nH]c2C1)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC001362562131 959600853 /nfs/dbraw/zinc/60/08/53/959600853.db2.gz LMDZAULFLIUKJY-NXEZZACHSA-N 0 2 301.354 0.434 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nc2cn[nH]n2)c1 ZINC001362627733 959725133 /nfs/dbraw/zinc/72/51/33/959725133.db2.gz QIZFUZWDHGSQHZ-UHFFFAOYSA-N 0 2 311.323 0.013 20 0 DCADLN CCO[C@H]1C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362709400 959868756 /nfs/dbraw/zinc/86/87/56/959868756.db2.gz ZBRGXKCPTUFWPQ-KLPPZKSPSA-N 0 2 318.333 0.564 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)n1 ZINC001604074781 972464489 /nfs/dbraw/zinc/46/44/89/972464489.db2.gz DRXGHHCZQUYSDF-DTORHVGOSA-N 0 2 312.395 0.867 20 0 DCADLN CC1=NO[C@H](CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362716572 959879442 /nfs/dbraw/zinc/87/94/42/959879442.db2.gz KQWDAZOIBHGJQH-NSHDSACASA-N 0 2 317.305 0.161 20 0 DCADLN CC(C)(C)CC[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(N)=O ZINC001362739483 959914981 /nfs/dbraw/zinc/91/49/81/959914981.db2.gz BZFWXQMLNGODRQ-JGVFFNPUSA-N 0 2 314.411 0.339 20 0 DCADLN CC[N@H+](CCNC(=O)CCc1cncs1)Cc1n[nH]c(=O)[n-]1 ZINC001377334250 959936279 /nfs/dbraw/zinc/93/62/79/959936279.db2.gz BFDGIJFVRWOXGK-UHFFFAOYSA-N 0 2 324.410 0.538 20 0 DCADLN CC[N@@H+](CCNC(=O)CCc1cncs1)Cc1n[nH]c(=O)[n-]1 ZINC001377334250 959936289 /nfs/dbraw/zinc/93/62/89/959936289.db2.gz BFDGIJFVRWOXGK-UHFFFAOYSA-N 0 2 324.410 0.538 20 0 DCADLN C[C@@H](CC(N)=O)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001362768486 959961453 /nfs/dbraw/zinc/96/14/53/959961453.db2.gz JWCRXQKDOUDCMK-ONGXEEELSA-N 0 2 317.349 0.422 20 0 DCADLN CC[N@H+](CCNC(=O)c1c[nH]nc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377352080 959965795 /nfs/dbraw/zinc/96/57/95/959965795.db2.gz QVGNOIDAZOWEFS-UHFFFAOYSA-N 0 2 319.369 0.363 20 0 DCADLN CC[N@@H+](CCNC(=O)c1c[nH]nc1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001377352080 959965799 /nfs/dbraw/zinc/96/57/99/959965799.db2.gz QVGNOIDAZOWEFS-UHFFFAOYSA-N 0 2 319.369 0.363 20 0 DCADLN CC(C)[C@@H](C)C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001368595373 960068900 /nfs/dbraw/zinc/06/89/00/960068900.db2.gz VARLLLHCXXOETF-GHMZBOCLSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1=COCCO1 ZINC001377432134 960091623 /nfs/dbraw/zinc/09/16/23/960091623.db2.gz PAWVUJRVXNDPJG-POYBYMJQSA-N 0 2 314.235 0.396 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C1=COCCO1 ZINC001377432134 960091628 /nfs/dbraw/zinc/09/16/28/960091628.db2.gz PAWVUJRVXNDPJG-POYBYMJQSA-N 0 2 314.235 0.396 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc3oc(=O)[nH]c3c2)S1 ZINC001362865264 960107255 /nfs/dbraw/zinc/10/72/55/960107255.db2.gz QWXPGDCZBOSLNV-SECBINFHSA-N 0 2 320.330 0.706 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H](C)C1 ZINC001368691536 960163097 /nfs/dbraw/zinc/16/30/97/960163097.db2.gz HMOLSCXZFHAPCQ-CMPLNLGQSA-N 0 2 323.397 0.739 20 0 DCADLN C[C@@](CO)(NC(=O)C[C@H]1SC(=N)NC1=O)c1ccc(O)cc1 ZINC001362960560 960229808 /nfs/dbraw/zinc/22/98/08/960229808.db2.gz VKYOYFCQYFZRQB-YGRLFVJLSA-N 0 2 323.374 0.272 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nc3c([nH]2)CCCC3)S1 ZINC001362972303 960243331 /nfs/dbraw/zinc/24/33/31/960243331.db2.gz USAJUOLUSOKNSJ-SECBINFHSA-N 0 2 307.379 0.461 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001377554241 960270843 /nfs/dbraw/zinc/27/08/43/960270843.db2.gz ZBLQEMGCVVSJMO-UTUOFQBUSA-N 0 2 321.381 0.158 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001377554241 960270855 /nfs/dbraw/zinc/27/08/55/960270855.db2.gz ZBLQEMGCVVSJMO-UTUOFQBUSA-N 0 2 321.381 0.158 20 0 DCADLN COc1ccc(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001377558430 960279733 /nfs/dbraw/zinc/27/97/33/960279733.db2.gz SHBXRHBBBMQKEK-UHFFFAOYSA-N 0 2 321.337 0.506 20 0 DCADLN COc1ccc(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001377558430 960279743 /nfs/dbraw/zinc/27/97/43/960279743.db2.gz SHBXRHBBBMQKEK-UHFFFAOYSA-N 0 2 321.337 0.506 20 0 DCADLN CCn1nc(C)c(C(=O)NCC2=NC(=O)CC(=O)N2)c1Cl ZINC001363042511 960334702 /nfs/dbraw/zinc/33/47/02/960334702.db2.gz XOFSLXLEURQWOE-UHFFFAOYSA-N 0 2 311.729 0.996 20 0 DCADLN CCn1nc(C)c(C(=O)NCc2nc(O)cc(=O)[nH]2)c1Cl ZINC001363042511 960334709 /nfs/dbraw/zinc/33/47/09/960334709.db2.gz XOFSLXLEURQWOE-UHFFFAOYSA-N 0 2 311.729 0.996 20 0 DCADLN COc1ccccc1OCC(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001363042576 960335549 /nfs/dbraw/zinc/33/55/49/960335549.db2.gz YIFRLWRMNNKJFO-UHFFFAOYSA-N 0 2 305.290 0.592 20 0 DCADLN NC(=O)c1ncccc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001363058435 960356364 /nfs/dbraw/zinc/35/63/64/960356364.db2.gz ZCMOYAUVQFMZLK-QMMMGPOBSA-N 0 2 316.321 0.024 20 0 DCADLN CCCN(C(=O)CCOC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001377645421 960388087 /nfs/dbraw/zinc/38/80/87/960388087.db2.gz SXCZBMKRWYGOBO-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCCN(C(=O)CCOC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001377645421 960388096 /nfs/dbraw/zinc/38/80/96/960388096.db2.gz SXCZBMKRWYGOBO-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCCc1cc(C(=O)N2CCC[C@@H]2C[N@@H+](C)[C@H](C)C(N)=O)n[nH]1 ZINC001377661225 960403590 /nfs/dbraw/zinc/40/35/90/960403590.db2.gz WZEVNCNDYJPIFN-DGCLKSJQSA-N 0 2 321.425 0.772 20 0 DCADLN CN(C)c1ccc(Cn2cc(CN(C)CC(=O)[O-])nn2)c[nH+]1 ZINC001604113791 972514249 /nfs/dbraw/zinc/51/42/49/972514249.db2.gz BTOGPVNLOVFRBY-UHFFFAOYSA-N 0 2 304.354 0.304 20 0 DCADLN C[C@@H]1CN(C(=O)C[C@H]2SC(=N)NC2=O)Cc2cncnc21 ZINC001363221133 960635092 /nfs/dbraw/zinc/63/50/92/960635092.db2.gz IDHRXJJVFXYQRT-VXNVDRBHSA-N 0 2 305.363 0.479 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)c1cnc(C2CC2)[nH]c1=O ZINC001363277261 960767783 /nfs/dbraw/zinc/76/77/83/960767783.db2.gz SUKQHGDAXXAHHR-UHFFFAOYSA-N 0 2 317.353 0.871 20 0 DCADLN COCC(C)(C)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377844814 960795792 /nfs/dbraw/zinc/79/57/92/960795792.db2.gz HXEIGYFNMWPKBV-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN Cn1ncc2c1CCCN(C(=O)C[C@@H]1SC(=N)NC1=O)C2 ZINC001363324263 960893915 /nfs/dbraw/zinc/89/39/15/960893915.db2.gz QCMUITTXVGVISF-JTQLQIEISA-N 0 2 307.379 0.251 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCC1)c1cnc(C2CC2)[nH]c1=O ZINC001363376450 961017363 /nfs/dbraw/zinc/01/73/63/961017363.db2.gz TXTFKDTVOVLQDE-UHFFFAOYSA-N 0 2 301.310 0.382 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CC=C(C(=O)[O-])C1 ZINC001605024672 1169785213 /nfs/dbraw/zinc/78/52/13/1169785213.db2.gz JXWYAFJEZCPYHR-STQMWFEESA-N 0 2 311.382 0.570 20 0 DCADLN O=C([O-])C[C@H]1COCC[N@@H+]1CCOC(=O)Cc1ccccc1 ZINC001571096554 961172231 /nfs/dbraw/zinc/17/22/31/961172231.db2.gz NJKDGBFSRFNGSH-AWEZNQCLSA-N 0 2 307.346 0.948 20 0 DCADLN O=C([O-])C[C@H]1COCC[N@H+]1CCOC(=O)Cc1ccccc1 ZINC001571096554 961172240 /nfs/dbraw/zinc/17/22/40/961172240.db2.gz NJKDGBFSRFNGSH-AWEZNQCLSA-N 0 2 307.346 0.948 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cncc(N2CCCC2)n1 ZINC001363485763 961257809 /nfs/dbraw/zinc/25/78/09/961257809.db2.gz NJFROKPGFUZMSC-UHFFFAOYSA-N 0 2 316.321 0.208 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2CCCc3cc[nH]c(=O)c32)S1 ZINC001363497176 961282146 /nfs/dbraw/zinc/28/21/46/961282146.db2.gz RUTMKJFYOKQBSO-MRVPVSSYSA-N 0 2 306.347 0.623 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCCc3cc[nH]c(=O)c32)S1 ZINC001363497176 961282160 /nfs/dbraw/zinc/28/21/60/961282160.db2.gz RUTMKJFYOKQBSO-MRVPVSSYSA-N 0 2 306.347 0.623 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001571117284 961411755 /nfs/dbraw/zinc/41/17/55/961411755.db2.gz ZMHFURDRYZRGCA-UPJWGTAASA-N 0 2 313.398 0.650 20 0 DCADLN O=C(N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H]1COC(=O)N1 ZINC001363559338 961442307 /nfs/dbraw/zinc/44/23/07/961442307.db2.gz JCPWNFQSBMAOOQ-ZJUUUORDSA-N 0 2 317.305 0.019 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)C(C)(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378132279 961491322 /nfs/dbraw/zinc/49/13/22/961491322.db2.gz UJVAAJDLBBWCEG-SFYZADRCSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)C(C)(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001378132279 961491335 /nfs/dbraw/zinc/49/13/35/961491335.db2.gz UJVAAJDLBBWCEG-SFYZADRCSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](NC(=O)c1ccc(/C=C/C(=O)[O-])o1)[C@@H]1C[N@H+](C)CCO1 ZINC001332206458 961533420 /nfs/dbraw/zinc/53/34/20/961533420.db2.gz BSCWVUGGLPREEU-BLONRURFSA-N 0 2 308.334 0.826 20 0 DCADLN C[C@H](NC(=O)c1ccc(/C=C/C(=O)[O-])o1)[C@@H]1C[N@@H+](C)CCO1 ZINC001332206458 961533426 /nfs/dbraw/zinc/53/34/26/961533426.db2.gz BSCWVUGGLPREEU-BLONRURFSA-N 0 2 308.334 0.826 20 0 DCADLN C[C@H]1CCC[C@@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001363631001 961587364 /nfs/dbraw/zinc/58/73/64/961587364.db2.gz KBUABUNKFYTOCG-VHSXEESVSA-N 0 2 314.411 0.792 20 0 DCADLN C[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C(C)(F)F ZINC001363635751 961597437 /nfs/dbraw/zinc/59/74/37/961597437.db2.gz SBZIPUZWXXHUPD-ZCFIWIBFSA-N 0 2 310.326 0.257 20 0 DCADLN C[C@H](NC(=O)COc1ccc(CO)cc1)c1nn(C)cc1O ZINC001363666820 961656972 /nfs/dbraw/zinc/65/69/72/961656972.db2.gz NNDBADAIJFACTI-JTQLQIEISA-N 0 2 305.334 0.874 20 0 DCADLN CC1(C)O[C@@H]2C[C@@H](NC(=O)C[C@@H]3SC(=N)NC3=O)C[C@@H]2O1 ZINC001363676103 961675781 /nfs/dbraw/zinc/67/57/81/961675781.db2.gz GKJQXFQEKBCTIG-MAUMQABQSA-N 0 2 313.379 0.342 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)COc1ccc(CO)cc1 ZINC001571142925 961681173 /nfs/dbraw/zinc/68/11/73/961681173.db2.gz DQUIXYAQVQDVEN-CYBMUJFWSA-N 0 2 319.317 0.093 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)COc1ccc(CO)cc1 ZINC001571142925 961681185 /nfs/dbraw/zinc/68/11/85/961681185.db2.gz DQUIXYAQVQDVEN-CYBMUJFWSA-N 0 2 319.317 0.093 20 0 DCADLN C[C@@H](O)CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1CC1 ZINC001363717826 961753726 /nfs/dbraw/zinc/75/37/26/961753726.db2.gz PMOMDQCRTPKUEV-SECBINFHSA-N 0 2 318.333 0.252 20 0 DCADLN NS(=O)(=O)c1ccc(C[N@@H+]2CCSC[C@@H]2CC(=O)[O-])o1 ZINC001571148994 961761449 /nfs/dbraw/zinc/76/14/49/961761449.db2.gz ILJZFSQCCSZAAX-QMMMGPOBSA-N 0 2 320.392 0.319 20 0 DCADLN NS(=O)(=O)c1ccc(C[N@H+]2CCSC[C@@H]2CC(=O)[O-])o1 ZINC001571148994 961761463 /nfs/dbraw/zinc/76/14/63/961761463.db2.gz ILJZFSQCCSZAAX-QMMMGPOBSA-N 0 2 320.392 0.319 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)NCc1ccc(C(=O)[O-])cc1 ZINC001334767409 961814086 /nfs/dbraw/zinc/81/40/86/961814086.db2.gz HVWOIDPULRHZAF-UPHRSURJSA-N 0 2 304.346 0.889 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001379750143 961932816 /nfs/dbraw/zinc/93/28/16/961932816.db2.gz DHLOSDXQVJEKEH-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)on1 ZINC001379750143 961932822 /nfs/dbraw/zinc/93/28/22/961932822.db2.gz DHLOSDXQVJEKEH-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN C[C@H](NC(=O)c1cnc2c(c1)NC(=O)CO2)c1nn(C)cc1O ZINC001363830694 961982080 /nfs/dbraw/zinc/98/20/80/961982080.db2.gz LZTWQUPKAIRQIJ-ZETCQYMHSA-N 0 2 317.305 0.343 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCCCS1(=O)=O)c1nn(C)cc1O ZINC001363835750 961991895 /nfs/dbraw/zinc/99/18/95/961991895.db2.gz VXZVOFDPOYEBIV-WPRPVWTQSA-N 0 2 301.368 0.270 20 0 DCADLN C[C@H]1CCOCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363846461 962010588 /nfs/dbraw/zinc/01/05/88/962010588.db2.gz DJTBRGMTGMLAJQ-JTQLQIEISA-N 0 2 318.333 0.517 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001379122504 962152465 /nfs/dbraw/zinc/15/24/65/962152465.db2.gz ZHICHQUQICQAPS-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1 ZINC001379122504 962152474 /nfs/dbraw/zinc/15/24/74/962152474.db2.gz ZHICHQUQICQAPS-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN COc1ccnc(C[N@H+](C)C[C@H](C)NC(=O)Cc2nnc[nH]2)c1 ZINC001379889458 962222301 /nfs/dbraw/zinc/22/23/01/962222301.db2.gz WIEUHVINIFFONH-NSHDSACASA-N 0 2 318.381 0.388 20 0 DCADLN COCC(=O)NC[C@@]1(C)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001379891692 962224906 /nfs/dbraw/zinc/22/49/06/962224906.db2.gz OCAWSXLUCCNNQC-MWLCHTKSSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NC[C@@]1(C)CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001379891692 962224920 /nfs/dbraw/zinc/22/49/20/962224920.db2.gz OCAWSXLUCCNNQC-MWLCHTKSSA-N 0 2 314.279 0.888 20 0 DCADLN COc1ccsc1S(=O)(=O)NCc1n[nH]c(=O)n1C ZINC001364023778 962315218 /nfs/dbraw/zinc/31/52/18/962315218.db2.gz MCYSGCODWIAGCZ-UHFFFAOYSA-N 0 2 304.353 0.069 20 0 DCADLN CC[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@H]1O ZINC001447286034 1013344793 /nfs/dbraw/zinc/34/47/93/1013344793.db2.gz LDFYGRJROSPULY-BXKDBHETSA-N 0 2 318.333 0.109 20 0 DCADLN CC(C)NC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001588927989 962441593 /nfs/dbraw/zinc/44/15/93/962441593.db2.gz OJBOAMXUZKMTEI-NSHDSACASA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)NC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001588927989 962441603 /nfs/dbraw/zinc/44/16/03/962441603.db2.gz OJBOAMXUZKMTEI-NSHDSACASA-N 0 2 315.370 0.176 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+][C@H](C)c3ncccn3)CC2)c1[O-] ZINC001380075449 962618021 /nfs/dbraw/zinc/61/80/21/962618021.db2.gz XFIBTMOCSDNDMO-SNVBAGLBSA-N 0 2 316.365 0.827 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)N[C@@H]([C@@H]1CCOC1)C(F)(F)F ZINC001364181459 962622554 /nfs/dbraw/zinc/62/25/54/962622554.db2.gz FHJLPURNKJMGPC-VDTYLAMSSA-N 0 2 309.248 0.277 20 0 DCADLN Cc1noc([C@@H](C)NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001364199040 962655362 /nfs/dbraw/zinc/65/53/62/962655362.db2.gz BHBPHUKALBIFOT-APPZFPTMSA-N 0 2 321.341 0.852 20 0 DCADLN C/C(=C/C(=O)NC[C@H](CC(=O)[O-])C1CC1)C[NH+]1CCOCC1 ZINC001354053174 962706690 /nfs/dbraw/zinc/70/66/90/962706690.db2.gz YLGVGLWEYRMRHP-UNJUUPHPSA-N 0 2 310.394 0.882 20 0 DCADLN O=C(NC[C@]1(O)CC[N@H+](CCF)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC001380563212 963362001 /nfs/dbraw/zinc/36/20/01/963362001.db2.gz FDCMGEYBMMPPIC-CQSZACIVSA-N 0 2 324.312 0.065 20 0 DCADLN O=C(NC[C@]1(O)CC[N@@H+](CCF)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC001380563212 963362007 /nfs/dbraw/zinc/36/20/07/963362007.db2.gz FDCMGEYBMMPPIC-CQSZACIVSA-N 0 2 324.312 0.065 20 0 DCADLN CSCC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001430387289 1013424324 /nfs/dbraw/zinc/42/43/24/1013424324.db2.gz BIFRDPYYIZOYQB-QMMMGPOBSA-N 0 2 302.293 0.824 20 0 DCADLN CSCC(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430387289 1013424332 /nfs/dbraw/zinc/42/43/32/1013424332.db2.gz BIFRDPYYIZOYQB-QMMMGPOBSA-N 0 2 302.293 0.824 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)[N@H+](C)Cc2ccn(C)n2)c1[O-] ZINC001368945707 964170647 /nfs/dbraw/zinc/17/06/47/964170647.db2.gz PARQCGJRJNYSOO-VIFPVBQESA-N 0 2 306.370 0.408 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)[N@@H+](C)Cc2ccn(C)n2)c1[O-] ZINC001368945707 964170656 /nfs/dbraw/zinc/17/06/56/964170656.db2.gz PARQCGJRJNYSOO-VIFPVBQESA-N 0 2 306.370 0.408 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccccc1F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368994650 964260187 /nfs/dbraw/zinc/26/01/87/964260187.db2.gz IANKCMKSCUAUJS-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN C[C@@H](CNC(=O)Cc1ccccc1F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001368994650 964260194 /nfs/dbraw/zinc/26/01/94/964260194.db2.gz IANKCMKSCUAUJS-JTQLQIEISA-N 0 2 321.356 0.829 20 0 DCADLN CCn1ncc(C[NH2+][C@@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])n1 ZINC001369247297 964592331 /nfs/dbraw/zinc/59/23/31/964592331.db2.gz NPBDRIVBEJEZCS-VIFPVBQESA-N 0 2 321.385 0.285 20 0 DCADLN C[C@H](CNC(=O)Cc1ncc[nH]1)CNC(=O)C(F)C(F)(F)F ZINC001375728644 964767853 /nfs/dbraw/zinc/76/78/53/964767853.db2.gz ZRULHHBERAWZRG-GMSGAONNSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@H](CNC(=O)Cc1ncc[nH]1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001375728644 964767860 /nfs/dbraw/zinc/76/78/60/964767860.db2.gz ZRULHHBERAWZRG-GMSGAONNSA-N 0 2 324.278 0.721 20 0 DCADLN CCCN(CCNC(=O)[C@H]1CCC[N@@H+]1C)C(=O)Cc1c[nH+]c[nH]1 ZINC001374102527 965016770 /nfs/dbraw/zinc/01/67/70/965016770.db2.gz ICUQTHPPLPWEND-CQSZACIVSA-N 0 2 321.425 0.401 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376035084 965114683 /nfs/dbraw/zinc/11/46/83/965114683.db2.gz BQOCLPCPSSOXAL-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(Cc1cc[nH]n1)N1CCN(Cc2n[nH]c(C3CC3)n2)CC1 ZINC001374262010 965196084 /nfs/dbraw/zinc/19/60/84/965196084.db2.gz OXRAEYTURLFECH-UHFFFAOYSA-N 0 2 315.381 0.292 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](C[C@@H]3C[C@@]34CCOC4)CC2)c1[O-] ZINC001374278557 965215810 /nfs/dbraw/zinc/21/58/10/965215810.db2.gz AQKMZXDHORDCCU-BLLLJJGKSA-N 0 2 320.393 0.608 20 0 DCADLN Cn1ncnc1CN1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001376127185 965241849 /nfs/dbraw/zinc/24/18/49/965241849.db2.gz WZHMQPUWKDPEDK-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncnc1CN1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001376127185 965241860 /nfs/dbraw/zinc/24/18/60/965241860.db2.gz WZHMQPUWKDPEDK-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN C[NH+](C)C1(C(=O)N[C@@H]2CC[N@H+](CC(=O)N3CCCC3)C2)CCC1 ZINC001369990425 965665401 /nfs/dbraw/zinc/66/54/01/965665401.db2.gz ZWUWIFHDHZWIIC-CQSZACIVSA-N 0 2 322.453 0.284 20 0 DCADLN Cc1nnc(C[NH2+][C@H](C)[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001447854236 1013599349 /nfs/dbraw/zinc/59/93/49/1013599349.db2.gz OTTZXJTZSGANHI-RQJHMYQMSA-N 0 2 308.342 0.412 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001370509691 966197897 /nfs/dbraw/zinc/19/78/97/966197897.db2.gz BMSMSDHCCWEFKB-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001370509691 966197907 /nfs/dbraw/zinc/19/79/07/966197907.db2.gz BMSMSDHCCWEFKB-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN CCC[N@H+](C)CC(=O)N(C)C[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001370572495 966250734 /nfs/dbraw/zinc/25/07/34/966250734.db2.gz MGRYUYHPVIFGPK-CYBMUJFWSA-N 0 2 309.414 0.188 20 0 DCADLN COCC(=O)NC1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001371183635 966792177 /nfs/dbraw/zinc/79/21/77/966792177.db2.gz JXOQCFPOWXQLBS-IEIXJENWSA-N 0 2 300.252 0.639 20 0 DCADLN COCC(=O)NC1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001371183635 966792180 /nfs/dbraw/zinc/79/21/80/966792180.db2.gz JXOQCFPOWXQLBS-IEIXJENWSA-N 0 2 300.252 0.639 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1cc[nH]n1)C(=O)C(F)C(F)(F)F ZINC001371592242 967152627 /nfs/dbraw/zinc/15/26/27/967152627.db2.gz LQSFRQFVKKEFSS-VXNVDRBHSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1ccn[nH]1)C(=O)C(F)C(F)(F)F ZINC001371592242 967152636 /nfs/dbraw/zinc/15/26/36/967152636.db2.gz LQSFRQFVKKEFSS-VXNVDRBHSA-N 0 2 322.262 0.983 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001371764469 967318767 /nfs/dbraw/zinc/31/87/67/967318767.db2.gz JOWDBRRTEUCOBV-IYSWYEEDSA-N 0 2 313.255 0.395 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cncc(C(=O)[O-])c1 ZINC000316839831 967982844 /nfs/dbraw/zinc/98/28/44/967982844.db2.gz LDLAERKWCBWZBM-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CC[C@H](N2CCCCC2)C1 ZINC000380369118 968081330 /nfs/dbraw/zinc/08/13/30/968081330.db2.gz QMQHRIJFKWTOFY-LBPRGKRZSA-N 0 2 304.412 0.046 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CC[C@H](N2CCCCC2)C1 ZINC000380369118 968081340 /nfs/dbraw/zinc/08/13/40/968081340.db2.gz QMQHRIJFKWTOFY-LBPRGKRZSA-N 0 2 304.412 0.046 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]c1 ZINC001372905961 968519321 /nfs/dbraw/zinc/51/93/21/968519321.db2.gz NZFFHQDXAKQFHX-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]c1 ZINC001372905961 968519329 /nfs/dbraw/zinc/51/93/29/968519329.db2.gz NZFFHQDXAKQFHX-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN CN(CCNC(=O)c1cnccn1)C(=O)C(F)C(F)(F)F ZINC001431125900 1013912924 /nfs/dbraw/zinc/91/29/24/1013912924.db2.gz UJGWOJNZPXHXTI-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1cnccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001431125900 1013912931 /nfs/dbraw/zinc/91/29/31/1013912931.db2.gz UJGWOJNZPXHXTI-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001448536769 1013945711 /nfs/dbraw/zinc/94/57/11/1013945711.db2.gz FDSCXXFDEDSYTC-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001448536769 1013945715 /nfs/dbraw/zinc/94/57/15/1013945715.db2.gz FDSCXXFDEDSYTC-XCBNKYQSSA-N 0 2 324.278 0.864 20 0 DCADLN CN1CC[N@H+](C)[C@H](CNC(=O)Cc2ccccc2C(=O)[O-])C1 ZINC000317403636 970355689 /nfs/dbraw/zinc/35/56/89/970355689.db2.gz NWXOJXRWGAEJOR-CYBMUJFWSA-N 0 2 305.378 0.289 20 0 DCADLN CN1CC[N@@H+](C)[C@H](CNC(=O)Cc2ccccc2C(=O)[O-])C1 ZINC000317403636 970355700 /nfs/dbraw/zinc/35/57/00/970355700.db2.gz NWXOJXRWGAEJOR-CYBMUJFWSA-N 0 2 305.378 0.289 20 0 DCADLN C[C@@H](CN(C)C(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC001609902389 970594629 /nfs/dbraw/zinc/59/46/29/970594629.db2.gz UMWVZDQSKCDBSE-NSHDSACASA-N 0 2 301.387 0.459 20 0 DCADLN C[C@@H](CN(C)C(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC001609902389 970594639 /nfs/dbraw/zinc/59/46/39/970594639.db2.gz UMWVZDQSKCDBSE-NSHDSACASA-N 0 2 301.387 0.459 20 0 DCADLN O=C([O-])[C@@H](c1ccccc1)N1CC[C@H]([NH2+]Cc2ncc[nH]2)C1=O ZINC001605909725 972767160 /nfs/dbraw/zinc/76/71/60/972767160.db2.gz HYJTWGLVTPCLJX-GXTWGEPZSA-N 0 2 314.345 0.926 20 0 DCADLN O=C(NC[C@@H](CO)NCc1cnsn1)C(F)C(F)(F)F ZINC001448826026 1014059856 /nfs/dbraw/zinc/05/98/56/1014059856.db2.gz RRINATQPNGGHMR-NKWVEPMBSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@@H](CO)NCc1cnsn1)[C@@H](F)C(F)(F)F ZINC001448826026 1014059857 /nfs/dbraw/zinc/05/98/57/1014059857.db2.gz RRINATQPNGGHMR-NKWVEPMBSA-N 0 2 316.280 0.005 20 0 DCADLN Cc1cc(C[NH+]2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)no1 ZINC001605165354 972861524 /nfs/dbraw/zinc/86/15/24/972861524.db2.gz HFZLJWRJFKFVIA-NSHDSACASA-N 0 2 307.350 0.881 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1ccnn1C ZINC001448915878 1014095219 /nfs/dbraw/zinc/09/52/19/1014095219.db2.gz DDTSPGRVNYWNAV-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)Cc1ccnn1C ZINC001448915878 1014095222 /nfs/dbraw/zinc/09/52/22/1014095222.db2.gz DDTSPGRVNYWNAV-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CC[C@@H](C(=O)[O-])N(C)C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001603492859 973444570 /nfs/dbraw/zinc/44/45/70/973444570.db2.gz VHCBVPVJCKMWGI-AGIUHOORSA-N 0 2 314.382 0.188 20 0 DCADLN CC(C)NC(=O)C[NH2+][C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H]1C ZINC001448987268 1014119906 /nfs/dbraw/zinc/11/99/06/1014119906.db2.gz SNUNIODTYOSTCE-OCCSQVGLSA-N 0 2 321.425 0.446 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2c3c(nn2C)CCC3)CCO1 ZINC001604565012 973628413 /nfs/dbraw/zinc/62/84/13/973628413.db2.gz PNWIBSPSVJINRT-HNNXBMFYSA-N 0 2 309.366 0.211 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2c3c(nn2C)CCC3)CCO1 ZINC001604565012 973628417 /nfs/dbraw/zinc/62/84/17/973628417.db2.gz PNWIBSPSVJINRT-HNNXBMFYSA-N 0 2 309.366 0.211 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)C1(C(=O)[O-])C(C)(C)C1(C)C ZINC001605522311 973706038 /nfs/dbraw/zinc/70/60/38/973706038.db2.gz CJOKBGDKBNHMNA-VIFPVBQESA-N 0 2 309.366 0.707 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001449037028 1014151521 /nfs/dbraw/zinc/15/15/21/1014151521.db2.gz WLJALRYAOXSMCD-GHMZBOCLSA-N 0 2 311.386 0.404 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001449037028 1014151526 /nfs/dbraw/zinc/15/15/26/1014151526.db2.gz WLJALRYAOXSMCD-GHMZBOCLSA-N 0 2 311.386 0.404 20 0 DCADLN Cc1n[nH]c(C(=O)NCCN(C)C(=O)c2cnc[nH]c2=O)c1C ZINC001527998480 1014167356 /nfs/dbraw/zinc/16/73/56/1014167356.db2.gz NWMKISMMMZIWIF-UHFFFAOYSA-N 0 2 318.337 0.024 20 0 DCADLN CCOC1CC(C(=O)NCCNC(=O)C(F)C(F)(F)F)C1 ZINC001522616067 1014246881 /nfs/dbraw/zinc/24/68/81/1014246881.db2.gz YKFWCYMMBOBBOZ-HACHORDNSA-N 0 2 314.279 0.934 20 0 DCADLN CCOC1CC(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001522616067 1014246887 /nfs/dbraw/zinc/24/68/87/1014246887.db2.gz YKFWCYMMBOBBOZ-HACHORDNSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(NC[C@@H]1C[C@H](c2nnc[nH]2)C[C@@H]1O)C(F)C(F)(F)F ZINC001549517530 1014283585 /nfs/dbraw/zinc/28/35/85/1014283585.db2.gz DIIUQZCGZLIWQA-DKXJUACHSA-N 0 2 310.251 0.676 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+](CCCO)Cc1ccccn1)C(=O)[O-] ZINC001591867015 976177978 /nfs/dbraw/zinc/17/79/78/976177978.db2.gz NZINFMHAPLZFNU-HNNXBMFYSA-N 0 2 323.393 0.491 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+](CCCO)Cc1ccccn1)C(=O)[O-] ZINC001591867015 976177984 /nfs/dbraw/zinc/17/79/84/976177984.db2.gz NZINFMHAPLZFNU-HNNXBMFYSA-N 0 2 323.393 0.491 20 0 DCADLN CN(CCNC(=O)c1ccnnc1)C(=O)C(F)C(F)(F)F ZINC001449273149 1014370859 /nfs/dbraw/zinc/37/08/59/1014370859.db2.gz KQFARHYJSQDNLT-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)c1ccnnc1)C(=O)[C@@H](F)C(F)(F)F ZINC001449273149 1014370872 /nfs/dbraw/zinc/37/08/72/1014370872.db2.gz KQFARHYJSQDNLT-MRVPVSSYSA-N 0 2 308.235 0.565 20 0 DCADLN O=C(Cc1ncc[nH]1)NCCCNC(=O)C(F)C(F)(F)F ZINC001522936696 1014479064 /nfs/dbraw/zinc/47/90/64/1014479064.db2.gz ZAOHIBOWILNFQH-VIFPVBQESA-N 0 2 310.251 0.475 20 0 DCADLN O=C(Cc1ncc[nH]1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001522936696 1014479073 /nfs/dbraw/zinc/47/90/73/1014479073.db2.gz ZAOHIBOWILNFQH-VIFPVBQESA-N 0 2 310.251 0.475 20 0 DCADLN C[C@H](CCNc1ncc(C(=O)[O-])cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001592529498 978387387 /nfs/dbraw/zinc/38/73/87/978387387.db2.gz BSAAKALKDNYVEX-SECBINFHSA-N 0 2 318.337 0.447 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001592907188 979854128 /nfs/dbraw/zinc/85/41/28/979854128.db2.gz NACFASXJKFYSIQ-KOLCDFICSA-N 0 2 318.337 0.352 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001592907188 979854129 /nfs/dbraw/zinc/85/41/29/979854129.db2.gz NACFASXJKFYSIQ-KOLCDFICSA-N 0 2 318.337 0.352 20 0 DCADLN O=C([O-])[C@@]12COC[C@H]1CN(C(=O)[C@@H]1CCc3c[nH+]cn3C1)C2 ZINC001550344263 1014673070 /nfs/dbraw/zinc/67/30/70/1014673070.db2.gz GEPKGFDSDDVRCK-HFAKWTLXSA-N 0 2 305.334 0.005 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ccncn1 ZINC001523438753 1014704594 /nfs/dbraw/zinc/70/45/94/1014704594.db2.gz XEPRZUDOKQFIBP-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccncn1 ZINC001523438753 1014704605 /nfs/dbraw/zinc/70/46/05/1014704605.db2.gz XEPRZUDOKQFIBP-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1n[nH]cc1C(=O)[O-] ZINC001595488157 981235085 /nfs/dbraw/zinc/23/50/85/981235085.db2.gz NWDKBFLBPPCPOB-VIFPVBQESA-N 0 2 316.383 0.213 20 0 DCADLN CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1n[nH]cc1C(=O)[O-] ZINC001595488157 981235089 /nfs/dbraw/zinc/23/50/89/981235089.db2.gz NWDKBFLBPPCPOB-VIFPVBQESA-N 0 2 316.383 0.213 20 0 DCADLN O=C(NC1CN(C(=O)c2cccnn2)C1)C(F)C(F)(F)F ZINC001530485490 1014768836 /nfs/dbraw/zinc/76/88/36/1014768836.db2.gz ROAFBXLEIWLHAX-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)c2cccnn2)C1)[C@@H](F)C(F)(F)F ZINC001530485490 1014768845 /nfs/dbraw/zinc/76/88/45/1014768845.db2.gz ROAFBXLEIWLHAX-MRVPVSSYSA-N 0 2 306.219 0.318 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)N(C)C(=O)C(F)C(F)(F)F ZINC001449909477 1014774969 /nfs/dbraw/zinc/77/49/69/1014774969.db2.gz XOKNQLAMRDHSHX-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001449909477 1014774984 /nfs/dbraw/zinc/77/49/84/1014774984.db2.gz XOKNQLAMRDHSHX-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ccc(C(=O)[O-])[nH]1 ZINC001574646279 983266424 /nfs/dbraw/zinc/26/64/24/983266424.db2.gz UCOGYBILKBRVJL-NSHDSACASA-N 0 2 315.395 0.617 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCC[N@H+]1Cc1ccc(C(=O)[O-])[nH]1 ZINC001574646279 983266429 /nfs/dbraw/zinc/26/64/29/983266429.db2.gz UCOGYBILKBRVJL-NSHDSACASA-N 0 2 315.395 0.617 20 0 DCADLN CCCn1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c(C)n1 ZINC001596112159 983441267 /nfs/dbraw/zinc/44/12/67/983441267.db2.gz QPXFCTZKTVMAGW-GFCCVEGCSA-N 0 2 305.338 0.750 20 0 DCADLN CCCn1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c(C)n1 ZINC001596112159 983441270 /nfs/dbraw/zinc/44/12/70/983441270.db2.gz QPXFCTZKTVMAGW-GFCCVEGCSA-N 0 2 305.338 0.750 20 0 DCADLN CCCn1cc(C[NH+]2CCC(n3cc(C(=O)[O-])nn3)CC2)nn1 ZINC001596113495 983443179 /nfs/dbraw/zinc/44/31/79/983443179.db2.gz LNCHFYNWTUVTEX-UHFFFAOYSA-N 0 2 319.369 0.815 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2CO)cn1 ZINC001551141650 1014978869 /nfs/dbraw/zinc/97/88/69/1014978869.db2.gz BONIILDVFPQPNW-KXUCPTDWSA-N 0 2 309.263 0.855 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]2CO)cn1 ZINC001551141650 1014978880 /nfs/dbraw/zinc/97/88/80/1014978880.db2.gz BONIILDVFPQPNW-KXUCPTDWSA-N 0 2 309.263 0.855 20 0 DCADLN CC[N@H+](CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O)[C@H]1CCOC1 ZINC001596350537 983802503 /nfs/dbraw/zinc/80/25/03/983802503.db2.gz GVQIYELJGWSADO-IINYFYTJSA-N 0 2 313.354 0.230 20 0 DCADLN CC[N@@H+](CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O)[C@H]1CCOC1 ZINC001596350537 983802506 /nfs/dbraw/zinc/80/25/06/983802506.db2.gz GVQIYELJGWSADO-IINYFYTJSA-N 0 2 313.354 0.230 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)C2CCC(C(=O)[O-])CC2)C[C@H]1C ZINC001596436337 984118008 /nfs/dbraw/zinc/11/80/08/984118008.db2.gz NGPBWBWUAZTWIQ-PNESKVBLSA-N 0 2 318.439 0.986 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)C2CCC(C(=O)[O-])CC2)C[C@H]1C ZINC001596436337 984118014 /nfs/dbraw/zinc/11/80/14/984118014.db2.gz NGPBWBWUAZTWIQ-PNESKVBLSA-N 0 2 318.439 0.986 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)c1cccc(C(=O)[O-])c1 ZINC001596586216 984515337 /nfs/dbraw/zinc/51/53/37/984515337.db2.gz ZNBDWFVNDUTNRL-LBPRGKRZSA-N 0 2 306.318 0.362 20 0 DCADLN C/C(=C/C(=O)N1CC[C@@H](C(=O)[O-])[C@@H](C)C1)C[NH+]1CCOCC1 ZINC001588937925 984620394 /nfs/dbraw/zinc/62/03/94/984620394.db2.gz YSQGKHLMKODLAV-JKOFNFNQSA-N 0 2 310.394 0.834 20 0 DCADLN C/C(=C\C(=O)NC[C@H]1[C@@H](C(=O)[O-])C1(F)F)C[NH+]1CCOCC1 ZINC001588942669 984638205 /nfs/dbraw/zinc/63/82/05/984638205.db2.gz QJFHFXJJDHQYAW-PAJYAOBCSA-N 0 2 318.320 0.347 20 0 DCADLN CNC(=O)[C@@]12CN(c3ccc(C(=O)[O-])c[nH+]3)C[C@@H]1COCC2 ZINC001551415713 1015053676 /nfs/dbraw/zinc/05/36/76/1015053676.db2.gz ZCBZJMVVRCDNNM-ABAIWWIYSA-N 0 2 305.334 0.369 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001383855270 985142893 /nfs/dbraw/zinc/14/28/93/985142893.db2.gz SBAGYOUBEOSGEV-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1cccnc1 ZINC001383855270 985142898 /nfs/dbraw/zinc/14/28/98/985142898.db2.gz SBAGYOUBEOSGEV-DTWKUNHWSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2=CCCC2)C[C@@H]1O)C(F)C(F)(F)F ZINC001384232538 985454005 /nfs/dbraw/zinc/45/40/05/985454005.db2.gz ZDHIJHPFKOTZLI-KXUCPTDWSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C2=CCCC2)C[C@@H]1O)[C@@H](F)C(F)(F)F ZINC001384232538 985454008 /nfs/dbraw/zinc/45/40/08/985454008.db2.gz ZDHIJHPFKOTZLI-KXUCPTDWSA-N 0 2 324.274 0.685 20 0 DCADLN CC(=O)N[C@@H](C[S@](=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)[O-] ZINC001589155972 985481704 /nfs/dbraw/zinc/48/17/04/985481704.db2.gz MNCGAAOCRCUCOY-AMXDTQDGSA-N 0 2 323.374 0.481 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CC[C@@H](O)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599944911 985508683 /nfs/dbraw/zinc/50/86/83/985508683.db2.gz KMMYUDBCKPUVSQ-GHMZBOCLSA-N 0 2 310.350 0.188 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CC[C@@H](O)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599944911 985508688 /nfs/dbraw/zinc/50/86/88/985508688.db2.gz KMMYUDBCKPUVSQ-GHMZBOCLSA-N 0 2 310.350 0.188 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@@H+]2CCC[C@@](O)(CO)C2)c(C)c1C(=O)[O-] ZINC001599946383 985535137 /nfs/dbraw/zinc/53/51/37/985535137.db2.gz SQUDSBIBFOIHJZ-BZNIZROVSA-N 0 2 324.377 0.720 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@H+]2CCC[C@@](O)(CO)C2)c(C)c1C(=O)[O-] ZINC001599946383 985535145 /nfs/dbraw/zinc/53/51/45/985535145.db2.gz SQUDSBIBFOIHJZ-BZNIZROVSA-N 0 2 324.377 0.720 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@H+](C)[C@@H]1CCN(C2CCOCC2)C1=O ZINC001596878916 985744932 /nfs/dbraw/zinc/74/49/32/985744932.db2.gz WTFBTJWSCYJPBC-CHWSQXEVSA-N 0 2 314.382 0.188 20 0 DCADLN CCOC[C@H](C(=O)[O-])[N@@H+](C)[C@@H]1CCN(C2CCOCC2)C1=O ZINC001596878916 985744942 /nfs/dbraw/zinc/74/49/42/985744942.db2.gz WTFBTJWSCYJPBC-CHWSQXEVSA-N 0 2 314.382 0.188 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@H]2CCCN2C=O)n[nH]1 ZINC001551660598 1015165558 /nfs/dbraw/zinc/16/55/58/1015165558.db2.gz PZVUSCOVXZBFTG-JHJVBQTASA-N 0 2 321.381 0.065 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)C[C@H]1CCCOC1 ZINC001475755009 1017149016 /nfs/dbraw/zinc/14/90/16/1017149016.db2.gz PEEGEQGQCBCUIC-QMMMGPOBSA-N 0 2 301.311 0.141 20 0 DCADLN CCn1nccc1C(=O)N(C)C[C@@H]1OCC[C@@H]1c1n[nH]c(C)n1 ZINC001551669781 1015170927 /nfs/dbraw/zinc/17/09/27/1015170927.db2.gz ISHDTRVKATUMPM-AAEUAGOBSA-N 0 2 318.381 0.974 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)c2ccnn2C)n[nH]1 ZINC001551667301 1015171105 /nfs/dbraw/zinc/17/11/05/1015171105.db2.gz PJMMUNXZXKDKOG-JQWIXIFHSA-N 0 2 304.354 0.491 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)Cc2ccon2)n[nH]1 ZINC001551676418 1015175485 /nfs/dbraw/zinc/17/54/85/1015175485.db2.gz SZNNUPMUXISCAV-VXGBXAGGSA-N 0 2 305.338 0.675 20 0 DCADLN CC(=O)c1cccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@@](O)(C(=O)[O-])C2)c1 ZINC001589308961 986075555 /nfs/dbraw/zinc/07/55/55/986075555.db2.gz CDBXVCKRXJDBBH-HWPZZCPQSA-N 0 2 320.345 0.738 20 0 DCADLN CC(=O)c1cccc(NC(=O)[C@@H](C)[N@H+]2CC[C@@](O)(C(=O)[O-])C2)c1 ZINC001589308961 986075565 /nfs/dbraw/zinc/07/55/65/986075565.db2.gz CDBXVCKRXJDBBH-HWPZZCPQSA-N 0 2 320.345 0.738 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cccnn2)C1)C(F)C(F)(F)F ZINC001385198228 986152373 /nfs/dbraw/zinc/15/23/73/986152373.db2.gz RLYKESYOLGZXSH-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cccnn2)C1)[C@H](F)C(F)(F)F ZINC001385198228 986152377 /nfs/dbraw/zinc/15/23/77/986152377.db2.gz RLYKESYOLGZXSH-APPZFPTMSA-N 0 2 320.246 0.708 20 0 DCADLN C[C@H]1CNc2c(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cnn2C1 ZINC001594585691 986480411 /nfs/dbraw/zinc/48/04/11/986480411.db2.gz DGCPTKQJYPXNMQ-KWQFWETISA-N 0 2 318.337 0.094 20 0 DCADLN C[C@H]1CNc2c(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cnn2C1 ZINC001594585691 986480415 /nfs/dbraw/zinc/48/04/15/986480415.db2.gz DGCPTKQJYPXNMQ-KWQFWETISA-N 0 2 318.337 0.094 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)C1(C(=O)[O-])CC2(CCC2)C1 ZINC001594608024 986589272 /nfs/dbraw/zinc/58/92/72/986589272.db2.gz RDLKTJTWOZFWDO-LBPRGKRZSA-N 0 2 310.394 0.858 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)C1(C(=O)[O-])CC2(CCC2)C1 ZINC001594608024 986589277 /nfs/dbraw/zinc/58/92/77/986589277.db2.gz RDLKTJTWOZFWDO-LBPRGKRZSA-N 0 2 310.394 0.858 20 0 DCADLN CCCOCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001526429820 1015273444 /nfs/dbraw/zinc/27/34/44/1015273444.db2.gz RXOSXHGOGQAHHK-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCCOCC(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001526429820 1015273453 /nfs/dbraw/zinc/27/34/53/1015273453.db2.gz RXOSXHGOGQAHHK-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)COCC1CC1 ZINC001386303018 987056673 /nfs/dbraw/zinc/05/66/73/987056673.db2.gz IBQDGURNDYOYKH-XCBNKYQSSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)COCC1CC1 ZINC001386303018 987056682 /nfs/dbraw/zinc/05/66/82/987056682.db2.gz IBQDGURNDYOYKH-XCBNKYQSSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1)CNC(=O)C(F)C(F)(F)F ZINC001532126734 1015278307 /nfs/dbraw/zinc/27/83/07/1015278307.db2.gz RURMPUNFLOTSQO-POYBYMJQSA-N 0 2 310.251 0.792 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001532126734 1015278317 /nfs/dbraw/zinc/27/83/17/1015278317.db2.gz RURMPUNFLOTSQO-POYBYMJQSA-N 0 2 310.251 0.792 20 0 DCADLN Cc1[nH]nc(C(=O)NCCN(C)C(=O)C[N@@H+]2CCC[C@H]2C)c1[O-] ZINC001386855818 987454990 /nfs/dbraw/zinc/45/49/90/987454990.db2.gz ZDJDNXOJLBMBPZ-SNVBAGLBSA-N 0 2 323.397 0.096 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](C)NC(=O)C[C@H]1CCOC1 ZINC001387552379 987898320 /nfs/dbraw/zinc/89/83/20/987898320.db2.gz CERIKIYTLMUOBZ-DGCLKSJQSA-N 0 2 322.409 0.698 20 0 DCADLN Cc1ccnn1CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387748606 988113893 /nfs/dbraw/zinc/11/38/93/988113893.db2.gz LFGHMUHYKIVRAB-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN Cc1ccnn1CC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001387748606 988113896 /nfs/dbraw/zinc/11/38/96/988113896.db2.gz LFGHMUHYKIVRAB-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN Cc1ccnn1CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001387793560 988156351 /nfs/dbraw/zinc/15/63/51/988156351.db2.gz IMDRUDFMJJJUPF-SNVBAGLBSA-N 0 2 324.278 0.667 20 0 DCADLN Cc1ccnn1CC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001387793560 988156356 /nfs/dbraw/zinc/15/63/56/988156356.db2.gz IMDRUDFMJJJUPF-SNVBAGLBSA-N 0 2 324.278 0.667 20 0 DCADLN CSc1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])ccn1 ZINC001599806845 988269561 /nfs/dbraw/zinc/26/95/61/988269561.db2.gz WLXBPDOXMVLPMP-JTQLQIEISA-N 0 2 306.347 0.883 20 0 DCADLN CCC(=O)NCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001389160297 988927285 /nfs/dbraw/zinc/92/72/85/988927285.db2.gz ZYGPZRLRXZQMQV-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)NCC(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001389160297 988927298 /nfs/dbraw/zinc/92/72/98/988927298.db2.gz ZYGPZRLRXZQMQV-MUWHJKNJSA-N 0 2 315.267 0.034 20 0 DCADLN O=C(NC1CN(C(=O)c2ccncc2)C1)C(F)C(F)(F)F ZINC001389232137 989007705 /nfs/dbraw/zinc/00/77/05/989007705.db2.gz HHVAZGNMVOQKRL-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)c2ccncc2)C1)[C@H](F)C(F)(F)F ZINC001389232137 989007714 /nfs/dbraw/zinc/00/77/14/989007714.db2.gz HHVAZGNMVOQKRL-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN COCC(=O)N(C)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001527369231 1015455740 /nfs/dbraw/zinc/45/57/40/1015455740.db2.gz IZDDMYZMTBFWGW-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N(C)[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001527369231 1015455748 /nfs/dbraw/zinc/45/57/48/1015455748.db2.gz IZDDMYZMTBFWGW-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001450961732 1015486545 /nfs/dbraw/zinc/48/65/45/1015486545.db2.gz OSUJLOHPVHSXPW-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001450961732 1015486553 /nfs/dbraw/zinc/48/65/53/1015486553.db2.gz OSUJLOHPVHSXPW-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC(C)(C)OC(=O)NCC[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001590201176 989566507 /nfs/dbraw/zinc/56/65/07/989566507.db2.gz PAQFXROXCQGLAT-JTQLQIEISA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)NCC[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001590201176 989566519 /nfs/dbraw/zinc/56/65/19/989566519.db2.gz PAQFXROXCQGLAT-JTQLQIEISA-N 0 2 315.370 0.176 20 0 DCADLN CCn1nncc1C[N@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])C1CC1 ZINC001597975892 990039965 /nfs/dbraw/zinc/03/99/65/990039965.db2.gz NEVSCNFGKGFYDG-CHWSQXEVSA-N 0 2 321.381 0.099 20 0 DCADLN CCn1nncc1C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])C1CC1 ZINC001597975892 990039973 /nfs/dbraw/zinc/03/99/73/990039973.db2.gz NEVSCNFGKGFYDG-CHWSQXEVSA-N 0 2 321.381 0.099 20 0 DCADLN CC(C)(C)c1n[nH]cc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001590537451 990155808 /nfs/dbraw/zinc/15/58/08/990155808.db2.gz WZJUXOPGMAVKEG-SNVBAGLBSA-N 0 2 305.338 0.856 20 0 DCADLN CC(C)(C)c1n[nH]cc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001590537451 990155811 /nfs/dbraw/zinc/15/58/11/990155811.db2.gz WZJUXOPGMAVKEG-SNVBAGLBSA-N 0 2 305.338 0.856 20 0 DCADLN CC(C)(C)c1noc(C[NH2+]CCNC(=O)[C@H]2C[C@H]2C(=O)[O-])n1 ZINC001590583359 990229292 /nfs/dbraw/zinc/22/92/92/990229292.db2.gz KXSQLGVYTOPOTP-DTWKUNHWSA-N 0 2 310.354 0.294 20 0 DCADLN CC[N@H+](CCNC(=O)c1cccc(OC)n1)Cc1n[nH]c(=O)[n-]1 ZINC001390999588 990509344 /nfs/dbraw/zinc/50/93/44/990509344.db2.gz XNKBZOMYJWVAAS-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cccc(OC)n1)Cc1n[nH]c(=O)[n-]1 ZINC001390999588 990509349 /nfs/dbraw/zinc/50/93/49/990509349.db2.gz XNKBZOMYJWVAAS-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cccc(=O)[nH]1 ZINC001391152378 990623658 /nfs/dbraw/zinc/62/36/58/990623658.db2.gz FFDZZMYATSPDEP-UHFFFAOYSA-N 0 2 318.337 0.005 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1cccc(=O)[nH]1 ZINC001391152378 990623663 /nfs/dbraw/zinc/62/36/63/990623663.db2.gz FFDZZMYATSPDEP-UHFFFAOYSA-N 0 2 318.337 0.005 20 0 DCADLN CN(C)[C@H](C(=O)N1CC[NH+](CC(=O)[O-])CC1)c1ccccc1 ZINC001598163146 990667637 /nfs/dbraw/zinc/66/76/37/990667637.db2.gz PVUDZIIVSUXTOA-HNNXBMFYSA-N 0 2 305.378 0.518 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)(F)F)CCC1 ZINC001391433773 990833858 /nfs/dbraw/zinc/83/38/58/990833858.db2.gz ZYBZERYVMXAAKU-UHFFFAOYSA-N 0 2 319.287 0.543 20 0 DCADLN COc1cccc([C@H]([NH2+]CC(=O)NC2CCOCC2)C(=O)[O-])c1 ZINC001599686517 990996007 /nfs/dbraw/zinc/99/60/07/990996007.db2.gz KNYWKFSVHZIXJR-HNNXBMFYSA-N 0 2 322.361 0.706 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NCc1nnn(C)n1 ZINC001391681429 991089661 /nfs/dbraw/zinc/08/96/61/991089661.db2.gz DRGNJHLVCKIPOX-HTRCEHHLSA-N 0 2 312.271 0.095 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NCc1nnn(C)n1 ZINC001391681429 991089669 /nfs/dbraw/zinc/08/96/69/991089669.db2.gz DRGNJHLVCKIPOX-HTRCEHHLSA-N 0 2 312.271 0.095 20 0 DCADLN CN(C)c1cc(N2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)nc[nH+]1 ZINC001598307130 991267539 /nfs/dbraw/zinc/26/75/39/991267539.db2.gz DPFVQPOBBHIQDU-SNVBAGLBSA-N 0 2 317.353 0.674 20 0 DCADLN CN(C)c1cc(N2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)[nH+]cn1 ZINC001598307130 991267549 /nfs/dbraw/zinc/26/75/49/991267549.db2.gz DPFVQPOBBHIQDU-SNVBAGLBSA-N 0 2 317.353 0.674 20 0 DCADLN COc1cnc(F)c(C[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)c1 ZINC001599724675 991598764 /nfs/dbraw/zinc/59/87/64/991598764.db2.gz MNKSBSTZLZSTRD-JTQLQIEISA-N 0 2 321.312 0.966 20 0 DCADLN COc1cnc(F)c(C[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)c1 ZINC001599724675 991598775 /nfs/dbraw/zinc/59/87/75/991598775.db2.gz MNKSBSTZLZSTRD-JTQLQIEISA-N 0 2 321.312 0.966 20 0 DCADLN CC[NH+](CC)CC(=O)NC[C@@H](C)NC(=O)c1n[nH]c(C)c1[O-] ZINC001392285191 991695658 /nfs/dbraw/zinc/69/56/58/991695658.db2.gz YUWDXSZGZKWVTH-SECBINFHSA-N 0 2 311.386 0.000 20 0 DCADLN C[C@H](CNC(=O)Cc1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001392391363 991861647 /nfs/dbraw/zinc/86/16/47/991861647.db2.gz QDKMVXSLMRFGOQ-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)Cc1ccnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001392391363 991861652 /nfs/dbraw/zinc/86/16/52/991861652.db2.gz QDKMVXSLMRFGOQ-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN CS(=O)(=O)N1CCC[N@H+](Cc2cccc(C(=O)[O-])n2)CC1 ZINC001599755589 992048759 /nfs/dbraw/zinc/04/87/59/992048759.db2.gz LQHXLOHPFBHDTL-UHFFFAOYSA-N 0 2 313.379 0.247 20 0 DCADLN CS(=O)(=O)N1CCC[N@@H+](Cc2cccc(C(=O)[O-])n2)CC1 ZINC001599755589 992048770 /nfs/dbraw/zinc/04/87/70/992048770.db2.gz LQHXLOHPFBHDTL-UHFFFAOYSA-N 0 2 313.379 0.247 20 0 DCADLN CN(CCCn1cc[nH+]c1)S(=O)(=O)C1(C(=O)[O-])CCC1 ZINC001598485241 992197086 /nfs/dbraw/zinc/19/70/86/992197086.db2.gz NMCLSVJGXFOLGW-UHFFFAOYSA-N 0 2 301.368 0.542 20 0 DCADLN O=C(NCC1(NCc2nc(CC3CC3)no2)CC1)c1cnn[nH]1 ZINC001392913281 992535651 /nfs/dbraw/zinc/53/56/51/992535651.db2.gz SIUXXVMUBGJONP-UHFFFAOYSA-N 0 2 317.353 0.192 20 0 DCADLN C[N@@H+](Cc1ccc(C(N)=O)cc1)CN1C[C@@H](C(=O)[O-])OC1=O ZINC001598517364 992632977 /nfs/dbraw/zinc/63/29/77/992632977.db2.gz FTLMECSLWAXIBI-NSHDSACASA-N 0 2 307.306 0.080 20 0 DCADLN C[N@H+](Cc1ccc(C(N)=O)cc1)CN1C[C@@H](C(=O)[O-])OC1=O ZINC001598517364 992632985 /nfs/dbraw/zinc/63/29/85/992632985.db2.gz FTLMECSLWAXIBI-NSHDSACASA-N 0 2 307.306 0.080 20 0 DCADLN O=C(CC1CCC1)N[C@@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001393424257 992971104 /nfs/dbraw/zinc/97/11/04/992971104.db2.gz CJJBYEVBXJZACA-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2ccc(Cn3cccn3)o2)C[C@H]1C(=O)[O-] ZINC001598575496 993268562 /nfs/dbraw/zinc/26/85/62/993268562.db2.gz OPKKHUZMJVKPGZ-NEPJUHHUSA-N 0 2 319.317 0.839 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2ccc(Cn3cccn3)o2)C[C@H]1C(=O)[O-] ZINC001598575496 993268570 /nfs/dbraw/zinc/26/85/70/993268570.db2.gz OPKKHUZMJVKPGZ-NEPJUHHUSA-N 0 2 319.317 0.839 20 0 DCADLN Cc1cccc(C)c1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399581868 993584458 /nfs/dbraw/zinc/58/44/58/993584458.db2.gz AGKMIEJMAHXLPZ-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN Cc1cccc(C)c1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001399581868 993584465 /nfs/dbraw/zinc/58/44/65/993584465.db2.gz AGKMIEJMAHXLPZ-UHFFFAOYSA-N 0 2 303.366 0.989 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccncc1Cl)Cc1n[nH]c(=O)[n-]1 ZINC001399782107 993767452 /nfs/dbraw/zinc/76/74/52/993767452.db2.gz UUPGBYYYFWFOAE-UHFFFAOYSA-N 0 2 324.772 0.811 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccncc1Cl)Cc1n[nH]c(=O)[n-]1 ZINC001399782107 993767465 /nfs/dbraw/zinc/76/74/65/993767465.db2.gz UUPGBYYYFWFOAE-UHFFFAOYSA-N 0 2 324.772 0.811 20 0 DCADLN CN1CC[N@H+](C)[C@H](CNC(=O)/C=C/c2cc(C(=O)[O-])co2)C1 ZINC001598611347 993781963 /nfs/dbraw/zinc/78/19/63/993781963.db2.gz JVFNVWHKRUSPAB-AAOUONPWSA-N 0 2 307.350 0.353 20 0 DCADLN CN1CC[N@@H+](C)[C@H](CNC(=O)/C=C/c2cc(C(=O)[O-])co2)C1 ZINC001598611347 993781969 /nfs/dbraw/zinc/78/19/69/993781969.db2.gz JVFNVWHKRUSPAB-AAOUONPWSA-N 0 2 307.350 0.353 20 0 DCADLN CCNC(=O)C[NH+]1CCC[C@H]1CNC(=O)[C@@H]1CCCC[N@@H+]1CC ZINC001399903101 993902734 /nfs/dbraw/zinc/90/27/34/993902734.db2.gz UWAYDBHNHUUGGY-GJZGRUSLSA-N 0 2 324.469 0.578 20 0 DCADLN Cc1c(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)cnn1C ZINC001399906490 993908731 /nfs/dbraw/zinc/90/87/31/993908731.db2.gz MKEVFFFLZKJISL-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1c(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)cnn1C ZINC001399906490 993908739 /nfs/dbraw/zinc/90/87/39/993908739.db2.gz MKEVFFFLZKJISL-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN C[N@@H+]1CCc2c(cccc2S(=O)(=O)NCC2(C(=O)[O-])CC2)C1 ZINC001598626568 994103888 /nfs/dbraw/zinc/10/38/88/994103888.db2.gz BSLSLFUYFMHDJT-UHFFFAOYSA-N 0 2 324.402 0.818 20 0 DCADLN C[N@H+]1CCc2c(cccc2S(=O)(=O)NCC2(C(=O)[O-])CC2)C1 ZINC001598626568 994103892 /nfs/dbraw/zinc/10/38/92/994103892.db2.gz BSLSLFUYFMHDJT-UHFFFAOYSA-N 0 2 324.402 0.818 20 0 DCADLN CC(C)[C@H](C(=O)NC[C@H](C)NC(=O)c1[nH]ncc1F)[NH+](C)C ZINC001400303771 994402829 /nfs/dbraw/zinc/40/28/29/994402829.db2.gz UMMBAPVIFBJBSL-JOYOIKCWSA-N 0 2 313.377 0.370 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001598655748 994519554 /nfs/dbraw/zinc/51/95/54/994519554.db2.gz MFGSGHVFUAXJLZ-UMNHJUIQSA-N 0 2 322.365 0.074 20 0 DCADLN CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCC[C@@H](C(=O)[O-])C1 ZINC001598655748 994519564 /nfs/dbraw/zinc/51/95/64/994519564.db2.gz MFGSGHVFUAXJLZ-UMNHJUIQSA-N 0 2 322.365 0.074 20 0 DCADLN COc1cccc([C@@H](O)C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001599660119 994643249 /nfs/dbraw/zinc/64/32/49/994643249.db2.gz QVLPJRGQVOTGCO-QWHCGFSZSA-N 0 2 319.317 0.264 20 0 DCADLN COc1cccc([C@@H](O)C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001599660119 994643253 /nfs/dbraw/zinc/64/32/53/994643253.db2.gz QVLPJRGQVOTGCO-QWHCGFSZSA-N 0 2 319.317 0.264 20 0 DCADLN CN(C(=O)C[NH+](C)C1CCC1)[C@@H]1CC[N@H+](Cc2ccnn2C)C1 ZINC001400716510 994834077 /nfs/dbraw/zinc/83/40/77/994834077.db2.gz RFDLXRIFWGVSMS-OAHLLOKOSA-N 0 2 319.453 0.937 20 0 DCADLN CCCNC(=O)C[N@@H+]1CC[C@H](N(C)C(=O)C[NH+]2CCCC2)C1 ZINC001400739427 994864337 /nfs/dbraw/zinc/86/43/37/994864337.db2.gz NQQQTQJXBXARFA-AWEZNQCLSA-N 0 2 310.442 0.141 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cccc(OC(F)F)c2)n1 ZINC001464430826 1015908755 /nfs/dbraw/zinc/90/87/55/1015908755.db2.gz WIJOQNVAQDAANQ-UHFFFAOYSA-N 0 2 305.266 0.612 20 0 DCADLN C[C@](O)(CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1)C(=O)[O-] ZINC001593399266 995154298 /nfs/dbraw/zinc/15/42/98/995154298.db2.gz PDXCHOBCYXGYOQ-BBRMVZONSA-N 0 2 321.377 0.388 20 0 DCADLN C[C@](O)(CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1)C(=O)[O-] ZINC001593399266 995154306 /nfs/dbraw/zinc/15/43/06/995154306.db2.gz PDXCHOBCYXGYOQ-BBRMVZONSA-N 0 2 321.377 0.388 20 0 DCADLN COCC(=O)N1CCC([C@@H](C)NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001396166087 995234974 /nfs/dbraw/zinc/23/49/74/995234974.db2.gz LKNIOBPYOKPZKX-LLVKDONJSA-N 0 2 322.409 0.650 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001396757008 995588997 /nfs/dbraw/zinc/58/89/97/995588997.db2.gz WVOSYCIKXYCYIW-RNJXMRFFSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001396757008 995589004 /nfs/dbraw/zinc/58/90/04/995589004.db2.gz WVOSYCIKXYCYIW-RNJXMRFFSA-N 0 2 300.252 0.639 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397252937 995888284 /nfs/dbraw/zinc/88/82/84/995888284.db2.gz FAIPLUCBEIIRHC-HXFLIBJXSA-N 0 2 314.279 0.933 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397252937 995888285 /nfs/dbraw/zinc/88/82/85/995888285.db2.gz FAIPLUCBEIIRHC-HXFLIBJXSA-N 0 2 314.279 0.933 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1C[C@@H](O)CC(F)(F)F ZINC001598772863 995899912 /nfs/dbraw/zinc/89/99/12/995899912.db2.gz VROMDJGVHTVNDF-VGMNWLOBSA-N 0 2 313.272 0.638 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1C[C@@H](O)CC(F)(F)F ZINC001598772863 995899918 /nfs/dbraw/zinc/89/99/18/995899918.db2.gz VROMDJGVHTVNDF-VGMNWLOBSA-N 0 2 313.272 0.638 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n1C ZINC001397533847 996052533 /nfs/dbraw/zinc/05/25/33/996052533.db2.gz CNUZFNQULHJIOU-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)n1C ZINC001397533847 996052539 /nfs/dbraw/zinc/05/25/39/996052539.db2.gz CNUZFNQULHJIOU-HZGVNTEJSA-N 0 2 324.278 0.863 20 0 DCADLN C[C@@H](C(=O)N(C)C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C[NH+]1CCCC1 ZINC001397553981 996064381 /nfs/dbraw/zinc/06/43/81/996064381.db2.gz KWKIOSCTTLTLRM-UONOGXRCSA-N 0 2 310.442 0.092 20 0 DCADLN COC(=O)[C@H]1CCC[C@@H]1[NH2+]Cc1noc(CCCC(=O)[O-])n1 ZINC001598791666 996078554 /nfs/dbraw/zinc/07/85/54/996078554.db2.gz PYXKKPZWTMGJQF-UWVGGRQHSA-N 0 2 311.338 0.908 20 0 DCADLN C[C@@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)NC(=O)C1CC1 ZINC001397633427 996108131 /nfs/dbraw/zinc/10/81/31/996108131.db2.gz MKQSKIZUENENAX-VIFPVBQESA-N 0 2 316.365 0.455 20 0 DCADLN C[C@H](c1ccc(S(C)(=O)=O)cc1)[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001593700171 996174845 /nfs/dbraw/zinc/17/48/45/996174845.db2.gz XMYQXMSYBOFWSN-YGRLFVJLSA-N 0 2 313.375 0.673 20 0 DCADLN C[C@H](c1ccc(S(C)(=O)=O)cc1)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001593700171 996174847 /nfs/dbraw/zinc/17/48/47/996174847.db2.gz XMYQXMSYBOFWSN-YGRLFVJLSA-N 0 2 313.375 0.673 20 0 DCADLN CO[C@H](C)CC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001397885938 996231851 /nfs/dbraw/zinc/23/18/51/996231851.db2.gz OMALNZPGYWWGOU-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@H](C)CC(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001397885938 996231857 /nfs/dbraw/zinc/23/18/57/996231857.db2.gz OMALNZPGYWWGOU-APPZFPTMSA-N 0 2 302.268 0.886 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[NH+]1CCC(c2nnnn2C)CC1 ZINC001593725516 996279200 /nfs/dbraw/zinc/27/92/00/996279200.db2.gz RCZUMNYCVHIBAI-QMMMGPOBSA-N 0 2 306.326 0.837 20 0 DCADLN C[C@H](c1nnnn1C)[N@@H+]1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001593737930 996341148 /nfs/dbraw/zinc/34/11/48/996341148.db2.gz NHRZEVYFZNFHOG-SFYZADRCSA-N 0 2 308.367 0.915 20 0 DCADLN C[C@H](c1nnnn1C)[N@H+]1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001593737930 996341151 /nfs/dbraw/zinc/34/11/51/996341151.db2.gz NHRZEVYFZNFHOG-SFYZADRCSA-N 0 2 308.367 0.915 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2cc(Cl)n(C)n2)C[C@@H]1C(=O)[O-] ZINC001598824488 996367495 /nfs/dbraw/zinc/36/74/95/996367495.db2.gz AELNGQNLAJFERE-IUCAKERBSA-N 0 2 301.730 0.379 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2cc(Cl)n(C)n2)C[C@@H]1C(=O)[O-] ZINC001598824488 996367498 /nfs/dbraw/zinc/36/74/98/996367498.db2.gz AELNGQNLAJFERE-IUCAKERBSA-N 0 2 301.730 0.379 20 0 DCADLN CCn1cc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001398306990 996463218 /nfs/dbraw/zinc/46/32/18/996463218.db2.gz XQTKZHKVNOERPJ-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1cc(CN2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001398306990 996463222 /nfs/dbraw/zinc/46/32/22/996463222.db2.gz XQTKZHKVNOERPJ-WPRPVWTQSA-N 0 2 323.294 0.889 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001398603785 996599021 /nfs/dbraw/zinc/59/90/21/996599021.db2.gz IBBXZMLXYLKRJU-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398603785 996599022 /nfs/dbraw/zinc/59/90/22/996599022.db2.gz IBBXZMLXYLKRJU-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN O=C(Cc1cncnc1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001398610815 996603102 /nfs/dbraw/zinc/60/31/02/996603102.db2.gz ZBZNXYYPIXZAJA-SNVBAGLBSA-N 0 2 320.246 0.247 20 0 DCADLN O=C(Cc1cncnc1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398610815 996603104 /nfs/dbraw/zinc/60/31/04/996603104.db2.gz ZBZNXYYPIXZAJA-SNVBAGLBSA-N 0 2 320.246 0.247 20 0 DCADLN COC[C@H](C)C(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398743377 996667213 /nfs/dbraw/zinc/66/72/13/996667213.db2.gz VTMFUEHSACPSRV-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN COC[C@H](C)C(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001398743377 996667214 /nfs/dbraw/zinc/66/72/14/996667214.db2.gz VTMFUEHSACPSRV-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN COC(=O)Cc1cc[nH+]c(NCCn2cc(C(=O)[O-])nn2)c1 ZINC001598873069 996746868 /nfs/dbraw/zinc/74/68/68/996746868.db2.gz ICYDZUGCKYGIFH-UHFFFAOYSA-N 0 2 305.294 0.199 20 0 DCADLN CC(C)n1ncnc1C[NH2+][C@@H](C)CNC(=O)CCc1cn[nH]n1 ZINC001399014974 996834774 /nfs/dbraw/zinc/83/47/74/996834774.db2.gz GHAHUJXIXMPLAK-NSHDSACASA-N 0 2 320.401 0.204 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@H+](Cc2nnnn2-c2ccccc2)C1 ZINC001599079566 996967142 /nfs/dbraw/zinc/96/71/42/996967142.db2.gz SDGNFQJBYKYYFJ-AWEZNQCLSA-N 0 2 303.322 0.338 20 0 DCADLN CO[C@@]1(C(=O)[O-])CC[N@@H+](Cc2nnnn2-c2ccccc2)C1 ZINC001599079566 996967151 /nfs/dbraw/zinc/96/71/51/996967151.db2.gz SDGNFQJBYKYYFJ-AWEZNQCLSA-N 0 2 303.322 0.338 20 0 DCADLN COc1ccc(C(=O)[O-])c(S(=O)(=O)NCC[NH+]2CCC2)c1 ZINC001599496122 997023253 /nfs/dbraw/zinc/02/32/53/997023253.db2.gz SQYLNSDGNYHAKJ-UHFFFAOYSA-N 0 2 314.363 0.377 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccoc1CC(=O)[O-] ZINC001598894338 997052175 /nfs/dbraw/zinc/05/21/75/997052175.db2.gz ISCBWLBCSMMIKQ-SNVBAGLBSA-N 0 2 321.289 0.144 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccoc1CC(=O)[O-] ZINC001598894338 997052185 /nfs/dbraw/zinc/05/21/85/997052185.db2.gz ISCBWLBCSMMIKQ-SNVBAGLBSA-N 0 2 321.289 0.144 20 0 DCADLN CO[C@@H]1CC[C@@H]2OCC[N@H+](Cc3cnc(C(=O)[O-])cn3)[C@H]2C1 ZINC001599102168 997407433 /nfs/dbraw/zinc/40/74/33/997407433.db2.gz FSJUIBSQXIQBFR-XBFCOCLRSA-N 0 2 307.350 0.943 20 0 DCADLN CO[C@@H]1CC[C@@H]2OCC[N@@H+](Cc3cnc(C(=O)[O-])cn3)[C@H]2C1 ZINC001599102168 997407443 /nfs/dbraw/zinc/40/74/43/997407443.db2.gz FSJUIBSQXIQBFR-XBFCOCLRSA-N 0 2 307.350 0.943 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CC[C@@H]2COC[C@@H]2C1 ZINC001598925779 997645700 /nfs/dbraw/zinc/64/57/00/997645700.db2.gz YUYOJDZSLLJCGP-ZJUUUORDSA-N 0 2 309.322 0.294 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CC[C@@H]2COC[C@@H]2C1 ZINC001598925779 997645711 /nfs/dbraw/zinc/64/57/11/997645711.db2.gz YUYOJDZSLLJCGP-ZJUUUORDSA-N 0 2 309.322 0.294 20 0 DCADLN CCC[NH+]1CCC[C@H]1C(=O)N[C@H]1CC[N@H+](CC(=O)N(C)CC)C1 ZINC001404998498 997664517 /nfs/dbraw/zinc/66/45/17/997664517.db2.gz BDBFOKOJEMEWIG-GJZGRUSLSA-N 0 2 324.469 0.530 20 0 DCADLN C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccsc2C(=O)[O-])C1 ZINC001594046769 997707126 /nfs/dbraw/zinc/70/71/26/997707126.db2.gz FLPRWVISRSCENG-LLVKDONJSA-N 0 2 322.383 0.768 20 0 DCADLN COc1c(N)[nH+]cnc1N1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001599335475 997827006 /nfs/dbraw/zinc/82/70/06/997827006.db2.gz JBLLIXARQDMKIC-QMMMGPOBSA-N 0 2 319.325 0.199 20 0 DCADLN COc1c(N)nc[nH+]c1N1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001599335475 997827012 /nfs/dbraw/zinc/82/70/12/997827012.db2.gz JBLLIXARQDMKIC-QMMMGPOBSA-N 0 2 319.325 0.199 20 0 DCADLN O=C(CC1CCC1)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001405247316 998006391 /nfs/dbraw/zinc/00/63/91/998006391.db2.gz DGLCGPNHTNTQLL-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001405247316 998006402 /nfs/dbraw/zinc/00/64/02/998006402.db2.gz DGLCGPNHTNTQLL-WCBMZHEXSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1C(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001594139418 998422254 /nfs/dbraw/zinc/42/22/54/998422254.db2.gz BEEDMWUOTGKRKB-MWLCHTKSSA-N 0 2 316.317 0.594 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC001594170961 998656776 /nfs/dbraw/zinc/65/67/76/998656776.db2.gz NQGDTCWBLPCUSW-GHMZBOCLSA-N 0 2 319.365 0.054 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC001594170961 998656779 /nfs/dbraw/zinc/65/67/79/998656779.db2.gz NQGDTCWBLPCUSW-GHMZBOCLSA-N 0 2 319.365 0.054 20 0 DCADLN C[C@@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C[N@@H+]1C1CC1 ZINC001594264894 999023589 /nfs/dbraw/zinc/02/35/89/999023589.db2.gz XUBWOSGTDZUTEL-SFYZADRCSA-N 0 2 315.351 0.276 20 0 DCADLN C[C@@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C[N@H+]1C1CC1 ZINC001594264894 999023592 /nfs/dbraw/zinc/02/35/92/999023592.db2.gz XUBWOSGTDZUTEL-SFYZADRCSA-N 0 2 315.351 0.276 20 0 DCADLN O=C(NCc1nnc(CO)[nH]1)C(F)(F)C1(O)CCCCC1 ZINC001418529583 1000318315 /nfs/dbraw/zinc/31/83/15/1000318315.db2.gz QSYVINYJVIPMPK-UHFFFAOYSA-N 0 2 304.297 0.244 20 0 DCADLN CC[N@H+](CCNC(=O)c1ncoc1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001418576589 1000360358 /nfs/dbraw/zinc/36/03/58/1000360358.db2.gz SXTVFAATIOMYMV-UHFFFAOYSA-N 0 2 322.369 0.874 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ncoc1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001418576589 1000360360 /nfs/dbraw/zinc/36/03/60/1000360360.db2.gz SXTVFAATIOMYMV-UHFFFAOYSA-N 0 2 322.369 0.874 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@H+](C)CC(=O)N2CCCC2)c1[O-] ZINC001401364898 1000380800 /nfs/dbraw/zinc/38/08/00/1000380800.db2.gz MLQVWVIPVQVXFI-UHFFFAOYSA-N 0 2 323.397 0.050 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[N@@H+](C)CC(=O)N2CCCC2)c1[O-] ZINC001401364898 1000380805 /nfs/dbraw/zinc/38/08/05/1000380805.db2.gz MLQVWVIPVQVXFI-UHFFFAOYSA-N 0 2 323.397 0.050 20 0 DCADLN Cc1ncccc1CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401386399 1000400529 /nfs/dbraw/zinc/40/05/29/1000400529.db2.gz UQRZZWOACCZADW-UHFFFAOYSA-N 0 2 318.381 0.347 20 0 DCADLN Cc1ncccc1CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401386399 1000400533 /nfs/dbraw/zinc/40/05/33/1000400533.db2.gz UQRZZWOACCZADW-UHFFFAOYSA-N 0 2 318.381 0.347 20 0 DCADLN Cc1nccn1CC(=O)NC[C@H]1C[C@@H]([NH2+]Cc2ncnn2C)C1 ZINC001418644655 1000416306 /nfs/dbraw/zinc/41/63/06/1000416306.db2.gz DYXYRIPGNZIWSU-BETUJISGSA-N 0 2 317.397 0.005 20 0 DCADLN C[C@@H](C(N)=O)[N@H+]1CC[C@@H](CCNC(=O)CCc2c[nH+]cn2C)C1 ZINC001418908863 1000607731 /nfs/dbraw/zinc/60/77/31/1000607731.db2.gz SQRKXKQGUVQVPA-QWHCGFSZSA-N 0 2 321.425 0.055 20 0 DCADLN C[C@@H](CN(C)Cc1cn(C)nn1)NC(=O)C(F)C(F)(F)F ZINC001401759373 1000697432 /nfs/dbraw/zinc/69/74/32/1000697432.db2.gz VFAMPYXXHSPWHK-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CN(C)Cc1cn(C)nn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001401759373 1000697438 /nfs/dbraw/zinc/69/74/38/1000697438.db2.gz VFAMPYXXHSPWHK-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CN(C)Cc1cn(C)nn1)NC(=O)C(F)C(F)(F)F ZINC001401759376 1000697849 /nfs/dbraw/zinc/69/78/49/1000697849.db2.gz VFAMPYXXHSPWHK-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CN(C)Cc1cn(C)nn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001401759376 1000697856 /nfs/dbraw/zinc/69/78/56/1000697856.db2.gz VFAMPYXXHSPWHK-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001419311138 1000966251 /nfs/dbraw/zinc/96/62/51/1000966251.db2.gz SSDHIQPRBKBXCD-SNVBAGLBSA-N 0 2 320.349 0.769 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001419311138 1000966260 /nfs/dbraw/zinc/96/62/60/1000966260.db2.gz SSDHIQPRBKBXCD-SNVBAGLBSA-N 0 2 320.349 0.769 20 0 DCADLN NC(=O)CC1CC(NC(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC001419378895 1001010528 /nfs/dbraw/zinc/01/05/28/1001010528.db2.gz LNUBUINMGFTBTE-UHFFFAOYSA-N 0 2 314.345 0.962 20 0 DCADLN COCc1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001403142459 1001693091 /nfs/dbraw/zinc/69/30/91/1001693091.db2.gz LMWQSRWSWLJILC-VIFPVBQESA-N 0 2 323.353 0.500 20 0 DCADLN COCc1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001403142459 1001693093 /nfs/dbraw/zinc/69/30/93/1001693093.db2.gz LMWQSRWSWLJILC-VIFPVBQESA-N 0 2 323.353 0.500 20 0 DCADLN C[C@@H](CNC(=O)c1occ2c1CCC2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403171884 1001705647 /nfs/dbraw/zinc/70/56/47/1001705647.db2.gz UQXUDJZTWOXGQE-VIFPVBQESA-N 0 2 319.365 0.842 20 0 DCADLN C[C@@H](CNC(=O)c1occ2c1CCC2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403171884 1001705648 /nfs/dbraw/zinc/70/56/48/1001705648.db2.gz UQXUDJZTWOXGQE-VIFPVBQESA-N 0 2 319.365 0.842 20 0 DCADLN COCC1(CS(=O)(=O)NCC(F)(F)C(=O)OC)CCC1 ZINC001424831106 1002071684 /nfs/dbraw/zinc/07/16/84/1002071684.db2.gz PPSPTGXRUDLYBJ-UHFFFAOYSA-N 0 2 315.338 0.531 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507786098 1016569587 /nfs/dbraw/zinc/56/95/87/1016569587.db2.gz AKXCVWIQYLGXNA-GFCCVEGCSA-N 0 2 313.402 0.196 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001507786098 1016569593 /nfs/dbraw/zinc/56/95/93/1016569593.db2.gz AKXCVWIQYLGXNA-GFCCVEGCSA-N 0 2 313.402 0.196 20 0 DCADLN Cc1nc(CCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001403690482 1002359973 /nfs/dbraw/zinc/35/99/73/1002359973.db2.gz PSEGRCXKCCPNOF-UHFFFAOYSA-N 0 2 324.410 0.456 20 0 DCADLN Cc1nc(CCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001403690482 1002359982 /nfs/dbraw/zinc/35/99/82/1002359982.db2.gz PSEGRCXKCCPNOF-UHFFFAOYSA-N 0 2 324.410 0.456 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1c(C)noc1C)Cc1n[nH]c(=O)[n-]1 ZINC001420448639 1002434240 /nfs/dbraw/zinc/43/42/40/1002434240.db2.gz PIIYRDNOZWLJPT-UHFFFAOYSA-N 0 2 322.369 0.296 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1c(C)noc1C)Cc1n[nH]c(=O)[n-]1 ZINC001420448639 1002434249 /nfs/dbraw/zinc/43/42/49/1002434249.db2.gz PIIYRDNOZWLJPT-UHFFFAOYSA-N 0 2 322.369 0.296 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)C(C)(F)F ZINC001420636265 1002722912 /nfs/dbraw/zinc/72/29/12/1002722912.db2.gz WFUXPDAWTGGLHS-SFYZADRCSA-N 0 2 305.329 0.738 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)C(C)(F)F ZINC001420636265 1002722924 /nfs/dbraw/zinc/72/29/24/1002722924.db2.gz WFUXPDAWTGGLHS-SFYZADRCSA-N 0 2 305.329 0.738 20 0 DCADLN O=C(Cc1ccc[nH]1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001404021340 1002912554 /nfs/dbraw/zinc/91/25/54/1002912554.db2.gz JICZOQQTGWYGMB-LLVKDONJSA-N 0 2 304.354 0.162 20 0 DCADLN O=C(Cc1ccc[nH]1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001404021340 1002912558 /nfs/dbraw/zinc/91/25/58/1002912558.db2.gz JICZOQQTGWYGMB-LLVKDONJSA-N 0 2 304.354 0.162 20 0 DCADLN COCC(=O)NCC1(CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001512622439 1016694204 /nfs/dbraw/zinc/69/42/04/1016694204.db2.gz QHALKAOSTYTOID-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN COCC(=O)NCC1(CCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001512622439 1016694217 /nfs/dbraw/zinc/69/42/17/1016694217.db2.gz QHALKAOSTYTOID-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN C[C@]1(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)CCOC1 ZINC001508225810 1016720938 /nfs/dbraw/zinc/72/09/38/1016720938.db2.gz UFGFYCKDXCANEJ-HNNXBMFYSA-N 0 2 321.381 0.185 20 0 DCADLN C[C@]1(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)CCOC1 ZINC001508225810 1016720956 /nfs/dbraw/zinc/72/09/56/1016720956.db2.gz UFGFYCKDXCANEJ-HNNXBMFYSA-N 0 2 321.381 0.185 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1(CF)CCC1 ZINC001508221774 1016721769 /nfs/dbraw/zinc/72/17/69/1016721769.db2.gz IDZMQMODDDZKAB-UHFFFAOYSA-N 0 2 323.372 0.898 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1(CF)CCC1 ZINC001508221774 1016721778 /nfs/dbraw/zinc/72/17/78/1016721778.db2.gz IDZMQMODDDZKAB-UHFFFAOYSA-N 0 2 323.372 0.898 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C=C2CCCCC2)[nH]1 ZINC001472082725 1016723583 /nfs/dbraw/zinc/72/35/83/1016723583.db2.gz TXNDOXBDODMKRD-UHFFFAOYSA-N 0 2 312.395 0.856 20 0 DCADLN CC(C)COCCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472082057 1016726715 /nfs/dbraw/zinc/72/67/15/1016726715.db2.gz KBFVNDAIPJIVFA-UHFFFAOYSA-N 0 2 318.399 0.028 20 0 DCADLN COCC(C)(C)CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406126449 1003399036 /nfs/dbraw/zinc/39/90/36/1003399036.db2.gz OQSVLAOCUJCHGS-UHFFFAOYSA-N 0 2 313.402 0.463 20 0 DCADLN COCC(C)(C)CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406126449 1003399040 /nfs/dbraw/zinc/39/90/40/1003399040.db2.gz OQSVLAOCUJCHGS-UHFFFAOYSA-N 0 2 313.402 0.463 20 0 DCADLN COCCn1cc(C(=O)N[C@H](C)c2nn(C)cc2O)c(C)n1 ZINC001472237120 1016767742 /nfs/dbraw/zinc/76/77/42/1016767742.db2.gz PUMFUGXLDMKKCE-SNVBAGLBSA-N 0 2 307.354 0.768 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cocn1 ZINC001426096284 1003426855 /nfs/dbraw/zinc/42/68/55/1003426855.db2.gz HPVWICNIDAFNKF-UHFFFAOYSA-N 0 2 308.342 0.481 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cocn1 ZINC001426096284 1003426865 /nfs/dbraw/zinc/42/68/65/1003426865.db2.gz HPVWICNIDAFNKF-UHFFFAOYSA-N 0 2 308.342 0.481 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421226850 1003463344 /nfs/dbraw/zinc/46/33/44/1003463344.db2.gz XMZWGXCGDHLSIQ-YGRLFVJLSA-N 0 2 311.386 0.406 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421226850 1003463350 /nfs/dbraw/zinc/46/33/50/1003463350.db2.gz XMZWGXCGDHLSIQ-YGRLFVJLSA-N 0 2 311.386 0.406 20 0 DCADLN CN(C(=O)CCC(C)(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421320728 1003537746 /nfs/dbraw/zinc/53/77/46/1003537746.db2.gz GGFQHTWYMDPHKX-SECBINFHSA-N 0 2 317.340 0.978 20 0 DCADLN CN(C(=O)CCC(C)(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421320728 1003537753 /nfs/dbraw/zinc/53/77/53/1003537753.db2.gz GGFQHTWYMDPHKX-SECBINFHSA-N 0 2 317.340 0.978 20 0 DCADLN Cc1nnc(CN(C)C[C@H](C)NC(=O)C(F)C(F)(F)F)[nH]1 ZINC001406618069 1003931528 /nfs/dbraw/zinc/93/15/28/1003931528.db2.gz SOLXHPIVEWQQCG-IMTBSYHQSA-N 0 2 311.283 0.950 20 0 DCADLN CCCCC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001426669092 1003954915 /nfs/dbraw/zinc/95/49/15/1003954915.db2.gz YIYYEHNMNBKIFY-KHQFGBGNSA-N 0 2 314.279 0.765 20 0 DCADLN CCCCC(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001426669092 1003954924 /nfs/dbraw/zinc/95/49/24/1003954924.db2.gz YIYYEHNMNBKIFY-KHQFGBGNSA-N 0 2 314.279 0.765 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC001426719560 1003983065 /nfs/dbraw/zinc/98/30/65/1003983065.db2.gz SUSRJTLTYLPOLO-APPZFPTMSA-N 0 2 300.252 0.593 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001426719560 1003983058 /nfs/dbraw/zinc/98/30/58/1003983058.db2.gz SUSRJTLTYLPOLO-APPZFPTMSA-N 0 2 300.252 0.593 20 0 DCADLN CN(C(=O)CC[C@H]1CCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001407044348 1004327607 /nfs/dbraw/zinc/32/76/07/1004327607.db2.gz XUGVVVPQJNKXGF-LLVKDONJSA-N 0 2 309.370 0.112 20 0 DCADLN C[C@@H](C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001429305724 1004520359 /nfs/dbraw/zinc/52/03/59/1004520359.db2.gz WNPOHRVOUVGMCK-IUCAKERBSA-N 0 2 324.278 0.919 20 0 DCADLN C[C@@H](C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)n1cccn1 ZINC001429305724 1004520365 /nfs/dbraw/zinc/52/03/65/1004520365.db2.gz WNPOHRVOUVGMCK-IUCAKERBSA-N 0 2 324.278 0.919 20 0 DCADLN O=C(NCC1([NH2+]Cc2nccs2)CCOCC1)c1cnn[nH]1 ZINC001407306913 1004532235 /nfs/dbraw/zinc/53/22/35/1004532235.db2.gz TYTVQABVSZFCOP-UHFFFAOYSA-N 0 2 322.394 0.330 20 0 DCADLN CN(CCNC(=O)[C@@]1(F)CCOC1)C(=O)C(F)C(F)(F)F ZINC001429441558 1004600216 /nfs/dbraw/zinc/60/02/16/1004600216.db2.gz QAWCOQPWSLPFPQ-GMSGAONNSA-N 0 2 318.242 0.590 20 0 DCADLN CN(CCNC(=O)[C@@]1(F)CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001429441558 1004600221 /nfs/dbraw/zinc/60/02/21/1004600221.db2.gz QAWCOQPWSLPFPQ-GMSGAONNSA-N 0 2 318.242 0.590 20 0 DCADLN CC1(C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001427822037 1004629662 /nfs/dbraw/zinc/62/96/62/1004629662.db2.gz FSZYDKWJZSMPSA-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)CCC1 ZINC001427822037 1004629665 /nfs/dbraw/zinc/62/96/65/1004629665.db2.gz FSZYDKWJZSMPSA-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001427828051 1004632234 /nfs/dbraw/zinc/63/22/34/1004632234.db2.gz RGGBKNANEGWHJN-VHSXEESVSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccccc1 ZINC001427828051 1004632237 /nfs/dbraw/zinc/63/22/37/1004632237.db2.gz RGGBKNANEGWHJN-VHSXEESVSA-N 0 2 322.258 0.794 20 0 DCADLN Cc1nn(C)cc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001408188154 1005099588 /nfs/dbraw/zinc/09/95/88/1005099588.db2.gz BSLJTZFEDCQNSG-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1nn(C)cc1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001408188154 1005099594 /nfs/dbraw/zinc/09/95/94/1005099594.db2.gz BSLJTZFEDCQNSG-RCOVLWMOSA-N 0 2 324.278 0.863 20 0 DCADLN CCc1nnc([C@@H](C)NS(=O)(=O)N=S(=O)(CC)CC)[nH]1 ZINC001414240064 1005263022 /nfs/dbraw/zinc/26/30/22/1005263022.db2.gz GEEOWWLVLRCPJJ-MRVPVSSYSA-N 0 2 323.444 0.770 20 0 DCADLN CCc1nc([C@@H](C)NS(=O)(=O)N=S(=O)(CC)CC)n[nH]1 ZINC001414240064 1005263025 /nfs/dbraw/zinc/26/30/25/1005263025.db2.gz GEEOWWLVLRCPJJ-MRVPVSSYSA-N 0 2 323.444 0.770 20 0 DCADLN COC(=O)[C@H](CF)NS(=O)(=O)C[C@@H]1CC2(CCC2)CO1 ZINC001414277268 1005273226 /nfs/dbraw/zinc/27/32/26/1005273226.db2.gz OYVJJGNVJNUMRM-UWVGGRQHSA-N 0 2 309.359 0.376 20 0 DCADLN O=c1[nH]nc(CNS(=O)(=O)C[C@@H]2CCCCO2)n1C1CC1 ZINC001414331284 1005289171 /nfs/dbraw/zinc/28/91/71/1005289171.db2.gz QEGVXDIPOCVHSJ-JTQLQIEISA-N 0 2 316.383 0.307 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001414538615 1005356038 /nfs/dbraw/zinc/35/60/38/1005356038.db2.gz OWHCQPPFDDLAJR-KGLIPLIRSA-N 0 2 319.409 0.085 20 0 DCADLN Cc1nnc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001414747058 1005394893 /nfs/dbraw/zinc/39/48/93/1005394893.db2.gz YXSZSCIUOVTOCP-QMMMGPOBSA-N 0 2 306.330 0.026 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1cccnn1 ZINC001414969101 1005434800 /nfs/dbraw/zinc/43/48/00/1005434800.db2.gz FEIYPUBVLPUVCK-ZKWXMUAHSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1cccnn1 ZINC001414969101 1005434801 /nfs/dbraw/zinc/43/48/01/1005434801.db2.gz FEIYPUBVLPUVCK-ZKWXMUAHSA-N 0 2 320.246 0.754 20 0 DCADLN CN1CC[C@@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1=O ZINC001415129351 1005465167 /nfs/dbraw/zinc/46/51/67/1005465167.db2.gz ZPFBDXMWOCKMBN-BDAKNGLRSA-N 0 2 311.279 0.262 20 0 DCADLN CN1CC[C@@H](N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)C1=O ZINC001415129351 1005465170 /nfs/dbraw/zinc/46/51/70/1005465170.db2.gz ZPFBDXMWOCKMBN-BDAKNGLRSA-N 0 2 311.279 0.262 20 0 DCADLN C[C@@H](c1nncn1C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001415835727 1005620772 /nfs/dbraw/zinc/62/07/72/1005620772.db2.gz ISSASNGBYATINP-IUCAKERBSA-N 0 2 323.294 0.921 20 0 DCADLN C[C@@H](c1nncn1C)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001415835727 1005620773 /nfs/dbraw/zinc/62/07/73/1005620773.db2.gz ISSASNGBYATINP-IUCAKERBSA-N 0 2 323.294 0.921 20 0 DCADLN O=C(NC1CN(C(=O)c2cnc[nH]c2=O)C1)C(F)C(F)(F)F ZINC001416028461 1005651755 /nfs/dbraw/zinc/65/17/55/1005651755.db2.gz GYIHNHQQXFURNA-SSDOTTSWSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)c2cnc[nH]c2=O)C1)[C@@H](F)C(F)(F)F ZINC001416028461 1005651758 /nfs/dbraw/zinc/65/17/58/1005651758.db2.gz GYIHNHQQXFURNA-SSDOTTSWSA-N 0 2 322.218 0.023 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001416094797 1005660142 /nfs/dbraw/zinc/66/01/42/1005660142.db2.gz UWUVWTRLADKLNL-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001416094797 1005660143 /nfs/dbraw/zinc/66/01/43/1005660143.db2.gz UWUVWTRLADKLNL-VIFPVBQESA-N 0 2 322.262 0.807 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001417577597 1005846039 /nfs/dbraw/zinc/84/60/39/1005846039.db2.gz PBQKNQOEFQELNH-SCVCMEIPSA-N 0 2 317.349 0.145 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCC(C)(F)F ZINC001417744458 1005880348 /nfs/dbraw/zinc/88/03/48/1005880348.db2.gz CNGBOXQVKPHLTN-SECBINFHSA-N 0 2 317.340 0.978 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCC(F)(F)F)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001417845870 1005903032 /nfs/dbraw/zinc/90/30/32/1005903032.db2.gz KEQHRHNCVLOOGN-SFYZADRCSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CCC(F)(F)F)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001417845870 1005903033 /nfs/dbraw/zinc/90/30/33/1005903033.db2.gz KEQHRHNCVLOOGN-SFYZADRCSA-N 0 2 321.303 0.932 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1CCO)N[C@H]1CCn2cc[nH+]c2C1 ZINC001434796212 1005981752 /nfs/dbraw/zinc/98/17/52/1005981752.db2.gz KHVVYJZTDJCSOW-UONOGXRCSA-N 0 2 321.425 0.344 20 0 DCADLN C[C@@]1(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC(=O)N1 ZINC001434809419 1005995153 /nfs/dbraw/zinc/99/51/53/1005995153.db2.gz HOLNYXGHKMEQMJ-XPTSAGLGSA-N 0 2 322.369 0.068 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCC[C@@H]1CCOC1 ZINC001436265316 1006104902 /nfs/dbraw/zinc/10/49/02/1006104902.db2.gz GKEFCUFKURFPPQ-SNVBAGLBSA-N 0 2 305.338 0.004 20 0 DCADLN CC(F)(F)CC(=O)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001451532770 1006246579 /nfs/dbraw/zinc/24/65/79/1006246579.db2.gz JVOBIYKBESTQSK-FSPLSTOPSA-N 0 2 324.221 0.525 20 0 DCADLN CC(F)(F)CC(=O)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001451532770 1006246587 /nfs/dbraw/zinc/24/65/87/1006246587.db2.gz JVOBIYKBESTQSK-FSPLSTOPSA-N 0 2 324.221 0.525 20 0 DCADLN Cc1cnc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001439348126 1006736780 /nfs/dbraw/zinc/73/67/80/1006736780.db2.gz MFUZHQZLMAEBLL-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN Cc1cnc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001439348126 1006736790 /nfs/dbraw/zinc/73/67/90/1006736790.db2.gz MFUZHQZLMAEBLL-LLVKDONJSA-N 0 2 318.381 0.772 20 0 DCADLN CCCc1nc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001439404441 1006815265 /nfs/dbraw/zinc/81/52/65/1006815265.db2.gz SGNKPNVPUHNLRI-VIFPVBQESA-N 0 2 322.369 0.701 20 0 DCADLN CCCc1nc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001439404441 1006815279 /nfs/dbraw/zinc/81/52/79/1006815279.db2.gz SGNKPNVPUHNLRI-VIFPVBQESA-N 0 2 322.369 0.701 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1=CCOCC1 ZINC001452499686 1006878348 /nfs/dbraw/zinc/87/83/48/1006878348.db2.gz AFGOOJMJXWWSSR-VXNVDRBHSA-N 0 2 312.263 0.854 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C1=CCOCC1 ZINC001452499686 1006878356 /nfs/dbraw/zinc/87/83/56/1006878356.db2.gz AFGOOJMJXWWSSR-VXNVDRBHSA-N 0 2 312.263 0.854 20 0 DCADLN CCc1cc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)no1 ZINC001437642648 1007205082 /nfs/dbraw/zinc/20/50/82/1007205082.db2.gz UCTJTGHKEIRYOS-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN CCc1cc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)no1 ZINC001437642648 1007205087 /nfs/dbraw/zinc/20/50/87/1007205087.db2.gz UCTJTGHKEIRYOS-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN Cn1cnnc1CN1CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001439845298 1007242309 /nfs/dbraw/zinc/24/23/09/1007242309.db2.gz MQMFKNKFYQHQHT-MWLCHTKSSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CC[C@@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001439845298 1007242319 /nfs/dbraw/zinc/24/23/19/1007242319.db2.gz MQMFKNKFYQHQHT-MWLCHTKSSA-N 0 2 323.294 0.796 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1C=CC=CC=C1 ZINC001437787646 1007408966 /nfs/dbraw/zinc/40/89/66/1007408966.db2.gz VGXGTDFTHJLRKH-UHFFFAOYSA-N 0 2 315.377 0.889 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)C1C=CC=CC=C1 ZINC001437787646 1007408970 /nfs/dbraw/zinc/40/89/70/1007408970.db2.gz VGXGTDFTHJLRKH-UHFFFAOYSA-N 0 2 315.377 0.889 20 0 DCADLN C[C@H]1C[C@@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCO1 ZINC001437811252 1007427907 /nfs/dbraw/zinc/42/79/07/1007427907.db2.gz MSOSJMUVMJCROG-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1C[C@@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CCO1 ZINC001437811252 1007427912 /nfs/dbraw/zinc/42/79/12/1007427912.db2.gz MSOSJMUVMJCROG-QWRGUYRKSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@](Cn1cccn1)(NC(=O)c1cccc(Cl)c1O)C(N)=O ZINC001440039370 1007442215 /nfs/dbraw/zinc/44/22/15/1007442215.db2.gz YZKOXRQSYBOOCU-CQSZACIVSA-N 0 2 322.752 0.916 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)Cc1nnc[nH]1 ZINC001440866973 1008080036 /nfs/dbraw/zinc/08/00/36/1008080036.db2.gz PYPUDQWJHZGJSG-UHFFFAOYSA-N 0 2 304.335 0.716 20 0 DCADLN Cc1cnc2nc(C(=O)Nc3nc(-c4ccccn4)n[nH]3)nn2c1 ZINC001442021712 1008826421 /nfs/dbraw/zinc/82/64/21/1008826421.db2.gz DWZZUBGPWVNILK-UHFFFAOYSA-N 0 2 321.304 0.865 20 0 DCADLN CC1(CCC(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001421697875 1008952957 /nfs/dbraw/zinc/95/29/57/1008952957.db2.gz FAUKBCPIRCICMM-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@@H](n1cc[nH+]c1)C(F)(F)F)NCc1nc(=O)o[n-]1 ZINC001433830690 1009228453 /nfs/dbraw/zinc/22/84/53/1009228453.db2.gz ABIBOZXNTLSDMS-ZCFIWIBFSA-N 0 2 305.216 0.369 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)N2CCc3ccncc32)c1 ZINC001433873470 1009287684 /nfs/dbraw/zinc/28/76/84/1009287684.db2.gz DKDZCBJQEYRHQF-UHFFFAOYSA-N 0 2 319.342 0.638 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)N2CCC(c3nn[nH]n3)CC2)C1 ZINC001455020998 1009448255 /nfs/dbraw/zinc/44/82/55/1009448255.db2.gz LPEVFGTVQGIAHN-SKDRFNHKSA-N 0 2 315.381 0.995 20 0 DCADLN Cc1nc(C(C)C)oc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442541968 1009455715 /nfs/dbraw/zinc/45/57/15/1009455715.db2.gz XKVZMYXXADGFIH-UHFFFAOYSA-N 0 2 322.369 0.792 20 0 DCADLN Cc1nc(C(C)C)oc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001442541968 1009455723 /nfs/dbraw/zinc/45/57/23/1009455723.db2.gz XKVZMYXXADGFIH-UHFFFAOYSA-N 0 2 322.369 0.792 20 0 DCADLN CN(CCNC(=O)C(C)(C)C(F)(F)F)Cc1n[nH]c(=O)[nH]1 ZINC001442573681 1009486952 /nfs/dbraw/zinc/48/69/52/1009486952.db2.gz DKISHRFFNQEADI-UHFFFAOYSA-N 0 2 309.292 0.647 20 0 DCADLN C[N@H+](CCNC(=O)C(C)(C)C(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001442573681 1009486963 /nfs/dbraw/zinc/48/69/63/1009486963.db2.gz DKISHRFFNQEADI-UHFFFAOYSA-N 0 2 309.292 0.647 20 0 DCADLN C[N@@H+](CCNC(=O)C(C)(C)C(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001442573681 1009486969 /nfs/dbraw/zinc/48/69/69/1009486969.db2.gz DKISHRFFNQEADI-UHFFFAOYSA-N 0 2 309.292 0.647 20 0 DCADLN C[C@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCO1 ZINC001442585946 1009494116 /nfs/dbraw/zinc/49/41/16/1009494116.db2.gz ZWIOODAEXMTXBE-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCO1 ZINC001442585946 1009494133 /nfs/dbraw/zinc/49/41/33/1009494133.db2.gz ZWIOODAEXMTXBE-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@@H](C)[C@H](OC)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422181764 1009614488 /nfs/dbraw/zinc/61/44/88/1009614488.db2.gz FQQTUWDSZGPRIB-PWSUYJOCSA-N 0 2 313.402 0.462 20 0 DCADLN CC[C@@H](C)[C@H](OC)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422181764 1009614497 /nfs/dbraw/zinc/61/44/97/1009614497.db2.gz FQQTUWDSZGPRIB-PWSUYJOCSA-N 0 2 313.402 0.462 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccn(C)c1C)Cc1n[nH]c(=O)[n-]1 ZINC001442720437 1009666396 /nfs/dbraw/zinc/66/63/96/1009666396.db2.gz MUBZWEBUJBMFJR-UHFFFAOYSA-N 0 2 306.370 0.409 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccn(C)c1C)Cc1n[nH]c(=O)[n-]1 ZINC001442720437 1009666403 /nfs/dbraw/zinc/66/64/03/1009666403.db2.gz MUBZWEBUJBMFJR-UHFFFAOYSA-N 0 2 306.370 0.409 20 0 DCADLN CCn1ncc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1C ZINC001434351046 1009810966 /nfs/dbraw/zinc/81/09/66/1009810966.db2.gz UHJRSTXFNGRYAY-UHFFFAOYSA-N 0 2 301.310 0.235 20 0 DCADLN CC1(C)CC[C@H](CO)N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001434375040 1009857136 /nfs/dbraw/zinc/85/71/36/1009857136.db2.gz FYNHJKAVEXOYLK-SNVBAGLBSA-N 0 2 319.365 0.081 20 0 DCADLN C[C@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CCCC1 ZINC001434386598 1009878215 /nfs/dbraw/zinc/87/82/15/1009878215.db2.gz YPOFXSMDOGAYLF-VIFPVBQESA-N 0 2 314.411 0.792 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCOCC1 ZINC001442997637 1010031658 /nfs/dbraw/zinc/03/16/58/1010031658.db2.gz VYUAMDMTXYORJT-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCOCC1 ZINC001442997637 1010031669 /nfs/dbraw/zinc/03/16/69/1010031669.db2.gz VYUAMDMTXYORJT-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CCOC(=O)c1nnc(C[NH2+]Cc2c3c(nn2C)CCCC3)[n-]1 ZINC001434536500 1010066664 /nfs/dbraw/zinc/06/66/64/1010066664.db2.gz RGRZYBSPHOWZDN-UHFFFAOYSA-N 0 2 318.381 0.884 20 0 DCADLN COc1ccc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)cn1 ZINC001456248582 1010147941 /nfs/dbraw/zinc/14/79/41/1010147941.db2.gz WXOHCISLIWGHEO-UHFFFAOYSA-N 0 2 318.333 0.915 20 0 DCADLN C[C@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)n1cncn1 ZINC001456284891 1010168795 /nfs/dbraw/zinc/16/87/95/1010168795.db2.gz LXCFHHHTKCHGEK-SVRRBLITSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@H](C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1)n1cncn1 ZINC001456284891 1010168800 /nfs/dbraw/zinc/16/88/00/1010168800.db2.gz LXCFHHHTKCHGEK-SVRRBLITSA-N 0 2 323.250 0.067 20 0 DCADLN CCn1cnc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001456282980 1010169454 /nfs/dbraw/zinc/16/94/54/1010169454.db2.gz AHBXTHFCRVLZGG-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1cnc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)c1 ZINC001456282980 1010169461 /nfs/dbraw/zinc/16/94/61/1010169461.db2.gz AHBXTHFCRVLZGG-SECBINFHSA-N 0 2 322.262 0.744 20 0 DCADLN CCO[C@@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001422523141 1010236418 /nfs/dbraw/zinc/23/64/18/1010236418.db2.gz SIYIJYKZZFJIBS-CMPLNLGQSA-N 0 2 313.402 0.508 20 0 DCADLN CCO[C@@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001422523141 1010236433 /nfs/dbraw/zinc/23/64/33/1010236433.db2.gz SIYIJYKZZFJIBS-CMPLNLGQSA-N 0 2 313.402 0.508 20 0 DCADLN COC(=O)[C@@H](CF)NS(=O)(=O)C[C@H]1CCCC2(CCC2)O1 ZINC001475349332 1017097114 /nfs/dbraw/zinc/09/71/14/1017097114.db2.gz KPFUHBMCZSDWFC-GHMZBOCLSA-N 0 2 323.386 0.909 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccnnc1)NC(=O)C(F)C(F)(F)F ZINC001444052251 1010826319 /nfs/dbraw/zinc/82/63/19/1010826319.db2.gz RDVJNDOOEJLCBC-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccnnc1)NC(=O)[C@H](F)C(F)(F)F ZINC001444052251 1010826320 /nfs/dbraw/zinc/82/63/20/1010826320.db2.gz RDVJNDOOEJLCBC-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN Cc1nc([C@@H](C)N[C@H](CO)CNC(=O)Cc2ccco2)no1 ZINC001423282247 1011045093 /nfs/dbraw/zinc/04/50/93/1011045093.db2.gz CSGHQYKTQXHYSG-KOLCDFICSA-N 0 2 308.338 0.341 20 0 DCADLN COC[C@@H](C)C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001456464250 1011289270 /nfs/dbraw/zinc/28/92/70/1011289270.db2.gz INSHIKZHJCQNKG-APPZFPTMSA-N 0 2 314.279 0.744 20 0 DCADLN COC[C@@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001456464250 1011289259 /nfs/dbraw/zinc/28/92/59/1011289259.db2.gz INSHIKZHJCQNKG-APPZFPTMSA-N 0 2 314.279 0.744 20 0 DCADLN C[C@H](NS(=O)(=O)N=S(C)(C)=O)C(=O)Nc1ccccc1 ZINC001423697491 1011430982 /nfs/dbraw/zinc/43/09/82/1011430982.db2.gz QVDDGBUPZSAMPP-VIFPVBQESA-N 0 2 319.408 0.576 20 0 DCADLN CC(C)CN1N=C(C(=O)N[C@H](C)c2nn(C)cc2O)CCC1=O ZINC001456781394 1011456037 /nfs/dbraw/zinc/45/60/37/1011456037.db2.gz ADBCEUNLTSGLNH-SNVBAGLBSA-N 0 2 321.381 0.937 20 0 DCADLN C[C@@H](NC(=O)CCCN1C(=O)CCC1=O)c1nn(C)cc1O ZINC001456795611 1011466167 /nfs/dbraw/zinc/46/61/67/1011466167.db2.gz QQIIXPRPLDEFJQ-SECBINFHSA-N 0 2 308.338 0.232 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1noc(C)n1 ZINC001457442091 1011714345 /nfs/dbraw/zinc/71/43/45/1011714345.db2.gz OVFFQQFDCRPABX-SECBINFHSA-N 0 2 317.309 0.030 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001457797018 1011879171 /nfs/dbraw/zinc/87/91/71/1011879171.db2.gz ODVWSKFDPQPXRD-VIFPVBQESA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001457797018 1011879188 /nfs/dbraw/zinc/87/91/88/1011879188.db2.gz ODVWSKFDPQPXRD-VIFPVBQESA-N 0 2 322.262 0.907 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1ccon1 ZINC001535520949 1011916889 /nfs/dbraw/zinc/91/68/89/1011916889.db2.gz NEUZSSJUBQKQLF-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)c1ccon1 ZINC001535520949 1011916902 /nfs/dbraw/zinc/91/69/02/1011916902.db2.gz NEUZSSJUBQKQLF-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)c1nc2ncccn2n1 ZINC001556542090 1012212232 /nfs/dbraw/zinc/21/22/32/1012212232.db2.gz TYWAVCACVZLZSY-UHFFFAOYSA-N 0 2 322.288 0.867 20 0 DCADLN CCn1ncn(NC(=O)c2coc(-c3cccnc3)n2)c1=O ZINC001556826855 1012233934 /nfs/dbraw/zinc/23/39/34/1012233934.db2.gz VTFJOIMIOHRDMF-UHFFFAOYSA-N 0 2 300.278 0.499 20 0 DCADLN Cc1cc(=O)n2nc(NC(=O)c3ncc4ccccn43)[nH]c2n1 ZINC001544981806 1012551659 /nfs/dbraw/zinc/55/16/59/1012551659.db2.gz GEVPTBXFVFZOCW-UHFFFAOYSA-N 0 2 309.289 0.626 20 0 DCADLN O=C(NCCN(C(=O)C(F)C(F)(F)F)C1CC1)c1cnon1 ZINC001429947966 1012983402 /nfs/dbraw/zinc/98/34/02/1012983402.db2.gz LONYOKYXHKQMGB-QMMMGPOBSA-N 0 2 324.234 0.691 20 0 DCADLN O=C(NCCN(C(=O)[C@H](F)C(F)(F)F)C1CC1)c1cnon1 ZINC001429947966 1012983416 /nfs/dbraw/zinc/98/34/16/1012983416.db2.gz LONYOKYXHKQMGB-QMMMGPOBSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1ccn(C)n1 ZINC001478796842 1017389770 /nfs/dbraw/zinc/38/97/70/1017389770.db2.gz SETBGJWXDZLWQC-ZJUUUORDSA-N 0 2 319.369 0.894 20 0 DCADLN Cc1cnn(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001498224191 1018773439 /nfs/dbraw/zinc/77/34/39/1018773439.db2.gz UNNXAIAOYVSADA-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN Cc1cnn(CC(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC001498224191 1018773469 /nfs/dbraw/zinc/77/34/69/1018773469.db2.gz UNNXAIAOYVSADA-JTQLQIEISA-N 0 2 322.262 0.419 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCOCC1 ZINC001498267295 1018871098 /nfs/dbraw/zinc/87/10/98/1018871098.db2.gz WWLIKPDUDXHVSY-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCOCC1 ZINC001498267295 1018871119 /nfs/dbraw/zinc/87/11/19/1018871119.db2.gz WWLIKPDUDXHVSY-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN Cc1nc(C[NH2+]C[C@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])co1 ZINC001496036518 1018990353 /nfs/dbraw/zinc/99/03/53/1018990353.db2.gz KTUQFOGWSWRXFF-QMMMGPOBSA-N 0 2 307.354 0.970 20 0 DCADLN O=C(N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001493580614 1019537699 /nfs/dbraw/zinc/53/76/99/1019537699.db2.gz PJUVPXVSKBBRPU-BDAKNGLRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(N[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1)c1ccn[nH]1 ZINC001493580614 1019537716 /nfs/dbraw/zinc/53/77/16/1019537716.db2.gz PJUVPXVSKBBRPU-BDAKNGLRSA-N 0 2 322.262 0.935 20 0 DCADLN CCCNC(=O)CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001498979670 1019608790 /nfs/dbraw/zinc/60/87/90/1019608790.db2.gz RBXAXFJVZPBMBR-SCZZXKLOSA-N 0 2 313.295 0.604 20 0 DCADLN CCCNC(=O)CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001498979670 1019608801 /nfs/dbraw/zinc/60/88/01/1019608801.db2.gz RBXAXFJVZPBMBR-SCZZXKLOSA-N 0 2 313.295 0.604 20 0 DCADLN Cn1cnnc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001498978447 1019611160 /nfs/dbraw/zinc/61/11/60/1019611160.db2.gz DUHHFNZEBYRITD-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cnnc1CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001498978447 1019611170 /nfs/dbraw/zinc/61/11/70/1019611170.db2.gz DUHHFNZEBYRITD-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001493965916 1019857320 /nfs/dbraw/zinc/85/73/20/1019857320.db2.gz BNGFENXWSLCBMC-CIUDSAMLSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001493965916 1019857340 /nfs/dbraw/zinc/85/73/40/1019857340.db2.gz BNGFENXWSLCBMC-CIUDSAMLSA-N 0 2 322.262 0.698 20 0 DCADLN COc1cc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)on1 ZINC001494389852 1020167007 /nfs/dbraw/zinc/16/70/07/1020167007.db2.gz SSVLPAQWZIZEJC-SECBINFHSA-N 0 2 311.235 0.884 20 0 DCADLN COc1cc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)on1 ZINC001494389852 1020167012 /nfs/dbraw/zinc/16/70/12/1020167012.db2.gz SSVLPAQWZIZEJC-SECBINFHSA-N 0 2 311.235 0.884 20 0 DCADLN Cc1nn(C[C@H](F)C(F)(F)F)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114430 1170079061 /nfs/dbraw/zinc/07/90/61/1170079061.db2.gz OAYWZQAICSRUIZ-LURJTMIESA-N 0 2 306.223 0.941 20 0 DCADLN C[C@H]([NH2+]CC(=O)NC1(C(=O)[O-])CCCCC1)c1nncn1C ZINC001609771722 1171081666 /nfs/dbraw/zinc/08/16/66/1171081666.db2.gz HLEMREKBEJMWOB-JTQLQIEISA-N 0 2 309.370 0.369 20 0 DCADLN C[C@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@]2(CCOC2)O1 ZINC000369615150 300284737 /nfs/dbraw/zinc/28/47/37/300284737.db2.gz JRPRLWAONNPRII-IUCAKERBSA-N 0 2 318.317 0.263 20 0 DCADLN CN1CC(=O)N(CCCC(=O)Nc2ccc3nn[nH]c3c2)C1=O ZINC000267522453 530046903 /nfs/dbraw/zinc/04/69/03/530046903.db2.gz MTZSPOKQFQSEEY-UHFFFAOYSA-N 0 2 316.321 0.571 20 0 DCADLN Cc1cc(CC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)[nH]n1 ZINC000331304078 233012565 /nfs/dbraw/zinc/01/25/65/233012565.db2.gz UDTCYNCUSQJGBM-NSHDSACASA-N 0 2 314.411 0.048 20 0 DCADLN Cc1cc(C(=O)NCC(F)(F)F)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000172631443 198129464 /nfs/dbraw/zinc/12/94/64/198129464.db2.gz BNGXVUMMYFKPST-UHFFFAOYSA-N 0 2 302.212 0.624 20 0 DCADLN CN(C)C(=O)COC1CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000331994147 234364954 /nfs/dbraw/zinc/36/49/54/234364954.db2.gz QCZFAZHNWWRHGK-UHFFFAOYSA-N 0 2 314.288 0.600 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CCC[C@H]1CCO)c2=O ZINC000187790863 237357823 /nfs/dbraw/zinc/35/78/23/237357823.db2.gz KFMRPBXXAGRVET-CABZTGNLSA-N 0 2 304.350 0.955 20 0 DCADLN COCC[N@H+](C)CCNC(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000155406579 291219687 /nfs/dbraw/zinc/21/96/87/291219687.db2.gz PNVHYWQOQFVAOQ-HNNXBMFYSA-N 0 2 322.409 0.823 20 0 DCADLN COc1ccc(C[C@@H](C)CNC(=O)c2nc(=O)[nH][nH]2)cc1OC ZINC000155989797 291222560 /nfs/dbraw/zinc/22/25/60/291222560.db2.gz XRAKNUSESOSDDT-SECBINFHSA-N 0 2 320.349 0.724 20 0 DCADLN COc1ccc(S(O)=CC(=O)N2CCC[C@H](C(N)=O)C2)cc1 ZINC000157032179 291226775 /nfs/dbraw/zinc/22/67/75/291226775.db2.gz ZAFACNOOOHDOEG-KPWVOAKYSA-N 0 2 324.402 0.527 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC[C@H](C(N)=O)C2)c1 ZINC000157070460 291226866 /nfs/dbraw/zinc/22/68/66/291226866.db2.gz AUEWBICDASOTBZ-SAHAZLINSA-N 0 2 324.402 0.527 20 0 DCADLN COC[C@H]([NH3+])C(=O)Nc1ccc(CN2CC[NH+](C)CC2)cc1 ZINC000566166953 291275904 /nfs/dbraw/zinc/27/59/04/291275904.db2.gz IVYADFQICNDFOG-HNNXBMFYSA-N 0 2 306.410 0.346 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000566301534 291292431 /nfs/dbraw/zinc/29/24/31/291292431.db2.gz OKXOTVUOXMIVPY-CYBMUJFWSA-N 0 2 308.382 0.421 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC[C@@H]1C(N)=O)c2=O ZINC000422024182 240122594 /nfs/dbraw/zinc/12/25/94/240122594.db2.gz YMAIKBWHQQYRHL-OIBJUYFYSA-N 0 2 303.322 0.058 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC(=O)N[C@@H](C)C2)c1 ZINC000424870902 240270470 /nfs/dbraw/zinc/27/04/70/240270470.db2.gz LLNGAXHNXUOBGS-CHNSCGDPSA-N 0 2 310.375 0.150 20 0 DCADLN CCNC(=O)CS(=O)(=O)c1n[nH]c(-c2ccc(OC)cc2)n1 ZINC000567320888 291348622 /nfs/dbraw/zinc/34/86/22/291348622.db2.gz BNIAUZWZYNBVHZ-UHFFFAOYSA-N 0 2 324.362 0.390 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1ncccc1C ZINC000493765705 241151991 /nfs/dbraw/zinc/15/19/91/241151991.db2.gz ZALZTRMJIWGPEL-VOTSOKGWSA-N 0 2 320.374 0.950 20 0 DCADLN Cn1cc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1C(=O)[O-] ZINC000493899235 241175497 /nfs/dbraw/zinc/17/54/97/241175497.db2.gz CGNXOFFBFFMYEO-VIFPVBQESA-N 0 2 324.362 0.213 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000567844517 291383739 /nfs/dbraw/zinc/38/37/39/291383739.db2.gz PRDWEQCTVRKYRV-JSGCOSHPSA-N 0 2 306.362 0.897 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)CCO1 ZINC000567980028 291394726 /nfs/dbraw/zinc/39/47/26/291394726.db2.gz JVKPGTOBWKOOFR-RYUDHWBXSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])[C@@H]1CN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)CCO1 ZINC000567980028 291394728 /nfs/dbraw/zinc/39/47/28/291394728.db2.gz JVKPGTOBWKOOFR-RYUDHWBXSA-N 0 2 322.365 0.118 20 0 DCADLN O=C(CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)OCC1CC1 ZINC000263782460 203562144 /nfs/dbraw/zinc/56/21/44/203562144.db2.gz IMDCSLDNUIIKNY-UHFFFAOYSA-N 0 2 318.289 0.119 20 0 DCADLN Cc1cccc2c1[nH]cc(C(=O)N1CCO[C@@H](C(N)=O)C1)c2=O ZINC000274971920 212051373 /nfs/dbraw/zinc/05/13/73/212051373.db2.gz TVVMKNHIOLOSBR-GFCCVEGCSA-N 0 2 315.329 0.575 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)ccc1F ZINC000105590709 194113002 /nfs/dbraw/zinc/11/30/02/194113002.db2.gz TZFSSXRJMAYYEO-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2ccc(OCCO)cc2)cn1C ZINC000110591297 194339999 /nfs/dbraw/zinc/33/99/99/194339999.db2.gz PNPQWARFYAVFIS-UHFFFAOYSA-N 0 2 311.363 0.900 20 0 DCADLN CC(C)(C)[C@H](O)C[C@@H](CO)NS(=O)(=O)NCC(F)(F)F ZINC000341106971 253345229 /nfs/dbraw/zinc/34/52/29/253345229.db2.gz MWPLUBFZVJRHNT-JGVFFNPUSA-N 0 2 322.349 0.131 20 0 DCADLN CO[C@@H]1CCC[C@H]1CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000342520045 253505318 /nfs/dbraw/zinc/50/53/18/253505318.db2.gz GROGFAZENKGAHT-GZMMTYOYSA-N 0 2 318.333 0.981 20 0 DCADLN CCOCCOCCN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000348203965 254226882 /nfs/dbraw/zinc/22/68/82/254226882.db2.gz CXLGMXHIJAISEH-UHFFFAOYSA-N 0 2 322.365 0.799 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccn([C@H](C)COC)n2)c1O ZINC000348596568 254246312 /nfs/dbraw/zinc/24/63/12/254246312.db2.gz FAKXJAFGYACVAR-SCZZXKLOSA-N 0 2 310.310 0.078 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccn(CCC(C)C)n2)c1O ZINC000348679230 254248944 /nfs/dbraw/zinc/24/89/44/254248944.db2.gz RMHAGFKOEHWMGJ-LLVKDONJSA-N 0 2 308.338 0.917 20 0 DCADLN CCCNC(=O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348793655 254256019 /nfs/dbraw/zinc/25/60/19/254256019.db2.gz HVBMHRUHFNMIQH-UHFFFAOYSA-N 0 2 304.306 0.286 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2cncc(O)c2)s1 ZINC000348798048 254256381 /nfs/dbraw/zinc/25/63/81/254256381.db2.gz GBPMBPLRKTXRNL-UHFFFAOYSA-N 0 2 315.336 0.263 20 0 DCADLN CC(C)[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(N)=O ZINC000348817421 254258089 /nfs/dbraw/zinc/25/80/89/254258089.db2.gz LDSFLNBQFDUADJ-SNVBAGLBSA-N 0 2 304.306 0.270 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccccc2Cn2cncn2)no1 ZINC000351679858 254358385 /nfs/dbraw/zinc/35/83/85/254358385.db2.gz YVSSXMXNLNIGIL-UHFFFAOYSA-N 0 2 320.334 0.819 20 0 DCADLN CCc1ncc(NS(=O)(=O)c2cc(C(=O)OC)n(C)c2)cn1 ZINC000351983371 254387258 /nfs/dbraw/zinc/38/72/58/254387258.db2.gz SGFCEKOQDCAWOX-UHFFFAOYSA-N 0 2 324.362 0.965 20 0 DCADLN CSCC[C@@H](NC(N)=O)C(=O)Nc1ccc2nn[nH]c2c1 ZINC000267523969 533611090 /nfs/dbraw/zinc/61/10/90/533611090.db2.gz NGUULEGBBGHGKE-SECBINFHSA-N 0 2 308.367 0.686 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCCO[C@H]2CCOC2)cn1 ZINC000275612911 130349029 /nfs/dbraw/zinc/34/90/29/130349029.db2.gz HMBXGUULYHBHNA-LBPRGKRZSA-N 0 2 319.365 0.736 20 0 DCADLN COc1ccc(C)nc1NS(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000285193364 131146199 /nfs/dbraw/zinc/14/61/99/131146199.db2.gz KYKPGDMAYRNUSE-SECBINFHSA-N 0 2 301.368 0.617 20 0 DCADLN O=c1[nH]nc(CN2CCN(Cc3ccc(Cl)nc3)CC2)[nH]1 ZINC000091578459 395726059 /nfs/dbraw/zinc/72/60/59/395726059.db2.gz WIPJICTZBPNWCW-UHFFFAOYSA-N 0 2 308.773 0.464 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC000037731265 395728491 /nfs/dbraw/zinc/72/84/91/395728491.db2.gz JPPMLPSJHIIQKS-UHFFFAOYSA-N 0 2 315.376 0.922 20 0 DCADLN O=S(=O)(Nc1ccc(-n2cncn2)c(F)c1)c1cn[nH]c1 ZINC000037791414 395729128 /nfs/dbraw/zinc/72/91/28/395729128.db2.gz XYORCPMLIPWZEI-UHFFFAOYSA-N 0 2 308.298 0.930 20 0 DCADLN O=C([O-])CCC[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000037865330 395729530 /nfs/dbraw/zinc/72/95/30/395729530.db2.gz UYHMHCXGJJLHLQ-UHFFFAOYSA-N 0 2 312.391 0.858 20 0 DCADLN CCOC(=O)NCC(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000046412814 395776531 /nfs/dbraw/zinc/77/65/31/395776531.db2.gz DMTUHBGWNOUKKI-UHFFFAOYSA-N 0 2 324.362 0.689 20 0 DCADLN CCN1C[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC1=O ZINC000195074448 395815160 /nfs/dbraw/zinc/81/51/60/395815160.db2.gz PAGMTYWNEHIDNJ-SNVBAGLBSA-N 0 2 316.317 0.837 20 0 DCADLN Cc1cccc(NC(=O)CSCC(=O)NOCC(N)=O)c1 ZINC000271365220 395860874 /nfs/dbraw/zinc/86/08/74/395860874.db2.gz GYCIOTDWDFXRGA-UHFFFAOYSA-N 0 2 311.363 0.200 20 0 DCADLN C[C@@H]1C[C@@H](CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000271310400 395857295 /nfs/dbraw/zinc/85/72/95/395857295.db2.gz INDBWEIZRCNVOW-BDAKNGLRSA-N 0 2 318.333 0.981 20 0 DCADLN Nc1ccnc(CNC(=O)C2=NN(c3ccccc3)CC2=O)n1 ZINC000131602932 395924846 /nfs/dbraw/zinc/92/48/46/395924846.db2.gz PPTNITGJUAQNFO-UHFFFAOYSA-N 0 2 310.317 0.880 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(OC3COC3)cc2)c1O ZINC000277900802 396093530 /nfs/dbraw/zinc/09/35/30/396093530.db2.gz CUMLBBWWGNYNKJ-GFCCVEGCSA-N 0 2 320.301 0.452 20 0 DCADLN O=C([O-])[C@H]1CCC[N@@H+]1CC(=O)NC(=O)NCc1cccs1 ZINC000262169981 396106227 /nfs/dbraw/zinc/10/62/27/396106227.db2.gz UXSCTYHDFYILJD-SNVBAGLBSA-N 0 2 311.363 0.623 20 0 DCADLN O=C([O-])[C@H]1CCC[N@H+]1CC(=O)NC(=O)NCc1cccs1 ZINC000262169981 396106233 /nfs/dbraw/zinc/10/62/33/396106233.db2.gz UXSCTYHDFYILJD-SNVBAGLBSA-N 0 2 311.363 0.623 20 0 DCADLN O=C([O-])[C@@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000262198002 396109414 /nfs/dbraw/zinc/10/94/14/396109414.db2.gz ZQGJXNSWLKHXMW-QWHCGFSZSA-N 0 2 320.393 0.986 20 0 DCADLN O=C([O-])[C@@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000262198002 396109417 /nfs/dbraw/zinc/10/94/17/396109417.db2.gz ZQGJXNSWLKHXMW-QWHCGFSZSA-N 0 2 320.393 0.986 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(OC)c(OC)c2)c1O ZINC000278233978 396114251 /nfs/dbraw/zinc/11/42/51/396114251.db2.gz YOHIOSHAYAQVQB-LLVKDONJSA-N 0 2 308.290 0.692 20 0 DCADLN Cc1cc(C(=O)N[C@H](CO)C(C)(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000278415782 396123339 /nfs/dbraw/zinc/12/33/39/396123339.db2.gz MNRABRVGTDDEJP-SECBINFHSA-N 0 2 320.349 0.881 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2ccc(C(=O)OC)o2)C1=O ZINC000278561904 396131519 /nfs/dbraw/zinc/13/15/19/396131519.db2.gz BMTXKXROPPINDS-CYBMUJFWSA-N 0 2 309.278 0.432 20 0 DCADLN CNC(=O)[C@@H]1CCCN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000173676576 396136047 /nfs/dbraw/zinc/13/60/47/396136047.db2.gz KCROAWYRNXRNQC-LBPRGKRZSA-N 0 2 314.345 0.929 20 0 DCADLN CCN(C(C)C)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000176687553 396159223 /nfs/dbraw/zinc/15/92/23/396159223.db2.gz ADQGIFMJMHWUHR-UHFFFAOYSA-N 0 2 312.351 0.030 20 0 DCADLN COCCOc1ccc(CNC(=O)C[N@H+](C)[C@@H](C)C(=O)[O-])cc1 ZINC000262608916 396161158 /nfs/dbraw/zinc/16/11/58/396161158.db2.gz BIGQSNCMCQKEQD-LBPRGKRZSA-N 0 2 324.377 0.733 20 0 DCADLN COCCOc1ccc(CNC(=O)C[N@@H+](C)[C@@H](C)C(=O)[O-])cc1 ZINC000262608916 396161159 /nfs/dbraw/zinc/16/11/59/396161159.db2.gz BIGQSNCMCQKEQD-LBPRGKRZSA-N 0 2 324.377 0.733 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000262638400 396165813 /nfs/dbraw/zinc/16/58/13/396165813.db2.gz IMAJZTYLOMISJR-JTQLQIEISA-N 0 2 307.350 0.732 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000262638400 396165814 /nfs/dbraw/zinc/16/58/14/396165814.db2.gz IMAJZTYLOMISJR-JTQLQIEISA-N 0 2 307.350 0.732 20 0 DCADLN CC(C)n1ccc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)n1 ZINC000280877549 396227080 /nfs/dbraw/zinc/22/70/80/396227080.db2.gz DFYZZABWJPFFOR-UHFFFAOYSA-N 0 2 311.367 0.702 20 0 DCADLN CCC(CC)(NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(N)=O ZINC000179465921 396227440 /nfs/dbraw/zinc/22/74/40/396227440.db2.gz XAVGAFMBUWIDMF-UHFFFAOYSA-N 0 2 305.338 0.448 20 0 DCADLN O=C([O-])NCC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000285793239 396354323 /nfs/dbraw/zinc/35/43/23/396354323.db2.gz VJOOPBZHZNKURG-ZDUSSCGKSA-N 0 2 307.350 0.444 20 0 DCADLN COCC1(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCC1 ZINC000285865415 396359086 /nfs/dbraw/zinc/35/90/86/396359086.db2.gz ZMLMUBWYAMJHSX-UHFFFAOYSA-N 0 2 304.306 0.735 20 0 DCADLN CCC[C@H](O)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268608608 396361699 /nfs/dbraw/zinc/36/16/99/396361699.db2.gz NTHWBXXNHUODDK-QMMMGPOBSA-N 0 2 306.322 0.635 20 0 DCADLN COC(=O)C1(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCC1 ZINC000269628178 396431230 /nfs/dbraw/zinc/43/12/30/396431230.db2.gz VEVZLHALXGKNGT-UHFFFAOYSA-N 0 2 318.289 0.262 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1ccc(CC(N)=O)cc1 ZINC000193320663 396448983 /nfs/dbraw/zinc/44/89/83/396448983.db2.gz CYZVGJGHIWEDFY-UHFFFAOYSA-N 0 2 322.390 0.866 20 0 DCADLN Cc1cc[nH+]cc1CCC[N@H+]1CC[C@@]2(C1)NC(=O)N(C)C2=O ZINC000289431025 396459807 /nfs/dbraw/zinc/45/98/07/396459807.db2.gz VRSMSVQOVJCWNR-INIZCTEOSA-N 0 2 302.378 0.949 20 0 DCADLN COC[C@H](CCO)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000270385338 396465201 /nfs/dbraw/zinc/46/52/01/396465201.db2.gz DWRIUXLZWVWKEZ-NSHDSACASA-N 0 2 323.324 0.844 20 0 DCADLN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000290963097 396476556 /nfs/dbraw/zinc/47/65/56/396476556.db2.gz OQBKGAXYJHMDQY-YFKPBYRVSA-N 0 2 314.289 0.647 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C(F)(F)F)CCCN1Cc1nc(=O)[nH][nH]1 ZINC000292129790 396531938 /nfs/dbraw/zinc/53/19/38/396531938.db2.gz LBWJLMZXQWOHSB-RNFRBKRXSA-N 0 2 307.276 0.129 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccnc(OC(C)C)c2)c1O ZINC000586873134 396669765 /nfs/dbraw/zinc/66/97/65/396669765.db2.gz SVFACZMKOVEZQS-LLVKDONJSA-N 0 2 307.306 0.857 20 0 DCADLN CC(=O)N1CC[NH+](CCN=c2[n-]c(-c3ccccc3)no2)CC1 ZINC000588161052 396680963 /nfs/dbraw/zinc/68/09/63/396680963.db2.gz XGRZTSUKODFDOA-UHFFFAOYSA-N 0 2 315.377 0.735 20 0 DCADLN COCC1(O)CN(C(=O)C2=NN(c3ccc(F)cc3)CC2=O)C1 ZINC000295493623 396697770 /nfs/dbraw/zinc/69/77/70/396697770.db2.gz FNHYPTBJGRKJDJ-UHFFFAOYSA-N 0 2 321.308 0.550 20 0 DCADLN COCc1cccc(S(=O)(=O)Nc2cnn(CCO)c2)c1 ZINC000589435785 396714765 /nfs/dbraw/zinc/71/47/65/396714765.db2.gz YTCLRIZSJJJNLF-UHFFFAOYSA-N 0 2 311.363 0.823 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C[C@H]2CCC(=O)N2C)s[nH]1 ZINC000634149005 396795846 /nfs/dbraw/zinc/79/58/46/396795846.db2.gz SWLCUDZZMOVZIL-MRVPVSSYSA-N 0 2 312.395 0.791 20 0 DCADLN C[C@@H]1C[N@@H+](C[C@@H](O)C[NH2+]Cc2cccc(C(N)=O)c2)C[C@H](C)O1 ZINC000564793440 396868128 /nfs/dbraw/zinc/86/81/28/396868128.db2.gz IIKJSBSGHRJLBN-ZENOOKHLSA-N 0 2 321.421 0.345 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCCN(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000629771093 396826521 /nfs/dbraw/zinc/82/65/21/396826521.db2.gz FOECCZYQIVSHHC-SNVBAGLBSA-N 0 2 313.379 0.545 20 0 DCADLN O=C(NC[C@H](CO)C1CC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000564633704 396849696 /nfs/dbraw/zinc/84/96/96/396849696.db2.gz NFWYKEUTZRTTOZ-GFCCVEGCSA-N 0 2 303.318 0.778 20 0 DCADLN NC(=O)NCCCCC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000590961534 396860009 /nfs/dbraw/zinc/86/00/09/396860009.db2.gz BVZRNFUSNFEKEB-UHFFFAOYSA-N 0 2 319.321 0.807 20 0 DCADLN CC[C@]1(O)CCCN(C(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)C1 ZINC000634199686 396811567 /nfs/dbraw/zinc/81/15/67/396811567.db2.gz GXFNBYFMBGNLST-AHIWAGSCSA-N 0 2 320.389 0.701 20 0 DCADLN COCc1nc2n(n1)C[C@H]([NH2+]Cc1c[nH+]c(N(C)C)n1C)CC2 ZINC000564879154 396889456 /nfs/dbraw/zinc/88/94/56/396889456.db2.gz XVGHPDLDEMEKEI-LLVKDONJSA-N 0 2 319.413 0.329 20 0 DCADLN C[C@]1(C(=O)NCCCc2n[nH]c(=O)[nH]2)COc2ccccc2O1 ZINC000629967061 396899068 /nfs/dbraw/zinc/89/90/68/396899068.db2.gz FWSQZQUQVVGZSU-OAHLLOKOSA-N 0 2 318.333 0.789 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2cnc(C)n2C)cn1C ZINC000600650618 396905701 /nfs/dbraw/zinc/90/57/01/396905701.db2.gz NWMYJWXXDWGDRQ-UHFFFAOYSA-N 0 2 312.351 0.654 20 0 DCADLN O=C([O-])[C@]1([NH2+]Cc2cn(-c3ccc(F)cc3)nn2)CCOC1 ZINC000630455615 397025472 /nfs/dbraw/zinc/02/54/72/397025472.db2.gz IFVXWVPTUOKSQU-AWEZNQCLSA-N 0 2 306.297 0.740 20 0 DCADLN COC(=O)[C@H]1C[C@@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000597776169 397097621 /nfs/dbraw/zinc/09/76/21/397097621.db2.gz GQLWYTIWWFNKSF-PHIMTYICSA-N 0 2 317.301 0.711 20 0 DCADLN [NH3+]Cc1cn([C@H]2CCN(c3cc(N4CCCC4)[nH+]cn3)C2)nn1 ZINC000630553300 397044544 /nfs/dbraw/zinc/04/45/44/397044544.db2.gz KHLPZQUEWHFWRK-ZDUSSCGKSA-N 0 2 314.397 0.578 20 0 DCADLN COC(=O)[C@H]1C[C@@H](O)CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000592940354 397297406 /nfs/dbraw/zinc/29/74/06/397297406.db2.gz USZSHNWNZLDFSM-DTWKUNHWSA-N 0 2 315.272 0.666 20 0 DCADLN CC1(C)[C@H](O)C[C@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613678391 397299511 /nfs/dbraw/zinc/29/95/11/397299511.db2.gz GHKPWGMSTVENPU-GHMZBOCLSA-N 0 2 303.318 0.919 20 0 DCADLN CCCNC(=O)NC(=O)C[N@@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000390644702 397301021 /nfs/dbraw/zinc/30/10/21/397301021.db2.gz IBHFPSWVYZYFJR-AWEZNQCLSA-N 0 2 319.361 0.906 20 0 DCADLN CCCNC(=O)NC(=O)C[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000390644702 397301026 /nfs/dbraw/zinc/30/10/26/397301026.db2.gz IBHFPSWVYZYFJR-AWEZNQCLSA-N 0 2 319.361 0.906 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000592987916 397309904 /nfs/dbraw/zinc/30/99/04/397309904.db2.gz FIDCTGZREPXBQF-LOWVWBTDSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000592987916 397309907 /nfs/dbraw/zinc/30/99/07/397309907.db2.gz FIDCTGZREPXBQF-LOWVWBTDSA-N 0 2 314.382 0.629 20 0 DCADLN Cc1cc(C(=O)NCCOCCF)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000621090552 397337609 /nfs/dbraw/zinc/33/76/09/397337609.db2.gz UOOZGOIWCNPMLI-UHFFFAOYSA-N 0 2 310.285 0.460 20 0 DCADLN O=C(N[C@H]1CCC[C@H]1CO)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000578497428 397443182 /nfs/dbraw/zinc/44/31/82/397443182.db2.gz LOAGRPUBDIAGCT-RYUDHWBXSA-N 0 2 303.318 0.921 20 0 DCADLN O=C([O-])CCCNC(=O)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000579474934 397573374 /nfs/dbraw/zinc/57/33/74/397573374.db2.gz WZBHQQVHBDBDOQ-SNVBAGLBSA-N 0 2 308.338 0.444 20 0 DCADLN CC(C)(C)OC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC000495511745 397543246 /nfs/dbraw/zinc/54/32/46/397543246.db2.gz HYWAUAHPMZVAIL-SECBINFHSA-N 0 2 313.358 0.236 20 0 DCADLN O=C(C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1ccc(O)cc1 ZINC000579963075 397601257 /nfs/dbraw/zinc/60/12/57/397601257.db2.gz XCMKMEJCFVCHNX-SNVBAGLBSA-N 0 2 316.317 0.805 20 0 DCADLN CC(C)SCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000604107909 397631261 /nfs/dbraw/zinc/63/12/61/397631261.db2.gz IJEAMEFRYQAEIP-UHFFFAOYSA-N 0 2 310.426 0.990 20 0 DCADLN C[C@@H]1CC(=O)NCCN1C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000608463857 397731950 /nfs/dbraw/zinc/73/19/50/397731950.db2.gz PJOPAUOZVNSAHX-SECBINFHSA-N 0 2 316.317 0.381 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2cccs2)cn1 ZINC000048655617 158006644 /nfs/dbraw/zinc/00/66/44/158006644.db2.gz OTARWCUYNQWEKF-UHFFFAOYSA-N 0 2 300.365 0.491 20 0 DCADLN O=S(=O)(Nc1ccc(-n2cncn2)c(F)c1)c1c[nH]cn1 ZINC000070416460 158047965 /nfs/dbraw/zinc/04/79/65/158047965.db2.gz JUSQLZAPNLQKJB-UHFFFAOYSA-N 0 2 308.298 0.930 20 0 DCADLN C[C@H](OCc1ccccc1)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000080974781 158083154 /nfs/dbraw/zinc/08/31/54/158083154.db2.gz HQXYRTXRDPTLMM-NSHDSACASA-N 0 2 304.350 0.752 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@H]1CC(=O)N(C3CC3)C1)c2=O ZINC000119314558 158186021 /nfs/dbraw/zinc/18/60/21/158186021.db2.gz HRPCQIIQYCKWBJ-QMMMGPOBSA-N 0 2 315.333 0.167 20 0 DCADLN CN(CC(=O)NC1CC1)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000132540846 158311935 /nfs/dbraw/zinc/31/19/35/158311935.db2.gz MBZGVQZLZXQKBE-UHFFFAOYSA-N 0 2 303.322 0.025 20 0 DCADLN CN(CC1CC1)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328828107 159033425 /nfs/dbraw/zinc/03/34/25/159033425.db2.gz JTPXDTJKAONLAL-JTQLQIEISA-N 0 2 315.399 0.276 20 0 DCADLN CN(C(=O)C1=NN(c2ccc(F)cc2)CC1=O)[C@@H]1COC[C@H]1O ZINC000329381489 159086822 /nfs/dbraw/zinc/08/68/22/159086822.db2.gz NTVGAJNIXKIHSW-DGCLKSJQSA-N 0 2 321.308 0.549 20 0 DCADLN CC(C)CN(C)S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000407988878 160004867 /nfs/dbraw/zinc/00/48/67/160004867.db2.gz HFQWVMFKSDXDOQ-SNVBAGLBSA-N 0 2 317.415 0.522 20 0 DCADLN CO[C@H]1CCC[C@H](CC(=O)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000408487480 160118484 /nfs/dbraw/zinc/11/84/84/160118484.db2.gz VZSLUKMYRXZOLO-QWRGUYRKSA-N 0 2 315.395 0.820 20 0 DCADLN COc1ccc(-c2n[nH]cc2C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000119889014 286949913 /nfs/dbraw/zinc/94/99/13/286949913.db2.gz LDSANPNPJNKCHO-UHFFFAOYSA-N 0 2 314.305 0.427 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCC[C@@H](OCCO)C1)c2=O ZINC000358405387 287209896 /nfs/dbraw/zinc/20/98/96/287209896.db2.gz ZIGMTLDRXLXJRJ-SNVBAGLBSA-N 0 2 320.349 0.287 20 0 DCADLN COC(=O)C1(O)CCN(C(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000273911940 415321631 /nfs/dbraw/zinc/32/16/31/415321631.db2.gz ZNSLXKDFIIFAPU-UHFFFAOYSA-N 0 2 315.272 0.811 20 0 DCADLN Cc1cccc2nc(CNC(=O)CSc3n[nH]c(=O)[nH]3)cn21 ZINC000353500379 415570603 /nfs/dbraw/zinc/57/06/03/415570603.db2.gz JDEIOCSXVJGGKM-UHFFFAOYSA-N 0 2 318.362 0.875 20 0 DCADLN CCOC(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC000104095141 415620488 /nfs/dbraw/zinc/62/04/88/415620488.db2.gz BPQCAMGVCIQVOS-UHFFFAOYSA-N 0 2 305.338 0.297 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)Nc2cc[nH]n2)c1 ZINC000343561311 415639485 /nfs/dbraw/zinc/63/94/85/415639485.db2.gz DTHMXSVULGTYQY-UHFFFAOYSA-N 0 2 310.335 0.618 20 0 DCADLN Nc1ccc(CNC(=O)N=c2nc3cc(Cl)ccn3[n-]2)c[nH+]1 ZINC000353532969 415580931 /nfs/dbraw/zinc/58/09/31/415580931.db2.gz RMEAOYHGIMCMSF-UHFFFAOYSA-N 0 2 317.740 1.104 20 0 DCADLN CCC[C@@H](NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)C(N)=O ZINC000343399333 415586849 /nfs/dbraw/zinc/58/68/49/415586849.db2.gz AKBZOJIJARQVGX-SSDOTTSWSA-N 0 2 319.321 0.128 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)NCC2([NH+]3CCOCC3)CC2)CC[N@@H+]1C ZINC000333447890 415653705 /nfs/dbraw/zinc/65/37/05/415653705.db2.gz NBKAJMUSFWQLFV-KBPBESRZSA-N 0 2 310.442 0.633 20 0 DCADLN CNS(=O)(=O)c1cc(C(=O)N[C@@H](C)[C@H]2CCCOC2)co1 ZINC000334032098 415787473 /nfs/dbraw/zinc/78/74/73/415787473.db2.gz DXOVSZBKWBBBHC-UWVGGRQHSA-N 0 2 316.379 0.733 20 0 DCADLN COCc1ncc(C(=O)NC[C@@H]2C[C@@H](C)O[C@@H](C)C2)c(N)n1 ZINC000334029016 415789115 /nfs/dbraw/zinc/78/91/15/415789115.db2.gz VTAPRKZMQAFHMP-JGPRNRPPSA-N 0 2 308.382 1.139 20 0 DCADLN CCCN1C[C@@H](C(=O)N2CC[C@@H](c3nc(C)no3)C2)CC1=O ZINC000334024130 415789464 /nfs/dbraw/zinc/78/94/64/415789464.db2.gz FZMYXONCQIIJHV-NEPJUHHUSA-N 0 2 306.366 0.952 20 0 DCADLN C[C@@H](C[S@](C)=O)NC(=O)c1cncc(N2CCOCC2)c1 ZINC000334033990 415789585 /nfs/dbraw/zinc/78/95/85/415789585.db2.gz JYIQJUALQGMQJK-MQJDWESPSA-N 0 2 311.407 0.415 20 0 DCADLN C[C@@H](O)C[C@@H](C)NC(=O)c1coc(S(=O)(=O)N(C)C)c1 ZINC000334036299 415789634 /nfs/dbraw/zinc/78/96/34/415789634.db2.gz KRPOKMOHQCCXFP-RKDXNWHRSA-N 0 2 304.368 0.419 20 0 DCADLN Cn1ccc(CCNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000355131961 415850599 /nfs/dbraw/zinc/85/05/99/415850599.db2.gz KQFSLWNMOKKBGR-UHFFFAOYSA-N 0 2 314.305 0.142 20 0 DCADLN Cc1cc(C(=O)NCCC(C)(C)CO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000295945677 415901244 /nfs/dbraw/zinc/90/12/44/415901244.db2.gz HRIUJEBYCALGJQ-UHFFFAOYSA-N 0 2 320.349 0.883 20 0 DCADLN COCCOc1cccc(CCC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000356334691 415901575 /nfs/dbraw/zinc/90/15/75/415901575.db2.gz TWASNIOOTSCQOQ-UHFFFAOYSA-N 0 2 320.349 0.785 20 0 DCADLN C[C@@](O)(Cn1ccccc1=O)C(=O)Nc1ccc2nn[nH]c2c1 ZINC000344408452 415907343 /nfs/dbraw/zinc/90/73/43/415907343.db2.gz YUHUZDAPXJGASU-OAHLLOKOSA-N 0 2 313.317 0.509 20 0 DCADLN COCCn1cc(CNS(=O)(=O)NCC(F)(F)F)cn1 ZINC000337183871 415924281 /nfs/dbraw/zinc/92/42/81/415924281.db2.gz CACVTEMCTSXHAH-UHFFFAOYSA-N 0 2 316.305 0.016 20 0 DCADLN COc1ccc(NS(=O)(=O)c2cccc(C(N)=O)c2)nn1 ZINC000356495030 415932758 /nfs/dbraw/zinc/93/27/58/415932758.db2.gz CMYDQTBYKAEGGV-UHFFFAOYSA-N 0 2 308.319 0.385 20 0 DCADLN COc1ncc(C)cc1NS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000356506187 415933266 /nfs/dbraw/zinc/93/32/66/415933266.db2.gz JFYUHNUQQOHKDY-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3c2oc(=O)n3C)cn1 ZINC000338126594 416048253 /nfs/dbraw/zinc/04/82/53/416048253.db2.gz XZSUBUDNSCJTGJ-UHFFFAOYSA-N 0 2 308.319 0.666 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)c1ccc(C(N)=O)nn1 ZINC000357301052 416059157 /nfs/dbraw/zinc/05/91/57/416059157.db2.gz KUKIRWDSSADITN-UHFFFAOYSA-N 0 2 316.346 0.922 20 0 DCADLN COCCOCCCNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000299207054 416059963 /nfs/dbraw/zinc/05/99/63/416059963.db2.gz CUFVKDYWPJCDMF-UHFFFAOYSA-N 0 2 322.321 0.219 20 0 DCADLN O=C(N[C@H](CO)[C@@H]1CCCO1)C1=NN(c2ccccc2)CC1=O ZINC000344884237 416003267 /nfs/dbraw/zinc/00/32/67/416003267.db2.gz YKVKWXHXWYVSPT-OCCSQVGLSA-N 0 2 317.345 0.848 20 0 DCADLN O=C(CCn1cc[nH+]c1)NCCN1C(=O)c2ccccc2C1=O ZINC000338053640 416038811 /nfs/dbraw/zinc/03/88/11/416038811.db2.gz XQKZFZUGUCMYPK-UHFFFAOYSA-N 0 2 312.329 0.686 20 0 DCADLN CN1C(=O)Cc2cc(NC(=O)CSc3n[nH]c(=O)[nH]3)ccc21 ZINC000357493044 416089451 /nfs/dbraw/zinc/08/94/51/416089451.db2.gz TZNOSKWHIQCNAD-UHFFFAOYSA-N 0 2 319.346 0.760 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@H](CO)C1CCCCC1 ZINC000357613734 416105070 /nfs/dbraw/zinc/10/50/70/416105070.db2.gz MWCSSLKWMIWKCM-SNVBAGLBSA-N 0 2 314.411 0.907 20 0 DCADLN CN(CC(F)(F)F)C(=O)C[N@H+](C)C1CC[NH+](CCO)CC1 ZINC000357652293 416111808 /nfs/dbraw/zinc/11/18/08/416111808.db2.gz UGGGJXXDAILVDX-UHFFFAOYSA-N 0 2 311.348 0.396 20 0 DCADLN Cc1[nH]c2ccnn2c(=O)c1CC(=O)Nc1ccc2nn[nH]c2c1 ZINC000175832430 416114536 /nfs/dbraw/zinc/11/45/36/416114536.db2.gz PXEYJPBHRGTVTJ-UHFFFAOYSA-N 0 2 323.316 0.784 20 0 DCADLN O=C([O-])C1(CNC(=O)NCCCn2cc[nH+]c2)CCOCC1 ZINC000316518255 416115624 /nfs/dbraw/zinc/11/56/24/416115624.db2.gz VSZAENOYONRDKL-UHFFFAOYSA-N 0 2 310.354 0.454 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CCC[N@@H+](C)[C@@H]1C ZINC000345947758 416173876 /nfs/dbraw/zinc/17/38/76/416173876.db2.gz HEWHCUMMXLLCOS-LVQVYYBASA-N 0 2 324.469 0.974 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1cccc(-c2nnnn2C)c1 ZINC000341777757 416233450 /nfs/dbraw/zinc/23/34/50/416233450.db2.gz WOACHPUOUXRRJP-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN COCc1nsc(NC2CCC(S(C)(=O)=O)CC2)n1 ZINC000426903457 287324867 /nfs/dbraw/zinc/32/48/67/287324867.db2.gz NJTRMQUHUQAQNK-UHFFFAOYSA-N 0 2 305.425 0.874 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H](CO)CC(F)(F)F)c2=O ZINC000358357920 416228758 /nfs/dbraw/zinc/22/87/58/416228758.db2.gz RISFPRKKFMUSEL-ZCFIWIBFSA-N 0 2 318.255 0.717 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCC(=O)NC(C)C)c1 ZINC000341745524 416229318 /nfs/dbraw/zinc/22/93/18/416229318.db2.gz USAFACDPQUJKLP-OAQYLSRUSA-N 0 2 312.391 0.444 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccn(-c2ccccc2F)n1 ZINC000358367052 416230299 /nfs/dbraw/zinc/23/02/99/416230299.db2.gz JADXTBVYVPMCFX-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc2c1CCCC2 ZINC000342051251 416273266 /nfs/dbraw/zinc/27/32/66/416273266.db2.gz ZBAXACRJPRMFCY-UHFFFAOYSA-N 0 2 315.333 0.644 20 0 DCADLN O=C(Nc1ccc2c[nH]nc2c1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000193592847 416318400 /nfs/dbraw/zinc/31/84/00/416318400.db2.gz RGYKTOVSMBIBPR-UHFFFAOYSA-N 0 2 322.284 0.740 20 0 DCADLN O=S(=O)(NCc1nnc2ccccn21)NCC(F)(F)F ZINC000195055865 416325172 /nfs/dbraw/zinc/32/51/72/416325172.db2.gz ODPZYRCFCITEBE-UHFFFAOYSA-N 0 2 309.273 0.216 20 0 DCADLN CC(C)C(=O)NCCCNS(=O)(=O)NCC(F)(F)F ZINC000195436303 416326286 /nfs/dbraw/zinc/32/62/86/416326286.db2.gz NXOONIYTGVAYIH-UHFFFAOYSA-N 0 2 305.322 0.135 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](NS(=O)(=O)NCC(F)(F)F)C1 ZINC000195474623 416326419 /nfs/dbraw/zinc/32/64/19/416326419.db2.gz ROZPPSWFGYEQQT-NKWVEPMBSA-N 0 2 304.290 0.314 20 0 DCADLN O=C(CN1C(=O)CCc2ccccc21)NCc1n[nH]c(=O)[nH]1 ZINC000359838083 416361889 /nfs/dbraw/zinc/36/18/89/416361889.db2.gz DJTQUVDQDCRCAN-UHFFFAOYSA-N 0 2 301.306 0.106 20 0 DCADLN O=C([O-])C(=O)N1CC[NH+](CCOc2ccc(Cl)cc2)CC1 ZINC000360415198 416388731 /nfs/dbraw/zinc/38/87/31/416388731.db2.gz UMQLVDDIXSBBMP-UHFFFAOYSA-N 0 2 312.753 0.948 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H]1CCC[C@H](CO)C1 ZINC000360652488 416401772 /nfs/dbraw/zinc/40/17/72/416401772.db2.gz SNUOWXJFEKMYAX-BDAKNGLRSA-N 0 2 300.384 0.517 20 0 DCADLN Cc1cc(C(=O)N2CC(O)(C3CC3)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000434272595 416467303 /nfs/dbraw/zinc/46/73/03/416467303.db2.gz VNHJFAJBVCNROL-UHFFFAOYSA-N 0 2 316.317 0.341 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)[N-]Cc1cn2ccc(C)cc2[nH+]1 ZINC000582328775 416451883 /nfs/dbraw/zinc/45/18/83/416451883.db2.gz NMWVXRWCFLGVNL-UHFFFAOYSA-N 0 2 321.362 1.000 20 0 DCADLN NC(=O)Nc1cccc(NC(=O)CSc2n[nH]c(=O)[nH]2)c1 ZINC000361919127 416521972 /nfs/dbraw/zinc/52/19/72/416521972.db2.gz OHDANWOTFWYEGP-UHFFFAOYSA-N 0 2 308.323 0.732 20 0 DCADLN CCOC(=O)[C@@H]1[NH2+]CC[C@@H]1NC[C@@H]1[NH2+]CCc2ccccc21 ZINC000423433646 416512183 /nfs/dbraw/zinc/51/21/83/416512183.db2.gz RRSQZKMBQJBDQW-HRCADAONSA-N 0 2 303.406 0.757 20 0 DCADLN CC1(C)C[C@@H]1CNS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000437245326 416580895 /nfs/dbraw/zinc/58/08/95/416580895.db2.gz JSJVSMPWJLIZOJ-SSDOTTSWSA-N 0 2 324.362 0.760 20 0 DCADLN CCN1CCN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)CC1=O ZINC000544693266 416659518 /nfs/dbraw/zinc/65/95/18/416659518.db2.gz TZSVMGOHOMLJSB-UHFFFAOYSA-N 0 2 316.317 0.334 20 0 DCADLN Cn1cc(C[C@@H](CO)CNC(=O)c2cccc3[nH]nnc32)cn1 ZINC000515355235 416699292 /nfs/dbraw/zinc/69/92/92/416699292.db2.gz DLYCZTCGKOJHKN-LLVKDONJSA-N 0 2 314.349 0.272 20 0 DCADLN CCOCCOC[C@H](O)CNC(=O)c1cccc2[nH]nnc21 ZINC000558817451 416795386 /nfs/dbraw/zinc/79/53/86/416795386.db2.gz QWPICRBTNGRIDR-SNVBAGLBSA-N 0 2 308.338 0.102 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(CC(N)=O)cc2)cnn1C ZINC000427129022 416801971 /nfs/dbraw/zinc/80/19/71/416801971.db2.gz SKHOYGOVZFRNEU-UHFFFAOYSA-N 0 2 308.363 0.557 20 0 DCADLN CCn1cc(-c2n[nH]cc2C(=O)NCc2n[nH]c(=O)[nH]2)cn1 ZINC000427259974 416811473 /nfs/dbraw/zinc/81/14/73/416811473.db2.gz JBMXPPFLTQPWCQ-UHFFFAOYSA-N 0 2 302.298 0.047 20 0 DCADLN C[C@H]1CC[C@@H](NS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000444226288 416819818 /nfs/dbraw/zinc/81/98/18/416819818.db2.gz QYFRKEMSRSBSNS-JGVFFNPUSA-N 0 2 324.362 0.903 20 0 DCADLN O=C([O-])[C@H]1CSCCN(c2cc(N3CCOCC3)nc[nH+]2)C1 ZINC000565041047 416914266 /nfs/dbraw/zinc/91/42/66/416914266.db2.gz LFCAACXTHJLKIT-LLVKDONJSA-N 0 2 324.406 0.567 20 0 DCADLN O=C([O-])[C@H]1CSCCN(c2cc(N3CCOCC3)[nH+]cn2)C1 ZINC000565041047 416914276 /nfs/dbraw/zinc/91/42/76/416914276.db2.gz LFCAACXTHJLKIT-LLVKDONJSA-N 0 2 324.406 0.567 20 0 DCADLN Cc1cnn([C@@H]2CCN(C(=O)CSc3n[nH]c(=O)[nH]3)C2)c1 ZINC000565748931 417014446 /nfs/dbraw/zinc/01/44/46/417014446.db2.gz MRPWYSUVQSCFHA-SECBINFHSA-N 0 2 308.367 0.581 20 0 DCADLN CC[C@@H]1C[NH+](CCNC(=O)N[C@H]2CC[N@@H+](C3CC3)C2)CCO1 ZINC000565751533 417014852 /nfs/dbraw/zinc/01/48/52/417014852.db2.gz BHDSZVHZMSBIGW-DZGCQCFKSA-N 0 2 310.442 0.633 20 0 DCADLN O[C@@H]1CC[N@H+](CCN[C@H](c2nnc[nH]2)c2ccc(F)cc2)C1 ZINC000624230701 416990267 /nfs/dbraw/zinc/99/02/67/416990267.db2.gz DQDGCOURFWDBJH-KGLIPLIRSA-N 0 2 305.357 0.689 20 0 DCADLN CCn1nc(C[N@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@@H]3C2)c2ccccc21 ZINC000521271491 417001758 /nfs/dbraw/zinc/00/17/58/417001758.db2.gz IQVAZVHIOBEVCB-OCCSQVGLSA-N 0 2 313.405 0.800 20 0 DCADLN Cc1noc(CN2CCN(C(=O)[C@@H](C)Cc3c[nH]c[nH+]3)CC2)n1 ZINC000569117542 417217135 /nfs/dbraw/zinc/21/71/35/417217135.db2.gz PZEXWEFWRWSLBY-NSHDSACASA-N 0 2 318.381 0.624 20 0 DCADLN C[C@@H]1OCC[C@@]1(O)CNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000433216269 417243961 /nfs/dbraw/zinc/24/39/61/417243961.db2.gz AASKPSFVTAOLOK-ISVAXAHUSA-N 0 2 314.411 0.919 20 0 DCADLN C[NH+]1CCN(C(=O)C[N@@H+]2CCC[C@@H](CC(C)(C)C(N)=O)C2)CC1 ZINC000451208135 417314705 /nfs/dbraw/zinc/31/47/05/417314705.db2.gz COADZLWERIKMBF-AWEZNQCLSA-N 0 2 324.469 0.374 20 0 DCADLN CN1C(=O)COc2ccc(NS(=O)(=O)c3cn[nH]c3)cc21 ZINC000438711379 287375042 /nfs/dbraw/zinc/37/50/42/287375042.db2.gz CEVOXMFPSUSBHD-UHFFFAOYSA-N 0 2 308.319 0.566 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)COCCOc1ccccc1 ZINC000622117944 417400484 /nfs/dbraw/zinc/40/04/84/417400484.db2.gz CNDUKOYNEWAMNJ-UHFFFAOYSA-N 0 2 307.306 0.829 20 0 DCADLN C[C@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H]1CO ZINC000622228924 417420147 /nfs/dbraw/zinc/42/01/47/417420147.db2.gz CFUVBDOHMWJBLT-WCBMZHEXSA-N 0 2 318.333 0.527 20 0 DCADLN CCCn1nccc1NC(=O)C[N@@H+]1CCC[C@@]1(COC)C(=O)[O-] ZINC000635019023 417556383 /nfs/dbraw/zinc/55/63/83/417556383.db2.gz SFGGGDFGTYOFKF-OAHLLOKOSA-N 0 2 324.381 0.797 20 0 DCADLN CCCn1nccc1NC(=O)C[N@H+]1CCC[C@@]1(COC)C(=O)[O-] ZINC000635019023 417556389 /nfs/dbraw/zinc/55/63/89/417556389.db2.gz SFGGGDFGTYOFKF-OAHLLOKOSA-N 0 2 324.381 0.797 20 0 DCADLN COCCC1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000628369271 417611057 /nfs/dbraw/zinc/61/10/57/417611057.db2.gz PMOXUNULFAQFSQ-UHFFFAOYSA-N 0 2 300.384 0.878 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)C(=O)N[C@@H]1CCOC1 ZINC000645033000 417694083 /nfs/dbraw/zinc/69/40/83/417694083.db2.gz JBSQADCCHNVJMZ-SNVBAGLBSA-N 0 2 318.358 0.471 20 0 DCADLN CC[C@@H](O)[C@H](CC)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000456633397 417659102 /nfs/dbraw/zinc/65/91/02/417659102.db2.gz UKVMIOBCWOSTCD-VHSXEESVSA-N 0 2 306.322 0.715 20 0 DCADLN CC(C)(O)C(C)(C)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000456830370 417665725 /nfs/dbraw/zinc/66/57/25/417665725.db2.gz GPIPQZKFHDDLTB-UHFFFAOYSA-N 0 2 320.349 0.963 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2ccc(C3CC3)n[nH]2)[C@@H](C)CO1 ZINC000652005445 417830051 /nfs/dbraw/zinc/83/00/51/417830051.db2.gz ZVIINGXKFCPQDW-JQWIXIFHSA-N 0 2 318.377 0.740 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)(C)C)[nH]2)[C@H](C)CO1 ZINC000652006346 417832893 /nfs/dbraw/zinc/83/28/93/417832893.db2.gz LNTUUDTXHXIJMB-MNOVXSKESA-N 0 2 323.397 0.419 20 0 DCADLN COCC[N@H+](C)C[C@@H](O)C[NH+]1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC000640043982 417779106 /nfs/dbraw/zinc/77/91/06/417779106.db2.gz LRBQMZCAZALCSS-QJPTWQEYSA-N 0 2 314.348 0.028 20 0 DCADLN CO[C@@H](C)c1nc(=NC(=O)N[C@@H]2Cc3c[nH+]cn3C2)s[n-]1 ZINC000640138190 417789990 /nfs/dbraw/zinc/78/99/90/417789990.db2.gz CDTURHMCKVXKMM-JGVFFNPUSA-N 0 2 308.367 0.610 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC(CC(F)F)C2)[nH]1 ZINC000651858808 417802276 /nfs/dbraw/zinc/80/22/76/417802276.db2.gz CDULAUXCAUHOEQ-UHFFFAOYSA-N 0 2 301.297 0.333 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(F)c(F)c2)[nH]1 ZINC000657103221 417812694 /nfs/dbraw/zinc/81/26/94/417812694.db2.gz CJBDJVGGWHQPAX-UHFFFAOYSA-N 0 2 318.305 0.708 20 0 DCADLN Cc1cn2c([nH+]1)C[C@@H](C(=O)N[C@@H](CO)C[NH+]1CCCC1)CC2 ZINC000640318456 417816687 /nfs/dbraw/zinc/81/66/87/417816687.db2.gz LYSHOLROMNOLMB-UONOGXRCSA-N 0 2 306.410 0.327 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)N[C@H]1COC[C@H]1O ZINC000631461390 417884133 /nfs/dbraw/zinc/88/41/33/417884133.db2.gz CBZSQNXCICNDIN-VHSXEESVSA-N 0 2 306.347 0.508 20 0 DCADLN Cc1nc(=NC(=O)C(=O)N[C@@H](C)[C@H]2CC3CCC2CC3)[nH]n1C ZINC000652335505 417886610 /nfs/dbraw/zinc/88/66/10/417886610.db2.gz MJTFNZQKJNKACQ-ZHYKRGJUSA-N 0 2 319.409 0.815 20 0 DCADLN COc1cc(C)c(C)cc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000657771469 417903159 /nfs/dbraw/zinc/90/31/59/417903159.db2.gz JANMKOVFMFHSOD-UHFFFAOYSA-N 0 2 319.321 0.391 20 0 DCADLN COCc1nnc(CNC(=O)C(=O)Nc2c(C)cccc2F)[nH]1 ZINC000658472391 417973739 /nfs/dbraw/zinc/97/37/39/417973739.db2.gz SZFVZXUVPYIHIK-UHFFFAOYSA-N 0 2 321.312 0.654 20 0 DCADLN O=C([O-])c1ccc(N2CCC[C@@H](n3cc(CO)nn3)C2)[nH+]c1 ZINC000647456043 418011949 /nfs/dbraw/zinc/01/19/49/418011949.db2.gz ZPCAHGMWUQSPKO-GFCCVEGCSA-N 0 2 303.322 0.705 20 0 DCADLN O=C([O-])C1(CNc2cc(N3CCOCC3)nc[nH+]2)CCOCC1 ZINC000647458503 418012101 /nfs/dbraw/zinc/01/21/01/418012101.db2.gz KMMRXTLILAIIDT-UHFFFAOYSA-N 0 2 322.365 0.607 20 0 DCADLN O=C([O-])C1(CNc2cc(N3CCOCC3)[nH+]cn2)CCOCC1 ZINC000647458503 418012104 /nfs/dbraw/zinc/01/21/04/418012104.db2.gz KMMRXTLILAIIDT-UHFFFAOYSA-N 0 2 322.365 0.607 20 0 DCADLN O=C([O-])[C@H](C(=O)NCc1ccc(N2CCOCC2)[nH+]c1)C1CC1 ZINC000663108086 417990911 /nfs/dbraw/zinc/99/09/11/417990911.db2.gz CKWXALBQCGNOQX-AWEZNQCLSA-N 0 2 319.361 0.645 20 0 DCADLN O=C([O-])[C@@H](C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)C1CCCC1 ZINC000663132533 417994517 /nfs/dbraw/zinc/99/45/17/417994517.db2.gz ZRNWWKWSARGBHC-ZIAGYGMSSA-N 0 2 310.394 0.811 20 0 DCADLN C[N@@H+]1CCN(C(=O)Nc2ccc3c(cc[nH]c3=O)c2)[C@H](C[NH3+])C1 ZINC000659027850 418048524 /nfs/dbraw/zinc/04/85/24/418048524.db2.gz KKKLGYNIEUCDGH-CYBMUJFWSA-N 0 2 315.377 0.635 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000653290560 418053841 /nfs/dbraw/zinc/05/38/41/418053841.db2.gz BVMQUWOSCWCHLX-LBPRGKRZSA-N 0 2 319.361 0.855 20 0 DCADLN Cn1cc[nH+]c1[C@@H](NC(=O)NCC[N@H+](C)C1CC1)C(C)(C)CO ZINC000664092677 418085069 /nfs/dbraw/zinc/08/50/69/418085069.db2.gz AFYPWYSSYWOFOT-CYBMUJFWSA-N 0 2 323.441 0.873 20 0 DCADLN CCN(C(=O)C[NH+]1CCN(c2ccccn2)CC1)[C@H](C)C(=O)[O-] ZINC000659771806 418180063 /nfs/dbraw/zinc/18/00/63/418180063.db2.gz OBZFEJAFDATNJP-CYBMUJFWSA-N 0 2 320.393 0.525 20 0 DCADLN O=C(NC/C=C\CO)NCc1nnc(COc2ccccc2)[nH]1 ZINC000664699029 418146455 /nfs/dbraw/zinc/14/64/55/418146455.db2.gz LCNHVQFQEVKOOE-PLNGDYQASA-N 0 2 317.349 0.731 20 0 DCADLN CNC(=O)C1(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)CCC1 ZINC000648888384 418169538 /nfs/dbraw/zinc/16/95/38/418169538.db2.gz QMUJKAVRZGDFHX-UHFFFAOYSA-N 0 2 307.354 0.133 20 0 DCADLN C[C@]1(CCC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC(=O)N1 ZINC000648893713 418170806 /nfs/dbraw/zinc/17/08/06/418170806.db2.gz URCGBJFVZYLYAP-ZUZCIYMTSA-N 0 2 321.381 0.665 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000665305387 418193014 /nfs/dbraw/zinc/19/30/14/418193014.db2.gz VVBMQHMKQAHRAQ-WCQYABFASA-N 0 2 313.398 0.507 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000665305387 418193017 /nfs/dbraw/zinc/19/30/17/418193017.db2.gz VVBMQHMKQAHRAQ-WCQYABFASA-N 0 2 313.398 0.507 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000665301968 418193431 /nfs/dbraw/zinc/19/34/31/418193431.db2.gz JJUSECUMPWRDRW-AAEUAGOBSA-N 0 2 313.398 0.507 20 0 DCADLN O=C(Cc1cnn2ccccc12)NCCCc1n[nH]c(=O)[nH]1 ZINC000649212641 418210364 /nfs/dbraw/zinc/21/03/64/418210364.db2.gz JZHLCUNSQDTCCT-UHFFFAOYSA-N 0 2 300.322 0.450 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H](C)OC[C@@H]2CCCCO2)[nH]n1 ZINC000650815897 418326688 /nfs/dbraw/zinc/32/66/88/418326688.db2.gz DCLVPFGXSPSUGB-MNOVXSKESA-N 0 2 322.365 0.171 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)NCC[C@@]2(O)CCOC2)s[nH]1 ZINC000650026372 418288733 /nfs/dbraw/zinc/28/87/33/418288733.db2.gz DIIJFQVRYGCWJF-CYBMUJFWSA-N 0 2 314.411 0.921 20 0 DCADLN O=C(N=c1ccc(C2CC2)n[nH]1)NCC[C@@]1(O)CCCOC1 ZINC000650084506 418292269 /nfs/dbraw/zinc/29/22/69/418292269.db2.gz DZASLMMLXRGOFR-HNNXBMFYSA-N 0 2 306.366 0.829 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@@H+]1CCOC[C@H]1C)C(=O)[O-] ZINC000655869066 418294191 /nfs/dbraw/zinc/29/41/91/418294191.db2.gz ZLAYCCKXJFERAF-VXGBXAGGSA-N 0 2 301.387 0.363 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC[N@H+]1CCOC[C@H]1C)C(=O)[O-] ZINC000655869066 418294193 /nfs/dbraw/zinc/29/41/93/418294193.db2.gz ZLAYCCKXJFERAF-VXGBXAGGSA-N 0 2 301.387 0.363 20 0 DCADLN O=C([O-])C[N@@H+](CC1=Nc2ccccc2S(=O)(=O)N1)CC1CC1 ZINC000650510935 418313663 /nfs/dbraw/zinc/31/36/63/418313663.db2.gz XKFCMLGKZGEVBR-UHFFFAOYSA-N 0 2 323.374 0.805 20 0 DCADLN O=C([O-])C[N@H+](CC1=Nc2ccccc2S(=O)(=O)N1)CC1CC1 ZINC000650510935 418313665 /nfs/dbraw/zinc/31/36/65/418313665.db2.gz XKFCMLGKZGEVBR-UHFFFAOYSA-N 0 2 323.374 0.805 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C2(C(F)(F)F)CCC2)[nH]n1 ZINC000650819544 418327404 /nfs/dbraw/zinc/32/74/04/418327404.db2.gz XUROTFBEHDBRQW-UHFFFAOYSA-N 0 2 302.256 0.929 20 0 DCADLN CC(C)[C@H](CNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000656093884 418327692 /nfs/dbraw/zinc/32/76/92/418327692.db2.gz YOLRRXWCRRGYKI-NSHDSACASA-N 0 2 321.381 0.524 20 0 DCADLN CC(C)[C@H](CNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000656093884 418327694 /nfs/dbraw/zinc/32/76/94/418327694.db2.gz YOLRRXWCRRGYKI-NSHDSACASA-N 0 2 321.381 0.524 20 0 DCADLN O=C([O-])c1cc(N2CCC[C@@H](n3cc(CO)nn3)C2)cc[nH+]1 ZINC000650770818 418323587 /nfs/dbraw/zinc/32/35/87/418323587.db2.gz FRJFQYPEWZTEHB-GFCCVEGCSA-N 0 2 303.322 0.705 20 0 DCADLN Cc1cc(N2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)nc[nH+]1 ZINC000650774230 418323732 /nfs/dbraw/zinc/32/37/32/418323732.db2.gz QVQHGZQUQMTRNE-NSHDSACASA-N 0 2 304.350 0.687 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000650778321 418324542 /nfs/dbraw/zinc/32/45/42/418324542.db2.gz LJOZUJPAPVGHTC-SDDRHHMPSA-N 0 2 308.382 0.983 20 0 DCADLN CC[C@H](C(=O)[O-])N(C)c1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000650778321 418324544 /nfs/dbraw/zinc/32/45/44/418324544.db2.gz LJOZUJPAPVGHTC-SDDRHHMPSA-N 0 2 308.382 0.983 20 0 DCADLN Cc1cc(=NC(=O)C2(n3cnnn3)CCCCC2)[nH]nc1C ZINC000649736417 418270404 /nfs/dbraw/zinc/27/04/04/418270404.db2.gz ACNISRTZFCQEBX-UHFFFAOYSA-N 0 2 301.354 0.800 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCSC(C)(C)C2)[nH]1 ZINC000651612411 418397368 /nfs/dbraw/zinc/39/73/68/418397368.db2.gz NSUJUYVTWLZMQS-UHFFFAOYSA-N 0 2 311.411 0.573 20 0 DCADLN O=S(=O)(Nc1ccc2c(n1)OCCO2)c1cnc2n1CCC2 ZINC000656741867 418375196 /nfs/dbraw/zinc/37/51/96/418375196.db2.gz YKSXSPJXRQUGPD-UHFFFAOYSA-N 0 2 322.346 0.796 20 0 DCADLN CCN(C(C)=O)c1ccc(NS(=O)(=O)c2ccnn2C)cn1 ZINC000656738893 418375300 /nfs/dbraw/zinc/37/53/00/418375300.db2.gz LKXNZHLEUVCTID-UHFFFAOYSA-N 0 2 323.378 0.989 20 0 DCADLN COCc1nnc(CN2C(=O)CN(Cc3ccccc3)C2=O)[nH]1 ZINC000656832471 418377641 /nfs/dbraw/zinc/37/76/41/418377641.db2.gz MCFVENGYSNMNBK-UHFFFAOYSA-N 0 2 315.333 0.916 20 0 DCADLN CO[C@H]1CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)[C@H](C)C1 ZINC000651771728 418409522 /nfs/dbraw/zinc/40/95/22/418409522.db2.gz LYUBOZXDGOHSRD-MNOVXSKESA-N 0 2 309.370 0.245 20 0 DCADLN O=C(N=c1nc2n([nH]1)CCCC2)C(=O)N1CCC[C@H](C2CC2)C1 ZINC000651708713 418405603 /nfs/dbraw/zinc/40/56/03/418405603.db2.gz AARYZGKUVRFLOC-LBPRGKRZSA-N 0 2 317.393 0.623 20 0 DCADLN COc1cc(C)c(S(=O)(=O)Nc2ncn(C)n2)cc1OC ZINC000451031385 287454203 /nfs/dbraw/zinc/45/42/03/287454203.db2.gz PUKPBQVIBPJBLV-UHFFFAOYSA-N 0 2 312.351 0.942 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2ccsc2)cn1 ZINC000350035800 261188009 /nfs/dbraw/zinc/18/80/09/261188009.db2.gz OKHDAMPEXYMZMC-UHFFFAOYSA-N 0 2 300.365 0.491 20 0 DCADLN C[C@@H]1Oc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc2NC1=O ZINC000354321698 261204700 /nfs/dbraw/zinc/20/47/00/261204700.db2.gz ZDQZOIGWLRLKSP-LURJTMIESA-N 0 2 318.293 0.551 20 0 DCADLN O=C(N[C@@H]1CCO[C@@H]1C1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000362063770 261994460 /nfs/dbraw/zinc/99/44/60/261994460.db2.gz GPTDEDUCTOEOBK-GHMZBOCLSA-N 0 2 316.317 0.733 20 0 DCADLN Cc1cc(C(=O)N(C)CC[C@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000362353671 262024378 /nfs/dbraw/zinc/02/43/78/262024378.db2.gz SEMMNVUFWYZIBX-QMMMGPOBSA-N 0 2 306.322 0.587 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2C[C@]23CCOC3)s1 ZINC000363795681 262131149 /nfs/dbraw/zinc/13/11/49/262131149.db2.gz HIVAAPPABKCGCL-WKEGUHRASA-N 0 2 318.380 0.275 20 0 DCADLN CCOC(=O)[C@H](CC)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000412324516 262185615 /nfs/dbraw/zinc/18/56/15/262185615.db2.gz NXGMMSSRXHGCHN-VHSXEESVSA-N 0 2 310.354 0.806 20 0 DCADLN CC[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H](O)C(C)C ZINC000412758432 262194089 /nfs/dbraw/zinc/19/40/89/262194089.db2.gz VBHXJYTYRKLCFE-GHMZBOCLSA-N 0 2 320.349 0.961 20 0 DCADLN COC(=O)[C@]1(C)CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000416631246 262235387 /nfs/dbraw/zinc/23/53/87/262235387.db2.gz KTXNIPYZFPMBTP-SECBINFHSA-N 0 2 318.317 0.658 20 0 DCADLN Cn1nncc1CS(=O)(=O)c1n[nH]c(-c2ccccc2F)n1 ZINC000357120345 271044604 /nfs/dbraw/zinc/04/46/04/271044604.db2.gz NABYJAMIEUTPTO-UHFFFAOYSA-N 0 2 322.325 0.713 20 0 DCADLN CCOC(=O)CCN(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000358931005 271082207 /nfs/dbraw/zinc/08/22/07/271082207.db2.gz DISCXSDNAJNJII-UHFFFAOYSA-N 0 2 320.305 0.461 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)N[C@@H](CO)COC)=N1 ZINC000359648374 271136757 /nfs/dbraw/zinc/13/67/57/271136757.db2.gz CSVKAAKOGUSLDY-LBPRGKRZSA-N 0 2 319.361 0.877 20 0 DCADLN COCC[C@@](C)(O)CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000359660426 271138822 /nfs/dbraw/zinc/13/88/22/271138822.db2.gz KIFGMTZIBIJOMA-OAHLLOKOSA-N 0 2 321.333 0.547 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N2CCC[C@@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC000422705968 271379061 /nfs/dbraw/zinc/37/90/61/271379061.db2.gz SKKSQYLSADLYGJ-CYBMUJFWSA-N 0 2 319.361 0.638 20 0 DCADLN CCO[C@@H]1C[C@H](NS(=O)(=O)NCC(F)(F)F)[C@@H]1OC ZINC000443051839 271695886 /nfs/dbraw/zinc/69/58/86/271695886.db2.gz UHZQWARRDUUSLG-RNJXMRFFSA-N 0 2 306.306 0.165 20 0 DCADLN CC[C@@H]1OCC[C@H]1[NH2+]CC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000459770757 272008487 /nfs/dbraw/zinc/00/84/87/272008487.db2.gz IHKLZCWYDYKYQN-KGLIPLIRSA-N 0 2 313.442 0.371 20 0 DCADLN O=C(C=Cc1ccco1)NCCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000492344635 272125212 /nfs/dbraw/zinc/12/52/12/272125212.db2.gz PQUIQGNKJZQFHV-ARJAWSKDSA-N 0 2 306.278 0.366 20 0 DCADLN CCOC(=O)N[C@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)C(C)C ZINC000493073975 272166874 /nfs/dbraw/zinc/16/68/74/272166874.db2.gz OKVCXTGZFZZXRW-JTQLQIEISA-N 0 2 313.358 0.330 20 0 DCADLN CCn1nccc1/C=C/C(=O)NS(=O)(=O)c1c(C)cnn1C ZINC000493359113 272184094 /nfs/dbraw/zinc/18/40/94/272184094.db2.gz VEGLUOQSEPWIQP-AATRIKPKSA-N 0 2 323.378 0.463 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C/c1cncc(F)c1 ZINC000493414886 272187283 /nfs/dbraw/zinc/18/72/83/272187283.db2.gz FQEYOKTZBBIQQW-ONEGZZNKSA-N 0 2 324.337 0.781 20 0 DCADLN CCOC(=O)NCCC(=O)NS(=O)(=O)c1cnccc1C ZINC000496777031 272343543 /nfs/dbraw/zinc/34/35/43/272343543.db2.gz LFUSNERPKDGZJX-UHFFFAOYSA-N 0 2 315.351 0.331 20 0 DCADLN CC(C)NC(=O)OC1CN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000496845017 272347366 /nfs/dbraw/zinc/34/73/66/272347366.db2.gz HWNAEJXNSCIMOG-UHFFFAOYSA-N 0 2 319.305 0.202 20 0 DCADLN O=c1[nH]nc(CSc2nnc3c(=O)[nH]c4ccccc4n23)[nH]1 ZINC000540347575 287902176 /nfs/dbraw/zinc/90/21/76/287902176.db2.gz FLJWAWDWDGYUOS-UHFFFAOYSA-N 0 2 315.318 0.687 20 0 DCADLN CN1CCOC[C@H]1C(=O)N=c1nc(-c2ccsc2)[nH]s1 ZINC000547323699 288038897 /nfs/dbraw/zinc/03/88/97/288038897.db2.gz SQALNSFNZKUUOX-VIFPVBQESA-N 0 2 310.404 0.958 20 0 DCADLN O=S(=O)(CC(F)(F)F)NCC1(O)Cc2ccccc2C1 ZINC000547792578 288079967 /nfs/dbraw/zinc/07/99/67/288079967.db2.gz DRWRIVMQPDCELY-UHFFFAOYSA-N 0 2 309.309 0.998 20 0 DCADLN CC(C)C[C@H](CNC(=O)N[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000316513889 279156429 /nfs/dbraw/zinc/15/64/29/279156429.db2.gz XIYAKSJNPZYAFB-CHWSQXEVSA-N 0 2 315.414 0.753 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC000549728845 288202607 /nfs/dbraw/zinc/20/26/07/288202607.db2.gz CVQRVLZSBZGULQ-LBPRGKRZSA-N 0 2 317.349 0.755 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)[C@@H]1C[N@@H+](C)CCO1 ZINC000549728845 288202608 /nfs/dbraw/zinc/20/26/08/288202608.db2.gz CVQRVLZSBZGULQ-LBPRGKRZSA-N 0 2 317.349 0.755 20 0 DCADLN C[C@H]1CN(C(=O)C[N@@H+]2CCC(C)(C)C2)C[C@@H]1[NH+]1CCOCC1 ZINC000553493021 288300431 /nfs/dbraw/zinc/30/04/31/288300431.db2.gz NOKDFKPEXNXZRR-GJZGRUSLSA-N 0 2 309.454 0.897 20 0 DCADLN C[C@H](O)[C@H]1CCN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000563931962 288715659 /nfs/dbraw/zinc/71/56/59/288715659.db2.gz LHYDFJOOPRJKQT-CABZTGNLSA-N 0 2 303.318 0.873 20 0 DCADLN CC(C)CC(=O)NCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000565602357 288866078 /nfs/dbraw/zinc/86/60/78/288866078.db2.gz USOSNGZPFDSVMY-LLVKDONJSA-N 0 2 323.397 0.769 20 0 DCADLN Cn1cc[nH+]c1[C@@H](NC(=O)[C@H]1C[N@H+]2CCN1CCC2)C1CC1 ZINC000580357893 336260077 /nfs/dbraw/zinc/26/00/77/336260077.db2.gz PXDZPXZFSBTXEI-KGLIPLIRSA-N 0 2 303.410 0.377 20 0 DCADLN CC[C@H](C)[C@H](NC(C)=O)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000582966213 337223484 /nfs/dbraw/zinc/22/34/84/337223484.db2.gz GUXZVFTUNLRCRP-DLOVCJGASA-N 0 2 323.397 0.767 20 0 DCADLN NC(=O)[C@]1(NC(=O)c2cc(F)c(F)c(O)c2F)CCOC1 ZINC000583153371 337247088 /nfs/dbraw/zinc/24/70/88/337247088.db2.gz LQVQSONXUHQGHZ-LBPRGKRZSA-N 0 2 304.224 0.184 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cncnc2)C1)[C@@H](F)C(F)(F)F ZINC001446515584 1159446533 /nfs/dbraw/zinc/44/65/33/1159446533.db2.gz FUMQIOOPYWYWIN-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN CC[C@@H]1CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)CCO1 ZINC000266780942 523417689 /nfs/dbraw/zinc/41/76/89/523417689.db2.gz CFKXHKVMHYAGLP-SECBINFHSA-N 0 2 318.333 0.995 20 0 DCADLN CN(C)C(=O)NCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000181044400 524005816 /nfs/dbraw/zinc/00/58/16/524005816.db2.gz NPIWRQFVSYZUET-UHFFFAOYSA-N 0 2 317.349 0.579 20 0 DCADLN NC(=O)CCn1ccc(NS(=O)(=O)c2cccc(F)c2)n1 ZINC000117740194 526877776 /nfs/dbraw/zinc/87/77/76/526877776.db2.gz SEIRPASRSRABOJ-UHFFFAOYSA-N 0 2 312.326 0.698 20 0 DCADLN NC(=O)[C@H]1CCN(C(=O)C2=NN(c3ccc(F)cc3)CC2=O)C1 ZINC000267819382 527007273 /nfs/dbraw/zinc/00/72/73/527007273.db2.gz HQDQCQWHCDREBI-VIFPVBQESA-N 0 2 318.308 0.664 20 0 DCADLN CCOC(=O)C(C)(C)ONC(=O)CNc1ccccc1C(N)=O ZINC000295722646 528560857 /nfs/dbraw/zinc/56/08/57/528560857.db2.gz QPUFOKGJQDVBQG-UHFFFAOYSA-N 0 2 323.349 0.587 20 0 DCADLN CC[C@@H](NC(=O)OC(C)(C)C)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000495424941 535797333 /nfs/dbraw/zinc/79/73/33/535797333.db2.gz UEPXDVUPLKZVJP-SSDOTTSWSA-N 0 2 300.315 0.857 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCCN(C)C2=O)c1 ZINC000424713631 536924428 /nfs/dbraw/zinc/92/44/28/536924428.db2.gz YECOMEQGWJCWCK-WHEQGISXSA-N 0 2 324.402 0.540 20 0 DCADLN COCc1nc(OC)cc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000343156044 546133521 /nfs/dbraw/zinc/13/35/21/546133521.db2.gz WXAGSYHDMUXWHB-VIFPVBQESA-N 0 2 320.353 0.839 20 0 DCADLN CC(C)CN(CC(N)=O)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666030956 546420376 /nfs/dbraw/zinc/42/03/76/546420376.db2.gz VHGWIMRYWPHCCQ-UHFFFAOYSA-N 0 2 318.333 0.613 20 0 DCADLN Cc1ccn2cc(CNC(=O)N3CCO[C@@H](C(=O)[O-])C3)[nH+]c2c1 ZINC000666521054 546479714 /nfs/dbraw/zinc/47/97/14/546479714.db2.gz RJNUIEALFNJHPW-GFCCVEGCSA-N 0 2 318.333 0.638 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCCc2ccc3c[nH]nc3c2)[C@H](C[NH3+])C1 ZINC000669582653 546993691 /nfs/dbraw/zinc/99/36/91/546993691.db2.gz AMPHHRCQGXKGNW-CQSZACIVSA-N 0 2 316.409 0.390 20 0 DCADLN C[N@H+]1CCN(C(=O)NC[C@H]2COc3ccccc32)[C@@H](C[NH3+])C1 ZINC000669587918 546994632 /nfs/dbraw/zinc/99/46/32/546994632.db2.gz ZBMWGOLKGRYKIA-STQMWFEESA-N 0 2 304.394 0.447 20 0 DCADLN C[C@@H]1Oc2ccccc2O[C@H]1C(=O)N(C)CC1N=NC(=O)O1 ZINC000673478740 547482889 /nfs/dbraw/zinc/48/28/89/547482889.db2.gz GSHAZTHCTKHTPR-QPUJVOFHSA-N 0 2 305.290 0.962 20 0 DCADLN Cc1cccc(-n2cc(C(=O)N(C)CC3N=NC(=O)O3)nn2)c1 ZINC000673478012 547483047 /nfs/dbraw/zinc/48/30/47/547483047.db2.gz AOZHMYLJFDPHTN-UHFFFAOYSA-N 0 2 314.305 0.937 20 0 DCADLN Cc1cc(C(=O)N(C)Cc2cn[nH]c2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000673672255 547513410 /nfs/dbraw/zinc/51/34/10/547513410.db2.gz QMIMGQOSUQZHIM-UHFFFAOYSA-N 0 2 314.305 0.740 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(OC[C@H]2CCCO2)cc1 ZINC000673918969 547537546 /nfs/dbraw/zinc/53/75/46/547537546.db2.gz JQBLQDSPTVWCOJ-GFCCVEGCSA-N 0 2 318.333 0.998 20 0 DCADLN O=C(Cc1ccn(-c2ccc(F)cc2)n1)NCc1n[nH]c(=O)[nH]1 ZINC000673949668 547545553 /nfs/dbraw/zinc/54/55/53/547545553.db2.gz SONDRTCOEMTKIY-UHFFFAOYSA-N 0 2 316.296 0.694 20 0 DCADLN Cc1nc(S(=O)(=O)Nc2cc(C(N)=O)sc2C)c[nH]1 ZINC000676076366 547732122 /nfs/dbraw/zinc/73/21/22/547732122.db2.gz PJYQOBUXFTZLBH-UHFFFAOYSA-N 0 2 300.365 0.988 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000676207810 547742796 /nfs/dbraw/zinc/74/27/96/547742796.db2.gz RVMSONRKIBMMSI-UHFFFAOYSA-N 0 2 305.334 0.745 20 0 DCADLN O=C(NCc1ccccc1-n1cc[nH+]c1)c1n[nH]c(=O)[n-]c1=O ZINC000678061877 547941298 /nfs/dbraw/zinc/94/12/98/547941298.db2.gz RQKNIVVASDUJRE-UHFFFAOYSA-N 0 2 312.289 0.399 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc3c(c2)OCCO3)[nH]n1 ZINC000679202574 548033850 /nfs/dbraw/zinc/03/38/50/548033850.db2.gz KQZPUDSQJZXNHO-UHFFFAOYSA-N 0 2 324.318 0.081 20 0 DCADLN CCOc1ccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)cn1 ZINC000679751503 548087865 /nfs/dbraw/zinc/08/78/65/548087865.db2.gz SRTHTWYQQCFPQQ-UHFFFAOYSA-N 0 2 311.323 0.103 20 0 DCADLN O=C(NCCn1ccnn1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000679923238 548102411 /nfs/dbraw/zinc/10/24/11/548102411.db2.gz UWMZUFDMMLXNNM-UHFFFAOYSA-N 0 2 300.278 0.051 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccc(Cn2cncn2)c1 ZINC000684570767 548624357 /nfs/dbraw/zinc/62/43/57/548624357.db2.gz YUJAXDPFGOHIRS-UHFFFAOYSA-N 0 2 319.350 0.256 20 0 DCADLN COc1ccc(CN(C)C(=O)C[N@H+](C)CC(=O)[O-])cc1OC ZINC000739909254 596910792 /nfs/dbraw/zinc/91/07/92/596910792.db2.gz PLCDHYYXEJUDQQ-UHFFFAOYSA-N 0 2 310.350 0.679 20 0 DCADLN COc1ccc(CN(C)C(=O)C[N@@H+](C)CC(=O)[O-])cc1OC ZINC000739909254 596910793 /nfs/dbraw/zinc/91/07/93/596910793.db2.gz PLCDHYYXEJUDQQ-UHFFFAOYSA-N 0 2 310.350 0.679 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2cccc(CC(=O)[O-])c2)C1 ZINC000316845782 597184082 /nfs/dbraw/zinc/18/40/82/597184082.db2.gz RJXDCDNMQFWOFS-CYBMUJFWSA-N 0 2 307.350 0.766 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2cccc(CC(=O)[O-])c2)C1 ZINC000316845782 597184084 /nfs/dbraw/zinc/18/40/84/597184084.db2.gz RJXDCDNMQFWOFS-CYBMUJFWSA-N 0 2 307.350 0.766 20 0 DCADLN O=C([O-])CCNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000382222333 597283927 /nfs/dbraw/zinc/28/39/27/597283927.db2.gz RQKKFINUPVWVON-AWEZNQCLSA-N 0 2 321.377 0.661 20 0 DCADLN O=C([O-])CCNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000382222333 597283930 /nfs/dbraw/zinc/28/39/30/597283930.db2.gz RQKKFINUPVWVON-AWEZNQCLSA-N 0 2 321.377 0.661 20 0 DCADLN COC(=O)C1(NC(=O)C[N@H+](C)[C@H](C)C(=O)[O-])CCCCC1 ZINC000818500502 597471662 /nfs/dbraw/zinc/47/16/62/597471662.db2.gz MVAJNSZZUQEPHG-SNVBAGLBSA-N 0 2 300.355 0.383 20 0 DCADLN COC(=O)C1(NC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])CCCCC1 ZINC000818500502 597471665 /nfs/dbraw/zinc/47/16/65/597471665.db2.gz MVAJNSZZUQEPHG-SNVBAGLBSA-N 0 2 300.355 0.383 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(C(=O)N2CC[C@H](C(=O)[O-])C2)CC1 ZINC000819078384 597499850 /nfs/dbraw/zinc/49/98/50/597499850.db2.gz OVBZNOKPCUOZBI-ZDUSSCGKSA-N 0 2 320.393 0.565 20 0 DCADLN NC(=O)c1ccc(C(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])s1 ZINC000821352920 598067079 /nfs/dbraw/zinc/06/70/79/598067079.db2.gz DBBIKKZOYDYTCY-MRVPVSSYSA-N 0 2 312.347 0.553 20 0 DCADLN NC(=O)c1ccc(C(=O)OC[C@H]2CCC[N@H+]2CC(=O)[O-])s1 ZINC000821352920 598067081 /nfs/dbraw/zinc/06/70/81/598067081.db2.gz DBBIKKZOYDYTCY-MRVPVSSYSA-N 0 2 312.347 0.553 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](Cc2ccc(-n3cncn3)c(F)c2)CCO1 ZINC000821512196 598122990 /nfs/dbraw/zinc/12/29/90/598122990.db2.gz CZKHKQBCFAZENQ-CYBMUJFWSA-N 0 2 306.297 0.692 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](Cc2ccc(-n3cncn3)c(F)c2)CCO1 ZINC000821512196 598122991 /nfs/dbraw/zinc/12/29/91/598122991.db2.gz CZKHKQBCFAZENQ-CYBMUJFWSA-N 0 2 306.297 0.692 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C(=O)N1CC[NH+](C2CC2)CC1 ZINC000821470386 598174128 /nfs/dbraw/zinc/17/41/28/598174128.db2.gz OSVBWIAVFKDWIG-UHFFFAOYSA-N 0 2 317.345 0.630 20 0 DCADLN Oc1cccc(CNCc2cnc3c(-c4nn[nH]n4)cnn3c2)c1 ZINC000738401963 598275948 /nfs/dbraw/zinc/27/59/48/598275948.db2.gz YJWCYOUHNFUSNC-UHFFFAOYSA-N 0 2 322.332 0.905 20 0 DCADLN Cc1cc(C)n(CCn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)n1 ZINC000737256635 598585227 /nfs/dbraw/zinc/58/52/27/598585227.db2.gz MAQLWUWAIZSECN-UHFFFAOYSA-N 0 2 314.353 0.554 20 0 DCADLN COC(=O)CC[N@@H+](Cc1ccccc1)Cn1cnc(C(=O)[O-])n1 ZINC000737598668 599776970 /nfs/dbraw/zinc/77/69/70/599776970.db2.gz ICWIYYPUFRVLFT-UHFFFAOYSA-N 0 2 318.333 0.999 20 0 DCADLN COC(=O)CC[N@H+](Cc1ccccc1)Cn1cnc(C(=O)[O-])n1 ZINC000737598668 599776971 /nfs/dbraw/zinc/77/69/71/599776971.db2.gz ICWIYYPUFRVLFT-UHFFFAOYSA-N 0 2 318.333 0.999 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)COc1ccc(F)cc1 ZINC000314101106 599777380 /nfs/dbraw/zinc/77/73/80/599777380.db2.gz IVWBAOFOSAMQKZ-LBPRGKRZSA-N 0 2 307.281 0.740 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)COc1ccc(F)cc1 ZINC000314101106 599777381 /nfs/dbraw/zinc/77/73/81/599777381.db2.gz IVWBAOFOSAMQKZ-LBPRGKRZSA-N 0 2 307.281 0.740 20 0 DCADLN CCCC(=O)NC[C@@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736952979 599782897 /nfs/dbraw/zinc/78/28/97/599782897.db2.gz YVXYBQTVFVFDQF-NSHDSACASA-N 0 2 309.370 0.562 20 0 DCADLN CCCC(=O)NC[C@@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC000736952979 599782900 /nfs/dbraw/zinc/78/29/00/599782900.db2.gz YVXYBQTVFVFDQF-NSHDSACASA-N 0 2 309.370 0.562 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@H](C(=O)[O-])c1ccc(OC)cc1 ZINC000737121703 599858517 /nfs/dbraw/zinc/85/85/17/599858517.db2.gz MQWOGBZJBARZCC-LBPRGKRZSA-N 0 2 309.322 0.256 20 0 DCADLN O=C([O-])CSCCNC(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000739859711 599900636 /nfs/dbraw/zinc/90/06/36/599900636.db2.gz DIIKXTPWFAPTTR-UHFFFAOYSA-N 0 2 312.395 0.836 20 0 DCADLN CCOc1ccc(OCC[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000391353233 599983323 /nfs/dbraw/zinc/98/33/23/599983323.db2.gz NUOPXLOBBPOBFL-CQSZACIVSA-N 0 2 322.361 0.739 20 0 DCADLN CCOc1ccc(OCC[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000391353233 599983325 /nfs/dbraw/zinc/98/33/25/599983325.db2.gz NUOPXLOBBPOBFL-CQSZACIVSA-N 0 2 322.361 0.739 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)NCc2cn3c([nH+]2)CCCC3)CCO1 ZINC000738548979 600041221 /nfs/dbraw/zinc/04/12/21/600041221.db2.gz SZKWFHLFLCFJHF-LLVKDONJSA-N 0 2 308.338 0.214 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1Cc1cc2ccccc2[nH]c1=O ZINC000739636683 600286827 /nfs/dbraw/zinc/28/68/27/600286827.db2.gz KWKOYOWVDNHRSV-ZDUSSCGKSA-N 0 2 315.329 0.716 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1Cc1cc2ccccc2[nH]c1=O ZINC000739636683 600286828 /nfs/dbraw/zinc/28/68/28/600286828.db2.gz KWKOYOWVDNHRSV-ZDUSSCGKSA-N 0 2 315.329 0.716 20 0 DCADLN COCC[N@H+](CC(=O)[O-])Cc1cc(=O)n2cc(C)ccc2n1 ZINC000315218202 600396277 /nfs/dbraw/zinc/39/62/77/600396277.db2.gz UEIGXOBXHVYAHJ-UHFFFAOYSA-N 0 2 305.334 0.536 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])Cc1cc(=O)n2cc(C)ccc2n1 ZINC000315218202 600396278 /nfs/dbraw/zinc/39/62/78/600396278.db2.gz UEIGXOBXHVYAHJ-UHFFFAOYSA-N 0 2 305.334 0.536 20 0 DCADLN Nc1cccc2c1C(=O)N(C[N@@H+]1CCC[C@@H](C(=O)[O-])C1)C2=O ZINC000739202630 600423621 /nfs/dbraw/zinc/42/36/21/600423621.db2.gz JMQWMVDJWIWAEU-SECBINFHSA-N 0 2 303.318 0.619 20 0 DCADLN Nc1cccc2c1C(=O)N(C[N@H+]1CCC[C@@H](C(=O)[O-])C1)C2=O ZINC000739202630 600423622 /nfs/dbraw/zinc/42/36/22/600423622.db2.gz JMQWMVDJWIWAEU-SECBINFHSA-N 0 2 303.318 0.619 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736413318 600546999 /nfs/dbraw/zinc/54/69/99/600546999.db2.gz RVEYKOJGEICACK-LBPRGKRZSA-N 0 2 313.398 0.156 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736413318 600547000 /nfs/dbraw/zinc/54/70/00/600547000.db2.gz RVEYKOJGEICACK-LBPRGKRZSA-N 0 2 313.398 0.156 20 0 DCADLN C[C@@H]1CN(C(=O)CO[NH+]=C(N)c2cccc(C(=O)[O-])c2)CCO1 ZINC000828340692 600806432 /nfs/dbraw/zinc/80/64/32/600806432.db2.gz KDKLPASCIVVXIP-SNVBAGLBSA-N 0 2 321.333 0.479 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000178912241 600817004 /nfs/dbraw/zinc/81/70/04/600817004.db2.gz QJMHTQHRMDYGAQ-CYBMUJFWSA-N 0 2 310.325 0.742 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000178912241 600817006 /nfs/dbraw/zinc/81/70/06/600817006.db2.gz QJMHTQHRMDYGAQ-CYBMUJFWSA-N 0 2 310.325 0.742 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)n1 ZINC000833327457 600900817 /nfs/dbraw/zinc/90/08/17/600900817.db2.gz LTJUGWBEBYYAFF-LBPRGKRZSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)n1 ZINC000833327456 600900821 /nfs/dbraw/zinc/90/08/21/600900821.db2.gz LTJUGWBEBYYAFF-GFCCVEGCSA-N 0 2 319.361 0.717 20 0 DCADLN CCC[N@H+](CC(=O)NC)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000737007672 600954938 /nfs/dbraw/zinc/95/49/38/600954938.db2.gz KYOPXDFTNQQNCH-UHFFFAOYSA-N 0 2 313.398 0.348 20 0 DCADLN CCC[N@@H+](CC(=O)NC)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000737007672 600954941 /nfs/dbraw/zinc/95/49/41/600954941.db2.gz KYOPXDFTNQQNCH-UHFFFAOYSA-N 0 2 313.398 0.348 20 0 DCADLN Cc1[nH]c(C(=O)C[NH+]2CCC(NC(N)=O)CC2)c(C)c1C(=O)[O-] ZINC000832844090 601154335 /nfs/dbraw/zinc/15/43/35/601154335.db2.gz MFIIRLYCSBAJBF-UHFFFAOYSA-N 0 2 322.365 0.645 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](CC(=O)NCc2ccc3c(c2)OCO3)C1 ZINC000833105336 601554553 /nfs/dbraw/zinc/55/45/53/601554553.db2.gz IHBWDSSXYGOCBX-OAHLLOKOSA-N 0 2 324.308 0.530 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](CC(=O)NCc2ccc3c(c2)OCO3)C1 ZINC000833105336 601554554 /nfs/dbraw/zinc/55/45/54/601554554.db2.gz IHBWDSSXYGOCBX-OAHLLOKOSA-N 0 2 324.308 0.530 20 0 DCADLN C[C@H](C(=O)[O-])[C@@H]([NH2+]Cc1nc(N)nc(N)n1)c1ccccc1 ZINC000826055371 601657894 /nfs/dbraw/zinc/65/78/94/601657894.db2.gz ZNYRNLQNNCTUEL-GZMMTYOYSA-N 0 2 302.338 0.588 20 0 DCADLN O=C([O-])c1ccc(C[NH+]2CCN(CC(=O)NC3CC3)CC2)s1 ZINC000833108588 601938881 /nfs/dbraw/zinc/93/88/81/601938881.db2.gz TXSTZWHWESDXOQ-UHFFFAOYSA-N 0 2 323.418 0.843 20 0 DCADLN COC(=O)CCCc1nc(C[N@@H+]2CC[C@@](C)(C(=O)[O-])C2)no1 ZINC000831155514 601954037 /nfs/dbraw/zinc/95/40/37/601954037.db2.gz MKPYRUCUICLEQW-CQSZACIVSA-N 0 2 311.338 0.862 20 0 DCADLN COC(=O)CCCc1nc(C[N@H+]2CC[C@@](C)(C(=O)[O-])C2)no1 ZINC000831155514 601954038 /nfs/dbraw/zinc/95/40/38/601954038.db2.gz MKPYRUCUICLEQW-CQSZACIVSA-N 0 2 311.338 0.862 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CCO[C@H](Cn3cncn3)C2)s1 ZINC000833313752 601954694 /nfs/dbraw/zinc/95/46/94/601954694.db2.gz KFPHTLBADCAUAK-JTQLQIEISA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CCO[C@H](Cn3cncn3)C2)s1 ZINC000833313752 601954697 /nfs/dbraw/zinc/95/46/97/601954697.db2.gz KFPHTLBADCAUAK-JTQLQIEISA-N 0 2 308.363 0.939 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)[C@H](C)CC(=O)[O-])nc[nH+]1 ZINC000831041894 602177254 /nfs/dbraw/zinc/17/72/54/602177254.db2.gz KBNCBXIPDWQFMA-MNOVXSKESA-N 0 2 321.381 0.714 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)[C@H](C)CC(=O)[O-])[nH+]cn1 ZINC000831041894 602177257 /nfs/dbraw/zinc/17/72/57/602177257.db2.gz KBNCBXIPDWQFMA-MNOVXSKESA-N 0 2 321.381 0.714 20 0 DCADLN O=C([O-])N1CC[C@H](C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)C1 ZINC000831862661 603038344 /nfs/dbraw/zinc/03/83/44/603038344.db2.gz BBVKBFWYTQWEAV-STQMWFEESA-N 0 2 311.382 0.310 20 0 DCADLN C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)CN(C)C(=O)[O-])CC1 ZINC000736725317 603230456 /nfs/dbraw/zinc/23/04/56/603230456.db2.gz QZWBEORWWCFIMC-LBPRGKRZSA-N 0 2 306.366 0.897 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000736584641 603249455 /nfs/dbraw/zinc/24/94/55/603249455.db2.gz FKDFVEGELWQAJK-NEPJUHHUSA-N 0 2 314.386 0.149 20 0 DCADLN COC1(C(=O)NC[C@@H](C)Cn2cc[nH+]c2)CCN(C(=O)[O-])CC1 ZINC000828856967 603496346 /nfs/dbraw/zinc/49/63/46/603496346.db2.gz XLGRHIKWFXWRCG-GFCCVEGCSA-N 0 2 324.381 0.794 20 0 DCADLN NC(=O)c1ccccc1NC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000831241156 603572110 /nfs/dbraw/zinc/57/21/10/603572110.db2.gz SUDBTFNGZMGSBE-JTQLQIEISA-N 0 2 320.349 0.456 20 0 DCADLN NC(=O)c1ccccc1NC(=O)C[N@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000831241156 603572113 /nfs/dbraw/zinc/57/21/13/603572113.db2.gz SUDBTFNGZMGSBE-JTQLQIEISA-N 0 2 320.349 0.456 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1[C@@H](C)OC(C)(C)N1C(=O)[O-] ZINC000825054604 603661607 /nfs/dbraw/zinc/66/16/07/603661607.db2.gz GAZZQGXFHLCMSV-MXWKQRLJSA-N 0 2 310.354 0.891 20 0 DCADLN C[C@@H](C(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1)c1cnn(C)c1 ZINC000823654928 603927146 /nfs/dbraw/zinc/92/71/46/603927146.db2.gz NQQGQXRIQBKNSJ-GFCCVEGCSA-N 0 2 323.397 0.278 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NCC(C)(C)NC(=O)[O-] ZINC000824906322 603991316 /nfs/dbraw/zinc/99/13/16/603991316.db2.gz CFTPTNPXGWEBAD-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)CCN1C(=O)[O-] ZINC000826093848 604112538 /nfs/dbraw/zinc/11/25/38/604112538.db2.gz MVNIGIQOUGRUIT-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCOC[C@@H]2C)CCN1C(=O)[O-] ZINC000826093848 604112541 /nfs/dbraw/zinc/11/25/41/604112541.db2.gz MVNIGIQOUGRUIT-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN O=C([O-])N1CCC(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC1 ZINC000831863888 604296724 /nfs/dbraw/zinc/29/67/24/604296724.db2.gz NOLLXNWZUOIRQN-ZDUSSCGKSA-N 0 2 311.382 0.310 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@H]2CN(C(=O)[O-])CCO2)C[C@H]1n1cc[nH+]c1 ZINC000825938852 604298284 /nfs/dbraw/zinc/29/82/84/604298284.db2.gz QQZIRHFSHPHHHT-JHJVBQTASA-N 0 2 322.365 0.671 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000825939791 604326319 /nfs/dbraw/zinc/32/63/19/604326319.db2.gz XQCZUTIIDRKSPK-FVCCEPFGSA-N 0 2 322.365 0.406 20 0 DCADLN COCCN(CC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1)C(=O)[O-] ZINC000828971479 604327085 /nfs/dbraw/zinc/32/70/85/604327085.db2.gz XNSGKUMQVKNJFY-STQMWFEESA-N 0 2 324.381 0.919 20 0 DCADLN C[N@@H+]1CCN(C(=O)C2CCSCC2)[C@H](CNC(=O)[O-])C1 ZINC000828495732 604397380 /nfs/dbraw/zinc/39/73/80/604397380.db2.gz RYTYKGMDEGVHMI-LLVKDONJSA-N 0 2 301.412 0.540 20 0 DCADLN C[N@H+]1CCN(C(=O)C2CCSCC2)[C@H](CNC(=O)[O-])C1 ZINC000828495732 604397383 /nfs/dbraw/zinc/39/73/83/604397383.db2.gz RYTYKGMDEGVHMI-LLVKDONJSA-N 0 2 301.412 0.540 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2Cc3ccccc32)[C@@H](CNC(=O)[O-])C1 ZINC000828496134 604401277 /nfs/dbraw/zinc/40/12/77/604401277.db2.gz UEURSMCBJGTGOR-JSGCOSHPSA-N 0 2 303.362 0.737 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2Cc3ccccc32)[C@@H](CNC(=O)[O-])C1 ZINC000828496134 604401279 /nfs/dbraw/zinc/40/12/79/604401279.db2.gz UEURSMCBJGTGOR-JSGCOSHPSA-N 0 2 303.362 0.737 20 0 DCADLN Cc1cc(C(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])c(C)n1C ZINC000829930506 604411341 /nfs/dbraw/zinc/41/13/41/604411341.db2.gz VQRSANRRIFMUIJ-GFCCVEGCSA-N 0 2 308.382 0.666 20 0 DCADLN Cc1cc(C(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])c(C)n1C ZINC000829930506 604411342 /nfs/dbraw/zinc/41/13/42/604411342.db2.gz VQRSANRRIFMUIJ-GFCCVEGCSA-N 0 2 308.382 0.666 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000833631465 604552325 /nfs/dbraw/zinc/55/23/25/604552325.db2.gz OWNLKCVVTDKIJH-NXEZZACHSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000833631465 604552328 /nfs/dbraw/zinc/55/23/28/604552328.db2.gz OWNLKCVVTDKIJH-NXEZZACHSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000761330050 604800122 /nfs/dbraw/zinc/80/01/22/604800122.db2.gz HTHVENDTBSVIDU-RKDXNWHRSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000761330050 604800127 /nfs/dbraw/zinc/80/01/27/604800127.db2.gz HTHVENDTBSVIDU-RKDXNWHRSA-N 0 2 301.368 0.426 20 0 DCADLN O=C([O-])N1CCO[C@H](CC(=O)N2CC(Oc3cc[nH+]cc3)C2)C1 ZINC000832059732 604822563 /nfs/dbraw/zinc/82/25/63/604822563.db2.gz BXOPWAIMKGFHFR-GFCCVEGCSA-N 0 2 321.333 0.440 20 0 DCADLN COCc1cccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000314127966 604895161 /nfs/dbraw/zinc/89/51/61/604895161.db2.gz MZCBBEAKJJTOFX-ZDUSSCGKSA-N 0 2 303.318 0.982 20 0 DCADLN COCc1cccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000314127966 604895162 /nfs/dbraw/zinc/89/51/62/604895162.db2.gz MZCBBEAKJJTOFX-ZDUSSCGKSA-N 0 2 303.318 0.982 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)ccn1 ZINC000833295986 604935867 /nfs/dbraw/zinc/93/58/67/604935867.db2.gz IOQWZTFWRPCACK-LBPRGKRZSA-N 0 2 305.334 0.327 20 0 DCADLN O=C([O-])N1CC(NC(=O)c2ccccc2C[NH+]2CCOCC2)C1 ZINC000831609910 604952391 /nfs/dbraw/zinc/95/23/91/604952391.db2.gz DQBRHJJEBUFKRT-UHFFFAOYSA-N 0 2 319.361 0.611 20 0 DCADLN CN(C(=O)C[N@@H+]1CC[C@@H](NC(N)=O)C1)c1ccccc1C(=O)[O-] ZINC000833684912 605019288 /nfs/dbraw/zinc/01/92/88/605019288.db2.gz YCYRTAJHIYWLOW-SNVBAGLBSA-N 0 2 320.349 0.090 20 0 DCADLN CN(C(=O)C[N@H+]1CC[C@@H](NC(N)=O)C1)c1ccccc1C(=O)[O-] ZINC000833684912 605019290 /nfs/dbraw/zinc/01/92/90/605019290.db2.gz YCYRTAJHIYWLOW-SNVBAGLBSA-N 0 2 320.349 0.090 20 0 DCADLN O=C([O-])NC1CC[NH+](CC(=O)N2CCc3ncccc32)CC1 ZINC000832382165 605296269 /nfs/dbraw/zinc/29/62/69/605296269.db2.gz SINMGOIAUZSBRK-UHFFFAOYSA-N 0 2 304.350 0.703 20 0 DCADLN O=C([O-])N1CC[NH+](CCN2C(=O)[C@H]3CCCCN3C2=O)CC1 ZINC000834112876 605344389 /nfs/dbraw/zinc/34/43/89/605344389.db2.gz KGCLJIVJRLAWDI-LLVKDONJSA-N 0 2 310.354 0.099 20 0 DCADLN C[C@H](NC(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1)c1cn[nH]c1 ZINC000833811644 605374414 /nfs/dbraw/zinc/37/44/14/605374414.db2.gz FMELKGLYHXRUDV-NSHDSACASA-N 0 2 324.385 0.408 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@H+](CCS(C)(=O)=O)C2CC2)O1 ZINC000833583752 605376137 /nfs/dbraw/zinc/37/61/37/605376137.db2.gz KVNBSKUEBPFQAL-NSHDSACASA-N 0 2 303.380 0.643 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@@H+](CCS(C)(=O)=O)C2CC2)O1 ZINC000833583752 605376142 /nfs/dbraw/zinc/37/61/42/605376142.db2.gz KVNBSKUEBPFQAL-NSHDSACASA-N 0 2 303.380 0.643 20 0 DCADLN O=C([O-])N1CC[C@@H]([NH+]2CCN(C(=O)c3cccnc3)CC2)C1 ZINC000834084274 605582293 /nfs/dbraw/zinc/58/22/93/605582293.db2.gz MHRUWDVALSNLCA-CYBMUJFWSA-N 0 2 304.350 0.592 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@@H](NC(=O)[O-])C(C)(C)C ZINC000826094326 605699965 /nfs/dbraw/zinc/69/99/65/605699965.db2.gz WOBJNLXZWYIEQE-GHMZBOCLSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)[C@@H](NC(=O)[O-])C(C)(C)C ZINC000826094326 605699968 /nfs/dbraw/zinc/69/99/68/605699968.db2.gz WOBJNLXZWYIEQE-GHMZBOCLSA-N 0 2 301.387 0.506 20 0 DCADLN Cc1cccnc1C[N@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000833911767 605805872 /nfs/dbraw/zinc/80/58/72/605805872.db2.gz YHYYKBNAIJFZBO-UHFFFAOYSA-N 0 2 306.366 0.644 20 0 DCADLN Cc1cccnc1C[N@@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000833911767 605805876 /nfs/dbraw/zinc/80/58/76/605805876.db2.gz YHYYKBNAIJFZBO-UHFFFAOYSA-N 0 2 306.366 0.644 20 0 DCADLN C[NH+]1CCN(C(=O)C(=O)Nc2cccc(F)c2C(=O)[O-])CC1 ZINC000833698052 605894215 /nfs/dbraw/zinc/89/42/15/605894215.db2.gz XEWCTHVWPIMCMP-UHFFFAOYSA-N 0 2 309.297 0.236 20 0 DCADLN C[C@@H]1C[C@@H](CNC(=O)[O-])C[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833827821 605901266 /nfs/dbraw/zinc/90/12/66/605901266.db2.gz BALMINMCJHLGQY-ZJUUUORDSA-N 0 2 305.338 0.501 20 0 DCADLN C[C@@H]1C[C@@H](CNC(=O)[O-])C[N@H+]1Cc1cc(=O)n2[nH]ccc2n1 ZINC000833827821 605901270 /nfs/dbraw/zinc/90/12/70/605901270.db2.gz BALMINMCJHLGQY-ZJUUUORDSA-N 0 2 305.338 0.501 20 0 DCADLN O=C([O-])N[C@H]1CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000834186660 605938575 /nfs/dbraw/zinc/93/85/75/605938575.db2.gz RTOHTOMWMXRJFW-JTQLQIEISA-N 0 2 317.305 0.141 20 0 DCADLN C[C@@H]1[C@@H](C)[N@H+](CC[C@H]2CCS(=O)(=O)C2)CCN1C(=O)[O-] ZINC000833831453 606039778 /nfs/dbraw/zinc/03/97/78/606039778.db2.gz ZCBQKQKXVJAROC-UTUOFQBUSA-N 0 2 304.412 0.884 20 0 DCADLN C[C@@H]1[C@@H](C)[N@@H+](CC[C@H]2CCS(=O)(=O)C2)CCN1C(=O)[O-] ZINC000833831453 606039783 /nfs/dbraw/zinc/03/97/83/606039783.db2.gz ZCBQKQKXVJAROC-UTUOFQBUSA-N 0 2 304.412 0.884 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)N[C@@H](CNC(=O)[O-])C2CC2)C1 ZINC000833956546 606076241 /nfs/dbraw/zinc/07/62/41/606076241.db2.gz ZSLXHHKHZHNKGA-RYUDHWBXSA-N 0 2 321.381 0.965 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCC(C)(C)NC(=O)[O-])C1 ZINC000833954840 606076757 /nfs/dbraw/zinc/07/67/57/606076757.db2.gz NSFJTAARCDKFJR-JTQLQIEISA-N 0 2 309.370 0.965 20 0 DCADLN Cc1nnc(CCNc2nnc(C)c(C)c2-c2nn[nH]n2)[nH]1 ZINC000822441432 606199045 /nfs/dbraw/zinc/19/90/45/606199045.db2.gz ZLYIVNJSDIYBIM-UHFFFAOYSA-N 0 2 300.330 0.355 20 0 DCADLN CC[C@H](C)CSc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820870117 606798943 /nfs/dbraw/zinc/79/89/43/606798943.db2.gz NBDWHXKMIMQUFA-ZETCQYMHSA-N 0 2 310.383 0.402 20 0 DCADLN CC[C@H]1CC[C@@H](C)N1c1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000825195844 608237319 /nfs/dbraw/zinc/23/73/19/608237319.db2.gz ZFBOTFSWGZVIEY-BDAKNGLRSA-N 0 2 319.369 0.031 20 0 DCADLN CCc1nn(Cc2ccnn2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC000825763093 608330017 /nfs/dbraw/zinc/33/00/17/608330017.db2.gz NMLRUZXCDBQTME-UHFFFAOYSA-N 0 2 314.353 0.330 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2C)no1 ZINC000826327360 609338599 /nfs/dbraw/zinc/33/85/99/609338599.db2.gz FCXWRMDDFKPFAW-UHFFFAOYSA-N 0 2 321.322 0.667 20 0 DCADLN Cn1cccc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027760452 660702169 /nfs/dbraw/zinc/70/21/69/660702169.db2.gz WWOVLMQOMWLKLC-JTQLQIEISA-N 0 2 304.354 0.243 20 0 DCADLN Cn1cccc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027760452 660702172 /nfs/dbraw/zinc/70/21/72/660702172.db2.gz WWOVLMQOMWLKLC-JTQLQIEISA-N 0 2 304.354 0.243 20 0 DCADLN CCc1ocnc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027800983 660749880 /nfs/dbraw/zinc/74/98/80/660749880.db2.gz KEYLLOFOMZBNKN-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1ccnc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001027854771 660843711 /nfs/dbraw/zinc/84/37/11/660843711.db2.gz UTYNLSPBCMXJAD-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN O=C(c1ccccn1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980617689 660969187 /nfs/dbraw/zinc/96/91/87/660969187.db2.gz QVNNZBWPHHZBMY-UHFFFAOYSA-N 0 2 302.338 0.253 20 0 DCADLN Cc1ncsc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010310822 661132318 /nfs/dbraw/zinc/13/23/18/661132318.db2.gz GHGOOXOPHGZAKB-MRVPVSSYSA-N 0 2 308.367 0.280 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NC[C@]1(OC)CCSC1 ZINC000921401779 665299724 /nfs/dbraw/zinc/29/97/24/665299724.db2.gz GQHXAODCGPMJKM-XLFHBGCDSA-N 0 2 316.470 0.461 20 0 DCADLN Cc1nc(C)c(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)[nH]1 ZINC000981978454 661264246 /nfs/dbraw/zinc/26/42/46/661264246.db2.gz YGFVYBUSKLPUOU-UHFFFAOYSA-N 0 2 319.369 0.198 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC000998270487 665427341 /nfs/dbraw/zinc/42/73/41/665427341.db2.gz HXRACYJLQYAYHQ-SSDOTTSWSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ncccn1 ZINC000998270487 665427343 /nfs/dbraw/zinc/42/73/43/665427343.db2.gz HXRACYJLQYAYHQ-SSDOTTSWSA-N 0 2 306.219 0.318 20 0 DCADLN C[C@]1(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)CCOC1 ZINC000998430146 665454158 /nfs/dbraw/zinc/45/41/58/665454158.db2.gz UJOHRNBBPWBBNR-KCJUWKMLSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@]1(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)CCOC1 ZINC000998430146 665454160 /nfs/dbraw/zinc/45/41/60/665454160.db2.gz UJOHRNBBPWBBNR-KCJUWKMLSA-N 0 2 312.263 0.640 20 0 DCADLN CC(C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001023084873 657485512 /nfs/dbraw/zinc/48/55/12/657485512.db2.gz CNMPVIBXRWKGKJ-BWZBUEFSSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)C(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001023084873 657485522 /nfs/dbraw/zinc/48/55/22/657485522.db2.gz CNMPVIBXRWKGKJ-BWZBUEFSSA-N 0 2 300.252 0.231 20 0 DCADLN C[C@H](NC(=O)c1ccccn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969199136 657556966 /nfs/dbraw/zinc/55/69/66/657556966.db2.gz MXHUECRHZLIHDK-VIFPVBQESA-N 0 2 302.338 0.156 20 0 DCADLN Cc1ncc(C[NH+]2CC([C@@H](C)NC(=O)c3nnc[nH]3)C2)s1 ZINC000970609349 657688444 /nfs/dbraw/zinc/68/84/44/657688444.db2.gz VSJNLZSESHXDIU-MRVPVSSYSA-N 0 2 306.395 0.820 20 0 DCADLN Cc1ncc(C[NH+]2CC([C@@H](C)NC(=O)c3ncn[nH]3)C2)s1 ZINC000970609349 657688451 /nfs/dbraw/zinc/68/84/51/657688451.db2.gz VSJNLZSESHXDIU-MRVPVSSYSA-N 0 2 306.395 0.820 20 0 DCADLN Cc1ncoc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969455844 657904914 /nfs/dbraw/zinc/90/49/14/657904914.db2.gz FIVKHYPVLLCXDA-SSDOTTSWSA-N 0 2 306.326 0.057 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)c1ncc[nH]1 ZINC000949765236 657979975 /nfs/dbraw/zinc/97/99/75/657979975.db2.gz MVEJXJJOCBDBHH-PRJMDXOYSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1NC(=O)c1ncc[nH]1 ZINC000949765236 657979979 /nfs/dbraw/zinc/97/99/79/657979979.db2.gz MVEJXJJOCBDBHH-PRJMDXOYSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H](NC(=O)c1ccsn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969933502 658268574 /nfs/dbraw/zinc/26/85/74/658268574.db2.gz VICNRIVYEOTSCO-SSDOTTSWSA-N 0 2 308.367 0.217 20 0 DCADLN CC(C)c1nnc(CN2CC([C@@H](C)NC(=O)c3cnn[nH]3)C2)[nH]1 ZINC000970023353 658370560 /nfs/dbraw/zinc/37/05/60/658370560.db2.gz GYUZXDNIJRGHTK-SECBINFHSA-N 0 2 318.385 0.297 20 0 DCADLN Cc1nc(CN2CC([C@@H](C)NC(=O)c3cnn[nH]3)C2)cs1 ZINC000970035350 658383937 /nfs/dbraw/zinc/38/39/37/658383937.db2.gz NJXIJLPIWXYIRV-MRVPVSSYSA-N 0 2 306.395 0.820 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccccn2)c1[O-] ZINC001038173390 658400506 /nfs/dbraw/zinc/40/05/06/658400506.db2.gz VPAWLUHXCKRERA-GFCCVEGCSA-N 0 2 301.350 0.823 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CC[N@H+]2Cc2ccccn2)c1[O-] ZINC001038173390 658400511 /nfs/dbraw/zinc/40/05/11/658400511.db2.gz VPAWLUHXCKRERA-GFCCVEGCSA-N 0 2 301.350 0.823 20 0 DCADLN CC1(C(=O)N2CC[C@@]3(C2)CN(Cc2n[nH]c(=O)[nH]2)CCO3)CC1 ZINC000972391540 658704928 /nfs/dbraw/zinc/70/49/28/658704928.db2.gz NZGGRGKXCMEZDK-HNNXBMFYSA-N 0 2 321.381 0.114 20 0 DCADLN CN(Cc1cn(C)nn1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575429 658824579 /nfs/dbraw/zinc/82/45/79/658824579.db2.gz FGOCPYGCRJMMDY-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(Cc1cccs1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006604644 659083962 /nfs/dbraw/zinc/08/39/62/659083962.db2.gz RHCUMNOECLTANC-SNVBAGLBSA-N 0 2 321.406 0.895 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@H]1CCCN(C(=O)C(=O)C(C)(C)C)C1 ZINC001027338704 659283195 /nfs/dbraw/zinc/28/31/95/659283195.db2.gz WJGQJJGZNLETEX-JTQLQIEISA-N 0 2 323.397 0.548 20 0 DCADLN COC[C@H](COC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)C ZINC000893455940 659345837 /nfs/dbraw/zinc/34/58/37/659345837.db2.gz KYBJLRGGOFDKSG-SNVBAGLBSA-N 0 2 308.338 0.533 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1cnco1 ZINC000976886091 659482498 /nfs/dbraw/zinc/48/24/98/659482498.db2.gz FHTDLTXVTOLLDO-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)c1cnco1 ZINC000976886091 659482500 /nfs/dbraw/zinc/48/25/00/659482500.db2.gz FHTDLTXVTOLLDO-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000975050005 659777665 /nfs/dbraw/zinc/77/76/65/659777665.db2.gz QIFSOJFXXDZFDE-FOGDFJRCSA-N 0 2 323.397 0.262 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(C[C@@H]3CCCS3(=O)=O)C2)[nH]1 ZINC000930496852 659785136 /nfs/dbraw/zinc/78/51/36/659785136.db2.gz GRZQKEIHNYDOSS-ZJUUUORDSA-N 0 2 300.384 0.267 20 0 DCADLN CC(C)(C)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000982127344 661295320 /nfs/dbraw/zinc/29/53/20/661295320.db2.gz GIISSFQRUYKXMG-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN CC(C)(C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000982127344 661295322 /nfs/dbraw/zinc/29/53/22/661295322.db2.gz GIISSFQRUYKXMG-UHFFFAOYSA-N 0 2 307.398 0.981 20 0 DCADLN CCn1cnc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001028263976 661367009 /nfs/dbraw/zinc/36/70/09/661367009.db2.gz AWUIGTUELKUZQM-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cnc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001028263976 661367013 /nfs/dbraw/zinc/36/70/13/661367013.db2.gz AWUIGTUELKUZQM-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CCCOC(C)C)c1 ZINC000736941745 665781275 /nfs/dbraw/zinc/78/12/75/665781275.db2.gz TZNAAPJMASZVMV-UHFFFAOYSA-N 0 2 321.337 0.630 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000982847658 661697885 /nfs/dbraw/zinc/69/78/85/661697885.db2.gz JZPUWHAAKXGGOA-VXNVDRBHSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000982847658 661697886 /nfs/dbraw/zinc/69/78/86/661697886.db2.gz JZPUWHAAKXGGOA-VXNVDRBHSA-N 0 2 322.262 0.888 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)NC[C@H]1CC[NH+]1CCn1cccn1 ZINC001038413298 661879348 /nfs/dbraw/zinc/87/93/48/661879348.db2.gz YPEDXIRNVBBWEM-HUUCEWRRSA-N 0 2 305.426 0.558 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C12CCC(CC1)C2 ZINC001038415516 661894055 /nfs/dbraw/zinc/89/40/55/661894055.db2.gz HBFITHGJXQHAKD-VTWZXRTESA-N 0 2 305.382 0.781 20 0 DCADLN O=C(C1CCCC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029186707 662033057 /nfs/dbraw/zinc/03/30/57/662033057.db2.gz WSTHFRFMEPKBCU-TXEJJXNPSA-N 0 2 305.382 0.876 20 0 DCADLN C[C@H](O)[C@@H](O)CNC(=O)c1cc(Br)c(F)cc1O ZINC000871136862 662511912 /nfs/dbraw/zinc/51/19/12/662511912.db2.gz CSNAZHXZPRBBHS-RRAGMBSWSA-N 0 2 322.130 0.765 20 0 DCADLN Cc1nonc1C[NH2+][C@@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])[C@H]1C ZINC000986157366 662562529 /nfs/dbraw/zinc/56/25/29/662562529.db2.gz IBGBFRWLRYHUAP-VHSXEESVSA-N 0 2 320.353 0.508 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([NH2+]Cc3ncccn3)[C@@H]2C)c1[O-] ZINC000986162134 662564008 /nfs/dbraw/zinc/56/40/08/662564008.db2.gz SJHVBKXVCWYBRT-QWRGUYRKSA-N 0 2 316.365 0.607 20 0 DCADLN C[C@@H](NC(=O)c1cn[nH]n1)C1CCN(C(=O)c2ccn[nH]2)CC1 ZINC000986787882 662618137 /nfs/dbraw/zinc/61/81/37/662618137.db2.gz QZUUWJNIEOISEZ-SECBINFHSA-N 0 2 317.353 0.199 20 0 DCADLN C[C@@H](NC(=O)c1cnn[nH]1)C1CCN(C(=O)c2cc[nH]n2)CC1 ZINC000986787882 662618138 /nfs/dbraw/zinc/61/81/38/662618138.db2.gz QZUUWJNIEOISEZ-SECBINFHSA-N 0 2 317.353 0.199 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@@H]2CCCN(C(=O)Cc3nnc[nH]3)C2)[nH]1 ZINC001000661336 665905786 /nfs/dbraw/zinc/90/57/86/665905786.db2.gz VROURLHHNIMPHZ-MWLCHTKSSA-N 0 2 318.385 0.116 20 0 DCADLN Cc1nnc([C@H](C)[NH2+][C@@H]2CCCN(C(=O)Cc3nnc[nH]3)C2)[nH]1 ZINC001000661334 665905847 /nfs/dbraw/zinc/90/58/47/665905847.db2.gz VROURLHHNIMPHZ-GXSJLCMTSA-N 0 2 318.385 0.116 20 0 DCADLN C[C@@H](c1cnccn1)[N@@H+]1CCCN(C(=O)Cc2nnc[nH]2)CC1 ZINC000989451476 662936290 /nfs/dbraw/zinc/93/62/90/662936290.db2.gz HTXREWFSAAIKBM-LBPRGKRZSA-N 0 2 315.381 0.433 20 0 DCADLN CCc1cc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)no1 ZINC000989511517 662955352 /nfs/dbraw/zinc/95/53/52/662955352.db2.gz XVFFRAKCMSXFBU-UHFFFAOYSA-N 0 2 320.353 0.409 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC001000727389 665917080 /nfs/dbraw/zinc/91/70/80/665917080.db2.gz YEPWELBNHUOIOU-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1cnccn1 ZINC001000727389 665917081 /nfs/dbraw/zinc/91/70/81/665917081.db2.gz YEPWELBNHUOIOU-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN COC1C2CC3CN(C(=O)NCCC4CC(=O)N(C)C4)C1C3C2 ZINC000924753188 663133272 /nfs/dbraw/zinc/13/32/72/663133272.db2.gz OSNXMSDKDISWSS-FVXHWKGMSA-N 0 2 321.421 0.920 20 0 DCADLN COC(=O)C1CC2(C1)CN(c1cc(CO)cc[nH+]1)C[C@@H]2C(=O)[O-] ZINC000900964992 663298125 /nfs/dbraw/zinc/29/81/25/663298125.db2.gz JINYCSHTEKMZQO-BWBHSNQOSA-N 0 2 320.345 0.664 20 0 DCADLN O=C([O-])CN(C(=O)[C@@H]1CCc2c[nH+]cn2C1)C1CCOCC1 ZINC000901573126 663353153 /nfs/dbraw/zinc/35/31/53/663353153.db2.gz NOEFAACBRGNSHH-LLVKDONJSA-N 0 2 307.350 0.538 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)C[C@@H]2CCCO2)nn1C ZINC000901678432 663362168 /nfs/dbraw/zinc/36/21/68/663362168.db2.gz GIWRBZSCYMROLY-QMMMGPOBSA-N 0 2 303.340 0.127 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000902319104 663404135 /nfs/dbraw/zinc/40/41/35/663404135.db2.gz NLDGVFJEYHGMFY-XHDPSFHLSA-N 0 2 307.350 0.395 20 0 DCADLN Cn1cc([C@@H]([NH2+]Cc2cn(CC(=O)[O-])nn2)C(C)(C)C)cn1 ZINC000902395242 663412130 /nfs/dbraw/zinc/41/21/30/663412130.db2.gz MMIHLPQZPUWBTQ-CYBMUJFWSA-N 0 2 306.370 0.973 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cnc(C)cn1)[C@@H]1CCOC1 ZINC000903656734 663473353 /nfs/dbraw/zinc/47/33/53/663473353.db2.gz RRMCHSJANLDOFU-MNOVXSKESA-N 0 2 301.368 0.578 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(c3cc(N4CCOCC4)nc[nH+]3)C[C@@H]21 ZINC000906150470 663602215 /nfs/dbraw/zinc/60/22/15/663602215.db2.gz JLXOISKFHRZPPZ-UHIISALHSA-N 0 2 304.350 0.470 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(c3cc(N4CCOCC4)[nH+]cn3)C[C@@H]21 ZINC000906150470 663602216 /nfs/dbraw/zinc/60/22/16/663602216.db2.gz JLXOISKFHRZPPZ-UHIISALHSA-N 0 2 304.350 0.470 20 0 DCADLN O=C(c1c(O)cccc1F)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907479385 663700884 /nfs/dbraw/zinc/70/08/84/663700884.db2.gz JZXRCLYLLLLBBY-MRVPVSSYSA-N 0 2 309.326 0.975 20 0 DCADLN CC(C)N(CC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000908747459 663767990 /nfs/dbraw/zinc/76/79/90/663767990.db2.gz MGRPVKHBLLWTII-UHFFFAOYSA-N 0 2 301.387 0.602 20 0 DCADLN CSC[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913784 663774123 /nfs/dbraw/zinc/77/41/23/663774123.db2.gz IXJZNDQFTUWIOP-JTQLQIEISA-N 0 2 319.427 0.213 20 0 DCADLN CSC[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000908913784 663774125 /nfs/dbraw/zinc/77/41/25/663774125.db2.gz IXJZNDQFTUWIOP-JTQLQIEISA-N 0 2 319.427 0.213 20 0 DCADLN CSC[C@H](NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)C(=O)[O-] ZINC000909081219 663780436 /nfs/dbraw/zinc/78/04/36/663780436.db2.gz CMSISBGUACZKAK-MNOVXSKESA-N 0 2 324.406 0.776 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000909083009 663780614 /nfs/dbraw/zinc/78/06/14/663780614.db2.gz SBILDIFJOSDYHQ-WDEREUQCSA-N 0 2 310.329 0.772 20 0 DCADLN CC(C)C[C@@H](CNC(=O)C(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000909287211 663791658 /nfs/dbraw/zinc/79/16/58/663791658.db2.gz SKNJUOHRMCSWFK-LBPRGKRZSA-N 0 2 300.399 0.960 20 0 DCADLN CO[C@@]1(C(=O)[O-])CCN(C(=O)Cc2cn3cccc(C)c3[nH+]2)C1 ZINC000910218065 663854469 /nfs/dbraw/zinc/85/44/69/663854469.db2.gz CAWUWEZCIRBANA-INIZCTEOSA-N 0 2 317.345 0.887 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000910221691 663855205 /nfs/dbraw/zinc/85/52/05/663855205.db2.gz LFQHWIZSRWLHEJ-OAHLLOKOSA-N 0 2 316.317 0.583 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)[C@@](C)(C(=O)[O-])C1 ZINC000910265373 663861555 /nfs/dbraw/zinc/86/15/55/663861555.db2.gz QEBNIWLTAFRGMC-JRPNMDOOSA-N 0 2 307.350 0.536 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCO[C@@](C)(C(=O)[O-])C3)[nH+]c12 ZINC000910322656 663873342 /nfs/dbraw/zinc/87/33/42/663873342.db2.gz JMIGRANIYWNLHF-MRXNPFEDSA-N 0 2 317.345 0.887 20 0 DCADLN C[C@]1(C(=O)[O-])CN(C(=O)Cc2cn3c([nH+]2)CCCC3)CCO1 ZINC000910327735 663874190 /nfs/dbraw/zinc/87/41/90/663874190.db2.gz QRFZLOCAEXISIZ-OAHLLOKOSA-N 0 2 307.350 0.464 20 0 DCADLN C[C@@]1(C(=O)[O-])CN(C(=O)Cc2cn3c([nH+]2)CCCC3)CCO1 ZINC000910327734 663874256 /nfs/dbraw/zinc/87/42/56/663874256.db2.gz QRFZLOCAEXISIZ-HNNXBMFYSA-N 0 2 307.350 0.464 20 0 DCADLN O=C([O-])c1ccc(N2CCCN(C(=O)C3(O)CCC3)CC2)[nH+]c1 ZINC000911306095 664024490 /nfs/dbraw/zinc/02/44/90/664024490.db2.gz SQYRZYSQKJKLNG-UHFFFAOYSA-N 0 2 319.361 0.734 20 0 DCADLN O=C([O-])C12CC(C(=O)N3CCC[C@H]([NH+]4CCOCC4)C3)(C1)C2 ZINC000911535470 664070868 /nfs/dbraw/zinc/07/08/68/664070868.db2.gz QTQOWTZOUUZGOL-JQRITLKVSA-N 0 2 308.378 0.565 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)Cn2ccc(C(=O)[O-])n2)C1 ZINC000911612974 664089295 /nfs/dbraw/zinc/08/92/95/664089295.db2.gz QQPXHWDKDUZQPV-JTQLQIEISA-N 0 2 303.322 0.331 20 0 DCADLN Cn1cc(CN(CCn2cc[nH+]c2)C(=O)C(C)(C)C(=O)[O-])cn1 ZINC000911743846 664105046 /nfs/dbraw/zinc/10/50/46/664105046.db2.gz WLMWWVBOMLLGDO-UHFFFAOYSA-N 0 2 319.365 0.756 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2c3ccccc3C[C@H]2CO)S1 ZINC000912315583 664161794 /nfs/dbraw/zinc/16/17/94/664161794.db2.gz BZGZMXJOODRSSW-GXSJLCMTSA-N 0 2 305.359 0.493 20 0 DCADLN COC(OC)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000912355272 664163720 /nfs/dbraw/zinc/16/37/20/664163720.db2.gz XSFGYZKKXCOMHB-SSDOTTSWSA-N 0 2 316.251 0.177 20 0 DCADLN COC(OC)C(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000912355272 664163721 /nfs/dbraw/zinc/16/37/21/664163721.db2.gz XSFGYZKKXCOMHB-SSDOTTSWSA-N 0 2 316.251 0.177 20 0 DCADLN Cc1c(F)cccc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030350396 664518396 /nfs/dbraw/zinc/51/83/96/664518396.db2.gz UUPMYMZYUBCUFT-UHFFFAOYSA-N 0 2 305.313 0.572 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc2occc2c1 ZINC001030352845 664519533 /nfs/dbraw/zinc/51/95/33/664519533.db2.gz FPONXIVLKXHFMF-UHFFFAOYSA-N 0 2 313.317 0.871 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2c(o1)CCCC2 ZINC001030424372 664539779 /nfs/dbraw/zinc/53/97/79/664539779.db2.gz ODVVWODDCLVEME-UHFFFAOYSA-N 0 2 317.349 0.596 20 0 DCADLN Cc1ccc(OCC[NH+]2CC(NC(=O)c3cnn[n-]3)C2)cc1 ZINC001030599561 664599170 /nfs/dbraw/zinc/59/91/70/664599170.db2.gz GXXWCOSSMPHSDA-UHFFFAOYSA-N 0 2 301.350 0.606 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1nccc2ccccc21 ZINC001030863970 664690182 /nfs/dbraw/zinc/69/01/82/664690182.db2.gz NHBMQCZWDXQLQJ-UHFFFAOYSA-N 0 2 324.344 0.673 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+]1CC[C@@]2(NC(=O)C[NH+]3CCCC3)CCC[C@H]12 ZINC000992483958 664725595 /nfs/dbraw/zinc/72/55/95/664725595.db2.gz GVPCAZFJZYMOAT-ZQIUZPCESA-N 0 2 322.453 0.330 20 0 DCADLN C[C@H](C(N)=O)[N@@H+]1CC[C@@]2(NC(=O)CN3CCCC3)CCC[C@@H]12 ZINC000992484008 664725677 /nfs/dbraw/zinc/72/56/77/664725677.db2.gz GYBSHGOTCFHSLU-IOASZLSFSA-N 0 2 308.426 0.069 20 0 DCADLN O=C(Cc1ccc[nH]1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000992639951 664754158 /nfs/dbraw/zinc/75/41/58/664754158.db2.gz XRNNZTHHLHQCEC-SNVBAGLBSA-N 0 2 307.247 0.785 20 0 DCADLN O=C(Cc1ccc[nH]1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000992639951 664754159 /nfs/dbraw/zinc/75/41/59/664754159.db2.gz XRNNZTHHLHQCEC-SNVBAGLBSA-N 0 2 307.247 0.785 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cnccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992982305 664788556 /nfs/dbraw/zinc/78/85/56/664788556.db2.gz MYHKESMOGAZSGV-NXEZZACHSA-N 0 2 317.353 0.083 20 0 DCADLN CCO[C@@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000730533583 664789156 /nfs/dbraw/zinc/78/91/56/664789156.db2.gz NFBAMJXVHQTCCA-SNVBAGLBSA-N 0 2 305.338 0.099 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cnn(C)c2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993010112 664791511 /nfs/dbraw/zinc/79/15/11/664791511.db2.gz DWDJABFXDDXYFX-GXSJLCMTSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cncs2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993092142 664806754 /nfs/dbraw/zinc/80/67/54/664806754.db2.gz PMPJNSPFWGCGPG-DTWKUNHWSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2ccon2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993148367 664835736 /nfs/dbraw/zinc/83/57/36/664835736.db2.gz GQQPRXZMVDPSAX-ONGXEEELSA-N 0 2 320.353 0.210 20 0 DCADLN C[C@H]1[C@@H](NC(=O)Cc2ccon2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993148367 664835739 /nfs/dbraw/zinc/83/57/39/664835739.db2.gz GQQPRXZMVDPSAX-ONGXEEELSA-N 0 2 320.353 0.210 20 0 DCADLN CN1CC[NH+](Cc2cccc(NC(=O)c3cc(=O)[nH][n-]3)c2)CC1 ZINC000731869678 664887108 /nfs/dbraw/zinc/88/71/08/664887108.db2.gz AWQBSXDUVKWPIF-UHFFFAOYSA-N 0 2 315.377 0.248 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(C2CC2)on1 ZINC001038576754 664960256 /nfs/dbraw/zinc/96/02/56/664960256.db2.gz XJUFPHMECJWFHY-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1(c2ccccc2)CC1 ZINC001031354105 665107842 /nfs/dbraw/zinc/10/78/42/665107842.db2.gz SUIAQUVYZIMPTO-UHFFFAOYSA-N 0 2 313.361 0.543 20 0 DCADLN CC(C)(C)OC(=O)[C@@]1(NS(=O)(=O)CC2(F)CC2)CCOC1 ZINC000917357386 665129124 /nfs/dbraw/zinc/12/91/24/665129124.db2.gz RMPKZKWAJNAFKC-CYBMUJFWSA-N 0 2 323.386 0.909 20 0 DCADLN CCNC(=O)c1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000920953643 665285206 /nfs/dbraw/zinc/28/52/06/665285206.db2.gz MDUJPFOCENTSSJ-SNVBAGLBSA-N 0 2 320.374 0.931 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000938469637 665372708 /nfs/dbraw/zinc/37/27/08/665372708.db2.gz OKGYGFKBKNXVNZ-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000938469637 665372710 /nfs/dbraw/zinc/37/27/10/665372710.db2.gz OKGYGFKBKNXVNZ-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN CCc1cccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001031735967 665389577 /nfs/dbraw/zinc/38/95/77/665389577.db2.gz BNMOXLDAHCISSF-UHFFFAOYSA-N 0 2 316.365 0.330 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)C[C@@H]2C)c1[O-] ZINC000941964153 666087968 /nfs/dbraw/zinc/08/79/68/666087968.db2.gz NYYMDGKSGLYTSW-KWQFWETISA-N 0 2 320.353 0.447 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)C[C@@H]2C)c1[O-] ZINC000941964153 666087970 /nfs/dbraw/zinc/08/79/70/666087970.db2.gz NYYMDGKSGLYTSW-KWQFWETISA-N 0 2 320.353 0.447 20 0 DCADLN O=C(Cc1cnoc1)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000942238761 666132998 /nfs/dbraw/zinc/13/29/98/666132998.db2.gz RMRYKQLRCGEYEB-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000942238761 666133003 /nfs/dbraw/zinc/13/30/03/666133003.db2.gz RMRYKQLRCGEYEB-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC000942258467 666140049 /nfs/dbraw/zinc/14/00/49/666140049.db2.gz OYRDXPYMFUSZSX-IYSWYEEDSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC000942258467 666140051 /nfs/dbraw/zinc/14/00/51/666140051.db2.gz OYRDXPYMFUSZSX-IYSWYEEDSA-N 0 2 310.207 0.301 20 0 DCADLN Cc1nc(C)c(C[N@@H+]2CC[C@@H](NC(=O)c3ncn[nH]3)C2)s1 ZINC001015871569 666146498 /nfs/dbraw/zinc/14/64/98/666146498.db2.gz OYWUBTHHNSNEHE-SNVBAGLBSA-N 0 2 306.395 0.882 20 0 DCADLN Cc1nc(C)c(C[N@H+]2CC[C@@H](NC(=O)c3ncn[nH]3)C2)s1 ZINC001015871569 666146499 /nfs/dbraw/zinc/14/64/99/666146499.db2.gz OYWUBTHHNSNEHE-SNVBAGLBSA-N 0 2 306.395 0.882 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3C[C@@H]4C[C@]4(O)C3)=N2)cc1 ZINC000921895509 666159489 /nfs/dbraw/zinc/15/94/89/666159489.db2.gz HXFQEMINKJQXQW-QFYYESIMSA-N 0 2 315.329 0.793 20 0 DCADLN Cc1n[nH]c(C(=O)NC2CC[NH+](Cc3cnns3)CC2)c1[O-] ZINC001002615226 666198570 /nfs/dbraw/zinc/19/85/70/666198570.db2.gz KJJWXAYCXXCUHQ-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN C[C@@H]1C[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCO1 ZINC001003850400 666383594 /nfs/dbraw/zinc/38/35/94/666383594.db2.gz FSHKYMBGKZWNAG-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H]2CC[N@@H+]([C@H](C)C(=O)N(C)C)C2)c1[O-] ZINC001033014462 666427379 /nfs/dbraw/zinc/42/73/79/666427379.db2.gz LCBZPCPYVIWSPF-GHMZBOCLSA-N 0 2 323.397 0.047 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H]2CC[N@H+]([C@H](C)C(=O)N(C)C)C2)c1[O-] ZINC001033014462 666427380 /nfs/dbraw/zinc/42/73/80/666427380.db2.gz LCBZPCPYVIWSPF-GHMZBOCLSA-N 0 2 323.397 0.047 20 0 DCADLN CN(C(=O)[C@H]1C[C@@H]1C(F)F)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033047280 666463385 /nfs/dbraw/zinc/46/33/85/666463385.db2.gz GVKGPYUIHDDYNS-CIUDSAMLSA-N 0 2 315.324 0.444 20 0 DCADLN CN(C(=O)[C@H]1C[C@@H]1C(F)F)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033047280 666463386 /nfs/dbraw/zinc/46/33/86/666463386.db2.gz GVKGPYUIHDDYNS-CIUDSAMLSA-N 0 2 315.324 0.444 20 0 DCADLN O=C(c1cccc(F)c1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032259998 666610312 /nfs/dbraw/zinc/61/03/12/666610312.db2.gz BPMHKZNPXOFPKV-RYUDHWBXSA-N 0 2 317.324 0.748 20 0 DCADLN CCO[C@@H]1C[C@@H]1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005148646 666709642 /nfs/dbraw/zinc/70/96/42/666709642.db2.gz IPYUUGXPOFYCHZ-NWDGAFQWSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)N(C)[C@@H]2CC[N@@H+](Cc3cnnn3C)C2)C1 ZINC001033421575 666711102 /nfs/dbraw/zinc/71/11/02/666711102.db2.gz ZWPJMEKDAUSXBS-UONOGXRCSA-N 0 2 320.441 0.190 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033513950 666735416 /nfs/dbraw/zinc/73/54/16/666735416.db2.gz WUPYVGVITCOTMT-JTQLQIEISA-N 0 2 319.369 0.197 20 0 DCADLN CN(C(=O)[C@]1(C)CCCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033544664 666753531 /nfs/dbraw/zinc/75/35/31/666753531.db2.gz LMKLVOIDLDFGMD-XHDPSFHLSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)[C@]1(C)CCCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033544664 666753534 /nfs/dbraw/zinc/75/35/34/666753534.db2.gz LMKLVOIDLDFGMD-XHDPSFHLSA-N 0 2 323.397 0.360 20 0 DCADLN CC(C)C[C@H]1COCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000733772481 666817622 /nfs/dbraw/zinc/81/76/22/666817622.db2.gz PWVFTQGHMCFLNQ-NSHDSACASA-N 0 2 319.365 0.345 20 0 DCADLN CCN(C(=O)c1ccon1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033758985 666820504 /nfs/dbraw/zinc/82/05/04/666820504.db2.gz LCRKGDXLMVQMTD-SECBINFHSA-N 0 2 306.326 0.235 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1[O-])[C@@H]1CC[N@@H+]([C@H](C)C(=O)NC)C1 ZINC001033804555 666835085 /nfs/dbraw/zinc/83/50/85/666835085.db2.gz YVNBOTDXNKFPPK-GHMZBOCLSA-N 0 2 323.397 0.095 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1[O-])[C@@H]1CC[N@H+]([C@H](C)C(=O)NC)C1 ZINC001033804555 666835086 /nfs/dbraw/zinc/83/50/86/666835086.db2.gz YVNBOTDXNKFPPK-GHMZBOCLSA-N 0 2 323.397 0.095 20 0 DCADLN O=C(c1ccc(F)nc1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032314464 666855063 /nfs/dbraw/zinc/85/50/63/666855063.db2.gz YGQBYNVJBYYTGI-UWVGGRQHSA-N 0 2 318.312 0.143 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001034118390 666926869 /nfs/dbraw/zinc/92/68/69/666926869.db2.gz VKIYBYGLVXJYSC-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001034118390 666926872 /nfs/dbraw/zinc/92/68/72/666926872.db2.gz VKIYBYGLVXJYSC-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)c1 ZINC001032330407 666927943 /nfs/dbraw/zinc/92/79/43/666927943.db2.gz HUVSWDWGWOTQSA-RYUDHWBXSA-N 0 2 314.349 0.313 20 0 DCADLN O=C(C[C@H]1CCOC1)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034146119 666937603 /nfs/dbraw/zinc/93/76/03/666937603.db2.gz UGFHYJZXWNBVPS-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(C[C@H]1CCOC1)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034146119 666937607 /nfs/dbraw/zinc/93/76/07/666937607.db2.gz UGFHYJZXWNBVPS-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2ncccn2)C1)c1cnn[n-]1 ZINC001034286735 666977324 /nfs/dbraw/zinc/97/73/24/666977324.db2.gz VSAJDNSTYLPUSO-LLVKDONJSA-N 0 2 301.354 0.379 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2ncccn2)C1)c1cnn[n-]1 ZINC001034286735 666977327 /nfs/dbraw/zinc/97/73/27/666977327.db2.gz VSAJDNSTYLPUSO-LLVKDONJSA-N 0 2 301.354 0.379 20 0 DCADLN CCOC(=O)C(C)(C)ONC(=O)[C@@H]1CNC(=O)c2ccccc21 ZINC000862153482 667022711 /nfs/dbraw/zinc/02/27/11/667022711.db2.gz WTUMHZJVKFBCMS-GFCCVEGCSA-N 0 2 320.345 0.903 20 0 DCADLN CC[C@H](C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C)c1[O-])OC ZINC001032357699 667031056 /nfs/dbraw/zinc/03/10/56/667031056.db2.gz FUJITHLJHAFEDY-SDDRHHMPSA-N 0 2 308.382 0.747 20 0 DCADLN CC[C@H](C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C)c1[O-])OC ZINC001032357699 667031060 /nfs/dbraw/zinc/03/10/60/667031060.db2.gz FUJITHLJHAFEDY-SDDRHHMPSA-N 0 2 308.382 0.747 20 0 DCADLN O=C(Cc1ccco1)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034460233 667037109 /nfs/dbraw/zinc/03/71/09/667037109.db2.gz XNVKUCFONQSLNA-NSHDSACASA-N 0 2 319.365 0.817 20 0 DCADLN O=C(Cc1ccco1)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034460233 667037111 /nfs/dbraw/zinc/03/71/11/667037111.db2.gz XNVKUCFONQSLNA-NSHDSACASA-N 0 2 319.365 0.817 20 0 DCADLN O=C(NN1CCS(=O)(=O)CC1)c1cc(F)cc(Cl)c1O ZINC000862734227 667077694 /nfs/dbraw/zinc/07/76/94/667077694.db2.gz VKSBWUJYIQLVDP-UHFFFAOYSA-N 0 2 322.745 0.560 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@@H]1CC=CCC1 ZINC000862948867 667100142 /nfs/dbraw/zinc/10/01/42/667100142.db2.gz RWLLPZSCKFYIAM-LLVKDONJSA-N 0 2 301.350 0.934 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001005611607 667106247 /nfs/dbraw/zinc/10/62/47/667106247.db2.gz MVWFRPJBVCODDR-GHMZBOCLSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1sccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032395434 667164019 /nfs/dbraw/zinc/16/40/19/667164019.db2.gz DJZXHQFIYPZOTF-UWVGGRQHSA-N 0 2 319.390 0.979 20 0 DCADLN COC(=O)CCCONC(=O)[C@H]1CNC(=O)c2ccccc21 ZINC000863917565 667193267 /nfs/dbraw/zinc/19/32/67/667193267.db2.gz MWVAZZIMWLCQSM-LBPRGKRZSA-N 0 2 306.318 0.515 20 0 DCADLN Cn1ncnc1CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001032416459 667217029 /nfs/dbraw/zinc/21/70/29/667217029.db2.gz GHWAMHZXXNWQGP-OYNCUSHFSA-N 0 2 321.278 0.501 20 0 DCADLN Cn1ncnc1CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)C(F)(F)F ZINC001032416459 667217033 /nfs/dbraw/zinc/21/70/33/667217033.db2.gz GHWAMHZXXNWQGP-OYNCUSHFSA-N 0 2 321.278 0.501 20 0 DCADLN O=C(CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F)NC1CC1 ZINC001032416911 667217237 /nfs/dbraw/zinc/21/72/37/667217237.db2.gz PKWHRAMPDDOPPL-QXEWZRGKSA-N 0 2 323.290 0.451 20 0 DCADLN O=C(CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)C(F)(F)F)NC1CC1 ZINC001032416911 667217240 /nfs/dbraw/zinc/21/72/40/667217240.db2.gz PKWHRAMPDDOPPL-QXEWZRGKSA-N 0 2 323.290 0.451 20 0 DCADLN Cc1nnc(C[NH2+]C2CCN(C(=O)Cn3cc[nH+]c3C)CC2)o1 ZINC000997310898 667245244 /nfs/dbraw/zinc/24/52/44/667245244.db2.gz WQAUGJVKOSYQED-UHFFFAOYSA-N 0 2 318.381 0.664 20 0 DCADLN Cc1nccn1CC(=O)N1CCC([NH2+]Cc2csnn2)CC1 ZINC000997309443 667245328 /nfs/dbraw/zinc/24/53/28/667245328.db2.gz KXFWBKCFPOBWNW-UHFFFAOYSA-N 0 2 320.422 0.824 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCC2CCCC2)c1=O ZINC000736714940 667254050 /nfs/dbraw/zinc/25/40/50/667254050.db2.gz XCUQVNMFJDUPIV-UHFFFAOYSA-N 0 2 304.354 0.790 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)[N-]CC[N@H+](C)C1CC1 ZINC000866595576 667383141 /nfs/dbraw/zinc/38/31/41/667383141.db2.gz HPOBFFBZYZSNSY-UHFFFAOYSA-N 0 2 302.352 0.439 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)[N-]CC[N@@H+](C)C1CC1 ZINC000866595576 667383143 /nfs/dbraw/zinc/38/31/43/667383143.db2.gz HPOBFFBZYZSNSY-UHFFFAOYSA-N 0 2 302.352 0.439 20 0 DCADLN CC(C)(F)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035634678 667390380 /nfs/dbraw/zinc/39/03/80/667390380.db2.gz WYMPUWPHNVKFDH-UHFFFAOYSA-N 0 2 311.361 0.683 20 0 DCADLN O=S(=O)(N=S1(=O)CCCC1)NCC(O)(C1CC1)C1CC1 ZINC000867520523 667410004 /nfs/dbraw/zinc/41/00/04/667410004.db2.gz LXSCSIWAWLHDCX-UHFFFAOYSA-N 0 2 322.452 0.634 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006006907 667487418 /nfs/dbraw/zinc/48/74/18/667487418.db2.gz CAFVKZVSSNBVEE-MFKMUULPSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn(CC3CC3)c2)C1=O ZINC000867919291 667517717 /nfs/dbraw/zinc/51/77/17/667517717.db2.gz JNYYSIBUFPLAFV-CQSZACIVSA-N 0 2 305.338 0.658 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(O)(C3CCC3)CC2)S1 ZINC000884988556 667633093 /nfs/dbraw/zinc/63/30/93/667633093.db2.gz SDGQXAIHXGYBPD-SNVBAGLBSA-N 0 2 311.407 0.696 20 0 DCADLN Cc1nc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)ccc1C(=O)[O-] ZINC000738338498 667667615 /nfs/dbraw/zinc/66/76/15/667667615.db2.gz FKLNINNGBSPLGT-PWSUYJOCSA-N 0 2 321.377 0.927 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006386801 667684435 /nfs/dbraw/zinc/68/44/35/667684435.db2.gz BPGDOZFHODCJTH-XNCJUZBTSA-N 0 2 323.250 0.282 20 0 DCADLN COCCOc1cc(C(=O)NCCc2n[nH]c(=S)o2)ccn1 ZINC000788148418 667750794 /nfs/dbraw/zinc/75/07/94/667750794.db2.gz QIEVOLKXXRAOHX-UHFFFAOYSA-N 0 2 324.362 0.751 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cccc3c2CCOC3)S1 ZINC000871022530 667785233 /nfs/dbraw/zinc/78/52/33/667785233.db2.gz ALYDYQZNYAYCHF-LBPRGKRZSA-N 0 2 319.386 0.932 20 0 DCADLN COc1ccc(OCCNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000742492756 667792329 /nfs/dbraw/zinc/79/23/29/667792329.db2.gz SEOZHBJRHROVNY-NSHDSACASA-N 0 2 323.374 0.747 20 0 DCADLN COC(=O)Cc1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000742509567 667792670 /nfs/dbraw/zinc/79/26/70/667792670.db2.gz AIBJACLCFLJPSZ-SNVBAGLBSA-N 0 2 321.358 0.897 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H](c3cc[nH]n3)C2)S1 ZINC000742584946 667794456 /nfs/dbraw/zinc/79/44/56/667794456.db2.gz AXPGKLDCFRJNBB-WCBMZHEXSA-N 0 2 307.379 0.672 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2cccc(C(N)=O)c2)S1 ZINC000742619234 667795177 /nfs/dbraw/zinc/79/51/77/667795177.db2.gz QGZQYHKLCCXFPM-SNVBAGLBSA-N 0 2 320.374 0.001 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCC(=O)Nc2cccnc2)S1 ZINC000742610715 667795221 /nfs/dbraw/zinc/79/52/21/667795221.db2.gz FXILIXFAVFAKLN-VIFPVBQESA-N 0 2 321.362 0.083 20 0 DCADLN COC(=O)CCN(C(=O)C[C@@H]1SC(=N)NC1=O)C(C)C ZINC000742671249 667796866 /nfs/dbraw/zinc/79/68/66/667796866.db2.gz HCDWJMGTSXHPOT-QMMMGPOBSA-N 0 2 301.368 0.343 20 0 DCADLN CC(=O)NCc1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000742673287 667796890 /nfs/dbraw/zinc/79/68/90/667796890.db2.gz XGGJYTRIIFBMTR-NSHDSACASA-N 0 2 320.374 0.818 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOC[C@@H]2c2ccco2)S1 ZINC000742684989 667797252 /nfs/dbraw/zinc/79/72/52/667797252.db2.gz LEIZTYAADVWTIU-SCZZXKLOSA-N 0 2 309.347 0.736 20 0 DCADLN CNC(=O)c1cc(C)ccc1NC(=O)CC1SC(=N)NC1=O ZINC000742699569 667798347 /nfs/dbraw/zinc/79/83/47/667798347.db2.gz BGLVYLUTCGLMDP-SNVBAGLBSA-N 0 2 320.374 0.850 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC(C1CC1)C1CC1 ZINC000742820779 667799931 /nfs/dbraw/zinc/79/99/31/667799931.db2.gz BTGJQYPUGLWJOQ-UHFFFAOYSA-N 0 2 301.350 0.624 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CCCNC(=O)C1 ZINC000743328323 667806707 /nfs/dbraw/zinc/80/67/07/667806707.db2.gz MAMHMUAFSXEIAC-UHFFFAOYSA-N 0 2 300.318 0.540 20 0 DCADLN COC(=O)C1=NO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000871385276 667812836 /nfs/dbraw/zinc/81/28/36/667812836.db2.gz YUFDVSBCGVZWTM-GMSGAONNSA-N 0 2 312.219 0.807 20 0 DCADLN COC(=O)C1=NO[C@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC000871385276 667812840 /nfs/dbraw/zinc/81/28/40/667812840.db2.gz YUFDVSBCGVZWTM-GMSGAONNSA-N 0 2 312.219 0.807 20 0 DCADLN O=C(N[C@H]1C[C@H](CO)C1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000886883338 667814326 /nfs/dbraw/zinc/81/43/26/667814326.db2.gz ZTZRVHTUTHNIJA-ZKCHVHJHSA-N 0 2 320.267 0.959 20 0 DCADLN CNC(=O)c1ccc(C)c(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000745427066 667847597 /nfs/dbraw/zinc/84/75/97/667847597.db2.gz BYOBVKGJQYACJU-SNVBAGLBSA-N 0 2 320.374 0.850 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2Cc3ccccc3O2)S1 ZINC000745435074 667847674 /nfs/dbraw/zinc/84/76/74/667847674.db2.gz KOVCDEVFKLQKPQ-GXSJLCMTSA-N 0 2 305.359 0.663 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2Cc3ccccc3O2)S1 ZINC000745435077 667847802 /nfs/dbraw/zinc/84/78/02/667847802.db2.gz KOVCDEVFKLQKPQ-KOLCDFICSA-N 0 2 305.359 0.663 20 0 DCADLN COC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccco1 ZINC000746546394 667882075 /nfs/dbraw/zinc/88/20/75/667882075.db2.gz CZVJQXRQGSTWEK-JTQLQIEISA-N 0 2 317.305 0.168 20 0 DCADLN COC[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1ccc(C)o1 ZINC000746641363 667884138 /nfs/dbraw/zinc/88/41/38/667884138.db2.gz QFZROMWNHVMENP-WPRPVWTQSA-N 0 2 311.363 0.948 20 0 DCADLN O=C(Cn1c(=O)c2ccccc2[nH]c1=S)NCc1nc[nH]n1 ZINC000746685554 667885606 /nfs/dbraw/zinc/88/56/06/667885606.db2.gz FLYDWWXAJOGGPR-UHFFFAOYSA-N 0 2 316.346 0.120 20 0 DCADLN O=C([O-])C1CC(Nc2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000873074159 667969644 /nfs/dbraw/zinc/96/96/44/667969644.db2.gz JPFHVKYEFWFCLR-VOMCLLRMSA-N 0 2 306.366 0.960 20 0 DCADLN O=C([O-])C1CC(Nc2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000873074159 667969647 /nfs/dbraw/zinc/96/96/47/667969647.db2.gz JPFHVKYEFWFCLR-VOMCLLRMSA-N 0 2 306.366 0.960 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)N[C@H](CO)CC(F)(F)F ZINC000867018176 668139259 /nfs/dbraw/zinc/13/92/59/668139259.db2.gz VVCOVHLQOLZPFC-QVHKOIDPSA-N 0 2 312.335 0.252 20 0 DCADLN CCCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000124883597 668158982 /nfs/dbraw/zinc/15/89/82/668158982.db2.gz VGKKQZKGBFEDRW-XQQFMLRXSA-N 0 2 320.455 0.976 20 0 DCADLN CCCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000124883597 668158985 /nfs/dbraw/zinc/15/89/85/668158985.db2.gz VGKKQZKGBFEDRW-XQQFMLRXSA-N 0 2 320.455 0.976 20 0 DCADLN O=C([O-])[C@H]1C[C@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)C1 ZINC000874425788 668184667 /nfs/dbraw/zinc/18/46/67/668184667.db2.gz BVZHZMFJYWPHSQ-AVGNSLFASA-N 0 2 311.382 0.356 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCc1nnnn1C1CC1 ZINC000759130505 668207995 /nfs/dbraw/zinc/20/79/95/668207995.db2.gz QEAXTFSOWZHIAZ-UHFFFAOYSA-N 0 2 321.259 0.508 20 0 DCADLN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1cc(F)c(O)c(F)c1 ZINC000759544788 668225202 /nfs/dbraw/zinc/22/52/02/668225202.db2.gz DSTCRZYPWHEDJN-ZCFIWIBFSA-N 0 2 317.244 0.505 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@H]1CC[S@@](=O)C1 ZINC000760381777 668270286 /nfs/dbraw/zinc/27/02/86/668270286.db2.gz CMUFPBOMMSGRDI-INMOSUBGSA-N 0 2 300.302 0.081 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@@H]2Cc3cccc(O)c3C2)S1 ZINC000760625438 668281532 /nfs/dbraw/zinc/28/15/32/668281532.db2.gz YVDNLGHGDGMQHF-LDYMZIIASA-N 0 2 305.359 0.532 20 0 DCADLN O=c1ncnc2n(Cc3nc(CC4CCOCC4)no3)[nH]cc1-2 ZINC000761989457 668343105 /nfs/dbraw/zinc/34/31/05/668343105.db2.gz JCNBJJLKKYVJGE-UHFFFAOYSA-N 0 2 316.321 0.472 20 0 DCADLN CCCNC(=O)c1cccc(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000762215604 668351902 /nfs/dbraw/zinc/35/19/02/668351902.db2.gz RFUSCODBSULQFW-UHFFFAOYSA-N 0 2 318.337 0.972 20 0 DCADLN CC(C)c1nnc([C@H](C)NC(=O)C[C@H]2SC(=N)NC2=O)[nH]1 ZINC000763191609 668399950 /nfs/dbraw/zinc/39/99/50/668399950.db2.gz CYEVGJTVVAJYLJ-NKWVEPMBSA-N 0 2 310.383 0.662 20 0 DCADLN C[C@H](c1ccsc1)[NH+]1CCN(C(=O)c2cc(=O)[nH][n-]2)CC1 ZINC000765867333 668512115 /nfs/dbraw/zinc/51/21/15/668512115.db2.gz XOTVHFYBMBQADI-SNVBAGLBSA-N 0 2 306.391 0.829 20 0 DCADLN Cc1cc(C(=O)NN2C(=O)NC3(CCCCC3)C2=O)c(C)nn1 ZINC000767161198 668561234 /nfs/dbraw/zinc/56/12/34/668561234.db2.gz DKZCKTVVQNCBGB-UHFFFAOYSA-N 0 2 317.349 0.993 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cccc3c2OCO3)C1=O ZINC000769855145 668666983 /nfs/dbraw/zinc/66/69/83/668666983.db2.gz OVCIMEICKFUTHT-AWEZNQCLSA-N 0 2 305.290 0.781 20 0 DCADLN COc1cccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1F ZINC000770071891 668677549 /nfs/dbraw/zinc/67/75/49/668677549.db2.gz BWABWEOMRSWMPO-UHFFFAOYSA-N 0 2 317.280 0.858 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCSC(F)(F)F ZINC000770943221 668723174 /nfs/dbraw/zinc/72/31/74/668723174.db2.gz PRINJPVNMZDYNJ-UHFFFAOYSA-N 0 2 322.268 0.867 20 0 DCADLN COC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCCCC1 ZINC000774779272 668847284 /nfs/dbraw/zinc/84/72/84/668847284.db2.gz BAMYJVMIYJKWFN-UHFFFAOYSA-N 0 2 319.365 0.537 20 0 DCADLN O=C(CCn1cnc2sccc2c1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774896611 668850275 /nfs/dbraw/zinc/85/02/75/668850275.db2.gz LSNNGAGYYRMDOQ-UHFFFAOYSA-N 0 2 321.318 0.415 20 0 DCADLN O=C(CCc1nc(-c2ccco2)no1)OCc1n[nH]c(=O)[nH]1 ZINC000774898442 668850544 /nfs/dbraw/zinc/85/05/44/668850544.db2.gz CNOKXZIBNIRLLY-UHFFFAOYSA-N 0 2 305.250 0.829 20 0 DCADLN Cc1ccn2c(c1)ncc(C(=O)OCc1n[nH]c(=O)[nH]1)c2=O ZINC000774921502 668851541 /nfs/dbraw/zinc/85/15/41/668851541.db2.gz KPLOGENAJZIESZ-UHFFFAOYSA-N 0 2 301.262 0.184 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CC[C@@H](C(F)(F)F)NC1=O ZINC000774919941 668851592 /nfs/dbraw/zinc/85/15/92/668851592.db2.gz QGZXCFWOSZCXEJ-WHFBIAKZSA-N 0 2 308.216 0.011 20 0 DCADLN CNS(=O)(=O)[C@H]1CCN(C(=O)c2cccc(Cl)c2O)C1 ZINC000775781430 668867511 /nfs/dbraw/zinc/86/75/11/668867511.db2.gz BRYLICQILRIRQY-QMMMGPOBSA-N 0 2 318.782 0.809 20 0 DCADLN Cn1ccnc1SCc1ccc(C(=O)NN2CN=NC2=O)o1 ZINC000777767288 668891476 /nfs/dbraw/zinc/89/14/76/668891476.db2.gz FKRONBOYNPDJNZ-UHFFFAOYSA-N 0 2 320.334 0.986 20 0 DCADLN C[C@@H]1C[C@@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000778354453 668898476 /nfs/dbraw/zinc/89/84/76/668898476.db2.gz CZJSKNWFOSZSJA-ZJUUUORDSA-N 0 2 306.322 0.430 20 0 DCADLN CC[C@H]1C(=O)N(CC)CCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000779339216 668910053 /nfs/dbraw/zinc/91/00/53/668910053.db2.gz KKRIHKSHWPJDDK-DTWKUNHWSA-N 0 2 312.395 0.012 20 0 DCADLN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000779339218 668910086 /nfs/dbraw/zinc/91/00/86/668910086.db2.gz KKRIHKSHWPJDDK-RKDXNWHRSA-N 0 2 312.395 0.012 20 0 DCADLN Cc1nccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1Cl ZINC000782870109 669126682 /nfs/dbraw/zinc/12/66/82/669126682.db2.gz NDZHEDZGBQFZRP-UHFFFAOYSA-N 0 2 318.724 0.489 20 0 DCADLN C[C@@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H](C)[C@H](C)O1 ZINC000782905387 669131299 /nfs/dbraw/zinc/13/12/99/669131299.db2.gz JFFTWIWTUOYHAG-UTLUCORTSA-N 0 2 305.338 0.096 20 0 DCADLN Nc1c(Cl)cc(C(=O)NCc2n[nH]c(=O)[nH]2)cc1Cl ZINC000128204373 669162956 /nfs/dbraw/zinc/16/29/56/669162956.db2.gz PFMHVNNJUSZTNO-UHFFFAOYSA-N 0 2 302.121 0.917 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CCCCS1 ZINC000784110251 669189584 /nfs/dbraw/zinc/18/95/84/669189584.db2.gz FGJYRYUZIBRENL-VIFPVBQESA-N 0 2 307.379 0.473 20 0 DCADLN C[C@@H](c1cnccn1)n1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881305243 669321171 /nfs/dbraw/zinc/32/11/71/669321171.db2.gz ZRSIBUQECWWDQP-ZETCQYMHSA-N 0 2 300.244 0.856 20 0 DCADLN CC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC(F)(F)C1 ZINC000881523266 669351901 /nfs/dbraw/zinc/35/19/01/669351901.db2.gz GOYBGDPWNLLWKF-UHFFFAOYSA-N 0 2 311.292 0.623 20 0 DCADLN Cc1cc(NC(=O)[C@@H](C)SCC(=O)NOCCO)no1 ZINC000787295256 669365607 /nfs/dbraw/zinc/36/56/07/669365607.db2.gz ONIXTCNQNJCGDZ-MRVPVSSYSA-N 0 2 303.340 0.083 20 0 DCADLN CN(C(=O)CSCC(=O)NOCCO)C1CCCCC1 ZINC000787300321 669366288 /nfs/dbraw/zinc/36/62/88/669366288.db2.gz XYFIXEPVIXEIFG-UHFFFAOYSA-N 0 2 304.412 0.551 20 0 DCADLN Cc1ccccc1C[C@@H](CO)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000787353409 669370181 /nfs/dbraw/zinc/37/01/81/669370181.db2.gz KRAIFSJYFQQIBE-RYUDHWBXSA-N 0 2 321.402 0.571 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2ccnc(C(=O)OC)c2)C1=O ZINC000787865598 669406849 /nfs/dbraw/zinc/40/68/49/669406849.db2.gz TVYVYENBLPTZTB-AWEZNQCLSA-N 0 2 320.305 0.234 20 0 DCADLN COc1n[nH]c(NS(=O)(=O)C2=Cc3ccccc3OC2)n1 ZINC000788844177 669459975 /nfs/dbraw/zinc/45/99/75/669459975.db2.gz RLRUHILVPTZGRV-UHFFFAOYSA-N 0 2 308.319 0.989 20 0 DCADLN NC(=O)NCCNC(=O)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000789951592 669523805 /nfs/dbraw/zinc/52/38/05/669523805.db2.gz FWNPSNWETPWIPC-UHFFFAOYSA-N 0 2 323.740 0.629 20 0 DCADLN COc1cnc([C@@H]2CCCN2C[C@H](O)C2(O)CCCC2)[nH]c1=O ZINC000934273429 669590514 /nfs/dbraw/zinc/59/05/14/669590514.db2.gz MXNBHNJTLQQJRS-AAEUAGOBSA-N 0 2 323.393 0.994 20 0 DCADLN c1cnc(C[NH2+][C@H]2CCCN(CC[NH+]3CCOCC3)C2)cn1 ZINC000883372290 669676708 /nfs/dbraw/zinc/67/67/08/669676708.db2.gz VNRZSOGZWWICIK-HNNXBMFYSA-N 0 2 305.426 0.363 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cccc3nsnc32)nn1C ZINC000794073982 669777967 /nfs/dbraw/zinc/77/79/67/669777967.db2.gz NVMPANPVWICGOD-UHFFFAOYSA-N 0 2 310.364 0.929 20 0 DCADLN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)NNC(=O)c1ccccn1 ZINC000047454783 669928160 /nfs/dbraw/zinc/92/81/60/669928160.db2.gz JGGYUWJTGKUSLW-GFCCVEGCSA-N 0 2 318.377 0.880 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@H]1CC[C@@H](C)O1 ZINC000158988172 669932289 /nfs/dbraw/zinc/93/22/89/669932289.db2.gz TXRGDKDQGNNEED-MWLCHTKSSA-N 0 2 305.334 0.984 20 0 DCADLN CCN(C(=O)c1ccns1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950443992 670027936 /nfs/dbraw/zinc/02/79/36/670027936.db2.gz ZTNYKWLOUGLQOL-UHFFFAOYSA-N 0 2 308.367 0.313 20 0 DCADLN C[C@@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)c1ccco1 ZINC000950451891 670029862 /nfs/dbraw/zinc/02/98/62/670029862.db2.gz IRMMNLDREOBLJU-SNVBAGLBSA-N 0 2 305.338 0.551 20 0 DCADLN CCN(C(=O)c1ccsn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950598265 670070068 /nfs/dbraw/zinc/07/00/68/670070068.db2.gz DUCRVZTZDVKTBP-UHFFFAOYSA-N 0 2 308.367 0.313 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CCC[C@@H](c2[nH+]cc3n2CCCC3)C1 ZINC000800696019 670099489 /nfs/dbraw/zinc/09/94/89/670099489.db2.gz UTLZFEQFLFSCLD-LLVKDONJSA-N 0 2 315.377 0.801 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)NNc1c(F)c(F)nc(F)c1F ZINC000800830841 670101341 /nfs/dbraw/zinc/10/13/41/670101341.db2.gz KXGLQZIXNXEKND-BYPYZUCNSA-N 0 2 317.264 0.919 20 0 DCADLN O=c1[nH]nc(CN2C(=O)c3ccc(Cl)cc3S2(=O)=O)[nH]1 ZINC000800841595 670102327 /nfs/dbraw/zinc/10/23/27/670102327.db2.gz HHZPVVPDZSYBFP-UHFFFAOYSA-N 0 2 314.710 0.508 20 0 DCADLN O=C(NC[C@H]1c2ccccc2CC[N@H+]1CCO)c1nnc[nH]1 ZINC000948969240 670228550 /nfs/dbraw/zinc/22/85/50/670228550.db2.gz CCPUPAPJWWUIQT-ZDUSSCGKSA-N 0 2 301.350 0.126 20 0 DCADLN O=C(NC[C@H]1c2ccccc2CC[N@@H+]1CCO)c1nnc[nH]1 ZINC000948969240 670228553 /nfs/dbraw/zinc/22/85/53/670228553.db2.gz CCPUPAPJWWUIQT-ZDUSSCGKSA-N 0 2 301.350 0.126 20 0 DCADLN Cc1oc(C)c(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1C ZINC000949185735 670282627 /nfs/dbraw/zinc/28/26/27/670282627.db2.gz VNWZSQURYNNZSM-UHFFFAOYSA-N 0 2 319.365 0.987 20 0 DCADLN CCc1ccc([C@H](O)CNC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000804156894 670286414 /nfs/dbraw/zinc/28/64/14/670286414.db2.gz WCEVPLQKGRVYIJ-NEPJUHHUSA-N 0 2 321.402 0.955 20 0 DCADLN CCC[C@]1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCOC1 ZINC000804536221 670297794 /nfs/dbraw/zinc/29/77/94/670297794.db2.gz WEOYJXWMZOYNFF-AWEZNQCLSA-N 0 2 305.338 0.147 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnc(C3CC3)nc2N)C1=O ZINC000806309290 670307315 /nfs/dbraw/zinc/30/73/15/670307315.db2.gz QDEDGLAXXOOHFY-AWEZNQCLSA-N 0 2 318.337 0.302 20 0 DCADLN O=C(c1occ2c1CCC2)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949491424 670353377 /nfs/dbraw/zinc/35/33/77/670353377.db2.gz NLPUGZYYLGKUNF-UHFFFAOYSA-N 0 2 317.349 0.550 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C1=CCOCC1 ZINC000949544759 670367039 /nfs/dbraw/zinc/36/70/39/670367039.db2.gz AOXCJBGRIYWWFX-VHSXEESVSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C1=CCOCC1 ZINC000949544759 670367041 /nfs/dbraw/zinc/36/70/41/670367041.db2.gz AOXCJBGRIYWWFX-VHSXEESVSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cccnn1 ZINC000951801392 670375835 /nfs/dbraw/zinc/37/58/35/670375835.db2.gz LXHBKCLNWRCHLY-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cccnn1 ZINC000951801392 670375839 /nfs/dbraw/zinc/37/58/39/670375839.db2.gz LXHBKCLNWRCHLY-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN CCc1cc(C(=O)N(CC)C2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC000949696488 670406803 /nfs/dbraw/zinc/40/68/03/670406803.db2.gz FXOMTFNBEGNAKH-UHFFFAOYSA-N 0 2 319.369 0.142 20 0 DCADLN Cn1cc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)nn1 ZINC000949755971 670423565 /nfs/dbraw/zinc/42/35/65/670423565.db2.gz WIZBQUKSELPTND-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)NC[C@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)nn1 ZINC000949755971 670423567 /nfs/dbraw/zinc/42/35/67/670423567.db2.gz WIZBQUKSELPTND-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc2nonc21 ZINC000808798903 670426006 /nfs/dbraw/zinc/42/60/06/670426006.db2.gz DHASNNDPYGLHIZ-UHFFFAOYSA-N 0 2 311.261 0.246 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)c1nc(CC(=O)NC2CC2)no1 ZINC000809461910 670453029 /nfs/dbraw/zinc/45/30/29/670453029.db2.gz MCFQJVUCGUCFQV-UHFFFAOYSA-N 0 2 320.271 0.804 20 0 DCADLN CO[C@@](C)(c1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1)C1CC1 ZINC000810335432 670479545 /nfs/dbraw/zinc/47/95/45/670479545.db2.gz NZASNFMMUUTSJM-CQSZACIVSA-N 0 2 316.321 0.774 20 0 DCADLN C[S@@](=O)CCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810431772 670485402 /nfs/dbraw/zinc/48/54/02/670485402.db2.gz SQRUJKSMLRDYGJ-LILOVNGRSA-N 0 2 304.309 0.410 20 0 DCADLN C[S@@](=O)CCN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000810431772 670485407 /nfs/dbraw/zinc/48/54/07/670485407.db2.gz SQRUJKSMLRDYGJ-LILOVNGRSA-N 0 2 304.309 0.410 20 0 DCADLN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC000811522820 670532671 /nfs/dbraw/zinc/53/26/71/670532671.db2.gz GOMPSNGDCCVSDA-YUMQZZPRSA-N 0 2 320.308 0.817 20 0 DCADLN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC000811522820 670532672 /nfs/dbraw/zinc/53/26/72/670532672.db2.gz GOMPSNGDCCVSDA-YUMQZZPRSA-N 0 2 320.308 0.817 20 0 DCADLN O=CN1CCC[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000854385229 670558040 /nfs/dbraw/zinc/55/80/40/670558040.db2.gz FQTIGQXSMJAENH-LLVKDONJSA-N 0 2 301.306 0.737 20 0 DCADLN CCOCc1nc(C)c(C(=O)Nn2cnn(CC)c2=O)s1 ZINC000854627515 670600790 /nfs/dbraw/zinc/60/07/90/670600790.db2.gz LWPFYBNDCYVNOS-UHFFFAOYSA-N 0 2 311.367 0.750 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)c1nc(-c2nccc(N)n2)no1 ZINC000813350951 670622521 /nfs/dbraw/zinc/62/25/21/670622521.db2.gz SQCACDTZDNXAHR-UHFFFAOYSA-N 0 2 316.243 0.853 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1cccc(CO)n1 ZINC000848039955 670624556 /nfs/dbraw/zinc/62/45/56/670624556.db2.gz AMONRIGSVDKGMH-UHFFFAOYSA-N 0 2 319.283 0.647 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@H]2COc3ccccc32)S1 ZINC000813891761 670658505 /nfs/dbraw/zinc/65/85/05/670658505.db2.gz NQDWPMYCWFHVIP-GZMMTYOYSA-N 0 2 305.359 0.835 20 0 DCADLN COC[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C1CCOCC1 ZINC000855289927 670695340 /nfs/dbraw/zinc/69/53/40/670695340.db2.gz QOTNYYLVZHSFRU-NXEZZACHSA-N 0 2 315.395 0.101 20 0 DCADLN O=C(NC[C@]1(OCCO)CCOC1)c1cccc(Cl)c1O ZINC000855409511 670708263 /nfs/dbraw/zinc/70/82/63/670708263.db2.gz TWZTWTFDLCEUTA-CQSZACIVSA-N 0 2 315.753 0.943 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)N3CCCN(C=O)CC3)c[nH]c2n1 ZINC000816269654 670794481 /nfs/dbraw/zinc/79/44/81/670794481.db2.gz AQKHJKUPVLSERT-UHFFFAOYSA-N 0 2 314.345 0.948 20 0 DCADLN CNC(=O)Oc1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000817022796 670890447 /nfs/dbraw/zinc/89/04/47/670890447.db2.gz KGEAYQPAFKYSPB-VIFPVBQESA-N 0 2 322.346 0.900 20 0 DCADLN CSC[C@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000817059696 670891190 /nfs/dbraw/zinc/89/11/90/670891190.db2.gz CMJZSUITNSIBOU-JTQLQIEISA-N 0 2 321.406 0.673 20 0 DCADLN CCCc1nnc(NS(=O)(=O)c2cnn3c2OCCC3)o1 ZINC000820802646 671229507 /nfs/dbraw/zinc/22/95/07/671229507.db2.gz RRLNENVGCOJJLM-UHFFFAOYSA-N 0 2 313.339 0.802 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2C[C@H]2C2CCOCC2)S1 ZINC000825613605 671467791 /nfs/dbraw/zinc/46/77/91/671467791.db2.gz JSDHSODSODROGM-GARJFASQSA-N 0 2 311.407 0.722 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@H+](CN2C(=O)CC3(CCCC3)C2=O)C1 ZINC000827889015 671539143 /nfs/dbraw/zinc/53/91/43/671539143.db2.gz APGVIPDQWDVWKX-LLVKDONJSA-N 0 2 309.366 0.947 20 0 DCADLN CN(C(=O)[O-])[C@@H]1CC[N@@H+](CN2C(=O)CC3(CCCC3)C2=O)C1 ZINC000827889015 671539144 /nfs/dbraw/zinc/53/91/44/671539144.db2.gz APGVIPDQWDVWKX-LLVKDONJSA-N 0 2 309.366 0.947 20 0 DCADLN CCOC(=O)[C@H]1CN(C(=O)C(F)C(F)(F)F)CC12COC2 ZINC000833099763 671746107 /nfs/dbraw/zinc/74/61/07/671746107.db2.gz DSKQSTCXERXZJG-SFYZADRCSA-N 0 2 313.247 0.925 20 0 DCADLN CCOC(=O)[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CC12COC2 ZINC000833099763 671746108 /nfs/dbraw/zinc/74/61/08/671746108.db2.gz DSKQSTCXERXZJG-SFYZADRCSA-N 0 2 313.247 0.925 20 0 DCADLN CC(=O)NC[C@@H]1C[N@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC000833397738 671747377 /nfs/dbraw/zinc/74/73/77/671747377.db2.gz XTPWJOICWVNKAT-OAHLLOKOSA-N 0 2 322.361 0.487 20 0 DCADLN CC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC000833397738 671747380 /nfs/dbraw/zinc/74/73/80/671747380.db2.gz XTPWJOICWVNKAT-OAHLLOKOSA-N 0 2 322.361 0.487 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@@H+]2CCS[C@@H]3COCC[C@@H]32)C1 ZINC000834156280 671752141 /nfs/dbraw/zinc/75/21/41/671752141.db2.gz ADYFGTKLSRITNV-OUAUKWLOSA-N 0 2 315.395 0.019 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@H+]2CCS[C@@H]3COCC[C@@H]32)C1 ZINC000834156280 671752143 /nfs/dbraw/zinc/75/21/43/671752143.db2.gz ADYFGTKLSRITNV-OUAUKWLOSA-N 0 2 315.395 0.019 20 0 DCADLN CN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@H](CO)CC(C)(C)C ZINC000836970099 671841004 /nfs/dbraw/zinc/84/10/04/671841004.db2.gz MCZFWMPROZMZNQ-IUCAKERBSA-N 0 2 301.412 0.798 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1CN(S(=O)(=O)CC2CC2)C[C@H]1C(=O)[O-] ZINC000843298282 672080092 /nfs/dbraw/zinc/08/00/92/672080092.db2.gz NKKSYWJZNVOQCS-GHMZBOCLSA-N 0 2 313.379 0.260 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)COCC(=O)[O-] ZINC000843329626 672083330 /nfs/dbraw/zinc/08/33/30/672083330.db2.gz KDJVVXCTGNUJBZ-UHFFFAOYSA-N 0 2 309.322 0.266 20 0 DCADLN CCn1nc(C)c(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)n1 ZINC000843874553 672126871 /nfs/dbraw/zinc/12/68/71/672126871.db2.gz BXDSWLLXXCVSNN-UHFFFAOYSA-N 0 2 305.342 0.450 20 0 DCADLN O=C([O-])COCC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000844246069 672167588 /nfs/dbraw/zinc/16/75/88/672167588.db2.gz HLLVZIMBBWTXNH-UHFFFAOYSA-N 0 2 322.361 0.311 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)C[C@H]1CCCOC1)n1cc[nH+]c1 ZINC000845566818 672263406 /nfs/dbraw/zinc/26/34/06/672263406.db2.gz PUWZNHZGUCMYHM-RYUDHWBXSA-N 0 2 315.395 0.707 20 0 DCADLN C[C@@H](CC(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC000846703483 672339087 /nfs/dbraw/zinc/33/90/87/672339087.db2.gz KAZXOLNVHZDOMA-QWRGUYRKSA-N 0 2 318.337 0.598 20 0 DCADLN CC(C)c1nocc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038339112 694049169 /nfs/dbraw/zinc/04/91/69/694049169.db2.gz DGLAOZJKRKJHAE-VIFPVBQESA-N 0 2 320.353 0.626 20 0 DCADLN CCc1ncc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)s1 ZINC000957868115 687229544 /nfs/dbraw/zinc/22/95/44/687229544.db2.gz XHZOEOUDCZTHKA-UHFFFAOYSA-N 0 2 322.394 0.487 20 0 DCADLN Cc1cscc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958508795 687717044 /nfs/dbraw/zinc/71/70/44/687717044.db2.gz LRHOCNHRJDTQDX-GDGBQDQQSA-N 0 2 319.390 0.740 20 0 DCADLN Cc1cscc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000958508795 687717050 /nfs/dbraw/zinc/71/70/50/687717050.db2.gz LRHOCNHRJDTQDX-GDGBQDQQSA-N 0 2 319.390 0.740 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccc1F ZINC001038658468 694152229 /nfs/dbraw/zinc/15/22/29/694152229.db2.gz KLHAJCSJRSCKHY-NSHDSACASA-N 0 2 319.340 0.962 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC000964051852 689132838 /nfs/dbraw/zinc/13/28/38/689132838.db2.gz WLRXRPBUIYVYPD-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@@H]1COCCN1C(=O)C(F)C(F)(F)F)C1CC1 ZINC000964051852 689132833 /nfs/dbraw/zinc/13/28/33/689132833.db2.gz WLRXRPBUIYVYPD-RKDXNWHRSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cncs1 ZINC000965415500 689437918 /nfs/dbraw/zinc/43/79/18/689437918.db2.gz BLNQAFKJAVEWIA-BDAKNGLRSA-N 0 2 322.394 0.607 20 0 DCADLN O=C(N[C@H]1COC2(CN(C(=O)C(F)C(F)(F)F)C2)C1)C1CC1 ZINC000965585549 689490670 /nfs/dbraw/zinc/49/06/70/689490670.db2.gz DDOFEDLWAXNUHO-RKDXNWHRSA-N 0 2 324.274 0.783 20 0 DCADLN O=C(N[C@H]1COC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1)C1CC1 ZINC000965585549 689490672 /nfs/dbraw/zinc/49/06/72/689490672.db2.gz DDOFEDLWAXNUHO-RKDXNWHRSA-N 0 2 324.274 0.783 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@@H]2C)n1 ZINC000968399090 690252103 /nfs/dbraw/zinc/25/21/03/690252103.db2.gz AEXKAKKWDXSKPZ-WPRPVWTQSA-N 0 2 319.369 0.182 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1nccs1 ZINC000968463264 690281654 /nfs/dbraw/zinc/28/16/54/690281654.db2.gz AMJFKZQEHNEOFS-DTWKUNHWSA-N 0 2 322.394 0.607 20 0 DCADLN CSCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039507534 694375214 /nfs/dbraw/zinc/37/52/14/694375214.db2.gz RHNKNTQJEBFDJR-AOOOYVTPSA-N 0 2 311.411 0.439 20 0 DCADLN CCc1[nH]ccc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007260481 690651476 /nfs/dbraw/zinc/65/14/76/690651476.db2.gz IXXCNHYXAJLKJM-JTQLQIEISA-N 0 2 318.381 0.795 20 0 DCADLN Cc1csc(C[N@@H+]2CCC[C@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001007756968 690741348 /nfs/dbraw/zinc/74/13/48/690741348.db2.gz XZENGWXISUWTBK-JTQLQIEISA-N 0 2 306.395 0.964 20 0 DCADLN Cc1csc(C[N@H+]2CCC[C@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001007756968 690741352 /nfs/dbraw/zinc/74/13/52/690741352.db2.gz XZENGWXISUWTBK-JTQLQIEISA-N 0 2 306.395 0.964 20 0 DCADLN O=C(Cc1cscn1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008764406 690922447 /nfs/dbraw/zinc/92/24/47/690922447.db2.gz HXFKURWQPYUYNW-SECBINFHSA-N 0 2 322.394 0.290 20 0 DCADLN CC(C)C(=O)N1CC[C@](O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001040070202 694476348 /nfs/dbraw/zinc/47/63/48/694476348.db2.gz QMNMLKJOXVGZNG-KCJUWKMLSA-N 0 2 314.279 0.622 20 0 DCADLN CC(C)C(=O)N1CC[C@](O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001040070202 694476354 /nfs/dbraw/zinc/47/63/54/694476354.db2.gz QMNMLKJOXVGZNG-KCJUWKMLSA-N 0 2 314.279 0.622 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC(F)(F)C1 ZINC001014663926 692067117 /nfs/dbraw/zinc/06/71/17/692067117.db2.gz SVYWLCDBWSVSFU-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@]12CCC[C@H]1OCC2 ZINC001015245277 692237557 /nfs/dbraw/zinc/23/75/57/692237557.db2.gz HNAFYGCYEXOLSO-RWSFTLGLSA-N 0 2 321.381 0.160 20 0 DCADLN O=C(C[C@@H]1C[C@H]1C1CC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015323350 692263782 /nfs/dbraw/zinc/26/37/82/692263782.db2.gz IIXGOTSXRQXPAU-TUAOUCFPSA-N 0 2 305.382 0.637 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H](F)c1ccccc1 ZINC001015768792 692435672 /nfs/dbraw/zinc/43/56/72/692435672.db2.gz HFUDENDRHSTEJX-WCQYABFASA-N 0 2 319.340 0.912 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001015850370 692469563 /nfs/dbraw/zinc/46/95/63/692469563.db2.gz IGPBCCXVDCFAIM-JTQLQIEISA-N 0 2 307.379 0.885 20 0 DCADLN CCc1nc(C)c(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001015947719 692518272 /nfs/dbraw/zinc/51/82/72/692518272.db2.gz NQGJBJLONDFTLF-SECBINFHSA-N 0 2 320.353 0.373 20 0 DCADLN CCc1ncc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001016387434 692669156 /nfs/dbraw/zinc/66/91/56/692669156.db2.gz KIKLWXCNMUWYAZ-QMMMGPOBSA-N 0 2 322.394 0.534 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2CC[C@H]([NH2+]Cc3ccccc3F)C2)C1 ZINC001018664083 693186402 /nfs/dbraw/zinc/18/64/02/693186402.db2.gz XYUWCDNIEHIGBV-HOCLYGCPSA-N 0 2 321.396 0.847 20 0 DCADLN C[C@@H](CCNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001075611967 694963405 /nfs/dbraw/zinc/96/34/05/694963405.db2.gz RTTHOGGOEADBOE-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CCNC(=O)Cn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001075611967 694963406 /nfs/dbraw/zinc/96/34/06/694963406.db2.gz RTTHOGGOEADBOE-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN O=C([C@@H]1CCCCO1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075692104 694968460 /nfs/dbraw/zinc/96/84/60/694968460.db2.gz JYBXOBMIEKMUSN-TUAOUCFPSA-N 0 2 321.381 0.112 20 0 DCADLN O=C([C@@H]1CCCCO1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075692104 694968461 /nfs/dbraw/zinc/96/84/61/694968461.db2.gz JYBXOBMIEKMUSN-TUAOUCFPSA-N 0 2 321.381 0.112 20 0 DCADLN CCOCC[N@H+]1CC=C(CNC(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001571685430 1162902283 /nfs/dbraw/zinc/90/22/83/1162902283.db2.gz QJTICXKCTLACEM-UHFFFAOYSA-N 0 2 308.382 0.822 20 0 DCADLN CCOCC[N@@H+]1CC=C(CNC(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001571685430 1162902290 /nfs/dbraw/zinc/90/22/90/1162902290.db2.gz QJTICXKCTLACEM-UHFFFAOYSA-N 0 2 308.382 0.822 20 0 DCADLN CN(CCOCCNC(=O)C(F)F)C(=O)C(F)C(F)(F)F ZINC001701529448 1158218053 /nfs/dbraw/zinc/21/80/53/1158218053.db2.gz IUJYWECCXFMJMM-ZCFIWIBFSA-N 0 2 324.221 0.743 20 0 DCADLN CN(CCOCCNC(=O)C(F)F)C(=O)[C@@H](F)C(F)(F)F ZINC001701529448 1158218058 /nfs/dbraw/zinc/21/80/58/1158218058.db2.gz IUJYWECCXFMJMM-ZCFIWIBFSA-N 0 2 324.221 0.743 20 0 DCADLN Cc1cn(C(C)(C)C(=O)NC[C@H](C(=O)[O-])[C@H]2CCOC2)c[nH+]1 ZINC001649257670 1158482680 /nfs/dbraw/zinc/48/26/80/1158482680.db2.gz QKMFADFWIQIVFO-RYUDHWBXSA-N 0 2 309.366 0.780 20 0 DCADLN Cc1onc(CO)c1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001771765834 1158758193 /nfs/dbraw/zinc/75/81/93/1158758193.db2.gz BGOHGHBWEGSXQH-QMMMGPOBSA-N 0 2 307.310 0.319 20 0 DCADLN O=C(Cc1cccnc1)NCCNC(=O)C(F)C(F)(F)F ZINC001720063525 1158789416 /nfs/dbraw/zinc/78/94/16/1158789416.db2.gz ZZYRYQGYNLWZJL-SNVBAGLBSA-N 0 2 307.247 0.757 20 0 DCADLN O=C(Cc1cccnc1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720063525 1158789421 /nfs/dbraw/zinc/78/94/21/1158789421.db2.gz ZZYRYQGYNLWZJL-SNVBAGLBSA-N 0 2 307.247 0.757 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cncnc2)C1)C(F)C(F)(F)F ZINC001446515584 1159446527 /nfs/dbraw/zinc/44/65/27/1159446527.db2.gz FUMQIOOPYWYWIN-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN C[C@]1(NC(=O)Cc2ccoc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446534832 1159460678 /nfs/dbraw/zinc/46/06/78/1159460678.db2.gz XEIHSCXSLQAEBL-AWEZNQCLSA-N 0 2 305.338 0.427 20 0 DCADLN C[C@]1(NC(=O)Cc2ccoc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446534832 1159460694 /nfs/dbraw/zinc/46/06/94/1159460694.db2.gz XEIHSCXSLQAEBL-AWEZNQCLSA-N 0 2 305.338 0.427 20 0 DCADLN CC(C)n1cnc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001448068021 1159713465 /nfs/dbraw/zinc/71/34/65/1159713465.db2.gz LPTWZBHFKGFVJE-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CC(C)n1cnc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001448068021 1159713467 /nfs/dbraw/zinc/71/34/67/1159713467.db2.gz LPTWZBHFKGFVJE-SNVBAGLBSA-N 0 2 321.385 0.538 20 0 DCADLN CCOC(=O)CCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001610015862 1159832476 /nfs/dbraw/zinc/83/24/76/1159832476.db2.gz BSGBXSGUGHKPGB-WDEREUQCSA-N 0 2 301.339 0.668 20 0 DCADLN CCOC(=O)CCC[N@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001610015862 1159832484 /nfs/dbraw/zinc/83/24/84/1159832484.db2.gz BSGBXSGUGHKPGB-WDEREUQCSA-N 0 2 301.339 0.668 20 0 DCADLN O=C(CCOCC1CC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001566621967 1160099957 /nfs/dbraw/zinc/09/99/57/1160099957.db2.gz IUHQBRXQURTEFW-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1CCOCC(F)F)c1nc[nH]n1 ZINC001567384017 1160337562 /nfs/dbraw/zinc/33/75/62/1160337562.db2.gz VWJMIBVCUXZLRP-SECBINFHSA-N 0 2 303.313 0.281 20 0 DCADLN CN(C(=O)C=Cc1ccco1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567902520 1160524240 /nfs/dbraw/zinc/52/42/40/1160524240.db2.gz KXGZIIYBRSWMDK-ZWNMCFTASA-N 0 2 317.349 0.849 20 0 DCADLN CN(C(=O)C=Cc1ccco1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567902520 1160524243 /nfs/dbraw/zinc/52/42/43/1160524243.db2.gz KXGZIIYBRSWMDK-ZWNMCFTASA-N 0 2 317.349 0.849 20 0 DCADLN CCCOCC(=O)N(CC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567932170 1160542769 /nfs/dbraw/zinc/54/27/69/1160542769.db2.gz NHJYBXRAIRWKEB-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CCCOCC(=O)N(CC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567932170 1160542775 /nfs/dbraw/zinc/54/27/75/1160542775.db2.gz NHJYBXRAIRWKEB-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN CN(C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)c1nccn1C ZINC001568375445 1160638081 /nfs/dbraw/zinc/63/80/81/1160638081.db2.gz LCHDUSAWXRCWIK-UHFFFAOYSA-N 0 2 314.305 0.276 20 0 DCADLN C[C@H](CCCCNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001572748343 1163308580 /nfs/dbraw/zinc/30/85/80/1163308580.db2.gz OCHLNLYHCNFLLL-RNFRBKRXSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CCCCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001572748343 1163308588 /nfs/dbraw/zinc/30/85/88/1163308588.db2.gz OCHLNLYHCNFLLL-RNFRBKRXSA-N 0 2 315.267 0.163 20 0 DCADLN CC(C)C[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1nc(C(=O)[O-])n[nH]1 ZINC001573516622 1163456347 /nfs/dbraw/zinc/45/63/47/1163456347.db2.gz ZOUYOZPBTJDOQA-UWVGGRQHSA-N 0 2 309.370 0.801 20 0 DCADLN CC(C)C[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1nc(C(=O)[O-])n[nH]1 ZINC001573516622 1163456354 /nfs/dbraw/zinc/45/63/54/1163456354.db2.gz ZOUYOZPBTJDOQA-UWVGGRQHSA-N 0 2 309.370 0.801 20 0 DCADLN C[C@H](NC(=O)[C@H](C)[NH+]1[C@H](C)CC[C@H]1C)c1nc(C(=O)[O-])n[nH]1 ZINC001573553894 1163492190 /nfs/dbraw/zinc/49/21/90/1163492190.db2.gz MTVHEQGTJPKLRA-IMSYWVGJSA-N 0 2 309.370 0.941 20 0 DCADLN C[C@@H]([NH2+]CC(=O)Nc1c(C(=O)[O-])cnn1C)c1ccn(C)n1 ZINC001573572214 1163507368 /nfs/dbraw/zinc/50/73/68/1163507368.db2.gz QEGAWEWRFVAOBV-MRVPVSSYSA-N 0 2 306.326 0.141 20 0 DCADLN CC[C@H]1C[N@H+](CC(=O)NCc2ccccn2)C[C@]1(F)C(=O)[O-] ZINC001573825026 1163561075 /nfs/dbraw/zinc/56/10/75/1163561075.db2.gz WPYCGZSYHNKVMS-XHDPSFHLSA-N 0 2 309.341 0.833 20 0 DCADLN CC[C@H]1C[N@@H+](CC(=O)NCc2ccccn2)C[C@]1(F)C(=O)[O-] ZINC001573825026 1163561079 /nfs/dbraw/zinc/56/10/79/1163561079.db2.gz WPYCGZSYHNKVMS-XHDPSFHLSA-N 0 2 309.341 0.833 20 0 DCADLN CCn1c[nH+]cc1CN(C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001573847817 1163568028 /nfs/dbraw/zinc/56/80/28/1163568028.db2.gz ZXBVOWHLVBGVAT-UHFFFAOYSA-N 0 2 308.382 0.658 20 0 DCADLN COC[C@H](NC(=O)c1cc(-n2cc[nH+]c2)ccc1O)C(=O)[O-] ZINC001573990257 1163617912 /nfs/dbraw/zinc/61/79/12/1163617912.db2.gz AROMEMNKXLMNGZ-NSHDSACASA-N 0 2 305.290 0.407 20 0 DCADLN O=C([O-])[C@@H]1CCCC[C@@H]1NS(=O)(=O)CCn1cc[nH+]c1 ZINC001574385726 1163712024 /nfs/dbraw/zinc/71/20/24/1163712024.db2.gz LVEQQCVWVAILJO-MNOVXSKESA-N 0 2 301.368 0.446 20 0 DCADLN O=C([O-])c1cnn2cc(C[N@H+]3CCSC[C@@H]3CO)cnc12 ZINC001574422662 1163718871 /nfs/dbraw/zinc/71/88/71/1163718871.db2.gz JSMVTFZSBRRRHV-JTQLQIEISA-N 0 2 308.363 0.337 20 0 DCADLN O=C([O-])c1cnn2cc(C[N@@H+]3CCSC[C@@H]3CO)cnc12 ZINC001574422662 1163718875 /nfs/dbraw/zinc/71/88/75/1163718875.db2.gz JSMVTFZSBRRRHV-JTQLQIEISA-N 0 2 308.363 0.337 20 0 DCADLN Cn1cc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001574945853 1163812305 /nfs/dbraw/zinc/81/23/05/1163812305.db2.gz BGPAVLWAYDUFSH-SECBINFHSA-N 0 2 310.251 0.095 20 0 DCADLN Cn1cc(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001574945853 1163812307 /nfs/dbraw/zinc/81/23/07/1163812307.db2.gz BGPAVLWAYDUFSH-SECBINFHSA-N 0 2 310.251 0.095 20 0 DCADLN Cc1ccnn1CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001575196890 1163930780 /nfs/dbraw/zinc/93/07/80/1163930780.db2.gz VDRVSICGJLDYKE-VIFPVBQESA-N 0 2 310.251 0.324 20 0 DCADLN Cc1ccnn1CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001575196890 1163930790 /nfs/dbraw/zinc/93/07/90/1163930790.db2.gz VDRVSICGJLDYKE-VIFPVBQESA-N 0 2 310.251 0.324 20 0 DCADLN CC(C)(C)[C@H](C[NH2+]Cc1c[nH]nn1)NC(=O)[C@]12C[C@H]1COC2 ZINC001575256877 1163955790 /nfs/dbraw/zinc/95/57/90/1163955790.db2.gz MQGTXPLPHZXKMN-WBIUFABUSA-N 0 2 307.398 0.462 20 0 DCADLN CC(C)(C)[C@H](C[NH2+]Cc1cn[nH]n1)NC(=O)[C@]12C[C@H]1COC2 ZINC001575256877 1163955796 /nfs/dbraw/zinc/95/57/96/1163955796.db2.gz MQGTXPLPHZXKMN-WBIUFABUSA-N 0 2 307.398 0.462 20 0 DCADLN C[C@@H]([NH2+]Cc1c[nH]nn1)[C@@H]1CCN(C(=O)c2ncccn2)C1 ZINC001575703896 1164111977 /nfs/dbraw/zinc/11/19/77/1164111977.db2.gz UUZVKCPCGYEWCI-GHMZBOCLSA-N 0 2 301.354 0.235 20 0 DCADLN O=C(NCCCNC(=O)[C@H](F)C(F)(F)F)c1cnns1 ZINC001575732677 1164124914 /nfs/dbraw/zinc/12/49/14/1164124914.db2.gz ANXIHKQPLVSPGI-LURJTMIESA-N 0 2 314.264 0.675 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cnns1 ZINC001575732677 1164124911 /nfs/dbraw/zinc/12/49/11/1164124911.db2.gz ANXIHKQPLVSPGI-LURJTMIESA-N 0 2 314.264 0.675 20 0 DCADLN CC[C@](C)(CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C)OC ZINC001576556547 1164389038 /nfs/dbraw/zinc/38/90/38/1164389038.db2.gz LUSYFNPHMYFOPG-CQSZACIVSA-N 0 2 320.353 0.821 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([C@H](C)[NH2+]Cc3cnn[nH]3)C2)c1[O-] ZINC001577518275 1164712230 /nfs/dbraw/zinc/71/22/30/1164712230.db2.gz DBZUIRMARZESOL-WPRPVWTQSA-N 0 2 319.369 0.182 20 0 DCADLN O=C(NC[C@@H]1CC[N@H+]1CCCO)c1ccc(-c2cn[nH]n2)cn1 ZINC001578001882 1164883343 /nfs/dbraw/zinc/88/33/43/1164883343.db2.gz QZLDUCSOTJRQFJ-LBPRGKRZSA-N 0 2 316.365 0.053 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1CCc1c[nH]nn1)c1cncs1 ZINC001577998472 1164884448 /nfs/dbraw/zinc/88/44/48/1164884448.db2.gz QBMOTBMVIJFLRQ-LLVKDONJSA-N 0 2 306.395 0.698 20 0 DCADLN CC[C@@H]1CC[C@H](C)N(c2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001578427893 1165012964 /nfs/dbraw/zinc/01/29/64/1165012964.db2.gz PXCHYEHMIWFQAT-DTWKUNHWSA-N 0 2 319.369 0.269 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@H](C)[C@@H](O)C1 ZINC001580597816 1165649238 /nfs/dbraw/zinc/64/92/38/1165649238.db2.gz OAQTVMMHTDENOF-XVKPBYJWSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCC[C@@]1(C)CO ZINC001580597830 1165649453 /nfs/dbraw/zinc/64/94/53/1165649453.db2.gz MLIAOLTULDWVEN-AWEZNQCLSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCS[C@H](C)C1 ZINC001580599813 1165650944 /nfs/dbraw/zinc/65/09/44/1165650944.db2.gz GTHXRHNRTFPSBH-MRVPVSSYSA-N 0 2 320.378 0.853 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC1(CO)CCCC1 ZINC001580603784 1165653032 /nfs/dbraw/zinc/65/30/32/1165653032.db2.gz XHJZTGGZUIIINX-UHFFFAOYSA-N 0 2 318.337 0.311 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1COC(C)(C)C1 ZINC001580604944 1165654053 /nfs/dbraw/zinc/65/40/53/1165654053.db2.gz VGIDLUJGEXZVPN-MRVPVSSYSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCn1cccc1 ZINC001580605790 1165654074 /nfs/dbraw/zinc/65/40/74/1165654074.db2.gz JAGLNJFRXUZXQI-UHFFFAOYSA-N 0 2 313.321 0.507 20 0 DCADLN Cc1scc(C(=O)NC[C@@](C)(NC(=O)C(N)=O)C2CC2)c1C ZINC001582656173 1166062941 /nfs/dbraw/zinc/06/29/41/1166062941.db2.gz NGSMHIBYJOLCLU-OAHLLOKOSA-N 0 2 323.418 0.865 20 0 DCADLN O=C1NCC[C@@H]1CCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001582785604 1166080611 /nfs/dbraw/zinc/08/06/11/1166080611.db2.gz NVLKSAAIKGPZPM-SECBINFHSA-N 0 2 314.349 0.043 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1CCC1OCCO1)CCC2 ZINC001582806194 1166085696 /nfs/dbraw/zinc/08/56/96/1166085696.db2.gz HATJUCJNQJBYQH-UHFFFAOYSA-N 0 2 303.322 0.280 20 0 DCADLN CC(=O)c1ccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cc1 ZINC001588915139 1166617240 /nfs/dbraw/zinc/61/72/40/1166617240.db2.gz OIHRGJOLMSXKQU-UHFFFAOYSA-N 0 2 312.289 0.380 20 0 DCADLN C[C@H]1CC[C@H]([NH2+]Cc2ccc(-c3nn[nH]n3)o2)CS1(=O)=O ZINC001590236422 1166824505 /nfs/dbraw/zinc/82/45/05/1166824505.db2.gz JFKVXWIUBDEHTF-IUCAKERBSA-N 0 2 311.367 0.515 20 0 DCADLN CCOC(=O)CCCn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001590762772 1167011527 /nfs/dbraw/zinc/01/15/27/1167011527.db2.gz SHPIVSYUURMVFU-UHFFFAOYSA-N 0 2 306.326 0.384 20 0 DCADLN CN(Cc1n[nH]c(=O)o1)Cc1ccc(-c2nn[nH]n2)c(F)c1 ZINC001591132054 1167110571 /nfs/dbraw/zinc/11/05/71/1167110571.db2.gz GMRKIGNFOJYTPT-UHFFFAOYSA-N 0 2 305.273 0.726 20 0 DCADLN CO[C@H](C)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2=O ZINC001591324942 1167215160 /nfs/dbraw/zinc/21/51/60/1167215160.db2.gz UBOGVCBUGYHYKV-MRVPVSSYSA-N 0 2 303.322 0.582 20 0 DCADLN COCCCCn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2=O ZINC001591413269 1167270759 /nfs/dbraw/zinc/27/07/59/1167270759.db2.gz MFJAKXZBCNCTDR-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H]1CCSC1 ZINC001591835695 1167390074 /nfs/dbraw/zinc/39/00/74/1167390074.db2.gz INPLGJYGVMUBDD-QMMMGPOBSA-N 0 2 320.378 0.759 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCSCC1 ZINC001591834902 1167390940 /nfs/dbraw/zinc/39/09/40/1167390940.db2.gz VYVNYJYPBKXJHB-UHFFFAOYSA-N 0 2 306.351 0.465 20 0 DCADLN Cc1cc(Cn2cncc(-c3nn[nH]n3)c2=O)cc(Cl)n1 ZINC001591978001 1167427899 /nfs/dbraw/zinc/42/78/99/1167427899.db2.gz PKDJPGOMPICUFQ-UHFFFAOYSA-N 0 2 303.713 0.829 20 0 DCADLN Cc1ccn(CN2CCn3cccc3C2)c(=O)c1-c1nn[nH]n1 ZINC001592266445 1167479725 /nfs/dbraw/zinc/47/97/25/1167479725.db2.gz CAPBUVOWNDLRKU-UHFFFAOYSA-N 0 2 311.349 0.612 20 0 DCADLN Cc1ccn(CN2CC[C@H](C)[C@@H](F)C2)c(=O)c1-c1nn[nH]n1 ZINC001592266961 1167480239 /nfs/dbraw/zinc/48/02/39/1167480239.db2.gz KQGXJLNRAZVOFH-ONGXEEELSA-N 0 2 306.345 0.974 20 0 DCADLN Cc1cnc(CCn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)cn1 ZINC001592294268 1167484728 /nfs/dbraw/zinc/48/47/28/1167484728.db2.gz DYSZFHOONXUNCD-UHFFFAOYSA-N 0 2 312.337 0.381 20 0 DCADLN Cc1nn(COC2CCOCC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437623 1167521790 /nfs/dbraw/zinc/52/17/90/1167521790.db2.gz VKJWLEOSXRFNLC-UHFFFAOYSA-N 0 2 306.326 0.193 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC001592497043 1167539194 /nfs/dbraw/zinc/53/91/94/1167539194.db2.gz SIETZHCOIXHPBF-ZETCQYMHSA-N 0 2 304.310 0.071 20 0 DCADLN Cc1nsc(N[C@H]2CS(=O)(=O)C[C@H]2C)c1-c1nn[nH]n1 ZINC001592495868 1167539458 /nfs/dbraw/zinc/53/94/58/1167539458.db2.gz SXGJGVWMGLSYHV-VDTYLAMSSA-N 0 2 314.396 0.477 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2CCCC[C@@H]2F)c(-c2nn[nH]n2)c1=O ZINC001592545241 1167548954 /nfs/dbraw/zinc/54/89/54/1167548954.db2.gz RIRDHWUNVMDSLM-NKWVEPMBSA-N 0 2 309.305 0.359 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2CCCC2(C)C)c(-c2nn[nH]n2)c1=O ZINC001592546909 1167553665 /nfs/dbraw/zinc/55/36/65/1167553665.db2.gz VVQJALZKAPMSKY-SSDOTTSWSA-N 0 2 305.342 0.657 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n([C@H]2CCCC(C)(C)C2=O)c1=O ZINC001592558217 1167557907 /nfs/dbraw/zinc/55/79/07/1167557907.db2.gz VLWPCLPICZPZBC-VIFPVBQESA-N 0 2 318.337 0.047 20 0 DCADLN O=C(N=c1cc[nH]cc1-c1nn[nH]n1)c1ocnc1C(F)F ZINC001593255700 1167746498 /nfs/dbraw/zinc/74/64/98/1167746498.db2.gz NOEXAZODHHFJAK-UHFFFAOYSA-N 0 2 307.220 0.862 20 0 DCADLN O=c1c(-c2nnn[n-]2)c2c(cn1C[N@H+]1CC[C@@H](CF)C1)CCC2 ZINC001593492416 1167877607 /nfs/dbraw/zinc/87/76/07/1167877607.db2.gz OPSQPSLDYVZPHF-JTQLQIEISA-N 0 2 318.356 0.766 20 0 DCADLN O=c1c(-c2nnn[n-]2)c2c(cn1C[N@@H+]1CC[C@@H](CF)C1)CCC2 ZINC001593492416 1167877609 /nfs/dbraw/zinc/87/76/09/1167877609.db2.gz OPSQPSLDYVZPHF-JTQLQIEISA-N 0 2 318.356 0.766 20 0 DCADLN COC(=O)[C@H]1CC[N@H+](CC2(CC(=O)[O-])CC2)[C@H](C(=O)OC)C1 ZINC001598797535 1168041913 /nfs/dbraw/zinc/04/19/13/1168041913.db2.gz FADLPGVBLSUKFS-QWRGUYRKSA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)[C@H]1CC[N@@H+](CC2(CC(=O)[O-])CC2)[C@H](C(=O)OC)C1 ZINC001598797535 1168041927 /nfs/dbraw/zinc/04/19/27/1168041927.db2.gz FADLPGVBLSUKFS-QWRGUYRKSA-N 0 2 313.350 0.668 20 0 DCADLN COC(=O)CC[N@@H+]1CCN(C(=O)c2ccc(C(=O)[O-])o2)C[C@@H]1C ZINC001598854086 1168045363 /nfs/dbraw/zinc/04/53/63/1168045363.db2.gz QABJBVGLEJDOPU-JTQLQIEISA-N 0 2 324.333 0.687 20 0 DCADLN COC(=O)CC[N@H+]1CCN(C(=O)c2ccc(C(=O)[O-])o2)C[C@@H]1C ZINC001598854086 1168045371 /nfs/dbraw/zinc/04/53/71/1168045371.db2.gz QABJBVGLEJDOPU-JTQLQIEISA-N 0 2 324.333 0.687 20 0 DCADLN Cc1c(C(=O)[O-])cc(C[NH2+]Cc2nnnn2CC2CC2)n1C ZINC001599993663 1168079297 /nfs/dbraw/zinc/07/92/97/1168079297.db2.gz BTOZASWYOPNNCP-UHFFFAOYSA-N 0 2 304.354 0.718 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N[C@@H]2CC=C(C(=O)[O-])C2)c[nH+]1 ZINC001600160653 1168129405 /nfs/dbraw/zinc/12/94/05/1168129405.db2.gz ZMKOSLUGEIJDOB-CYBMUJFWSA-N 0 2 317.345 0.604 20 0 DCADLN Cc1cc(C)c(NC(=O)C(=O)NCC2(C(=O)[O-])CC2)c(C)[nH+]1 ZINC001600161822 1168129932 /nfs/dbraw/zinc/12/99/32/1168129932.db2.gz BDDPCAILUQMQMD-UHFFFAOYSA-N 0 2 305.334 0.926 20 0 DCADLN Cc1cc(C[N@H+]2CC=C(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)no1 ZINC001600193068 1168135391 /nfs/dbraw/zinc/13/53/91/1168135391.db2.gz WFNIQDLFGHKKOG-ZIAGYGMSSA-N 0 2 319.361 0.952 20 0 DCADLN Cc1cc(C[N@@H+]2CC=C(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)no1 ZINC001600193068 1168135397 /nfs/dbraw/zinc/13/53/97/1168135397.db2.gz WFNIQDLFGHKKOG-ZIAGYGMSSA-N 0 2 319.361 0.952 20 0 DCADLN Cc1cc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])no1 ZINC001600196094 1168136093 /nfs/dbraw/zinc/13/60/93/1168136093.db2.gz KCECEMMGBLBWAG-FHUSYTEZSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1cc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])no1 ZINC001600196094 1168136099 /nfs/dbraw/zinc/13/60/99/1168136099.db2.gz KCECEMMGBLBWAG-FHUSYTEZSA-N 0 2 305.334 0.250 20 0 DCADLN Cc1cc(C[NH+]2CCN([C@]3(C(=O)[O-])CCOC3)CC2)sn1 ZINC001600194681 1168136323 /nfs/dbraw/zinc/13/63/23/1168136323.db2.gz BKRFILSITORZRK-CQSZACIVSA-N 0 2 311.407 0.813 20 0 DCADLN Cc1cc(CNS(=O)(=O)c2cc(C(=O)[O-])no2)cc(C)[nH+]1 ZINC001600210320 1168138902 /nfs/dbraw/zinc/13/89/02/1168138902.db2.gz NOELSWLENFEWBM-UHFFFAOYSA-N 0 2 311.319 0.863 20 0 DCADLN Cc1ccccc1OC[C@H](O)C[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001600585903 1168204288 /nfs/dbraw/zinc/20/42/88/1168204288.db2.gz OEFDMYQVWIAICN-OLZOCXBDSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1ccccc1OC[C@H](O)C[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001600585903 1168204297 /nfs/dbraw/zinc/20/42/97/1168204297.db2.gz OEFDMYQVWIAICN-OLZOCXBDSA-N 0 2 322.361 0.010 20 0 DCADLN Cc1ncccc1C=CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600752890 1168277338 /nfs/dbraw/zinc/27/73/38/1168277338.db2.gz PBLVCEMMWAVXAU-DSYXLKISSA-N 0 2 300.318 0.938 20 0 DCADLN Cc1ncsc1C=CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600761190 1168282299 /nfs/dbraw/zinc/28/22/99/1168282299.db2.gz YCTUHUFLCQPZSD-VMZHVLLKSA-N 0 2 306.347 1.000 20 0 DCADLN Cc1ncsc1C=CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600761190 1168282305 /nfs/dbraw/zinc/28/23/05/1168282305.db2.gz YCTUHUFLCQPZSD-VMZHVLLKSA-N 0 2 306.347 1.000 20 0 DCADLN Cc1nn(C)c2ncc(C[N@H+]3C[C@@H](C)O[C@H](C(=O)[O-])C3)cc12 ZINC001600775973 1168289766 /nfs/dbraw/zinc/28/97/66/1168289766.db2.gz NBCOKZHYYOCEJN-RNCFNFMXSA-N 0 2 304.350 0.951 20 0 DCADLN Cc1nn(C)c2ncc(C[N@@H+]3C[C@@H](C)O[C@H](C(=O)[O-])C3)cc12 ZINC001600775973 1168289772 /nfs/dbraw/zinc/28/97/72/1168289772.db2.gz NBCOKZHYYOCEJN-RNCFNFMXSA-N 0 2 304.350 0.951 20 0 DCADLN Cc1oc(C(=O)[O-])cc1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001600826673 1168478168 /nfs/dbraw/zinc/47/81/68/1168478168.db2.gz ULWMKTBRNJRXGI-PHIMTYICSA-N 0 2 308.334 0.879 20 0 DCADLN Cc1oc(C(=O)[O-])cc1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001600826673 1168478173 /nfs/dbraw/zinc/47/81/73/1168478173.db2.gz ULWMKTBRNJRXGI-PHIMTYICSA-N 0 2 308.334 0.879 20 0 DCADLN Cn1cc(Br)c(C[NH2+][C@@]2(CC(=O)[O-])CCOC2)n1 ZINC001600927239 1168510674 /nfs/dbraw/zinc/51/06/74/1168510674.db2.gz WWQIYTCYVGOIMZ-LLVKDONJSA-N 0 2 318.171 0.906 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)C12CCC(C(=O)[O-])(CC1)C2 ZINC001600993202 1168550918 /nfs/dbraw/zinc/55/09/18/1168550918.db2.gz LFJSJYNUVPVSKK-ISAOAKOHSA-N 0 2 307.350 0.605 20 0 DCADLN Cn1nc(NC(=O)NCCCCn2cc[nH+]c2)cc1C(=O)[O-] ZINC001601016681 1168566169 /nfs/dbraw/zinc/56/61/69/1168566169.db2.gz FZQLNDMUVVEBPJ-UHFFFAOYSA-N 0 2 306.326 0.917 20 0 DCADLN Cn1ncc2c1nc[nH+]c2N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC001601030705 1168572439 /nfs/dbraw/zinc/57/24/39/1168572439.db2.gz IYINIQNWHRAOIP-LKFCYVNXSA-N 0 2 303.322 0.291 20 0 DCADLN NC(=O)N[C@@H]1CC[N@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001601157280 1168610868 /nfs/dbraw/zinc/61/08/68/1168610868.db2.gz IARCKQYPZAWGKI-SECBINFHSA-N 0 2 303.322 0.504 20 0 DCADLN NC(=O)N[C@@H]1CC[N@@H+](Cc2nc3cc(C(=O)[O-])ccc3[nH]2)C1 ZINC001601157280 1168610882 /nfs/dbraw/zinc/61/08/82/1168610882.db2.gz IARCKQYPZAWGKI-SECBINFHSA-N 0 2 303.322 0.504 20 0 DCADLN [NH3+][C@H](CCC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC001601173989 1168621120 /nfs/dbraw/zinc/62/11/20/1168621120.db2.gz JNBKBUPEHNYLAJ-SNVBAGLBSA-N 0 2 303.322 0.280 20 0 DCADLN O=C([O-])c1cccc(NC(=O)C[NH+]2CCC(O)(CO)CC2)c1 ZINC001601303882 1168696075 /nfs/dbraw/zinc/69/60/75/1168696075.db2.gz HUBFRTGMFJAXEJ-UHFFFAOYSA-N 0 2 308.334 0.143 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](CC(=O)N2CCOC[C@@H]2C2CC2)C1 ZINC001601306259 1168697109 /nfs/dbraw/zinc/69/71/09/1168697109.db2.gz WTKCBEMRGZXRCE-BXUZGUMPSA-N 0 2 300.330 0.122 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](CC(=O)N2CCOC[C@@H]2C2CC2)C1 ZINC001601306259 1168697111 /nfs/dbraw/zinc/69/71/11/1168697111.db2.gz WTKCBEMRGZXRCE-BXUZGUMPSA-N 0 2 300.330 0.122 20 0 DCADLN O=C([O-])[C@H](Cc1cncs1)NC(=O)CNc1cccc[nH+]1 ZINC001601329990 1168709846 /nfs/dbraw/zinc/70/98/46/1168709846.db2.gz FMXHHYFWMANSDY-JTQLQIEISA-N 0 2 306.347 0.762 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CSCc1ccccn1 ZINC001601347849 1168716063 /nfs/dbraw/zinc/71/60/63/1168716063.db2.gz JKWONUFIYTYNAA-GFCCVEGCSA-N 0 2 320.374 0.850 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CSCc1ccccn1 ZINC001601347849 1168716069 /nfs/dbraw/zinc/71/60/69/1168716069.db2.gz JKWONUFIYTYNAA-GFCCVEGCSA-N 0 2 320.374 0.850 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1csc(Cl)n1 ZINC001601425531 1168742177 /nfs/dbraw/zinc/74/21/77/1168742177.db2.gz CMIFIZUUJJLCQX-ZCFIWIBFSA-N 0 2 300.727 0.876 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCc2ncncc2C1 ZINC001601426025 1168743192 /nfs/dbraw/zinc/74/31/92/1168743192.db2.gz PNSUMDHDAREPBW-ZWNOBZJWSA-N 0 2 315.333 0.048 20 0 DCADLN O=C([O-])C1(CNC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC001601469051 1168757485 /nfs/dbraw/zinc/75/74/85/1168757485.db2.gz NCSSLHASWREHBF-LLVKDONJSA-N 0 2 304.350 0.824 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CC12CCSCC2 ZINC001601662863 1168807694 /nfs/dbraw/zinc/80/76/94/1168807694.db2.gz LONKZHPRZPJVDV-GHMZBOCLSA-N 0 2 309.391 0.986 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)CC1 ZINC001601710721 1168821875 /nfs/dbraw/zinc/82/18/75/1168821875.db2.gz HUCOZTKATMYBEG-JTQLQIEISA-N 0 2 313.379 0.784 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)NCCCCn2cc[nH+]c2)CC1 ZINC001601716656 1168828903 /nfs/dbraw/zinc/82/89/03/1168828903.db2.gz ZWWOVHMOEAMBOH-UHFFFAOYSA-N 0 2 301.368 0.448 20 0 DCADLN O=C([O-])C1(O)CC[NH+](Cc2ncnn2-c2ccccc2)CC1 ZINC001601723458 1168833892 /nfs/dbraw/zinc/83/38/92/1168833892.db2.gz NOAMTZCFMFLMDN-UHFFFAOYSA-N 0 2 302.334 0.679 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](Cc2ncnn2-c2ccccc2)C1 ZINC001601767385 1168865968 /nfs/dbraw/zinc/86/59/68/1168865968.db2.gz DOVLVXWYEFNZOS-WCQYABFASA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](Cc2ncnn2-c2ccccc2)C1 ZINC001601767385 1168865973 /nfs/dbraw/zinc/86/59/73/1168865973.db2.gz DOVLVXWYEFNZOS-WCQYABFASA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])CC(=O)NCc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001601888361 1168925069 /nfs/dbraw/zinc/92/50/69/1168925069.db2.gz ZRKGQSVJVHIUKK-UHFFFAOYSA-N 0 2 322.361 0.489 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@H+]1C[C@H](O)Cc1ccc(F)cc1 ZINC001601939202 1168941979 /nfs/dbraw/zinc/94/19/79/1168941979.db2.gz JQSBONJQIUYQOF-CHWSQXEVSA-N 0 2 310.325 0.004 20 0 DCADLN O=C([O-])C[C@@H]1C(=O)NCC[N@@H+]1C[C@H](O)Cc1ccc(F)cc1 ZINC001601939202 1168941984 /nfs/dbraw/zinc/94/19/84/1168941984.db2.gz JQSBONJQIUYQOF-CHWSQXEVSA-N 0 2 310.325 0.004 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)CN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001602220998 1169035771 /nfs/dbraw/zinc/03/57/71/1169035771.db2.gz UOAHRXQQGMTOBZ-CHWSQXEVSA-N 0 2 301.302 0.532 20 0 DCADLN O=C([O-])c1cc(C[NH+]2CCN(c3nccnn3)CC2)cs1 ZINC001602257399 1169045128 /nfs/dbraw/zinc/04/51/28/1169045128.db2.gz RTDHKGKJJDHHHV-UHFFFAOYSA-N 0 2 305.363 0.954 20 0 DCADLN O=C([O-])c1ccc(C(=O)NC2CC[NH+]([C@H]3CCOC3=O)CC2)[nH]1 ZINC001602320924 1169058933 /nfs/dbraw/zinc/05/89/33/1169058933.db2.gz MJGCMVXJJDUONT-LBPRGKRZSA-N 0 2 321.333 0.223 20 0 DCADLN O=C([O-])c1ccnc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001602479059 1169087218 /nfs/dbraw/zinc/08/72/18/1169087218.db2.gz GIOJJNCXZFUGEN-TXEJJXNPSA-N 0 2 305.334 0.373 20 0 DCADLN O=C([O-])c1ccnc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001602479059 1169087227 /nfs/dbraw/zinc/08/72/27/1169087227.db2.gz GIOJJNCXZFUGEN-TXEJJXNPSA-N 0 2 305.334 0.373 20 0 DCADLN CC(C)(C)n1nnc(C[N@@H+]2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)n1 ZINC001603574455 1169328022 /nfs/dbraw/zinc/32/80/22/1169328022.db2.gz ZXVLHYXLCTURSR-IINYFYTJSA-N 0 2 309.370 0.351 20 0 DCADLN CC(C)(C)n1nnc(C[N@H+]2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)n1 ZINC001603574455 1169328028 /nfs/dbraw/zinc/32/80/28/1169328028.db2.gz ZXVLHYXLCTURSR-IINYFYTJSA-N 0 2 309.370 0.351 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)CCc1c[nH+]cn1C)C(=O)[O-] ZINC001603841134 1169409474 /nfs/dbraw/zinc/40/94/74/1169409474.db2.gz ZTJSPOPKPAXSTD-LBPRGKRZSA-N 0 2 324.381 0.084 20 0 DCADLN CC(C)N1CCC[C@@H]([N@@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)C1=O ZINC001603893546 1169423505 /nfs/dbraw/zinc/42/35/05/1169423505.db2.gz LZJGOHYFMYHNDF-GFCCVEGCSA-N 0 2 306.366 0.865 20 0 DCADLN CC(C)N1CCC[C@@H]([N@H+]2CCc3[nH]nc(C(=O)[O-])c3C2)C1=O ZINC001603893546 1169423507 /nfs/dbraw/zinc/42/35/07/1169423507.db2.gz LZJGOHYFMYHNDF-GFCCVEGCSA-N 0 2 306.366 0.865 20 0 DCADLN C[C@@](CC(=O)[O-])([NH2+]Cc1nnc2n1CCOC2)c1ccccn1 ZINC001604091464 1169490176 /nfs/dbraw/zinc/49/01/76/1169490176.db2.gz ZAYVFTYWDWBBCS-HNNXBMFYSA-N 0 2 317.349 0.683 20 0 DCADLN C[C@@H](CNC(=O)c1cc(C(=O)[O-])no1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001604184213 1169517056 /nfs/dbraw/zinc/51/70/56/1169517056.db2.gz VIHMWNIFHODHRE-LPEHRKFASA-N 0 2 311.338 0.600 20 0 DCADLN C[C@@H](CNC(=O)c1cc(C(=O)[O-])no1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001604184213 1169517062 /nfs/dbraw/zinc/51/70/62/1169517062.db2.gz VIHMWNIFHODHRE-LPEHRKFASA-N 0 2 311.338 0.600 20 0 DCADLN C[C@@H](Cc1cnn(C)c1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001604240609 1169531522 /nfs/dbraw/zinc/53/15/22/1169531522.db2.gz JNQXUASBCHBODR-JOYOIKCWSA-N 0 2 305.338 0.134 20 0 DCADLN C[C@@H](Cc1cnn(C)c1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001604240609 1169531527 /nfs/dbraw/zinc/53/15/27/1169531527.db2.gz JNQXUASBCHBODR-JOYOIKCWSA-N 0 2 305.338 0.134 20 0 DCADLN CCc1nn(CCC[C@H](C)O)c(=O)c(-c2nn[nH]n2)c1CC ZINC001604579678 1169622822 /nfs/dbraw/zinc/62/28/22/1169622822.db2.gz AGGHLQKHOBYTFW-VIFPVBQESA-N 0 2 306.370 0.709 20 0 DCADLN CN(Cc1n[nH]c(=O)o1)C[C@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC001604824203 1169698782 /nfs/dbraw/zinc/69/87/82/1169698782.db2.gz VYZMUZLFDPBZES-JTQLQIEISA-N 0 2 317.309 0.121 20 0 DCADLN COCCC(=O)Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001605073603 1169807264 /nfs/dbraw/zinc/80/72/64/1169807264.db2.gz IWLIDGPLKSHNOM-UHFFFAOYSA-N 0 2 303.322 0.123 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H]1CCCC[C@@H]1O ZINC001605510690 1169943350 /nfs/dbraw/zinc/94/33/50/1169943350.db2.gz WRRPCFVXEJDLBI-UWVGGRQHSA-N 0 2 318.337 0.309 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)Nc1ccnn1C ZINC001605510911 1169944787 /nfs/dbraw/zinc/94/47/87/1169944787.db2.gz OEONMZFDDQWEFM-UHFFFAOYSA-N 0 2 300.282 0.262 20 0 DCADLN CCS(=O)(=O)NCC[N@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001605728058 1169993798 /nfs/dbraw/zinc/99/37/98/1169993798.db2.gz UGSBFXZFIUUPIN-UHFFFAOYSA-N 0 2 301.368 0.151 20 0 DCADLN CCS(=O)(=O)NCC[N@@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001605728058 1169993805 /nfs/dbraw/zinc/99/38/05/1169993805.db2.gz UGSBFXZFIUUPIN-UHFFFAOYSA-N 0 2 301.368 0.151 20 0 DCADLN CCc1oc(C(=O)[O-])cc1C[NH+]1CCN(CC(=O)OC)CC1 ZINC001605879371 1170020297 /nfs/dbraw/zinc/02/02/97/1170020297.db2.gz DXELUSHTRBZEBU-UHFFFAOYSA-N 0 2 310.350 0.831 20 0 DCADLN Cn1c(=O)[nH]c(NCC2CC(C)(C)C2)c(-c2nn[nH]n2)c1=O ZINC001606205748 1170112310 /nfs/dbraw/zinc/11/23/10/1170112310.db2.gz JJEJVBZJZBKKQY-UHFFFAOYSA-N 0 2 305.342 0.514 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2CCC(C)(C)C2)c(-c2nn[nH]n2)c1=O ZINC001606206126 1170112634 /nfs/dbraw/zinc/11/26/34/1170112634.db2.gz RTNBROLIZXOAPZ-SSDOTTSWSA-N 0 2 305.342 0.657 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2ccc(C(N)=O)s2)C[C@]1(C)C(=O)[O-] ZINC001606205549 1170113123 /nfs/dbraw/zinc/11/31/23/1170113123.db2.gz PVHBXGGQFXFBHS-FUXBKTLASA-N 0 2 312.347 0.551 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2ccc(C(N)=O)s2)C[C@]1(C)C(=O)[O-] ZINC001606205549 1170113127 /nfs/dbraw/zinc/11/31/27/1170113127.db2.gz PVHBXGGQFXFBHS-FUXBKTLASA-N 0 2 312.347 0.551 20 0 DCADLN C[N@@H+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])co2)C(C)(C)C1 ZINC001606244861 1170134008 /nfs/dbraw/zinc/13/40/08/1170134008.db2.gz LSNSMJHLYRBOOL-UHFFFAOYSA-N 0 2 302.352 0.693 20 0 DCADLN C[N@H+]1CCN(S(=O)(=O)c2cc(C(=O)[O-])co2)C(C)(C)C1 ZINC001606244861 1170134010 /nfs/dbraw/zinc/13/40/10/1170134010.db2.gz LSNSMJHLYRBOOL-UHFFFAOYSA-N 0 2 302.352 0.693 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC001606334674 1170162221 /nfs/dbraw/zinc/16/22/21/1170162221.db2.gz ZAWYOMMCXUZSKA-KWQFWETISA-N 0 2 313.272 0.640 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[N@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC001606334674 1170162228 /nfs/dbraw/zinc/16/22/28/1170162228.db2.gz ZAWYOMMCXUZSKA-KWQFWETISA-N 0 2 313.272 0.640 20 0 DCADLN COC(=O)c1cccc([C@@H]([NH2+][C@H]2CCN(C)C2=O)C(=O)[O-])c1 ZINC001606612298 1170242377 /nfs/dbraw/zinc/24/23/77/1170242377.db2.gz XTBLHNXPMABKAL-NWDGAFQWSA-N 0 2 306.318 0.419 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2cc(F)cc(C(=O)[O-])c2)CC1 ZINC001606895256 1170325193 /nfs/dbraw/zinc/32/51/93/1170325193.db2.gz OAQVRMKPEPPQPW-UHFFFAOYSA-N 0 2 310.325 0.928 20 0 DCADLN COCc1cncc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001606971409 1170342827 /nfs/dbraw/zinc/34/28/27/1170342827.db2.gz KBTNPMOVQUZXLW-LBPRGKRZSA-N 0 2 304.306 0.377 20 0 DCADLN COCc1cncc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001606971409 1170342836 /nfs/dbraw/zinc/34/28/36/1170342836.db2.gz KBTNPMOVQUZXLW-LBPRGKRZSA-N 0 2 304.306 0.377 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CCC1(O)CC1)CCCC2 ZINC001607089536 1170386056 /nfs/dbraw/zinc/38/60/56/1170386056.db2.gz YUKFCCJWYJCKCJ-UHFFFAOYSA-N 0 2 301.350 0.822 20 0 DCADLN O=c1c(-c2nn[nH]n2)cnc2ncn(CC34CCC(CC3)C4)n21 ZINC001607089878 1170386142 /nfs/dbraw/zinc/38/61/42/1170386142.db2.gz PCEAUAYGWBGYGX-UHFFFAOYSA-N 0 2 312.337 0.651 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cccc2cccnc21 ZINC001607088098 1170386283 /nfs/dbraw/zinc/38/62/83/1170386283.db2.gz CKPONIBIFVPLHV-UHFFFAOYSA-N 0 2 321.300 0.726 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1C[C@H]1CCC2(CCOCC2)O1 ZINC001607091964 1170390253 /nfs/dbraw/zinc/39/02/53/1170390253.db2.gz OBVOJXUPMZZSOC-LLVKDONJSA-N 0 2 317.349 0.757 20 0 DCADLN c1ncc(-c2nn[nH]n2)nc1NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001607139180 1170408725 /nfs/dbraw/zinc/40/87/25/1170408725.db2.gz XHAFIIPHVXECIW-QMMMGPOBSA-N 0 2 314.313 0.238 20 0 DCADLN COc1ccccc1[C@H](O)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001607339538 1170431483 /nfs/dbraw/zinc/43/14/83/1170431483.db2.gz ZFKWLIDIWQOEFS-AAEUAGOBSA-N 0 2 319.317 0.264 20 0 DCADLN COc1ccccc1[C@H](O)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001607339538 1170431490 /nfs/dbraw/zinc/43/14/90/1170431490.db2.gz ZFKWLIDIWQOEFS-AAEUAGOBSA-N 0 2 319.317 0.264 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[NH+]1CCC2(CNC(=O)O2)CC1 ZINC001607496333 1170467214 /nfs/dbraw/zinc/46/72/14/1170467214.db2.gz WDRBXTUGGSUEPC-UHFFFAOYSA-N 0 2 321.333 0.778 20 0 DCADLN Cc1c(-c2cc(C[N@@H+]3CCC[C@H](O)[C@H]3C(=O)[O-])on2)cnn1C ZINC001607502712 1170468716 /nfs/dbraw/zinc/46/87/16/1170468716.db2.gz UFVLPEBFQROAIC-KBPBESRZSA-N 0 2 320.349 0.793 20 0 DCADLN Cc1c(-c2cc(C[N@H+]3CCC[C@H](O)[C@H]3C(=O)[O-])on2)cnn1C ZINC001607502712 1170468718 /nfs/dbraw/zinc/46/87/18/1170468718.db2.gz UFVLPEBFQROAIC-KBPBESRZSA-N 0 2 320.349 0.793 20 0 DCADLN Cc1ccc(NC[C@@H]2CCN(S(C)(=O)=O)C2)[nH+]c1C(=O)[O-] ZINC001607808423 1170509889 /nfs/dbraw/zinc/50/98/89/1170509889.db2.gz CCPPHKNWJULCSG-JTQLQIEISA-N 0 2 313.379 0.782 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[NH+](C[C@H](C)O)CC1 ZINC001607917831 1170524333 /nfs/dbraw/zinc/52/43/33/1170524333.db2.gz SBSSLPQAXWYCJZ-NSHDSACASA-N 0 2 310.350 0.354 20 0 DCADLN Cc1nnc(C[NH+]2CCC(CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)o1 ZINC001608016655 1170548925 /nfs/dbraw/zinc/54/89/25/1170548925.db2.gz ROJMBIXAYXHHIY-VXGBXAGGSA-N 0 2 322.365 0.427 20 0 DCADLN Cn1ncc(Br)c1C[N@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001608153009 1170599048 /nfs/dbraw/zinc/59/90/48/1170599048.db2.gz AEIXIMSFDJNEGE-SFYZADRCSA-N 0 2 318.171 0.450 20 0 DCADLN Cn1ncc(Br)c1C[N@@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001608153009 1170599051 /nfs/dbraw/zinc/59/90/51/1170599051.db2.gz AEIXIMSFDJNEGE-SFYZADRCSA-N 0 2 318.171 0.450 20 0 DCADLN O=C([O-])c1ncoc1CCC(=O)NCCNc1cccc[nH+]1 ZINC001608322683 1170649693 /nfs/dbraw/zinc/64/96/93/1170649693.db2.gz VLOAGWFLGIYOIP-UHFFFAOYSA-N 0 2 304.306 0.929 20 0 DCADLN O=C(CNC(=O)C1CCCCC1)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001608338160 1170654537 /nfs/dbraw/zinc/65/45/37/1170654537.db2.gz ZAINGXSGZCJUSK-LBPRGKRZSA-N 0 2 322.365 0.218 20 0 DCADLN O=C(CNC(=O)C1CCCCC1)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001608338160 1170654538 /nfs/dbraw/zinc/65/45/38/1170654538.db2.gz ZAINGXSGZCJUSK-LBPRGKRZSA-N 0 2 322.365 0.218 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)COCc1ccccc1 ZINC001608349164 1170657385 /nfs/dbraw/zinc/65/73/85/1170657385.db2.gz XMMHHRJDYNVCSA-CYBMUJFWSA-N 0 2 303.318 0.669 20 0 DCADLN O=C([O-])[C@H](Cc1cncs1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001608402947 1170669961 /nfs/dbraw/zinc/66/99/61/1170669961.db2.gz XRADTTQDYYEWGS-KOLCDFICSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCCC12OCCO2 ZINC001608487334 1170688536 /nfs/dbraw/zinc/68/85/36/1170688536.db2.gz TURYGCDZTJHOOT-GHMZBOCLSA-N 0 2 309.322 0.065 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCCC12OCCO2 ZINC001608487334 1170688542 /nfs/dbraw/zinc/68/85/42/1170688542.db2.gz TURYGCDZTJHOOT-GHMZBOCLSA-N 0 2 309.322 0.065 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](Cc2cn(C[C@@H]3CCCO3)nn2)CC1 ZINC001608557353 1170701975 /nfs/dbraw/zinc/70/19/75/1170701975.db2.gz JTERIMNKDPDUKR-KBPBESRZSA-N 0 2 324.381 0.115 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](Cc2cn(C[C@H]3CCCO3)nn2)CC1 ZINC001608557365 1170702042 /nfs/dbraw/zinc/70/20/42/1170702042.db2.gz JTERIMNKDPDUKR-ZIAGYGMSSA-N 0 2 324.381 0.115 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001608612623 1170721819 /nfs/dbraw/zinc/72/18/19/1170721819.db2.gz XYZADIZTENPICL-KBPBESRZSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001608612623 1170721822 /nfs/dbraw/zinc/72/18/22/1170721822.db2.gz XYZADIZTENPICL-KBPBESRZSA-N 0 2 321.352 0.976 20 0 DCADLN O=C([O-])/C=C\c1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)o1 ZINC001608657873 1170732942 /nfs/dbraw/zinc/73/29/42/1170732942.db2.gz VJGSUIYXTLPBNB-QQHVMHFCSA-N 0 2 320.345 0.924 20 0 DCADLN O=C([O-])CC1CC(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001608691551 1170738291 /nfs/dbraw/zinc/73/82/91/1170738291.db2.gz NBWLMFUVZZMIIN-BPNZPQAUSA-N 0 2 311.382 0.402 20 0 DCADLN O=C([O-])CC1CC(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001608691551 1170738294 /nfs/dbraw/zinc/73/82/94/1170738294.db2.gz NBWLMFUVZZMIIN-BPNZPQAUSA-N 0 2 311.382 0.402 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)CN2CCc3[nH+]c[nH]c3C2)n1 ZINC001608792118 1170754965 /nfs/dbraw/zinc/75/49/65/1170754965.db2.gz PYMPAYUCGSJKBC-UHFFFAOYSA-N 0 2 321.362 0.490 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(N[C@@H]2CCN(C3CCOCC3)C2=O)c1 ZINC001608791805 1170754995 /nfs/dbraw/zinc/75/49/95/1170754995.db2.gz ZMULZDMZKPOVCE-CYBMUJFWSA-N 0 2 319.361 0.901 20 0 DCADLN O=C([O-])[C@@]1(O)CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001609028003 1170787397 /nfs/dbraw/zinc/78/73/97/1170787397.db2.gz HANIVWQFQYNLLG-OAHLLOKOSA-N 0 2 301.302 0.534 20 0 DCADLN Cc1nn(C[C@@H]2CCO[C@H](C)C2)c(=O)c(-c2nn[nH]n2)c1C ZINC001609459322 1170984598 /nfs/dbraw/zinc/98/45/98/1170984598.db2.gz AQBHOXKEPXIZBZ-LDYMZIIASA-N 0 2 304.354 0.855 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-])C1CC1 ZINC001609725279 1171075083 /nfs/dbraw/zinc/07/50/83/1171075083.db2.gz VZJAHLDJOQRJBU-YVLXSGLVSA-N 0 2 310.394 0.686 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-])C1CC1 ZINC001609725279 1171075089 /nfs/dbraw/zinc/07/50/89/1171075089.db2.gz VZJAHLDJOQRJBU-YVLXSGLVSA-N 0 2 310.394 0.686 20 0 DCADLN CN1C(=O)CN(C[N@@H+]2CCc3ccc(C(=O)[O-])cc3C2)C1=O ZINC001610127236 1171164922 /nfs/dbraw/zinc/16/49/22/1171164922.db2.gz AHMOPFOVPMCGHA-UHFFFAOYSA-N 0 2 303.318 0.594 20 0 DCADLN CN1C(=O)CN(C[N@H+]2CCc3ccc(C(=O)[O-])cc3C2)C1=O ZINC001610127236 1171164928 /nfs/dbraw/zinc/16/49/28/1171164928.db2.gz AHMOPFOVPMCGHA-UHFFFAOYSA-N 0 2 303.318 0.594 20 0 DCADLN COCc1ccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001610270523 1171213913 /nfs/dbraw/zinc/21/39/13/1171213913.db2.gz ALGSKGYKTACTJU-ZDUSSCGKSA-N 0 2 303.318 0.913 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)N2CC[NH+](CCO)CC2)c(C)c1C(=O)[O-] ZINC001610396177 1171229585 /nfs/dbraw/zinc/22/95/85/1171229585.db2.gz ARMZOLSLIMAYGL-LBPRGKRZSA-N 0 2 323.393 0.511 20 0 DCADLN Cc1cc([C@H](C)[N@@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)oc1C(=O)[O-] ZINC001610444341 1171236463 /nfs/dbraw/zinc/23/64/63/1171236463.db2.gz DVTOXIGZDLJDDN-IUCAKERBSA-N 0 2 307.306 0.583 20 0 DCADLN Cc1cc([C@H](C)[N@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)oc1C(=O)[O-] ZINC001610444341 1171236468 /nfs/dbraw/zinc/23/64/68/1171236468.db2.gz DVTOXIGZDLJDDN-IUCAKERBSA-N 0 2 307.306 0.583 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C(=O)N1CC[NH+](C)CC1 ZINC001610485505 1171241809 /nfs/dbraw/zinc/24/18/09/1171241809.db2.gz ABZVDQRTWPXVOS-UHFFFAOYSA-N 0 2 305.334 0.406 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cn(C2CCC2)nn1 ZINC001610680856 1171290075 /nfs/dbraw/zinc/29/00/75/1171290075.db2.gz AMZIXWPKPLWVTR-LLVKDONJSA-N 0 2 304.310 0.083 20 0 DCADLN O=C([O-])Cn1cc(CNc2ccc(N3CCCC3)[nH+]c2)nn1 ZINC001610800246 1171328819 /nfs/dbraw/zinc/32/88/19/1171328819.db2.gz PJIRJLLJGZTESR-UHFFFAOYSA-N 0 2 302.338 0.970 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CCCC[C@H]2CN2CCOCC2)nn1 ZINC001610800555 1171329425 /nfs/dbraw/zinc/32/94/25/1171329425.db2.gz ZBIYXOQVKWHJCF-AWEZNQCLSA-N 0 2 323.397 0.049 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CCCC[C@H]2CN2CCOCC2)nn1 ZINC001610800555 1171329431 /nfs/dbraw/zinc/32/94/31/1171329431.db2.gz ZBIYXOQVKWHJCF-AWEZNQCLSA-N 0 2 323.397 0.049 20 0 DCADLN COc1ccccc1-c1cc(C(=O)NN2CC(=O)NC2=O)no1 ZINC000030041101 1171417119 /nfs/dbraw/zinc/41/71/19/1171417119.db2.gz SSUGBAGDTBPAIL-UHFFFAOYSA-N 0 2 316.273 0.547 20 0 DCADLN Cc1nc(NC(=O)NCc2n[nH]c(=O)[nH]2)nn1-c1ccccc1 ZINC001625141646 1171465697 /nfs/dbraw/zinc/46/56/97/1171465697.db2.gz AROMOBISJUPHMF-UHFFFAOYSA-N 0 2 314.309 0.721 20 0 DCADLN CCn1ncn(NC(=O)c2cc(F)cc3[nH]c(=S)[nH]c32)c1=O ZINC001625344574 1171469165 /nfs/dbraw/zinc/46/91/65/1171469165.db2.gz MOCPTCGZTNSACD-UHFFFAOYSA-N 0 2 322.325 0.753 20 0 DCADLN C[C@]1(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CCNC1=O ZINC001627165262 1171498073 /nfs/dbraw/zinc/49/80/73/1171498073.db2.gz XUAUPSXPSGYPRJ-AWEZNQCLSA-N 0 2 301.306 0.642 20 0 DCADLN COC[C@@H](NC(=O)c1cc(F)cc2[nH]cnc21)c1nn[nH]n1 ZINC001634622617 1171681047 /nfs/dbraw/zinc/68/10/47/1171681047.db2.gz WREDPFIGLLNWBB-SECBINFHSA-N 0 2 305.273 0.333 20 0 DCADLN COC[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1nn[nH]n1 ZINC001634622617 1171681050 /nfs/dbraw/zinc/68/10/50/1171681050.db2.gz WREDPFIGLLNWBB-SECBINFHSA-N 0 2 305.273 0.333 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cc(C=O)ccc2OC)C1=O ZINC001635574078 1171707311 /nfs/dbraw/zinc/70/73/11/1171707311.db2.gz UBKCBRIDMDMJQP-OAHLLOKOSA-N 0 2 319.317 0.873 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc(=O)c2ccccc2o1 ZINC001642457190 1171946852 /nfs/dbraw/zinc/94/68/52/1171946852.db2.gz YMCKXTOHQZVGGX-UHFFFAOYSA-N 0 2 313.269 0.924 20 0 DCADLN O=C(c1ccc2n[nH]c(=S)n2c1)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001643387168 1171973402 /nfs/dbraw/zinc/97/34/02/1171973402.db2.gz NVJKPTZPEMIQPV-SSDOTTSWSA-N 0 2 316.350 0.161 20 0 DCADLN COCc1nc(S(=O)(=O)CCc2scnc2C)n[nH]1 ZINC001645608210 1172071982 /nfs/dbraw/zinc/07/19/82/1172071982.db2.gz POYZACNJQBCOCV-UHFFFAOYSA-N 0 2 302.381 0.732 20 0 DCADLN C[C@@H](C[C@@H]1CCOC1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001645604668 1172072585 /nfs/dbraw/zinc/07/25/85/1172072585.db2.gz BEXQNROIJSHWJR-UWVGGRQHSA-N 0 2 305.338 0.003 20 0 DCADLN Cc1nc([C@@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)no1 ZINC001645720022 1172113779 /nfs/dbraw/zinc/11/37/79/1172113779.db2.gz RCOJWQDLGLGLEL-ZCFIWIBFSA-N 0 2 304.266 0.067 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)c1ncon1 ZINC001646387104 1172360133 /nfs/dbraw/zinc/36/01/33/1172360133.db2.gz MIPIQFQEIYIVTR-MRVPVSSYSA-N 0 2 301.310 0.727 20 0 DCADLN CCn1[nH]cc(-c2nnc(N3CCC(=O)N(C)CC3)n2C)c1=O ZINC001646691944 1172477625 /nfs/dbraw/zinc/47/76/25/1172477625.db2.gz WYURHEVMMWIJRI-UHFFFAOYSA-N 0 2 319.369 0.073 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1nc2c(s1)COCC2 ZINC001647323346 1172811518 /nfs/dbraw/zinc/81/15/18/1172811518.db2.gz LNIRMPDODJIOOJ-UHFFFAOYSA-N 0 2 301.353 0.145 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)CCN3CCCC3=O)CC2)CC1 ZINC001647366904 1172844128 /nfs/dbraw/zinc/84/41/28/1172844128.db2.gz IMWJWTYLSLSIBM-UHFFFAOYSA-N 0 2 322.453 0.237 20 0 DCADLN C[C@H](c1nncn1C)n1cc(CNC(=O)C(F)(F)F)nn1 ZINC001647370316 1172847455 /nfs/dbraw/zinc/84/74/55/1172847455.db2.gz NSSGOCJJIXPVNS-ZCFIWIBFSA-N 0 2 303.248 0.194 20 0 DCADLN CCOC(=O)[C@H]1CCC[N@H+](CC(=O)N[C@H]2C[NH+]3CCC2CC3)C1 ZINC001647604961 1172996897 /nfs/dbraw/zinc/99/68/97/1172996897.db2.gz PFRJINUCMQUIGZ-GJZGRUSLSA-N 0 2 323.437 0.472 20 0 DCADLN CC(=Cc1ccco1)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001647783288 1173055034 /nfs/dbraw/zinc/05/50/34/1173055034.db2.gz MXUVQZZZVSVLED-TWGQIWQCSA-N 0 2 324.362 0.662 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1nnc([C@@H]2CCCO2)o1 ZINC001649774984 1173216351 /nfs/dbraw/zinc/21/63/51/1173216351.db2.gz HDIQDIXEHFQWMT-QMMMGPOBSA-N 0 2 313.339 0.938 20 0 DCADLN Cc1nnc([C@@H](C)n2cc(CNC(=O)C(F)(F)F)nn2)o1 ZINC001649972145 1173350237 /nfs/dbraw/zinc/35/02/37/1173350237.db2.gz RRFHABHSVISXGE-RXMQYKEDSA-N 0 2 304.232 0.757 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2ccc3n2CC[NH2+][C@H]3C)CC1 ZINC001650335456 1173573472 /nfs/dbraw/zinc/57/34/72/1173573472.db2.gz TUWMGBKEENJMIK-ZDUSSCGKSA-N 0 2 306.410 0.557 20 0 DCADLN COc1ncc(C=CC(=O)N[C@H](C)c2nn(C)cc2O)cn1 ZINC001653245482 1173783942 /nfs/dbraw/zinc/78/39/42/1173783942.db2.gz QOUBQZOGGRYZCD-XRVBUDJMSA-N 0 2 303.322 0.815 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001668779398 1174837545 /nfs/dbraw/zinc/83/75/45/1174837545.db2.gz IUBNYDWPPHDNAK-XRVBUDJMSA-N 0 2 320.353 0.752 20 0 DCADLN CCCCCC(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001673550121 1175210588 /nfs/dbraw/zinc/21/05/88/1175210588.db2.gz IEVJAQZAVRFHKA-NSHDSACASA-N 0 2 323.397 0.550 20 0 DCADLN CCCCCC(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001673550120 1175211053 /nfs/dbraw/zinc/21/10/53/1175211053.db2.gz IEVJAQZAVRFHKA-LLVKDONJSA-N 0 2 323.397 0.550 20 0 DCADLN C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001682397025 1175936976 /nfs/dbraw/zinc/93/69/76/1175936976.db2.gz KHWAYDBTPSVWGY-DMRXWTOCSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001682397025 1175936979 /nfs/dbraw/zinc/93/69/79/1175936979.db2.gz KHWAYDBTPSVWGY-DMRXWTOCSA-N 0 2 312.263 0.541 20 0 DCADLN O=C(C[C@H]1CC=CCC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001699628882 1178225742 /nfs/dbraw/zinc/22/57/42/1178225742.db2.gz ZJWSCLIQOLKYHB-RYUDHWBXSA-N 0 2 305.382 0.947 20 0 DCADLN COCCO[C@H](C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720130771 1178721495 /nfs/dbraw/zinc/72/14/95/1178721495.db2.gz ZALKUSZDLZOVIT-SFYZADRCSA-N 0 2 318.267 0.171 20 0 DCADLN COCCO[C@H](C)C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001720130771 1178721499 /nfs/dbraw/zinc/72/14/99/1178721499.db2.gz ZALKUSZDLZOVIT-SFYZADRCSA-N 0 2 318.267 0.171 20 0 DCADLN CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001700874196 1178786870 /nfs/dbraw/zinc/78/68/70/1178786870.db2.gz CYDJACOWYIEEBY-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001700874196 1178786873 /nfs/dbraw/zinc/78/68/73/1178786873.db2.gz CYDJACOWYIEEBY-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(C=C1CCC1)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001702358950 1179192210 /nfs/dbraw/zinc/19/22/10/1179192210.db2.gz GQPNTCIBJAXYGB-WCABBAIRSA-N 0 2 324.274 0.685 20 0 DCADLN O=C(C=C1CCC1)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001702358950 1179192213 /nfs/dbraw/zinc/19/22/13/1179192213.db2.gz GQPNTCIBJAXYGB-WCABBAIRSA-N 0 2 324.274 0.685 20 0 DCADLN CCCOCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001703066672 1179267069 /nfs/dbraw/zinc/26/70/69/1179267069.db2.gz LGMQYGNYYXINMT-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN CCCOCC(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001703066672 1179267073 /nfs/dbraw/zinc/26/70/73/1179267073.db2.gz LGMQYGNYYXINMT-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN COc1cccnc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001703217880 1179400101 /nfs/dbraw/zinc/40/01/01/1179400101.db2.gz HYYQRXKVWDRKPA-VIFPVBQESA-N 0 2 323.246 0.837 20 0 DCADLN COc1cccnc1C(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001703217880 1179400105 /nfs/dbraw/zinc/40/01/05/1179400105.db2.gz HYYQRXKVWDRKPA-VIFPVBQESA-N 0 2 323.246 0.837 20 0 DCADLN CCCOCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001704527485 1180043003 /nfs/dbraw/zinc/04/30/03/1180043003.db2.gz QKEOAAUAWZNJGT-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN CCCOCC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001704527485 1180043007 /nfs/dbraw/zinc/04/30/07/1180043007.db2.gz QKEOAAUAWZNJGT-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN COCCCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH+]cn1C ZINC001713939956 1181162993 /nfs/dbraw/zinc/16/29/93/1181162993.db2.gz QDKMTELETONEFZ-GJZGRUSLSA-N 0 2 306.410 0.674 20 0 DCADLN CC1(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001715280025 1181751887 /nfs/dbraw/zinc/75/18/87/1181751887.db2.gz ARRHOUNJMCTKLE-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN CCCOCC(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001717859264 1183036672 /nfs/dbraw/zinc/03/66/72/1183036672.db2.gz DVNMLLQSCKATAK-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CCCOCC(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001717859264 1183036678 /nfs/dbraw/zinc/03/66/78/1183036678.db2.gz DVNMLLQSCKATAK-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CCN(CCCNC(=O)CC(N)=O)C(=O)[C@H](F)C(F)(F)F ZINC001720595190 1183665104 /nfs/dbraw/zinc/66/51/04/1183665104.db2.gz NEJFGTLAODPHJB-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN CCN(CCCNC(=O)CC(N)=O)C(=O)C(F)C(F)(F)F ZINC001720595190 1183665089 /nfs/dbraw/zinc/66/50/89/1183665089.db2.gz NEJFGTLAODPHJB-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN CN(CCCNC(=O)c1cc(C2CC2)no1)Cc1nnn(C)n1 ZINC001731270446 1185231426 /nfs/dbraw/zinc/23/14/26/1185231426.db2.gz SHLCEZYATCMXAQ-UHFFFAOYSA-N 0 2 319.369 0.327 20 0 DCADLN CN(CCCNC(=O)c1ccncn1)C(=O)C(F)C(F)(F)F ZINC001743664076 1188153004 /nfs/dbraw/zinc/15/30/04/1188153004.db2.gz YFXQQSBPIWQKSM-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1ccncn1)C(=O)[C@H](F)C(F)(F)F ZINC001743664076 1188153009 /nfs/dbraw/zinc/15/30/09/1188153009.db2.gz YFXQQSBPIWQKSM-VIFPVBQESA-N 0 2 322.262 0.955 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H]1CCOC1)C(F)C(F)(F)F ZINC001747118561 1188240319 /nfs/dbraw/zinc/24/03/19/1188240319.db2.gz JFSHQTQJLRRUQI-XOINZRLQSA-N 0 2 312.263 0.712 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H]1CCOC1)[C@H](F)C(F)(F)F ZINC001747118561 1188240323 /nfs/dbraw/zinc/24/03/23/1188240323.db2.gz JFSHQTQJLRRUQI-XOINZRLQSA-N 0 2 312.263 0.712 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccnc(F)c1 ZINC001754301624 1188813984 /nfs/dbraw/zinc/81/39/84/1188813984.db2.gz SABFADBEWTXASY-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccnc(F)c1 ZINC001754301624 1188813986 /nfs/dbraw/zinc/81/39/86/1188813986.db2.gz SABFADBEWTXASY-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN CC(C)=CC(=O)NC[C@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001755052699 1189006642 /nfs/dbraw/zinc/00/66/42/1189006642.db2.gz HBNXVCMQEYHOGQ-LLVKDONJSA-N 0 2 321.381 0.326 20 0 DCADLN O=C(NCC=CCNC(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC001759718097 1190278638 /nfs/dbraw/zinc/27/86/38/1190278638.db2.gz NYXQXQZEHFAOII-QOHHWTFISA-N 0 2 309.223 0.107 20 0 DCADLN CC(C)(C)n1nnc(C[N@@H+]2C[C@H]3COCC[C@]3(C(=O)[O-])C2)n1 ZINC001771771692 1190496027 /nfs/dbraw/zinc/49/60/27/1190496027.db2.gz ZXVLHYXLCTURSR-HZMBPMFUSA-N 0 2 309.370 0.351 20 0 DCADLN CC(C)(C)n1nnc(C[N@H+]2C[C@H]3COCC[C@]3(C(=O)[O-])C2)n1 ZINC001771771692 1190496028 /nfs/dbraw/zinc/49/60/28/1190496028.db2.gz ZXVLHYXLCTURSR-HZMBPMFUSA-N 0 2 309.370 0.351 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@@]2(C(=O)[O-])CCSC2)[nH]n1 ZINC001771918566 1190555939 /nfs/dbraw/zinc/55/59/39/1190555939.db2.gz PWXNFZFZJUMWRM-FZMZJTMJSA-N 0 2 324.406 0.867 20 0 DCADLN C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@@]2(C(=O)[O-])CCSC2)[nH]n1 ZINC001771918566 1190555942 /nfs/dbraw/zinc/55/59/42/1190555942.db2.gz PWXNFZFZJUMWRM-FZMZJTMJSA-N 0 2 324.406 0.867 20 0 DCADLN C[C@H]1CN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)[C@@H](C)C[NH2+]1 ZINC001772226362 1190635482 /nfs/dbraw/zinc/63/54/82/1190635482.db2.gz MIJKOMBHGQHRNI-XQLPTFJDSA-N 0 2 311.426 0.075 20 0 DCADLN CN(C(=O)C1CCC1)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001042398607 751622933 /nfs/dbraw/zinc/62/29/33/751622933.db2.gz CGJVAWWSSBRYJO-UHFFFAOYSA-N 0 2 315.377 0.465 20 0 DCADLN Cc1ccncc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042571394 751754931 /nfs/dbraw/zinc/75/49/31/751754931.db2.gz INGKHDABGSHLKW-UHFFFAOYSA-N 0 2 302.338 0.170 20 0 DCADLN CN(C(=O)C[C@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042604106 751773541 /nfs/dbraw/zinc/77/35/41/751773541.db2.gz BLYPEPFOLAPIIR-LLVKDONJSA-N 0 2 309.370 0.112 20 0 DCADLN CC[C@H]1OCCC[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042883806 751964984 /nfs/dbraw/zinc/96/49/84/751964984.db2.gz CVIJTWFJODJMIC-NWDGAFQWSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)[C@@H](C)OC)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001079408052 755828060 /nfs/dbraw/zinc/82/80/60/755828060.db2.gz MTMZIISHHZYMFB-APPZFPTMSA-N 0 2 314.279 0.981 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccoc2)C1)C(F)C(F)(F)F ZINC001043376554 752202812 /nfs/dbraw/zinc/20/28/12/752202812.db2.gz RAZFGTSFMXMIKD-MRVPVSSYSA-N 0 2 324.230 0.483 20 0 DCADLN O=C(NCC1(O)CN(C(=O)c2ccoc2)C1)[C@@H](F)C(F)(F)F ZINC001043376554 752202818 /nfs/dbraw/zinc/20/28/18/752202818.db2.gz RAZFGTSFMXMIKD-MRVPVSSYSA-N 0 2 324.230 0.483 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H]2CCCCO2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087544170 748956752 /nfs/dbraw/zinc/95/67/52/748956752.db2.gz WYJWBEXICQFTHS-TUAOUCFPSA-N 0 2 323.397 0.549 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@@H]2CCCCO2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087544170 748956757 /nfs/dbraw/zinc/95/67/57/748956757.db2.gz WYJWBEXICQFTHS-TUAOUCFPSA-N 0 2 323.397 0.549 20 0 DCADLN CCC[NH+]1CCC[C@H]1C(=O)N[C@H]1CC[N@H+](CC(N)=O)[C@@H]1CC ZINC001087593825 748991605 /nfs/dbraw/zinc/99/16/05/748991605.db2.gz XUFOQVSNXMDEAE-MJBXVCDLSA-N 0 2 310.442 0.315 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cncn2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087644146 749005862 /nfs/dbraw/zinc/00/58/62/749005862.db2.gz FRXVBDNDHDRDOW-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2cncn2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087644146 749005867 /nfs/dbraw/zinc/00/58/67/749005867.db2.gz FRXVBDNDHDRDOW-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1noc(C)c1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088463091 749469633 /nfs/dbraw/zinc/46/96/33/749469633.db2.gz CVYDPOOHPCEBLO-SCZZXKLOSA-N 0 2 320.353 0.508 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2CCC[N@@H+]2C)CC[NH+]1Cc1cnn(C)c1 ZINC001088494073 749508881 /nfs/dbraw/zinc/50/88/81/749508881.db2.gz KEJIGUXRLWKNBQ-VHDGCEQUSA-N 0 2 305.426 0.593 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2C[C@@H]2C(F)F)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088550929 749591951 /nfs/dbraw/zinc/59/19/51/749591951.db2.gz AIHFQSUVDXYQIL-XGEHTFHBSA-N 0 2 315.324 0.491 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H]2C[C@@H]2C(F)F)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088550929 749591956 /nfs/dbraw/zinc/59/19/56/749591956.db2.gz AIHFQSUVDXYQIL-XGEHTFHBSA-N 0 2 315.324 0.491 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@]2(C)C=CCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088800175 750039219 /nfs/dbraw/zinc/03/92/19/750039219.db2.gz UFSPXPKGBUYNMN-JRPNMDOOSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@]2(C)C=CCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088800175 750039225 /nfs/dbraw/zinc/03/92/25/750039225.db2.gz UFSPXPKGBUYNMN-JRPNMDOOSA-N 0 2 305.382 0.946 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cc(F)c[nH]2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071693061 762064635 /nfs/dbraw/zinc/06/46/35/762064635.db2.gz LLFAZBIFSHESHL-WCBMZHEXSA-N 0 2 322.344 0.760 20 0 DCADLN O=C(NC[C@@H](O)CNc1ncc(F)cn1)C(F)C(F)(F)F ZINC001106142658 750980578 /nfs/dbraw/zinc/98/05/78/750980578.db2.gz UXQIRZJIHLVNOM-RNFRBKRXSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](O)CNc1ncc(F)cn1)[C@@H](F)C(F)(F)F ZINC001106142658 750980583 /nfs/dbraw/zinc/98/05/83/750980583.db2.gz UXQIRZJIHLVNOM-RNFRBKRXSA-N 0 2 314.214 0.405 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071724150 762090611 /nfs/dbraw/zinc/09/06/11/762090611.db2.gz BRNCXLIEJLYREP-WPRPVWTQSA-N 0 2 319.369 0.325 20 0 DCADLN CCCC(=O)N1C[C@@H](C(F)(F)F)[C@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001099201005 751050949 /nfs/dbraw/zinc/05/09/49/751050949.db2.gz WOEAQPIIIQAZTC-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN Cc1cc(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)ncn1 ZINC001122760767 751154270 /nfs/dbraw/zinc/15/42/70/751154270.db2.gz KUIANHXCJFMFPP-APPZFPTMSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1cc(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001122760767 751154275 /nfs/dbraw/zinc/15/42/75/751154275.db2.gz KUIANHXCJFMFPP-APPZFPTMSA-N 0 2 310.251 0.574 20 0 DCADLN CC[C@H]1CCC[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043781928 752387051 /nfs/dbraw/zinc/38/70/51/752387051.db2.gz UNLJNZRMILXUTC-JQWIXIFHSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)c1ncccc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044087627 752557500 /nfs/dbraw/zinc/55/75/00/752557500.db2.gz HRXYKYROCLQLNN-UHFFFAOYSA-N 0 2 306.301 0.001 20 0 DCADLN CCC[C@H](OCC)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113234914 762201025 /nfs/dbraw/zinc/20/10/25/762201025.db2.gz MLFIUIYTOVCPJM-NSHDSACASA-N 0 2 311.386 0.360 20 0 DCADLN O=C(C(F)F)N1CC[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001045690425 753295879 /nfs/dbraw/zinc/29/58/79/753295879.db2.gz VPEGPOZXWUVIHF-SFYZADRCSA-N 0 2 301.297 0.341 20 0 DCADLN O=C(N[C@H]1C[C@H]([NH2+]Cc2csnn2)C12CCC2)c1cnn[nH]1 ZINC001078667615 753335453 /nfs/dbraw/zinc/33/54/53/753335453.db2.gz GUAKZZNFGZTYFZ-QWRGUYRKSA-N 0 2 319.394 0.487 20 0 DCADLN O=C(c1cnco1)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045823707 753343951 /nfs/dbraw/zinc/34/39/51/753343951.db2.gz YMZWZOHPVVYLGF-ZJUUUORDSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cnco1)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045823707 753343955 /nfs/dbraw/zinc/34/39/55/753343955.db2.gz YMZWZOHPVVYLGF-ZJUUUORDSA-N 0 2 318.337 0.377 20 0 DCADLN C[C@@]1(CNC(=O)c2cnn[nH]2)CN(Cc2ccccn2)CCO1 ZINC001108043010 753438188 /nfs/dbraw/zinc/43/81/88/753438188.db2.gz ASUGVNUXTNDTKV-OAHLLOKOSA-N 0 2 316.365 0.221 20 0 DCADLN C[C@@]1(NC(=O)c2ccccn2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046100301 753480426 /nfs/dbraw/zinc/48/04/26/753480426.db2.gz DFUVTQJTDOFJOQ-CQSZACIVSA-N 0 2 302.338 0.300 20 0 DCADLN C[C@@]1(NC(=O)c2cc(Cl)c[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046134520 753500652 /nfs/dbraw/zinc/50/06/52/753500652.db2.gz CBUDNTAAPOBHBK-CYBMUJFWSA-N 0 2 324.772 0.886 20 0 DCADLN C[C@@]1(NC(=O)c2cc(Cl)c[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046134520 753500658 /nfs/dbraw/zinc/50/06/58/753500658.db2.gz CBUDNTAAPOBHBK-CYBMUJFWSA-N 0 2 324.772 0.886 20 0 DCADLN CCn1cc(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001046208071 753558759 /nfs/dbraw/zinc/55/87/59/753558759.db2.gz PYTSMTLSGPBVPB-CQSZACIVSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1cc(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001046208071 753558762 /nfs/dbraw/zinc/55/87/62/753558762.db2.gz PYTSMTLSGPBVPB-CQSZACIVSA-N 0 2 319.369 0.121 20 0 DCADLN Cn1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046242953 753582941 /nfs/dbraw/zinc/58/29/41/753582941.db2.gz WZMQHABOFKECOI-AWEZNQCLSA-N 0 2 304.354 0.243 20 0 DCADLN Cn1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001046242953 753582945 /nfs/dbraw/zinc/58/29/45/753582945.db2.gz WZMQHABOFKECOI-AWEZNQCLSA-N 0 2 304.354 0.243 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CC23CCC3)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046660735 753855270 /nfs/dbraw/zinc/85/52/70/753855270.db2.gz BXOOXRRAEZICMW-HZMBPMFUSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@]1(NC(=O)[C@@H]2CC23CCC3)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046660735 753855273 /nfs/dbraw/zinc/85/52/73/753855273.db2.gz BXOOXRRAEZICMW-HZMBPMFUSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CCC1)c1cn[nH]n1 ZINC001063307644 755146651 /nfs/dbraw/zinc/14/66/51/755146651.db2.gz YTRHLFMUYUBCNU-ZETCQYMHSA-N 0 2 323.250 0.474 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccccn1 ZINC001096730394 755508949 /nfs/dbraw/zinc/50/89/49/755508949.db2.gz FZEBNYNZQJRQHW-JLLWLGSASA-N 0 2 314.349 0.441 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@]12C[C@H]1CCC2 ZINC001097567048 755683367 /nfs/dbraw/zinc/68/33/67/755683367.db2.gz WNJSVIKUNZXFNP-OENPGXAMSA-N 0 2 317.393 0.922 20 0 DCADLN CCN(C(=O)[C@@H](C)OC)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001079408052 755828056 /nfs/dbraw/zinc/82/80/56/755828056.db2.gz MTMZIISHHZYMFB-APPZFPTMSA-N 0 2 314.279 0.981 20 0 DCADLN CN(C)c1cc[nH+]cc1C(=O)N[C@H]1CCC[N@H+](CC(N)=O)CC1 ZINC001052872154 755848887 /nfs/dbraw/zinc/84/88/87/755848887.db2.gz XBKOSGKSDJYSGS-LBPRGKRZSA-N 0 2 319.409 0.217 20 0 DCADLN CCc1nc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)co1 ZINC001079603740 755929832 /nfs/dbraw/zinc/92/98/32/755929832.db2.gz UEUJHRHSMJDMAH-RKDXNWHRSA-N 0 2 320.353 0.311 20 0 DCADLN Cc1nocc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001080375871 756192740 /nfs/dbraw/zinc/19/27/40/756192740.db2.gz HOBJAGHNDTXBLY-GMSGAONNSA-N 0 2 306.326 0.057 20 0 DCADLN Cc1ccoc1CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080410759 756202694 /nfs/dbraw/zinc/20/26/94/756202694.db2.gz RIHQGNNATUCOBN-GHMZBOCLSA-N 0 2 319.365 0.591 20 0 DCADLN Cc1ccoc1CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001080410759 756202699 /nfs/dbraw/zinc/20/26/99/756202699.db2.gz RIHQGNNATUCOBN-GHMZBOCLSA-N 0 2 319.365 0.591 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)[nH]1 ZINC001080464476 756219790 /nfs/dbraw/zinc/21/97/90/756219790.db2.gz ZCIKVTIKGSVRFO-GMSGAONNSA-N 0 2 319.369 0.101 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)[nH]1 ZINC001080464476 756219793 /nfs/dbraw/zinc/21/97/93/756219793.db2.gz ZCIKVTIKGSVRFO-GMSGAONNSA-N 0 2 319.369 0.101 20 0 DCADLN CCCC(=O)N1CCC(CO)(NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001080930484 756388364 /nfs/dbraw/zinc/38/83/64/756388364.db2.gz XYNQVCFHDCMZAX-UHFFFAOYSA-N 0 2 322.409 0.530 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@H](C)[C@H]([NH2+]Cc3ccon3)C2)c1[O-] ZINC001054570636 756545368 /nfs/dbraw/zinc/54/53/68/756545368.db2.gz INZHQSYRLZAVBO-GZMMTYOYSA-N 0 2 305.338 0.662 20 0 DCADLN Cc1cc(C[NH2+][C@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@H]2C)no1 ZINC001054582130 756550244 /nfs/dbraw/zinc/55/02/44/756550244.db2.gz REMWYNQTTBJDFE-PELKAZGASA-N 0 2 319.365 0.970 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@@H]2CN(CCF)C[C@@H]21 ZINC001083089569 757485869 /nfs/dbraw/zinc/48/58/69/757485869.db2.gz LMFWVZXQUIYDKN-DJLDLDEBSA-N 0 2 302.243 0.768 20 0 DCADLN Cc1occc1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084176772 757708851 /nfs/dbraw/zinc/70/88/51/757708851.db2.gz GMMFUCLEWQMLBZ-ZYHUDNBSSA-N 0 2 317.349 0.758 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2COCC[N@H+]2CC[C@H](C)F)c1[O-] ZINC001050876183 758199989 /nfs/dbraw/zinc/19/99/89/758199989.db2.gz JKXDXELAMPPBDA-GXSJLCMTSA-N 0 2 314.361 0.602 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@@H]2COCC[N@@H+]2CC[C@H](C)F)c1[O-] ZINC001050876183 758199994 /nfs/dbraw/zinc/19/99/94/758199994.db2.gz JKXDXELAMPPBDA-GXSJLCMTSA-N 0 2 314.361 0.602 20 0 DCADLN CC[S@@](=O)c1ccccc1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC000823419204 758246152 /nfs/dbraw/zinc/24/61/52/758246152.db2.gz RNDBKCJZVRBURM-PVBMHHQDSA-N 0 2 323.374 0.741 20 0 DCADLN CCC(=O)NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001065236553 758299703 /nfs/dbraw/zinc/29/97/03/758299703.db2.gz IOJQOAPOWFTIOZ-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)NC[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001065236553 758299712 /nfs/dbraw/zinc/29/97/12/758299712.db2.gz IOJQOAPOWFTIOZ-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1ncsc1C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085498264 758953680 /nfs/dbraw/zinc/95/36/80/758953680.db2.gz XVEHCTPPPHSYEX-SECBINFHSA-N 0 2 322.394 0.622 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CCCOCC1 ZINC001085572170 759071427 /nfs/dbraw/zinc/07/14/27/759071427.db2.gz STRJWKMJOFXBAX-NEPJUHHUSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1C[C@H]1C1CC1 ZINC001085866602 759404622 /nfs/dbraw/zinc/40/46/22/759404622.db2.gz MQUSRTBRLREVOW-GRYCIOLGSA-N 0 2 305.382 0.589 20 0 DCADLN Cc1ccnc(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001122762244 767871842 /nfs/dbraw/zinc/87/18/42/767871842.db2.gz XDVOLYVGVZITIX-YUMQZZPRSA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccnc(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001122762244 767871847 /nfs/dbraw/zinc/87/18/47/767871847.db2.gz XDVOLYVGVZITIX-YUMQZZPRSA-N 0 2 310.251 0.574 20 0 DCADLN C[C@@H](O)CN1CCO[C@](C)(CNC(=O)COc2cccnc2)C1 ZINC001108282679 760274121 /nfs/dbraw/zinc/27/41/21/760274121.db2.gz YIDDFDCKHVETPV-CZUORRHYSA-N 0 2 323.393 0.048 20 0 DCADLN CCCCO[C@H](C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112677738 761783988 /nfs/dbraw/zinc/78/39/88/761783988.db2.gz KENVQQOXXAJLFF-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccnn2C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071383481 761812117 /nfs/dbraw/zinc/81/21/17/761812117.db2.gz IBVAHCNRWKPUBS-UWVGGRQHSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C2=COCCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071386727 761814567 /nfs/dbraw/zinc/81/45/67/761814567.db2.gz VNFDANIGROCGPV-CMPLNLGQSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C2=COCCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071386727 761814573 /nfs/dbraw/zinc/81/45/73/761814573.db2.gz VNFDANIGROCGPV-CMPLNLGQSA-N 0 2 321.381 0.674 20 0 DCADLN C[C@@H](CN(C)C(=O)C[C@@H]1CCCO1)Nc1ccc2nnnn2n1 ZINC001115616029 765768554 /nfs/dbraw/zinc/76/85/54/765768554.db2.gz CPCRXOBFDKMVAY-QWRGUYRKSA-N 0 2 319.369 0.347 20 0 DCADLN CC[NH2+]C1(CNC(=O)CCc2n[nH]c(=O)[n-]c2=O)CCCCC1 ZINC001115613805 765768840 /nfs/dbraw/zinc/76/88/40/765768840.db2.gz GLUGMOFZKRUEPU-UHFFFAOYSA-N 0 2 323.397 0.644 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C[C@@H](C)Nc1ccnc(C(=O)OC)n1 ZINC001115613316 765768901 /nfs/dbraw/zinc/76/89/01/765768901.db2.gz REXVPUPAGXQGAP-GHMZBOCLSA-N 0 2 324.381 0.369 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)C(C)(C)C(N)=O ZINC001116798461 765913024 /nfs/dbraw/zinc/91/30/24/765913024.db2.gz BXYKGBLNSKMGNN-UHFFFAOYSA-N 0 2 308.363 0.867 20 0 DCADLN Cc1nnc(CCNC(=O)c2cn[nH]c2-c2cnn(C)c2)n1C ZINC001117122587 765988603 /nfs/dbraw/zinc/98/86/03/765988603.db2.gz IVOZSMKLXLLDMY-UHFFFAOYSA-N 0 2 314.353 0.220 20 0 DCADLN O=C(N[C@@H]1CN(c2ncccn2)C[C@H]1O)C(F)C(F)(F)F ZINC001090546489 766303422 /nfs/dbraw/zinc/30/34/22/766303422.db2.gz XNTRSZGLEJZXFN-PRJMDXOYSA-N 0 2 308.235 0.043 20 0 DCADLN O=C(N[C@@H]1CN(c2ncccn2)C[C@H]1O)[C@H](F)C(F)(F)F ZINC001090546489 766303429 /nfs/dbraw/zinc/30/34/29/766303429.db2.gz XNTRSZGLEJZXFN-PRJMDXOYSA-N 0 2 308.235 0.043 20 0 DCADLN CN1CC[N@H+](Cc2cn(CC(=O)[O-])c3ccccc23)CC1=O ZINC001138187702 768404247 /nfs/dbraw/zinc/40/42/47/768404247.db2.gz WZSMJEOGZWXXRT-UHFFFAOYSA-N 0 2 301.346 1.000 20 0 DCADLN CN1CC[N@@H+](Cc2cn(CC(=O)[O-])c3ccccc23)CC1=O ZINC001138187702 768404251 /nfs/dbraw/zinc/40/42/51/768404251.db2.gz WZSMJEOGZWXXRT-UHFFFAOYSA-N 0 2 301.346 1.000 20 0 DCADLN O=C(/C=C/c1ccc(Cn2cc[nH+]c2)cc1)NCS(=O)(=O)[O-] ZINC001142372645 768641620 /nfs/dbraw/zinc/64/16/20/768641620.db2.gz NUUFAYTZYJRVGB-AATRIKPKSA-N 0 2 321.358 0.906 20 0 DCADLN O=C(/C=C/C1CC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149528439 768774953 /nfs/dbraw/zinc/77/49/53/768774953.db2.gz IDPKUZPVZHDIOJ-ZYOFXKKJSA-N 0 2 321.381 0.184 20 0 DCADLN CCO[C@@H](CC)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231025605 769153372 /nfs/dbraw/zinc/15/33/72/769153372.db2.gz JXTLBVCCTZFHQZ-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC(F)(F)F ZINC001231034327 769154946 /nfs/dbraw/zinc/15/49/46/769154946.db2.gz GTLRHWNGEGGPHK-SSDOTTSWSA-N 0 2 307.276 0.495 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231086739 769168086 /nfs/dbraw/zinc/16/80/86/769168086.db2.gz PXYOVBLPEXPFCV-CMPLNLGQSA-N 0 2 323.397 0.358 20 0 DCADLN COc1ccc(C[NH+]2CC(N(C)C3COC3)C2)cc1C(=O)[O-] ZINC001231754127 769281195 /nfs/dbraw/zinc/28/11/95/769281195.db2.gz UEHHKNCUHWJJAC-UHFFFAOYSA-N 0 2 306.362 0.908 20 0 DCADLN COCC1(CC(=O)N(C)C[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001234235153 769597404 /nfs/dbraw/zinc/59/74/04/769597404.db2.gz MFOUSQKZHMKGHY-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN O=C(NOC[C@@H]1CCOC1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001234258528 769605870 /nfs/dbraw/zinc/60/58/70/769605870.db2.gz NNFIMXREHQYNJK-NXEZZACHSA-N 0 2 311.342 0.367 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nncn1C)C(=O)C(F)C(F)(F)F ZINC001234426632 769654095 /nfs/dbraw/zinc/65/40/95/769654095.db2.gz WNNFOLPZZWLVQU-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1nncn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001234426632 769654101 /nfs/dbraw/zinc/65/41/01/769654101.db2.gz WNNFOLPZZWLVQU-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN O=S(=O)(c1ccc(Nc2nnco2)cc1)N1CCOCC1 ZINC001174244975 769745080 /nfs/dbraw/zinc/74/50/80/769745080.db2.gz HUKSLVNEZJRMMR-UHFFFAOYSA-N 0 2 310.335 0.834 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)c1 ZINC001095308578 769759316 /nfs/dbraw/zinc/75/93/16/769759316.db2.gz VGAPQHKQTKJCFH-WOPDTQHZSA-N 0 2 316.365 0.384 20 0 DCADLN Cc1cn2cccc(C(=O)Nc3c(N)nc(=O)[nH]c3O)c2n1 ZINC001153587756 769861305 /nfs/dbraw/zinc/86/13/05/769861305.db2.gz VBGZSHXDBQHGGD-UHFFFAOYSA-N 0 2 300.278 0.678 20 0 DCADLN COC(OC)[C@H](C)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001235547172 769885208 /nfs/dbraw/zinc/88/52/08/769885208.db2.gz VIVLXWYEZZCONL-DTWKUNHWSA-N 0 2 313.358 0.407 20 0 DCADLN CC(=O)N[C@@H]1CCCN(C(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153858287 769965301 /nfs/dbraw/zinc/96/53/01/769965301.db2.gz VEEFCOCFRUWUJQ-LLVKDONJSA-N 0 2 314.345 0.664 20 0 DCADLN CCCC[C@H](NC(=O)c1c[nH]c2cccnc2c1=O)C(N)=O ZINC001153863772 769972258 /nfs/dbraw/zinc/97/22/58/769972258.db2.gz SMIIDAQMPLZRNH-NSHDSACASA-N 0 2 302.334 0.697 20 0 DCADLN O=C([O-])Cn1cc(Nc2ccc[nH+]c2N2CCOCC2)cn1 ZINC001176265286 770066131 /nfs/dbraw/zinc/06/61/31/770066131.db2.gz HDVOQVHYZVGGIG-UHFFFAOYSA-N 0 2 303.322 0.943 20 0 DCADLN C[NH+]1CCN(c2ccc(F)cc2NC(=O)Cc2nn[n-]n2)CC1 ZINC001176842332 770188968 /nfs/dbraw/zinc/18/89/68/770188968.db2.gz HXPNKRRWXMDJQB-UHFFFAOYSA-N 0 2 319.344 0.272 20 0 DCADLN CNC(=O)c1cccc(C(=O)NCCc2n[nH]c(=S)o2)n1 ZINC001154901228 770331382 /nfs/dbraw/zinc/33/13/82/770331382.db2.gz VRIAFXWTAZUUDF-UHFFFAOYSA-N 0 2 307.335 0.085 20 0 DCADLN COCCn1c[nH+]cc1CNC(=O)c1ccn(C)c1CC(=O)[O-] ZINC001177369394 770418395 /nfs/dbraw/zinc/41/83/95/770418395.db2.gz OTVZQRWXEAIUOB-UHFFFAOYSA-N 0 2 320.349 0.425 20 0 DCADLN N=C(NC(=O)CCc1[nH]cc[nH+]1)SCCS(=O)(=O)[O-] ZINC001177997984 770585911 /nfs/dbraw/zinc/58/59/11/770585911.db2.gz JCQFBWDITHYGMB-UHFFFAOYSA-N 0 2 306.369 0.014 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnc2cc(C3CC3)nn2c1 ZINC001155863904 770642008 /nfs/dbraw/zinc/64/20/08/770642008.db2.gz MGFOJOKNTLPJCC-GFCCVEGCSA-N 0 2 317.330 0.646 20 0 DCADLN CN(C)C(=O)C[NH+]1CCO[C@@H]2C[N@H+](Cc3ccoc3)CC[C@@H]2C1 ZINC001204241773 770986448 /nfs/dbraw/zinc/98/64/48/770986448.db2.gz NQPDXSJNYUQVOZ-HZPDHXFCSA-N 0 2 321.421 0.891 20 0 DCADLN O=C(CN1C[C@H](c2ccccc2)CC1=O)NCc1n[nH]c(=O)[nH]1 ZINC001180313032 771094495 /nfs/dbraw/zinc/09/44/95/771094495.db2.gz PAFWNRXYTIKRPV-LLVKDONJSA-N 0 2 315.333 0.143 20 0 DCADLN COc1cccc2c1OC[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)C2 ZINC001180401664 771112890 /nfs/dbraw/zinc/11/28/90/771112890.db2.gz TTWHEWNWLRNTAI-SECBINFHSA-N 0 2 304.306 0.386 20 0 DCADLN Cc1nc(NC(=N)SCCS(=O)(=O)[O-])cc(N(C)C)[nH+]1 ZINC001157322050 771585973 /nfs/dbraw/zinc/58/59/73/771585973.db2.gz NWBRBUMKEDCFDG-UHFFFAOYSA-N 0 2 319.412 0.819 20 0 DCADLN O=C(Nc1cccnc1OC1COC1)C(CO)C(F)(F)F ZINC001183280260 771626693 /nfs/dbraw/zinc/62/66/93/771626693.db2.gz PJMNZHWKTIVPGQ-QMMMGPOBSA-N 0 2 306.240 0.969 20 0 DCADLN O=C(Nc1cccnc1OC1COC1)[C@H](CO)C(F)(F)F ZINC001183280260 771626702 /nfs/dbraw/zinc/62/67/02/771626702.db2.gz PJMNZHWKTIVPGQ-QMMMGPOBSA-N 0 2 306.240 0.969 20 0 DCADLN O=C(Nc1ccc2c(c1)C(=O)NCCO2)C(CO)C(F)(F)F ZINC001183280325 771626783 /nfs/dbraw/zinc/62/67/83/771626783.db2.gz SBCSNKJFSKVUMF-SECBINFHSA-N 0 2 318.251 0.918 20 0 DCADLN O=C(Nc1ccc2c(c1)C(=O)NCCO2)[C@@H](CO)C(F)(F)F ZINC001183280325 771626786 /nfs/dbraw/zinc/62/67/86/771626786.db2.gz SBCSNKJFSKVUMF-SECBINFHSA-N 0 2 318.251 0.918 20 0 DCADLN CSc1[nH]nc(NC(=O)C(CO)C(F)(F)F)c1C(N)=O ZINC001183281377 771626796 /nfs/dbraw/zinc/62/67/96/771626796.db2.gz VXEKPQNLIYCRKW-VKHMYHEASA-N 0 2 312.273 0.340 20 0 DCADLN COC(=O)c1n[nH]c(C)c1NC(=O)c1nc(SC)ncc1O ZINC001185161782 771871172 /nfs/dbraw/zinc/87/11/72/771871172.db2.gz UTEHVETXZBECNC-UHFFFAOYSA-N 0 2 323.334 0.975 20 0 DCADLN COC(=O)Cn1cc(NC(=O)c2nc(SC)ncc2O)cn1 ZINC001185162057 771871585 /nfs/dbraw/zinc/87/15/85/771871585.db2.gz ZLURBDDEJXZYCS-UHFFFAOYSA-N 0 2 323.334 0.526 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)Cc2cc(F)cc(F)c2)S1 ZINC001185152027 771877195 /nfs/dbraw/zinc/87/71/95/771877195.db2.gz XOUZUPLPQOKWQD-SECBINFHSA-N 0 2 322.314 0.693 20 0 DCADLN COCn1cc(NS(=O)(=O)c2ccc3c(c2)CC(=O)N3)cn1 ZINC001186899306 772102380 /nfs/dbraw/zinc/10/23/80/772102380.db2.gz ORRFMBHZUJPRRU-UHFFFAOYSA-N 0 2 322.346 0.782 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(OC(F)F)nc1 ZINC001160879134 772131394 /nfs/dbraw/zinc/13/13/94/772131394.db2.gz LYUFGUGZHNNDDB-UHFFFAOYSA-N 0 2 313.264 0.869 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001187291623 772161293 /nfs/dbraw/zinc/16/12/93/772161293.db2.gz XMQSJOYQUGOHJH-GFCCVEGCSA-N 0 2 309.414 0.664 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(-c2ccccc2F)n[nH]1 ZINC001188027329 772261129 /nfs/dbraw/zinc/26/11/29/772261129.db2.gz AFKVPVRXLXNHQQ-UHFFFAOYSA-N 0 2 302.269 0.970 20 0 DCADLN CCc1c(C)nc2ncnn2c1NCCCc1n[nH]c(=O)[nH]1 ZINC001162613177 772398139 /nfs/dbraw/zinc/39/81/39/772398139.db2.gz QZKLYFYYTUPOKS-UHFFFAOYSA-N 0 2 302.342 0.864 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)c2ccccc2S(=O)(=O)[O-])c1 ZINC001189700315 772519411 /nfs/dbraw/zinc/51/94/11/772519411.db2.gz XASUWOHDERDQTC-UHFFFAOYSA-N 0 2 309.347 0.639 20 0 DCADLN CCN(Cc1n[nH]c(=O)[nH]1)[C@H](C)CNC(=O)CCCC(C)=O ZINC001151847173 772607381 /nfs/dbraw/zinc/60/73/81/772607381.db2.gz RGBOEQNLQOSUEG-SNVBAGLBSA-N 0 2 311.386 0.596 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccccc1-n1nccn1 ZINC001190360879 772621201 /nfs/dbraw/zinc/62/12/01/772621201.db2.gz JYHMWFUTFSCOMI-LLVKDONJSA-N 0 2 303.303 0.306 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccccc1-n1nccn1 ZINC001190360887 772621470 /nfs/dbraw/zinc/62/14/70/772621470.db2.gz JYHMWFUTFSCOMI-NSHDSACASA-N 0 2 303.303 0.306 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2cc(F)cc(F)c2F)c(=O)[nH]1 ZINC001190419511 772627154 /nfs/dbraw/zinc/62/71/54/772627154.db2.gz GVROIACXLBHNRH-QMMMGPOBSA-N 0 2 319.264 0.780 20 0 DCADLN CCOC(=O)c1cc(NS(=O)(=O)c2ccncc2)n(C)n1 ZINC001190855524 772685241 /nfs/dbraw/zinc/68/52/41/772685241.db2.gz JNAMOHTYCIWUTL-UHFFFAOYSA-N 0 2 310.335 0.793 20 0 DCADLN Cc1nc(CNC(=O)c2nc(Br)ccc2O)n[nH]1 ZINC001191749760 772823494 /nfs/dbraw/zinc/82/34/94/772823494.db2.gz WWLRJWSULGPAFI-UHFFFAOYSA-N 0 2 312.127 0.906 20 0 DCADLN O=C(N=c1ncnc2[nH][nH]cc1-2)c1cc(Br)n[nH]1 ZINC001193281310 773038648 /nfs/dbraw/zinc/03/86/48/773038648.db2.gz AEPSWOXQTYKBRQ-UHFFFAOYSA-N 0 2 308.099 0.464 20 0 DCADLN CC(C)OC(=O)N1CC[NH+](CCCCS(=O)(=O)[O-])CC1 ZINC001193476912 773068922 /nfs/dbraw/zinc/06/89/22/773068922.db2.gz XRCCOZQIQJOAPQ-UHFFFAOYSA-N 0 2 308.400 0.817 20 0 DCADLN C[C@@H]1CN(Cc2cnon2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001207030403 773163735 /nfs/dbraw/zinc/16/37/35/773163735.db2.gz WNBHMUHCDIKKDT-FTLITQJKSA-N 0 2 310.251 0.907 20 0 DCADLN C[C@@H]1CN(Cc2cnon2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001207030403 773163738 /nfs/dbraw/zinc/16/37/38/773163738.db2.gz WNBHMUHCDIKKDT-FTLITQJKSA-N 0 2 310.251 0.907 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cncc(C(=O)OC)n2)[nH]n1 ZINC001194279086 773165908 /nfs/dbraw/zinc/16/59/08/773165908.db2.gz OFJQPCVAJDGCEJ-UHFFFAOYSA-N 0 2 305.250 0.025 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2cncc(C(=O)OC)n2)n[nH]1 ZINC001194279086 773165911 /nfs/dbraw/zinc/16/59/11/773165911.db2.gz OFJQPCVAJDGCEJ-UHFFFAOYSA-N 0 2 305.250 0.025 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3csnn3)C[C@H]21)C(F)C(F)(F)F ZINC001114308606 773267057 /nfs/dbraw/zinc/26/70/57/773267057.db2.gz JTQMLRXQARPRNC-RYPBNFRJSA-N 0 2 324.303 0.985 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3csnn3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001114308606 773267060 /nfs/dbraw/zinc/26/70/60/773267060.db2.gz JTQMLRXQARPRNC-RYPBNFRJSA-N 0 2 324.303 0.985 20 0 DCADLN CC(C)N(C)C(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[NH+]2C)CC1 ZINC001195276009 773363058 /nfs/dbraw/zinc/36/30/58/773363058.db2.gz XTXKLDSPAFIEAB-HNNXBMFYSA-N 0 2 324.469 0.482 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccc(C(N)=O)cc2O)cn1 ZINC001195731887 773463146 /nfs/dbraw/zinc/46/31/46/773463146.db2.gz PTIDUAWYAWMBMQ-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(C)C ZINC001208502957 773481891 /nfs/dbraw/zinc/48/18/91/773481891.db2.gz AOJLCICRGDAQHW-FOGDFJRCSA-N 0 2 311.386 0.118 20 0 DCADLN CO[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(C)C ZINC001208502957 773481896 /nfs/dbraw/zinc/48/18/96/773481896.db2.gz AOJLCICRGDAQHW-FOGDFJRCSA-N 0 2 311.386 0.118 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)C1(CF)CCC1 ZINC001208774258 773526800 /nfs/dbraw/zinc/52/68/00/773526800.db2.gz TZWWPUOCPYZLHQ-NXEZZACHSA-N 0 2 311.361 0.587 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(CF)CCC1 ZINC001208774258 773526808 /nfs/dbraw/zinc/52/68/08/773526808.db2.gz TZWWPUOCPYZLHQ-NXEZZACHSA-N 0 2 311.361 0.587 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1(CF)CCC1 ZINC001208774258 773526814 /nfs/dbraw/zinc/52/68/14/773526814.db2.gz TZWWPUOCPYZLHQ-NXEZZACHSA-N 0 2 311.361 0.587 20 0 DCADLN COC(=O)C(NC(=S)Nc1ccccc1OC)C(=O)OC ZINC001196270560 773567168 /nfs/dbraw/zinc/56/71/68/773567168.db2.gz WMKDEIXQYKQEEN-UHFFFAOYSA-N 0 2 312.347 0.696 20 0 DCADLN NC(=O)c1ccc(NS(=O)(=O)Cc2ccccn2)c(O)c1 ZINC001197835553 773804462 /nfs/dbraw/zinc/80/44/62/773804462.db2.gz VJTATIDJOITQSI-UHFFFAOYSA-N 0 2 307.331 0.828 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@@H](C)C(C)C ZINC001213513695 773855803 /nfs/dbraw/zinc/85/58/03/773855803.db2.gz DATDTKUECWRDLT-HBNTYKKESA-N 0 2 311.386 0.118 20 0 DCADLN O=c1[nH]cc(-c2nc(-c3ccc4c(c3)OCCO4)no2)[nH]c1=O ZINC001213928429 773902299 /nfs/dbraw/zinc/90/22/99/773902299.db2.gz XANPQDLODXLRFZ-UHFFFAOYSA-N 0 2 314.257 0.551 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccn(C2CCC2)c(=O)c1 ZINC001198760840 773975993 /nfs/dbraw/zinc/97/59/93/773975993.db2.gz YEWRBLCMNYLYHA-GFCCVEGCSA-N 0 2 307.331 0.612 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc(OC2CCC2)ncn1 ZINC001199476671 774126648 /nfs/dbraw/zinc/12/66/48/774126648.db2.gz VYNKEXRMHCTLTI-NSHDSACASA-N 0 2 308.319 0.447 20 0 DCADLN CC/C(C)=C\C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217814978 774201477 /nfs/dbraw/zinc/20/14/77/774201477.db2.gz MLBIEZHRVYIZIG-RPGNDLBOSA-N 0 2 321.381 0.278 20 0 DCADLN COC(=O)c1ccnc(Cl)c1NC(=O)[C@@H]([NH3+])Cc1c[nH+]c[nH]1 ZINC001218593029 774258274 /nfs/dbraw/zinc/25/82/74/774258274.db2.gz NFRHQIDODORCQR-VIFPVBQESA-N 0 2 323.740 0.753 20 0 DCADLN COc1cc(S(=O)(=O)Nc2c(O)nc[nH]c2=O)ccc1F ZINC001200389497 774341632 /nfs/dbraw/zinc/34/16/32/774341632.db2.gz WFNADKFQDPLPPQ-UHFFFAOYSA-N 0 2 315.282 0.836 20 0 DCADLN Nc1cccc(S(=O)(=O)Nc2cnn(CC3OCCO3)c2)c1 ZINC001201263320 774479441 /nfs/dbraw/zinc/47/94/41/774479441.db2.gz XTYKNZZVGJUZMP-UHFFFAOYSA-N 0 2 324.362 0.639 20 0 DCADLN COC(=O)c1cncc(S(=O)(=O)Nc2nc(C)ns2)c1 ZINC001201837693 774563032 /nfs/dbraw/zinc/56/30/32/774563032.db2.gz HNTBSIQNPODQAZ-UHFFFAOYSA-N 0 2 314.348 0.829 20 0 DCADLN O=S(=O)(CCOCC1CC1)Nc1[nH]nc2c1COCC2 ZINC000886479285 775005974 /nfs/dbraw/zinc/00/59/74/775005974.db2.gz GZODPFWRMSZJIR-UHFFFAOYSA-N 0 2 301.368 0.651 20 0 DCADLN O=S(=O)(CCOCC1CC1)Nc1n[nH]c2c1COCC2 ZINC000886479285 775005981 /nfs/dbraw/zinc/00/59/81/775005981.db2.gz GZODPFWRMSZJIR-UHFFFAOYSA-N 0 2 301.368 0.651 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095376571 775017518 /nfs/dbraw/zinc/01/75/18/775017518.db2.gz FFRYUNVPOMCITP-VWYCJHECSA-N 0 2 316.365 0.682 20 0 DCADLN Cc1ccc(NCCN(CCO)C(=O)C(F)C(F)(F)F)nn1 ZINC001111579862 775672963 /nfs/dbraw/zinc/67/29/63/775672963.db2.gz VROXWBATCJGYQP-JTQLQIEISA-N 0 2 324.278 0.918 20 0 DCADLN Cc1ccc(NCCN(CCO)C(=O)[C@H](F)C(F)(F)F)nn1 ZINC001111579862 775672971 /nfs/dbraw/zinc/67/29/71/775672971.db2.gz VROXWBATCJGYQP-JTQLQIEISA-N 0 2 324.278 0.918 20 0 DCADLN O=C(CC[C@@H]1CCCO1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226177031 775777211 /nfs/dbraw/zinc/77/72/11/775777211.db2.gz NPGSDMOOSBABKX-LBPRGKRZSA-N 0 2 323.397 0.550 20 0 DCADLN Cn1cc(CN2CCC(NC(=O)C(F)C(F)(F)F)CC2)nn1 ZINC001227473736 775977454 /nfs/dbraw/zinc/97/74/54/775977454.db2.gz AUWJDBAYXLPGHS-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN CC(=O)OC[C@H](COc1[nH]c(=O)nc2cccnc21)OC(C)=O ZINC001228010267 776035499 /nfs/dbraw/zinc/03/54/99/776035499.db2.gz WBCZAJFSXGFKPO-SNVBAGLBSA-N 0 2 321.289 0.604 20 0 DCADLN O=C(CCc1ccon1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001228266189 776060727 /nfs/dbraw/zinc/06/07/27/776060727.db2.gz LXEYLVGDAZDBOL-UHFFFAOYSA-N 0 2 320.353 0.212 20 0 DCADLN COC(=O)C[C@H](Oc1nc(-c2cccnc2)n[nH]1)C(=O)OC ZINC001228693624 776113963 /nfs/dbraw/zinc/11/39/63/776113963.db2.gz ALOQIEDNGKFLMA-VIFPVBQESA-N 0 2 306.278 0.350 20 0 DCADLN CO[C@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C(C)C ZINC001229024388 776149983 /nfs/dbraw/zinc/14/99/83/776149983.db2.gz JLFBZNYFGBVJKR-LBPRGKRZSA-N 0 2 311.386 0.262 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)N2CC[NH+](C3CCC3)CC2)CCC1 ZINC001601711768 1168825268 /nfs/dbraw/zinc/82/52/68/1168825268.db2.gz LQVFQHBRVRZLIF-UHFFFAOYSA-N 0 2 316.423 0.741 20 0 DCADLN O=C([O-])[C@H]1CCCC[C@@H]1S(=O)(=O)NCCn1cc[nH+]c1 ZINC001601810075 1168902693 /nfs/dbraw/zinc/90/26/93/1168902693.db2.gz KHADXLRAYDTURT-QWRGUYRKSA-N 0 2 301.368 0.446 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccn(C(C)C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001481004467 939517777 /nfs/dbraw/zinc/51/77/77/939517777.db2.gz SPOIYPBGDFZBHS-UHFFFAOYSA-N 0 2 321.385 0.540 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccn(C(C)C)n1)Cc1n[nH]c(=O)[n-]1 ZINC001481004467 939517778 /nfs/dbraw/zinc/51/77/78/939517778.db2.gz SPOIYPBGDFZBHS-UHFFFAOYSA-N 0 2 321.385 0.540 20 0 DCADLN CCC[C@@H](OC)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481051083 939542091 /nfs/dbraw/zinc/54/20/91/939542091.db2.gz BMWZOXYQCMTJMI-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CCC[C@@H](OC)C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001481051083 939542093 /nfs/dbraw/zinc/54/20/93/939542093.db2.gz BMWZOXYQCMTJMI-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2csnn2)c1[O-] ZINC001268528646 940218011 /nfs/dbraw/zinc/21/80/11/940218011.db2.gz KADRDATVHYVMQK-IHWYPQMZSA-N 0 2 308.367 0.351 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)C[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001482103485 940258002 /nfs/dbraw/zinc/25/80/02/940258002.db2.gz UTPSUVRWBVVBCR-VDTYLAMSSA-N 0 2 313.255 0.253 20 0 DCADLN COCCOCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c[nH]c[nH+]1 ZINC001269739819 940767565 /nfs/dbraw/zinc/76/75/65/940767565.db2.gz DNFXGXSXSXREOY-GASCZTMLSA-N 0 2 322.409 0.638 20 0 DCADLN COCCOCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c[nH+]c[nH]1 ZINC001269739819 940767567 /nfs/dbraw/zinc/76/75/67/940767567.db2.gz DNFXGXSXSXREOY-GASCZTMLSA-N 0 2 322.409 0.638 20 0 DCADLN O=C(CCn1cccn1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001409028670 941271715 /nfs/dbraw/zinc/27/17/15/941271715.db2.gz BSZYWLKFPRKGRN-SNVBAGLBSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(CCn1cccn1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409028670 941271719 /nfs/dbraw/zinc/27/17/19/941271719.db2.gz BSZYWLKFPRKGRN-SNVBAGLBSA-N 0 2 322.262 0.501 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[N@H+](C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001409616388 942095508 /nfs/dbraw/zinc/09/55/08/942095508.db2.gz DVCXUFXOJCHBTR-GHMZBOCLSA-N 0 2 324.429 0.548 20 0 DCADLN CCC(C)(C)NC(=O)[C@@H](C)[N@@H+](C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001409616388 942095510 /nfs/dbraw/zinc/09/55/10/942095510.db2.gz DVCXUFXOJCHBTR-GHMZBOCLSA-N 0 2 324.429 0.548 20 0 DCADLN CCCn1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001409629289 942102590 /nfs/dbraw/zinc/10/25/90/942102590.db2.gz QMTNWFNRNSXCRZ-JTQLQIEISA-N 0 2 321.385 0.367 20 0 DCADLN CCCn1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001409629289 942102596 /nfs/dbraw/zinc/10/25/96/942102596.db2.gz QMTNWFNRNSXCRZ-JTQLQIEISA-N 0 2 321.385 0.367 20 0 DCADLN C[C@H](CNC(=O)[C@@]1(C)CCCOC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409636545 942111471 /nfs/dbraw/zinc/11/14/71/942111471.db2.gz CHILWBZSNQTLES-YGRLFVJLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)[C@@]1(C)CCCOC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409636545 942111473 /nfs/dbraw/zinc/11/14/73/942111473.db2.gz CHILWBZSNQTLES-YGRLFVJLSA-N 0 2 311.386 0.264 20 0 DCADLN CN(C)c1noc(CNS(=O)(=O)c2cc(O)cc(F)c2)n1 ZINC001413317214 942627212 /nfs/dbraw/zinc/62/72/12/942627212.db2.gz JQQIGHIJNWFDLY-UHFFFAOYSA-N 0 2 316.314 0.459 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)[C@H]1COc2ccccc2O1 ZINC001413328056 942893035 /nfs/dbraw/zinc/89/30/35/942893035.db2.gz QANKZCATIJYXAJ-SNVBAGLBSA-N 0 2 303.274 0.344 20 0 DCADLN O=C(c1c(O)cccc1Cl)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001413384915 942943878 /nfs/dbraw/zinc/94/38/78/942943878.db2.gz CUWBJYFVIJFAOZ-MRVPVSSYSA-N 0 2 309.713 0.772 20 0 DCADLN CCc1onc(C)c1CNS(=O)(=O)c1c(N)noc1C ZINC001413446153 942986373 /nfs/dbraw/zinc/98/63/73/942986373.db2.gz MIQJXHNEDSQYDX-UHFFFAOYSA-N 0 2 300.340 0.903 20 0 DCADLN CC(C)NC(=O)C[NH2+]C[C@@H]1CCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001483932664 943034995 /nfs/dbraw/zinc/03/49/95/943034995.db2.gz MNVMYFQDVIYRHN-AWEZNQCLSA-N 0 2 321.425 0.448 20 0 DCADLN CC(C)(F)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001056781077 943413650 /nfs/dbraw/zinc/41/36/50/943413650.db2.gz HWDWHQLXRZGYQA-ZETCQYMHSA-N 0 2 320.258 0.572 20 0 DCADLN CC(C)(F)C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001056781077 943413652 /nfs/dbraw/zinc/41/36/52/943413652.db2.gz HWDWHQLXRZGYQA-ZETCQYMHSA-N 0 2 320.258 0.572 20 0 DCADLN CC(C)(O)CC(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001491205787 943832608 /nfs/dbraw/zinc/83/26/08/943832608.db2.gz FSJYATCDKCTPCQ-SNVBAGLBSA-N 0 2 311.386 0.142 20 0 DCADLN CC(C)(O)CC(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001491205787 943832616 /nfs/dbraw/zinc/83/26/16/943832616.db2.gz FSJYATCDKCTPCQ-SNVBAGLBSA-N 0 2 311.386 0.142 20 0 DCADLN CCn1ccnc1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491255387 943871249 /nfs/dbraw/zinc/87/12/49/943871249.db2.gz DYIXVLYLUOEJCE-SSDOTTSWSA-N 0 2 310.251 0.649 20 0 DCADLN CCn1ccnc1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491255387 943871256 /nfs/dbraw/zinc/87/12/56/943871256.db2.gz DYIXVLYLUOEJCE-SSDOTTSWSA-N 0 2 310.251 0.649 20 0 DCADLN C[C@@H]1C[C@@H]([NH2+]CC(=O)NC2CC2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001486115016 944573584 /nfs/dbraw/zinc/57/35/84/944573584.db2.gz PYBMIVDZLUVLFF-BXUZGUMPSA-N 0 2 319.409 0.200 20 0 DCADLN CCCNC(=O)CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC001364771623 945504330 /nfs/dbraw/zinc/50/43/30/945504330.db2.gz JZUPYOCQLUYCCL-GOSISDBHSA-N 0 2 313.445 0.243 20 0 DCADLN CC(C)CCn1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC001320192080 945762131 /nfs/dbraw/zinc/76/21/31/945762131.db2.gz UESXNDYAJMDSGH-UHFFFAOYSA-N 0 2 321.341 0.008 20 0 DCADLN COCCn1c[nH+]cc1C[N-]S(=O)(=O)CC(F)(F)F ZINC001253224297 946098816 /nfs/dbraw/zinc/09/88/16/946098816.db2.gz PKAWCGCRWQKMGK-UHFFFAOYSA-N 0 2 301.290 0.511 20 0 DCADLN O=S(=O)(CC(F)(F)F)NC1CCN(c2ccncn2)CC1 ZINC001253253882 946102472 /nfs/dbraw/zinc/10/24/72/946102472.db2.gz BPVHMIHHJOZDKW-UHFFFAOYSA-N 0 2 324.328 0.927 20 0 DCADLN CCc1nc([C@@H](C)NS(=O)(=O)c2csnc2OC)n[nH]1 ZINC001364834288 946110793 /nfs/dbraw/zinc/11/07/93/946110793.db2.gz CDLIRDSNBHGKHM-ZCFIWIBFSA-N 0 2 317.396 0.872 20 0 DCADLN Cc1nnc(C[NH2+]CC=CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001321027438 946126867 /nfs/dbraw/zinc/12/68/67/946126867.db2.gz CGMCYCGZYYLUKX-ONEGZZNKSA-N 0 2 306.326 0.191 20 0 DCADLN C[C@@H](CNC(=O)CCn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001410382020 946280347 /nfs/dbraw/zinc/28/03/47/946280347.db2.gz CRTJHXJNMWAMDN-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@@H](CNC(=O)CCn1cccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001410382020 946280353 /nfs/dbraw/zinc/28/03/53/946280353.db2.gz CRTJHXJNMWAMDN-WCBMZHEXSA-N 0 2 324.278 0.795 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](C)C1CCC1)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001410401775 946311686 /nfs/dbraw/zinc/31/16/86/946311686.db2.gz YDWBYPGXEXGTHT-GHMZBOCLSA-N 0 2 309.414 0.481 20 0 DCADLN Cc1ccc(S(=O)(=O)Nc2cnn(C)c2C(N)=O)cc1C ZINC001259162839 946921668 /nfs/dbraw/zinc/92/16/68/946921668.db2.gz NNKGGAATDCMVBR-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN Cc1cc(C)cc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)c1 ZINC001259517586 946965954 /nfs/dbraw/zinc/96/59/54/946965954.db2.gz BOYZIIKLZQAMED-UHFFFAOYSA-N 0 2 306.347 0.993 20 0 DCADLN CCOC(=O)c1ncncc1NS(=O)(=O)c1cccnc1 ZINC001259602884 946967975 /nfs/dbraw/zinc/96/79/75/946967975.db2.gz WRMPRPIVIDUKML-UHFFFAOYSA-N 0 2 308.319 0.849 20 0 DCADLN NC(=O)c1cnc(NS(=O)(=O)CCc2ccccc2F)cn1 ZINC001259780777 946983801 /nfs/dbraw/zinc/98/38/01/946983801.db2.gz QGVFPYATPABGBF-UHFFFAOYSA-N 0 2 324.337 0.699 20 0 DCADLN CC[C@H](F)C(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC001410916092 947120020 /nfs/dbraw/zinc/12/00/20/947120020.db2.gz VYVUVMUBTZUXHT-IMSIIYSGSA-N 0 2 311.361 0.872 20 0 DCADLN CC[C@H](F)C(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC001410916092 947120029 /nfs/dbraw/zinc/12/00/29/947120029.db2.gz VYVUVMUBTZUXHT-IMSIIYSGSA-N 0 2 311.361 0.872 20 0 DCADLN Cc1cc(S(=O)(=O)Nc2cnn(C)c2C(N)=O)ccc1F ZINC001260754621 947146457 /nfs/dbraw/zinc/14/64/57/947146457.db2.gz DGAGYXNKLKLFFN-UHFFFAOYSA-N 0 2 312.326 0.767 20 0 DCADLN CN1CCc2c(NS(=O)(=O)c3cn[nH]c3)cccc2C1=O ZINC001260962542 947160022 /nfs/dbraw/zinc/16/00/22/947160022.db2.gz YUPQPAFJRAUOFC-UHFFFAOYSA-N 0 2 306.347 0.839 20 0 DCADLN O=S(=O)(Nc1ccccc1N1CC[C@H](O)C1)c1cn[nH]c1 ZINC001260961882 947160124 /nfs/dbraw/zinc/16/01/24/947160124.db2.gz XKTRQNJOKHFANJ-JTQLQIEISA-N 0 2 308.363 0.782 20 0 DCADLN O=C(NCCCCn1cc[nH+]c1)c1c[n-]n2c1nccc2=O ZINC001261764462 947430756 /nfs/dbraw/zinc/43/07/56/947430756.db2.gz DVQYNPHFPAJABB-UHFFFAOYSA-N 0 2 300.322 0.429 20 0 DCADLN Cc1nnc(CN2CC(N(C)C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001439726624 947533940 /nfs/dbraw/zinc/53/39/40/947533940.db2.gz QINOPNRQBIFFBA-VIFPVBQESA-N 0 2 309.267 0.656 20 0 DCADLN CC(C)(C)/C=C\C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001326617658 948219677 /nfs/dbraw/zinc/21/96/77/948219677.db2.gz RPKGSNUVEOPKTG-WYGGZMRJSA-N 0 2 323.397 0.430 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H](C)C(F)(F)F ZINC001570917666 948563612 /nfs/dbraw/zinc/56/36/12/948563612.db2.gz CBRQIVMQQNYLQW-YFKPBYRVSA-N 0 2 316.243 0.956 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H]1CC[C@@H](O)C1 ZINC001570921557 948623547 /nfs/dbraw/zinc/62/35/47/948623547.db2.gz FGNREPYUSHPTPH-RKDXNWHRSA-N 0 2 318.337 0.167 20 0 DCADLN CS[C@H]1C[C@H](NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001570922228 948636868 /nfs/dbraw/zinc/63/68/68/948636868.db2.gz GTTILOIVMDCRFF-ZKCHVHJHSA-N 0 2 320.378 0.900 20 0 DCADLN C[C@H](O)[C@@H]1CCCN(C(=O)NCc2n[nH]c(=O)n2C2CC2)C1 ZINC001364719588 949808562 /nfs/dbraw/zinc/80/85/62/949808562.db2.gz BLGYIRDQWABWIS-VHSXEESVSA-N 0 2 309.370 0.621 20 0 DCADLN CC(=O)c1ccc(Cl)c(S(=O)(=O)Nc2nnn(C)n2)c1 ZINC001364915701 950184276 /nfs/dbraw/zinc/18/42/76/950184276.db2.gz YRKWFGPSXAKKKG-UHFFFAOYSA-N 0 2 315.742 0.867 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)c1C ZINC001364973472 950279040 /nfs/dbraw/zinc/27/90/40/950279040.db2.gz MFSDDVXCYBVWSG-UHFFFAOYSA-N 0 2 305.338 0.851 20 0 DCADLN CCc1cc(C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)[nH]n1 ZINC001364972710 950280728 /nfs/dbraw/zinc/28/07/28/950280728.db2.gz FLYPUFBNWIAMFG-UHFFFAOYSA-N 0 2 305.338 0.796 20 0 DCADLN CO[C@H]1C[C@@H](CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001365228719 950774715 /nfs/dbraw/zinc/77/47/15/950774715.db2.gz CRWMKGFAVXQFKL-GARJFASQSA-N 0 2 309.370 0.824 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+](C)C[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001490776016 951097930 /nfs/dbraw/zinc/09/79/30/951097930.db2.gz XMTGSPNRYAUXFU-STQMWFEESA-N 0 2 321.425 0.387 20 0 DCADLN C[C@H](Cn1cncn1)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365553238 951255280 /nfs/dbraw/zinc/25/52/80/951255280.db2.gz CEUKHPWCVIEKRF-NXEZZACHSA-N 0 2 320.357 0.080 20 0 DCADLN C[C@]1(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCCO1 ZINC001365567461 951271813 /nfs/dbraw/zinc/27/18/13/951271813.db2.gz JVAQUXOFVAQBSU-IINYFYTJSA-N 0 2 309.370 0.968 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CC=CC1)C(F)C(F)(F)F ZINC001281092310 951272056 /nfs/dbraw/zinc/27/20/56/951272056.db2.gz WHHSZNIVGYOVPH-RKDXNWHRSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C1CC=CC1)[C@@H](F)C(F)(F)F ZINC001281092310 951272065 /nfs/dbraw/zinc/27/20/65/951272065.db2.gz WHHSZNIVGYOVPH-RKDXNWHRSA-N 0 2 312.263 0.446 20 0 DCADLN COC[C@H]1C[C@@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC001365663733 951403610 /nfs/dbraw/zinc/40/36/10/951403610.db2.gz QQWZMYBUFDOJBL-GARJFASQSA-N 0 2 309.370 0.824 20 0 DCADLN C[NH+]1CC2(CN(C(=O)c3cc(=O)[n-]c(-c4ncccn4)n3)C2)C1 ZINC001274253590 951515156 /nfs/dbraw/zinc/51/51/56/951515156.db2.gz GHGCJLNNBQVURJ-UHFFFAOYSA-N 0 2 312.333 0.027 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C(C)(C)C1CC1 ZINC001365789574 951541563 /nfs/dbraw/zinc/54/15/63/951541563.db2.gz JMKSAXSSFRCEOM-LLVKDONJSA-N 0 2 307.398 0.979 20 0 DCADLN C/C=C(\C)C(=O)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001281677170 951597262 /nfs/dbraw/zinc/59/72/62/951597262.db2.gz MCHUSDZDWRUAPV-IZDQUALLSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(\C)C(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001281677170 951597268 /nfs/dbraw/zinc/59/72/68/951597268.db2.gz MCHUSDZDWRUAPV-IZDQUALLSA-N 0 2 312.263 0.541 20 0 DCADLN O=C([O-])COCCNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC001595113483 951925946 /nfs/dbraw/zinc/92/59/46/951925946.db2.gz ARXJHAAMRKZABS-NSHDSACASA-N 0 2 308.338 0.061 20 0 DCADLN CC(C)OCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333304209 951996209 /nfs/dbraw/zinc/99/62/09/951996209.db2.gz LWGBJYBQKHJQSO-UHFFFAOYSA-N 0 2 309.370 0.184 20 0 DCADLN CC(C)OCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001333304209 951996216 /nfs/dbraw/zinc/99/62/16/951996216.db2.gz LWGBJYBQKHJQSO-UHFFFAOYSA-N 0 2 309.370 0.184 20 0 DCADLN C[C@@H](C(=O)Nc1ncccc1O)[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001412107032 952648003 /nfs/dbraw/zinc/64/80/03/952648003.db2.gz NGCBODUJLPTNDO-BDAKNGLRSA-N 0 2 318.337 0.227 20 0 DCADLN C[C@H](O)[C@H](O)CNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC001412422279 952789793 /nfs/dbraw/zinc/78/97/93/952789793.db2.gz YTLKHBZHOAMAOI-GZMMTYOYSA-N 0 2 309.297 0.189 20 0 DCADLN COC(=O)[C@]12C[C@H]1C[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)C2 ZINC001412466172 952822734 /nfs/dbraw/zinc/82/27/34/952822734.db2.gz PKLGFEHEIHIBCN-SMWKGLLFSA-N 0 2 311.363 0.001 20 0 DCADLN Cc1[nH]c(C2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)CC2)[nH+]c1C ZINC001412634657 952955190 /nfs/dbraw/zinc/95/51/90/952955190.db2.gz JEQIDSDPJXYOOX-UHFFFAOYSA-N 0 2 320.353 0.435 20 0 DCADLN C[C@@H](NC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)c1nn(C)cc1O ZINC001412757413 953074167 /nfs/dbraw/zinc/07/41/67/953074167.db2.gz QVRXVEYYFAUZCE-BDAKNGLRSA-N 0 2 318.337 0.079 20 0 DCADLN O=C([O-])C1CCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)CC1 ZINC001594757137 953473012 /nfs/dbraw/zinc/47/30/12/953473012.db2.gz HNHYOVHSDLEEJS-UHFFFAOYSA-N 0 2 322.365 0.103 20 0 DCADLN C[C@H]1C[C@@H](C(=O)[O-])C[N@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589280313 953496099 /nfs/dbraw/zinc/49/60/99/953496099.db2.gz CQMKGYISOVVYIN-DTWKUNHWSA-N 0 2 304.306 0.073 20 0 DCADLN C[C@H]1C[C@@H](C(=O)[O-])C[N@@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589280313 953496103 /nfs/dbraw/zinc/49/61/03/953496103.db2.gz CQMKGYISOVVYIN-DTWKUNHWSA-N 0 2 304.306 0.073 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCC2(C(=O)[O-])CCC2)[C@H](C)CO1 ZINC001589399403 954403162 /nfs/dbraw/zinc/40/31/62/954403162.db2.gz DMZATOJEFJAFHV-VXGBXAGGSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCC2(C(=O)[O-])CCC2)[C@H](C)CO1 ZINC001589399403 954403171 /nfs/dbraw/zinc/40/31/71/954403171.db2.gz DMZATOJEFJAFHV-VXGBXAGGSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCC2(C(=O)[O-])CCC2)[C@@H](C)CO1 ZINC001589399399 954404155 /nfs/dbraw/zinc/40/41/55/954404155.db2.gz DMZATOJEFJAFHV-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCC2(C(=O)[O-])CCC2)[C@@H](C)CO1 ZINC001589399399 954404159 /nfs/dbraw/zinc/40/41/59/954404159.db2.gz DMZATOJEFJAFHV-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN Cc1cccc(CCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001366559211 954421831 /nfs/dbraw/zinc/42/18/31/954421831.db2.gz UECQLLDTMLIPPS-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN Cc1cccc(CCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001366559211 954421837 /nfs/dbraw/zinc/42/18/37/954421837.db2.gz UECQLLDTMLIPPS-UHFFFAOYSA-N 0 2 317.393 1.000 20 0 DCADLN C[C@](O)(CC(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001348810677 954478729 /nfs/dbraw/zinc/47/87/29/954478729.db2.gz KZKGXNLBLBGQIW-KOLCDFICSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@](O)(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001348810677 954478736 /nfs/dbraw/zinc/47/87/36/954478736.db2.gz KZKGXNLBLBGQIW-KOLCDFICSA-N 0 2 314.279 0.670 20 0 DCADLN CCCc1n[nH]cc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001366622797 954534431 /nfs/dbraw/zinc/53/44/31/954534431.db2.gz XSUMZEDOCVRUBQ-UHFFFAOYSA-N 0 2 321.385 0.438 20 0 DCADLN CCCc1n[nH]cc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001366622797 954534443 /nfs/dbraw/zinc/53/44/43/954534443.db2.gz XSUMZEDOCVRUBQ-UHFFFAOYSA-N 0 2 321.385 0.438 20 0 DCADLN CCN(CC)C(=O)C[NH+]1CCC[C@@H]1CNC(=O)[C@H]1CCC[N@H+]1C ZINC001366663695 954608599 /nfs/dbraw/zinc/60/85/99/954608599.db2.gz RXJPJCIZVWPKFY-HUUCEWRRSA-N 0 2 324.469 0.530 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)NC[C@@H]2CCC[NH+]2Cc2cncn2C)C1 ZINC001366675983 954628225 /nfs/dbraw/zinc/62/82/25/954628225.db2.gz WWIYHSVIQRUXMG-GJZGRUSLSA-N 0 2 319.453 0.843 20 0 DCADLN CC[C@@H](C(=O)[O-])N(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC001589432880 954660513 /nfs/dbraw/zinc/66/05/13/954660513.db2.gz RCZJCWMGZZSPOD-ZDUSSCGKSA-N 0 2 322.409 0.954 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccc(F)c1 ZINC001349447169 954756471 /nfs/dbraw/zinc/75/64/71/954756471.db2.gz RHOYBIHFGPFIME-AWEZNQCLSA-N 0 2 323.368 0.974 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccc(F)c1 ZINC001349447169 954756482 /nfs/dbraw/zinc/75/64/82/954756482.db2.gz RHOYBIHFGPFIME-AWEZNQCLSA-N 0 2 323.368 0.974 20 0 DCADLN CO[C@@H](C)CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001366853905 954939486 /nfs/dbraw/zinc/93/94/86/954939486.db2.gz XQWHRCKOGCBEDP-GARJFASQSA-N 0 2 309.370 0.111 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366899575 955020528 /nfs/dbraw/zinc/02/05/28/955020528.db2.gz PLGNPODPKVZGDO-ZJUUUORDSA-N 0 2 313.427 0.590 20 0 DCADLN CO[C@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001366902421 955027941 /nfs/dbraw/zinc/02/79/41/955027941.db2.gz NPIGKERJXXHIRH-AAEUAGOBSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H](F)c1ccccc1 ZINC001366977782 955147767 /nfs/dbraw/zinc/14/77/67/955147767.db2.gz IPUQYVUUYVCKRQ-ZDUSSCGKSA-N 0 2 319.340 0.769 20 0 DCADLN CS[C@H](C)CC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367050674 955264651 /nfs/dbraw/zinc/26/46/51/955264651.db2.gz GWZUHFSBRHHYTC-ZJUUUORDSA-N 0 2 313.427 0.685 20 0 DCADLN CS[C@H](C)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367050674 955264657 /nfs/dbraw/zinc/26/46/57/955264657.db2.gz GWZUHFSBRHHYTC-ZJUUUORDSA-N 0 2 313.427 0.685 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)CCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001351868511 955439986 /nfs/dbraw/zinc/43/99/86/955439986.db2.gz HXNAKSUUJNGBBM-UHFFFAOYSA-N 0 2 309.414 0.257 20 0 DCADLN CC(C)C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001285259653 955542050 /nfs/dbraw/zinc/54/20/50/955542050.db2.gz BMUMNPLGKZLHCG-RNFRBKRXSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001285259653 955542058 /nfs/dbraw/zinc/54/20/58/955542058.db2.gz BMUMNPLGKZLHCG-RNFRBKRXSA-N 0 2 315.267 0.019 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC001353910968 956228794 /nfs/dbraw/zinc/22/87/94/956228794.db2.gz WTSYKHQPZGCWLI-CQSZACIVSA-N 0 2 321.377 0.472 20 0 DCADLN Nc1[nH+]ccc2c1CN(C(=O)C1(CC(=O)[O-])CCOCC1)CC2 ZINC001594665160 956566565 /nfs/dbraw/zinc/56/65/65/956566565.db2.gz SSFRUGYXUCKFBT-UHFFFAOYSA-N 0 2 319.361 0.820 20 0 DCADLN C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001289598845 956766264 /nfs/dbraw/zinc/76/62/64/956766264.db2.gz KHWAYDBTPSVWGY-TXBOYBTPSA-N 0 2 312.263 0.541 20 0 DCADLN C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC001289598845 956766276 /nfs/dbraw/zinc/76/62/76/956766276.db2.gz KHWAYDBTPSVWGY-TXBOYBTPSA-N 0 2 312.263 0.541 20 0 DCADLN O=C(NCCOC1CCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001294155889 957487590 /nfs/dbraw/zinc/48/75/90/957487590.db2.gz IEZIICBNMKADEF-UHFFFAOYSA-N 0 2 318.333 0.565 20 0 DCADLN CC(C)C[C@@H](C[NH2+][C@H](C)c1nncn1C)NC(=O)c1nnc[nH]1 ZINC001367417245 957790511 /nfs/dbraw/zinc/79/05/11/957790511.db2.gz CNERRDMKEAWXFA-MNOVXSKESA-N 0 2 320.401 0.429 20 0 DCADLN CCN(CC)C(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1CCC[NH+]1C ZINC001367526475 957966427 /nfs/dbraw/zinc/96/64/27/957966427.db2.gz KYGPLVJTMQITSI-CQSZACIVSA-N 0 2 312.458 0.339 20 0 DCADLN C/C=C/[C@@H](O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001296664379 958032469 /nfs/dbraw/zinc/03/24/69/958032469.db2.gz VSZJQMHMSUFBEI-RDNKVPPGSA-N 0 2 302.334 0.937 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC(=O)C[C@H]1C1CC1 ZINC001361522177 958048848 /nfs/dbraw/zinc/04/88/48/958048848.db2.gz PJPBGBHUJDPFBZ-LBPRGKRZSA-N 0 2 315.333 0.041 20 0 DCADLN C[C@@H](NC(=O)C1(C(=O)[O-])CCOCC1)[C@H](C)[NH+]1CCOCC1 ZINC001603216017 972329835 /nfs/dbraw/zinc/32/98/35/972329835.db2.gz MSOSIMGTYFOEDZ-NEPJUHHUSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@@H](C)[NH+]1CCOCC1 ZINC001603227613 972348152 /nfs/dbraw/zinc/34/81/52/972348152.db2.gz ZRKLALHTIMWBFX-JHJVBQTASA-N 0 2 313.398 0.602 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCc2cc(F)cnc21 ZINC001361903309 958470547 /nfs/dbraw/zinc/47/05/47/958470547.db2.gz RRYLIUNXLSXQNW-UHFFFAOYSA-N 0 2 314.280 0.195 20 0 DCADLN Cc1cc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)n(C)n1 ZINC001361941298 958518427 /nfs/dbraw/zinc/51/84/27/958518427.db2.gz PPDNDYSBTYUCRL-UHFFFAOYSA-N 0 2 310.335 0.334 20 0 DCADLN Cc1n[nH]c(NC(=O)Cc2csc(N3CCOCC3)n2)n1 ZINC001362031996 958631686 /nfs/dbraw/zinc/63/16/86/958631686.db2.gz QERYMNHQSHEEDI-UHFFFAOYSA-N 0 2 308.367 0.587 20 0 DCADLN COc1cccc([C@H]2CN(C(=O)[C@@](C)(OC)C(=O)[O-])CC[NH2+]2)c1 ZINC001594125413 958634817 /nfs/dbraw/zinc/63/48/17/958634817.db2.gz UGFALLPVXOGEEE-CZUORRHYSA-N 0 2 322.361 0.658 20 0 DCADLN Cc1noc(C)c1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001362076522 958697424 /nfs/dbraw/zinc/69/74/24/958697424.db2.gz HOUXRIMDNZCMKI-UHFFFAOYSA-N 0 2 311.319 0.897 20 0 DCADLN CC(=O)NCC[N@H+](Cc1cccc(N(C)C)n1)[C@H](C)C(=O)[O-] ZINC001588515221 958795712 /nfs/dbraw/zinc/79/57/12/958795712.db2.gz ZGOYBNPKPUXGIW-LLVKDONJSA-N 0 2 308.382 0.559 20 0 DCADLN CC(=O)NCC[N@@H+](Cc1cccc(N(C)C)n1)[C@H](C)C(=O)[O-] ZINC001588515221 958795730 /nfs/dbraw/zinc/79/57/30/958795730.db2.gz ZGOYBNPKPUXGIW-LLVKDONJSA-N 0 2 308.382 0.559 20 0 DCADLN CS(=O)(=O)[C@H]1CC[N@H+](CCOc2ccccc2C(=O)[O-])C1 ZINC001594164156 958935051 /nfs/dbraw/zinc/93/50/51/958935051.db2.gz MABXBQZJKWJTEI-NSHDSACASA-N 0 2 313.375 0.883 20 0 DCADLN CS(=O)(=O)[C@H]1CC[N@@H+](CCOc2ccccc2C(=O)[O-])C1 ZINC001594164156 958935070 /nfs/dbraw/zinc/93/50/70/958935070.db2.gz MABXBQZJKWJTEI-NSHDSACASA-N 0 2 313.375 0.883 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NCCCNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001491735265 959161650 /nfs/dbraw/zinc/16/16/50/959161650.db2.gz KZKZTOUXEDCIHV-CYBMUJFWSA-N 0 2 321.425 0.307 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001368143969 959317697 /nfs/dbraw/zinc/31/76/97/959317697.db2.gz KUDSTTKXIPZIGA-QMTHXVAHSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001368143969 959317725 /nfs/dbraw/zinc/31/77/25/959317725.db2.gz KUDSTTKXIPZIGA-QMTHXVAHSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@]1(NC(=O)CCC(F)(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368146284 959320122 /nfs/dbraw/zinc/32/01/22/959320122.db2.gz GIPWOSDFISEHMZ-NSHDSACASA-N 0 2 321.303 0.933 20 0 DCADLN C[C@]1(NC(=O)CCC(F)(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001368146284 959320138 /nfs/dbraw/zinc/32/01/38/959320138.db2.gz GIPWOSDFISEHMZ-NSHDSACASA-N 0 2 321.303 0.933 20 0 DCADLN Cc1cc(C(F)(F)F)nc(C(=O)NCc2n[nH]c(=O)[nH]2)n1 ZINC001362425375 959332046 /nfs/dbraw/zinc/33/20/46/959332046.db2.gz LGKVTEHVWAXLJZ-UHFFFAOYSA-N 0 2 302.216 0.558 20 0 DCADLN CCOCC(=O)N(C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001491970662 959457939 /nfs/dbraw/zinc/45/79/39/959457939.db2.gz VLGHJDNKBLOLJW-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N(C)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001491970662 959457945 /nfs/dbraw/zinc/45/79/45/959457945.db2.gz VLGHJDNKBLOLJW-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC000392275749 972437401 /nfs/dbraw/zinc/43/74/01/972437401.db2.gz FFBHQTJMTOJDES-UHFFFAOYSA-N 0 2 310.375 0.843 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001594251424 959609228 /nfs/dbraw/zinc/60/92/28/959609228.db2.gz LHUVQGUZTYMDFE-VTWZXRTESA-N 0 2 307.350 0.789 20 0 DCADLN C[C@@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@H]1O ZINC001362576530 959633652 /nfs/dbraw/zinc/63/36/52/959633652.db2.gz LJISHLZBGCCJQU-BXKDBHETSA-N 0 2 318.333 0.109 20 0 DCADLN Cc1ccn([C@H](C)CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001377204456 959686166 /nfs/dbraw/zinc/68/61/66/959686166.db2.gz BETIFNHQGUWVNO-LLVKDONJSA-N 0 2 321.385 0.215 20 0 DCADLN Cc1ccn([C@H](C)CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001377204456 959686171 /nfs/dbraw/zinc/68/61/71/959686171.db2.gz BETIFNHQGUWVNO-LLVKDONJSA-N 0 2 321.385 0.215 20 0 DCADLN CC(=O)[C@H](Cc1ccccc1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001362618289 959708655 /nfs/dbraw/zinc/70/86/55/959708655.db2.gz RQASWGQOMNCZSA-RYUDHWBXSA-N 0 2 319.386 0.859 20 0 DCADLN O=C(N[C@]1(CO)CCCN(CCF)C1)C(F)C(F)(F)F ZINC001368385013 959782042 /nfs/dbraw/zinc/78/20/42/959782042.db2.gz VFRWOXSRWMJTNT-PSASIEDQSA-N 0 2 304.259 0.799 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(CCF)CC1 ZINC001368408231 959824956 /nfs/dbraw/zinc/82/49/56/959824956.db2.gz WKJHBLXNPHEROH-JTQLQIEISA-N 0 2 311.361 0.683 20 0 DCADLN CC1=NO[C@@H](CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001362716570 959879511 /nfs/dbraw/zinc/87/95/11/959879511.db2.gz KQWDAZOIBHGJQH-LLVKDONJSA-N 0 2 317.305 0.161 20 0 DCADLN C[C@](O)(CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377379147 959997435 /nfs/dbraw/zinc/99/74/35/959997435.db2.gz GYUOXFXQMLHZCK-NHYWBVRUSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@](O)(CC(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377379147 959997445 /nfs/dbraw/zinc/99/74/45/959997445.db2.gz GYUOXFXQMLHZCK-NHYWBVRUSA-N 0 2 323.397 0.142 20 0 DCADLN CC(C)CN(Cc1nn[nH]n1)C(=O)c1ccc2n[nH]nc2c1 ZINC001303199582 960042953 /nfs/dbraw/zinc/04/29/53/960042953.db2.gz KMSSKMBVXHBDAH-UHFFFAOYSA-N 0 2 300.326 0.769 20 0 DCADLN CC1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001377407531 960043679 /nfs/dbraw/zinc/04/36/79/960043679.db2.gz RPYIBWSSILMHRR-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CC1(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001377407531 960043691 /nfs/dbraw/zinc/04/36/91/960043691.db2.gz RPYIBWSSILMHRR-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2ccc(CO)cc2)c1 ZINC001362854378 960092797 /nfs/dbraw/zinc/09/27/97/960092797.db2.gz JWZVEWUSSOIHQG-UHFFFAOYSA-N 0 2 322.342 0.784 20 0 DCADLN CCC[C@@H](CC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362987482 960262278 /nfs/dbraw/zinc/26/22/78/960262278.db2.gz OWYBDJLCYQMESI-SECBINFHSA-N 0 2 302.400 0.792 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC12CCC2 ZINC001377549068 960263337 /nfs/dbraw/zinc/26/33/37/960263337.db2.gz QMOODWVASORPNX-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC12CCC2 ZINC001377549068 960263351 /nfs/dbraw/zinc/26/33/51/960263351.db2.gz QMOODWVASORPNX-LLVKDONJSA-N 0 2 305.382 0.781 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC2(CC(N)=O)CCCCC2)S1 ZINC001363041434 960333459 /nfs/dbraw/zinc/33/34/59/960333459.db2.gz GONJFNMKZLQHGD-QMMMGPOBSA-N 0 2 312.395 0.237 20 0 DCADLN CC(C)OCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001377621087 960360070 /nfs/dbraw/zinc/36/00/70/960360070.db2.gz JGBOSZNMFUMCQT-TXEJJXNPSA-N 0 2 323.397 0.501 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001377684895 960433053 /nfs/dbraw/zinc/43/30/53/960433053.db2.gz FPMQPHAGTOIZKI-POYBYMJQSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001377684895 960433062 /nfs/dbraw/zinc/43/30/62/960433062.db2.gz FPMQPHAGTOIZKI-POYBYMJQSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001377684905 960434207 /nfs/dbraw/zinc/43/42/07/960434207.db2.gz FPMQPHAGTOIZKI-XPUUQOCRSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]nc1C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001377684905 960434212 /nfs/dbraw/zinc/43/42/12/960434212.db2.gz FPMQPHAGTOIZKI-XPUUQOCRSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ccnc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)n1 ZINC001377684105 960435608 /nfs/dbraw/zinc/43/56/08/960435608.db2.gz DJVLBGQUUUAPLR-JGVFFNPUSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccnc(C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001377684105 960435613 /nfs/dbraw/zinc/43/56/13/960435613.db2.gz DJVLBGQUUUAPLR-JGVFFNPUSA-N 0 2 322.262 0.920 20 0 DCADLN COC(=O)[C@@]1(CNC(=O)c2c(O)cc(F)cc2F)CCOC1 ZINC001363128672 960442603 /nfs/dbraw/zinc/44/26/03/960442603.db2.gz RFWAHDPMKWFNRI-CQSZACIVSA-N 0 2 315.272 0.980 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2c[nH]nc2Br)S1 ZINC001363142677 960469390 /nfs/dbraw/zinc/46/93/90/960469390.db2.gz UJXWYPZDUDSYAA-SCSAIBSYSA-N 0 2 318.156 0.667 20 0 DCADLN C[C@@H]1C[N@@H+](CCN(C)C(=O)[C@@]2(C(=O)[O-])CCCO2)C[C@H](C)O1 ZINC001574291666 960690771 /nfs/dbraw/zinc/69/07/71/960690771.db2.gz ZZXYELCJBNQZDX-TYNCELHUSA-N 0 2 314.382 0.188 20 0 DCADLN C[C@@H]1C[N@H+](CCN(C)C(=O)[C@@]2(C(=O)[O-])CCCO2)C[C@H](C)O1 ZINC001574291666 960690781 /nfs/dbraw/zinc/69/07/81/960690781.db2.gz ZZXYELCJBNQZDX-TYNCELHUSA-N 0 2 314.382 0.188 20 0 DCADLN O=C(NC[C@@]1(O)CCS(=O)(=O)C1)c1cccc(Cl)c1O ZINC001363253218 960706442 /nfs/dbraw/zinc/70/64/42/960706442.db2.gz MEVXXVBDIDHORY-LBPRGKRZSA-N 0 2 319.766 0.325 20 0 DCADLN O=C(N[C@@H]1CCC[N@@H+](C[C@@H](O)CC(F)(F)F)C1)c1ncn[nH]1 ZINC001377825051 960739465 /nfs/dbraw/zinc/73/94/65/960739465.db2.gz UMCAKHYACCTKBC-BDAKNGLRSA-N 0 2 321.303 0.312 20 0 DCADLN O=C(N[C@@H]1CCC[N@H+](C[C@@H](O)CC(F)(F)F)C1)c1ncn[nH]1 ZINC001377825051 960739482 /nfs/dbraw/zinc/73/94/82/960739482.db2.gz UMCAKHYACCTKBC-BDAKNGLRSA-N 0 2 321.303 0.312 20 0 DCADLN CC[C@H](C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001377864671 960847149 /nfs/dbraw/zinc/84/71/49/960847149.db2.gz ILAFDQGJQFMHFP-JTQLQIEISA-N 0 2 303.366 0.369 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CC[C@@H]2C[C@@H]2C1 ZINC001363486328 961255451 /nfs/dbraw/zinc/25/54/51/961255451.db2.gz WMIUEDIRXXETMN-GHMZBOCLSA-N 0 2 300.318 0.748 20 0 DCADLN C[C@@H](NC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1)[C@H](C)[NH+]1CCOCC1 ZINC001571117259 961410178 /nfs/dbraw/zinc/41/01/78/961410178.db2.gz YORWHBJPSYZQAE-XQHKEYJVSA-N 0 2 313.398 0.648 20 0 DCADLN O=C(NCC[C@H]1CCCO1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363588227 961503708 /nfs/dbraw/zinc/50/37/08/961503708.db2.gz YNVLIGRAIGBJMU-GFCCVEGCSA-N 0 2 318.333 0.565 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)N[C@@H]3CCn4cc[nH+]c4C3)[C@@H]2C1 ZINC001571138312 961636108 /nfs/dbraw/zinc/63/61/08/961636108.db2.gz WITBUWSSJCCABG-MLGHIDQZSA-N 0 2 318.377 0.996 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)c1nn(C)cc1O)[C@@H](C)S(C)(=O)=O ZINC001363664186 961650376 /nfs/dbraw/zinc/65/03/76/961650376.db2.gz JRGNDOMJNVRLCX-XHNCKOQMSA-N 0 2 303.384 0.372 20 0 DCADLN CCNC(=O)NC1(C(=O)N[C@H](C)c2nn(C)cc2O)CCCC1 ZINC001363670567 961664947 /nfs/dbraw/zinc/66/49/47/961664947.db2.gz YKXHKBVCKZPUSF-SNVBAGLBSA-N 0 2 323.397 0.935 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001571142909 961681553 /nfs/dbraw/zinc/68/15/53/961681553.db2.gz CWQNUJMBKHPSRT-LBPRGKRZSA-N 0 2 319.317 0.347 20 0 DCADLN CC(C)(CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001363700081 961717863 /nfs/dbraw/zinc/71/78/63/961717863.db2.gz BJXJEFNEAJHASK-UHFFFAOYSA-N 0 2 314.411 0.792 20 0 DCADLN COCC(C)(C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001430248432 1013286937 /nfs/dbraw/zinc/28/69/37/1013286937.db2.gz CTAAOCXOKPZIKL-MRVPVSSYSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(C)(C)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430248432 1013286942 /nfs/dbraw/zinc/28/69/42/1013286942.db2.gz CTAAOCXOKPZIKL-MRVPVSSYSA-N 0 2 314.279 0.886 20 0 DCADLN CCOc1nc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001379716806 961845452 /nfs/dbraw/zinc/84/54/52/961845452.db2.gz XLUPOIKEDIPLBE-QMMMGPOBSA-N 0 2 324.341 0.147 20 0 DCADLN CCOc1nc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001379716806 961845483 /nfs/dbraw/zinc/84/54/83/961845483.db2.gz XLUPOIKEDIPLBE-QMMMGPOBSA-N 0 2 324.341 0.147 20 0 DCADLN Cc1noc(CCC[NH+]2CC(N(C)C(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)n1 ZINC001571156364 961876001 /nfs/dbraw/zinc/87/60/01/961876001.db2.gz TWANWGBYKCLEAQ-VXGBXAGGSA-N 0 2 322.365 0.174 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001379742710 961919701 /nfs/dbraw/zinc/91/97/01/961919701.db2.gz CCQGWBXAZFRVJK-ZDCRXTMVSA-N 0 2 309.370 0.014 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001379742710 961919712 /nfs/dbraw/zinc/91/97/12/961919712.db2.gz CCQGWBXAZFRVJK-ZDCRXTMVSA-N 0 2 309.370 0.014 20 0 DCADLN COc1ccc(COCC(=O)N[C@H](C)c2nn(C)cc2O)cn1 ZINC001363834524 961987370 /nfs/dbraw/zinc/98/73/70/961987370.db2.gz HBZJPPSDLZTJPU-SNVBAGLBSA-N 0 2 320.349 0.923 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC001379081097 962048559 /nfs/dbraw/zinc/04/85/59/962048559.db2.gz UKEGFTCLEVENGS-QJPTWQEYSA-N 0 2 307.398 0.837 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC001379081097 962048574 /nfs/dbraw/zinc/04/85/74/962048574.db2.gz UKEGFTCLEVENGS-QJPTWQEYSA-N 0 2 307.398 0.837 20 0 DCADLN CCn1cccc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379795416 962048560 /nfs/dbraw/zinc/04/85/60/962048560.db2.gz YGSLPNKJKKICJE-JTQLQIEISA-N 0 2 306.370 0.582 20 0 DCADLN CCn1cccc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001379795416 962048580 /nfs/dbraw/zinc/04/85/80/962048580.db2.gz YGSLPNKJKKICJE-JTQLQIEISA-N 0 2 306.370 0.582 20 0 DCADLN CCn1ncc(CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)n1 ZINC001364012340 962288503 /nfs/dbraw/zinc/28/85/03/962288503.db2.gz MXDOGJVULRLRFJ-IBGZPJMESA-N 0 2 323.444 0.529 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2noc(-c3ccco3)n2)S1 ZINC001364034145 962338439 /nfs/dbraw/zinc/33/84/39/962338439.db2.gz WTJSQIHLIPDYEF-ZETCQYMHSA-N 0 2 321.318 0.502 20 0 DCADLN CC(C)COc1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)ncn1 ZINC001364163620 962594380 /nfs/dbraw/zinc/59/43/80/962594380.db2.gz OPFPJAGJHHIARQ-UHFFFAOYSA-N 0 2 307.314 0.657 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2CN(C(=O)C[N@@H+](C)C(C)C)C2)c1C ZINC001430344717 1013377766 /nfs/dbraw/zinc/37/77/66/1013377766.db2.gz HYVIKVZZUVPUBA-UHFFFAOYSA-N 0 2 321.425 0.555 20 0 DCADLN CCc1nnc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)[nH]1 ZINC001364275250 962796342 /nfs/dbraw/zinc/79/63/42/962796342.db2.gz ZQKMYOJLZLHAGH-QMMMGPOBSA-N 0 2 320.357 0.280 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ncccn2)C1)C(F)C(F)(F)F ZINC001447368498 1013399941 /nfs/dbraw/zinc/39/99/41/1013399941.db2.gz WDRWLPIJTFGLCQ-YUMQZZPRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ncccn2)C1)[C@H](F)C(F)(F)F ZINC001447368498 1013399950 /nfs/dbraw/zinc/39/99/50/1013399950.db2.gz WDRWLPIJTFGLCQ-YUMQZZPRSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1noc(C[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CCC2)n1 ZINC001380855352 963635267 /nfs/dbraw/zinc/63/52/67/963635267.db2.gz FESKUHNVRLQFJC-UHFFFAOYSA-N 0 2 320.353 0.557 20 0 DCADLN Cc1nnc(C[NH2+]C2(CNC(=O)c3[nH]nc(C)c3[O-])CCC2)[nH]1 ZINC001380857953 963637013 /nfs/dbraw/zinc/63/70/13/963637013.db2.gz OCXLVZKJMZDDJS-UHFFFAOYSA-N 0 2 319.369 0.293 20 0 DCADLN CC(C)n1nccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001381094475 963808922 /nfs/dbraw/zinc/80/89/22/963808922.db2.gz HPBLMIYNYNQYQQ-UHFFFAOYSA-N 0 2 319.369 0.246 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])ccn2)C[C@H](C)[N@H+]1C ZINC000314796715 963811779 /nfs/dbraw/zinc/81/17/79/963811779.db2.gz FGJIYXGNYVHDGJ-AOOOYVTPSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])ccn2)C[C@H](C)[N@@H+]1C ZINC000314796715 963811781 /nfs/dbraw/zinc/81/17/81/963811781.db2.gz FGJIYXGNYVHDGJ-AOOOYVTPSA-N 0 2 313.379 0.493 20 0 DCADLN Cn1cccc1[C@H]1COCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC001447521971 1013449741 /nfs/dbraw/zinc/44/97/41/1013449741.db2.gz IYLPWPKROSXLLM-GHMZBOCLSA-N 0 2 322.390 0.481 20 0 DCADLN CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C1 ZINC000315974047 963960032 /nfs/dbraw/zinc/96/00/32/963960032.db2.gz NFVFEXBZIRPGBO-QMMMGPOBSA-N 0 2 303.340 0.135 20 0 DCADLN CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C1 ZINC000315974047 963960039 /nfs/dbraw/zinc/96/00/39/963960039.db2.gz NFVFEXBZIRPGBO-QMMMGPOBSA-N 0 2 303.340 0.135 20 0 DCADLN O=C([O-])C1(CNC(=O)N2CCN(c3cccc[nH+]3)CC2)CC1 ZINC000316811102 964057786 /nfs/dbraw/zinc/05/77/86/964057786.db2.gz ITIBORFGIXUWAR-UHFFFAOYSA-N 0 2 304.350 0.778 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001447549489 1013469544 /nfs/dbraw/zinc/46/95/44/1013469544.db2.gz OZFJMIVIBUZTFK-OOZYFLPDSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cncc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001447549489 1013469545 /nfs/dbraw/zinc/46/95/45/1013469545.db2.gz OZFJMIVIBUZTFK-OOZYFLPDSA-N 0 2 322.262 0.698 20 0 DCADLN CCCc1cc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001369012122 964294959 /nfs/dbraw/zinc/29/49/59/964294959.db2.gz ZSYOBCSUMLDSRS-VIFPVBQESA-N 0 2 322.369 0.701 20 0 DCADLN CCCc1cc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001369012122 964294969 /nfs/dbraw/zinc/29/49/69/964294969.db2.gz ZSYOBCSUMLDSRS-VIFPVBQESA-N 0 2 322.369 0.701 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2cn(C)nn2)C1 ZINC001373672292 964460221 /nfs/dbraw/zinc/46/02/21/964460221.db2.gz JXKFQOWPTQXFFA-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@@H]1CCN(Cc2cn(C)nn2)C1 ZINC001373672292 964460229 /nfs/dbraw/zinc/46/02/29/964460229.db2.gz JXKFQOWPTQXFFA-NXEZZACHSA-N 0 2 323.294 0.748 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1nnnn1C ZINC001375651150 964665611 /nfs/dbraw/zinc/66/56/11/964665611.db2.gz GXKFREDBFGXBPI-BKPPORCPSA-N 0 2 324.282 0.190 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CCN1Cc1nnnn1C ZINC001375651150 964665615 /nfs/dbraw/zinc/66/56/15/964665615.db2.gz GXKFREDBFGXBPI-BKPPORCPSA-N 0 2 324.282 0.190 20 0 DCADLN C[C@@]1(NC(=O)CC[C@H]2CCOC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375818758 964866564 /nfs/dbraw/zinc/86/65/64/964866564.db2.gz GKZUUMQLAZROPU-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(NC(=O)CC[C@H]2CCOC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375818758 964866574 /nfs/dbraw/zinc/86/65/74/964866574.db2.gz GKZUUMQLAZROPU-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(NC(=O)CCC(F)F)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375833194 964886403 /nfs/dbraw/zinc/88/64/03/964886403.db2.gz PFQDGSXFBLGCHQ-GFCCVEGCSA-N 0 2 303.313 0.636 20 0 DCADLN C[C@@]1(NC(=O)CCC(F)F)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001375833194 964886412 /nfs/dbraw/zinc/88/64/12/964886412.db2.gz PFQDGSXFBLGCHQ-GFCCVEGCSA-N 0 2 303.313 0.636 20 0 DCADLN COCC1(C(=O)N[C@@H]2CCC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001369423635 964953546 /nfs/dbraw/zinc/95/35/46/964953546.db2.gz GYOGZEMBDDYJJT-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN COCC1(C(=O)N[C@@H]2CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001369423635 964953549 /nfs/dbraw/zinc/95/35/49/964953549.db2.gz GYOGZEMBDDYJJT-GHMZBOCLSA-N 0 2 323.397 0.406 20 0 DCADLN CCC1(C(=O)NC[C@@]2(O)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001376051189 965137679 /nfs/dbraw/zinc/13/76/79/965137679.db2.gz OLKOEGWOIMRLFV-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN CCC1(C(=O)NC[C@@]2(O)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001376051189 965137684 /nfs/dbraw/zinc/13/76/84/965137684.db2.gz OLKOEGWOIMRLFV-HNNXBMFYSA-N 0 2 323.397 0.144 20 0 DCADLN C[C@H](CNC(=O)c1ncc[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001369823935 965455093 /nfs/dbraw/zinc/45/50/93/965455093.db2.gz AGSBCAOTALSRCT-RQJHMYQMSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)c1ncc[nH]1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001369823935 965455100 /nfs/dbraw/zinc/45/51/00/965455100.db2.gz AGSBCAOTALSRCT-RQJHMYQMSA-N 0 2 310.251 0.887 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCCn1cccn1 ZINC001374557333 965531754 /nfs/dbraw/zinc/53/17/54/965531754.db2.gz NWQOTLQYSAOCQJ-UHFFFAOYSA-N 0 2 321.385 0.077 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCCn1cccn1 ZINC001374557333 965531765 /nfs/dbraw/zinc/53/17/65/965531765.db2.gz NWQOTLQYSAOCQJ-UHFFFAOYSA-N 0 2 321.385 0.077 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001370116478 965789642 /nfs/dbraw/zinc/78/96/42/965789642.db2.gz HEJBPIWPDANADS-YLWLKBPMSA-N 0 2 324.234 0.547 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cnon2)C1 ZINC001370116478 965789646 /nfs/dbraw/zinc/78/96/46/965789646.db2.gz HEJBPIWPDANADS-YLWLKBPMSA-N 0 2 324.234 0.547 20 0 DCADLN CC[NH+](CC)CC(=O)N[C@@H](C)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001370581127 966259608 /nfs/dbraw/zinc/25/96/08/966259608.db2.gz XMDLVDKHOORRKT-LBPRGKRZSA-N 0 2 323.441 0.566 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001370651892 966335077 /nfs/dbraw/zinc/33/50/77/966335077.db2.gz OJHAJJCWGATQEU-SFYZADRCSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccoc1 ZINC001370651892 966335087 /nfs/dbraw/zinc/33/50/87/966335087.db2.gz OJHAJJCWGATQEU-SFYZADRCSA-N 0 2 312.219 0.387 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(Cl)[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381573547 966545083 /nfs/dbraw/zinc/54/50/83/966545083.db2.gz LOASEDAGRLKYAP-ZETCQYMHSA-N 0 2 312.761 0.742 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(Cl)[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381573547 966545089 /nfs/dbraw/zinc/54/50/89/966545089.db2.gz LOASEDAGRLKYAP-ZETCQYMHSA-N 0 2 312.761 0.742 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001447978798 1013678976 /nfs/dbraw/zinc/67/89/76/1013678976.db2.gz OHFOWAARUXCQFQ-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)n(C)n1 ZINC001447978798 1013678983 /nfs/dbraw/zinc/67/89/83/1013678983.db2.gz OHFOWAARUXCQFQ-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN C[C@H](CNC(=O)c1nnc[nH]1)[NH2+]Cc1nnc(C2CCC2)n1C ZINC001371395330 966960987 /nfs/dbraw/zinc/96/09/87/966960987.db2.gz XBYYZZGORAVQOA-SECBINFHSA-N 0 2 318.385 0.109 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ccc(C)o1)Cc1n[nH]c(=O)[n-]1 ZINC001372315625 967886768 /nfs/dbraw/zinc/88/67/68/967886768.db2.gz FGEGZWQWKFUQOW-UHFFFAOYSA-N 0 2 307.354 0.593 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ccc(C)o1)Cc1n[nH]c(=O)[n-]1 ZINC001372315625 967886774 /nfs/dbraw/zinc/88/67/74/967886774.db2.gz FGEGZWQWKFUQOW-UHFFFAOYSA-N 0 2 307.354 0.593 20 0 DCADLN COc1ccc(C[N@H+](C)CCS(=O)(=O)CC(=O)[O-])cc1C ZINC000287282464 967907220 /nfs/dbraw/zinc/90/72/20/967907220.db2.gz RTHODSCRGAAMIP-UHFFFAOYSA-N 0 2 315.391 0.935 20 0 DCADLN COc1ccc(C[N@@H+](C)CCS(=O)(=O)CC(=O)[O-])cc1C ZINC000287282464 967907224 /nfs/dbraw/zinc/90/72/24/967907224.db2.gz RTHODSCRGAAMIP-UHFFFAOYSA-N 0 2 315.391 0.935 20 0 DCADLN O=C(CCC(F)(F)F)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001372794250 968383447 /nfs/dbraw/zinc/38/34/47/968383447.db2.gz ZDQZOKFEKOYOHT-UHFFFAOYSA-N 0 2 321.303 0.933 20 0 DCADLN O=C(CCC(F)(F)F)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001372794250 968383455 /nfs/dbraw/zinc/38/34/55/968383455.db2.gz ZDQZOKFEKOYOHT-UHFFFAOYSA-N 0 2 321.303 0.933 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cccc(=O)[nH]1 ZINC001372902124 968515690 /nfs/dbraw/zinc/51/56/90/968515690.db2.gz HUODEJQJGIWUJS-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cccc(=O)[nH]1 ZINC001372902124 968515694 /nfs/dbraw/zinc/51/56/94/968515694.db2.gz HUODEJQJGIWUJS-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN CN1CCCC[C@@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001448450674 1013915922 /nfs/dbraw/zinc/91/59/22/1013915922.db2.gz FICADEWMOADNGJ-WDEREUQCSA-N 0 2 321.381 0.475 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001609753811 970515685 /nfs/dbraw/zinc/51/56/85/970515685.db2.gz IQBDLHOFHJZCTF-SNVBAGLBSA-N 0 2 321.395 0.149 20 0 DCADLN CC(C)(C)OC(=O)[C@@H]1CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC001609753811 970515689 /nfs/dbraw/zinc/51/56/89/970515689.db2.gz IQBDLHOFHJZCTF-SNVBAGLBSA-N 0 2 321.395 0.149 20 0 DCADLN CC(C)[C@H](NC(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC001609842699 970549706 /nfs/dbraw/zinc/54/97/06/970549706.db2.gz GZACAFPIQLUJMM-NSHDSACASA-N 0 2 304.306 0.498 20 0 DCADLN CCn1cnc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)c1 ZINC001431390768 1014026646 /nfs/dbraw/zinc/02/66/46/1014026646.db2.gz VKYIJYJURWHPLE-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CCn1cnc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)c1 ZINC001431390768 1014026651 /nfs/dbraw/zinc/02/66/51/1014026651.db2.gz VKYIJYJURWHPLE-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN Cc1cc(=O)[nH]c(C(C)(C)[NH2+][C@@H]2CCN(CC(=O)[O-])C2=O)n1 ZINC001605092404 972742922 /nfs/dbraw/zinc/74/29/22/972742922.db2.gz MRGJGLUMUOHHDT-SECBINFHSA-N 0 2 308.338 0.001 20 0 DCADLN Cc1cc(C)c(CNS(=O)(=O)c2cnn(CC(=O)[O-])c2)c[nH+]1 ZINC000397561658 973009673 /nfs/dbraw/zinc/00/96/73/973009673.db2.gz XQDJEZWDQDWCGI-UHFFFAOYSA-N 0 2 324.362 0.458 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1(C(=O)[O-])CC=CC1 ZINC001604362002 973059962 /nfs/dbraw/zinc/05/99/62/973059962.db2.gz ZOPQUIVCSAKHPV-JTQLQIEISA-N 0 2 307.306 0.031 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1(C(=O)[O-])CC=CC1 ZINC001604362002 973059973 /nfs/dbraw/zinc/05/99/73/973059973.db2.gz ZOPQUIVCSAKHPV-JTQLQIEISA-N 0 2 307.306 0.031 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC001604432227 973221320 /nfs/dbraw/zinc/22/13/20/973221320.db2.gz OHHDQICUTBFCEM-AWEZNQCLSA-N 0 2 322.361 0.235 20 0 DCADLN C[C@H]1CN(c2nnc(Cc3[nH+]ccn3C)n2C)CC[C@@H]1C(=O)[O-] ZINC001603470220 973334589 /nfs/dbraw/zinc/33/45/89/973334589.db2.gz FNPDGGAKQPJIEH-QWRGUYRKSA-N 0 2 318.381 0.686 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)[C@@H](C(=O)[O-])C1CC1)C2 ZINC001605416301 973424107 /nfs/dbraw/zinc/42/41/07/973424107.db2.gz CUFFBKYVLUEHDD-RISCZKNCSA-N 0 2 321.377 0.268 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001605533010 973720725 /nfs/dbraw/zinc/72/07/25/973720725.db2.gz QTNVLZIOMCGGHR-UHFFFAOYSA-N 0 2 303.322 0.861 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001605533010 973720729 /nfs/dbraw/zinc/72/07/29/973720729.db2.gz QTNVLZIOMCGGHR-UHFFFAOYSA-N 0 2 303.322 0.861 20 0 DCADLN CC[C@@H](C(=O)N(OC)[C@H](C)C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001603625554 973936354 /nfs/dbraw/zinc/93/63/54/973936354.db2.gz YLPBTKKOPYWSOX-UTUOFQBUSA-N 0 2 302.371 0.739 20 0 DCADLN CC[C@@H](C(=O)N(OC)[C@H](C)C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001603625554 973936363 /nfs/dbraw/zinc/93/63/63/973936363.db2.gz YLPBTKKOPYWSOX-UTUOFQBUSA-N 0 2 302.371 0.739 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001606529216 974044694 /nfs/dbraw/zinc/04/46/94/974044694.db2.gz PQLSOCKPGOWADU-JTQLQIEISA-N 0 2 305.334 0.247 20 0 DCADLN CN(C)C(=O)OC1CC[NH+](CN2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001604045471 974573263 /nfs/dbraw/zinc/57/32/63/974573263.db2.gz BSUBCZWIOFMTLO-JTQLQIEISA-N 0 2 313.354 0.040 20 0 DCADLN Cc1nonc1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001528249033 1014239414 /nfs/dbraw/zinc/23/94/14/1014239414.db2.gz RCCYPLYJMBNNQO-SSDOTTSWSA-N 0 2 312.223 0.467 20 0 DCADLN Cc1nonc1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001528249033 1014239421 /nfs/dbraw/zinc/23/94/21/1014239421.db2.gz RCCYPLYJMBNNQO-SSDOTTSWSA-N 0 2 312.223 0.467 20 0 DCADLN CC(C)S(=O)(=O)CC[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001591820579 976065234 /nfs/dbraw/zinc/06/52/34/976065234.db2.gz MNGYFMVEUJYGGK-JTQLQIEISA-N 0 2 316.383 0.046 20 0 DCADLN CC(C)S(=O)(=O)CC[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001591820579 976065249 /nfs/dbraw/zinc/06/52/49/976065249.db2.gz MNGYFMVEUJYGGK-JTQLQIEISA-N 0 2 316.383 0.046 20 0 DCADLN C[C@@H](CC(=O)[O-])CC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001592394972 977949344 /nfs/dbraw/zinc/94/93/44/977949344.db2.gz FXLZICHDOSREDT-GFCCVEGCSA-N 0 2 324.381 0.655 20 0 DCADLN CC[C@](COC)(NC(=O)/C=C(\C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001595189251 979871411 /nfs/dbraw/zinc/87/14/11/979871411.db2.gz ZYANUQQMUXQFMA-SAAWKEMMSA-N 0 2 314.382 0.261 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-])C(=O)OC ZINC001594893372 982303656 /nfs/dbraw/zinc/30/36/56/982303656.db2.gz HVBHUSCVIGGYHZ-GMXABZIVSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-])C(=O)OC ZINC001594893372 982303667 /nfs/dbraw/zinc/30/36/67/982303667.db2.gz HVBHUSCVIGGYHZ-GMXABZIVSA-N 0 2 314.382 0.629 20 0 DCADLN CCC[N@H+](CC(=O)NC)Cc1snc(OC)c1C(=O)[O-] ZINC001595842957 982762764 /nfs/dbraw/zinc/76/27/64/982762764.db2.gz DTIPFLIKNOJHOC-UHFFFAOYSA-N 0 2 301.368 0.808 20 0 DCADLN CCC[N@@H+](CC(=O)NC)Cc1snc(OC)c1C(=O)[O-] ZINC001595842957 982762768 /nfs/dbraw/zinc/76/27/68/982762768.db2.gz DTIPFLIKNOJHOC-UHFFFAOYSA-N 0 2 301.368 0.808 20 0 DCADLN C[C@@H](CNC(=O)c1cnon1)N(C)C(=O)C(F)C(F)(F)F ZINC001450069412 1014886526 /nfs/dbraw/zinc/88/65/26/1014886526.db2.gz SVBTWSPFYOGURQ-FSPLSTOPSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@@H](CNC(=O)c1cnon1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001450069412 1014886538 /nfs/dbraw/zinc/88/65/38/1014886538.db2.gz SVBTWSPFYOGURQ-FSPLSTOPSA-N 0 2 312.223 0.547 20 0 DCADLN CCCN(CCNC(=O)Cc1[nH]cc[nH+]1)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001595883132 982900995 /nfs/dbraw/zinc/90/09/95/982900995.db2.gz RIYDISQEISRNAE-MNOVXSKESA-N 0 2 322.365 0.028 20 0 DCADLN O=C([O-])CCCCNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001574870108 983286231 /nfs/dbraw/zinc/28/62/31/983286231.db2.gz MGKAQTLUFQEVIC-OLZOCXBDSA-N 0 2 314.382 0.237 20 0 DCADLN CCN(CCNC(=O)Cn1cc[nH+]c1)c1ncc(C(=O)[O-])cn1 ZINC001596334843 983778458 /nfs/dbraw/zinc/77/84/58/983778458.db2.gz UQZLXTVXPXQMPL-UHFFFAOYSA-N 0 2 318.337 0.014 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2occc2C(=O)[O-])[C@@H](C)C1 ZINC001596438563 984128086 /nfs/dbraw/zinc/12/80/86/984128086.db2.gz JVINHUCEDBPQDA-VIFPVBQESA-N 0 2 302.352 0.693 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2occc2C(=O)[O-])[C@@H](C)C1 ZINC001596438563 984128091 /nfs/dbraw/zinc/12/80/91/984128091.db2.gz JVINHUCEDBPQDA-VIFPVBQESA-N 0 2 302.352 0.693 20 0 DCADLN Cn1cc([C@@H]2CN(c3[nH+]cccc3C(=O)[O-])C[C@@H]2CO)cn1 ZINC001551353342 1015022776 /nfs/dbraw/zinc/02/27/76/1015022776.db2.gz GQJMFIBGMDKKRJ-YPMHNXCESA-N 0 2 302.334 0.726 20 0 DCADLN CCOC(=O)[C@@H](C)[N@H+](CCc1cn(CC(=O)[O-])nn1)C1CC1 ZINC001596520044 984316009 /nfs/dbraw/zinc/31/60/09/984316009.db2.gz SZQCEQHBIMVZGQ-SNVBAGLBSA-N 0 2 310.354 0.321 20 0 DCADLN CCOC(=O)[C@@H](C)[N@@H+](CCc1cn(CC(=O)[O-])nn1)C1CC1 ZINC001596520044 984316012 /nfs/dbraw/zinc/31/60/12/984316012.db2.gz SZQCEQHBIMVZGQ-SNVBAGLBSA-N 0 2 310.354 0.321 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001383728293 985045782 /nfs/dbraw/zinc/04/57/82/985045782.db2.gz NVQFNAIHFIZWSL-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001383728293 985045792 /nfs/dbraw/zinc/04/57/92/985045792.db2.gz NVQFNAIHFIZWSL-HTRCEHHLSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001383728294 985046911 /nfs/dbraw/zinc/04/69/11/985046911.db2.gz NVQFNAIHFIZWSL-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001383728294 985046915 /nfs/dbraw/zinc/04/69/15/985046915.db2.gz NVQFNAIHFIZWSL-POYBYMJQSA-N 0 2 310.251 0.887 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CC[C@H](O)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599944915 985508554 /nfs/dbraw/zinc/50/85/54/985508554.db2.gz KMMYUDBCKPUVSQ-MNOVXSKESA-N 0 2 310.350 0.188 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CC[C@H](O)[C@@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599944915 985508557 /nfs/dbraw/zinc/50/85/57/985508557.db2.gz KMMYUDBCKPUVSQ-MNOVXSKESA-N 0 2 310.350 0.188 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CC(NC(=O)c2cn[nH]n2)C1 ZINC001384450265 985588437 /nfs/dbraw/zinc/58/84/37/985588437.db2.gz OFSWCBZGJCDJRZ-NPWHJSNTSA-N 0 2 323.250 0.424 20 0 DCADLN CCOC[C@H](C(=O)[O-])N(C)C(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC001596872011 985695533 /nfs/dbraw/zinc/69/55/33/985695533.db2.gz CIGGXZKDLOPEGA-CNELAYHGSA-N 0 2 314.382 0.213 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2ccc(C)nn2)n[nH]1 ZINC001551661582 1015166605 /nfs/dbraw/zinc/16/66/05/1015166605.db2.gz SZAWNSBHQTYOIG-WCQYABFASA-N 0 2 316.365 0.856 20 0 DCADLN CCC1(C(=O)N(C)C[C@@H]2OCC[C@H]2c2n[nH]c(C)n2)COC1 ZINC001551664463 1015169443 /nfs/dbraw/zinc/16/94/43/1015169443.db2.gz DOPZVDNEBFRXTE-NEPJUHHUSA-N 0 2 308.382 0.871 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)c2cnns2)n[nH]1 ZINC001551670304 1015173660 /nfs/dbraw/zinc/17/36/60/1015173660.db2.gz VAKMMOBRTBWQNO-BDAKNGLRSA-N 0 2 308.367 0.609 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCOC3)C[C@H]21)C(F)C(F)(F)F ZINC001526199469 1015231608 /nfs/dbraw/zinc/23/16/08/1015231608.db2.gz WVFZVQRUJBZWHW-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCOC3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001526199469 1015231611 /nfs/dbraw/zinc/23/16/11/1015231611.db2.gz WVFZVQRUJBZWHW-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)C1(C(=O)[O-])CC2(CCC2)C1 ZINC001594608023 986589216 /nfs/dbraw/zinc/58/92/16/986589216.db2.gz RDLKTJTWOZFWDO-GFCCVEGCSA-N 0 2 310.394 0.858 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)C1(C(=O)[O-])CC2(CCC2)C1 ZINC001594608023 986589220 /nfs/dbraw/zinc/58/92/20/986589220.db2.gz RDLKTJTWOZFWDO-GFCCVEGCSA-N 0 2 310.394 0.858 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001385923283 986687045 /nfs/dbraw/zinc/68/70/45/986687045.db2.gz MWSWUESKAVUHTJ-DKXJUACHSA-N 0 2 300.252 0.685 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385923283 986687047 /nfs/dbraw/zinc/68/70/47/986687047.db2.gz MWSWUESKAVUHTJ-DKXJUACHSA-N 0 2 300.252 0.685 20 0 DCADLN C[C@@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])N(C)CC(F)(F)F ZINC001589424119 986687392 /nfs/dbraw/zinc/68/73/92/986687392.db2.gz YJMWZZOQTSWONJ-IONNQARKSA-N 0 2 322.287 0.404 20 0 DCADLN C[C@@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])N(C)CC(F)(F)F ZINC001589424119 986687393 /nfs/dbraw/zinc/68/73/93/986687393.db2.gz YJMWZZOQTSWONJ-IONNQARKSA-N 0 2 322.287 0.404 20 0 DCADLN C[C@@H]1Cc2c[nH+]ccc2N1S(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC001594627421 986699485 /nfs/dbraw/zinc/69/94/85/986699485.db2.gz URNPQSXASYLRFU-SECBINFHSA-N 0 2 322.346 0.503 20 0 DCADLN C[C@H](C(=O)Nc1ccc(CC(=O)[O-])cc1)N1CC[NH2+]CC1=O ZINC001589435022 986740896 /nfs/dbraw/zinc/74/08/96/986740896.db2.gz DJAQXTKHHJNNII-SNVBAGLBSA-N 0 2 305.334 0.073 20 0 DCADLN CCOCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001386099194 986827481 /nfs/dbraw/zinc/82/74/81/986827481.db2.gz CLZCZDJSIYZXGZ-WDQPUEAGSA-N 0 2 312.263 0.496 20 0 DCADLN CCOCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(F)(F)F ZINC001386099194 986827504 /nfs/dbraw/zinc/82/75/04/986827504.db2.gz CLZCZDJSIYZXGZ-WDQPUEAGSA-N 0 2 312.263 0.496 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cnco3)C[C@H]21)C(F)C(F)(F)F ZINC001386099453 986828411 /nfs/dbraw/zinc/82/84/11/986828411.db2.gz FHYLLAYKVWUXGY-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)c3cnco3)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001386099453 986828419 /nfs/dbraw/zinc/82/84/19/986828419.db2.gz FHYLLAYKVWUXGY-CRYJXSNHSA-N 0 2 321.230 0.762 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)COCC1CC1 ZINC001386303020 987055134 /nfs/dbraw/zinc/05/51/34/987055134.db2.gz IBQDGURNDYOYKH-XVKPBYJWSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)COCC1CC1 ZINC001386303020 987055145 /nfs/dbraw/zinc/05/51/45/987055145.db2.gz IBQDGURNDYOYKH-XVKPBYJWSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)c1cc[nH]c(=O)c1)NC(=O)C(F)C(F)(F)F ZINC001386724190 987340796 /nfs/dbraw/zinc/34/07/96/987340796.db2.gz UILGPJYVNIIHAS-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)c1cc[nH]c(=O)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001386724190 987340801 /nfs/dbraw/zinc/34/08/01/987340801.db2.gz UILGPJYVNIIHAS-RCOVLWMOSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)CNC(=O)C(F)C(F)(F)F ZINC001532194352 1015302892 /nfs/dbraw/zinc/30/28/92/1015302892.db2.gz VKBRWGOAFIQBQU-IONNQARKSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001532194352 1015302899 /nfs/dbraw/zinc/30/28/99/1015302899.db2.gz VKBRWGOAFIQBQU-IONNQARKSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)CNC(=O)C(F)C(F)(F)F ZINC001532194354 1015303815 /nfs/dbraw/zinc/30/38/15/1015303815.db2.gz VKBRWGOAFIQBQU-VXNVDRBHSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1cncnc1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001532194354 1015303828 /nfs/dbraw/zinc/30/38/28/1015303828.db2.gz VKBRWGOAFIQBQU-VXNVDRBHSA-N 0 2 322.262 0.859 20 0 DCADLN CCn1ccc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001386880405 987475492 /nfs/dbraw/zinc/47/54/92/987475492.db2.gz XMDTZWFCNHQXMF-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CCn1ccc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)n1 ZINC001386880405 987475497 /nfs/dbraw/zinc/47/54/97/987475497.db2.gz XMDTZWFCNHQXMF-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CN(CCNC(=O)C[C@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001387089457 987625210 /nfs/dbraw/zinc/62/52/10/987625210.db2.gz KZTTXYCNJQQZNY-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)C[C@H]1CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001387089457 987625212 /nfs/dbraw/zinc/62/52/12/987625212.db2.gz KZTTXYCNJQQZNY-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001388092409 988033738 /nfs/dbraw/zinc/03/37/38/988033738.db2.gz VEZDSXNPOKJFTP-VDTYLAMSSA-N 0 2 311.239 0.330 20 0 DCADLN CC[C@@H](CNC(=O)c1cnn(C)c1)NC(=O)C(F)C(F)(F)F ZINC001450833349 1015385590 /nfs/dbraw/zinc/38/55/90/1015385590.db2.gz IRFWXDOMWQLEEM-DTWKUNHWSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)c1cnn(C)c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001450833349 1015385593 /nfs/dbraw/zinc/38/55/93/1015385593.db2.gz IRFWXDOMWQLEEM-DTWKUNHWSA-N 0 2 324.278 0.945 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccn(C)n1 ZINC001389173150 988947407 /nfs/dbraw/zinc/94/74/07/988947407.db2.gz HJVZYPHSRHXUGX-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1ccn(C)n1 ZINC001389173150 988947422 /nfs/dbraw/zinc/94/74/22/988947422.db2.gz HJVZYPHSRHXUGX-XCBNKYQSSA-N 0 2 324.278 0.484 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)on1 ZINC001389233316 989009257 /nfs/dbraw/zinc/00/92/57/989009257.db2.gz MHZSMLFRJVFRLO-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)on1 ZINC001389233316 989009268 /nfs/dbraw/zinc/00/92/68/989009268.db2.gz MHZSMLFRJVFRLO-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN C[C@@H](CNC(=O)CCCC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001389382088 989182033 /nfs/dbraw/zinc/18/20/33/989182033.db2.gz NTJPNCWTZDLFMT-IMTBSYHQSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CNC(=O)CCCC(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001389382088 989182040 /nfs/dbraw/zinc/18/20/40/989182040.db2.gz NTJPNCWTZDLFMT-IMTBSYHQSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001389488239 989318503 /nfs/dbraw/zinc/31/85/03/989318503.db2.gz MBXBUXYXAPJTPG-BQBZGAKWSA-N 0 2 307.276 0.399 20 0 DCADLN CCC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC001389499640 989336746 /nfs/dbraw/zinc/33/67/46/989336746.db2.gz IZXVNJMOGBRDAI-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CCn1c[nH+]cc1COC(=O)Cn1cccc(C(=O)[O-])c1=O ZINC001597923086 989859673 /nfs/dbraw/zinc/85/96/73/989859673.db2.gz SCOMTVLKGOHXNF-UHFFFAOYSA-N 0 2 305.290 0.506 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CCCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001390144745 989864657 /nfs/dbraw/zinc/86/46/57/989864657.db2.gz VQYIXVPQCFKEFT-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2CCCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCOC1 ZINC001390144745 989864667 /nfs/dbraw/zinc/86/46/67/989864667.db2.gz VQYIXVPQCFKEFT-ABAIWWIYSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)(C)n1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001590593470 990245900 /nfs/dbraw/zinc/24/59/00/990245900.db2.gz LVXZAZOFICKMMT-LLVKDONJSA-N 0 2 305.338 0.787 20 0 DCADLN CC(C)(C)n1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001590593470 990245906 /nfs/dbraw/zinc/24/59/06/990245906.db2.gz LVXZAZOFICKMMT-LLVKDONJSA-N 0 2 305.338 0.787 20 0 DCADLN CCn1nncc1CN(C)CCNC(=O)C(F)C(F)(F)F ZINC001390770776 990371777 /nfs/dbraw/zinc/37/17/77/990371777.db2.gz QQPMWJGVLYMIBR-SECBINFHSA-N 0 2 311.283 0.746 20 0 DCADLN CCn1nncc1CN(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001390770776 990371781 /nfs/dbraw/zinc/37/17/81/990371781.db2.gz QQPMWJGVLYMIBR-SECBINFHSA-N 0 2 311.283 0.746 20 0 DCADLN CCCN(C(=O)[C@@H]1CCOC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391259570 990703884 /nfs/dbraw/zinc/70/38/84/990703884.db2.gz IFZBERWXPINOMQ-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CCCN(C(=O)[C@@H]1CCOC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391259570 990703892 /nfs/dbraw/zinc/70/38/92/990703892.db2.gz IFZBERWXPINOMQ-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN CCCN(C(=O)[C@H]1CCCO1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391267286 990708477 /nfs/dbraw/zinc/70/84/77/990708477.db2.gz IQSRIZOAQLSKMS-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN CCCN(C(=O)[C@@H]1C[C@H]1C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391276693 990712348 /nfs/dbraw/zinc/71/23/48/990712348.db2.gz QRLJRXDBJPACGS-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN CCCN(C(=O)[C@@H]1C[C@H]1C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391276693 990712355 /nfs/dbraw/zinc/71/23/55/990712355.db2.gz QRLJRXDBJPACGS-GRYCIOLGSA-N 0 2 307.398 0.979 20 0 DCADLN CCO[C@@H](CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001391428407 990828937 /nfs/dbraw/zinc/82/89/37/990828937.db2.gz WTDRDCANBLXAPX-NSHDSACASA-N 0 2 311.386 0.262 20 0 DCADLN CN(C)c1cc(-c2ccc(=O)n(C[C@](C)(O)C(=O)[O-])c2)cc[nH+]1 ZINC001598288430 991196182 /nfs/dbraw/zinc/19/61/82/991196182.db2.gz UEEKOLFCQRHBPY-INIZCTEOSA-N 0 2 317.345 0.812 20 0 DCADLN CC(C)[C@@H]1C[C@H](C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])CCO1 ZINC001591073082 991539271 /nfs/dbraw/zinc/53/92/71/991539271.db2.gz RNXWBZREDHLLJN-RTXFEEFZSA-N 0 2 309.366 0.973 20 0 DCADLN CC(C)[C@@H]1C[C@H](C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])CCO1 ZINC001591073082 991539280 /nfs/dbraw/zinc/53/92/80/991539280.db2.gz RNXWBZREDHLLJN-RTXFEEFZSA-N 0 2 309.366 0.973 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)C[NH+]1CC(C)(C)C1)C(=O)[O-] ZINC001591457340 992562736 /nfs/dbraw/zinc/56/27/36/992562736.db2.gz FUVGULSEZPDDBE-NSHDSACASA-N 0 2 313.398 0.060 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001598518670 992651383 /nfs/dbraw/zinc/65/13/83/992651383.db2.gz SAUJBBBLDFJMDS-UKRRQHHQSA-N 0 2 324.352 0.694 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001598518670 992651388 /nfs/dbraw/zinc/65/13/88/992651388.db2.gz SAUJBBBLDFJMDS-UKRRQHHQSA-N 0 2 324.352 0.694 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001393338865 992894094 /nfs/dbraw/zinc/89/40/94/992894094.db2.gz DNDHGVCNBDLGPI-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001393338865 992894105 /nfs/dbraw/zinc/89/41/05/992894105.db2.gz DNDHGVCNBDLGPI-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN C[C@H](CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394053556 993439319 /nfs/dbraw/zinc/43/93/19/993439319.db2.gz ZMTULEHOMGKHFL-DCQANWLSSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394053556 993439324 /nfs/dbraw/zinc/43/93/24/993439324.db2.gz ZMTULEHOMGKHFL-DCQANWLSSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@H](NC(=O)Cc1cccnc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394230695 993556721 /nfs/dbraw/zinc/55/67/21/993556721.db2.gz FMOAXVSTDLLPET-JTQLQIEISA-N 0 2 316.365 0.085 20 0 DCADLN C[N@@H+]1CCN(C(=O)C(=O)c2ccc(O)cc2)C[C@@H](C(=O)[O-])C1 ZINC001598603494 993604559 /nfs/dbraw/zinc/60/45/59/993604559.db2.gz IODKRRUBKAWESO-NSHDSACASA-N 0 2 306.318 0.050 20 0 DCADLN C[N@H+]1CCN(C(=O)C(=O)c2ccc(O)cc2)C[C@@H](C(=O)[O-])C1 ZINC001598603494 993604563 /nfs/dbraw/zinc/60/45/63/993604563.db2.gz IODKRRUBKAWESO-NSHDSACASA-N 0 2 306.318 0.050 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001399681747 993667231 /nfs/dbraw/zinc/66/72/31/993667231.db2.gz GMUHKKFUMUQWSY-UHFFFAOYSA-N 0 2 307.329 0.901 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001399681747 993667236 /nfs/dbraw/zinc/66/72/36/993667236.db2.gz GMUHKKFUMUQWSY-UHFFFAOYSA-N 0 2 307.329 0.901 20 0 DCADLN Cc1conc1C[NH2+][C@H](C)CN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001394470072 993742636 /nfs/dbraw/zinc/74/26/36/993742636.db2.gz LPBSFRUXEFGFTM-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN CCC(C)(C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001400396106 994473386 /nfs/dbraw/zinc/47/33/86/994473386.db2.gz PJALLUIDSWSECK-UHFFFAOYSA-N 0 2 317.393 0.759 20 0 DCADLN COC(=O)C(C)(C)N1CC[NH+](Cc2ccc(C(=O)[O-])[nH]2)CC1 ZINC001598684512 994985829 /nfs/dbraw/zinc/98/58/29/994985829.db2.gz YVEICUJMUVYTJS-UHFFFAOYSA-N 0 2 309.366 0.782 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCN1CCOC[C@H]1C ZINC001598757700 995740424 /nfs/dbraw/zinc/74/04/24/995740424.db2.gz JSEDQIZDJLJUKW-UPJWGTAASA-N 0 2 314.382 0.045 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCN1CCOC[C@H]1C ZINC001598757700 995740432 /nfs/dbraw/zinc/74/04/32/995740432.db2.gz JSEDQIZDJLJUKW-UPJWGTAASA-N 0 2 314.382 0.045 20 0 DCADLN O=C(N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cnon1 ZINC001397008665 995741658 /nfs/dbraw/zinc/74/16/58/995741658.db2.gz GBAPEELBCZOJMR-HTRCEHHLSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(N[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1cnon1 ZINC001397008665 995741663 /nfs/dbraw/zinc/74/16/63/995741663.db2.gz GBAPEELBCZOJMR-HTRCEHHLSA-N 0 2 324.234 0.595 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@@H+]1CCn1ccccc1=O ZINC001598776797 995938338 /nfs/dbraw/zinc/93/83/38/995938338.db2.gz KNNNUONLPHDCRN-RYUDHWBXSA-N 0 2 308.334 0.187 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1CCn1ccccc1=O ZINC001598776797 995938343 /nfs/dbraw/zinc/93/83/43/995938343.db2.gz KNNNUONLPHDCRN-RYUDHWBXSA-N 0 2 308.334 0.187 20 0 DCADLN C[C@H](Oc1cccnc1)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001593656314 996024361 /nfs/dbraw/zinc/02/43/61/996024361.db2.gz XKYNAPBVXPLNIM-CMPLNLGQSA-N 0 2 304.306 0.315 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C[C@H](C)O ZINC001465339930 1015998346 /nfs/dbraw/zinc/99/83/46/1015998346.db2.gz VLNFXGHNEGGDKI-ZJUUUORDSA-N 0 2 320.349 0.403 20 0 DCADLN C[C@]1(Br)C[C@H]1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593740352 996356733 /nfs/dbraw/zinc/35/67/33/996356733.db2.gz RNESCXBBYUMVQC-RNSXUZJQSA-N 0 2 316.155 0.695 20 0 DCADLN C[C@]1(Br)C[C@H]1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593740352 996356738 /nfs/dbraw/zinc/35/67/38/996356738.db2.gz RNESCXBBYUMVQC-RNSXUZJQSA-N 0 2 316.155 0.695 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)cc1 ZINC001599482253 996789646 /nfs/dbraw/zinc/78/96/46/996789646.db2.gz XCAUVBKJUQKCGP-IMRBUKKESA-N 0 2 318.329 0.214 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)cc1 ZINC001599482253 996789656 /nfs/dbraw/zinc/78/96/56/996789656.db2.gz XCAUVBKJUQKCGP-IMRBUKKESA-N 0 2 318.329 0.214 20 0 DCADLN CC1(C)CN(C[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)C(=O)O1 ZINC001593942860 996972119 /nfs/dbraw/zinc/97/21/19/996972119.db2.gz RUKQYXGINKDRQY-VIFPVBQESA-N 0 2 309.326 0.411 20 0 DCADLN CC1(C)CN(C[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)C(=O)O1 ZINC001593942860 996972127 /nfs/dbraw/zinc/97/21/27/996972127.db2.gz RUKQYXGINKDRQY-VIFPVBQESA-N 0 2 309.326 0.411 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1 ZINC001598903680 997222104 /nfs/dbraw/zinc/22/21/04/997222104.db2.gz MQJJCNJNVFVPQG-SCVCMEIPSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1 ZINC001598903680 997222117 /nfs/dbraw/zinc/22/21/17/997222117.db2.gz MQJJCNJNVFVPQG-SCVCMEIPSA-N 0 2 323.349 0.501 20 0 DCADLN COCc1ncc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])s1 ZINC001599304154 997284958 /nfs/dbraw/zinc/28/49/58/997284958.db2.gz HQFVZVQQBNNAOP-QMMMGPOBSA-N 0 2 310.335 0.369 20 0 DCADLN CCC[N@H+](C)CC(=O)N1CC([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001404666826 997358432 /nfs/dbraw/zinc/35/84/32/997358432.db2.gz ZQGKFNVTUCSYST-ZDUSSCGKSA-N 0 2 321.425 0.188 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001405003006 997668474 /nfs/dbraw/zinc/66/84/74/997668474.db2.gz YTTXTQWFDGBSIF-KOLCDFICSA-N 0 2 323.397 0.143 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001405003006 997668478 /nfs/dbraw/zinc/66/84/78/997668478.db2.gz YTTXTQWFDGBSIF-KOLCDFICSA-N 0 2 323.397 0.143 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(C)(C)C(N)=O ZINC001503125984 1016151598 /nfs/dbraw/zinc/15/15/98/1016151598.db2.gz SQSNYMKVQJTOOL-NTSWFWBYSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(C)(C)C(N)=O ZINC001503125984 1016151610 /nfs/dbraw/zinc/15/16/10/1016151610.db2.gz SQSNYMKVQJTOOL-NTSWFWBYSA-N 0 2 315.267 0.019 20 0 DCADLN COCCCS(=O)(=O)N1CC[NH+](C/C(C)=C\C(=O)[O-])CC1 ZINC001599226668 997771863 /nfs/dbraw/zinc/77/18/63/997771863.db2.gz HILIMXUJRXJIBP-BENRWUELSA-N 0 2 320.411 0.001 20 0 DCADLN CCC(C)(C)C(=O)N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001405291769 998068601 /nfs/dbraw/zinc/06/86/01/998068601.db2.gz NDTKITNJUUTIMZ-SFYZADRCSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405291769 998068595 /nfs/dbraw/zinc/06/85/95/998068595.db2.gz NDTKITNJUUTIMZ-SFYZADRCSA-N 0 2 316.295 0.916 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@@H+]2CCO[C@H](c3ccnn3C)C2)O1 ZINC001594103691 998140904 /nfs/dbraw/zinc/14/09/04/998140904.db2.gz CUROMXOWAKKYDW-FZMZJTMJSA-N 0 2 307.350 0.941 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](C[N@H+]2CCO[C@H](c3ccnn3C)C2)O1 ZINC001594103691 998140908 /nfs/dbraw/zinc/14/09/08/998140908.db2.gz CUROMXOWAKKYDW-FZMZJTMJSA-N 0 2 307.350 0.941 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@]2(C)C[C@@H](O)C[N@@H+]2C)c1O ZINC001599357843 998209535 /nfs/dbraw/zinc/20/95/35/998209535.db2.gz DNCBRRLZRPEYCE-PSLIRLAXSA-N 0 2 324.333 0.493 20 0 DCADLN COc1cc(C(=O)[O-])cc(NC(=O)[C@]2(C)C[C@@H](O)C[N@H+]2C)c1O ZINC001599357843 998209544 /nfs/dbraw/zinc/20/95/44/998209544.db2.gz DNCBRRLZRPEYCE-PSLIRLAXSA-N 0 2 324.333 0.493 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1nncn1C ZINC001467800881 1016201348 /nfs/dbraw/zinc/20/13/48/1016201348.db2.gz YJINICWORNKSBE-DTWKUNHWSA-N 0 2 320.357 0.289 20 0 DCADLN Cc1cnc(C[NH2+]C[C@](C)(NC(=O)c2nnc[nH]2)C2CC2)o1 ZINC001405507376 998283637 /nfs/dbraw/zinc/28/36/37/998283637.db2.gz VNIMWHRWIJIVPQ-AWEZNQCLSA-N 0 2 304.354 0.789 20 0 DCADLN Cc1cnc(C[NH2+]C[C@](C)(NC(=O)c2ncn[nH]2)C2CC2)o1 ZINC001405507376 998283643 /nfs/dbraw/zinc/28/36/43/998283643.db2.gz VNIMWHRWIJIVPQ-AWEZNQCLSA-N 0 2 304.354 0.789 20 0 DCADLN COC(=O)c1cccc([C@@H]([NH2+][C@@H]2COC[C@H]2OC)C(=O)[O-])c1 ZINC001598996426 998783770 /nfs/dbraw/zinc/78/37/70/998783770.db2.gz RADDBMCAJYQCND-JHJVBQTASA-N 0 2 309.318 0.602 20 0 DCADLN COC(=O)c1oc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])cc1C ZINC001599017471 998951331 /nfs/dbraw/zinc/95/13/31/998951331.db2.gz ICDMFRQVVUBDDW-LLVKDONJSA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)c1oc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])cc1C ZINC001599017471 998951334 /nfs/dbraw/zinc/95/13/34/998951334.db2.gz ICDMFRQVVUBDDW-LLVKDONJSA-N 0 2 324.333 0.540 20 0 DCADLN C[C@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C[N@@H+]1C1CC1 ZINC001594264895 999023529 /nfs/dbraw/zinc/02/35/29/999023529.db2.gz XUBWOSGTDZUTEL-YUMQZZPRSA-N 0 2 315.351 0.276 20 0 DCADLN C[C@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C[N@H+]1C1CC1 ZINC001594264895 999023533 /nfs/dbraw/zinc/02/35/33/999023533.db2.gz XUBWOSGTDZUTEL-YUMQZZPRSA-N 0 2 315.351 0.276 20 0 DCADLN CNC(=O)[C@@H]1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC001469201625 1016319950 /nfs/dbraw/zinc/31/99/50/1016319950.db2.gz XQMAZKFUHBLGPA-LLVKDONJSA-N 0 2 314.345 0.786 20 0 DCADLN C[N@H+](CCNC(=O)CCc1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001418474964 1000260068 /nfs/dbraw/zinc/26/00/68/1000260068.db2.gz GKNZRVHFMYZVFP-UHFFFAOYSA-N 0 2 304.354 0.086 20 0 DCADLN C[N@@H+](CCNC(=O)CCc1cccnc1)Cc1n[nH]c(=O)[n-]1 ZINC001418474964 1000260072 /nfs/dbraw/zinc/26/00/72/1000260072.db2.gz GKNZRVHFMYZVFP-UHFFFAOYSA-N 0 2 304.354 0.086 20 0 DCADLN CC(=O)CCCC(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001418584456 1000366259 /nfs/dbraw/zinc/36/62/59/1000366259.db2.gz CLTRFEREGMZPPF-NSHDSACASA-N 0 2 309.370 0.350 20 0 DCADLN CS[C@H](C)CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401362849 1000379811 /nfs/dbraw/zinc/37/98/11/1000379811.db2.gz MHMOBZWFQWNGMQ-SECBINFHSA-N 0 2 301.416 0.542 20 0 DCADLN CS[C@H](C)CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401362849 1000379815 /nfs/dbraw/zinc/37/98/15/1000379815.db2.gz MHMOBZWFQWNGMQ-SECBINFHSA-N 0 2 301.416 0.542 20 0 DCADLN Cc1cc(C[N@H+]2CCC[C@H]2CNC(=O)C[NH+]2CCCC2)ncn1 ZINC001418643768 1000416248 /nfs/dbraw/zinc/41/62/48/1000416248.db2.gz AGKTYTQLKHLICB-INIZCTEOSA-N 0 2 317.437 0.961 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1cccc2c1OC(F)(F)O2 ZINC001418693049 1000455200 /nfs/dbraw/zinc/45/52/00/1000455200.db2.gz STJIVGBJRMQZRR-UHFFFAOYSA-N 0 2 311.200 0.654 20 0 DCADLN C[C@@H](C(N)=O)[N@H+]1CC[C@H](CCNC(=O)CCc2c[nH+]cn2C)C1 ZINC001418908864 1000608776 /nfs/dbraw/zinc/60/87/76/1000608776.db2.gz SQRKXKQGUVQVPA-STQMWFEESA-N 0 2 321.425 0.055 20 0 DCADLN Cn1nccc1CCN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001418972179 1000656718 /nfs/dbraw/zinc/65/67/18/1000656718.db2.gz PJQRUUKGRSUOPO-JTQLQIEISA-N 0 2 308.279 0.663 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccsc1 ZINC001401729739 1000665873 /nfs/dbraw/zinc/66/58/73/1000665873.db2.gz IZVCECXLBAZNIH-VIFPVBQESA-N 0 2 309.395 0.751 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccsc1 ZINC001401729739 1000665878 /nfs/dbraw/zinc/66/58/78/1000665878.db2.gz IZVCECXLBAZNIH-VIFPVBQESA-N 0 2 309.395 0.751 20 0 DCADLN Cc1nsc(C)c1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401800864 1000742148 /nfs/dbraw/zinc/74/21/48/1000742148.db2.gz KZEBMLSFKGGAQK-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1nsc(C)c1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401800864 1000742156 /nfs/dbraw/zinc/74/21/56/1000742156.db2.gz KZEBMLSFKGGAQK-ZETCQYMHSA-N 0 2 324.410 0.834 20 0 DCADLN Cc1noc(C)c1CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001401929933 1000869273 /nfs/dbraw/zinc/86/92/73/1000869273.db2.gz MZGHTGAGTGUJBV-JTQLQIEISA-N 0 2 320.353 0.048 20 0 DCADLN COCCC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001402175960 1001087907 /nfs/dbraw/zinc/08/79/07/1001087907.db2.gz UBKZSYYOEWPXHV-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+]Cc3cnsn3)CCC2)c1[O-] ZINC001402551497 1001401865 /nfs/dbraw/zinc/40/18/65/1001401865.db2.gz PUVQZYAJJIJZIW-UHFFFAOYSA-N 0 2 322.394 0.718 20 0 DCADLN Cc1ccncc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419961315 1001726687 /nfs/dbraw/zinc/72/66/87/1001726687.db2.gz HPQAQJTXYQJLCG-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN Cc1ccncc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419961315 1001726692 /nfs/dbraw/zinc/72/66/92/1001726692.db2.gz HPQAQJTXYQJLCG-UHFFFAOYSA-N 0 2 304.354 0.418 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)[C@H](C)O1 ZINC001471058096 1016519136 /nfs/dbraw/zinc/51/91/36/1016519136.db2.gz JBPYASYMWWDYLK-YTWAJWBKSA-N 0 2 309.370 0.965 20 0 DCADLN C[C@@H](NC(=O)C1(C(F)F)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001403309458 1001799438 /nfs/dbraw/zinc/79/94/38/1001799438.db2.gz YJZJPMHQADWSQW-SSDOTTSWSA-N 0 2 315.324 0.492 20 0 DCADLN CC(F)(F)CN1CCN(C(=O)C[C@@H]2SC(=N)NC2=O)CC1 ZINC001420258265 1002125829 /nfs/dbraw/zinc/12/58/29/1002125829.db2.gz XUKJEINUMHKXTP-QMMMGPOBSA-N 0 2 320.365 0.342 20 0 DCADLN C[N@H+](CCNC(=O)C1(c2ccccn2)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001403571736 1002157997 /nfs/dbraw/zinc/15/79/97/1002157997.db2.gz RVCBVOVRBNHLEH-UHFFFAOYSA-N 0 2 316.365 0.185 20 0 DCADLN C[N@@H+](CCNC(=O)C1(c2ccccn2)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001403571736 1002158003 /nfs/dbraw/zinc/15/80/03/1002158003.db2.gz RVCBVOVRBNHLEH-UHFFFAOYSA-N 0 2 316.365 0.185 20 0 DCADLN C[C@@H](CC(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001420335556 1002242779 /nfs/dbraw/zinc/24/27/79/1002242779.db2.gz WAJRYHXRUOUWLU-JTQLQIEISA-N 0 2 307.354 0.845 20 0 DCADLN C[C@@H](CC(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001420335556 1002242786 /nfs/dbraw/zinc/24/27/86/1002242786.db2.gz WAJRYHXRUOUWLU-JTQLQIEISA-N 0 2 307.354 0.845 20 0 DCADLN CCO[C@@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420602103 1002676446 /nfs/dbraw/zinc/67/64/46/1002676446.db2.gz UNWAFZTZVDZOLY-DGCLKSJQSA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420602103 1002676448 /nfs/dbraw/zinc/67/64/48/1002676448.db2.gz UNWAFZTZVDZOLY-DGCLKSJQSA-N 0 2 323.397 0.406 20 0 DCADLN CCc1ncoc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001403923703 1002747727 /nfs/dbraw/zinc/74/77/27/1002747727.db2.gz XTHPMXRRDPIKJT-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN CCc1ncoc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001403923703 1002747739 /nfs/dbraw/zinc/74/77/39/1002747739.db2.gz XTHPMXRRDPIKJT-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CC12CCOCC2 ZINC001420698596 1002815415 /nfs/dbraw/zinc/81/54/15/1002815415.db2.gz YLTLGUGXTTZDJV-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CC12CCOCC2 ZINC001420698596 1002815431 /nfs/dbraw/zinc/81/54/31/1002815431.db2.gz YLTLGUGXTTZDJV-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@]1(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)CCOC1 ZINC001508225812 1016721869 /nfs/dbraw/zinc/72/18/69/1016721869.db2.gz UFGFYCKDXCANEJ-OAHLLOKOSA-N 0 2 321.381 0.185 20 0 DCADLN C[C@@]1(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)CCOC1 ZINC001508225812 1016721881 /nfs/dbraw/zinc/72/18/81/1016721881.db2.gz UFGFYCKDXCANEJ-OAHLLOKOSA-N 0 2 321.381 0.185 20 0 DCADLN C[C@H]1CC[C@H](CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001472082940 1016727238 /nfs/dbraw/zinc/72/72/38/1016727238.db2.gz YOJQKJXDCRWOFW-UWVGGRQHSA-N 0 2 314.411 0.792 20 0 DCADLN CN(C(=O)c1nc[nH]n1)C1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001405849072 1003137734 /nfs/dbraw/zinc/13/77/34/1003137734.db2.gz CXWFZUYLTWTBHJ-AHXFUIDQSA-N 0 2 323.250 0.424 20 0 DCADLN CN(C(=O)c1nc[nH]n1)C1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001405849072 1003137739 /nfs/dbraw/zinc/13/77/39/1003137739.db2.gz CXWFZUYLTWTBHJ-AHXFUIDQSA-N 0 2 323.250 0.424 20 0 DCADLN O=C(CCCC1CCOCC1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421035946 1003256664 /nfs/dbraw/zinc/25/66/64/1003256664.db2.gz PRGWFTRIQPFUMV-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(CC[C@@H]1CCCCO1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421071577 1003291185 /nfs/dbraw/zinc/29/11/85/1003291185.db2.gz CZZUPJCDYHVVTQ-NSHDSACASA-N 0 2 309.370 0.160 20 0 DCADLN COC(=O)c1cnc(C(=O)N[C@H](C)c2nn(C)cc2O)cn1 ZINC001472235619 1016763893 /nfs/dbraw/zinc/76/38/93/1016763893.db2.gz DMCUGWTZRKRYNM-SSDOTTSWSA-N 0 2 305.294 0.193 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cnc(C2CC2)o1 ZINC001406112138 1003376200 /nfs/dbraw/zinc/37/62/00/1003376200.db2.gz RGRFCEGCRJPGBW-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cnc(C2CC2)o1 ZINC001406112138 1003376211 /nfs/dbraw/zinc/37/62/11/1003376211.db2.gz RGRFCEGCRJPGBW-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CCc1[nH]ccc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001492948899 1003427875 /nfs/dbraw/zinc/42/78/75/1003427875.db2.gz ZDXFOYXQSYBIIB-UHFFFAOYSA-N 0 2 306.370 0.653 20 0 DCADLN CCc1[nH]ccc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001492948899 1003427881 /nfs/dbraw/zinc/42/78/81/1003427881.db2.gz ZDXFOYXQSYBIIB-UHFFFAOYSA-N 0 2 306.370 0.653 20 0 DCADLN CC[C@@H](C)NC(=O)C[N@H+](C)CCN(C)C(=O)C[NH+]1CCCC1 ZINC001406167683 1003433722 /nfs/dbraw/zinc/43/37/22/1003433722.db2.gz RTWZFHNOVSWSEG-CQSZACIVSA-N 0 2 312.458 0.387 20 0 DCADLN CC(C)OCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001421210701 1003445928 /nfs/dbraw/zinc/44/59/28/1003445928.db2.gz VWRXNEGCBPZMAI-QWRGUYRKSA-N 0 2 309.370 0.111 20 0 DCADLN O=C(NCC1CC(NC(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001508387101 1016788531 /nfs/dbraw/zinc/78/85/31/1016788531.db2.gz QHCKGHPJKKKNMI-KPIFQKDSSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NCC1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC001508387101 1016788547 /nfs/dbraw/zinc/78/85/47/1016788547.db2.gz QHCKGHPJKKKNMI-KPIFQKDSSA-N 0 2 322.262 0.935 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)NCCc1ccc(C)nc1 ZINC001426237799 1003670427 /nfs/dbraw/zinc/67/04/27/1003670427.db2.gz BSWPDFZMGOIIBW-UHFFFAOYSA-N 0 2 303.326 0.232 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428099040 1003700923 /nfs/dbraw/zinc/70/09/23/1003700923.db2.gz DWMZKQYWMWNESI-YIZRAAEISA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001428099040 1003700933 /nfs/dbraw/zinc/70/09/33/1003700933.db2.gz DWMZKQYWMWNESI-YIZRAAEISA-N 0 2 314.279 0.621 20 0 DCADLN O=C(Cc1nc[nH]n1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428224311 1003773284 /nfs/dbraw/zinc/77/32/84/1003773284.db2.gz WDJFTDRGABIMIF-WATHFIQWSA-N 0 2 323.250 0.011 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC001426692574 1003970323 /nfs/dbraw/zinc/97/03/23/1003970323.db2.gz UVRMYJPOHJHAAN-QWRGUYRKSA-N 0 2 319.369 0.685 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)N(C)C(=O)C(F)C(F)(F)F ZINC001426709015 1003976476 /nfs/dbraw/zinc/97/64/76/1003976476.db2.gz SGDQKRLEDFANBG-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)c1cncn1C)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001426709015 1003976484 /nfs/dbraw/zinc/97/64/84/1003976484.db2.gz SGDQKRLEDFANBG-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN COc1cc(C[N@@H+](C)C[C@H](C)NC(=O)c2nc[nH]n2)ccn1 ZINC001406667399 1003983064 /nfs/dbraw/zinc/98/30/64/1003983064.db2.gz JJKSNVJXWJHTPK-JTQLQIEISA-N 0 2 304.354 0.459 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1C[C@H](NC(=O)c2cn[nH]c2)C1 ZINC001427247628 1004279200 /nfs/dbraw/zinc/27/92/00/1004279200.db2.gz AQZXGCKYWIRCLN-UMSPYCQHSA-N 0 2 316.365 0.309 20 0 DCADLN C[C@H](CNC(=O)c1cnsn1)NC(=O)C(F)C(F)(F)F ZINC001408074344 1005020372 /nfs/dbraw/zinc/02/03/72/1005020372.db2.gz JLMVHLVDOMLSES-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](CNC(=O)c1cnsn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001408074344 1005020376 /nfs/dbraw/zinc/02/03/76/1005020376.db2.gz JLMVHLVDOMLSES-INEUFUBQSA-N 0 2 314.264 0.673 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001408188121 1005098957 /nfs/dbraw/zinc/09/89/57/1005098957.db2.gz BPNUDHVDJRESPD-NKWVEPMBSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001408188121 1005098962 /nfs/dbraw/zinc/09/89/62/1005098962.db2.gz BPNUDHVDJRESPD-NKWVEPMBSA-N 0 2 310.251 0.853 20 0 DCADLN C[C@H](CCNC(=O)c1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001408446506 1005203386 /nfs/dbraw/zinc/20/33/86/1005203386.db2.gz VJPPFHQCMFIMSM-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)c1cn[nH]c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001408446506 1005203389 /nfs/dbraw/zinc/20/33/89/1005203389.db2.gz VJPPFHQCMFIMSM-HTRCEHHLSA-N 0 2 310.251 0.935 20 0 DCADLN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NCc1ncccn1 ZINC001414333425 1005290866 /nfs/dbraw/zinc/29/08/66/1005290866.db2.gz VVPPLRQDYSNTKH-SFHVURJKSA-N 0 2 306.413 0.707 20 0 DCADLN O=C(NCCc1cnccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414582648 1005364752 /nfs/dbraw/zinc/36/47/52/1005364752.db2.gz JHETVENHNOQDEW-SNVBAGLBSA-N 0 2 317.353 0.432 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)N2CCC[C@H]3C[C@H]32)[nH]1 ZINC001414736091 1005392780 /nfs/dbraw/zinc/39/27/80/1005392780.db2.gz CVHJAYBHVPAXGW-DTWKUNHWSA-N 0 2 313.383 0.043 20 0 DCADLN C[C@@H](CNC(=O)Cc1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001415220971 1005484182 /nfs/dbraw/zinc/48/41/82/1005484182.db2.gz ZQIONQPDJRJJDQ-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN CC(C)(O)CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416026629 1005651596 /nfs/dbraw/zinc/65/15/96/1005651596.db2.gz CVBDUMSNWJOSDG-QMMMGPOBSA-N 0 2 300.252 0.375 20 0 DCADLN CC(C)(O)CC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001416026629 1005651598 /nfs/dbraw/zinc/65/15/98/1005651598.db2.gz CVBDUMSNWJOSDG-QMMMGPOBSA-N 0 2 300.252 0.375 20 0 DCADLN O=C(CCc1cccs1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001417944660 1005936025 /nfs/dbraw/zinc/93/60/25/1005936025.db2.gz BAYLPDAIRVZZAR-SNVBAGLBSA-N 0 2 321.406 0.895 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)C1=CCOCC1 ZINC001506095789 1017011387 /nfs/dbraw/zinc/01/13/87/1017011387.db2.gz OYHQBEQIGBNWQE-VIFPVBQESA-N 0 2 324.274 0.999 20 0 DCADLN O=C(NC1(CNC(=O)[C@H](F)C(F)(F)F)CC1)C1=CCOCC1 ZINC001506095789 1017011402 /nfs/dbraw/zinc/01/14/02/1017011402.db2.gz OYHQBEQIGBNWQE-VIFPVBQESA-N 0 2 324.274 0.999 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001436464016 1006196756 /nfs/dbraw/zinc/19/67/56/1006196756.db2.gz JMHADFABXLQJTF-MNOVXSKESA-N 0 2 309.370 0.349 20 0 DCADLN CCC[C@@H](C)C(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001436699190 1006275425 /nfs/dbraw/zinc/27/54/25/1006275425.db2.gz MCQWHLIYWSHIDP-GFCCVEGCSA-N 0 2 324.429 0.180 20 0 DCADLN CCCc1nc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001439404439 1006818860 /nfs/dbraw/zinc/81/88/60/1006818860.db2.gz SGNKPNVPUHNLRI-SECBINFHSA-N 0 2 322.369 0.701 20 0 DCADLN CCCc1nc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001439404439 1006818875 /nfs/dbraw/zinc/81/88/75/1006818875.db2.gz SGNKPNVPUHNLRI-SECBINFHSA-N 0 2 322.369 0.701 20 0 DCADLN CC(C)n1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001437542367 1007116772 /nfs/dbraw/zinc/11/67/72/1007116772.db2.gz JIIZCWVSEQMQMA-UHFFFAOYSA-N 0 2 307.358 0.150 20 0 DCADLN CC(C)n1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001437542367 1007116775 /nfs/dbraw/zinc/11/67/75/1007116775.db2.gz JIIZCWVSEQMQMA-UHFFFAOYSA-N 0 2 307.358 0.150 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(C)nc1)Cc1n[nH]c(=O)[n-]1 ZINC001437582236 1007148559 /nfs/dbraw/zinc/14/85/59/1007148559.db2.gz SJBKNKDIPBKGIX-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(C)nc1)Cc1n[nH]c(=O)[n-]1 ZINC001437582236 1007148563 /nfs/dbraw/zinc/14/85/63/1007148563.db2.gz SJBKNKDIPBKGIX-UHFFFAOYSA-N 0 2 304.354 0.466 20 0 DCADLN COCc1nnc(CNC(=O)[C@@H]2CCO[C@H]2c2ccncc2)[nH]1 ZINC001452958301 1007170943 /nfs/dbraw/zinc/17/09/43/1007170943.db2.gz ZVYPAOHTTVMHJV-RISCZKNCSA-N 0 2 317.349 0.740 20 0 DCADLN CCc1cc(C(=O)Nc2nn3c(nc(CC)cc3=O)[nH]2)no1 ZINC001438059416 1007610656 /nfs/dbraw/zinc/61/06/56/1007610656.db2.gz AXUGOAWYMFJSCU-UHFFFAOYSA-N 0 2 302.294 0.783 20 0 DCADLN CCn1cc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001438120361 1007650098 /nfs/dbraw/zinc/65/00/98/1007650098.db2.gz HZPDCFDBNBPBDL-SNVBAGLBSA-N 0 2 323.294 0.746 20 0 DCADLN CCn1cc(CN2CC(CNC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001438120361 1007650104 /nfs/dbraw/zinc/65/01/04/1007650104.db2.gz HZPDCFDBNBPBDL-SNVBAGLBSA-N 0 2 323.294 0.746 20 0 DCADLN CCCC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001509334100 1017254555 /nfs/dbraw/zinc/25/45/55/1017254555.db2.gz QTQSBKDUTNYTPQ-BQBZGAKWSA-N 0 2 315.267 0.163 20 0 DCADLN CCCC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001509334100 1017254562 /nfs/dbraw/zinc/25/45/62/1017254562.db2.gz QTQSBKDUTNYTPQ-BQBZGAKWSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@@H](CCNC(=O)c1nnc[nH]1)[NH2+]Cc1nnc(C2CC2)o1 ZINC001440671741 1007948787 /nfs/dbraw/zinc/94/87/87/1007948787.db2.gz SAZJMFBIPUOHSI-QMMMGPOBSA-N 0 2 305.342 0.363 20 0 DCADLN COc1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001440985852 1008159770 /nfs/dbraw/zinc/15/97/70/1008159770.db2.gz WOCXOHFRUISWJN-MRVPVSSYSA-N 0 2 309.326 0.362 20 0 DCADLN COc1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001440985852 1008159773 /nfs/dbraw/zinc/15/97/73/1008159773.db2.gz WOCXOHFRUISWJN-MRVPVSSYSA-N 0 2 309.326 0.362 20 0 DCADLN C[C@@H](NC(=O)CCC(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001441197635 1008266215 /nfs/dbraw/zinc/26/62/15/1008266215.db2.gz ZOLXAYXYPRTJIO-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001433226173 1008618767 /nfs/dbraw/zinc/61/87/67/1008618767.db2.gz NBLNAYRRSJOIAN-DTWKUNHWSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C1CCCC1)[C@@H](F)C(F)(F)F ZINC001433226173 1008618776 /nfs/dbraw/zinc/61/87/76/1008618776.db2.gz NBLNAYRRSJOIAN-DTWKUNHWSA-N 0 2 314.279 0.670 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@@H]2CCOC2)C1 ZINC001453680651 1008662112 /nfs/dbraw/zinc/66/21/12/1008662112.db2.gz USKKLYWJXUPNRY-VXNVDRBHSA-N 0 2 312.263 0.593 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)[C@@H]2CCOC2)C1 ZINC001453680651 1008662115 /nfs/dbraw/zinc/66/21/15/1008662115.db2.gz USKKLYWJXUPNRY-VXNVDRBHSA-N 0 2 312.263 0.593 20 0 DCADLN Cc1nc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)c[nH]1 ZINC001454026950 1008864511 /nfs/dbraw/zinc/86/45/11/1008864511.db2.gz IUTMPCCYBAYIEO-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc(C(=O)N2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)c[nH]1 ZINC001454026950 1008864527 /nfs/dbraw/zinc/86/45/27/1008864527.db2.gz IUTMPCCYBAYIEO-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN COc1cccnc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001433631658 1009021162 /nfs/dbraw/zinc/02/11/62/1009021162.db2.gz YINGLGTTZNBYCB-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC001454336261 1009083658 /nfs/dbraw/zinc/08/36/58/1009083658.db2.gz WPMILZPXUMFRHM-CIUDSAMLSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC001454336261 1009083669 /nfs/dbraw/zinc/08/36/69/1009083669.db2.gz WPMILZPXUMFRHM-CIUDSAMLSA-N 0 2 320.246 0.754 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)N2CCC(c3nn[nH]n3)CC2)C1 ZINC001455020976 1009448216 /nfs/dbraw/zinc/44/82/16/1009448216.db2.gz LPEVFGTVQGIAHN-BXKDBHETSA-N 0 2 315.381 0.995 20 0 DCADLN CC[N@H+](CCNC(=O)Cn1cncc1C)Cc1cn(C)nc1C ZINC001442694349 1009636538 /nfs/dbraw/zinc/63/65/38/1009636538.db2.gz ZJJXJOUUDAIMSV-UHFFFAOYSA-N 0 2 318.425 0.872 20 0 DCADLN COC(=O)c1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)c(C)o1 ZINC001434230046 1009681889 /nfs/dbraw/zinc/68/18/89/1009681889.db2.gz BEEUNENUQBNPBK-UHFFFAOYSA-N 0 2 307.262 0.506 20 0 DCADLN COC(=O)c1cc(C(=O)NCC2=NC(=O)CC(=O)N2)c(C)o1 ZINC001434230046 1009681896 /nfs/dbraw/zinc/68/18/96/1009681896.db2.gz BEEUNENUQBNPBK-UHFFFAOYSA-N 0 2 307.262 0.506 20 0 DCADLN Cc1[nH]c([C@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)[nH+]c1C ZINC001434361260 1009827290 /nfs/dbraw/zinc/82/72/90/1009827290.db2.gz MBFSGMQDPYNXBO-MRVPVSSYSA-N 0 2 304.310 0.600 20 0 DCADLN COC[C@@H](NC(=O)Cc1ccc(O)c(Cl)c1)c1nn[nH]n1 ZINC001434362330 1009829918 /nfs/dbraw/zinc/82/99/18/1009829918.db2.gz CXFYVTNQFGHXGB-SECBINFHSA-N 0 2 311.729 0.605 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001455589948 1009840872 /nfs/dbraw/zinc/84/08/72/1009840872.db2.gz ILBWDGXMZVEQLB-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001455589948 1009840891 /nfs/dbraw/zinc/84/08/91/1009840891.db2.gz ILBWDGXMZVEQLB-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)NC(=O)C3)S1 ZINC001455593809 1009843951 /nfs/dbraw/zinc/84/39/51/1009843951.db2.gz XVSATEKLLNAKDE-SECBINFHSA-N 0 2 304.331 0.676 20 0 DCADLN COc1ccc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)nn1 ZINC001434385618 1009875381 /nfs/dbraw/zinc/87/53/81/1009875381.db2.gz BLKQGTUTRKOTJQ-UHFFFAOYSA-N 0 2 319.321 0.310 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2Cc3ccccc32)[nH]1 ZINC001434383859 1009877099 /nfs/dbraw/zinc/87/70/99/1009877099.db2.gz JGHWPKWRROFEDI-LLVKDONJSA-N 0 2 320.374 0.305 20 0 DCADLN Cc1ncc(C(=O)N(C)CCc2nc(O)c(C)c(=O)[nH]2)c(N)n1 ZINC001434390501 1009886955 /nfs/dbraw/zinc/88/69/55/1009886955.db2.gz UVLACZZGJFEUIT-UHFFFAOYSA-N 0 2 318.337 0.192 20 0 DCADLN Cc1nnsc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001442982073 1010003017 /nfs/dbraw/zinc/00/30/17/1010003017.db2.gz SLNROPJLTMKJSD-UHFFFAOYSA-N 0 2 323.382 0.065 20 0 DCADLN Cc1nnsc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001442982073 1010003031 /nfs/dbraw/zinc/00/30/31/1010003031.db2.gz SLNROPJLTMKJSD-UHFFFAOYSA-N 0 2 323.382 0.065 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001422485563 1010161288 /nfs/dbraw/zinc/16/12/88/1010161288.db2.gz GEKQPHGQZKEQLD-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001422485563 1010161300 /nfs/dbraw/zinc/16/13/00/1010161300.db2.gz GEKQPHGQZKEQLD-SSDOTTSWSA-N 0 2 308.342 0.365 20 0 DCADLN Cc1ncncc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001456288207 1010170902 /nfs/dbraw/zinc/17/09/02/1010170902.db2.gz SRNLGFYDCUABRU-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ncncc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001456288207 1010170908 /nfs/dbraw/zinc/17/09/08/1010170908.db2.gz SRNLGFYDCUABRU-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C1CC1)C1CC1 ZINC001443644765 1010560504 /nfs/dbraw/zinc/56/05/04/1010560504.db2.gz OCJXWKXJIWXAPK-UHFFFAOYSA-N 0 2 305.382 0.495 20 0 DCADLN Cc1nscc1C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422814268 1010638713 /nfs/dbraw/zinc/63/87/13/1010638713.db2.gz VILHFDJKROOAED-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1nscc1C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422814268 1010638720 /nfs/dbraw/zinc/63/87/20/1010638720.db2.gz VILHFDJKROOAED-ZETCQYMHSA-N 0 2 310.383 0.526 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)F)CC1 ZINC001422828232 1010651763 /nfs/dbraw/zinc/65/17/63/1010651763.db2.gz LGYBJNATHWBOOX-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(F)F)CC1 ZINC001422828232 1010651766 /nfs/dbraw/zinc/65/17/66/1010651766.db2.gz LGYBJNATHWBOOX-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN Cc1nonc1C[NH2+]C[C@H]1C[C@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001422904801 1010723647 /nfs/dbraw/zinc/72/36/47/1010723647.db2.gz GZLAGEYZWZXJTC-UMSPYCQHSA-N 0 2 319.369 0.113 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccc(F)c1 ZINC001422919355 1010738538 /nfs/dbraw/zinc/73/85/38/1010738538.db2.gz VWEXWYIOURTBHY-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccc(F)c1 ZINC001422919355 1010738544 /nfs/dbraw/zinc/73/85/44/1010738544.db2.gz VWEXWYIOURTBHY-SNVBAGLBSA-N 0 2 321.356 0.829 20 0 DCADLN CCc1ncc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001422924569 1010743102 /nfs/dbraw/zinc/74/31/02/1010743102.db2.gz GUGNLNCUGQDHCQ-QMMMGPOBSA-N 0 2 324.410 0.780 20 0 DCADLN CCc1ncc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001422924569 1010743110 /nfs/dbraw/zinc/74/31/10/1010743110.db2.gz GUGNLNCUGQDHCQ-QMMMGPOBSA-N 0 2 324.410 0.780 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001444060117 1010831110 /nfs/dbraw/zinc/83/11/10/1010831110.db2.gz NBMINTGDFVGFBH-DTWKUNHWSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CCCC1)[C@@H](F)C(F)(F)F ZINC001444060117 1010831120 /nfs/dbraw/zinc/83/11/20/1010831120.db2.gz NBMINTGDFVGFBH-DTWKUNHWSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NCC1(NCc2nc(C3CC3)no2)CC1)c1ncccn1 ZINC001423283698 1011045144 /nfs/dbraw/zinc/04/51/44/1011045144.db2.gz PQXVMJWWNVUSJA-UHFFFAOYSA-N 0 2 314.349 0.789 20 0 DCADLN CCCn1cc(C(=O)NC[C@H](CO)NCc2csnn2)cn1 ZINC001423283394 1011045472 /nfs/dbraw/zinc/04/54/72/1011045472.db2.gz GDFVIALVTPLQII-LLVKDONJSA-N 0 2 324.410 0.025 20 0 DCADLN CO[C@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001456465747 1011288016 /nfs/dbraw/zinc/28/80/16/1011288016.db2.gz XIQPVDMDZWEGRC-HTRCEHHLSA-N 0 2 300.252 0.496 20 0 DCADLN CO[C@H](C)C(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001456465747 1011288028 /nfs/dbraw/zinc/28/80/28/1011288028.db2.gz XIQPVDMDZWEGRC-HTRCEHHLSA-N 0 2 300.252 0.496 20 0 DCADLN COCC[N@H+](CCNC(=O)[C@@H]1CCC[NH+]1C)Cc1cncn1C ZINC001423627907 1011365507 /nfs/dbraw/zinc/36/55/07/1011365507.db2.gz BJKLCUFWOGIWTH-HNNXBMFYSA-N 0 2 323.441 0.079 20 0 DCADLN Cc1sc(=O)n(CC(=O)N[C@@H](C)c2nn(C)cc2O)c1C ZINC001456790601 1011462996 /nfs/dbraw/zinc/46/29/96/1011462996.db2.gz KKGBFYNYTZADJK-ZETCQYMHSA-N 0 2 310.379 0.843 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCOC ZINC001456833608 1011482741 /nfs/dbraw/zinc/48/27/41/1011482741.db2.gz UUGXDGRYFJXHGW-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CCOC ZINC001456833608 1011482750 /nfs/dbraw/zinc/48/27/50/1011482750.db2.gz UUGXDGRYFJXHGW-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CC[C@@H](CNC(=O)c1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001456856666 1011493483 /nfs/dbraw/zinc/49/34/83/1011493483.db2.gz POWLXBUYBPFHNZ-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)c1cncn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001456856666 1011493498 /nfs/dbraw/zinc/49/34/98/1011493498.db2.gz POWLXBUYBPFHNZ-IONNQARKSA-N 0 2 324.278 0.945 20 0 DCADLN CN(C)c1cc(NC(=O)CCCn2c(=O)[n-][nH]c2=O)cc[nH+]1 ZINC001445271206 1011600680 /nfs/dbraw/zinc/60/06/80/1011600680.db2.gz YSKZBTGANSDEGC-UHFFFAOYSA-N 0 2 306.326 0.569 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)NC(=O)C(F)C(F)(F)F ZINC001431836048 1011695073 /nfs/dbraw/zinc/69/50/73/1011695073.db2.gz OVCUHSOBPSSNPQ-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001431836048 1011695079 /nfs/dbraw/zinc/69/50/79/1011695079.db2.gz OVCUHSOBPSSNPQ-POYBYMJQSA-N 0 2 308.235 0.612 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001534851361 1011700966 /nfs/dbraw/zinc/70/09/66/1011700966.db2.gz UPCHSSJDDGAPSH-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001534851361 1011700980 /nfs/dbraw/zinc/70/09/80/1011700980.db2.gz UPCHSSJDDGAPSH-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001457797017 1011881050 /nfs/dbraw/zinc/88/10/50/1011881050.db2.gz ODVWSKFDPQPXRD-SECBINFHSA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001457797017 1011881057 /nfs/dbraw/zinc/88/10/57/1011881057.db2.gz ODVWSKFDPQPXRD-SECBINFHSA-N 0 2 322.262 0.907 20 0 DCADLN O=C(CCC1CC1)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001424117548 1012026439 /nfs/dbraw/zinc/02/64/39/1012026439.db2.gz UFYLPVYZPWOEQQ-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]n1)N(C)C(=O)C(F)C(F)(F)F ZINC001424210622 1012173364 /nfs/dbraw/zinc/17/33/64/1012173364.db2.gz MXAGCLAJEUSEDC-IYSWYEEDSA-N 0 2 311.239 0.282 20 0 DCADLN Cn1ncc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)n1 ZINC001432244322 1012192971 /nfs/dbraw/zinc/19/29/71/1012192971.db2.gz LTOIXRZFDJYMSM-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN Cn1ncc(C(=O)NCC2(NC(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001432244322 1012192977 /nfs/dbraw/zinc/19/29/77/1012192977.db2.gz LTOIXRZFDJYMSM-SSDOTTSWSA-N 0 2 323.250 0.094 20 0 DCADLN O=Cc1ccc(OCC(=O)NCCc2n[nH]c(=S)o2)cc1 ZINC001556283942 1012195987 /nfs/dbraw/zinc/19/59/87/1012195987.db2.gz LJTQDDUZWHYTOZ-UHFFFAOYSA-N 0 2 307.331 0.909 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001432258949 1012216369 /nfs/dbraw/zinc/21/63/69/1012216369.db2.gz DUWQQZRHINTWOM-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432258949 1012216378 /nfs/dbraw/zinc/21/63/78/1012216378.db2.gz DUWQQZRHINTWOM-MRVPVSSYSA-N 0 2 308.235 0.559 20 0 DCADLN COCCCn1cc(C(=O)N[C@H](C)c2nn(C)cc2O)cn1 ZINC001558684141 1012375651 /nfs/dbraw/zinc/37/56/51/1012375651.db2.gz WSGATBHZDRSFLK-SNVBAGLBSA-N 0 2 307.354 0.850 20 0 DCADLN COC[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001445928699 1012432493 /nfs/dbraw/zinc/43/24/93/1012432493.db2.gz IBYPETHITPCMMU-RTXFEEFZSA-N 0 2 322.409 0.554 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)Oc1ccc(F)nc1 ZINC001561409732 1012467743 /nfs/dbraw/zinc/46/77/43/1012467743.db2.gz LSDJDPDCAYZRRS-UHFFFAOYSA-N 0 2 315.282 0.807 20 0 DCADLN CO[C@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001446080048 1012590339 /nfs/dbraw/zinc/59/03/39/1012590339.db2.gz YYFXIMIMJBZNKF-PWSUYJOCSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](CCCNC(=O)C(F)C(F)(F)F)NC(=O)CC(N)=O ZINC001509990672 1017497650 /nfs/dbraw/zinc/49/76/50/1017497650.db2.gz ZFSBPUZLIGXJMI-MUWHJKNJSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CCCNC(=O)[C@H](F)C(F)(F)F)NC(=O)CC(N)=O ZINC001509990672 1017497659 /nfs/dbraw/zinc/49/76/59/1017497659.db2.gz ZFSBPUZLIGXJMI-MUWHJKNJSA-N 0 2 315.267 0.163 20 0 DCADLN CC(F)(F)CCC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001494568518 1017798801 /nfs/dbraw/zinc/79/88/01/1017798801.db2.gz VGVURVDGHQWQMP-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN CC[C@H](CNC(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001499298340 1017819987 /nfs/dbraw/zinc/81/99/87/1017819987.db2.gz OCSSJSYDYSHRGY-IYSWYEEDSA-N 0 2 312.223 0.595 20 0 DCADLN CC[C@H](CNC(=O)c1cnon1)NC(=O)[C@@H](F)C(F)(F)F ZINC001499298340 1017820008 /nfs/dbraw/zinc/82/00/08/1017820008.db2.gz OCSSJSYDYSHRGY-IYSWYEEDSA-N 0 2 312.223 0.595 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2cn[nH]n2)C1)C(F)C(F)(F)F ZINC001498006858 1018576436 /nfs/dbraw/zinc/57/64/36/1018576436.db2.gz BZBARYAMXPZYHM-XPUUQOCRSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)c2cn[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001498006858 1018576445 /nfs/dbraw/zinc/57/64/45/1018576445.db2.gz BZBARYAMXPZYHM-XPUUQOCRSA-N 0 2 323.250 0.426 20 0 DCADLN C=C/C(C)=C\CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001495861167 1018777593 /nfs/dbraw/zinc/77/75/93/1018777593.db2.gz UGESODSLYIVAQD-CSXHZRMWSA-N 0 2 321.381 0.350 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001495894472 1018836541 /nfs/dbraw/zinc/83/65/41/1018836541.db2.gz QOMNLNJLUGYDNU-SFYZADRCSA-N 0 2 300.252 0.498 20 0 DCADLN CN(CCNC(=O)[C@@H]1CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001495894472 1018836558 /nfs/dbraw/zinc/83/65/58/1018836558.db2.gz QOMNLNJLUGYDNU-SFYZADRCSA-N 0 2 300.252 0.498 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001501059181 1018851423 /nfs/dbraw/zinc/85/14/23/1018851423.db2.gz BVVLQDUTGYXMII-RKDXNWHRSA-N 0 2 314.279 0.840 20 0 DCADLN CN(CCN(C)C(=O)[C@@H]1CCOC1)C(=O)[C@@H](F)C(F)(F)F ZINC001501059181 1018851444 /nfs/dbraw/zinc/85/14/44/1018851444.db2.gz BVVLQDUTGYXMII-RKDXNWHRSA-N 0 2 314.279 0.840 20 0 DCADLN CCc1nc(C)c(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001498428471 1019108994 /nfs/dbraw/zinc/10/89/94/1019108994.db2.gz KDUPQGXUQFAANF-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1nc(C)c(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001498428471 1019109006 /nfs/dbraw/zinc/10/90/06/1019109006.db2.gz KDUPQGXUQFAANF-MRVPVSSYSA-N 0 2 322.369 0.619 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC=CCNC(=O)c1ncoc1C ZINC001501720420 1019414458 /nfs/dbraw/zinc/41/44/58/1019414458.db2.gz TVQTTXBGJQLAPQ-ONEGZZNKSA-N 0 2 317.349 0.659 20 0 DCADLN O=C(NC/C=C\CNC(=O)C(F)C(F)(F)F)c1cocn1 ZINC001501738565 1019430524 /nfs/dbraw/zinc/43/05/24/1019430524.db2.gz WCWVPGJFLHECHP-SLYZXXNYSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)c1cocn1 ZINC001501738565 1019430526 /nfs/dbraw/zinc/43/05/26/1019430526.db2.gz WCWVPGJFLHECHP-SLYZXXNYSA-N 0 2 309.219 0.977 20 0 DCADLN CC(C)(C)NC(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001494389368 1020166995 /nfs/dbraw/zinc/16/69/95/1020166995.db2.gz MYPQQXOLEJBXLX-VIFPVBQESA-N 0 2 313.295 0.602 20 0 DCADLN CC(C)(C)NC(=O)CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001494389368 1020167001 /nfs/dbraw/zinc/16/70/01/1020167001.db2.gz MYPQQXOLEJBXLX-VIFPVBQESA-N 0 2 313.295 0.602 20 0 DCADLN CS(=O)(=O)NC[C@H]1CC[N@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001607374267 1170440738 /nfs/dbraw/zinc/44/07/38/1170440738.db2.gz RYFNPGQJJMRHMC-MRVPVSSYSA-N 0 2 319.408 0.212 20 0 DCADLN CS(=O)(=O)NC[C@H]1CC[N@@H+](Cc2ncc(C(=O)[O-])s2)C1 ZINC001607374267 1170440740 /nfs/dbraw/zinc/44/07/40/1170440740.db2.gz RYFNPGQJJMRHMC-MRVPVSSYSA-N 0 2 319.408 0.212 20 0 DCADLN [NH3+][C@H](C(=O)N1CC[C@@](F)(C(=O)[O-])C1)c1c(F)cccc1F ZINC001608247827 1170629681 /nfs/dbraw/zinc/62/96/81/1170629681.db2.gz MUDIAYSNZVBKLI-GWCFXTLKSA-N 0 2 302.252 0.990 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CN1C[C@](C)(C(=O)OCC)CC1=O ZINC001609977398 1171130010 /nfs/dbraw/zinc/13/00/10/1171130010.db2.gz SIWWQFCGZDGZFR-CQSZACIVSA-N 0 2 300.355 0.542 20 0 DCADLN O=C(NCC[C@@H]1CCCCO1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000181376349 199287405 /nfs/dbraw/zinc/28/74/05/199287405.db2.gz OKLYQZHBVABSBP-JTQLQIEISA-N 0 2 318.333 0.300 20 0 DCADLN COCC(=O)N1CCC(NS(=O)(=O)CC(F)(F)F)CC1 ZINC000331038234 232399033 /nfs/dbraw/zinc/39/90/33/232399033.db2.gz AXMYUIULJQXULU-UHFFFAOYSA-N 0 2 318.317 0.106 20 0 DCADLN NC(=O)CN1CCN(C(=O)c2cc(F)c(F)c(O)c2F)CC1 ZINC000272605728 210004345 /nfs/dbraw/zinc/00/43/45/210004345.db2.gz GELGYDVVVHUSIN-UHFFFAOYSA-N 0 2 317.267 0.053 20 0 DCADLN CC(=O)C[C@@H]1COCCN1C(=O)Cn1c2ccccc2[nH]c1=O ZINC000183848745 186224407 /nfs/dbraw/zinc/22/44/07/186224407.db2.gz QOCNBJHSJHUUML-GFCCVEGCSA-N 0 2 317.345 0.536 20 0 DCADLN CN(C)C(=O)Cn1cnc(NS(=O)(=O)c2ccccc2)n1 ZINC000174008111 198280785 /nfs/dbraw/zinc/28/07/85/198280785.db2.gz SEZFIQOKWZNAFE-UHFFFAOYSA-N 0 2 309.351 0.167 20 0 DCADLN O=C(N[C@@H]1CCc2nnnn2CC1)c1cc(F)c(O)c(F)c1 ZINC000333755580 303896932 /nfs/dbraw/zinc/89/69/32/303896932.db2.gz OFOTWQZKKQVRAH-MRVPVSSYSA-N 0 2 309.276 0.792 20 0 DCADLN COC[C@H](CO)NC(=O)[C@@H]1CC(=O)N(c2cccc(C)c2C)C1 ZINC000280280166 215507367 /nfs/dbraw/zinc/50/73/67/215507367.db2.gz QGWPGZHTBQQBKX-KGLIPLIRSA-N 0 2 320.389 0.780 20 0 DCADLN COC(=O)[C@H](CO)NC(=O)CCCOCCc1ccccc1 ZINC000272263803 209644484 /nfs/dbraw/zinc/64/44/84/209644484.db2.gz QVUMXNUMBRGCQZ-AWEZNQCLSA-N 0 2 309.362 0.676 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)no1 ZINC000180188677 199128462 /nfs/dbraw/zinc/12/84/62/199128462.db2.gz RDPJKCLGENMURC-UHFFFAOYSA-N 0 2 323.334 0.369 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC[C@H]1CCO)c2=O ZINC000187790844 237357387 /nfs/dbraw/zinc/35/73/87/237357387.db2.gz KFMRPBXXAGRVET-JOYOIKCWSA-N 0 2 304.350 0.955 20 0 DCADLN CS(=O)(=O)N1CC(CNC(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000187318429 237345741 /nfs/dbraw/zinc/34/57/41/237345741.db2.gz GTKYBCCTHIGRHF-UHFFFAOYSA-N 0 2 320.317 0.292 20 0 DCADLN Cn1nnnc1SCCC(=O)Nc1ccc2nn[nH]c2c1 ZINC000153691090 291208137 /nfs/dbraw/zinc/20/81/37/291208137.db2.gz SKCUGFVOXFSEMF-UHFFFAOYSA-N 0 2 304.339 0.602 20 0 DCADLN COc1ccccc1N1CC[C@@H](CNC(=O)c2nc(=O)[nH][nH]2)C1 ZINC000156122421 291223099 /nfs/dbraw/zinc/22/30/99/291223099.db2.gz DNBKLBFKXZVRQZ-JTQLQIEISA-N 0 2 317.349 0.363 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000566086836 291267705 /nfs/dbraw/zinc/26/77/05/291267705.db2.gz VVVQZOWYVWCJIK-SNVBAGLBSA-N 0 2 321.333 0.742 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC2([S@@](C)=O)CCC2)cn1 ZINC000281490164 216336620 /nfs/dbraw/zinc/33/66/20/216336620.db2.gz IQWJFJNXYYYGSV-JOCHJYFZSA-N 0 2 321.406 0.841 20 0 DCADLN CN1C(=O)CN(NC(=O)c2ncccc2C(F)(F)F)C1=O ZINC000271854537 209269706 /nfs/dbraw/zinc/26/97/06/209269706.db2.gz UNNQYPJPLTUDIH-UHFFFAOYSA-N 0 2 302.212 0.639 20 0 DCADLN O=C([O-])[C@@H]1CCCN1c1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000262969410 304115055 /nfs/dbraw/zinc/11/50/55/304115055.db2.gz AYRHONRCRFORPB-RYUDHWBXSA-N 0 2 306.366 0.881 20 0 DCADLN O=C([O-])[C@@H]1CCCN1c1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000262969410 304115057 /nfs/dbraw/zinc/11/50/57/304115057.db2.gz AYRHONRCRFORPB-RYUDHWBXSA-N 0 2 306.366 0.881 20 0 DCADLN Cc1c(C=CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cnn1C ZINC000493845432 241166348 /nfs/dbraw/zinc/16/63/48/241166348.db2.gz AQAIJAQWYVLDCE-BTDICHCPSA-N 0 2 316.365 0.972 20 0 DCADLN Cc1c(C=CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cnn1C ZINC000493845434 241167362 /nfs/dbraw/zinc/16/73/62/241167362.db2.gz AQAIJAQWYVLDCE-FOQNGQEVSA-N 0 2 316.365 0.972 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NC[C@@H]1CSCCS1 ZINC000275115790 212129638 /nfs/dbraw/zinc/12/96/38/212129638.db2.gz LIEASJATGHSLNO-ZCFIWIBFSA-N 0 2 306.438 0.155 20 0 DCADLN COC(=O)c1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c[nH]1 ZINC000569005511 291458195 /nfs/dbraw/zinc/45/81/95/291458195.db2.gz TVBGNHBONUWLCJ-MRVPVSSYSA-N 0 2 319.321 0.645 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000573488146 291635666 /nfs/dbraw/zinc/63/56/66/291635666.db2.gz NJPPEMUPTSKKDP-MNOVXSKESA-N 0 2 307.350 0.644 20 0 DCADLN C[NH+](C)CCO[C@@H]1CCN(c2ncnc3c2C[N@H+](C)CC3)C1 ZINC000339684772 253125903 /nfs/dbraw/zinc/12/59/03/253125903.db2.gz WIVVTSCZLFUJDZ-CYBMUJFWSA-N 0 2 305.426 0.621 20 0 DCADLN C[C@H](C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1)N1CCOCC1 ZINC000339706462 253129600 /nfs/dbraw/zinc/12/96/00/253129600.db2.gz NSLULNMZHWNPAR-SNVBAGLBSA-N 0 2 318.333 0.689 20 0 DCADLN Cc1nc2c(cnn2C)c(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000339970179 253171447 /nfs/dbraw/zinc/17/14/47/253171447.db2.gz ZZISXLQESVSYGU-SECBINFHSA-N 0 2 314.353 0.879 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2nccs2)cn1C ZINC000340039736 253190295 /nfs/dbraw/zinc/19/02/95/253190295.db2.gz RMHIDJACGVZVFJ-UHFFFAOYSA-N 0 2 300.365 0.642 20 0 DCADLN CN(C(=O)C1=NN(c2ccccc2)CC1=O)[C@@H]1CCNC1=O ZINC000340450877 253256982 /nfs/dbraw/zinc/25/69/82/253256982.db2.gz JNWCFSMOHZDOHD-LLVKDONJSA-N 0 2 300.318 0.538 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2cn(C)nc2C)cn1C ZINC000340958891 253328037 /nfs/dbraw/zinc/32/80/37/253328037.db2.gz GJDCFYWIZYFXGC-UHFFFAOYSA-N 0 2 312.351 0.654 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2C[C@H](C)OC2=O)cc1S(C)(=O)=O ZINC000288648863 220322370 /nfs/dbraw/zinc/32/23/70/220322370.db2.gz QSSFPZSQTHBSKW-ONGXEEELSA-N 0 2 311.359 0.832 20 0 DCADLN Cc1[nH]c[nH+]c1CC(=O)NCCS(=O)(=O)c1ccccc1 ZINC000346297324 254033745 /nfs/dbraw/zinc/03/37/45/254033745.db2.gz ICMJEAMFKRHPKI-UHFFFAOYSA-N 0 2 307.375 0.851 20 0 DCADLN COC(=O)c1ccc(C)c(NC(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000348708594 254250022 /nfs/dbraw/zinc/25/00/22/254250022.db2.gz XRONXMPBDFLEFG-UHFFFAOYSA-N 0 2 305.294 0.927 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ncc(C(N)=O)s2)nc1C ZINC000349041023 254270318 /nfs/dbraw/zinc/27/03/18/254270318.db2.gz HONBOXGGKYMRLA-UHFFFAOYSA-N 0 2 315.380 0.568 20 0 DCADLN CC(C)C[C@H]1C[C@@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)CC(=O)N1 ZINC000350864631 254327743 /nfs/dbraw/zinc/32/77/43/254327743.db2.gz IXNKLRAHHPCVGB-MNOVXSKESA-N 0 2 323.397 0.500 20 0 DCADLN O=C1CN(c2ccc(NS(=O)(=O)c3ccoc3)cn2)CCN1 ZINC000350142228 254311957 /nfs/dbraw/zinc/31/19/57/254311957.db2.gz HHDZXXFKYLFVBO-UHFFFAOYSA-N 0 2 322.346 0.412 20 0 DCADLN COC(=O)[C@H](NCc1n[nH]c(=O)[nH]1)c1ccc(OC)c(O)c1 ZINC000351953999 254384229 /nfs/dbraw/zinc/38/42/29/254384229.db2.gz OAOVJAVLURTOLW-LLVKDONJSA-N 0 2 308.294 0.228 20 0 DCADLN CCS(=O)(=O)Cc1noc(C(=O)Nc2cc(C)on2)n1 ZINC001647140647 1172697286 /nfs/dbraw/zinc/69/72/86/1172697286.db2.gz MXIOHSOOMVYEPU-UHFFFAOYSA-N 0 2 300.296 0.553 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)c1c(C)cnn1C ZINC000285118337 131139907 /nfs/dbraw/zinc/13/99/07/131139907.db2.gz NBKDCQDUBUPMIC-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN C[C@H](c1ccc(S(N)(=O)=O)cc1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000091536918 395726091 /nfs/dbraw/zinc/72/60/91/395726091.db2.gz JTGCEHDQOMALRX-MRVPVSSYSA-N 0 2 311.367 0.351 20 0 DCADLN C[C@H](c1ccc(S(N)(=O)=O)cc1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000091536918 395726092 /nfs/dbraw/zinc/72/60/92/395726092.db2.gz JTGCEHDQOMALRX-MRVPVSSYSA-N 0 2 311.367 0.351 20 0 DCADLN CCOC(=O)c1c[nH]nc1S(=O)(=O)NCC(F)(F)F ZINC000037731772 395728740 /nfs/dbraw/zinc/72/87/40/395728740.db2.gz XNTYCZMHTPFSHD-UHFFFAOYSA-N 0 2 301.246 0.427 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]1C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000103521781 395773541 /nfs/dbraw/zinc/77/35/41/395773541.db2.gz UCCCZGITFVQAEF-VHSXEESVSA-N 0 2 303.274 0.778 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(Cc3ccncc3)c2)cn1 ZINC000195426503 395826406 /nfs/dbraw/zinc/82/64/06/395826406.db2.gz NMSPJPVFKFSHCO-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN O=C(NCCCOc1cccc2cccnc21)c1nc(=O)[nH][nH]1 ZINC000113429403 395830870 /nfs/dbraw/zinc/83/08/70/395830870.db2.gz GKZHXNOYMSUZTI-UHFFFAOYSA-N 0 2 313.317 0.845 20 0 DCADLN CCCS(=O)(=O)Nc1cc(OC)ccc1S(=O)(=O)NC ZINC000271205540 395846593 /nfs/dbraw/zinc/84/65/93/395846593.db2.gz JZBFJUNJWPBQLJ-UHFFFAOYSA-N 0 2 322.408 0.755 20 0 DCADLN CCCS(=O)(=O)Nc1cc(OC)ccc1S(N)(=O)=O ZINC000271225297 395848902 /nfs/dbraw/zinc/84/89/02/395848902.db2.gz QUXDVXUZAQKNJC-UHFFFAOYSA-N 0 2 308.381 0.494 20 0 DCADLN O=C1C[C@@H]([NH2+]C[C@@H]2CCC=CO2)CN1CC[NH+]1CCOCC1 ZINC000194564489 395799684 /nfs/dbraw/zinc/79/96/84/395799684.db2.gz DTLHTQBCVNCIJH-CABCVRRESA-N 0 2 309.410 0.202 20 0 DCADLN COC(=O)N1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000054798839 395905797 /nfs/dbraw/zinc/90/57/97/395905797.db2.gz JPQLJQDQHVIFPK-UHFFFAOYSA-N 0 2 308.363 0.869 20 0 DCADLN NC(=O)N[C@@H]1CCN(C(=O)c2cc(F)c(F)c(O)c2F)C1 ZINC000273342741 395914243 /nfs/dbraw/zinc/91/42/43/395914243.db2.gz RAXQZQMPRDFDLF-RXMQYKEDSA-N 0 2 303.240 0.692 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccccc2Cn2cncn2)cn1 ZINC000055686597 395920956 /nfs/dbraw/zinc/92/09/56/395920956.db2.gz DGCQQJTXTJPGBF-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cc(C(=O)NC)n(C)c2)no1 ZINC000272311355 395883210 /nfs/dbraw/zinc/88/32/10/395883210.db2.gz OXNUGWQVBUJRGU-UHFFFAOYSA-N 0 2 313.339 0.131 20 0 DCADLN C[C@H]1C[C@@H](C(=O)[O-])CN(c2cc(N3CCOCC3)nc[nH+]2)C1 ZINC000263249943 396209201 /nfs/dbraw/zinc/20/92/01/396209201.db2.gz SRKGGGNDOXZAPJ-NWDGAFQWSA-N 0 2 306.366 0.860 20 0 DCADLN C[C@H]1C[C@@H](C(=O)[O-])CN(c2cc(N3CCOCC3)[nH+]cn2)C1 ZINC000263249943 396209203 /nfs/dbraw/zinc/20/92/03/396209203.db2.gz SRKGGGNDOXZAPJ-NWDGAFQWSA-N 0 2 306.366 0.860 20 0 DCADLN CN(CCS(C)(=O)=O)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000175972859 396147039 /nfs/dbraw/zinc/14/70/39/396147039.db2.gz AFXXBVIXEJBDOI-UHFFFAOYSA-N 0 2 323.374 0.695 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000262666855 396170935 /nfs/dbraw/zinc/17/09/35/396170935.db2.gz PTIDHYMARZHWBN-GFCCVEGCSA-N 0 2 323.368 0.879 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000262666855 396170936 /nfs/dbraw/zinc/17/09/36/396170936.db2.gz PTIDHYMARZHWBN-GFCCVEGCSA-N 0 2 323.368 0.879 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](O)C(C)(C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000279603559 396186644 /nfs/dbraw/zinc/18/66/44/396186644.db2.gz GLJOAUXZCUGSMS-SECBINFHSA-N 0 2 318.333 0.587 20 0 DCADLN Cc1n[nH]c(NC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@H]32)n1 ZINC000264062965 396253271 /nfs/dbraw/zinc/25/32/71/396253271.db2.gz HABSZGGJPSGILB-ATZCPNFKSA-N 0 2 324.410 0.777 20 0 DCADLN CS(=O)(=O)NC[C@@H]1CCCN(c2cccc(C(=O)[O-])[nH+]2)C1 ZINC000263533899 396219984 /nfs/dbraw/zinc/21/99/84/396219984.db2.gz YCZYNJGSTOZTES-JTQLQIEISA-N 0 2 313.379 0.545 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCCC2(CC2)C1 ZINC000184104219 396285572 /nfs/dbraw/zinc/28/55/72/396285572.db2.gz XFLVXUXFKUIQBM-UHFFFAOYSA-N 0 2 300.318 0.628 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CC2CCOCC2)s1 ZINC000265291808 396305639 /nfs/dbraw/zinc/30/56/39/396305639.db2.gz MKZQPYAWNFTVAT-UHFFFAOYSA-N 0 2 320.396 0.665 20 0 DCADLN C[C@@H](CO)N(C)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000185874610 396335716 /nfs/dbraw/zinc/33/57/16/396335716.db2.gz MCGKKEDTRRLNPV-NSHDSACASA-N 0 2 319.365 0.906 20 0 DCADLN COCC[C@@H](C)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000265860547 396347289 /nfs/dbraw/zinc/34/72/89/396347289.db2.gz WSFIAKMOTXUQAN-MRVPVSSYSA-N 0 2 306.322 0.899 20 0 DCADLN CC[C@@H](O)CCNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268648997 396364947 /nfs/dbraw/zinc/36/49/47/396364947.db2.gz AGHJKYXJNXURCB-MRVPVSSYSA-N 0 2 306.322 0.635 20 0 DCADLN CC[C@H](CCO)CNC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000268686053 396367115 /nfs/dbraw/zinc/36/71/15/396367115.db2.gz YEEBSBUVPNPVJQ-SECBINFHSA-N 0 2 320.349 0.883 20 0 DCADLN CCC[C@](C)(O)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000269289287 396404611 /nfs/dbraw/zinc/40/46/11/396404611.db2.gz DMJPQPZPXFLIFS-VIFPVBQESA-N 0 2 308.385 0.399 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2cccnc2OC)cn1 ZINC000269674761 396435700 /nfs/dbraw/zinc/43/57/00/396435700.db2.gz ZUCAJCJASCZJEK-UHFFFAOYSA-N 0 2 312.351 0.734 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000371993087 396481993 /nfs/dbraw/zinc/48/19/93/396481993.db2.gz QHWILTZIKFSRBP-SECBINFHSA-N 0 2 311.248 0.397 20 0 DCADLN CCC1(C)CN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000292098120 396529804 /nfs/dbraw/zinc/52/98/04/396529804.db2.gz KXMHILVEOADRMT-UHFFFAOYSA-N 0 2 324.362 0.857 20 0 DCADLN CN(CCF)S(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000292867040 396573734 /nfs/dbraw/zinc/57/37/34/396573734.db2.gz SAMNPEBOZAFSBZ-UHFFFAOYSA-N 0 2 302.287 0.026 20 0 DCADLN COC(=O)[C@H](CNC(=O)c1cc(F)c(F)c(O)c1F)OC ZINC000294143458 396655562 /nfs/dbraw/zinc/65/55/62/396655562.db2.gz USPDRFHAOXDHHV-ZETCQYMHSA-N 0 2 307.224 0.727 20 0 DCADLN C[NH+](CC(=O)N1CCC1)C[C@@]1(O)CC[N@H+](Cc2ccccc2)C1 ZINC000375300232 396725864 /nfs/dbraw/zinc/72/58/64/396725864.db2.gz XEQGJRCYMLNCCR-SFHVURJKSA-N 0 2 317.433 0.788 20 0 DCADLN COC(=O)CCSCCNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000588365820 396685261 /nfs/dbraw/zinc/68/52/61/396685261.db2.gz UTTRKUYIIFFFHC-UHFFFAOYSA-N 0 2 320.396 0.015 20 0 DCADLN O=C([O-])[C@@H]1CCCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000563129797 396705883 /nfs/dbraw/zinc/70/58/83/396705883.db2.gz BFSQWSPBPNZXKX-OCCSQVGLSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])[C@@H]1CCCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000563129797 396705885 /nfs/dbraw/zinc/70/58/85/396705885.db2.gz BFSQWSPBPNZXKX-OCCSQVGLSA-N 0 2 310.394 0.953 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000375652618 396789077 /nfs/dbraw/zinc/78/90/77/396789077.db2.gz LYZOSJJCUFIVED-BDAKNGLRSA-N 0 2 318.317 0.263 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)c2cccc(=O)n2C)s[nH]1 ZINC000634152035 396797943 /nfs/dbraw/zinc/79/79/43/396797943.db2.gz SADDPRHLEODUNN-UHFFFAOYSA-N 0 2 308.363 0.793 20 0 DCADLN O=c1[nH]nc([C@H]2CCCCN2CC2CCS(=O)(=O)CC2)[nH]1 ZINC000329595657 396846884 /nfs/dbraw/zinc/84/68/84/396846884.db2.gz OYJLUFPGUGEKOV-LLVKDONJSA-N 0 2 314.411 0.862 20 0 DCADLN COC(=O)[C@H](C)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597066769 396882665 /nfs/dbraw/zinc/88/26/65/396882665.db2.gz PPZLHRKDVOYCLX-MRVPVSSYSA-N 0 2 305.290 0.569 20 0 DCADLN CC(=O)N[C@@H]1C(C)=NN(c2nc3ccccc3c(=O)n2C)C1=O ZINC000634587429 396888609 /nfs/dbraw/zinc/88/86/09/396888609.db2.gz OEIAGGKQFFMSBD-GFCCVEGCSA-N 0 2 313.317 0.161 20 0 DCADLN COc1ccc2c(c1)C1=NN(c3nccn(C)c3=O)C(=O)[C@H]1C2 ZINC000634613901 396891660 /nfs/dbraw/zinc/89/16/60/396891660.db2.gz LONZLGXGZKUSSY-LBPRGKRZSA-N 0 2 310.313 0.712 20 0 DCADLN Cc1cn2c([nH+]1)[C@@H](CNC(=O)c1cnc([O-])n(C)c1=O)CCC2 ZINC000376212577 396896779 /nfs/dbraw/zinc/89/67/79/396896779.db2.gz UUPIVNWJGVBPDO-SNVBAGLBSA-N 0 2 317.349 0.298 20 0 DCADLN CSC[C@](C)(O)CNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597282769 396931447 /nfs/dbraw/zinc/93/14/47/396931447.db2.gz RRCUPDTZMUSSSE-CQSZACIVSA-N 0 2 323.374 0.874 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCOC[C@H]1c1ccco1 ZINC000376686552 396963704 /nfs/dbraw/zinc/96/37/04/396963704.db2.gz JPHYOSRYWGPACZ-QMMMGPOBSA-N 0 2 310.335 0.796 20 0 DCADLN O=C(CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)NC(C1CC1)C1CC1 ZINC000377484622 397087153 /nfs/dbraw/zinc/08/71/53/397087153.db2.gz LUIPPMGALIKNJV-GFCCVEGCSA-N 0 2 319.409 0.995 20 0 DCADLN Cc1nsc(NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)n1 ZINC000572317946 397045827 /nfs/dbraw/zinc/04/58/27/397045827.db2.gz QZFUGMNSGCWTJV-JTQLQIEISA-N 0 2 319.456 0.341 20 0 DCADLN C[C@H](O)[C@H]1CCN(C(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1 ZINC000613353669 397247424 /nfs/dbraw/zinc/24/74/24/397247424.db2.gz GYTXBKWEJPVYFO-CABZTGNLSA-N 0 2 303.318 0.873 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CO[C@@H](C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000573923581 397220446 /nfs/dbraw/zinc/22/04/46/397220446.db2.gz PVCMZVNSWXHHJC-YUMQZZPRSA-N 0 2 304.306 0.652 20 0 DCADLN C[C@@H](c1ccccc1)[C@H](O)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000620670239 397295496 /nfs/dbraw/zinc/29/54/96/397295496.db2.gz VKWNUOOEVRTKLY-GXSJLCMTSA-N 0 2 322.390 0.883 20 0 DCADLN C[C@H](C(=O)N1CCCCCC1)[NH+]1CCN(C(=O)C(=O)[O-])CC1 ZINC000396649128 397311079 /nfs/dbraw/zinc/31/10/79/397311079.db2.gz JMOMBQZSGHXMSI-GFCCVEGCSA-N 0 2 311.382 0.006 20 0 DCADLN CC(C)(O)CCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000577808139 397361907 /nfs/dbraw/zinc/36/19/07/397361907.db2.gz QKASNOMSTNYHQY-UHFFFAOYSA-N 0 2 308.385 0.399 20 0 DCADLN CCOC(=O)CCN(C(=O)CSc1n[nH]c(=O)[nH]1)C1CC1 ZINC000614365793 397427932 /nfs/dbraw/zinc/42/79/32/397427932.db2.gz JHNILPSTGMIJRQ-UHFFFAOYSA-N 0 2 314.367 0.547 20 0 DCADLN Cc1cc(C[NH2+]C[C@@H](O)C[N@@H+](C)C2CC2)nc(N2CCCC2)n1 ZINC000579583247 397581338 /nfs/dbraw/zinc/58/13/38/397581338.db2.gz LFRICLBCEKUICO-MRXNPFEDSA-N 0 2 319.453 0.930 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCCNC(C)=O)cn1 ZINC000494932109 397534593 /nfs/dbraw/zinc/53/45/93/397534593.db2.gz CBBVLURCJYFCAW-UHFFFAOYSA-N 0 2 304.354 0.549 20 0 DCADLN CN(CC(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1)C(=O)C1CC1 ZINC000603241314 397588113 /nfs/dbraw/zinc/58/81/13/397588113.db2.gz JLBPUIYLEFYCSA-UHFFFAOYSA-N 0 2 316.317 0.837 20 0 DCADLN NC(=O)Cn1cc(NS(=O)(=O)c2ccccc2Cl)cn1 ZINC000047251644 158003344 /nfs/dbraw/zinc/00/33/44/158003344.db2.gz UMXNAOOYERQBJP-UHFFFAOYSA-N 0 2 314.754 0.823 20 0 DCADLN Cc1n[nH]cc1NS(=O)(=O)c1cccc(-n2cnnn2)c1 ZINC000119982109 158192038 /nfs/dbraw/zinc/19/20/38/158192038.db2.gz BJQKWGWQFIMNEA-UHFFFAOYSA-N 0 2 305.323 0.495 20 0 DCADLN COCC[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000132132066 158308199 /nfs/dbraw/zinc/30/81/99/158308199.db2.gz BRMXIZJWAKRHOO-KGLIPLIRSA-N 0 2 306.410 0.673 20 0 DCADLN CCCN(CC(=O)N(C)C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000132600724 158313048 /nfs/dbraw/zinc/31/30/48/158313048.db2.gz JWQSMJCNBPMCJP-UHFFFAOYSA-N 0 2 319.365 0.614 20 0 DCADLN C[C@@H](Cn1cncn1)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000132623724 158313207 /nfs/dbraw/zinc/31/32/07/158313207.db2.gz SGELAJXFFNVIBW-QMMMGPOBSA-N 0 2 301.310 0.084 20 0 DCADLN C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000132589411 158313250 /nfs/dbraw/zinc/31/32/50/158313250.db2.gz ZGTZJAFCIRYMJT-BDAKNGLRSA-N 0 2 317.349 0.400 20 0 DCADLN CCn1ncc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc21 ZINC000137265365 158344905 /nfs/dbraw/zinc/34/49/05/158344905.db2.gz UWMIBTDJNBTBMO-UHFFFAOYSA-N 0 2 301.310 0.789 20 0 DCADLN CCOC(=O)N1CCC[N@H+](Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC000171735565 158386797 /nfs/dbraw/zinc/38/67/97/158386797.db2.gz DRHMWSMNWAYKKG-UHFFFAOYSA-N 0 2 319.365 0.687 20 0 DCADLN CCOC(=O)N1CCC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC000171735565 158386799 /nfs/dbraw/zinc/38/67/99/158386799.db2.gz DRHMWSMNWAYKKG-UHFFFAOYSA-N 0 2 319.365 0.687 20 0 DCADLN NC(=O)[C@]1(O)CCCN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000314757026 159000295 /nfs/dbraw/zinc/00/02/95/159000295.db2.gz ABSVMXKPLHWWOU-ZDUSSCGKSA-N 0 2 308.363 0.209 20 0 DCADLN O=C(CN1CCCCC1=O)N1CCCC[C@H]1c1n[nH]c(=O)[nH]1 ZINC000329167587 159069654 /nfs/dbraw/zinc/06/96/54/159069654.db2.gz ISVGBPZKZLNBMA-JTQLQIEISA-N 0 2 307.354 0.576 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)[C@@H]2COCCO2)[nH]1 ZINC000329541252 159100855 /nfs/dbraw/zinc/10/08/55/159100855.db2.gz DHZQYPZPXWHKEK-MNOVXSKESA-N 0 2 310.354 0.420 20 0 DCADLN C[C@@]1(CNC(=O)CSc2n[nH]c(=O)[nH]2)CCO[C@@H]1C1CC1 ZINC000367689873 159351518 /nfs/dbraw/zinc/35/15/18/159351518.db2.gz WQPFCJZIHSBODF-MFKMUULPSA-N 0 2 312.395 0.924 20 0 DCADLN CC(=O)N1CCC(CNS(=O)(=O)CC(F)(F)F)CC1 ZINC000408306288 160081339 /nfs/dbraw/zinc/08/13/39/160081339.db2.gz CHFVGRUBUMBBNT-UHFFFAOYSA-N 0 2 302.318 0.727 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)[C@@H]2C[C@]23CCOC3)cn1 ZINC000408486467 160118137 /nfs/dbraw/zinc/11/81/37/160118137.db2.gz SQVUUPRPVYCUSY-GWCFXTLKSA-N 0 2 312.347 0.322 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)NC(=O)/C=C\c1ccnn1C ZINC000255238279 287123569 /nfs/dbraw/zinc/12/35/69/287123569.db2.gz PYDRIWYXXDRTEG-WAYWQWQTSA-N 0 2 323.378 0.289 20 0 DCADLN CN(C(=O)C1=NN(c2ccccc2)CC1=O)[C@@H]1COC[C@@H]1O ZINC000342344872 415142006 /nfs/dbraw/zinc/14/20/06/415142006.db2.gz KHXKYJVGGKUCGM-YPMHNXCESA-N 0 2 303.318 0.410 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000342465271 415180181 /nfs/dbraw/zinc/18/01/81/415180181.db2.gz TXKPQXCVKLZNQA-UHFFFAOYSA-N 0 2 320.330 0.447 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)N1C[C@@H](O)C[C@@H]1CO ZINC000332153158 415272273 /nfs/dbraw/zinc/27/22/73/415272273.db2.gz HPSDRYSBCIXFFY-MNOVXSKESA-N 0 2 320.374 0.586 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000049914616 415309136 /nfs/dbraw/zinc/30/91/36/415309136.db2.gz MOHNJLXHUPJMBW-LBPRGKRZSA-N 0 2 305.334 0.607 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)O1 ZINC000353087985 415432321 /nfs/dbraw/zinc/43/23/21/415432321.db2.gz VSEIDEGJUOTQNY-VHSXEESVSA-N 0 2 318.289 0.001 20 0 DCADLN COC[C@H]1CCCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000353931403 415695271 /nfs/dbraw/zinc/69/52/71/415695271.db2.gz ZIGCGZJJOTXVDP-VIFPVBQESA-N 0 2 318.333 0.935 20 0 DCADLN CN1C(=O)COc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc21 ZINC000354125410 415762997 /nfs/dbraw/zinc/76/29/97/415762997.db2.gz RSXQRAVGPBDYFK-UHFFFAOYSA-N 0 2 318.293 0.187 20 0 DCADLN CO[C@H](C)c1noc(CN2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000344074700 415835583 /nfs/dbraw/zinc/83/55/83/415835583.db2.gz UOLDLVFSJXYKKS-BDAKNGLRSA-N 0 2 308.342 0.980 20 0 DCADLN COCc1noc([C@H](C)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000344123389 415843643 /nfs/dbraw/zinc/84/36/43/415843643.db2.gz VQRKWHQBKCHRFB-DTWKUNHWSA-N 0 2 308.342 0.980 20 0 DCADLN CCCN1C[C@H](C(=O)N2CC[C@H](C)[S@@](=O)CC2)CC1=O ZINC000334023552 415789589 /nfs/dbraw/zinc/78/95/89/415789589.db2.gz FLWJBEZBCOOSJD-ZBUHCNDUSA-N 0 2 300.424 0.614 20 0 DCADLN O=C1COc2c(NS(=O)(=O)C[C@@H]3CCCO3)cccc2N1 ZINC000290429420 415804240 /nfs/dbraw/zinc/80/42/40/415804240.db2.gz AOHFWNIYUDWSFX-VIFPVBQESA-N 0 2 312.347 0.938 20 0 DCADLN Cc1n[nH]c(NC(=O)CNS(=O)(=O)c2ccccc2F)n1 ZINC000354707111 415813307 /nfs/dbraw/zinc/81/33/07/415813307.db2.gz KWCCZEQVTOVOJE-UHFFFAOYSA-N 0 2 313.314 0.169 20 0 DCADLN C[C@H](C[S@](C)=O)N(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344392295 415902994 /nfs/dbraw/zinc/90/29/94/415902994.db2.gz LPDZGHORMNXIBG-ZEDNOMKYSA-N 0 2 310.379 0.513 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2ccncc2F)s1 ZINC000355595047 415863764 /nfs/dbraw/zinc/86/37/64/415863764.db2.gz POOZAHUWVSQENK-UHFFFAOYSA-N 0 2 317.327 0.696 20 0 DCADLN CN(C)C(=O)CN(C)C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000153407485 415980761 /nfs/dbraw/zinc/98/07/61/415980761.db2.gz FTNGWQVBEQSULG-UHFFFAOYSA-N 0 2 320.324 0.877 20 0 DCADLN CCOc1ccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)cc1 ZINC000344886037 416003060 /nfs/dbraw/zinc/00/30/60/416003060.db2.gz CVPYJCWZAAZAED-UHFFFAOYSA-N 0 2 324.362 0.719 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)cc1C ZINC000344921918 416009100 /nfs/dbraw/zinc/00/91/00/416009100.db2.gz FIHXJUGDSOIISZ-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)CC[C@H]2CCCO2)s1 ZINC000298942745 416041050 /nfs/dbraw/zinc/04/10/50/416041050.db2.gz QVICMSOLCKAXBO-SSDOTTSWSA-N 0 2 320.396 0.807 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N[C@H]2C[C@H]2C(F)F)s[nH]1 ZINC000357511606 416091245 /nfs/dbraw/zinc/09/12/45/416091245.db2.gz DHHJYTLYPZLKOF-RITPCOANSA-N 0 2 318.349 0.966 20 0 DCADLN CNC(=O)CNC(=O)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC000177027493 416140390 /nfs/dbraw/zinc/14/03/90/416140390.db2.gz NLSMVGUPBYGWIP-UHFFFAOYSA-N 0 2 308.725 0.707 20 0 DCADLN Cc1nnc(CCNC(=O)c2c[nH]c3c(cnn3C)c2=O)[nH]1 ZINC000358330273 416223055 /nfs/dbraw/zinc/22/30/55/416223055.db2.gz ILPSPPRPMOHPLM-UHFFFAOYSA-N 0 2 301.310 0.073 20 0 DCADLN CC[C@@](C)(NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(=O)OC ZINC000358337761 416224745 /nfs/dbraw/zinc/22/47/45/416224745.db2.gz CAEWUXRAVAGTOU-CQSZACIVSA-N 0 2 306.322 0.745 20 0 DCADLN CC(=O)N1CCc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccc21 ZINC000358348432 416226957 /nfs/dbraw/zinc/22/69/57/416226957.db2.gz BTCKFSAPKDPDSY-UHFFFAOYSA-N 0 2 301.306 0.349 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCC(C(N)=O)CC2)c1 ZINC000341752237 416231316 /nfs/dbraw/zinc/23/13/16/416231316.db2.gz VDWMUUOQJJBJTC-QFIPXVFZSA-N 0 2 324.402 0.527 20 0 DCADLN O=C(NCCCn1cc[nH+]c1)c1cc(N2CCOCC2)ccn1 ZINC000183574920 416247390 /nfs/dbraw/zinc/24/73/90/416247390.db2.gz PDSCAMBOFNWYJY-UHFFFAOYSA-N 0 2 315.377 0.935 20 0 DCADLN CC(C)[C@@H](C(=O)NC1(c2nn[nH]n2)CC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000348295005 416237201 /nfs/dbraw/zinc/23/72/01/416237201.db2.gz UZBKWCFNNZLIOC-UTUOFQBUSA-N 0 2 322.413 0.439 20 0 DCADLN O=S(=O)(Nc1ccnc2ncnn21)c1ccc2c(c1)OCO2 ZINC000358814135 416278769 /nfs/dbraw/zinc/27/87/69/416278769.db2.gz OOEGHALGWAZXCN-UHFFFAOYSA-N 0 2 319.302 0.654 20 0 DCADLN O=C(CCCc1ccc2c(c1)CC(=O)N2)NCc1n[nH]c(=O)[nH]1 ZINC000358950261 416296928 /nfs/dbraw/zinc/29/69/28/416296928.db2.gz KZDZDQQIZBYJAL-UHFFFAOYSA-N 0 2 315.333 0.644 20 0 DCADLN O=C(CNC(=O)CC1CCCC1)NCCCc1n[nH]c(=O)[nH]1 ZINC000359522564 416349757 /nfs/dbraw/zinc/34/97/57/416349757.db2.gz GFKIWAHCPVWWPZ-UHFFFAOYSA-N 0 2 309.370 0.256 20 0 DCADLN O=S(=O)(NC[C@@H](CO)c1ccccc1)NCC(F)(F)F ZINC000195337678 416326050 /nfs/dbraw/zinc/32/60/50/416326050.db2.gz QNPRTJDWGWZAHH-JTQLQIEISA-N 0 2 312.313 0.749 20 0 DCADLN CC(C)OC(=O)[C@@H](C)CNS(=O)(=O)NCC(F)(F)F ZINC000195314622 416326099 /nfs/dbraw/zinc/32/60/99/416326099.db2.gz FCKPHJQPHWVNJL-ZETCQYMHSA-N 0 2 306.306 0.560 20 0 DCADLN COc1ccc(OC)c(C[NH+]2CCN(C(=O)[C@@H](C)[NH3+])CC2)c1 ZINC000212918823 416327136 /nfs/dbraw/zinc/32/71/36/416327136.db2.gz OIHYRSXHQRTXNK-GFCCVEGCSA-N 0 2 307.394 0.695 20 0 DCADLN CC(C)NS(=O)(=O)[C@@H]1CCN(c2cccc(C(=O)[O-])[nH+]2)C1 ZINC000416412198 416369026 /nfs/dbraw/zinc/36/90/26/416369026.db2.gz MHQWZGLJANDFLK-SNVBAGLBSA-N 0 2 313.379 0.686 20 0 DCADLN CCO[C@@H]1C[C@@H](NC(=O)c2c[nH]c3c(cnn3C)c2=O)[C@@H]1OC ZINC000361627503 416464033 /nfs/dbraw/zinc/46/40/33/416464033.db2.gz DTXSFQQXXCDIJN-WZRBSPASSA-N 0 2 320.349 0.596 20 0 DCADLN COC(=O)[C@H](NC(=O)CSc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000362090367 416559996 /nfs/dbraw/zinc/55/99/96/416559996.db2.gz YAPXRKYMEBIRCY-SNVBAGLBSA-N 0 2 322.346 0.633 20 0 DCADLN Cc1cc(C(=O)NCCCCC(N)=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000540116142 416588373 /nfs/dbraw/zinc/58/83/73/416588373.db2.gz JLCMUEBRTDFNHC-UHFFFAOYSA-N 0 2 319.321 0.130 20 0 DCADLN CO[C@@H]1C[C@@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1(C)C ZINC000440005625 416624106 /nfs/dbraw/zinc/62/41/06/416624106.db2.gz VEIYTZLCVSQRGQ-NXEZZACHSA-N 0 2 318.333 0.979 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CC(=O)N1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC000424254753 416635159 /nfs/dbraw/zinc/63/51/59/416635159.db2.gz LKZBTHPZAVIHKT-RISCZKNCSA-N 0 2 313.398 0.155 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)CC(=O)N1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC000424254753 416635163 /nfs/dbraw/zinc/63/51/63/416635163.db2.gz LKZBTHPZAVIHKT-RISCZKNCSA-N 0 2 313.398 0.155 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C/c1cccnc1 ZINC000493268848 416640170 /nfs/dbraw/zinc/64/01/70/416640170.db2.gz PVJNWQMRBFKJIE-SNAWJCMRSA-N 0 2 308.319 0.479 20 0 DCADLN Cc1c(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cnn1C ZINC000514605453 416663196 /nfs/dbraw/zinc/66/31/96/416663196.db2.gz OGUFJRXTZJULQR-JTQLQIEISA-N 0 2 304.354 0.501 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)C(C)(C)C(N)=O)c1 ZINC000424846096 416671377 /nfs/dbraw/zinc/67/13/77/416671377.db2.gz FZBSIVIJJUFRHO-OAQYLSRUSA-N 0 2 312.391 0.525 20 0 DCADLN COC(=O)C1(C)CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000425336082 416695710 /nfs/dbraw/zinc/69/57/10/416695710.db2.gz KNDLOBBVHHPUOP-UHFFFAOYSA-N 0 2 317.301 0.665 20 0 DCADLN CC(C)[C@](C)(NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(N)=O ZINC000614716727 416695940 /nfs/dbraw/zinc/69/59/40/416695940.db2.gz OIHKDEIEUBTNOC-HNNXBMFYSA-N 0 2 318.333 0.660 20 0 DCADLN CC[C@H](NS(=O)(=O)NCC(F)(F)F)[C@H](O)C(F)(F)F ZINC000443034113 416726403 /nfs/dbraw/zinc/72/64/03/416726403.db2.gz CTDYQPLZBIXFQI-WHFBIAKZSA-N 0 2 318.239 0.675 20 0 DCADLN COC(=O)[C@@H]1CCN(S(=O)(=O)NCC(F)(F)F)[C@@H]1C ZINC000443228465 416735526 /nfs/dbraw/zinc/73/55/26/416735526.db2.gz YSLJYJDUTUZVGH-RNFRBKRXSA-N 0 2 304.290 0.267 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(Cl)ccc1-n1cnnn1 ZINC000443521908 416767212 /nfs/dbraw/zinc/76/72/12/416767212.db2.gz KSPANFRTOIJVSA-UHFFFAOYSA-N 0 2 320.700 0.069 20 0 DCADLN Cn1ncc2ccc(CNC(=O)CSc3n[nH]c(=O)[nH]3)cc21 ZINC000558649579 416781827 /nfs/dbraw/zinc/78/18/27/416781827.db2.gz AJTBFEGLDWTZBJ-UHFFFAOYSA-N 0 2 318.362 0.806 20 0 DCADLN Cn1ncc(C(=O)NCc2n[nH]c(=O)[nH]2)c1Cc1ccccc1 ZINC000445004172 416879764 /nfs/dbraw/zinc/87/97/64/416879764.db2.gz FKDFQRMUZKILDW-UHFFFAOYSA-N 0 2 312.333 0.765 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccnc(C(=O)N(C)C)c2)cnn1C ZINC000427764424 416843669 /nfs/dbraw/zinc/84/36/69/416843669.db2.gz WJGBTOVCPAAJPB-UHFFFAOYSA-N 0 2 323.378 0.048 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N3CCOCCN3)=N2)cc1 ZINC000615493971 416846768 /nfs/dbraw/zinc/84/67/68/416846768.db2.gz CNKQJIMJBNTJLG-UHFFFAOYSA-N 0 2 318.333 0.564 20 0 DCADLN CC(C)NS(=O)(=O)NCCNc1nc(C(C)(C)C)ns1 ZINC000428665566 416918101 /nfs/dbraw/zinc/91/81/01/416918101.db2.gz FVIACPMIOGQDSQ-UHFFFAOYSA-N 0 2 321.472 0.502 20 0 DCADLN CC(C)NS(=O)(=O)NCCN=c1nc(C(C)(C)C)[nH]s1 ZINC000428665566 416918110 /nfs/dbraw/zinc/91/81/10/416918110.db2.gz FVIACPMIOGQDSQ-UHFFFAOYSA-N 0 2 321.472 0.502 20 0 DCADLN C[N@@H+]1CCN(C(=O)N[C@H]2COc3ccccc3C2)[C@H](C[NH3+])C1 ZINC000565764840 417014997 /nfs/dbraw/zinc/01/49/97/417014997.db2.gz SQTDUIROQJNEKV-ZIAGYGMSSA-N 0 2 304.394 0.274 20 0 DCADLN Cc1nc(N)nc(C)c1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000567958035 417071118 /nfs/dbraw/zinc/07/11/18/417071118.db2.gz WOVXTMSKDLYFPK-SECBINFHSA-N 0 2 317.353 0.519 20 0 DCADLN CCn1cc[nH+]c1CNC(=O)NCC1(C(=O)[O-])CCOCC1 ZINC000395416243 417107516 /nfs/dbraw/zinc/10/75/16/417107516.db2.gz ZGCPIADYDJZRFF-UHFFFAOYSA-N 0 2 310.354 0.584 20 0 DCADLN O=C([C@@H]1OC[C@@H]2COCC[C@H]12)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000569344028 417242482 /nfs/dbraw/zinc/24/24/82/417242482.db2.gz XTBIBQXXZPJZJE-NOOOWODRSA-N 0 2 322.365 0.268 20 0 DCADLN COCCCN(CCO)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000438511192 287372249 /nfs/dbraw/zinc/37/22/49/287372249.db2.gz FTYCTVXSNHRRJZ-UHFFFAOYSA-N 0 2 308.338 0.145 20 0 DCADLN CCCc1nsc(NCCN2CCS(=O)(=O)CC2)n1 ZINC000570098203 417310491 /nfs/dbraw/zinc/31/04/91/417310491.db2.gz OSZFAJILDNFZKD-UHFFFAOYSA-N 0 2 304.441 0.055 20 0 DCADLN NC(=O)[C@@H]1CC[C@@H]1C(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000621933018 417347500 /nfs/dbraw/zinc/34/75/00/417347500.db2.gz SNXBXFFGXOURFG-BDAKNGLRSA-N 0 2 303.347 0.472 20 0 DCADLN CCN1CCC[N@@H+]([C@@H]2CCCCN(CC(=O)[O-])C2=O)CC1=O ZINC000643875904 417408181 /nfs/dbraw/zinc/40/81/81/417408181.db2.gz DWNFRMHTWFVQKC-GFCCVEGCSA-N 0 2 311.382 0.006 20 0 DCADLN CCN1CCC[N@H+]([C@@H]2CCCCN(CC(=O)[O-])C2=O)CC1=O ZINC000643875904 417408186 /nfs/dbraw/zinc/40/81/86/417408186.db2.gz DWNFRMHTWFVQKC-GFCCVEGCSA-N 0 2 311.382 0.006 20 0 DCADLN Cc1c(F)cccc1S(=O)(=O)Nc1ccn(CC(N)=O)n1 ZINC000438814403 287376224 /nfs/dbraw/zinc/37/62/24/287376224.db2.gz WUOPZEQACGAXBP-UHFFFAOYSA-N 0 2 312.326 0.617 20 0 DCADLN CS(=O)(=O)Nc1ccc(C(=O)N2CCc3nc[nH]c3C2)cn1 ZINC000627375129 417382886 /nfs/dbraw/zinc/38/28/86/417382886.db2.gz MGXNNCQVWDZTOS-UHFFFAOYSA-N 0 2 321.362 0.375 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000574919556 417492680 /nfs/dbraw/zinc/49/26/80/417492680.db2.gz DSUVSJZBASFQCC-CKYFFXLPSA-N 0 2 300.355 0.239 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000574919556 417492685 /nfs/dbraw/zinc/49/26/85/417492685.db2.gz DSUVSJZBASFQCC-CKYFFXLPSA-N 0 2 300.355 0.239 20 0 DCADLN CC(C)[C@H]1C[NH2+]CCN1C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000529308469 417433750 /nfs/dbraw/zinc/43/37/50/417433750.db2.gz RGLLIQQYLCNYMF-HUUCEWRRSA-N 0 2 311.474 0.262 20 0 DCADLN CC(C)[C@H]1C[NH2+]CCN1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000529308089 417434923 /nfs/dbraw/zinc/43/49/23/417434923.db2.gz YYOGPTBPMVVZHT-CQSZACIVSA-N 0 2 312.458 0.737 20 0 DCADLN CC(C)c1nc(N2C[C@@H](C)O[C@H](C(=O)[O-])C2)nc(N(C)C)[nH+]1 ZINC000530177905 417547411 /nfs/dbraw/zinc/54/74/11/417547411.db2.gz SAGAUYPQQVUITR-ZJUUUORDSA-N 0 2 309.370 0.739 20 0 DCADLN Cn1nc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c2ccccc21 ZINC000530267408 417558715 /nfs/dbraw/zinc/55/87/15/417558715.db2.gz QNWJNMUYKWZCHE-UHFFFAOYSA-N 0 2 300.322 0.760 20 0 DCADLN O=C(CSc1nc(-c2ccccn2)n[nH]1)Nc1nn[nH]n1 ZINC000439276028 287384201 /nfs/dbraw/zinc/38/42/01/287384201.db2.gz PVVBBQPHHGPJMK-UHFFFAOYSA-N 0 2 303.311 0.111 20 0 DCADLN CN1CCC[C@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1=O ZINC000531363012 417619547 /nfs/dbraw/zinc/61/95/47/417619547.db2.gz DKISJEQJTIAWMK-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN CC[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H](O)CC ZINC000456633398 417658249 /nfs/dbraw/zinc/65/82/49/417658249.db2.gz UKVMIOBCWOSTCD-ZJUUUORDSA-N 0 2 306.322 0.715 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2cc(C)c(C)n[nH]2)[C@@H](C)CO1 ZINC000652005358 417829864 /nfs/dbraw/zinc/82/98/64/417829864.db2.gz YPMFWRNDFUJOHS-CMPLNLGQSA-N 0 2 306.366 0.480 20 0 DCADLN Cc1ccc(C(=O)N(C)C)cc1NS(=O)(=O)c1cnnn1C ZINC000629286841 417772415 /nfs/dbraw/zinc/77/24/15/417772415.db2.gz HAFAYUWZDVHUQO-UHFFFAOYSA-N 0 2 323.378 0.626 20 0 DCADLN CC[C@H]1C[N@H+](CC)CCN1C(=O)C(=O)N=c1ncn(C(C)C)[n-]1 ZINC000651839195 417794910 /nfs/dbraw/zinc/79/49/10/417794910.db2.gz XLABFQRGVAGVIR-LBPRGKRZSA-N 0 2 322.413 0.162 20 0 DCADLN CC[C@H]1C[N@@H+](CC)CCN1C(=O)C(=O)N=c1ncn(C(C)C)[n-]1 ZINC000651839195 417794915 /nfs/dbraw/zinc/79/49/15/417794915.db2.gz XLABFQRGVAGVIR-LBPRGKRZSA-N 0 2 322.413 0.162 20 0 DCADLN CCc1ccccc1S(=O)(=O)NCc1nnc(COC)[nH]1 ZINC000657104777 417811641 /nfs/dbraw/zinc/81/16/41/417811641.db2.gz VFVGZJRNMVFYRA-UHFFFAOYSA-N 0 2 310.379 0.992 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccc(F)c(C)c2)[nH]1 ZINC000657103962 417813447 /nfs/dbraw/zinc/81/34/47/417813447.db2.gz LFKUZYBKPILRJM-UHFFFAOYSA-N 0 2 314.342 0.877 20 0 DCADLN CN1C(=O)NC2(CCN(c3[nH+]cccc3C(=O)[O-])CC2)C1=O ZINC000647452108 418011657 /nfs/dbraw/zinc/01/16/57/418011657.db2.gz RMNCURHFMYNDOC-UHFFFAOYSA-N 0 2 304.306 0.300 20 0 DCADLN C[C@]1(C[C@H]2CCCN2c2ccc(C(=O)[O-])c[nH+]2)NC(=O)NC1=O ZINC000647454804 418011677 /nfs/dbraw/zinc/01/16/77/418011677.db2.gz AGFGCRVZNRRFSV-MEBBXXQBSA-N 0 2 318.333 0.737 20 0 DCADLN COCCO[C@H]1C[C@@H](CO)CN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC000647454619 418011902 /nfs/dbraw/zinc/01/19/02/418011902.db2.gz WZFDUHCRBUTGBO-YPMHNXCESA-N 0 2 310.350 0.630 20 0 DCADLN COCCO[C@@H]1C[C@@H](CO)CN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC000647454617 418012066 /nfs/dbraw/zinc/01/20/66/418012066.db2.gz WZFDUHCRBUTGBO-DGCLKSJQSA-N 0 2 310.350 0.630 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCC[C@H](CO)CC1 ZINC000647540392 418020817 /nfs/dbraw/zinc/02/08/17/418020817.db2.gz ZPSFNIJJHMCTHE-VIFPVBQESA-N 0 2 318.333 0.671 20 0 DCADLN Cc1nc(=NC(=O)NC[C@@H](c2ccnn2C)C(C)C)[nH]n1C ZINC000653237313 418046325 /nfs/dbraw/zinc/04/63/25/418046325.db2.gz VFHHHOCRBBVSRR-LLVKDONJSA-N 0 2 305.386 0.840 20 0 DCADLN Cc1nc(=NC(=O)N2CCN(C(=O)CC(C)(C)C)CC2)[nH]n1C ZINC000653246800 418047199 /nfs/dbraw/zinc/04/71/99/418047199.db2.gz BXOXDTSRCUSAAN-UHFFFAOYSA-N 0 2 322.413 0.658 20 0 DCADLN Cc1nc(=NC(=O)N2CCN(Cc3ccsc3)CC2)[nH]n1C ZINC000653247404 418047303 /nfs/dbraw/zinc/04/73/03/418047303.db2.gz JFQJRUAQJBYZJU-UHFFFAOYSA-N 0 2 320.422 0.957 20 0 DCADLN O=C([O-])[C@@H](C(=O)N(CC[NH+]1CCOCC1)CC1CC1)C1CC1 ZINC000663135770 417995698 /nfs/dbraw/zinc/99/56/98/417995698.db2.gz CYJMJSZHIAIKBJ-CQSZACIVSA-N 0 2 310.394 0.668 20 0 DCADLN COCC[N@H+](C)C[C@H](O)C[NH+]1CCC[C@H](OC2CCC2)C1 ZINC000653812152 418110093 /nfs/dbraw/zinc/11/00/93/418110093.db2.gz CPABYSZERUTYCX-HOCLYGCPSA-N 0 2 300.443 0.959 20 0 DCADLN CS(=O)(=O)CCCN=c1ccc(OCC(F)(F)F)n[nH]1 ZINC000647858251 418064807 /nfs/dbraw/zinc/06/48/07/418064807.db2.gz IGJSJNDJUXNXHK-UHFFFAOYSA-N 0 2 313.301 0.686 20 0 DCADLN CC(C)C[C@H](CNC(=O)C(=O)NCc1[nH+]ccn1C)CC(=O)[O-] ZINC000659650516 418137233 /nfs/dbraw/zinc/13/72/33/418137233.db2.gz KBBOBOAVQBLQMZ-NSHDSACASA-N 0 2 324.381 0.290 20 0 DCADLN CN(CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C(=O)C1CC1 ZINC000648893755 418171391 /nfs/dbraw/zinc/17/13/91/418171391.db2.gz YPUHKNPRUGTQDG-SNVBAGLBSA-N 0 2 307.354 0.085 20 0 DCADLN CCOc1cnc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000648893619 418171703 /nfs/dbraw/zinc/17/17/03/418171703.db2.gz LTDOIGHSJJOYCK-VIFPVBQESA-N 0 2 318.337 0.719 20 0 DCADLN Cc1oc(CNc2cc(NC[C@H](C)O)[nH+]cn2)cc1C(=O)[O-] ZINC000649400504 418251352 /nfs/dbraw/zinc/25/13/52/418251352.db2.gz MFCKXGMUMAONLR-QMMMGPOBSA-N 0 2 306.322 0.903 20 0 DCADLN Cc1oc(CNc2cc(NC[C@H](C)O)nc[nH+]2)cc1C(=O)[O-] ZINC000649400504 418251354 /nfs/dbraw/zinc/25/13/54/418251354.db2.gz MFCKXGMUMAONLR-QMMMGPOBSA-N 0 2 306.322 0.903 20 0 DCADLN CO[C@@H]1C[C@@H](CC(=O)[O-])N(c2cc(-n3cc[nH+]c3)ncn2)C1 ZINC000649406733 418251405 /nfs/dbraw/zinc/25/14/05/418251405.db2.gz DAVQXRXTAGNPHV-WDEREUQCSA-N 0 2 303.322 0.731 20 0 DCADLN Cc1oc(CNc2cc(NC[C@@H](C)O)[nH+]cn2)cc1C(=O)[O-] ZINC000649400503 418251468 /nfs/dbraw/zinc/25/14/68/418251468.db2.gz MFCKXGMUMAONLR-MRVPVSSYSA-N 0 2 306.322 0.903 20 0 DCADLN Cc1oc(CNc2cc(NC[C@@H](C)O)nc[nH+]2)cc1C(=O)[O-] ZINC000649400503 418251471 /nfs/dbraw/zinc/25/14/71/418251471.db2.gz MFCKXGMUMAONLR-MRVPVSSYSA-N 0 2 306.322 0.903 20 0 DCADLN C[C@@H]1C(=O)N(C)CN1C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000649159317 418204185 /nfs/dbraw/zinc/20/41/85/418204185.db2.gz LSXXKUVAXXCXDB-SECBINFHSA-N 0 2 318.308 0.977 20 0 DCADLN C[C@@]1(C[C@H]2CCCN2c2cc[nH+]c(C(=O)[O-])c2)NC(=O)NC1=O ZINC000650770956 418323378 /nfs/dbraw/zinc/32/33/78/418323378.db2.gz IWUXKWXCRSRNSA-BMIGLBTASA-N 0 2 318.333 0.737 20 0 DCADLN O=C([O-])c1cc(N[C@H]2CCC(=O)N[C@H]2[C@H]2CCCO2)cc[nH+]1 ZINC000650771011 418323778 /nfs/dbraw/zinc/32/37/78/418323778.db2.gz KOMKLJSNWAOPSL-ZKYQVNSYSA-N 0 2 305.334 0.440 20 0 DCADLN CCn1cnnc1[C@@H]1CN(c2cc[nH+]c(C(=O)[O-])c2)CCO1 ZINC000650767899 418323787 /nfs/dbraw/zinc/32/37/87/418323787.db2.gz HLZMHGNPHJCHDT-LBPRGKRZSA-N 0 2 303.322 0.969 20 0 DCADLN Cn1cc(N2CC[C@@H](Nc3cc[nH+]c(C(=O)[O-])c3)C2=O)cn1 ZINC000650767323 418323895 /nfs/dbraw/zinc/32/38/95/418323895.db2.gz QNMSMNKOAKYITL-LLVKDONJSA-N 0 2 301.306 0.153 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000649463877 418255793 /nfs/dbraw/zinc/25/57/93/418255793.db2.gz ADNCBKZZXHHWSM-RBSFLKMASA-N 0 2 318.373 0.897 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)Cc2ccc3c(c2)CCC3)[nH]n1 ZINC000650815516 418326212 /nfs/dbraw/zinc/32/62/12/418326212.db2.gz UGOUSZAOXXWEEB-UHFFFAOYSA-N 0 2 310.357 0.928 20 0 DCADLN Cn1cnnc1N1CC[NH+]([C@@H](C(=O)[O-])c2ccccc2)CC1 ZINC000656191887 418335339 /nfs/dbraw/zinc/33/53/39/418335339.db2.gz SVANULJNLWZANR-CYBMUJFWSA-N 0 2 301.350 0.763 20 0 DCADLN COc1cc(NS(=O)(=O)C[C@@H](OC)[C@H]2CCOC2)ncn1 ZINC000656731452 418374501 /nfs/dbraw/zinc/37/45/01/418374501.db2.gz PEHYJTIYCIWESD-VHSXEESVSA-N 0 2 317.367 0.278 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2)CCN1S(C)(=O)=O ZINC000656763874 418375036 /nfs/dbraw/zinc/37/50/36/418375036.db2.gz SJBGUQLHZOYQAI-LLVKDONJSA-N 0 2 312.391 0.851 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2)CCN1S(C)(=O)=O ZINC000656763874 418375037 /nfs/dbraw/zinc/37/50/37/418375037.db2.gz SJBGUQLHZOYQAI-LLVKDONJSA-N 0 2 312.391 0.851 20 0 DCADLN COc1ccc(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)cc1 ZINC000651492027 418383517 /nfs/dbraw/zinc/38/35/17/418383517.db2.gz AHMVJUVPYPBRNL-UHFFFAOYSA-N 0 2 317.349 0.544 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H](C)CCc2ccco2)[nH]1 ZINC000651496541 418384962 /nfs/dbraw/zinc/38/49/62/418384962.db2.gz BLIQHWZPEXTZEU-NSHDSACASA-N 0 2 319.365 0.950 20 0 DCADLN CC[C@@]1(C)CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCO1 ZINC000651741209 418407879 /nfs/dbraw/zinc/40/78/79/418407879.db2.gz AMIBSOGPIZNTKO-AWEZNQCLSA-N 0 2 309.370 0.247 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCC[C@@H]2C[C@H](C)O)[nH]1 ZINC000651762001 418408852 /nfs/dbraw/zinc/40/88/52/418408852.db2.gz URWZGAABNJNVFS-NWDGAFQWSA-N 0 2 323.397 0.371 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@@]3(C2)CCCOC3)[nH]1 ZINC000651778351 418410068 /nfs/dbraw/zinc/41/00/68/418410068.db2.gz UVFDURRVNIMQCJ-OAHLLOKOSA-N 0 2 321.381 0.249 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCCC[C@H]2C(F)F)[nH]1 ZINC000651773377 418410124 /nfs/dbraw/zinc/41/01/24/418410124.db2.gz HKFUFYDXOZUCJF-VIFPVBQESA-N 0 2 315.324 0.866 20 0 DCADLN CC(C)[C@H](O)C1(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651654331 418400702 /nfs/dbraw/zinc/40/07/02/418400702.db2.gz LOEMVVXVCLKKIQ-NSHDSACASA-N 0 2 323.397 0.133 20 0 DCADLN COCCN(C(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CCCC1 ZINC000651700690 418405029 /nfs/dbraw/zinc/40/50/29/418405029.db2.gz PFWQXZLUUARHFP-UHFFFAOYSA-N 0 2 323.397 0.637 20 0 DCADLN Cc1nc(=NCC2(O)CN(C(=O)OC(C)(C)C)C2)s[nH]1 ZINC000495797383 287604225 /nfs/dbraw/zinc/60/42/25/287604225.db2.gz DFLUJAZAFXPDMJ-UHFFFAOYSA-N 0 2 300.384 0.662 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2cnc(C)nc2)cn1 ZINC000354244737 261196459 /nfs/dbraw/zinc/19/64/59/261196459.db2.gz RBFZCWFDZAOAHM-UHFFFAOYSA-N 0 2 308.319 0.767 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc2c(F)cc(F)cc2c1O ZINC000354484249 261225081 /nfs/dbraw/zinc/22/50/81/261225081.db2.gz CRCACYPIDQPEJD-UHFFFAOYSA-N 0 2 321.243 0.560 20 0 DCADLN O=C(N=c1nc(-c2cccnc2)[nH]s1)c1cc2n(n1)CCO2 ZINC000355284996 261325642 /nfs/dbraw/zinc/32/56/42/261325642.db2.gz GMRRBSPEWOEXRJ-UHFFFAOYSA-N 0 2 314.330 0.863 20 0 DCADLN CC(C)S(=O)(=O)CC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000356014011 261382867 /nfs/dbraw/zinc/38/28/67/261382867.db2.gz NVWTYBRTSMIZPW-UHFFFAOYSA-N 0 2 324.362 0.939 20 0 DCADLN O=C(NCC(F)F)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000356018285 261382922 /nfs/dbraw/zinc/38/29/22/261382922.db2.gz WWAJHCOOBIMNRQ-UHFFFAOYSA-N 0 2 311.248 0.497 20 0 DCADLN CC(=O)Nc1cc(S(=O)(=O)Nc2cncnc2)ccc1O ZINC000362217466 262010952 /nfs/dbraw/zinc/01/09/52/262010952.db2.gz RONJUQIDNUBUAT-UHFFFAOYSA-N 0 2 308.319 0.941 20 0 DCADLN CCc1ccccc1[C@@H]([NH3+])CNC(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000417550481 262255299 /nfs/dbraw/zinc/25/52/99/262255299.db2.gz LKTSRARJDKABSR-ZBFHGGJFSA-N 0 2 320.437 0.879 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@](C)(C(N)=O)C2)c1 ZINC000424807400 262507831 /nfs/dbraw/zinc/50/78/31/262507831.db2.gz FDILLUHKKPCSLH-NYHFZMIOSA-N 0 2 324.402 0.527 20 0 DCADLN COC[C@@H](CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)OC ZINC000358652574 271064032 /nfs/dbraw/zinc/06/40/32/271064032.db2.gz QPVHEZLGEKCILN-LLVKDONJSA-N 0 2 307.306 0.421 20 0 DCADLN C[C@@H](NC(=O)c1cc(F)cc(Br)c1O)C(N)=O ZINC000436848082 271611816 /nfs/dbraw/zinc/61/18/16/271611816.db2.gz MYABTLNXBMSPCM-SCSAIBSYSA-N 0 2 305.103 0.897 20 0 DCADLN COC(=O)c1ncsc1S(=O)(=O)Nc1nccc(C)n1 ZINC000488454446 272044684 /nfs/dbraw/zinc/04/46/84/272044684.db2.gz YVFMZOAWXRCDLS-UHFFFAOYSA-N 0 2 314.348 0.829 20 0 DCADLN O=C(/C=C/c1cccnc1)NCC(=O)NOC[C@@H]1CCOC1 ZINC000492035813 272113973 /nfs/dbraw/zinc/11/39/73/272113973.db2.gz XPRHSZMETBGTFX-ITDFMYJTSA-N 0 2 305.334 0.295 20 0 DCADLN COC(=O)N[C@@H](C)C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000492255373 272118579 /nfs/dbraw/zinc/11/85/79/272118579.db2.gz BRJFGZJWSGGQNT-ZETCQYMHSA-N 0 2 306.278 0.713 20 0 DCADLN Cc1cccnc1/C=C/C(=O)NS(=O)(=O)c1ccnn1C ZINC000493079965 272167681 /nfs/dbraw/zinc/16/76/81/272167681.db2.gz DSIHAMWLQRKZJG-AATRIKPKSA-N 0 2 306.347 0.642 20 0 DCADLN C[C@@H](CNC(=O)OC(C)(C)C)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000495826354 272275705 /nfs/dbraw/zinc/27/57/05/272275705.db2.gz VXWWTPDKCMMQPL-ZETCQYMHSA-N 0 2 300.315 0.714 20 0 DCADLN C[C@@H](C(=O)OCc1n[nH]c(=O)[nH]1)N(C)C(=O)OC(C)(C)C ZINC000496255557 272302958 /nfs/dbraw/zinc/30/29/58/272302958.db2.gz QFIOBMHYOFMSRD-ZETCQYMHSA-N 0 2 300.315 0.809 20 0 DCADLN Cc1ccc(-c2nc(S(=O)(=O)C[C@@H]3CNC(=O)O3)n[nH]2)cc1 ZINC000497232193 272378039 /nfs/dbraw/zinc/37/80/39/272378039.db2.gz KZHIKFSCEGSGSL-JTQLQIEISA-N 0 2 322.346 0.662 20 0 DCADLN O=C(NC[C@@H]1CS(=O)(=O)CCO1)c1cc(F)c(O)c(F)c1 ZINC000545843594 288002695 /nfs/dbraw/zinc/00/26/95/288002695.db2.gz NRZBIIYCICDABT-MRVPVSSYSA-N 0 2 321.301 0.214 20 0 DCADLN Cn1cnc(NS(=O)(=O)Cc2cccc(F)c2)c1C(N)=O ZINC000546657631 288024391 /nfs/dbraw/zinc/02/43/91/288024391.db2.gz SQQCIGZUCMXHRE-UHFFFAOYSA-N 0 2 312.326 0.600 20 0 DCADLN CN1CC[C@@H](NC(=O)N=c2nc(-c3ccccc3)[nH]s2)C1=O ZINC000547448567 288047354 /nfs/dbraw/zinc/04/73/54/288047354.db2.gz ZLEPXJQIHHFSOA-SNVBAGLBSA-N 0 2 317.374 0.979 20 0 DCADLN CC(=O)N[C@H](C)C(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000550095684 288210193 /nfs/dbraw/zinc/21/01/93/288210193.db2.gz BKPYSOJHWLOHJK-MRVPVSSYSA-N 0 2 303.322 0.949 20 0 DCADLN CC1(C)CCC[C@@H]1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000174996680 281033578 /nfs/dbraw/zinc/03/35/78/281033578.db2.gz FZTYRVLPDFIXQV-JTQLQIEISA-N 0 2 302.334 0.920 20 0 DCADLN COCCN(C)S(=O)(=O)Nc1ccccc1C(=O)N(C)C ZINC000559642707 288477177 /nfs/dbraw/zinc/47/71/77/288477177.db2.gz IEEQTDNAIDSPKQ-UHFFFAOYSA-N 0 2 315.395 0.623 20 0 DCADLN CN1CCO[C@H]2CN(C(=O)c3cc(F)c(F)c(O)c3F)C[C@@H]21 ZINC000408205686 290118820 /nfs/dbraw/zinc/11/88/20/290118820.db2.gz YLRIZWPPBOCGSX-UWVGGRQHSA-N 0 2 316.279 0.965 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(C)CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000339613829 301143378 /nfs/dbraw/zinc/14/33/78/301143378.db2.gz SWWKYSGPHFOMHS-AWEZNQCLSA-N 0 2 304.306 0.653 20 0 DCADLN O=C([O-])C[C@@H]1CCCN1c1cc(N2CCC[C@H]2CO)nc[nH+]1 ZINC000580201640 333002291 /nfs/dbraw/zinc/00/22/91/333002291.db2.gz XSQMVXZWTANTOY-RYUDHWBXSA-N 0 2 306.366 0.881 20 0 DCADLN O=C([O-])C[C@@H]1CCCN1c1cc(N2CCC[C@H]2CO)[nH+]cn1 ZINC000580201640 333002292 /nfs/dbraw/zinc/00/22/92/333002292.db2.gz XSQMVXZWTANTOY-RYUDHWBXSA-N 0 2 306.366 0.881 20 0 DCADLN CCNC(=O)CC(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000582616073 337115275 /nfs/dbraw/zinc/11/52/75/337115275.db2.gz GKHCMCKIRTWXFN-UHFFFAOYSA-N 0 2 303.322 0.950 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@]1(O)CCOC1 ZINC000583213905 337274195 /nfs/dbraw/zinc/27/41/95/337274195.db2.gz PFWZXVTVZRMSCY-CQSZACIVSA-N 0 2 304.306 0.576 20 0 DCADLN CO[C@@](C)(CNC(=O)N1CC[N@H+](C)C[C@H]1C[NH3+])c1ccccc1 ZINC000584400504 337318671 /nfs/dbraw/zinc/31/86/71/337318671.db2.gz PKUFQBYAOJPWOB-WBVHZDCISA-N 0 2 320.437 0.833 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1ncnn1-c1ccccc1)OC ZINC000576470320 341848077 /nfs/dbraw/zinc/84/80/77/341848077.db2.gz WNZGONSWEZJLAZ-LBPRGKRZSA-N 0 2 310.379 0.856 20 0 DCADLN COC(=O)c1cn([C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC000365686027 522326790 /nfs/dbraw/zinc/32/67/90/522326790.db2.gz IQHKBIHAQZCDRP-HTRCEHHLSA-N 0 2 324.234 0.739 20 0 DCADLN COC(=O)c1cn([C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000365686027 522326802 /nfs/dbraw/zinc/32/68/02/522326802.db2.gz IQHKBIHAQZCDRP-HTRCEHHLSA-N 0 2 324.234 0.739 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2ccccc2)CC1)[N@H+](C)CC(=O)[O-] ZINC000130177664 524125577 /nfs/dbraw/zinc/12/55/77/524125577.db2.gz TVGMOOAPCHFNCC-ZDUSSCGKSA-N 0 2 305.378 0.740 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2ccccc2)CC1)[N@@H+](C)CC(=O)[O-] ZINC000130177664 524125588 /nfs/dbraw/zinc/12/55/88/524125588.db2.gz TVGMOOAPCHFNCC-ZDUSSCGKSA-N 0 2 305.378 0.740 20 0 DCADLN C[C@@H]1CCNC(=O)[C@H]1NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000195162339 524529563 /nfs/dbraw/zinc/52/95/63/524529563.db2.gz KTSPCJLLWRFABJ-MFKMUULPSA-N 0 2 314.345 0.832 20 0 DCADLN CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000497305121 536492273 /nfs/dbraw/zinc/49/22/73/536492273.db2.gz JUUMVQGZOLFOEO-ZETCQYMHSA-N 0 2 316.314 0.093 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCO[C@]2(CCc3ccccc32)C1 ZINC000119458399 545738514 /nfs/dbraw/zinc/73/85/14/545738514.db2.gz XPCQZKUXMCLTKK-OAHLLOKOSA-N 0 2 300.318 0.412 20 0 DCADLN O=c1cc(CN2CCO[C@H]([C@@H]3CCCO3)C2)nc2cc[nH]n21 ZINC000152365460 545823286 /nfs/dbraw/zinc/82/32/86/545823286.db2.gz GEGFABNLLDTKAV-STQMWFEESA-N 0 2 304.350 0.402 20 0 DCADLN CN1CCC[C@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)C1=O ZINC000294484084 546012521 /nfs/dbraw/zinc/01/25/21/546012521.db2.gz IQNZVJZTVMZWCM-LLVKDONJSA-N 0 2 316.317 0.837 20 0 DCADLN CCc1cc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n2ncnc2n1 ZINC000339970107 546109307 /nfs/dbraw/zinc/10/93/07/546109307.db2.gz YXMVLOKUBBMLSG-VIFPVBQESA-N 0 2 314.353 0.894 20 0 DCADLN Cn1[n-]c(C(=O)NCc2ccc[nH+]c2N2CCOCC2)cc1=O ZINC000666023066 546420539 /nfs/dbraw/zinc/42/05/39/546420539.db2.gz FVGLLHVXQLIJPD-UHFFFAOYSA-N 0 2 317.349 0.287 20 0 DCADLN Cc1noc(C)c1C[NH+]1CCN(C(=O)c2cc(=O)n(C)[n-]2)CC1 ZINC000666430879 546469240 /nfs/dbraw/zinc/46/92/40/546469240.db2.gz ANRMKIOBXDVWDK-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)s1 ZINC000666974914 546536800 /nfs/dbraw/zinc/53/68/00/546536800.db2.gz BZDFPGBVBMBWGT-ZMONIFLSSA-N 0 2 302.381 0.894 20 0 DCADLN Cc1ccoc1CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000666975705 546538583 /nfs/dbraw/zinc/53/85/83/546538583.db2.gz WQHGNMPNVPYYRM-UHFFFAOYSA-N 0 2 316.364 0.992 20 0 DCADLN C[C@@H](NC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@@H](C)[NH+]1CCOCC1 ZINC000668318688 546727873 /nfs/dbraw/zinc/72/78/73/546727873.db2.gz SRNHUTKQQVQYRL-NXEZZACHSA-N 0 2 320.349 0.767 20 0 DCADLN COCCN1CN(C(=O)c2c(O)cc(F)cc2F)CC1=O ZINC000669944090 547034130 /nfs/dbraw/zinc/03/41/30/547034130.db2.gz MUIFETOLLHBVKJ-UHFFFAOYSA-N 0 2 300.261 0.559 20 0 DCADLN Cn1cnc2c1ncnc2N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000672271826 547336754 /nfs/dbraw/zinc/33/67/54/547336754.db2.gz RLSIIAOUDAUDGY-UHFFFAOYSA-N 0 2 300.326 0.571 20 0 DCADLN CC[C@@H]1CN(C(=O)c2n[nH]c(C)c2O)C[C@H](C)S1(=O)=O ZINC000672514979 547368583 /nfs/dbraw/zinc/36/85/83/547368583.db2.gz TVVCNRDDAIXJEF-IONNQARKSA-N 0 2 301.368 0.461 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc2c(c1)C(=O)NCC2 ZINC000673473468 547482055 /nfs/dbraw/zinc/48/20/55/547482055.db2.gz SWVZEOMPOBZOSQ-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1nc(N2CCCC2)ncc1Cl ZINC000673919257 547538684 /nfs/dbraw/zinc/53/86/84/547538684.db2.gz MFIFDNSHZPCTEO-UHFFFAOYSA-N 0 2 323.744 0.484 20 0 DCADLN CC(C)(C)C(=O)N1CCC[C@H]1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000673944025 547543942 /nfs/dbraw/zinc/54/39/42/547543942.db2.gz QWTOHAADWKYSHS-JTQLQIEISA-N 0 2 323.397 0.596 20 0 DCADLN O=C(CCCn1c(=O)oc2ccccc21)NCc1n[nH]c(=O)[nH]1 ZINC000673949873 547545328 /nfs/dbraw/zinc/54/53/28/547545328.db2.gz YTOSIKREXJKYKO-UHFFFAOYSA-N 0 2 317.305 0.515 20 0 DCADLN CCC[C@H](C)NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000674485829 547597960 /nfs/dbraw/zinc/59/79/60/547597960.db2.gz BFWCFIHALFJTKS-ZETCQYMHSA-N 0 2 312.351 0.903 20 0 DCADLN COC[C@@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000674592334 547609903 /nfs/dbraw/zinc/60/99/03/547609903.db2.gz ZJOXITIFKREICP-VIFPVBQESA-N 0 2 304.306 0.687 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000675278975 547672306 /nfs/dbraw/zinc/67/23/06/547672306.db2.gz FOMWTQGOBWPAKY-MRVPVSSYSA-N 0 2 311.244 0.047 20 0 DCADLN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)c2n[nH]c(C)c2O)C1 ZINC000676696388 547804910 /nfs/dbraw/zinc/80/49/10/547804910.db2.gz XUTPQJRTAGQJKX-ONGXEEELSA-N 0 2 324.381 0.427 20 0 DCADLN Cc1n[nH]c2cc(NC(=O)CC[C@H]3NC(=O)NC3=O)ccc12 ZINC000678330152 547964140 /nfs/dbraw/zinc/96/41/40/547964140.db2.gz TWWFTOSRPOFOEM-SNVBAGLBSA-N 0 2 301.306 0.798 20 0 DCADLN Cc1[nH]nc2cc(NC(=O)CC[C@H]3NC(=O)NC3=O)ccc12 ZINC000678330152 547964144 /nfs/dbraw/zinc/96/41/44/547964144.db2.gz TWWFTOSRPOFOEM-SNVBAGLBSA-N 0 2 301.306 0.798 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N3CC=CCC3)cc-2c(=O)[nH]1 ZINC000679289611 548039540 /nfs/dbraw/zinc/03/95/40/548039540.db2.gz JCOWJBDGQYOZNM-UHFFFAOYSA-N 0 2 308.319 0.387 20 0 DCADLN Cn1ncc(Cl)c1S(=O)(=O)Nc1cnn(CCO)c1 ZINC000684652723 548636532 /nfs/dbraw/zinc/63/65/32/548636532.db2.gz BKBRFFSGZKXCFM-UHFFFAOYSA-N 0 2 305.747 0.063 20 0 DCADLN CC(C)[C@@H](C)Sc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000820021083 599098796 /nfs/dbraw/zinc/09/87/96/599098796.db2.gz PCIKTKMGLULNMA-SSDOTTSWSA-N 0 2 310.383 0.401 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000818120763 597065457 /nfs/dbraw/zinc/06/54/57/597065457.db2.gz PLPNLQBPJXXJLJ-OLZOCXBDSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000818120763 597065458 /nfs/dbraw/zinc/06/54/58/597065458.db2.gz PLPNLQBPJXXJLJ-OLZOCXBDSA-N 0 2 313.398 0.603 20 0 DCADLN O=C([O-])CSCC(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000740485738 597095191 /nfs/dbraw/zinc/09/51/91/597095191.db2.gz LIFPPXFGHAISMO-GFCCVEGCSA-N 0 2 316.423 0.518 20 0 DCADLN O=C([O-])CSCC(=O)N[C@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000819539603 597184063 /nfs/dbraw/zinc/18/40/63/597184063.db2.gz AZKVTDODUHUVFQ-NWDGAFQWSA-N 0 2 316.423 0.564 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2ccccc2C(=O)[O-])CC1 ZINC000820353834 598173268 /nfs/dbraw/zinc/17/32/68/598173268.db2.gz GDEADVXIINIFBV-UHFFFAOYSA-N 0 2 305.334 0.487 20 0 DCADLN CCC(CC)CNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC000736345286 598243755 /nfs/dbraw/zinc/24/37/55/598243755.db2.gz HINQNFOIPPLBSQ-UHFFFAOYSA-N 0 2 307.358 0.112 20 0 DCADLN CCCNC(=O)Cn1nc(CC)c(CC)c(-c2nn[nH]n2)c1=O ZINC000736483539 598379102 /nfs/dbraw/zinc/37/91/02/598379102.db2.gz NBLISHCEFNOYCP-UHFFFAOYSA-N 0 2 319.369 0.074 20 0 DCADLN CCc1nn(C[C@H]2CCOC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000736705604 598641729 /nfs/dbraw/zinc/64/17/29/598641729.db2.gz LLAYBJFQLWZRTM-SECBINFHSA-N 0 2 304.354 0.585 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2cccc(-c3nn[nH]n3)c2)cn1 ZINC000737502533 598664031 /nfs/dbraw/zinc/66/40/31/598664031.db2.gz XBCHLVXAECEBKX-UHFFFAOYSA-N 0 2 317.334 0.766 20 0 DCADLN O=C([O-])[C@H]1C[N@H+](CCc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000738549106 599966113 /nfs/dbraw/zinc/96/61/13/599966113.db2.gz QCRUIUCQURBGMT-GFCCVEGCSA-N 0 2 303.318 0.663 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+](CCc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000738549106 599966114 /nfs/dbraw/zinc/96/61/14/599966114.db2.gz QCRUIUCQURBGMT-GFCCVEGCSA-N 0 2 303.318 0.663 20 0 DCADLN Cc1cc(N2CCc3c([nH+]cn3C)C2)n2nc(C(=O)[O-])nc2n1 ZINC000738407479 599969513 /nfs/dbraw/zinc/96/95/13/599969513.db2.gz WENBGQQCGCNHRU-UHFFFAOYSA-N 0 2 313.321 0.427 20 0 DCADLN CCN(CC)C(=O)C[N@H+](C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000315734859 600047758 /nfs/dbraw/zinc/04/77/58/600047758.db2.gz GDBNFLHAWNCZHW-UHFFFAOYSA-N 0 2 313.398 0.110 20 0 DCADLN CCN(CC)C(=O)C[N@@H+](C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000315734859 600047761 /nfs/dbraw/zinc/04/77/61/600047761.db2.gz GDBNFLHAWNCZHW-UHFFFAOYSA-N 0 2 313.398 0.110 20 0 DCADLN Cc1[nH]c(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)c(C)c1C(=O)[O-] ZINC000738153396 600076775 /nfs/dbraw/zinc/07/67/75/600076775.db2.gz CCVVZELUXCAMSZ-JTQLQIEISA-N 0 2 322.409 0.695 20 0 DCADLN COCC[N@H+](CC(=O)[O-])Cc1cc(=O)n2cccc(C)c2n1 ZINC000314671450 600394922 /nfs/dbraw/zinc/39/49/22/600394922.db2.gz OXLHLELTLKWEAP-UHFFFAOYSA-N 0 2 305.334 0.536 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])Cc1cc(=O)n2cccc(C)c2n1 ZINC000314671450 600394926 /nfs/dbraw/zinc/39/49/26/600394926.db2.gz OXLHLELTLKWEAP-UHFFFAOYSA-N 0 2 305.334 0.536 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CCC[C@H](OCCO)C2)c(C)c1C(=O)[O-] ZINC000738153995 600627794 /nfs/dbraw/zinc/62/77/94/600627794.db2.gz QNWQQUGIAZZLHO-LBPRGKRZSA-N 0 2 324.377 0.986 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CCC[C@H](OCCO)C2)c(C)c1C(=O)[O-] ZINC000738153995 600627797 /nfs/dbraw/zinc/62/77/97/600627797.db2.gz QNWQQUGIAZZLHO-LBPRGKRZSA-N 0 2 324.377 0.986 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@H+]1CCC[C@@H](OCCO)C1 ZINC000738465791 600629116 /nfs/dbraw/zinc/62/91/16/600629116.db2.gz MEELFTZVOHMTCS-GFCCVEGCSA-N 0 2 322.361 0.797 20 0 DCADLN O=C([O-])c1ccccc1NC(=O)C[N@@H+]1CCC[C@@H](OCCO)C1 ZINC000738465791 600629118 /nfs/dbraw/zinc/62/91/18/600629118.db2.gz MEELFTZVOHMTCS-GFCCVEGCSA-N 0 2 322.361 0.797 20 0 DCADLN CC[N@H+](C)[C@H](C(=O)OCC(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608225 600683307 /nfs/dbraw/zinc/68/33/07/600683307.db2.gz HAFRQQQNORIXPQ-AWEZNQCLSA-N 0 2 308.334 0.423 20 0 DCADLN CC[N@@H+](C)[C@H](C(=O)OCC(=O)NCC(=O)[O-])c1ccccc1 ZINC000829608225 600683309 /nfs/dbraw/zinc/68/33/09/600683309.db2.gz HAFRQQQNORIXPQ-AWEZNQCLSA-N 0 2 308.334 0.423 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N1CCC[C@H](C(=O)[O-])C1)[NH+]1CCOCC1 ZINC000736646997 600746474 /nfs/dbraw/zinc/74/64/74/600746474.db2.gz ZRKLALHTIMWBFX-XQQFMLRXSA-N 0 2 313.398 0.602 20 0 DCADLN CN(CC(F)(F)F)C(=O)C[N@@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000830706105 601021922 /nfs/dbraw/zinc/02/19/22/601021922.db2.gz CCOASBXTQBJGQU-QMMMGPOBSA-N 0 2 314.329 0.899 20 0 DCADLN CN(CC(F)(F)F)C(=O)C[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000830706105 601021926 /nfs/dbraw/zinc/02/19/26/601021926.db2.gz CCOASBXTQBJGQU-QMMMGPOBSA-N 0 2 314.329 0.899 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(C(=O)[O-])nc2)C[C@@H]1[NH+]1CCOCC1 ZINC000736805247 601109248 /nfs/dbraw/zinc/10/92/48/601109248.db2.gz BSJZKPLDTGQKSO-RISCZKNCSA-N 0 2 319.361 0.573 20 0 DCADLN C/C(=C/C[N@H+](C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000825729868 601165062 /nfs/dbraw/zinc/16/50/62/601165062.db2.gz NNQIQCDHYXVSMC-GKADRNHJSA-N 0 2 317.407 0.685 20 0 DCADLN C/C(=C/C[N@@H+](C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000825729868 601165063 /nfs/dbraw/zinc/16/50/63/601165063.db2.gz NNQIQCDHYXVSMC-GKADRNHJSA-N 0 2 317.407 0.685 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](CC(=O)N2CCO[C@H]3CCCC[C@H]32)C1 ZINC000833107982 601446731 /nfs/dbraw/zinc/44/67/31/601446731.db2.gz ZBZKNPTXWSFDEW-TYNCELHUSA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](CC(=O)N2CCO[C@H]3CCCC[C@H]32)C1 ZINC000833107982 601446733 /nfs/dbraw/zinc/44/67/33/601446733.db2.gz ZBZKNPTXWSFDEW-TYNCELHUSA-N 0 2 314.357 0.655 20 0 DCADLN CC(C)(CNC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000826580376 601673847 /nfs/dbraw/zinc/67/38/47/601673847.db2.gz KCAFPVXLWBSUPK-UHFFFAOYSA-N 0 2 301.387 0.507 20 0 DCADLN CC(C)(CNC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000826580376 601673849 /nfs/dbraw/zinc/67/38/49/601673849.db2.gz KCAFPVXLWBSUPK-UHFFFAOYSA-N 0 2 301.387 0.507 20 0 DCADLN C[C@H](NC(=O)NCC(C)(C)C(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000827658742 601673907 /nfs/dbraw/zinc/67/39/07/601673907.db2.gz IVIWMUGLXVAGLJ-QWRGUYRKSA-N 0 2 301.387 0.506 20 0 DCADLN CCOCCNC(=O)CO[NH+]=C(N)c1cccc(C(=O)[O-])c1 ZINC000830011661 601799213 /nfs/dbraw/zinc/79/92/13/601799213.db2.gz KSJKFQTUYRPYNN-UHFFFAOYSA-N 0 2 309.322 0.384 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000832994793 601903247 /nfs/dbraw/zinc/90/32/47/601903247.db2.gz MYTXJBNUOPBPSM-SNVBAGLBSA-N 0 2 322.365 0.407 20 0 DCADLN Cc1[nH+]c(C(=O)[O-])cn1CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000832994792 601903502 /nfs/dbraw/zinc/90/35/02/601903502.db2.gz MYTXJBNUOPBPSM-JTQLQIEISA-N 0 2 322.365 0.407 20 0 DCADLN CN(C)C(=O)CN1CC[NH+](Cc2ccc(C(=O)[O-])s2)CC1 ZINC000830551591 601939228 /nfs/dbraw/zinc/93/92/28/601939228.db2.gz DIUONOVBHCCDGL-UHFFFAOYSA-N 0 2 311.407 0.652 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000736209336 602050579 /nfs/dbraw/zinc/05/05/79/602050579.db2.gz SHMPRWNPBCZKJM-VHSXEESVSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000736209336 602050583 /nfs/dbraw/zinc/05/05/83/602050583.db2.gz SHMPRWNPBCZKJM-VHSXEESVSA-N 0 2 315.370 0.175 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000827461066 602068472 /nfs/dbraw/zinc/06/84/72/602068472.db2.gz LPMUUIVSBXWCTR-WFASDCNBSA-N 0 2 312.414 0.129 20 0 DCADLN O=C([O-])c1ccc(NC(=O)N2CC[NH+](CCO)CC2)c(F)c1 ZINC000315856358 602101119 /nfs/dbraw/zinc/10/11/19/602101119.db2.gz AEENIMSXKUWKNT-UHFFFAOYSA-N 0 2 311.313 0.666 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000736367257 602521193 /nfs/dbraw/zinc/52/11/93/602521193.db2.gz ZMMJPFKEDUXQAR-UTUOFQBUSA-N 0 2 301.387 0.504 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(C(C)(C)C)n[nH]2)[C@@H](CNC(=O)[O-])C1 ZINC000828507642 603510899 /nfs/dbraw/zinc/51/08/99/603510899.db2.gz RLSPJBRAEUJQJJ-JTQLQIEISA-N 0 2 323.397 0.731 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(C(C)(C)C)n[nH]2)[C@@H](CNC(=O)[O-])C1 ZINC000828507642 603510902 /nfs/dbraw/zinc/51/09/02/603510902.db2.gz RLSPJBRAEUJQJJ-JTQLQIEISA-N 0 2 323.397 0.731 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000826094295 603647850 /nfs/dbraw/zinc/64/78/50/603647850.db2.gz VYDXNAGOJCZLAR-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000826094295 603647853 /nfs/dbraw/zinc/64/78/53/603647853.db2.gz VYDXNAGOJCZLAR-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)N(C)CC[NH+]2CCN(C(=O)[O-])CC2)O1 ZINC000826660270 603660016 /nfs/dbraw/zinc/66/00/16/603660016.db2.gz JWSWNVYRGRGHIW-STQMWFEESA-N 0 2 313.398 0.698 20 0 DCADLN C[C@H]1CN(C(=O)C(C)(C)CNC(=O)[O-])C[C@H]1[NH+]1CCOCC1 ZINC000825970627 603690324 /nfs/dbraw/zinc/69/03/24/603690324.db2.gz WKSXNRAJQLIDPK-NWDGAFQWSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H](O)CCN(C)C(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000825523084 603696503 /nfs/dbraw/zinc/69/65/03/603696503.db2.gz ZPTACVQHMJBQEY-LLVKDONJSA-N 0 2 301.387 0.290 20 0 DCADLN CNC(=O)Cc1noc(C[N@H+](C)CC(C)(C)CNC(=O)[O-])n1 ZINC000828546911 603780300 /nfs/dbraw/zinc/78/03/00/603780300.db2.gz ILHYSNUHHIOTLV-UHFFFAOYSA-N 0 2 313.358 0.084 20 0 DCADLN CNC(=O)Cc1noc(C[N@@H+](C)CC(C)(C)CNC(=O)[O-])n1 ZINC000828546911 603780303 /nfs/dbraw/zinc/78/03/03/603780303.db2.gz ILHYSNUHHIOTLV-UHFFFAOYSA-N 0 2 313.358 0.084 20 0 DCADLN O=C([O-])N1CC(CC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)C1 ZINC000831842061 603856048 /nfs/dbraw/zinc/85/60/48/603856048.db2.gz NCNQQPFTGHQRJE-ZDUSSCGKSA-N 0 2 311.382 0.310 20 0 DCADLN COCC[N@@H+]1CCN(C(=O)[C@]2(F)CCN(C(=O)[O-])C2)C[C@@H]1C ZINC000828992555 603900776 /nfs/dbraw/zinc/90/07/76/603900776.db2.gz QGZGBRUVBAXLNI-FZMZJTMJSA-N 0 2 317.361 0.258 20 0 DCADLN COCC[N@H+]1CCN(C(=O)[C@]2(F)CCN(C(=O)[O-])C2)C[C@@H]1C ZINC000828992555 603900780 /nfs/dbraw/zinc/90/07/80/603900780.db2.gz QGZGBRUVBAXLNI-FZMZJTMJSA-N 0 2 317.361 0.258 20 0 DCADLN C[C@@H](CNC(=O)[O-])[N@H+](C)CC(=O)NCC(=O)c1ccccc1 ZINC000824966569 603921332 /nfs/dbraw/zinc/92/13/32/603921332.db2.gz IFKJRMFUYMFSTH-NSHDSACASA-N 0 2 307.350 0.573 20 0 DCADLN C[C@@H](CNC(=O)[O-])[N@@H+](C)CC(=O)NCC(=O)c1ccccc1 ZINC000824966569 603921333 /nfs/dbraw/zinc/92/13/33/603921333.db2.gz IFKJRMFUYMFSTH-NSHDSACASA-N 0 2 307.350 0.573 20 0 DCADLN CC1(C)CC(=O)N(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])C1=O ZINC000825708601 603923560 /nfs/dbraw/zinc/92/35/60/603923560.db2.gz WMYYLMGNYQUCSN-MNOVXSKESA-N 0 2 309.366 0.946 20 0 DCADLN CC1(C)CC(=O)N(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])C1=O ZINC000825708601 603923564 /nfs/dbraw/zinc/92/35/64/603923564.db2.gz WMYYLMGNYQUCSN-MNOVXSKESA-N 0 2 309.366 0.946 20 0 DCADLN C[C@@]1(C(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)CCN(C(=O)[O-])C1 ZINC000825651353 603968293 /nfs/dbraw/zinc/96/82/93/603968293.db2.gz XSOUMPJFHLFKJR-IUODEOHRSA-N 0 2 311.382 0.310 20 0 DCADLN O=C([O-])NC[C@H](C(=O)N1CC[NH+](CCO)CC1)c1ccccc1 ZINC000832402049 604160388 /nfs/dbraw/zinc/16/03/88/604160388.db2.gz FGMVOGWKUKQRNV-AWEZNQCLSA-N 0 2 321.377 0.174 20 0 DCADLN COC[C@@H](C)CC(=O)N(C)CC[NH+]1CCN(C(=O)[O-])CC1 ZINC000828896404 604207344 /nfs/dbraw/zinc/20/73/44/604207344.db2.gz JSUMRPHTSIOSIM-LBPRGKRZSA-N 0 2 301.387 0.413 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000831726244 604306424 /nfs/dbraw/zinc/30/64/24/604306424.db2.gz ZNHWEPWXIFQMKW-LLVKDONJSA-N 0 2 304.306 0.329 20 0 DCADLN C[C@@H]1CCN(C(=O)[C@H]2COCCN2C(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000825939735 604325936 /nfs/dbraw/zinc/32/59/36/604325936.db2.gz WVMCJODGWRRPJU-JHJVBQTASA-N 0 2 322.365 0.671 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])O1 ZINC000825872524 604405408 /nfs/dbraw/zinc/40/54/08/604405408.db2.gz PCAFJRMYNCHTIT-UPJWGTAASA-N 0 2 313.398 0.744 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])O1 ZINC000825872524 604405410 /nfs/dbraw/zinc/40/54/10/604405410.db2.gz PCAFJRMYNCHTIT-UPJWGTAASA-N 0 2 313.398 0.744 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2CCCc3n[nH]cc32)[C@H](CNC(=O)[O-])C1 ZINC000828496496 604411713 /nfs/dbraw/zinc/41/17/13/604411713.db2.gz XMLDXQVTKGMPKH-GHMZBOCLSA-N 0 2 321.381 0.240 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2CCCc3n[nH]cc32)[C@H](CNC(=O)[O-])C1 ZINC000828496496 604411715 /nfs/dbraw/zinc/41/17/15/604411715.db2.gz XMLDXQVTKGMPKH-GHMZBOCLSA-N 0 2 321.381 0.240 20 0 DCADLN O=C([O-])c1csc(NC(=O)C(=O)N2CC[NH+](C3CC3)CC2)c1 ZINC000833150588 604532311 /nfs/dbraw/zinc/53/23/11/604532311.db2.gz HVHAELDMLVJNPG-UHFFFAOYSA-N 0 2 323.374 0.691 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(C(=O)Nc2ccn(CC(=O)[O-])n2)C1 ZINC000833760555 604594658 /nfs/dbraw/zinc/59/46/58/604594658.db2.gz PXXNVKDQNSMCAD-JTQLQIEISA-N 0 2 318.337 0.723 20 0 DCADLN COc1ccccc1NC(=O)C[NH+]1CCC(O)(C(=O)[O-])CC1 ZINC000833736483 604729056 /nfs/dbraw/zinc/72/90/56/604729056.db2.gz LTCTWNGVPHNSTI-UHFFFAOYSA-N 0 2 308.334 0.545 20 0 DCADLN O=C([O-])N1CCO[C@@H](CC(=O)N2CCC(n3cc[nH+]c3)CC2)C1 ZINC000832061208 604794463 /nfs/dbraw/zinc/79/44/63/604794463.db2.gz WRTIMVBFNMWJGB-ZDUSSCGKSA-N 0 2 322.365 0.816 20 0 DCADLN CC(C)(C)c1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])[nH]n1 ZINC000833447118 604896602 /nfs/dbraw/zinc/89/66/02/604896602.db2.gz YPVCPAHPMCSZSJ-JTQLQIEISA-N 0 2 305.338 0.856 20 0 DCADLN CC(C)(C)c1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])[nH]n1 ZINC000833447118 604896606 /nfs/dbraw/zinc/89/66/06/604896606.db2.gz YPVCPAHPMCSZSJ-JTQLQIEISA-N 0 2 305.338 0.856 20 0 DCADLN CC(C)[C@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])N1CCCC1=O ZINC000833464594 604896878 /nfs/dbraw/zinc/89/68/78/604896878.db2.gz ABYGJZNNXQKKEQ-WCQYABFASA-N 0 2 322.365 0.169 20 0 DCADLN CC(C)[C@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])N1CCCC1=O ZINC000833464594 604896879 /nfs/dbraw/zinc/89/68/79/604896879.db2.gz ABYGJZNNXQKKEQ-WCQYABFASA-N 0 2 322.365 0.169 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CC(=O)NC1(C(=O)OC)CCCCC1 ZINC000829473026 604966526 /nfs/dbraw/zinc/96/65/26/604966526.db2.gz LGMSMLGKKQZXKA-UHFFFAOYSA-N 0 2 314.382 0.775 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CC(=O)NC1(C(=O)OC)CCCCC1 ZINC000829473026 604966528 /nfs/dbraw/zinc/96/65/28/604966528.db2.gz LGMSMLGKKQZXKA-UHFFFAOYSA-N 0 2 314.382 0.775 20 0 DCADLN C[C@@H](C(=O)Nc1nccs1)[NH+]1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833411983 604981832 /nfs/dbraw/zinc/98/18/32/604981832.db2.gz RQXYNELYHJOWLF-WCBMZHEXSA-N 0 2 313.379 0.628 20 0 DCADLN COc1ccc(O)c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000314082346 605077593 /nfs/dbraw/zinc/07/75/93/605077593.db2.gz FPNGBFZFSHLHCY-NSHDSACASA-N 0 2 305.290 0.550 20 0 DCADLN COc1ccc(O)c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000314082346 605077602 /nfs/dbraw/zinc/07/76/02/605077602.db2.gz FPNGBFZFSHLHCY-NSHDSACASA-N 0 2 305.290 0.550 20 0 DCADLN CC(C)n1nccc1NC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824534381 605450798 /nfs/dbraw/zinc/45/07/98/605450798.db2.gz JFVUHUSRVJRDTE-NSHDSACASA-N 0 2 324.385 0.880 20 0 DCADLN CC(C)n1nccc1NC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000824534381 605450801 /nfs/dbraw/zinc/45/08/01/605450801.db2.gz JFVUHUSRVJRDTE-NSHDSACASA-N 0 2 324.385 0.880 20 0 DCADLN O=C([O-])N1CCC[C@H]1C[NH+]1CCN(C(=O)c2ccccn2)CC1 ZINC000834286734 605511817 /nfs/dbraw/zinc/51/18/17/605511817.db2.gz CVTQMHWRTCPFSI-ZDUSSCGKSA-N 0 2 318.377 0.982 20 0 DCADLN O=C([O-])c1cn(C2CN(C(=O)c3cccc4[nH+]ccn43)C2)nn1 ZINC000833783955 605537932 /nfs/dbraw/zinc/53/79/32/605537932.db2.gz ORXRDSCGLKBHOZ-UHFFFAOYSA-N 0 2 312.289 0.321 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)c1cnc(C(=O)[O-])cn1 ZINC000833561256 605565101 /nfs/dbraw/zinc/56/51/01/605565101.db2.gz WFGQFFYRUSAATK-UHFFFAOYSA-N 0 2 308.338 0.015 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)c1cnc(C(=O)[O-])cn1 ZINC000833561256 605565104 /nfs/dbraw/zinc/56/51/04/605565104.db2.gz WFGQFFYRUSAATK-UHFFFAOYSA-N 0 2 308.338 0.015 20 0 DCADLN O=C([O-])N1CCC[C@H](Nc2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000739928855 605625848 /nfs/dbraw/zinc/62/58/48/605625848.db2.gz XQZOHZIYRZVDRQ-RYUDHWBXSA-N 0 2 321.381 0.992 20 0 DCADLN O=C([O-])N1CCC[C@H](Nc2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000739928855 605625851 /nfs/dbraw/zinc/62/58/51/605625851.db2.gz XQZOHZIYRZVDRQ-RYUDHWBXSA-N 0 2 321.381 0.992 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000736418961 605706435 /nfs/dbraw/zinc/70/64/35/605706435.db2.gz CZLOASNRJNOOMC-OLZOCXBDSA-N 0 2 314.430 0.421 20 0 DCADLN O=C([O-])NC[C@@H]1CCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000834218169 605787688 /nfs/dbraw/zinc/78/76/88/605787688.db2.gz GYNBNBDDAPKYBD-RYUDHWBXSA-N 0 2 306.366 0.952 20 0 DCADLN Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@@H](CNC(=O)[O-])C1CC1)C2 ZINC000833917538 605856608 /nfs/dbraw/zinc/85/66/08/605856608.db2.gz ZWTPKTBVLOHTHF-GWCFXTLKSA-N 0 2 306.366 0.985 20 0 DCADLN Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@@H](CNC(=O)[O-])C1CC1)CC2 ZINC000833917538 605856611 /nfs/dbraw/zinc/85/66/11/605856611.db2.gz ZWTPKTBVLOHTHF-GWCFXTLKSA-N 0 2 306.366 0.985 20 0 DCADLN Cc1cncc(CNC(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000833911317 605971572 /nfs/dbraw/zinc/97/15/72/605971572.db2.gz BIIDARXCQUSNKX-ZDUSSCGKSA-N 0 2 321.381 0.483 20 0 DCADLN Cc1cncc(CNC(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])c1 ZINC000833911317 605971573 /nfs/dbraw/zinc/97/15/73/605971573.db2.gz BIIDARXCQUSNKX-ZDUSSCGKSA-N 0 2 321.381 0.483 20 0 DCADLN Cn1nccc1C1CC[NH+](CN2C[C@@H](NC(=O)[O-])CC2=O)CC1 ZINC000833964245 605995748 /nfs/dbraw/zinc/99/57/48/605995748.db2.gz XEMLFAYJJADPJI-LBPRGKRZSA-N 0 2 321.381 0.426 20 0 DCADLN O=C([O-])N[C@@H]1CCC[C@H]2CN(C(=O)NCCn3cc[nH+]c3)C[C@@H]21 ZINC000834177738 606073732 /nfs/dbraw/zinc/07/37/32/606073732.db2.gz HHSNKUXCGABVAJ-RWMBFGLXSA-N 0 2 321.381 0.961 20 0 DCADLN O=C(COc1cccc(-c2nn[nH]n2)c1)OCCc1cnn[nH]1 ZINC000823043961 606180506 /nfs/dbraw/zinc/18/05/06/606180506.db2.gz NFBKZYRYUYISNA-UHFFFAOYSA-N 0 2 315.293 0.150 20 0 DCADLN CCC[C@@H](NC(=O)c1sccc1-c1nn[nH]n1)c1nn[nH]n1 ZINC000821022703 606304514 /nfs/dbraw/zinc/30/45/14/606304514.db2.gz TVSCXFMWEDKCJM-SSDOTTSWSA-N 0 2 319.354 0.713 20 0 DCADLN Cc1cnc(Cn2nc(C)c(C)c(-c3nn[nH]n3)c2=O)s1 ZINC000826318778 608219029 /nfs/dbraw/zinc/21/90/29/608219029.db2.gz YPFZHENRPTVMIO-UHFFFAOYSA-N 0 2 303.351 0.853 20 0 DCADLN Cc1nnc([C@H]2CCCN(c3ccc(-c4nn[nH]n4)nn3)C2)[nH]1 ZINC000826325366 608433135 /nfs/dbraw/zinc/43/31/35/608433135.db2.gz VGRNWDHUGQKIMM-VIFPVBQESA-N 0 2 312.341 0.467 20 0 DCADLN CCCCOC(=O)CCn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC000825290720 609173954 /nfs/dbraw/zinc/17/39/54/609173954.db2.gz GMBKMKCEERZXEJ-UHFFFAOYSA-N 0 2 320.353 0.774 20 0 DCADLN CN(C[C@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC000977712540 660423545 /nfs/dbraw/zinc/42/35/45/660423545.db2.gz SXIKWPNDYKIONR-HTQZYQBOSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)C(=O)c1ncc[nH]1 ZINC000977712540 660423546 /nfs/dbraw/zinc/42/35/46/660423546.db2.gz SXIKWPNDYKIONR-HTQZYQBOSA-N 0 2 322.262 0.983 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001027727988 660659642 /nfs/dbraw/zinc/65/96/42/660659642.db2.gz RKKCOLVENLUTBL-LBPRGKRZSA-N 0 2 301.350 0.905 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001027727988 660659645 /nfs/dbraw/zinc/65/96/45/660659645.db2.gz RKKCOLVENLUTBL-LBPRGKRZSA-N 0 2 301.350 0.905 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)ncn1 ZINC001027828812 660805882 /nfs/dbraw/zinc/80/58/82/660805882.db2.gz PVTUIEIEHOJWJW-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN C[C@@H]1C[C@@H](C[NH2+]Cc2ccn(C)n2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC000980703424 660987255 /nfs/dbraw/zinc/98/72/55/660987255.db2.gz FOMAJGRGQVGCLA-OLZOCXBDSA-N 0 2 316.409 0.713 20 0 DCADLN C[C@H]1C[C@@H](C[NH2+]Cc2cnon2)CN1C(=O)CCc1cnn[nH]1 ZINC000980708279 660988813 /nfs/dbraw/zinc/98/88/13/660988813.db2.gz ZKRIYQVUSGPKHD-QWRGUYRKSA-N 0 2 319.369 0.147 20 0 DCADLN CCc1n[nH]cc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027989397 661021458 /nfs/dbraw/zinc/02/14/58/661021458.db2.gz HFHCAVDJVBTBDL-SECBINFHSA-N 0 2 319.369 0.190 20 0 DCADLN CCc1n[nH]cc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027989397 661021459 /nfs/dbraw/zinc/02/14/59/661021459.db2.gz HFHCAVDJVBTBDL-SECBINFHSA-N 0 2 319.369 0.190 20 0 DCADLN O=C(CCc1ccoc1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980943844 661050120 /nfs/dbraw/zinc/05/01/20/661050120.db2.gz HXXIWLKNXPXYNJ-UHFFFAOYSA-N 0 2 319.365 0.770 20 0 DCADLN CNC(=O)[C@H](C)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981210824 661103894 /nfs/dbraw/zinc/10/38/94/661103894.db2.gz VRANMLUOHDBGDP-DTWKUNHWSA-N 0 2 313.295 0.556 20 0 DCADLN Cc1cc(C)c(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)[nH]1 ZINC000981459797 661156958 /nfs/dbraw/zinc/15/69/58/661156958.db2.gz BNNJOORQAOROOX-UHFFFAOYSA-N 0 2 318.381 0.803 20 0 DCADLN CC1(C)CC[C@@H]1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981796285 661220809 /nfs/dbraw/zinc/22/08/09/661220809.db2.gz KLOVNIKFYPPONJ-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN O=C([C@@H]1CC[C@H](F)C1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981829341 661226555 /nfs/dbraw/zinc/22/65/55/661226555.db2.gz AMRIQLMRGLSUTN-MNOVXSKESA-N 0 2 311.361 0.683 20 0 DCADLN CN1CC(C(=O)N2CCC[N@@H+](C[C@@H]3CCCCO3)CC2)=NC1=O ZINC000981883099 661241380 /nfs/dbraw/zinc/24/13/80/661241380.db2.gz UVOZBVJABFPZIM-ZDUSSCGKSA-N 0 2 322.409 0.843 20 0 DCADLN C[C@H]1C[C@H]1CC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981986571 661266720 /nfs/dbraw/zinc/26/67/20/661266720.db2.gz MFHMRNUAUIRKHZ-RYUDHWBXSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@H]1C[C@H]1CC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981986571 661266722 /nfs/dbraw/zinc/26/67/22/661266722.db2.gz MFHMRNUAUIRKHZ-RYUDHWBXSA-N 0 2 319.409 0.981 20 0 DCADLN Cc1cccc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC000969312881 657686879 /nfs/dbraw/zinc/68/68/79/657686879.db2.gz BYUQMVVBHWTXGT-JTQLQIEISA-N 0 2 316.365 0.464 20 0 DCADLN CCc1cc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC000969327847 657715072 /nfs/dbraw/zinc/71/50/72/657715072.db2.gz VSTICJAADWMBQO-MRVPVSSYSA-N 0 2 319.369 0.046 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cnccn1 ZINC000939199870 665515617 /nfs/dbraw/zinc/51/56/17/665515617.db2.gz CUWMXACYGKZOON-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnccn1 ZINC000939199870 665515620 /nfs/dbraw/zinc/51/56/20/665515620.db2.gz CUWMXACYGKZOON-VXNVDRBHSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1csc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038227194 657818030 /nfs/dbraw/zinc/81/80/30/657818030.db2.gz DPRDNNLHGAWWPH-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN Cn1c(Cl)cnc1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC000742617361 667795325 /nfs/dbraw/zinc/79/53/25/667795325.db2.gz QFCQISXZAFAQSL-RXMQYKEDSA-N 0 2 301.759 0.246 20 0 DCADLN NC(=O)COc1cccc(C(=O)NNC(=O)c2ccccn2)c1 ZINC000044668305 657916907 /nfs/dbraw/zinc/91/69/07/657916907.db2.gz CPYREGUUGMPXQC-UHFFFAOYSA-N 0 2 314.301 0.021 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCOCC1 ZINC001010808733 657983965 /nfs/dbraw/zinc/98/39/65/657983965.db2.gz OYQVWIQXCPYCCH-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)n1nccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038291431 658181021 /nfs/dbraw/zinc/18/10/21/658181021.db2.gz VHTQSPOFFYWAJE-JTQLQIEISA-N 0 2 319.369 0.292 20 0 DCADLN CC[C@H](CO)Nc1cc(NC[C@H]2C[N@H+]3CCC[C@H]3CO2)nc[nH+]1 ZINC000892144865 658223509 /nfs/dbraw/zinc/22/35/09/658223509.db2.gz PKLXMNZLSUDUCU-RDBSUJKOSA-N 0 2 321.425 0.935 20 0 DCADLN Cc1ccnc(C[NH2+][C@@H]2CCN(C(=O)C[N@@H+]3CC[C@H](C)C3)C2)n1 ZINC000969948378 658283421 /nfs/dbraw/zinc/28/34/21/658283421.db2.gz KYBLJDFHPILLLV-DZGCQCFKSA-N 0 2 317.437 0.817 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CCN(C(=O)CCc3cn[nH]n3)C2)nn1C ZINC000970012947 658357354 /nfs/dbraw/zinc/35/73/54/658357354.db2.gz KEILUUDRSCYFIP-CYBMUJFWSA-N 0 2 317.397 0.170 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CCN(C(=O)CCc3c[nH]nn3)C2)nn1C ZINC000970012947 658357365 /nfs/dbraw/zinc/35/73/65/658357365.db2.gz KEILUUDRSCYFIP-CYBMUJFWSA-N 0 2 317.397 0.170 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCCO1 ZINC000972826187 658465327 /nfs/dbraw/zinc/46/53/27/658465327.db2.gz WBQGVYVBESBVHR-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCCO1 ZINC000972826187 658465332 /nfs/dbraw/zinc/46/53/32/658465332.db2.gz WBQGVYVBESBVHR-WDEREUQCSA-N 0 2 323.397 0.406 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cocn1 ZINC000972863420 658487095 /nfs/dbraw/zinc/48/70/95/658487095.db2.gz GYIWSFLDQAVQGA-SECBINFHSA-N 0 2 306.326 0.139 20 0 DCADLN COC[C@@H](C)[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972297494 658534655 /nfs/dbraw/zinc/53/46/55/658534655.db2.gz JYRNOBQZZMDABK-WBMJQRKESA-N 0 2 322.409 0.670 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccon1 ZINC001024343807 658576916 /nfs/dbraw/zinc/57/69/16/658576916.db2.gz SRUCAMHCSIHDFL-VIFPVBQESA-N 0 2 306.326 0.283 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)[nH]n1 ZINC001024348327 658582432 /nfs/dbraw/zinc/58/24/32/658582432.db2.gz LPQABHXXFREDLW-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN CCc1nc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001038179689 658634694 /nfs/dbraw/zinc/63/46/94/658634694.db2.gz HBBLSYFZYYVFEJ-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2coc(C3CC3)n2)C1)c1nnc[nH]1 ZINC001022867719 658699930 /nfs/dbraw/zinc/69/99/30/658699930.db2.gz CEKWYYGHEVQKEV-AOOOYVTPSA-N 0 2 302.338 0.721 20 0 DCADLN O=C(N[C@H]1C[C@@H]([NH2+]Cc2coc(C3CC3)n2)C1)c1ncn[nH]1 ZINC001022867719 658699935 /nfs/dbraw/zinc/69/99/35/658699935.db2.gz CEKWYYGHEVQKEV-AOOOYVTPSA-N 0 2 302.338 0.721 20 0 DCADLN Cc1ncc(C[N@@H+]2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001010636642 658773071 /nfs/dbraw/zinc/77/30/71/658773071.db2.gz HDSGDQKLSFIBTH-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN Cc1ncc(C[N@H+]2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001010636642 658773076 /nfs/dbraw/zinc/77/30/76/658773076.db2.gz HDSGDQKLSFIBTH-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN Cc1cocc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970286300 658815674 /nfs/dbraw/zinc/81/56/74/658815674.db2.gz ZURALSGQWGBCBY-SECBINFHSA-N 0 2 305.338 0.662 20 0 DCADLN CC1CC(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)C1 ZINC000973019372 658945662 /nfs/dbraw/zinc/94/56/62/658945662.db2.gz WKCQJVRKZWUVMQ-VQXHTEKXSA-N 0 2 307.398 0.883 20 0 DCADLN CC1CC(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)C1 ZINC000973019372 658945665 /nfs/dbraw/zinc/94/56/65/658945665.db2.gz WKCQJVRKZWUVMQ-VQXHTEKXSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)[C@H]2CCCOC2)C1 ZINC000973091803 659072262 /nfs/dbraw/zinc/07/22/62/659072262.db2.gz DPYSMEGLFANMCO-AVGNSLFASA-N 0 2 320.393 0.451 20 0 DCADLN Cc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)nc1C ZINC001031850430 665645205 /nfs/dbraw/zinc/64/52/05/665645205.db2.gz AACRKBVJBQJMGU-UHFFFAOYSA-N 0 2 316.365 0.384 20 0 DCADLN COc1cc(C(=O)NNC(=O)CO)sc1Br ZINC000182740696 659137747 /nfs/dbraw/zinc/13/77/47/659137747.db2.gz UKQQULUFQOTGSF-UHFFFAOYSA-N 0 2 309.141 0.273 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000939838052 665653469 /nfs/dbraw/zinc/65/34/69/665653469.db2.gz KYGRQTIQEXPXAY-PSASIEDQSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000939838052 665653470 /nfs/dbraw/zinc/65/34/70/665653470.db2.gz KYGRQTIQEXPXAY-PSASIEDQSA-N 0 2 322.262 0.570 20 0 DCADLN CC[C@H](C(=O)N1CCC[C@H]([N@H+](C)Cc2cnnn2C)C1)[NH+](C)C ZINC001027462488 659529439 /nfs/dbraw/zinc/52/94/39/659529439.db2.gz YRSUXZWHQPBJQD-DZGCQCFKSA-N 0 2 322.457 0.578 20 0 DCADLN CC[C@H](C(=O)N1CC[C@@H](NC(=O)c2n[nH]c(C)c2[O-])C1)[NH+](C)C ZINC000940047726 665691827 /nfs/dbraw/zinc/69/18/27/665691827.db2.gz PBYNTKYEXPSANO-GHMZBOCLSA-N 0 2 323.397 0.095 20 0 DCADLN Cn1nncc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000940233940 665726264 /nfs/dbraw/zinc/72/62/64/665726264.db2.gz DRNKWYIALCGRIB-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000940233940 665726266 /nfs/dbraw/zinc/72/62/66/665726266.db2.gz DRNKWYIALCGRIB-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(CCNC(=O)c1ccco1)NNC(=O)c1ccccn1 ZINC000015341514 661370999 /nfs/dbraw/zinc/37/09/99/661370999.db2.gz IYHOUCYXQPPQFK-UHFFFAOYSA-N 0 2 302.290 0.256 20 0 DCADLN CCc1cc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001028272234 661378740 /nfs/dbraw/zinc/37/87/40/661378740.db2.gz FVOCIBICVQUVSY-VIFPVBQESA-N 0 2 320.353 0.455 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001038534503 665764269 /nfs/dbraw/zinc/76/42/69/665764269.db2.gz HWGBMNPSVFUOHM-SRRSOLGSSA-N 0 2 321.381 0.016 20 0 DCADLN CCc1nc[nH]c1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028290892 661426327 /nfs/dbraw/zinc/42/63/27/661426327.db2.gz XOAQVYZUCFLFKL-VIFPVBQESA-N 0 2 319.369 0.190 20 0 DCADLN CCc1nc[nH]c1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028290892 661426329 /nfs/dbraw/zinc/42/63/29/661426329.db2.gz XOAQVYZUCFLFKL-VIFPVBQESA-N 0 2 319.369 0.190 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)C[C@H](C)[N@H+]1C ZINC000130957540 665774126 /nfs/dbraw/zinc/77/41/26/665774126.db2.gz NHOLLFPEZJRMAZ-AOOOYVTPSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])n(C)c2)C[C@H](C)[N@@H+]1C ZINC000130957540 665774129 /nfs/dbraw/zinc/77/41/29/665774129.db2.gz NHOLLFPEZJRMAZ-AOOOYVTPSA-N 0 2 315.395 0.436 20 0 DCADLN CC1CC[NH+](CC(=O)N2CCC[N@@H+]([C@H]3CCNC3=O)CC2)CC1 ZINC000982682197 661623714 /nfs/dbraw/zinc/62/37/14/661623714.db2.gz DAPOIRCKWFXQIC-HNNXBMFYSA-N 0 2 322.453 0.141 20 0 DCADLN CC(F)(F)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029185532 662032314 /nfs/dbraw/zinc/03/23/14/662032314.db2.gz PHEARSVDLONISB-OCAPTIKFSA-N 0 2 301.297 0.341 20 0 DCADLN COC(=O)[C@@H]1[C@@H](C[N@H+]2CC[C@@H](c3n[nH]c(C)n3)C2)C1(F)F ZINC000895010815 662042851 /nfs/dbraw/zinc/04/28/51/662042851.db2.gz AVFKQQVQZNYXOB-BBBLOLIVSA-N 0 2 300.309 0.957 20 0 DCADLN Cc1nocc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029451185 662205216 /nfs/dbraw/zinc/20/52/16/662205216.db2.gz JZQHGHSVKNZNAA-AOOOYVTPSA-N 0 2 318.337 0.296 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cccc2c1CCOC2 ZINC000895899944 662227069 /nfs/dbraw/zinc/22/70/69/662227069.db2.gz UEANRVYSUZBDGX-UHFFFAOYSA-N 0 2 302.334 0.946 20 0 DCADLN CN(C(=O)c1ccncc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042567012 662443086 /nfs/dbraw/zinc/44/30/86/662443086.db2.gz PUQNEBXOCOSROI-UHFFFAOYSA-N 0 2 306.301 0.001 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@@H](C[N@H+](C)Cc3cnnn3C)C2)[nH]1 ZINC001029676144 662448823 /nfs/dbraw/zinc/44/88/23/662448823.db2.gz CIDSTQGOHHZHRY-LBPRGKRZSA-N 0 2 317.397 0.441 20 0 DCADLN Cc1nn(C)cc1C[NH2+][C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC000987070677 662640455 /nfs/dbraw/zinc/64/04/55/662640455.db2.gz SJANERHPTRWSFG-IUODEOHRSA-N 0 2 316.409 0.773 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)OCC(=O)NC)cc2[nH]c1=S ZINC000729205139 662646423 /nfs/dbraw/zinc/64/64/23/662646423.db2.gz JCKATNGTESMYLE-UHFFFAOYSA-N 0 2 321.358 0.608 20 0 DCADLN C[C@H](CN(C)c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1)C(=O)[O-] ZINC000263557422 662716054 /nfs/dbraw/zinc/71/60/54/662716054.db2.gz PEZCZGVJNAPNQA-VXGBXAGGSA-N 0 2 308.382 0.842 20 0 DCADLN C[C@H](CN(C)c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1)C(=O)[O-] ZINC000263557422 662716055 /nfs/dbraw/zinc/71/60/55/662716055.db2.gz PEZCZGVJNAPNQA-VXGBXAGGSA-N 0 2 308.382 0.842 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H]([NH2+]Cc3ccon3)C[C@@H]2C)c1[O-] ZINC000988631831 662807926 /nfs/dbraw/zinc/80/79/26/662807926.db2.gz HUSQOSSOJOKFQS-KWQFWETISA-N 0 2 305.338 0.805 20 0 DCADLN Cc1cc(C[NH2+][C@@H]2CCCN(C(=O)Cc3ncn[nH]3)C2)ncn1 ZINC001000661792 665905993 /nfs/dbraw/zinc/90/59/93/665905993.db2.gz WJXNFCVJYIRACM-GFCCVEGCSA-N 0 2 315.381 0.226 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cc[nH]c1 ZINC001000723907 665916829 /nfs/dbraw/zinc/91/68/29/665916829.db2.gz OLISNBFWFUGGOV-UHFFFAOYSA-N 0 2 302.338 0.401 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)c1cc[nH]c1 ZINC001000723907 665916832 /nfs/dbraw/zinc/91/68/32/665916832.db2.gz OLISNBFWFUGGOV-UHFFFAOYSA-N 0 2 302.338 0.401 20 0 DCADLN Cc1cc(C)n(CC[NH+]2CC[C@@H]2CNC(=O)[C@H]2CCC[N@H+]2C)n1 ZINC001038119766 663089689 /nfs/dbraw/zinc/08/96/89/663089689.db2.gz XIHFXKBNPGZXDO-HZPDHXFCSA-N 0 2 319.453 0.785 20 0 DCADLN COC(=O)c1ccc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)cc1F ZINC000899033969 663119779 /nfs/dbraw/zinc/11/97/79/663119779.db2.gz SCRYLHQIIXSDCH-SSDOTTSWSA-N 0 2 323.280 0.540 20 0 DCADLN C[C@H](C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1)n1cncn1 ZINC000990921598 663374670 /nfs/dbraw/zinc/37/46/70/663374670.db2.gz WVQYCAREFVBZFV-HTRCEHHLSA-N 0 2 323.250 0.067 20 0 DCADLN C[C@H](C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)n1cncn1 ZINC000990921598 663374671 /nfs/dbraw/zinc/37/46/71/663374671.db2.gz WVQYCAREFVBZFV-HTRCEHHLSA-N 0 2 323.250 0.067 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000901970265 663376210 /nfs/dbraw/zinc/37/62/10/663376210.db2.gz XCGWQEVXILMCMA-CYBMUJFWSA-N 0 2 303.318 0.647 20 0 DCADLN COC[C@H]([NH2+]Cc1cn(CC(=O)[O-])nn1)c1cccc(OC)c1 ZINC000902369668 663409809 /nfs/dbraw/zinc/40/98/09/663409809.db2.gz OWMHRJCNEYCCFZ-AWEZNQCLSA-N 0 2 320.349 0.849 20 0 DCADLN CN(C)CC(N)=NOCCOc1cccc(S(C)(=O)=O)c1 ZINC000902614104 663422423 /nfs/dbraw/zinc/42/24/23/663422423.db2.gz XAOPIHPMMYNIGQ-UHFFFAOYSA-N 0 2 315.395 0.529 20 0 DCADLN C[C@@H]1CO[C@H](C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000972772 665968994 /nfs/dbraw/zinc/96/89/94/665968994.db2.gz ZPGIIRMKQSXBOH-JQWIXIFHSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1CO[C@H](C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000972772 665968996 /nfs/dbraw/zinc/96/89/96/665968996.db2.gz ZPGIIRMKQSXBOH-JQWIXIFHSA-N 0 2 321.381 0.184 20 0 DCADLN Cc1nonc1C(=O)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000941325608 665976622 /nfs/dbraw/zinc/97/66/22/665976622.db2.gz ZAEREHOZHVNGSS-HTRCEHHLSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000941325608 665976624 /nfs/dbraw/zinc/97/66/24/665976624.db2.gz ZAEREHOZHVNGSS-HTRCEHHLSA-N 0 2 324.234 0.609 20 0 DCADLN O=C([O-])[C@]1(C(=O)N2CC[NH+](CCO)CC2)C[C@@H]1c1ccccc1 ZINC000907736901 663715120 /nfs/dbraw/zinc/71/51/20/663715120.db2.gz UPCYEFRHOAVZHL-RHSMWYFYSA-N 0 2 318.373 0.381 20 0 DCADLN CSC[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936484 663775256 /nfs/dbraw/zinc/77/52/56/663775256.db2.gz MMMVHRXEXQIBOJ-JTQLQIEISA-N 0 2 319.427 0.213 20 0 DCADLN CSC[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000908936484 663775257 /nfs/dbraw/zinc/77/52/57/663775257.db2.gz MMMVHRXEXQIBOJ-JTQLQIEISA-N 0 2 319.427 0.213 20 0 DCADLN O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000908986119 663777802 /nfs/dbraw/zinc/77/78/02/663777802.db2.gz CYVCNUSMIUDUPP-QWHCGFSZSA-N 0 2 321.377 0.928 20 0 DCADLN O=C([O-])c1csc(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)n1 ZINC000909258623 663789705 /nfs/dbraw/zinc/78/97/05/663789705.db2.gz OPONSILDKLFRDG-MRVPVSSYSA-N 0 2 306.347 0.917 20 0 DCADLN Cn1c[nH+]cc1CC(=O)NCCc1ccc(OCC(=O)[O-])cc1 ZINC000909302203 663793421 /nfs/dbraw/zinc/79/34/21/663793421.db2.gz JOMFLLFOPWRJCN-UHFFFAOYSA-N 0 2 317.345 0.785 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C1CCOCC1 ZINC000910017276 663836574 /nfs/dbraw/zinc/83/65/74/663836574.db2.gz GUCHQRSIHDKVBQ-WCQYABFASA-N 0 2 307.350 0.442 20 0 DCADLN C/C(=C/C(=O)Nc1ccc(C(=O)[O-])nc1)C[NH+]1CCOCC1 ZINC000910337366 663872360 /nfs/dbraw/zinc/87/23/60/663872360.db2.gz BTGBBPXONAGZNC-FLIBITNWSA-N 0 2 305.334 0.997 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000910402319 663877212 /nfs/dbraw/zinc/87/72/12/663877212.db2.gz MPLGBZMIEMBVSQ-NEPJUHHUSA-N 0 2 324.381 0.221 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000910402319 663877215 /nfs/dbraw/zinc/87/72/15/663877215.db2.gz MPLGBZMIEMBVSQ-NEPJUHHUSA-N 0 2 324.381 0.221 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000910402323 663877328 /nfs/dbraw/zinc/87/73/28/663877328.db2.gz MPLGBZMIEMBVSQ-NWDGAFQWSA-N 0 2 324.381 0.221 20 0 DCADLN COCCN(CC(=O)[O-])c1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000910402323 663877330 /nfs/dbraw/zinc/87/73/30/663877330.db2.gz MPLGBZMIEMBVSQ-NWDGAFQWSA-N 0 2 324.381 0.221 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC4CC3(C(=O)[O-])C4)nc[nH+]2)C1 ZINC000910463087 663887143 /nfs/dbraw/zinc/88/71/43/663887143.db2.gz MXOQQJYUKXUVRV-BYHRKAOISA-N 0 2 318.377 0.737 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC4CC3(C(=O)[O-])C4)[nH+]cn2)C1 ZINC000910463087 663887146 /nfs/dbraw/zinc/88/71/46/663887146.db2.gz MXOQQJYUKXUVRV-BYHRKAOISA-N 0 2 318.377 0.737 20 0 DCADLN C[C@]1(C(=O)[O-])CN(c2cc(N3CCSCC3)[nH+]cn2)CCO1 ZINC000910479918 663890502 /nfs/dbraw/zinc/89/05/02/663890502.db2.gz OYQDKTJOTHWVLT-CQSZACIVSA-N 0 2 324.406 0.710 20 0 DCADLN C[C@]1(C(=O)[O-])CN(c2cc(N3CCSCC3)nc[nH+]2)CCO1 ZINC000910479918 663890505 /nfs/dbraw/zinc/89/05/05/663890505.db2.gz OYQDKTJOTHWVLT-CQSZACIVSA-N 0 2 324.406 0.710 20 0 DCADLN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@H](C)[N@H+](CCO)C[C@@H]2C)C1 ZINC000910930249 663953894 /nfs/dbraw/zinc/95/38/94/663953894.db2.gz HDTWEPYXMCFPEV-UKTARXLSSA-N 0 2 320.437 0.989 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)c1cccnc1 ZINC000910991424 663966439 /nfs/dbraw/zinc/96/64/39/663966439.db2.gz LDTWPVCTJVINDC-DGCLKSJQSA-N 0 2 300.318 0.783 20 0 DCADLN C[C@]1(C(=O)N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)CCOC1 ZINC000911171413 664001348 /nfs/dbraw/zinc/00/13/48/664001348.db2.gz FHHUINCKCFKXIQ-INIZCTEOSA-N 0 2 319.361 0.855 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@@H](Cc1ccncc1)C(=O)[O-] ZINC000911428111 664050594 /nfs/dbraw/zinc/05/05/94/664050594.db2.gz UJTJNRZUYHIBPV-ZDUSSCGKSA-N 0 2 302.334 0.560 20 0 DCADLN O=C([O-])C1(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)CCCC1 ZINC000911534023 664070575 /nfs/dbraw/zinc/07/05/75/664070575.db2.gz JDDLLYMPATXLMF-CYBMUJFWSA-N 0 2 310.394 0.955 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCC[C@H](O)[C@@H]3C(=O)[O-])[nH+]c12 ZINC000911636858 664090017 /nfs/dbraw/zinc/09/00/17/664090017.db2.gz OCDCEZRSPXRLKS-GXTWGEPZSA-N 0 2 317.345 0.622 20 0 DCADLN C[C@@H](CC(=O)[O-])C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000911740680 664104696 /nfs/dbraw/zinc/10/46/96/664104696.db2.gz AVGRFMANFZHQQX-LBPRGKRZSA-N 0 2 319.365 0.756 20 0 DCADLN Cc1ncc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c(C(C)C)n1 ZINC000912822737 664233114 /nfs/dbraw/zinc/23/31/14/664233114.db2.gz ACDLGOMZAPIFEB-QMMMGPOBSA-N 0 2 305.338 0.836 20 0 DCADLN O=C(C[C@H]1CC(=O)N1)Nc1nccc(Br)c1O ZINC000913025737 664255716 /nfs/dbraw/zinc/25/57/16/664255716.db2.gz ZQYHXHBFZHRKBV-RXMQYKEDSA-N 0 2 300.112 0.767 20 0 DCADLN CC(C)[N@H+]1CCC[C@@H]1C(=O)NC1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC000991485900 664364622 /nfs/dbraw/zinc/36/46/22/664364622.db2.gz OEISVVZHTFLDOC-CQSZACIVSA-N 0 2 319.409 0.083 20 0 DCADLN C[C@@H](Sc1nncn1C)C(=O)NNC(=O)c1ccccn1 ZINC000056056848 664390395 /nfs/dbraw/zinc/39/03/95/664390395.db2.gz RBPQWROKFSHLHC-MRVPVSSYSA-N 0 2 306.351 0.152 20 0 DCADLN Cn1ccc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000950087382 664469837 /nfs/dbraw/zinc/46/98/37/664469837.db2.gz KTRQORBYPUNAJB-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)NC[C@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)n1 ZINC000950087382 664469839 /nfs/dbraw/zinc/46/98/39/664469839.db2.gz KTRQORBYPUNAJB-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN C[C@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)C(C)(F)F ZINC000950558228 664509029 /nfs/dbraw/zinc/50/90/29/664509029.db2.gz DBPLEGFQXZLQKL-MRVPVSSYSA-N 0 2 303.313 0.446 20 0 DCADLN CCc1ncsc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030407433 664533931 /nfs/dbraw/zinc/53/39/31/664533931.db2.gz FLBWGBGXCBEVDS-UHFFFAOYSA-N 0 2 308.367 0.143 20 0 DCADLN COc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)ccc1C ZINC001030638449 664615848 /nfs/dbraw/zinc/61/58/48/664615848.db2.gz DEUCQHVTGDQBCO-UHFFFAOYSA-N 0 2 317.349 0.442 20 0 DCADLN O=C(C[C@@H]1CCCC1(F)F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030844098 664684271 /nfs/dbraw/zinc/68/42/71/664684271.db2.gz JOSRHVVOMRRNEV-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN Cc1cc(F)cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001030997954 664751838 /nfs/dbraw/zinc/75/18/38/664751838.db2.gz GGYPZKQISLNHOX-UHFFFAOYSA-N 0 2 305.313 0.572 20 0 DCADLN C[C@H]1c2cccn2CCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730523642 664785012 /nfs/dbraw/zinc/78/50/12/664785012.db2.gz LVSGMFBLWCOKSW-JTQLQIEISA-N 0 2 312.333 0.476 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cnccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992982312 664788543 /nfs/dbraw/zinc/78/85/43/664788543.db2.gz MYHKESMOGAZSGV-ZJUUUORDSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)[nH]n1 ZINC000993101717 664808477 /nfs/dbraw/zinc/80/84/77/664808477.db2.gz BVXDWEAVIYAGKK-ZJUUUORDSA-N 0 2 319.369 0.325 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccn(C)c2)CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993199049 664884175 /nfs/dbraw/zinc/88/41/75/664884175.db2.gz MOGZRXHMTVEXIF-CMPLNLGQSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccn(C)c2)CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC000993199049 664884178 /nfs/dbraw/zinc/88/41/78/664884178.db2.gz MOGZRXHMTVEXIF-CMPLNLGQSA-N 0 2 318.381 0.632 20 0 DCADLN CC1(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC(F)(F)C1 ZINC001031237518 664928115 /nfs/dbraw/zinc/92/81/15/664928115.db2.gz FFJXXMNGCRPNGD-UHFFFAOYSA-N 0 2 301.297 0.246 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccns2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993388421 664953513 /nfs/dbraw/zinc/95/35/13/664953513.db2.gz CEYOLFDNYTYJHE-IUCAKERBSA-N 0 2 322.394 0.750 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000993641403 664987436 /nfs/dbraw/zinc/98/74/36/664987436.db2.gz FGHRCSQNENIDBJ-MWLCHTKSSA-N 0 2 319.369 0.325 20 0 DCADLN C[C@H](c1ccccc1)[NH+]1CC(NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001031314620 665068707 /nfs/dbraw/zinc/06/87/07/665068707.db2.gz CMGKWSXAWYHXOR-SNVBAGLBSA-N 0 2 317.349 0.251 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(C2CC2)cn1 ZINC001031331173 665090175 /nfs/dbraw/zinc/09/01/75/665090175.db2.gz KKBIMJMISLAQCN-UHFFFAOYSA-N 0 2 314.349 0.397 20 0 DCADLN Cc1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)nn1C(C)C ZINC001031498507 665176187 /nfs/dbraw/zinc/17/61/87/665176187.db2.gz WJICHKPQXAOFKN-UHFFFAOYSA-N 0 2 319.369 0.210 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001031685055 665288710 /nfs/dbraw/zinc/28/87/10/665288710.db2.gz MVCSRHKYVUAJRA-KGYLQXTDSA-N 0 2 317.393 0.661 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1cocn1 ZINC001001189866 666006191 /nfs/dbraw/zinc/00/61/91/666006191.db2.gz NOHPNXFGJPHCKM-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cocn1 ZINC001001189866 666006193 /nfs/dbraw/zinc/00/61/93/666006193.db2.gz NOHPNXFGJPHCKM-MRVPVSSYSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC12CC2 ZINC001001199601 666008356 /nfs/dbraw/zinc/00/83/56/666008356.db2.gz BVJAHPQYTKNOHR-NSHDSACASA-N 0 2 303.366 0.559 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC12CC2 ZINC001001199601 666008357 /nfs/dbraw/zinc/00/83/57/666008357.db2.gz BVJAHPQYTKNOHR-NSHDSACASA-N 0 2 303.366 0.559 20 0 DCADLN O=C(NCC1CC[NH+](Cc2nccs2)CC1)c1nnc[nH]1 ZINC001001953187 666114214 /nfs/dbraw/zinc/11/42/14/666114214.db2.gz VQWUDGRTYPYKKA-UHFFFAOYSA-N 0 2 306.395 0.903 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3cnn[nH]3)[C@H](C)C2)nn1C ZINC000942215726 666131984 /nfs/dbraw/zinc/13/19/84/666131984.db2.gz HFTDELMVTFPDMI-MFKMUULPSA-N 0 2 317.397 0.487 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccccn1 ZINC001002237199 666147258 /nfs/dbraw/zinc/14/72/58/666147258.db2.gz VBKLRJUTLJHHQZ-UHFFFAOYSA-N 0 2 302.338 0.300 20 0 DCADLN Cc1ocnc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002425956 666171040 /nfs/dbraw/zinc/17/10/40/666171040.db2.gz FGSLRVPWCCKDQM-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1nc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001002664980 666203987 /nfs/dbraw/zinc/20/39/87/666203987.db2.gz IVXZQGQAVRQOHT-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@H]1C[C@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001003198196 666284191 /nfs/dbraw/zinc/28/41/91/666284191.db2.gz OBNDIZYPVWJTSM-UWVGGRQHSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC[C@@H](F)C1 ZINC001003510144 666320368 /nfs/dbraw/zinc/32/03/68/666320368.db2.gz QFMKTWOIHPLFHH-VHSXEESVSA-N 0 2 311.361 0.729 20 0 DCADLN CC(=O)N1CCC[C@@H]1CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000943800909 666325461 /nfs/dbraw/zinc/32/54/61/666325461.db2.gz HBODCACPOWTGIB-GFCCVEGCSA-N 0 2 316.365 0.551 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccc(Cl)[nH]1 ZINC001003579478 666331038 /nfs/dbraw/zinc/33/10/38/666331038.db2.gz YUBYZIIHPSOKHM-UHFFFAOYSA-N 0 2 324.772 0.886 20 0 DCADLN CN(C(=O)[C@@H]1CC=CCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032902501 666381140 /nfs/dbraw/zinc/38/11/40/666381140.db2.gz TUIDJDKSJSOPTM-NEPJUHHUSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)[C@@H]1CC=CCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032902501 666381142 /nfs/dbraw/zinc/38/11/42/666381142.db2.gz TUIDJDKSJSOPTM-NEPJUHHUSA-N 0 2 305.382 0.899 20 0 DCADLN CCn1cccc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001004152491 666456910 /nfs/dbraw/zinc/45/69/10/666456910.db2.gz SRIGEMRQPKVGSB-UHFFFAOYSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N(C)[C@H]2CC[N@H+](CCCO)C2)c[nH+]1 ZINC001033179845 666608480 /nfs/dbraw/zinc/60/84/80/666608480.db2.gz AUFGDIFMPXLLCA-AWEZNQCLSA-N 0 2 308.426 0.842 20 0 DCADLN O=C(c1cccs1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032261076 666616701 /nfs/dbraw/zinc/61/67/01/666616701.db2.gz WUBJZYINSZWEMC-IUCAKERBSA-N 0 2 305.363 0.671 20 0 DCADLN COC[C@H](C)[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001033215105 666627839 /nfs/dbraw/zinc/62/78/39/666627839.db2.gz BHTKWMIFCBMSCP-QWHCGFSZSA-N 0 2 324.425 0.917 20 0 DCADLN CN(C(=O)c1cc(F)c[nH]1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033276003 666658649 /nfs/dbraw/zinc/65/86/49/666658649.db2.gz RWOFYAOYMJEABZ-SECBINFHSA-N 0 2 308.317 0.324 20 0 DCADLN CN(C(=O)[C@]12C[C@H]1CCC2)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033279819 666659534 /nfs/dbraw/zinc/65/95/34/666659534.db2.gz IZWHADNKVNNSCE-UEKVPHQBSA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)[C@]12C[C@H]1CCC2)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033279819 666659536 /nfs/dbraw/zinc/65/95/36/666659536.db2.gz IZWHADNKVNNSCE-UEKVPHQBSA-N 0 2 305.382 0.733 20 0 DCADLN CNC(=O)C[NH+]1CC[C@H](N(C)C(=O)[C@@H]2CCCC[N@@H+]2C(C)C)C1 ZINC001033471517 666722233 /nfs/dbraw/zinc/72/22/33/666722233.db2.gz KLUVQOXWZFHZAN-GJZGRUSLSA-N 0 2 324.469 0.528 20 0 DCADLN Cc1cc(C[N@H+]2CC[C@H](N(C)C(=O)C[NH+]3CCCC3)C2)ncn1 ZINC001033659179 666790385 /nfs/dbraw/zinc/79/03/85/666790385.db2.gz VVDFFTNQEIJILD-INIZCTEOSA-N 0 2 317.437 0.914 20 0 DCADLN CCN(C(=O)C1=CCOCC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033803970 666835028 /nfs/dbraw/zinc/83/50/28/666835028.db2.gz MXCWYSBTAAIUGJ-LBPRGKRZSA-N 0 2 321.381 0.280 20 0 DCADLN CCN(C(=O)C1=CCOCC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033803970 666835030 /nfs/dbraw/zinc/83/50/30/666835030.db2.gz MXCWYSBTAAIUGJ-LBPRGKRZSA-N 0 2 321.381 0.280 20 0 DCADLN C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2cc(=O)[nH][n-]2)CC1 ZINC000734176934 666852521 /nfs/dbraw/zinc/85/25/21/666852521.db2.gz GHDGPPLCYLJORR-NSHDSACASA-N 0 2 301.350 0.163 20 0 DCADLN O=C(NOCCN1CCCC1=O)[C@@H]1CNC(=O)c2ccccc21 ZINC000862217837 667031318 /nfs/dbraw/zinc/03/13/18/667031318.db2.gz UXAAOXSSFJUAHJ-CYBMUJFWSA-N 0 2 317.345 0.184 20 0 DCADLN O=C(NCC1COC1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000863354394 667134175 /nfs/dbraw/zinc/13/41/75/667134175.db2.gz DYRGOXZNWLLHGZ-UHFFFAOYSA-N 0 2 306.240 0.834 20 0 DCADLN C[C@@H]1CO[C@H](C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001005737879 667157521 /nfs/dbraw/zinc/15/75/21/667157521.db2.gz YPLMWSIJLRFORU-JQWIXIFHSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H]2C[NH2+]Cc2ncccn2)c1[O-] ZINC001034908084 667180603 /nfs/dbraw/zinc/18/06/03/667180603.db2.gz CYHTUGREUGYOPA-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN Cn1cncc1C[NH2+]C[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001035142113 667254521 /nfs/dbraw/zinc/25/45/21/667254521.db2.gz QNMBOPBKQLZPRW-CYBMUJFWSA-N 0 2 302.382 0.397 20 0 DCADLN Cc1ccc(-c2nc(C(=O)NN3CC(=O)NC3=O)cs2)n1C ZINC000120296203 667295051 /nfs/dbraw/zinc/29/50/51/667295051.db2.gz WGASBQURBVXASL-UHFFFAOYSA-N 0 2 319.346 0.654 20 0 DCADLN CC[C@H](F)C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035767891 667407950 /nfs/dbraw/zinc/40/79/50/667407950.db2.gz WANZFFUFYINMDJ-JTQLQIEISA-N 0 2 311.361 0.683 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)[C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000737641695 667630545 /nfs/dbraw/zinc/63/05/45/667630545.db2.gz RPFOHURINXBEBG-LLVKDONJSA-N 0 2 313.398 0.155 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)[C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000737641695 667630546 /nfs/dbraw/zinc/63/05/46/667630546.db2.gz RPFOHURINXBEBG-LLVKDONJSA-N 0 2 313.398 0.155 20 0 DCADLN COCCS(=O)(=O)[N-]C(=O)Cc1cn2cc(F)ccc2[nH+]1 ZINC000870198488 667718478 /nfs/dbraw/zinc/71/84/78/667718478.db2.gz CXBWUDXMVOUPFP-UHFFFAOYSA-N 0 2 315.326 0.108 20 0 DCADLN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(F)C(F)(F)F ZINC000870355273 667733839 /nfs/dbraw/zinc/73/38/39/667733839.db2.gz APEVVMXNMVHJIL-HCWXCVPCSA-N 0 2 302.224 0.069 20 0 DCADLN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC000870355273 667733840 /nfs/dbraw/zinc/73/38/40/667733840.db2.gz APEVVMXNMVHJIL-HCWXCVPCSA-N 0 2 302.224 0.069 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCC[C@@H]1CCCS1 ZINC000871029286 667785498 /nfs/dbraw/zinc/78/54/98/667785498.db2.gz BFMQUQKSNWLHAH-VIFPVBQESA-N 0 2 307.379 0.473 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(-n3nccn3)nc2)S1 ZINC000742554542 667793595 /nfs/dbraw/zinc/79/35/95/667793595.db2.gz LVBJYMNSDCSYGV-MRVPVSSYSA-N 0 2 317.334 0.157 20 0 DCADLN COCCN(Cc1cccnc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742627393 667795562 /nfs/dbraw/zinc/79/55/62/667795562.db2.gz JRTJRHHPRSOOCD-LLVKDONJSA-N 0 2 322.390 0.613 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCN2CCCCC2=O)S1 ZINC000742643619 667795702 /nfs/dbraw/zinc/79/57/02/667795702.db2.gz QOEDDKKRRHJBNO-VIFPVBQESA-N 0 2 312.395 0.062 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCOC[C@@H]2CCCO2)S1 ZINC000742669661 667796907 /nfs/dbraw/zinc/79/69/07/667796907.db2.gz MXYSORXJLXOLIK-UWVGGRQHSA-N 0 2 315.395 0.245 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC(c3cn[nH]c3)CC2)S1 ZINC000742690741 667797341 /nfs/dbraw/zinc/79/73/41/667797341.db2.gz RLGDLUHNSYKKQU-JTQLQIEISA-N 0 2 307.379 0.672 20 0 DCADLN CS[C@H]1CC[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000742746379 667798796 /nfs/dbraw/zinc/79/87/96/667798796.db2.gz SGZJHGNVQHCUFN-IUCAKERBSA-N 0 2 307.379 0.472 20 0 DCADLN C[C@]1(NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)CCNC1=O ZINC000889036961 667820937 /nfs/dbraw/zinc/82/09/37/667820937.db2.gz YMRAETFPHWWRLS-HNNXBMFYSA-N 0 2 318.308 0.725 20 0 DCADLN COC(=O)[C@@H](CCC1OCCO1)NC(=O)C(F)C(F)(F)F ZINC000871492837 667825988 /nfs/dbraw/zinc/82/59/88/667825988.db2.gz CGYIGZGBIHZPBI-SVRRBLITSA-N 0 2 317.235 0.698 20 0 DCADLN COC(=O)[C@@H](CCC1OCCO1)NC(=O)[C@H](F)C(F)(F)F ZINC000871492837 667825992 /nfs/dbraw/zinc/82/59/92/667825992.db2.gz CGYIGZGBIHZPBI-SVRRBLITSA-N 0 2 317.235 0.698 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc3c(c2)OCO3)S1 ZINC000745415048 667847351 /nfs/dbraw/zinc/84/73/51/667847351.db2.gz BPVGFAAWRJUNDT-SNVBAGLBSA-N 0 2 307.331 0.588 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc(=O)c2ccccc2o1 ZINC000746012410 667860226 /nfs/dbraw/zinc/86/02/26/667860226.db2.gz IRQIJWTVCVBZCK-UHFFFAOYSA-N 0 2 314.301 0.979 20 0 DCADLN CCCN(CC(C)(C)O)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000747414998 667902175 /nfs/dbraw/zinc/90/21/75/667902175.db2.gz ODXGRBLRHIIBEP-UHFFFAOYSA-N 0 2 307.354 0.081 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnn(-c2ccc(F)cc2)n1 ZINC000748419705 667927558 /nfs/dbraw/zinc/92/75/58/667927558.db2.gz RSEOIGAWNSGDKM-UHFFFAOYSA-N 0 2 303.257 0.160 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1=NN(c2ccccc2)C(=O)CC1 ZINC000748419690 667927963 /nfs/dbraw/zinc/92/79/63/667927963.db2.gz RHDKTEHGBKCSRL-UHFFFAOYSA-N 0 2 314.305 0.310 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H](C(F)(F)F)C1 ZINC000888010118 667938641 /nfs/dbraw/zinc/93/86/41/667938641.db2.gz STUXKJKKARODCU-SSDOTTSWSA-N 0 2 315.255 0.482 20 0 DCADLN O=C(NCc1ccc(C(=O)N2CC[C@H]2CO)o1)C(F)(F)F ZINC000889160553 667953547 /nfs/dbraw/zinc/95/35/47/667953547.db2.gz YJUHOBUEGWXWFE-ZETCQYMHSA-N 0 2 306.240 0.665 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@@H](Cn3ccnn3)C2)S1 ZINC000752252826 668014529 /nfs/dbraw/zinc/01/45/29/668014529.db2.gz FWLTXMSGPFHGNT-NXEZZACHSA-N 0 2 322.394 0.073 20 0 DCADLN CO[C@H]1Cc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc2C1 ZINC000752970684 668024227 /nfs/dbraw/zinc/02/42/27/668024227.db2.gz UURQTDIHUTVFFG-NSHDSACASA-N 0 2 303.322 0.946 20 0 DCADLN C[C@@H]1CSCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000889917320 668072340 /nfs/dbraw/zinc/07/23/40/668072340.db2.gz BOIADNXYUJXXPG-SECBINFHSA-N 0 2 307.379 0.425 20 0 DCADLN Cn1nc(CNC(=O)C[C@@H]2SC(=N)NC2=O)c2ccccc21 ZINC000760140496 668259189 /nfs/dbraw/zinc/25/91/89/668259189.db2.gz AYNUGOSXQCVDPQ-NSHDSACASA-N 0 2 317.374 0.746 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCc2n[nH]nc2C1 ZINC000760372912 668269333 /nfs/dbraw/zinc/26/93/33/668269333.db2.gz JABSHSURTKCZBS-UHFFFAOYSA-N 0 2 305.260 0.147 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N(CCO)Cc2ccccc2)S1 ZINC000761281165 668321639 /nfs/dbraw/zinc/32/16/39/668321639.db2.gz JKJGWKLBSDLCNR-LLVKDONJSA-N 0 2 307.375 0.564 20 0 DCADLN O=c1ncnc2n(Cc3nc(CCc4ccco4)no3)[nH]cc1-2 ZINC000762330404 668356281 /nfs/dbraw/zinc/35/62/81/668356281.db2.gz BQRCHCKXYXMNAY-UHFFFAOYSA-N 0 2 312.289 0.881 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](CCO)c2ccccc2)S1 ZINC000762647511 668370288 /nfs/dbraw/zinc/37/02/88/668370288.db2.gz CAOGRJVPPDBLPR-NEPJUHHUSA-N 0 2 321.402 0.825 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(CCO)cc1 ZINC000762640680 668370322 /nfs/dbraw/zinc/37/03/22/668370322.db2.gz PZMPTMVEGCRFKO-UHFFFAOYSA-N 0 2 313.317 0.245 20 0 DCADLN C[C@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(=O)OC(C)(C)C ZINC000762820600 668380521 /nfs/dbraw/zinc/38/05/21/668380521.db2.gz SRNJKIZIUXBUTP-QMMMGPOBSA-N 0 2 322.321 0.345 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccccc1-n1ccnn1 ZINC000762999107 668390198 /nfs/dbraw/zinc/39/01/98/668390198.db2.gz XIYCYQKIDPSBGO-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(OCCO)cc2)S1 ZINC000763059572 668395044 /nfs/dbraw/zinc/39/50/44/668395044.db2.gz WQBHKGNJNRXSNB-SNVBAGLBSA-N 0 2 309.347 0.553 20 0 DCADLN Cc1nc(CCNC(=O)C[C@H]2SC(=N)NC2=O)sc1C ZINC000763658505 668415178 /nfs/dbraw/zinc/41/51/78/668415178.db2.gz IHRMJMZUYBQJQQ-MRVPVSSYSA-N 0 2 312.420 0.975 20 0 DCADLN COC(=O)Cc1cccc(NC(=O)CC2SC(=N)NC2=O)c1 ZINC000763825114 668424310 /nfs/dbraw/zinc/42/43/10/668424310.db2.gz VJCYJODPNAXQJG-SNVBAGLBSA-N 0 2 321.358 0.897 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCc1nncn1C ZINC000765983394 668517138 /nfs/dbraw/zinc/51/71/38/668517138.db2.gz OPGMYAKMOWOLSF-QMMMGPOBSA-N 0 2 307.276 0.134 20 0 DCADLN O=C(NOCC(F)(F)F)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000766765616 668544919 /nfs/dbraw/zinc/54/49/19/668544919.db2.gz SOOMIOQUJVATIG-UHFFFAOYSA-N 0 2 304.184 0.660 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2CN(c3ccccc3)C2=O)S1 ZINC000768413137 668608290 /nfs/dbraw/zinc/60/82/90/668608290.db2.gz LCEALTZUZBLJKH-VHSXEESVSA-N 0 2 318.358 0.074 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2CCc3cc(O)ccc3C2)S1 ZINC000768830453 668624326 /nfs/dbraw/zinc/62/43/26/668624326.db2.gz BXCNTAMJERFGST-PWSUYJOCSA-N 0 2 319.386 0.922 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)O[C@H]1Cc2ccccc2C1=O ZINC000770017017 668674745 /nfs/dbraw/zinc/67/47/45/668674745.db2.gz OLOXEDAWPKXKCN-LBPRGKRZSA-N 0 2 324.296 0.422 20 0 DCADLN CC(C)(C)CC(=O)COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000805448252 668748676 /nfs/dbraw/zinc/74/86/76/668748676.db2.gz KSMAUVADGIFUKB-UHFFFAOYSA-N 0 2 306.322 0.620 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc(-n2ccnn2)cc1 ZINC000773101039 668792975 /nfs/dbraw/zinc/79/29/75/668792975.db2.gz GIWCGUQHRJTGJV-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CC[C@H](C(F)(F)F)NC1=O ZINC000774919938 668851444 /nfs/dbraw/zinc/85/14/44/668851444.db2.gz QGZXCFWOSZCXEJ-RFZPGFLSSA-N 0 2 308.216 0.011 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000774919895 668851582 /nfs/dbraw/zinc/85/15/82/668851582.db2.gz UDSHXAFAGZPFHL-SECBINFHSA-N 0 2 302.290 0.607 20 0 DCADLN CC(C)(C)CC(=O)N1CCC[C@H]1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774933245 668851872 /nfs/dbraw/zinc/85/18/72/668851872.db2.gz JEBFSRXNLJQCDF-VIFPVBQESA-N 0 2 310.354 0.981 20 0 DCADLN O=C(OCc1n[nH]c(=O)[n-]1)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000774958454 668852776 /nfs/dbraw/zinc/85/27/76/668852776.db2.gz DDKXDNPYILBFGM-GFCCVEGCSA-N 0 2 318.333 0.455 20 0 DCADLN O=C(OCc1n[nH]c(=O)[n-]1)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000774958454 668852777 /nfs/dbraw/zinc/85/27/77/668852777.db2.gz DDKXDNPYILBFGM-GFCCVEGCSA-N 0 2 318.333 0.455 20 0 DCADLN C[C@@H](OC(=O)C(C)(C)NC(=O)C(F)(F)F)c1nncn1C ZINC000805538405 668859125 /nfs/dbraw/zinc/85/91/25/668859125.db2.gz NQGUIRPUNXCUGK-ZCFIWIBFSA-N 0 2 308.260 0.876 20 0 DCADLN COC[C@@H](C)S(=O)(=O)[N-]C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000776089352 668870698 /nfs/dbraw/zinc/87/06/98/668870698.db2.gz UUSUIJPVUTWUAP-LLVKDONJSA-N 0 2 323.374 0.967 20 0 DCADLN O=C(c1ccc(O)cc1F)N1CCSC[C@H]1c1nn[nH]n1 ZINC000776563745 668877695 /nfs/dbraw/zinc/87/76/95/668877695.db2.gz JYSMWQFNLWCYQO-JTQLQIEISA-N 0 2 309.326 0.975 20 0 DCADLN O=C(NC[C@@H]1C[N@@H+]2CCC[C@H]2CO1)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000777508744 668888063 /nfs/dbraw/zinc/88/80/63/668888063.db2.gz QWLRQMCJHFRMDX-HZSPNIEDSA-N 0 2 319.409 0.360 20 0 DCADLN COC[C@H](C)n1ccc(NC(=O)CC2SC(=N)NC2=O)n1 ZINC000779346641 668910070 /nfs/dbraw/zinc/91/00/70/668910070.db2.gz KPXANIVWVZYKNY-YUMQZZPRSA-N 0 2 311.367 0.585 20 0 DCADLN C[C@H](OC[C@@H]1CCCCO1)C(=O)NCCc1n[nH]c(=S)o1 ZINC000779540821 668912593 /nfs/dbraw/zinc/91/25/93/668912593.db2.gz UDTDWMZOAFKTCR-UWVGGRQHSA-N 0 2 315.395 0.991 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(O)cc1F ZINC000782853246 669124976 /nfs/dbraw/zinc/12/49/76/669124976.db2.gz UJECZDSAOBWDGD-UHFFFAOYSA-N 0 2 303.253 0.555 20 0 DCADLN COc1c(NC(=O)CC2SC(=N)NC2=O)cccc1C(N)=O ZINC000782843015 669125456 /nfs/dbraw/zinc/12/54/56/669125456.db2.gz YJIXJIDKVFOGSO-QMMMGPOBSA-N 0 2 322.346 0.289 20 0 DCADLN CC1(C)CCC[C@](O)(CNC(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000783769609 669173010 /nfs/dbraw/zinc/17/30/10/669173010.db2.gz NYKWSQWZEXFXGW-LKFCYVNXSA-N 0 2 313.423 0.990 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CCCN1C(=O)C(F)(F)F ZINC000786590101 669320722 /nfs/dbraw/zinc/32/07/22/669320722.db2.gz UASPTYAOXQJRIZ-RXMQYKEDSA-N 0 2 308.216 0.107 20 0 DCADLN Cc1ccc(C[C@@H](CO)NC(=O)C[C@@H]2SC(=N)NC2=O)cc1 ZINC000787379981 669371711 /nfs/dbraw/zinc/37/17/11/669371711.db2.gz FKRPRYWFYPIOBG-RYUDHWBXSA-N 0 2 321.402 0.571 20 0 DCADLN COC(=O)[C@@H](CF)NS(=O)(=O)Cc1cc(F)ccc1OC ZINC000882198050 669474177 /nfs/dbraw/zinc/47/41/77/669474177.db2.gz PMTVYHVTGIHCKQ-SNVBAGLBSA-N 0 2 323.317 0.765 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@H]1CCN(Cc2ccccc2)C1=O ZINC000789523328 669500003 /nfs/dbraw/zinc/50/00/03/669500003.db2.gz XWAFLUBJTKTHFJ-LBPRGKRZSA-N 0 2 301.350 0.743 20 0 DCADLN O=C(NN1CCCNC1=O)c1cnnn1-c1cccc(F)c1 ZINC000790015077 669529595 /nfs/dbraw/zinc/52/95/95/669529595.db2.gz FASWKHCQZVNJOJ-UHFFFAOYSA-N 0 2 304.285 0.467 20 0 DCADLN C[C@@H](O)C[C@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000791157043 669595412 /nfs/dbraw/zinc/59/54/12/669595412.db2.gz GNIGFYMTXLFQMJ-GHMZBOCLSA-N 0 2 319.365 0.223 20 0 DCADLN CC1(C)CC[C@@H](COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)O1 ZINC000792027191 669646721 /nfs/dbraw/zinc/64/67/21/669646721.db2.gz YUOBPLNZQNDGQH-VIFPVBQESA-N 0 2 306.322 0.572 20 0 DCADLN COC[C@@H](OC(=O)Cc1c(C)nc2cc[nH]n2c1=O)C1CC1 ZINC000132089109 669703845 /nfs/dbraw/zinc/70/38/45/669703845.db2.gz NANQMHRGTOKFTC-GFCCVEGCSA-N 0 2 305.334 0.842 20 0 DCADLN COCCC1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCC1 ZINC000794162372 669801756 /nfs/dbraw/zinc/80/17/56/669801756.db2.gz SEOPZGOPUMMTRV-UHFFFAOYSA-N 0 2 305.338 0.147 20 0 DCADLN CC(C)OCCONC(=O)CS[C@@H]1CCS(=O)(=O)C1 ZINC000795068488 669873293 /nfs/dbraw/zinc/87/32/93/669873293.db2.gz GTYOSLQMRYVLFR-SNVBAGLBSA-N 0 2 311.425 0.380 20 0 DCADLN CC(C)n1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000796447456 669931470 /nfs/dbraw/zinc/93/14/70/669931470.db2.gz NYQXJAQOSZGKOP-UHFFFAOYSA-N 0 2 301.310 0.487 20 0 DCADLN O=C([O-])[C@@H]1CSCCN1C(=O)NCCCCn1cc[nH+]c1 ZINC000215017293 669935062 /nfs/dbraw/zinc/93/50/62/669935062.db2.gz MCNPDQZVXQNDCP-NSHDSACASA-N 0 2 312.395 0.875 20 0 DCADLN CCc1ncoc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950394188 670015317 /nfs/dbraw/zinc/01/53/17/670015317.db2.gz CUHVVFCASIFARM-UHFFFAOYSA-N 0 2 306.326 0.019 20 0 DCADLN Cc1cc(F)cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC000950673954 670090396 /nfs/dbraw/zinc/09/03/96/670090396.db2.gz DCQNDADQOAKDHH-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN COc1ncccc1COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000801260138 670119721 /nfs/dbraw/zinc/11/97/21/670119721.db2.gz UWQOIXHLKRLGKO-UHFFFAOYSA-N 0 2 315.289 0.218 20 0 DCADLN Cn1cc2c(n1)CCC[C@@H]2NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000801584144 670135533 /nfs/dbraw/zinc/13/55/33/670135533.db2.gz BRGIZYJZNNDJIF-WPRPVWTQSA-N 0 2 307.379 0.470 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000948603982 670139336 /nfs/dbraw/zinc/13/93/36/670139336.db2.gz RBOPVFSRWCWCRQ-GHMZBOCLSA-N 0 2 309.370 0.112 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CC[C@@](F)(CO)C1 ZINC000802338713 670175550 /nfs/dbraw/zinc/17/55/50/670175550.db2.gz ZMRQFLRFHYXNKC-KWQFWETISA-N 0 2 314.279 0.622 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951023354 670179016 /nfs/dbraw/zinc/17/90/16/670179016.db2.gz QFMQMPPAHNXURM-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000951023354 670179019 /nfs/dbraw/zinc/17/90/19/670179019.db2.gz QFMQMPPAHNXURM-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1sccc1CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000951215319 670218915 /nfs/dbraw/zinc/21/89/15/670218915.db2.gz XKGJTISXYPUTDD-UHFFFAOYSA-N 0 2 321.406 0.767 20 0 DCADLN CCN(C(=O)[C@@H]1CC[C@@H](F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951387154 670260340 /nfs/dbraw/zinc/26/03/40/670260340.db2.gz PSCLLGLVNATDHX-NXEZZACHSA-N 0 2 311.361 0.681 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951447917 670276097 /nfs/dbraw/zinc/27/60/97/670276097.db2.gz ADTCWSUEAFMVQI-XPUUQOCRSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000951447917 670276100 /nfs/dbraw/zinc/27/61/00/670276100.db2.gz ADTCWSUEAFMVQI-XPUUQOCRSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1cccc(CCCNC(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC000804144814 670286449 /nfs/dbraw/zinc/28/64/49/670286449.db2.gz PHOPNWMGAXDXDV-LLVKDONJSA-N 0 2 306.391 0.995 20 0 DCADLN CCc1ncoc1C(=O)N(CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951708037 670344598 /nfs/dbraw/zinc/34/45/98/670344598.db2.gz JPFQUDDKSDXKNH-UHFFFAOYSA-N 0 2 320.353 0.407 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H](C1CC1)C(F)(F)F ZINC000807906527 670380142 /nfs/dbraw/zinc/38/01/42/670380142.db2.gz CUEOVSNKOLTWEH-VIFPVBQESA-N 0 2 315.255 0.528 20 0 DCADLN CCN(C(=O)c1ccc(C)o1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949632748 670390023 /nfs/dbraw/zinc/39/00/23/670390023.db2.gz YULFCXXSKVRKRX-UHFFFAOYSA-N 0 2 305.338 0.758 20 0 DCADLN O=C(c1cc[nH]c1C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949898083 670458360 /nfs/dbraw/zinc/45/83/60/670458360.db2.gz RSGBENHSWRFARC-UHFFFAOYSA-N 0 2 316.365 0.674 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@@H](C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952394255 670487543 /nfs/dbraw/zinc/48/75/43/670487543.db2.gz IOJMHCKKAYOFNZ-MNOVXSKESA-N 0 2 307.398 0.979 20 0 DCADLN CS(=O)(=O)N1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC000810718286 670495003 /nfs/dbraw/zinc/49/50/03/670495003.db2.gz PJZVFBDODOATCU-RNFRBKRXSA-N 0 2 306.281 0.285 20 0 DCADLN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC000810718286 670495004 /nfs/dbraw/zinc/49/50/04/670495004.db2.gz PJZVFBDODOATCU-RNFRBKRXSA-N 0 2 306.281 0.285 20 0 DCADLN O=C(NCCCn1cc(CO)nn1)c1cccc(Cl)c1O ZINC000811597852 670539722 /nfs/dbraw/zinc/53/97/22/670539722.db2.gz HCCAYGZPXQQFME-UHFFFAOYSA-N 0 2 310.741 0.950 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ncc(CCO)s2)S1 ZINC000854483254 670575483 /nfs/dbraw/zinc/57/54/83/670575483.db2.gz SIHGMCFIOCATOQ-LURJTMIESA-N 0 2 300.365 0.173 20 0 DCADLN CO[C@](C)(CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC000812597652 670587910 /nfs/dbraw/zinc/58/79/10/670587910.db2.gz LNVLZUKWPGQXEF-CQSZACIVSA-N 0 2 305.338 0.003 20 0 DCADLN O=C(Cn1nnc2c1CCCC2)NCCc1n[nH]c(=S)o1 ZINC000847751796 670596473 /nfs/dbraw/zinc/59/64/73/670596473.db2.gz HWWPUZARTVLWPJ-UHFFFAOYSA-N 0 2 308.367 0.188 20 0 DCADLN Cc1nc2ccc(NC(=O)CC3SC(=N)NC3=O)cn2n1 ZINC000848024428 670623049 /nfs/dbraw/zinc/62/30/49/670623049.db2.gz SLYCHBSVSCRJCV-MRVPVSSYSA-N 0 2 304.335 0.533 20 0 DCADLN CCOC(=O)C(C)(C)O[N-]C(=O)[C@H](C)[NH+]1CCN(C)CC1 ZINC000855133755 670679896 /nfs/dbraw/zinc/67/98/96/670679896.db2.gz QLPVLEMPJYPBNS-NSHDSACASA-N 0 2 301.387 0.012 20 0 DCADLN Nc1c(C(=O)OCc2n[nH]c(=O)[nH]2)cnn1-c1ccccn1 ZINC000815308712 670733937 /nfs/dbraw/zinc/73/39/37/670733937.db2.gz HTCBWKYZDQGBPM-UHFFFAOYSA-N 0 2 301.266 0.030 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@H](CCF)C1 ZINC000855827227 670759158 /nfs/dbraw/zinc/75/91/58/670759158.db2.gz NXCMCJPJDKVBGC-JTQLQIEISA-N 0 2 307.329 0.669 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cnc(Cl)cn1)[C@H]1CCOC1 ZINC000849338090 670779104 /nfs/dbraw/zinc/77/91/04/670779104.db2.gz WEXYWCRNPWFRKE-DTWKUNHWSA-N 0 2 321.786 0.923 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCCCc1nnc[nH]1 ZINC000816490751 670815374 /nfs/dbraw/zinc/81/53/74/670815374.db2.gz FQPGVHGYHCFJBQ-UHFFFAOYSA-N 0 2 307.276 0.311 20 0 DCADLN CCn1ncn(NC(=O)c2cnn(Cc3ccccc3)c2)c1=O ZINC000816736771 670854436 /nfs/dbraw/zinc/85/44/36/670854436.db2.gz LFXBXPMLXOUAMF-UHFFFAOYSA-N 0 2 312.333 0.693 20 0 DCADLN CCn1ncn(NC(=O)c2c[n-]c3[nH+]c(C)ccc3c2=O)c1=O ZINC000816737231 670854737 /nfs/dbraw/zinc/85/47/37/670854737.db2.gz DZFAWJLCMNKSLI-UHFFFAOYSA-N 0 2 314.305 0.406 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000816816959 670867016 /nfs/dbraw/zinc/86/70/16/670867016.db2.gz NUTSRVBIDKXVAQ-RQJHMYQMSA-N 0 2 306.281 0.379 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000816816959 670867019 /nfs/dbraw/zinc/86/70/19/670867019.db2.gz NUTSRVBIDKXVAQ-RQJHMYQMSA-N 0 2 306.281 0.379 20 0 DCADLN CC(C)[C@H]1N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1(C)C ZINC000817219284 670905981 /nfs/dbraw/zinc/90/59/81/670905981.db2.gz VBVXVSQHZBAUFA-GFCCVEGCSA-N 0 2 303.366 0.964 20 0 DCADLN COC(=O)CCNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858295769 670989984 /nfs/dbraw/zinc/98/99/84/670989984.db2.gz ISJMZRGEFVOKTN-UHFFFAOYSA-N 0 2 322.239 0.751 20 0 DCADLN O=C(NCc1ccc(C(=O)O[C@@H]2CCOC2=O)o1)C(F)(F)F ZINC000860308590 671197999 /nfs/dbraw/zinc/19/79/99/671197999.db2.gz UNKGHKPQFDMTMO-MRVPVSSYSA-N 0 2 321.207 0.930 20 0 DCADLN Cc1cn(CC(=O)OCc2n[nH]c(=O)[nH]2)nc1C(F)(F)F ZINC000860334964 671199383 /nfs/dbraw/zinc/19/93/83/671199383.db2.gz HKKRSPAHSCOQAZ-UHFFFAOYSA-N 0 2 305.216 0.777 20 0 DCADLN CCO[N-]C(=O)CNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000824220455 671423797 /nfs/dbraw/zinc/42/37/97/671423797.db2.gz QMVIDKMMFYPQBM-QMMMGPOBSA-N 0 2 308.260 0.561 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC[C@H]1C[N@@H+]2CCC[C@@H]2CO1 ZINC000824740548 671439351 /nfs/dbraw/zinc/43/93/51/671439351.db2.gz HFCZIXBBHMMRHW-XJFOESAGSA-N 0 2 304.394 0.503 20 0 DCADLN Cc1ccc2c(C(=O)NN3C(=O)[C@H](C)N(C)C3=O)cnn2c1C ZINC000826831429 671502405 /nfs/dbraw/zinc/50/24/05/671502405.db2.gz ILTDPWBBCQAQKR-JTQLQIEISA-N 0 2 315.333 0.878 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H]2CSC[C@H]2C1 ZINC000827163536 671517320 /nfs/dbraw/zinc/51/73/20/671517320.db2.gz VTYDIRWILXKIIO-VHSXEESVSA-N 0 2 319.390 0.283 20 0 DCADLN C[C@@H](CNC(=O)c1ccccc1C[NH+]1CCOCC1)C(=O)[O-] ZINC000827497640 671529910 /nfs/dbraw/zinc/52/99/10/671529910.db2.gz SJFFHLBRBXUARV-LBPRGKRZSA-N 0 2 306.362 0.969 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H]1CCOC1 ZINC000827989477 671541807 /nfs/dbraw/zinc/54/18/07/671541807.db2.gz ZNYGXKWAHJKVGZ-NEPJUHHUSA-N 0 2 302.334 0.947 20 0 DCADLN CC(C)N(CC(=O)NCc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000829546907 671579150 /nfs/dbraw/zinc/57/91/50/671579150.db2.gz UUWSKFHDZZJGLB-UHFFFAOYSA-N 0 2 313.358 0.772 20 0 DCADLN CC(C)(C)OC1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000830536872 671631481 /nfs/dbraw/zinc/63/14/81/671631481.db2.gz KSINYXARNXSFMF-UHFFFAOYSA-N 0 2 305.338 0.097 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCCc2nc3c(s2)CCC3)S1 ZINC000831111302 671653865 /nfs/dbraw/zinc/65/38/65/671653865.db2.gz DIZAMHZHNZAZDQ-SECBINFHSA-N 0 2 324.431 0.847 20 0 DCADLN Cc1nc(N)sc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000832187031 671708599 /nfs/dbraw/zinc/70/85/99/671708599.db2.gz MRYQPWVFECLFIT-ZETCQYMHSA-N 0 2 308.367 0.877 20 0 DCADLN Nc1nc(C2CC2)ncc1C(=O)NCCc1n[nH]c(=S)o1 ZINC000832364278 671716323 /nfs/dbraw/zinc/71/63/23/671716323.db2.gz YPWACHLMPCBPHF-UHFFFAOYSA-N 0 2 306.351 0.580 20 0 DCADLN CCOC(=O)C1(S(=O)(=O)NCC(F)(F)CO)CCC1 ZINC000867117241 671787029 /nfs/dbraw/zinc/78/70/29/671787029.db2.gz CIXPNDNPUZWQTC-UHFFFAOYSA-N 0 2 301.311 0.019 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)NCC[N@@H+]1CCC[C@H]1C ZINC000867148578 671809668 /nfs/dbraw/zinc/80/96/68/671809668.db2.gz QQFOECSOURYIDB-SNVBAGLBSA-N 0 2 316.379 0.829 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)NCC[N@H+]1CCC[C@H]1C ZINC000867148578 671809671 /nfs/dbraw/zinc/80/96/71/671809671.db2.gz QQFOECSOURYIDB-SNVBAGLBSA-N 0 2 316.379 0.829 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)[N-]CC[N@@H+]1CCC[C@H]1C ZINC000867148578 671809674 /nfs/dbraw/zinc/80/96/74/671809674.db2.gz QQFOECSOURYIDB-SNVBAGLBSA-N 0 2 316.379 0.829 20 0 DCADLN COC(=O)c1ccoc1S(=O)(=O)[N-]CC[N@H+]1CCC[C@H]1C ZINC000867148578 671809677 /nfs/dbraw/zinc/80/96/77/671809677.db2.gz QQFOECSOURYIDB-SNVBAGLBSA-N 0 2 316.379 0.829 20 0 DCADLN CC(C)(C)OC(=O)C1CN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000836813766 671833521 /nfs/dbraw/zinc/83/35/21/671833521.db2.gz PVODZGIMJULQNL-MRVPVSSYSA-N 0 2 313.379 0.343 20 0 DCADLN COC(=O)[C@@H]1CCCC[C@@H]1NC(=O)C[C@H]1SC(=N)NC1=O ZINC000836845046 671834874 /nfs/dbraw/zinc/83/48/74/671834874.db2.gz REHCAANYYAKCDU-HRDYMLBCSA-N 0 2 313.379 0.391 20 0 DCADLN CO[C@@H]1C[C@@H](N(C)C(=O)C[C@@H]2SC(=N)NC2=O)C12CCC2 ZINC000837015921 671842674 /nfs/dbraw/zinc/84/26/74/671842674.db2.gz ZRZSREWZODPKJY-IVZWLZJFSA-N 0 2 311.407 0.959 20 0 DCADLN COc1cc[nH+]cc1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867302720 672030258 /nfs/dbraw/zinc/03/02/58/672030258.db2.gz SZYTXYXALPNUOH-UHFFFAOYSA-N 0 2 319.408 0.686 20 0 DCADLN CCC(CC)(C[NH2+]N(C)CCN1CCCS1(=O)=O)C(=O)[O-] ZINC000843043880 672055511 /nfs/dbraw/zinc/05/55/11/672055511.db2.gz OQTGDZFDGOGLAZ-UHFFFAOYSA-N 0 2 321.443 0.349 20 0 DCADLN Cc1[nH]c(C=O)cc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843878606 672127320 /nfs/dbraw/zinc/12/73/20/672127320.db2.gz OJNGXINYSXREKF-VIFPVBQESA-N 0 2 303.322 0.979 20 0 DCADLN C[C@@H]1CC2(CN1C(=O)C[C@@H]1SC(=N)NC1=O)CCOCC2 ZINC000844093949 672147535 /nfs/dbraw/zinc/14/75/35/672147535.db2.gz LUBSMOFLTKBNLP-ZJUUUORDSA-N 0 2 311.407 0.960 20 0 DCADLN Cc1c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]c1C(C)C ZINC000844150471 672153542 /nfs/dbraw/zinc/15/35/42/672153542.db2.gz CTRLCVLSKHIEFG-UHFFFAOYSA-N 0 2 315.337 0.865 20 0 DCADLN COC(=O)CCCS(=O)(=O)[N-]C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845470291 672250777 /nfs/dbraw/zinc/25/07/77/672250777.db2.gz AUJFIFRTKCJDBT-JTQLQIEISA-N 0 2 317.367 0.233 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)C[C@@H]1CCCO1)n1cc[nH+]c1 ZINC000845510110 672254511 /nfs/dbraw/zinc/25/45/11/672254511.db2.gz LOGIJFQUPZZWDY-MNOVXSKESA-N 0 2 301.368 0.459 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)c1ncccc1F)n1cc[nH+]c1 ZINC000845527485 672255772 /nfs/dbraw/zinc/25/57/72/672255772.db2.gz KAOALAWLGMDJTR-VIFPVBQESA-N 0 2 312.326 0.873 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000845537674 672257330 /nfs/dbraw/zinc/25/73/30/672257330.db2.gz GTUNALNFQDTANR-GFCCVEGCSA-N 0 2 308.338 0.312 20 0 DCADLN CCO[N-]C(=O)CNC(=O)[C@H](C(C)C)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000846495969 672318978 /nfs/dbraw/zinc/31/89/78/672318978.db2.gz GQUVSKLPQPOIAP-BZPMIXESSA-N 0 2 315.414 0.304 20 0 DCADLN Cn1cncc1C[NH+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCC[N@@H+]1C ZINC001024366145 693807125 /nfs/dbraw/zinc/80/71/25/693807125.db2.gz OLJLFKOVNCDCEE-ZBFHGGJFSA-N 0 2 319.453 0.985 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccnnc1 ZINC001024673179 693817802 /nfs/dbraw/zinc/81/78/02/693817802.db2.gz JJZQKPHYQUKNTB-LLVKDONJSA-N 0 2 317.353 0.085 20 0 DCADLN COc1ccc(-c2nnc(SCc3n[nH]c(=O)[nH]3)n2N)cc1 ZINC000092869909 685109332 /nfs/dbraw/zinc/10/93/32/685109332.db2.gz YRNQWZUUOLODKW-UHFFFAOYSA-N 0 2 319.350 0.371 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(O)(c1ccccc1)c1ccccc1 ZINC000304635705 685210642 /nfs/dbraw/zinc/21/06/42/685210642.db2.gz MAVOTEWXQXVCDB-UHFFFAOYSA-N 0 2 324.340 0.650 20 0 DCADLN CN(C(=O)c1ccon1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953820147 685860669 /nfs/dbraw/zinc/86/06/69/685860669.db2.gz JYYOOGOILMAYFW-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)c1ccon1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953820147 685860671 /nfs/dbraw/zinc/86/06/71/685860671.db2.gz JYYOOGOILMAYFW-MRVPVSSYSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)c1ccn(C)n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000954318989 685991667 /nfs/dbraw/zinc/99/16/67/685991667.db2.gz IAXQXQDSKMKCDU-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN CN(C(=O)c1ccn(C)n1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000954318989 685991672 /nfs/dbraw/zinc/99/16/72/685991672.db2.gz IAXQXQDSKMKCDU-SECBINFHSA-N 0 2 322.262 0.603 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCCOCC1 ZINC001038203901 694012959 /nfs/dbraw/zinc/01/29/59/694012959.db2.gz LGPHLOFMWXGSDU-QWRGUYRKSA-N 0 2 309.370 0.018 20 0 DCADLN CCN(C(=O)[C@@H]1CCO[C@H](C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957048537 686944626 /nfs/dbraw/zinc/94/46/26/686944626.db2.gz ABEWYDIOWWDNAB-GHMZBOCLSA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)c1cn(CC)cn1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957283173 687017574 /nfs/dbraw/zinc/01/75/74/687017574.db2.gz MZWBOYIEUBTNDS-UHFFFAOYSA-N 0 2 319.369 0.073 20 0 DCADLN CC(C)c1nnc(CN2CC[C@@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001038446414 694083423 /nfs/dbraw/zinc/08/34/23/694083423.db2.gz ABIMUMDFSFONKC-SECBINFHSA-N 0 2 304.358 0.051 20 0 DCADLN CCc1nnc([C@@H](C)N2CC[C@@H]2CNC(=O)c2cnn[nH]2)[nH]1 ZINC001038448718 694084534 /nfs/dbraw/zinc/08/45/34/694084534.db2.gz HAIPMLVTHOELIX-RKDXNWHRSA-N 0 2 304.358 0.051 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cccc(F)c1F ZINC001038648097 694145478 /nfs/dbraw/zinc/14/54/78/694145478.db2.gz AUMBOFJCOBCYTR-QMMMGPOBSA-N 0 2 323.303 0.793 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]n1 ZINC001038717837 694173753 /nfs/dbraw/zinc/17/37/53/694173753.db2.gz PUNWCEVKXFCIFU-VIFPVBQESA-N 0 2 319.369 0.361 20 0 DCADLN Cc1cc(F)cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038732302 694176789 /nfs/dbraw/zinc/17/67/89/694176789.db2.gz KEMLGPNMAXIEFP-GFCCVEGCSA-N 0 2 319.340 0.962 20 0 DCADLN CC(F)(F)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000961179555 688563173 /nfs/dbraw/zinc/56/31/73/688563173.db2.gz IOJYMMHJLWEERI-NGJCXOISSA-N 0 2 322.205 0.230 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O)c1ccc[nH]1 ZINC000961520866 688640183 /nfs/dbraw/zinc/64/01/83/688640183.db2.gz PCFAGYWESMGNNF-VGMNWLOBSA-N 0 2 323.246 0.217 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O)c1ccc[nH]1 ZINC000961520866 688640187 /nfs/dbraw/zinc/64/01/87/688640187.db2.gz PCFAGYWESMGNNF-VGMNWLOBSA-N 0 2 323.246 0.217 20 0 DCADLN Cc1c(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)ccn1C ZINC000961702288 688705767 /nfs/dbraw/zinc/70/57/67/688705767.db2.gz HDCQJOXCUCGRQW-PJXYFTJBSA-N 0 2 316.365 0.017 20 0 DCADLN Cc1c(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)ccn1C ZINC000961702288 688705771 /nfs/dbraw/zinc/70/57/71/688705771.db2.gz HDCQJOXCUCGRQW-PJXYFTJBSA-N 0 2 316.365 0.017 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)n1 ZINC001039039972 694282093 /nfs/dbraw/zinc/28/20/93/694282093.db2.gz MLODCMLLNNXDRB-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CCC=CCC1 ZINC001039023886 694276686 /nfs/dbraw/zinc/27/66/86/694276686.db2.gz OKGQQINQJCJOCZ-GFCCVEGCSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC2(C1)CN(C(=O)C1CC1)CCO2 ZINC000965268443 689389704 /nfs/dbraw/zinc/38/97/04/689389704.db2.gz NIFOEBZWBLPIJI-VIFPVBQESA-N 0 2 324.274 0.737 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CC2(C1)CN(C(=O)C1CC1)CCO2 ZINC000965268443 689389708 /nfs/dbraw/zinc/38/97/08/689389708.db2.gz NIFOEBZWBLPIJI-VIFPVBQESA-N 0 2 324.274 0.737 20 0 DCADLN CC(=O)NC[C@@H]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)O1 ZINC000965510542 689462576 /nfs/dbraw/zinc/46/25/76/689462576.db2.gz VBEIDZJUVAGWJQ-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN CC(=O)NC[C@@H]1CCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)O1 ZINC000965510542 689462581 /nfs/dbraw/zinc/46/25/81/689462581.db2.gz VBEIDZJUVAGWJQ-IUCAKERBSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)s1 ZINC001039071846 694293308 /nfs/dbraw/zinc/29/33/08/694293308.db2.gz NHEOSHQKNOZBGB-ZETCQYMHSA-N 0 2 311.342 0.715 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1O ZINC001006778250 690583948 /nfs/dbraw/zinc/58/39/48/690583948.db2.gz OEHXDDGSNKMZKO-SNVBAGLBSA-N 0 2 317.349 0.610 20 0 DCADLN O=C(C[C@H]1CCOC1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006979086 690614736 /nfs/dbraw/zinc/61/47/36/690614736.db2.gz CNCFNOSOYOYNPH-MNOVXSKESA-N 0 2 309.370 0.018 20 0 DCADLN CCc1nc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001007159189 690634316 /nfs/dbraw/zinc/63/43/16/690634316.db2.gz AQKNOYQQNRXLEN-SECBINFHSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001007188633 690639223 /nfs/dbraw/zinc/63/92/23/690639223.db2.gz MONFGNJQEQGDOC-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)ns1 ZINC001007219417 690644088 /nfs/dbraw/zinc/64/40/88/690644088.db2.gz GUYCRNYPMZAZLN-VIFPVBQESA-N 0 2 322.394 0.670 20 0 DCADLN CCOC1CC(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001007251844 690649614 /nfs/dbraw/zinc/64/96/14/690649614.db2.gz VIOQDKFYIUTOCS-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001008510142 690879002 /nfs/dbraw/zinc/87/90/02/690879002.db2.gz HQBVMISIHBUDDL-WDEREUQCSA-N 0 2 319.365 0.988 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@@H]2CCOC2)CC1 ZINC001010615401 691326694 /nfs/dbraw/zinc/32/66/94/691326694.db2.gz VEHBJGLDJKDSDQ-BDAKNGLRSA-N 0 2 312.263 0.594 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCN(C(=O)[C@@H]2CCOC2)CC1 ZINC001010615401 691326701 /nfs/dbraw/zinc/32/67/01/691326701.db2.gz VEHBJGLDJKDSDQ-BDAKNGLRSA-N 0 2 312.263 0.594 20 0 DCADLN CC(C)(O)CC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011065466 691454829 /nfs/dbraw/zinc/45/48/29/691454829.db2.gz UPOUTOHIEYTBOO-VIFPVBQESA-N 0 2 314.279 0.719 20 0 DCADLN CC(C)(O)CC(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011065466 691454831 /nfs/dbraw/zinc/45/48/31/691454831.db2.gz UPOUTOHIEYTBOO-VIFPVBQESA-N 0 2 314.279 0.719 20 0 DCADLN Cc1c[nH]c(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001011191825 691484932 /nfs/dbraw/zinc/48/49/32/691484932.db2.gz DARMMKBYPKNANQ-QMMMGPOBSA-N 0 2 322.262 0.903 20 0 DCADLN Cc1c[nH]c(C(=O)N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)n1 ZINC001011191825 691484935 /nfs/dbraw/zinc/48/49/35/691484935.db2.gz DARMMKBYPKNANQ-QMMMGPOBSA-N 0 2 322.262 0.903 20 0 DCADLN CNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)C(C)(C)[NH+]2CCCCC2)C1 ZINC001014445869 692021630 /nfs/dbraw/zinc/02/16/30/692021630.db2.gz BPSYQFZQUGMOLU-KGLIPLIRSA-N 0 2 324.469 0.576 20 0 DCADLN CCc1cccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001014741315 692083698 /nfs/dbraw/zinc/08/36/98/692083698.db2.gz DONMAQIMFFLNTQ-NSHDSACASA-N 0 2 316.365 0.472 20 0 DCADLN O=C(C[C@H]1C=CCCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014909901 692128344 /nfs/dbraw/zinc/12/83/44/692128344.db2.gz OOASMENHSHBMSU-NWDGAFQWSA-N 0 2 305.382 0.947 20 0 DCADLN CCc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001014971106 692147329 /nfs/dbraw/zinc/14/73/29/692147329.db2.gz FHBWMBLAJYTIJY-VIFPVBQESA-N 0 2 305.338 0.670 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCO[C@H]1C1CC1 ZINC001015345966 692268550 /nfs/dbraw/zinc/26/85/50/692268550.db2.gz SDICQAPUVHHGEF-LOWVWBTDSA-N 0 2 321.381 0.016 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc[nH]c1C1CC1 ZINC001015624021 692369408 /nfs/dbraw/zinc/36/94/08/692369408.db2.gz GTNXSDXHEURSOO-JTQLQIEISA-N 0 2 316.365 0.720 20 0 DCADLN CC(C)n1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001015784776 692442645 /nfs/dbraw/zinc/44/26/45/692442645.db2.gz MSEOYQZGZRSHPJ-SNVBAGLBSA-N 0 2 319.369 0.292 20 0 DCADLN CCn1ncc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001015893949 692491394 /nfs/dbraw/zinc/49/13/94/692491394.db2.gz UNSGWDJMSXHLKN-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1c[nH]cc1C1CC1 ZINC001015922484 692506407 /nfs/dbraw/zinc/50/64/07/692506407.db2.gz XSRBKLPEPXIEIO-SNVBAGLBSA-N 0 2 316.365 0.720 20 0 DCADLN CCC(=O)NCC1(O)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001074613106 694912292 /nfs/dbraw/zinc/91/22/92/694912292.db2.gz HGFPNRLOTBUVQP-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)NCC1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001074613106 694912293 /nfs/dbraw/zinc/91/22/93/694912293.db2.gz HGFPNRLOTBUVQP-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN COCC[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)[C@@H]2C1 ZINC001075906293 694984906 /nfs/dbraw/zinc/98/49/06/694984906.db2.gz KANFGHUZVAJKMF-KYEXWDHISA-N 0 2 304.394 0.692 20 0 DCADLN O=C(C1CC2(CC2)C1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076227918 695007160 /nfs/dbraw/zinc/00/71/60/695007160.db2.gz YHOFCCGYGBWGTO-CMPLNLGQSA-N 0 2 317.393 0.733 20 0 DCADLN O=C(C1CC2(CC2)C1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076227918 695007161 /nfs/dbraw/zinc/00/71/61/695007161.db2.gz YHOFCCGYGBWGTO-CMPLNLGQSA-N 0 2 317.393 0.733 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001076857841 695077640 /nfs/dbraw/zinc/07/76/40/695077640.db2.gz XXQREIJSTAQKRN-BQBZGAKWSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001076857841 695077643 /nfs/dbraw/zinc/07/76/43/695077643.db2.gz XXQREIJSTAQKRN-BQBZGAKWSA-N 0 2 310.251 0.935 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076856589 695077971 /nfs/dbraw/zinc/07/79/71/695077971.db2.gz AJEUDVXBUDCMLF-XLPZGREQSA-N 0 2 302.268 0.933 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H](C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001076856589 695077974 /nfs/dbraw/zinc/07/79/74/695077974.db2.gz AJEUDVXBUDCMLF-XLPZGREQSA-N 0 2 302.268 0.933 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000397029803 1158371073 /nfs/dbraw/zinc/37/10/73/1158371073.db2.gz OGGZRYFVUQWJIJ-UPJWGTAASA-N 0 2 313.398 0.602 20 0 DCADLN CC(=O)CCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720015324 1158490310 /nfs/dbraw/zinc/49/03/10/1158490310.db2.gz XXVTVLRYYOGLCD-VIFPVBQESA-N 0 2 300.252 0.879 20 0 DCADLN CC(=O)CCCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001720015324 1158490313 /nfs/dbraw/zinc/49/03/13/1158490313.db2.gz XXVTVLRYYOGLCD-VIFPVBQESA-N 0 2 300.252 0.879 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC001649951355 1158633308 /nfs/dbraw/zinc/63/33/08/1158633308.db2.gz DPTGLGFWRBRXSB-RNJXMRFFSA-N 0 2 303.277 0.780 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC001649951355 1158633312 /nfs/dbraw/zinc/63/33/12/1158633312.db2.gz DPTGLGFWRBRXSB-RNJXMRFFSA-N 0 2 303.277 0.780 20 0 DCADLN COCC1(CC(=O)NCCNC(=O)C(F)C(F)(F)F)CC1 ZINC001720089898 1158944314 /nfs/dbraw/zinc/94/43/14/1158944314.db2.gz RBOYUBHMNPXBNR-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN COCC1(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001720089898 1158944320 /nfs/dbraw/zinc/94/43/20/1158944320.db2.gz RBOYUBHMNPXBNR-VIFPVBQESA-N 0 2 314.279 0.936 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(Cc2cncn2C)C1 ZINC001446359037 1159393978 /nfs/dbraw/zinc/39/39/78/1159393978.db2.gz AOKZLLFKIJHPSK-JTQLQIEISA-N 0 2 308.279 0.963 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C1(C(=O)[O-])CCOCC1 ZINC001573569228 1163505927 /nfs/dbraw/zinc/50/59/27/1163505927.db2.gz RILRQVKNPYMBNS-IJLUTSLNSA-N 0 2 321.377 0.910 20 0 DCADLN CO[C@@]1(C)C[C@H]1NC(=O)NC1CN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC001573992274 1163619363 /nfs/dbraw/zinc/61/93/63/1163619363.db2.gz MUILMWDRNIXLHB-ABAIWWIYSA-N 0 2 320.349 0.445 20 0 DCADLN C[C@H]([NH2+]Cc1c[nH]nn1)[C@@H]1CCCCN1C(=O)c1cnsn1 ZINC001575703964 1164113460 /nfs/dbraw/zinc/11/34/60/1164113460.db2.gz VCFZLEHBUYYFHV-CABZTGNLSA-N 0 2 321.410 0.829 20 0 DCADLN CCC[C@@H](O)CCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001577325463 1164671607 /nfs/dbraw/zinc/67/16/07/1164671607.db2.gz BKERQZPKKJEKEH-SECBINFHSA-N 0 2 320.353 0.557 20 0 DCADLN O=C(Cc1ccsc1)NC[C@@H]1COCCN1Cc1cn[nH]n1 ZINC001577963926 1164865483 /nfs/dbraw/zinc/86/54/83/1164865483.db2.gz UCGAVCCGTGILFH-CYBMUJFWSA-N 0 2 321.406 0.426 20 0 DCADLN O=C(Cc1ccsc1)NC[C@@H]1COCCN1Cc1c[nH]nn1 ZINC001577963926 1164865490 /nfs/dbraw/zinc/86/54/90/1164865490.db2.gz UCGAVCCGTGILFH-CYBMUJFWSA-N 0 2 321.406 0.426 20 0 DCADLN O=C(Cc1cncn1Cc1ccccc1)NCCc1cn[nH]n1 ZINC001577966371 1164866410 /nfs/dbraw/zinc/86/64/10/1164866410.db2.gz YSRDCYVFGGXQAA-UHFFFAOYSA-N 0 2 310.361 0.951 20 0 DCADLN O=C(Cc1cncn1Cc1ccccc1)NCCc1c[nH]nn1 ZINC001577966371 1164866417 /nfs/dbraw/zinc/86/64/17/1164866417.db2.gz YSRDCYVFGGXQAA-UHFFFAOYSA-N 0 2 310.361 0.951 20 0 DCADLN O=C(Cc1cscn1)N1CC[C@H]2CN(Cc3cn[nH]n3)C[C@H]21 ZINC001577966722 1164866797 /nfs/dbraw/zinc/86/67/97/1164866797.db2.gz UEMCHKJFWOQOCT-GXFFZTMASA-N 0 2 318.406 0.537 20 0 DCADLN O=C(Cc1cscn1)N1CC[C@H]2CN(Cc3c[nH]nn3)C[C@H]21 ZINC001577966722 1164866805 /nfs/dbraw/zinc/86/68/05/1164866805.db2.gz UEMCHKJFWOQOCT-GXFFZTMASA-N 0 2 318.406 0.537 20 0 DCADLN COC(=O)/C(C)=C/Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001579431674 1165307543 /nfs/dbraw/zinc/30/75/43/1165307543.db2.gz HBDKHIQCLTXBGE-RMKNXTFCSA-N 0 2 315.333 0.636 20 0 DCADLN COc1cccc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)n1 ZINC001580070648 1165491866 /nfs/dbraw/zinc/49/18/66/1165491866.db2.gz WOGFKVYTWLWPGU-UHFFFAOYSA-N 0 2 324.344 0.969 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@H](C)[C@@H]1CO ZINC001580597561 1165650051 /nfs/dbraw/zinc/65/00/51/1165650051.db2.gz JFVABSMHSYFTKP-XVKPBYJWSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC[C@@H]1CCOC1 ZINC001580605753 1165654475 /nfs/dbraw/zinc/65/44/75/1165654475.db2.gz HPKKFJJVRKGYGN-SECBINFHSA-N 0 2 318.337 0.432 20 0 DCADLN Cc1nn(CC(=O)c2ccsc2)c(=O)c(-c2nn[nH]n2)c1C ZINC001581603708 1165851145 /nfs/dbraw/zinc/85/11/45/1165851145.db2.gz GOAACKKQYGVRLF-UHFFFAOYSA-N 0 2 316.346 0.985 20 0 DCADLN Cn1c(=O)[nH]c(NCc2ccccc2F)c(-c2nn[nH]n2)c1=O ZINC001581747612 1165865909 /nfs/dbraw/zinc/86/59/09/1165865909.db2.gz RJMMVVOSKQHJNK-UHFFFAOYSA-N 0 2 317.284 0.417 20 0 DCADLN C[C@@](CNC(=O)CC(N)=O)(NC(=O)[C@@H]1CCC1(C)C)C1CC1 ZINC001582656843 1166063297 /nfs/dbraw/zinc/06/32/97/1166063297.db2.gz VFXRUBQXMOODQO-ZBEGNZNMSA-N 0 2 309.410 0.699 20 0 DCADLN COCc1nnc(CNC(=O)c2ccc3[nH]cnc3c2F)[nH]1 ZINC001583825774 1166289810 /nfs/dbraw/zinc/28/98/10/1166289810.db2.gz RCJQFHAENCBNHJ-UHFFFAOYSA-N 0 2 304.285 0.897 20 0 DCADLN CNC(=O)c1[nH]nnc1NC(=O)c1cnc2ccccc2c1O ZINC001584681026 1166354514 /nfs/dbraw/zinc/35/45/14/1166354514.db2.gz FYUOGZCSKCKWEU-UHFFFAOYSA-N 0 2 312.289 0.258 20 0 DCADLN CC(=O)NCCCCCn1cc(C)cc(-c2nn[nH]n2)c1=O ZINC001588862178 1166611632 /nfs/dbraw/zinc/61/16/32/1166611632.db2.gz PJZJZWJHXMECGU-UHFFFAOYSA-N 0 2 304.354 0.643 20 0 DCADLN CC(C)[C@]1(C)C[C@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589489849 1166661314 /nfs/dbraw/zinc/66/13/14/1166661314.db2.gz DDJZUEXFBOZHSN-UHLUBPPHSA-N 0 2 305.342 0.513 20 0 DCADLN CC(C)C[C@@H](C)N(C)C(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001589550426 1166667779 /nfs/dbraw/zinc/66/77/79/1166667779.db2.gz IDVJLCQADIFBPG-SNVBAGLBSA-N 0 2 319.369 0.316 20 0 DCADLN C[C@H]1CCC[C@@H]1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001590247396 1166826112 /nfs/dbraw/zinc/82/61/12/1166826112.db2.gz JVCXGIRPPBNSAU-JGVFFNPUSA-N 0 2 305.342 0.514 20 0 DCADLN C[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@H](C2CC2)O1 ZINC001590311176 1166857323 /nfs/dbraw/zinc/85/73/23/1166857323.db2.gz WIZBLJGGSWNOTI-GXFFZTMASA-N 0 2 316.365 0.485 20 0 DCADLN CCC(C)(C)CCn1c(=O)c(-c2nn[nH]n2)c(C)n(C)c1=O ZINC001590375401 1166892533 /nfs/dbraw/zinc/89/25/33/1166892533.db2.gz FVBBRPLVWSQCST-UHFFFAOYSA-N 0 2 306.370 0.862 20 0 DCADLN CCC[C@H](NC(=O)c1ccnc(-c2nn[nH]n2)c1)c1nn[nH]n1 ZINC001590559358 1166941954 /nfs/dbraw/zinc/94/19/54/1166941954.db2.gz APPVSOCBTJNGEP-QMMMGPOBSA-N 0 2 314.313 0.046 20 0 DCADLN CCc1nn(CC(=O)[C@@H]2C[C@H]2C)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929511 1167054612 /nfs/dbraw/zinc/05/46/12/1167054612.db2.gz WXCKQWTVOGKYLG-PSASIEDQSA-N 0 2 316.365 0.773 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@H](O)CCC(C)C)c1=O ZINC001590949193 1167056646 /nfs/dbraw/zinc/05/66/46/1167056646.db2.gz BJFRNBQDYQVUBL-SNVBAGLBSA-N 0 2 322.369 0.007 20 0 DCADLN CN(CCC(C)(C)C)c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001591064520 1167097571 /nfs/dbraw/zinc/09/75/71/1167097571.db2.gz MANKGGAQMDKWQV-UHFFFAOYSA-N 0 2 307.358 0.126 20 0 DCADLN CN(Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2)[C@@H]1CCOC1 ZINC001591154090 1167119928 /nfs/dbraw/zinc/11/99/28/1167119928.db2.gz PHNMAFGFDFOUOH-LLVKDONJSA-N 0 2 316.365 0.195 20 0 DCADLN COC(C)(C)C(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001591315624 1167210297 /nfs/dbraw/zinc/21/02/97/1167210297.db2.gz YEBQMXLKTQNZPS-UHFFFAOYSA-N 0 2 317.349 0.511 20 0 DCADLN COC(C)(C)CCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001591316512 1167210437 /nfs/dbraw/zinc/21/04/37/1167210437.db2.gz LOUJPCBTVXLNLK-UHFFFAOYSA-N 0 2 320.353 0.821 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2ccnc(N(C)C)c2)c1 ZINC001591836937 1167390964 /nfs/dbraw/zinc/39/09/64/1167390964.db2.gz ORHAJMZCJYIZTM-UHFFFAOYSA-N 0 2 311.349 0.846 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCO[C@H](C)C1 ZINC001591834905 1167391179 /nfs/dbraw/zinc/39/11/79/1167391179.db2.gz WGAOPEARBXCCLV-MRVPVSSYSA-N 0 2 304.310 0.137 20 0 DCADLN Cc1nn(CCc2cscn2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592437687 1167523600 /nfs/dbraw/zinc/52/36/00/1167523600.db2.gz ZJTQKCHSTSQHJP-UHFFFAOYSA-N 0 2 303.351 0.739 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC[N@H+](C)[C@H](C)[C@H]1C ZINC001592498955 1167539270 /nfs/dbraw/zinc/53/92/70/1167539270.db2.gz FGNNFCVRRGHGEP-RKDXNWHRSA-N 0 2 304.354 0.933 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CCN(C)[C@H](C)[C@H]1C ZINC001592498955 1167539276 /nfs/dbraw/zinc/53/92/76/1167539276.db2.gz FGNNFCVRRGHGEP-RKDXNWHRSA-N 0 2 304.354 0.933 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H]1CCN(C)C(=O)C1 ZINC001592499479 1167539706 /nfs/dbraw/zinc/53/97/06/1167539706.db2.gz NOJYSHBSDNWZNC-MRVPVSSYSA-N 0 2 304.310 0.119 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@H](C(N)=O)CC[C@H]1C ZINC001592496342 1167540008 /nfs/dbraw/zinc/54/00/08/1167540008.db2.gz DLNZWJMLLKHMQP-VXNVDRBHSA-N 0 2 318.337 0.494 20 0 DCADLN O=C(c1coc(-c2nn[nH]n2)c1)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001593367059 1167787256 /nfs/dbraw/zinc/78/72/56/1167787256.db2.gz HOYGIPXKDHBNJJ-SSDOTTSWSA-N 0 2 300.282 0.208 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@H]1CCC2(CCCC2)O1 ZINC001593489150 1167872120 /nfs/dbraw/zinc/87/21/20/1167872120.db2.gz BLYOOXPTNPRSIT-SECBINFHSA-N 0 2 318.337 0.621 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1cnnn1-c1ccccc1 ZINC001593494656 1167875479 /nfs/dbraw/zinc/87/54/79/1167875479.db2.gz NPOMJTOCFGTTAR-UHFFFAOYSA-N 0 2 321.304 0.052 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1CCc1ccc2c(c1)CCO2 ZINC001593493441 1167876283 /nfs/dbraw/zinc/87/62/83/1167876283.db2.gz HYPFZBTXCTXEOY-UHFFFAOYSA-N 0 2 310.317 0.601 20 0 DCADLN c1cc(NCc2n[nH]c([C@H]3CCCO3)n2)cc(-c2nn[nH]n2)n1 ZINC001593560411 1167940427 /nfs/dbraw/zinc/94/04/27/1167940427.db2.gz AIYWUNLETNWVPA-SNVBAGLBSA-N 0 2 313.325 0.265 20 0 DCADLN Cc1cc(C(=O)[O-])cc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)c1 ZINC001600109739 1168120805 /nfs/dbraw/zinc/12/08/05/1168120805.db2.gz ZYVKPPYISNWWQG-CQSZACIVSA-N 0 2 303.362 0.423 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@H](Cn2cncn2)C(=O)[O-])c(C)[nH+]1 ZINC001600151441 1168127842 /nfs/dbraw/zinc/12/78/42/1168127842.db2.gz KLASJYNKLMFZIR-LLVKDONJSA-N 0 2 303.322 0.482 20 0 DCADLN Cc1cc(C)c(NC(=O)C(=O)N2CC[C@](F)(C(=O)[O-])C2)c(C)[nH+]1 ZINC001600162731 1168129147 /nfs/dbraw/zinc/12/91/47/1168129147.db2.gz QWMQLGKEUJXPBS-OAHLLOKOSA-N 0 2 323.324 0.971 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)c[nH+]1 ZINC001600160417 1168129281 /nfs/dbraw/zinc/12/92/81/1168129281.db2.gz WJKBTPFMWUSIRD-YPMHNXCESA-N 0 2 319.361 0.684 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)N2CC[C@@H](C(=O)[O-])C2)c[nH+]1 ZINC001600160411 1168129711 /nfs/dbraw/zinc/12/97/11/1168129711.db2.gz WFTKOBXTWWZHQK-LLVKDONJSA-N 0 2 305.334 0.248 20 0 DCADLN Cc1cc(CNC(=O)C(=O)NC2CC(CC(=O)[O-])C2)cc(C)[nH+]1 ZINC001600197408 1168137272 /nfs/dbraw/zinc/13/72/72/1168137272.db2.gz MUANYLXJQPSEBY-UHFFFAOYSA-N 0 2 319.361 0.684 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@@H+]1C[C@H](O)C[C@H]1CO ZINC001600355403 1168172410 /nfs/dbraw/zinc/17/24/10/1168172410.db2.gz GMWWORSDWQWRHF-NWDGAFQWSA-N 0 2 308.334 0.059 20 0 DCADLN Cc1ccc(C(=O)[O-])cc1NC(=O)C[N@H+]1C[C@H](O)C[C@H]1CO ZINC001600355403 1168172413 /nfs/dbraw/zinc/17/24/13/1168172413.db2.gz GMWWORSDWQWRHF-NWDGAFQWSA-N 0 2 308.334 0.059 20 0 DCADLN Cc1cccc(S(=O)(=O)NCC[NH+]2CC=CC2)c1C(=O)[O-] ZINC001600549339 1168197601 /nfs/dbraw/zinc/19/76/01/1168197601.db2.gz MYYBBGHVRDJAND-UHFFFAOYSA-N 0 2 310.375 0.843 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N[C@@H](CC3OCCO3)C(=O)[O-])ccn12 ZINC001600627214 1168219469 /nfs/dbraw/zinc/21/94/69/1168219469.db2.gz WHEIVXBNZXXDOU-NSHDSACASA-N 0 2 319.317 0.589 20 0 DCADLN Cc1csc(C(=O)[O-])c1NC(=O)C(=O)NCc1c[nH+]cn1C ZINC001600647858 1168228478 /nfs/dbraw/zinc/22/84/78/1168228478.db2.gz MKIZBUKWZIRZCY-UHFFFAOYSA-N 0 2 322.346 0.743 20 0 DCADLN Cc1ncccc1C[NH+]1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001600752746 1168276267 /nfs/dbraw/zinc/27/62/67/1168276267.db2.gz RSRZAOLBVFWVJK-ZIAGYGMSSA-N 0 2 303.362 0.755 20 0 DCADLN Cc1nc(N(C)CCCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])cc[nH+]1 ZINC001600750994 1168276733 /nfs/dbraw/zinc/27/67/33/1168276733.db2.gz QGQKCNWSWLJPAL-NWDGAFQWSA-N 0 2 306.366 0.790 20 0 DCADLN Cc1nonc1C[NH+]1CCC(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001600818399 1168475121 /nfs/dbraw/zinc/47/51/21/1168475121.db2.gz FMXSBQIVJGWWIC-MNOVXSKESA-N 0 2 308.338 0.179 20 0 DCADLN Cc1nonc1C[N@@H+]1CCC2(CN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001600818245 1168475433 /nfs/dbraw/zinc/47/54/33/1168475433.db2.gz CDNAVAUWPYAKGN-GHMZBOCLSA-N 0 2 320.349 0.133 20 0 DCADLN Cc1nonc1C[N@H+]1CCC2(CN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001600818245 1168475439 /nfs/dbraw/zinc/47/54/39/1168475439.db2.gz CDNAVAUWPYAKGN-GHMZBOCLSA-N 0 2 320.349 0.133 20 0 DCADLN Cn1c(C[N@@H+]2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)ccc1C(=O)[O-] ZINC001600913991 1168504978 /nfs/dbraw/zinc/50/49/78/1168504978.db2.gz WJMARBPPDKGTIN-GHMZBOCLSA-N 0 2 312.391 0.590 20 0 DCADLN Cn1c(C[N@H+]2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)ccc1C(=O)[O-] ZINC001600913991 1168504990 /nfs/dbraw/zinc/50/49/90/1168504990.db2.gz WJMARBPPDKGTIN-GHMZBOCLSA-N 0 2 312.391 0.590 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)CC(C)(C)CC(=O)[O-])CC[NH2+]2)cn1 ZINC001600945409 1168525381 /nfs/dbraw/zinc/52/53/81/1168525381.db2.gz WRBIKAUOPOLNCO-GFCCVEGCSA-N 0 2 308.382 0.784 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)C2(C(=O)[O-])CCC2)C1 ZINC001600983894 1168543991 /nfs/dbraw/zinc/54/39/91/1168543991.db2.gz CFPFTXMEDUPJOB-SNVBAGLBSA-N 0 2 313.379 0.547 20 0 DCADLN Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)C1 ZINC001600986012 1168545115 /nfs/dbraw/zinc/54/51/15/1168545115.db2.gz XBOCDNRXKQWILT-UPJWGTAASA-N 0 2 321.377 0.833 20 0 DCADLN Cn1nc2c(c1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])CCCC2 ZINC001601017888 1168565401 /nfs/dbraw/zinc/56/54/01/1168565401.db2.gz PZRJOOOHXGLFIQ-GFCCVEGCSA-N 0 2 317.349 0.448 20 0 DCADLN Cn1nc2c(c1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])CCCC2 ZINC001601017888 1168565404 /nfs/dbraw/zinc/56/54/04/1168565404.db2.gz PZRJOOOHXGLFIQ-GFCCVEGCSA-N 0 2 317.349 0.448 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)[C@H]1CCn2cc[nH+]c2C1)[C@H]1CCOC1 ZINC001601451493 1168752109 /nfs/dbraw/zinc/75/21/09/1168752109.db2.gz HMCGHOMUVXXMOO-SRVKXCTJSA-N 0 2 307.350 0.299 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CSCCS1 ZINC001601568499 1168784589 /nfs/dbraw/zinc/78/45/89/1168784589.db2.gz VJOCASOADKWRHI-BDAKNGLRSA-N 0 2 301.393 0.370 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CSCCS1 ZINC001601568499 1168784594 /nfs/dbraw/zinc/78/45/94/1168784594.db2.gz VJOCASOADKWRHI-BDAKNGLRSA-N 0 2 301.393 0.370 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001601568585 1168785323 /nfs/dbraw/zinc/78/53/23/1168785323.db2.gz WVJMTVFHBILZQD-SNVBAGLBSA-N 0 2 316.273 0.682 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001601568585 1168785330 /nfs/dbraw/zinc/78/53/30/1168785330.db2.gz WVJMTVFHBILZQD-SNVBAGLBSA-N 0 2 316.273 0.682 20 0 DCADLN O=C([O-])C1(O)CC[NH+](CCS(=O)(=O)c2ccccc2)CC1 ZINC001601722312 1168830920 /nfs/dbraw/zinc/83/09/20/1168830920.db2.gz HRVZXTGKMPATGO-UHFFFAOYSA-N 0 2 313.375 0.372 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001601764635 1168861582 /nfs/dbraw/zinc/86/15/82/1168861582.db2.gz MUQZPLKJFIMUQY-GXTWGEPZSA-N 0 2 315.329 0.780 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](Cc2cn(-c3ccccc3F)nn2)C1 ZINC001601769979 1168869957 /nfs/dbraw/zinc/86/99/57/1168869957.db2.gz RLLIGOYGFKANTB-JQWIXIFHSA-N 0 2 320.324 0.674 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](Cc2cn(-c3ccccc3F)nn2)C1 ZINC001601769979 1168869962 /nfs/dbraw/zinc/86/99/62/1168869962.db2.gz RLLIGOYGFKANTB-JQWIXIFHSA-N 0 2 320.324 0.674 20 0 DCADLN O=C([O-])[C@H]1C[C@@H]1C(=O)NCC1(O)C[NH+](CC2=CCCCC2)C1 ZINC001601778748 1168881988 /nfs/dbraw/zinc/88/19/88/1168881988.db2.gz JSPPEAMFBIJAOY-STQMWFEESA-N 0 2 308.378 0.370 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)c2ccc(F)cc2O1 ZINC001601844032 1168912371 /nfs/dbraw/zinc/91/23/71/1168912371.db2.gz GCZLHKHCYQYMIA-NSHDSACASA-N 0 2 305.265 0.970 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001601910692 1168931028 /nfs/dbraw/zinc/93/10/28/1168931028.db2.gz RLSFYOINOUECQX-ZIAGYGMSSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001601910692 1168931032 /nfs/dbraw/zinc/93/10/32/1168931032.db2.gz RLSFYOINOUECQX-ZIAGYGMSSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])CCCc1nc(C[N@@H+]2CC[C@H](C3OCCO3)C2)no1 ZINC001602000544 1168957039 /nfs/dbraw/zinc/95/70/39/1168957039.db2.gz LOYOTTOLFAHOEL-JTQLQIEISA-N 0 2 311.338 0.672 20 0 DCADLN O=C([O-])CCCc1nc(C[N@H+]2CC[C@H](C3OCCO3)C2)no1 ZINC001602000544 1168957044 /nfs/dbraw/zinc/95/70/44/1168957044.db2.gz LOYOTTOLFAHOEL-JTQLQIEISA-N 0 2 311.338 0.672 20 0 DCADLN O=C([O-])CCNC(=O)CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001602018846 1168967668 /nfs/dbraw/zinc/96/76/68/1168967668.db2.gz XQEZBOHJJJBLFJ-UHFFFAOYSA-N 0 2 304.306 0.274 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CCC[C@@H](c3ccncn3)C2)nn1 ZINC001602162420 1169010637 /nfs/dbraw/zinc/01/06/37/1169010637.db2.gz CKRVCLYBGFBWIU-LLVKDONJSA-N 0 2 302.338 0.532 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CCC[C@@H](c3ccncn3)C2)nn1 ZINC001602162420 1169010645 /nfs/dbraw/zinc/01/06/45/1169010645.db2.gz CKRVCLYBGFBWIU-LLVKDONJSA-N 0 2 302.338 0.532 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@@H+]2CCC[C@@H]([C@@H]3CCOC3)C2)n1 ZINC001602313406 1169054936 /nfs/dbraw/zinc/05/49/36/1169054936.db2.gz FWPIFCTUMNHUNT-VXGBXAGGSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])c1ccc(=O)n(C[N@H+]2CCC[C@@H]([C@@H]3CCOC3)C2)n1 ZINC001602313406 1169054945 /nfs/dbraw/zinc/05/49/45/1169054945.db2.gz FWPIFCTUMNHUNT-VXGBXAGGSA-N 0 2 307.350 0.648 20 0 DCADLN O=C([O-])c1ccc(C(=O)NC2CC[NH+]([C@@H]3CCOC3=O)CC2)[nH]1 ZINC001602320923 1169058261 /nfs/dbraw/zinc/05/82/61/1169058261.db2.gz MJGCMVXJJDUONT-GFCCVEGCSA-N 0 2 321.333 0.223 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)n1 ZINC001602432311 1169077828 /nfs/dbraw/zinc/07/78/28/1169077828.db2.gz HKVIHHMPTHTRQL-LBPRGKRZSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])c1cnc(C(=O)NCc2[nH]c3c([nH+]2)CCCC3)cn1 ZINC001602509312 1169103060 /nfs/dbraw/zinc/10/30/60/1169103060.db2.gz YKONNSDBUXCUPX-UHFFFAOYSA-N 0 2 301.306 0.707 20 0 DCADLN O=C([O-])c1coc(C[NH+]2CCC(O)(CN3CCOCC3)CC2)c1 ZINC001602552087 1169115513 /nfs/dbraw/zinc/11/55/13/1169115513.db2.gz ZHKDQIQJBZJRHO-UHFFFAOYSA-N 0 2 324.377 0.637 20 0 DCADLN CC(C)(C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C1CCOCC1 ZINC001603239004 1169279416 /nfs/dbraw/zinc/27/94/16/1169279416.db2.gz DYCDWYWETCKRSL-LBPRGKRZSA-N 0 2 309.366 0.974 20 0 DCADLN CC(C)(C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C1CCOCC1 ZINC001603239004 1169279423 /nfs/dbraw/zinc/27/94/23/1169279423.db2.gz DYCDWYWETCKRSL-LBPRGKRZSA-N 0 2 309.366 0.974 20 0 DCADLN CC(C)c1[nH][nH]c(=O)c1C(=O)Nc1cccc(-c2nn[nH]n2)c1 ZINC001603240157 1169281751 /nfs/dbraw/zinc/28/17/51/1169281751.db2.gz AAXZAEFWFQVXOU-JTQLQIEISA-N 0 2 313.321 0.563 20 0 DCADLN CC(C)(CNC(=O)CN1CCC[C@H](C(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001603625067 1169337085 /nfs/dbraw/zinc/33/70/85/1169337085.db2.gz JGWRMRKFCWSMOB-NSHDSACASA-N 0 2 322.365 0.058 20 0 DCADLN C[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1ccccn1 ZINC001603677949 1169350470 /nfs/dbraw/zinc/35/04/70/1169350470.db2.gz AQTPSECMOINYQP-SSDOTTSWSA-N 0 2 314.309 0.234 20 0 DCADLN C[C@H]1CCC[C@H](CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)O1 ZINC001603885647 1169421338 /nfs/dbraw/zinc/42/13/38/1169421338.db2.gz DZJCBBYLUCUACQ-JGVFFNPUSA-N 0 2 321.341 0.036 20 0 DCADLN C[C@H]1CCCC[C@H]1Nc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001603892036 1169422970 /nfs/dbraw/zinc/42/29/70/1169422970.db2.gz WIBREPDNLONDHM-DTWKUNHWSA-N 0 2 319.369 0.255 20 0 DCADLN CC(C)[NH+]1CCN(S(=O)(=O)CC2(C(=O)[O-])CCCC2)CC1 ZINC001603896934 1169425696 /nfs/dbraw/zinc/42/56/96/1169425696.db2.gz PXFRIDSTZARVRT-UHFFFAOYSA-N 0 2 318.439 0.987 20 0 DCADLN C[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)C[C@H](C)S1 ZINC001603936086 1169441285 /nfs/dbraw/zinc/44/12/85/1169441285.db2.gz MRQBSLXCMPTRRV-UWVGGRQHSA-N 0 2 306.395 0.812 20 0 DCADLN CC(C)c1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n(C)n1 ZINC001603988460 1169465964 /nfs/dbraw/zinc/46/59/64/1169465964.db2.gz TUKRRBOKWVLPJF-LLVKDONJSA-N 0 2 305.338 0.623 20 0 DCADLN CC[C@@H](CS(=O)(=O)Nc1cc(-c2nn[nH]n2)ccn1)OC ZINC001604040339 1169476522 /nfs/dbraw/zinc/47/65/22/1169476522.db2.gz ZYOSVYVKNCLFIG-VIFPVBQESA-N 0 2 312.355 0.428 20 0 DCADLN C[C@H](CCNc1ncc(C(=O)[O-])cn1)NC(=O)Cn1cc[nH+]c1 ZINC001604142337 1169505117 /nfs/dbraw/zinc/50/51/17/1169505117.db2.gz RMTSDYIOIBTQPV-SNVBAGLBSA-N 0 2 318.337 0.378 20 0 DCADLN C[C@@H]([NH2+]C[C@@H](O)COc1ccc(C(=O)[O-])cc1)c1ncn(C)n1 ZINC001604333086 1169555595 /nfs/dbraw/zinc/55/55/95/1169555595.db2.gz OUACOTYQERUTJV-ZYHUDNBSSA-N 0 2 320.349 0.604 20 0 DCADLN CCc1nc([C@@H](C)NCc2nc(-c3nn[nH]n3)cs2)n[nH]1 ZINC001604552538 1169615239 /nfs/dbraw/zinc/61/52/39/1169615239.db2.gz PTXCOCKCAXTGLC-ZCFIWIBFSA-N 0 2 305.371 0.855 20 0 DCADLN C[C@@]1(NS(=O)(=O)CCn2cc[nH+]c2)CCCC[C@@H]1C(=O)[O-] ZINC001604597793 1169627125 /nfs/dbraw/zinc/62/71/25/1169627125.db2.gz LAWLNWUVFFLSBK-DGCLKSJQSA-N 0 2 315.395 0.836 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1ccoc1 ZINC001604793558 1169687600 /nfs/dbraw/zinc/68/76/00/1169687600.db2.gz AJVLEPIVAKVDOZ-JTQLQIEISA-N 0 2 302.352 0.449 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1ccoc1 ZINC001604793558 1169687602 /nfs/dbraw/zinc/68/76/02/1169687602.db2.gz AJVLEPIVAKVDOZ-JTQLQIEISA-N 0 2 302.352 0.449 20 0 DCADLN CO[C@H](Cn1cc(C)cc(-c2nn[nH]n2)c1=O)[C@H]1CCOC1 ZINC001605012648 1169777884 /nfs/dbraw/zinc/77/78/84/1169777884.db2.gz QAHJKRJXYSQQMP-CMPLNLGQSA-N 0 2 305.338 0.388 20 0 DCADLN CO[C@@H](Cn1ccc(C)c(-c2nn[nH]n2)c1=O)[C@H]1CCOC1 ZINC001605012606 1169779177 /nfs/dbraw/zinc/77/91/77/1169779177.db2.gz PPYDPDNEKYFGKY-QWRGUYRKSA-N 0 2 305.338 0.388 20 0 DCADLN CCCCC[C@H](C)NC(=O)C[N@@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001605255725 1169882469 /nfs/dbraw/zinc/88/24/69/1169882469.db2.gz ZJIMXRMWAMBKBL-SGMGOOAPSA-N 0 2 300.399 0.981 20 0 DCADLN CCCCC[C@H](C)NC(=O)C[N@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001605255725 1169882485 /nfs/dbraw/zinc/88/24/85/1169882485.db2.gz ZJIMXRMWAMBKBL-SGMGOOAPSA-N 0 2 300.399 0.981 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)Cc1cn[nH]c1 ZINC001605504725 1169942100 /nfs/dbraw/zinc/94/21/00/1169942100.db2.gz IZLYXSNWDQDQQI-UHFFFAOYSA-N 0 2 314.309 0.271 20 0 DCADLN CCc1noc(C[NH2+]C2(CC(=O)[O-])CCS(=O)(=O)CC2)n1 ZINC001605877828 1170019947 /nfs/dbraw/zinc/01/99/47/1170019947.db2.gz RAUHKMLHOCPYBV-UHFFFAOYSA-N 0 2 317.367 0.144 20 0 DCADLN Cc1ccn(CN(C)CCOCC2CC2)c(=O)c1-c1nn[nH]n1 ZINC001605949986 1170032939 /nfs/dbraw/zinc/03/29/39/1170032939.db2.gz VOWIKTUJSLDWLD-UHFFFAOYSA-N 0 2 318.381 0.653 20 0 DCADLN Cn1c(=O)[nH]c(NC[C@@H]2CCCSC2)c(-c2nn[nH]n2)c1=O ZINC001606205442 1170112516 /nfs/dbraw/zinc/11/25/16/1170112516.db2.gz FINQGFPACGESEY-ZETCQYMHSA-N 0 2 323.382 0.221 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])[N@H+](Cc2cccc(N(C)C)n2)C1 ZINC001606393961 1170181922 /nfs/dbraw/zinc/18/19/22/1170181922.db2.gz KOBOKUXTZMCXGD-AAEUAGOBSA-N 0 2 321.377 0.986 20 0 DCADLN COC(=O)[C@H]1CC[C@@H](C(=O)[O-])[N@@H+](Cc2cccc(N(C)C)n2)C1 ZINC001606393961 1170181927 /nfs/dbraw/zinc/18/19/27/1170181927.db2.gz KOBOKUXTZMCXGD-AAEUAGOBSA-N 0 2 321.377 0.986 20 0 DCADLN COC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])C1 ZINC001606496388 1170221017 /nfs/dbraw/zinc/22/10/17/1170221017.db2.gz ZOHYWIBXKFICSD-WDEREUQCSA-N 0 2 315.370 0.032 20 0 DCADLN COC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])C1 ZINC001606496388 1170221019 /nfs/dbraw/zinc/22/10/19/1170221019.db2.gz ZOHYWIBXKFICSD-WDEREUQCSA-N 0 2 315.370 0.032 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001606574780 1170236155 /nfs/dbraw/zinc/23/61/55/1170236155.db2.gz QOJMZJUIAAZNHL-QMMMGPOBSA-N 0 2 323.330 0.684 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001606574780 1170236160 /nfs/dbraw/zinc/23/61/60/1170236160.db2.gz QOJMZJUIAAZNHL-QMMMGPOBSA-N 0 2 323.330 0.684 20 0 DCADLN O=C(c1csc(-c2nn[nH]n2)c1)N1CC[C@H](c2nn[nH]n2)C1 ZINC001607032204 1170359867 /nfs/dbraw/zinc/35/98/67/1170359867.db2.gz VMFMNGIIDWETHA-LURJTMIESA-N 0 2 317.338 0.071 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1cnn(-c2ccccc2)n1 ZINC001607091403 1170388777 /nfs/dbraw/zinc/38/87/77/1170388777.db2.gz MASYGQUQRKUZBS-UHFFFAOYSA-N 0 2 321.304 0.052 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N[C@](C)(CO)C(=O)[O-])cc1 ZINC001607253565 1170419377 /nfs/dbraw/zinc/41/93/77/1170419377.db2.gz KHEVAUCNBQZHAI-XJKSGUPXSA-N 0 2 322.361 0.221 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@H]2C(=O)N[C@](C)(CO)C(=O)[O-])cc1 ZINC001607253565 1170419381 /nfs/dbraw/zinc/41/93/81/1170419381.db2.gz KHEVAUCNBQZHAI-XJKSGUPXSA-N 0 2 322.361 0.221 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@@H+]2C[C@@H](O)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001607484524 1170465755 /nfs/dbraw/zinc/46/57/55/1170465755.db2.gz MIDDRPSOPHUTKV-DCAQKATOSA-N 0 2 310.350 0.328 20 0 DCADLN Cc1[nH]c(C(=O)[C@H](C)[N@H+]2C[C@@H](O)C[C@H]2CO)c(C)c1C(=O)[O-] ZINC001607484524 1170465758 /nfs/dbraw/zinc/46/57/58/1170465758.db2.gz MIDDRPSOPHUTKV-DCAQKATOSA-N 0 2 310.350 0.328 20 0 DCADLN Cc1cc(C)n([C@@H](C)C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001607629950 1170485806 /nfs/dbraw/zinc/48/58/06/1170485806.db2.gz UDANVQGPTWNLPB-JQWIXIFHSA-N 0 2 305.338 0.596 20 0 DCADLN Cc1cc(C)n([C@@H](C)C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001607629950 1170485808 /nfs/dbraw/zinc/48/58/08/1170485808.db2.gz UDANVQGPTWNLPB-JQWIXIFHSA-N 0 2 305.338 0.596 20 0 DCADLN Cc1ccc(C[N@@H+](CCO)CC(=O)N[C@H](C(=O)[O-])C(C)C)o1 ZINC001607778478 1170505144 /nfs/dbraw/zinc/50/51/44/1170505144.db2.gz YCLKOKMVMVVTHO-AWEZNQCLSA-N 0 2 312.366 0.608 20 0 DCADLN Cc1ccc(C[N@H+](CCO)CC(=O)N[C@H](C(=O)[O-])C(C)C)o1 ZINC001607778478 1170505147 /nfs/dbraw/zinc/50/51/47/1170505147.db2.gz YCLKOKMVMVVTHO-AWEZNQCLSA-N 0 2 312.366 0.608 20 0 DCADLN Cc1ccc(NC(=O)C(=O)N2CC[NH+](C)CC2)c(C(=O)[O-])c1 ZINC001607796244 1170507154 /nfs/dbraw/zinc/50/71/54/1170507154.db2.gz RNCQQCYWSCSQFT-UHFFFAOYSA-N 0 2 305.334 0.406 20 0 DCADLN Cc1ccc(N2CCN(c3nccnn3)CC2)[nH+]c1C(=O)[O-] ZINC001607794667 1170507311 /nfs/dbraw/zinc/50/73/11/1170507311.db2.gz PTKWPUWYHYDWSA-UHFFFAOYSA-N 0 2 300.322 0.600 20 0 DCADLN Cc1ccc(NC[C@H]2CCN(S(C)(=O)=O)C2)[nH+]c1C(=O)[O-] ZINC001607808424 1170509736 /nfs/dbraw/zinc/50/97/36/1170509736.db2.gz CCPPHKNWJULCSG-SNVBAGLBSA-N 0 2 313.379 0.782 20 0 DCADLN Cc1cccc([C@H](CNC(=O)CC(=O)[O-])[NH+]2CCOCC2)c1 ZINC001607843385 1170511473 /nfs/dbraw/zinc/51/14/73/1170511473.db2.gz YGXTWXLWMORNFP-AWEZNQCLSA-N 0 2 306.362 0.959 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[N@H+](CCO)[C@@H](C)C1 ZINC001607917240 1170524023 /nfs/dbraw/zinc/52/40/23/1170524023.db2.gz CYJGOAWYXJWMOR-NSHDSACASA-N 0 2 310.350 0.354 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[N@@H+](CCO)[C@@H](C)C1 ZINC001607917240 1170524029 /nfs/dbraw/zinc/52/40/29/1170524029.db2.gz CYJGOAWYXJWMOR-NSHDSACASA-N 0 2 310.350 0.354 20 0 DCADLN NC(=O)[C@H]1C[N@H+](CCOc2ccc(/C=C/C(=O)[O-])cc2)CCO1 ZINC001608229993 1170620259 /nfs/dbraw/zinc/62/02/59/1170620259.db2.gz SPUYQJHTMATCPT-BOTMBNHJSA-N 0 2 320.345 0.349 20 0 DCADLN NC(=O)[C@H]1C[N@@H+](CCOc2ccc(/C=C/C(=O)[O-])cc2)CCO1 ZINC001608229993 1170620264 /nfs/dbraw/zinc/62/02/64/1170620264.db2.gz SPUYQJHTMATCPT-BOTMBNHJSA-N 0 2 320.345 0.349 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cc(F)cc(C(=O)[O-])c2)C1 ZINC001608234866 1170624237 /nfs/dbraw/zinc/62/42/37/1170624237.db2.gz NXXMZGKFFHYAMN-LBPRGKRZSA-N 0 2 323.324 0.203 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cc(F)cc(C(=O)[O-])c2)C1 ZINC001608234866 1170624240 /nfs/dbraw/zinc/62/42/40/1170624240.db2.gz NXXMZGKFFHYAMN-LBPRGKRZSA-N 0 2 323.324 0.203 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CCc1ccc(O)cc1 ZINC001608316641 1170648775 /nfs/dbraw/zinc/64/87/75/1170648775.db2.gz OYNQGAVUSOBBLH-CYBMUJFWSA-N 0 2 303.318 0.860 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CCc1ccc(O)cc1 ZINC001608316641 1170648780 /nfs/dbraw/zinc/64/87/80/1170648780.db2.gz OYNQGAVUSOBBLH-CYBMUJFWSA-N 0 2 303.318 0.860 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCc2cccc(O)c21 ZINC001608543973 1170699366 /nfs/dbraw/zinc/69/93/66/1170699366.db2.gz URTJBYZOZQSLOF-RYUDHWBXSA-N 0 2 315.329 0.888 20 0 DCADLN O=C([O-])CC[NH+]1CCN(S(=O)(=O)CC2CCCC2)CC1 ZINC001608726096 1170745350 /nfs/dbraw/zinc/74/53/50/1170745350.db2.gz BDJQIZBQIJDTTH-UHFFFAOYSA-N 0 2 304.412 0.599 20 0 DCADLN O=C([O-])Cn1ncc2c1ncn(Cc1[nH+]ccn1C(F)F)c2=O ZINC001608799538 1170757235 /nfs/dbraw/zinc/75/72/35/1170757235.db2.gz IZVGTHHJYUMGCA-UHFFFAOYSA-N 0 2 324.247 0.318 20 0 DCADLN O=C([O-])c1cn(C2C[NH+](Cc3ccc4[nH]c(=O)[nH]c4c3)C2)nn1 ZINC001608963128 1170776515 /nfs/dbraw/zinc/77/65/15/1170776515.db2.gz XKBYHVQRWZSKIA-UHFFFAOYSA-N 0 2 314.305 0.615 20 0 DCADLN CCc1nc(CNC(=O)c2csc(-c3nn[nH]n3)c2)n[nH]1 ZINC001609254457 1170897270 /nfs/dbraw/zinc/89/72/70/1170897270.db2.gz HEAKWMBIZIPHGC-UHFFFAOYSA-N 0 2 304.339 0.539 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(Cc2cccc(C)c2)c1=O ZINC001609258262 1170901034 /nfs/dbraw/zinc/90/10/34/1170901034.db2.gz ZHSRXGBEXACTKT-UHFFFAOYSA-N 0 2 312.333 0.567 20 0 DCADLN CSc1nc(NC(=O)c2ccc(-c3nn[nH]n3)c(O)c2)n[nH]1 ZINC001609374099 1170952617 /nfs/dbraw/zinc/95/26/17/1170952617.db2.gz GIVXODNIEUQCCV-UHFFFAOYSA-N 0 2 318.322 0.665 20 0 DCADLN CSc1n[nH]c(NC(=O)c2ccc(-c3nn[nH]n3)c(O)c2)n1 ZINC001609374099 1170952618 /nfs/dbraw/zinc/95/26/18/1170952618.db2.gz GIVXODNIEUQCCV-UHFFFAOYSA-N 0 2 318.322 0.665 20 0 DCADLN CC(=O)Nc1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])cc1 ZINC001609624240 1171053091 /nfs/dbraw/zinc/05/30/91/1171053091.db2.gz ZXALFTNCMQUFAN-CYBMUJFWSA-N 0 2 316.317 0.725 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1Cc2ccc(O)cc2C2(CC2)C1 ZINC001609634539 1171057452 /nfs/dbraw/zinc/05/74/52/1171057452.db2.gz HUXAXTVMUWIFAW-SNVBAGLBSA-N 0 2 304.346 0.829 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1Cc2ccc(O)cc2C2(CC2)C1 ZINC001609634539 1171057458 /nfs/dbraw/zinc/05/74/58/1171057458.db2.gz HUXAXTVMUWIFAW-SNVBAGLBSA-N 0 2 304.346 0.829 20 0 DCADLN C[C@H](c1nc(N)nc(Cl)n1)[N@@H+]1CCSC[C@H](C(=O)[O-])C1 ZINC001609809386 1171090500 /nfs/dbraw/zinc/09/05/00/1171090500.db2.gz CVVXZIZOTHBZOQ-RNFRBKRXSA-N 0 2 317.802 0.918 20 0 DCADLN C[C@H](c1nc(N)nc(Cl)n1)[N@H+]1CCSC[C@H](C(=O)[O-])C1 ZINC001609809386 1171090507 /nfs/dbraw/zinc/09/05/07/1171090507.db2.gz CVVXZIZOTHBZOQ-RNFRBKRXSA-N 0 2 317.802 0.918 20 0 DCADLN C[C@@H](c1nncn1C)[N@@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609811170 1171091521 /nfs/dbraw/zinc/09/15/21/1171091521.db2.gz CNGRQFCRJDWCCY-VHSXEESVSA-N 0 2 305.342 0.503 20 0 DCADLN C[C@@H](c1nncn1C)[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609811170 1171091530 /nfs/dbraw/zinc/09/15/30/1171091530.db2.gz CNGRQFCRJDWCCY-VHSXEESVSA-N 0 2 305.342 0.503 20 0 DCADLN C[C@H](c1nncn1C)[N@@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609811168 1171092388 /nfs/dbraw/zinc/09/23/88/1171092388.db2.gz CNGRQFCRJDWCCY-NXEZZACHSA-N 0 2 305.342 0.503 20 0 DCADLN C[C@H](c1nncn1C)[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001609811168 1171092393 /nfs/dbraw/zinc/09/23/93/1171092393.db2.gz CNGRQFCRJDWCCY-NXEZZACHSA-N 0 2 305.342 0.503 20 0 DCADLN CC[C@](COC)(NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C(=O)[O-] ZINC001609924669 1171122692 /nfs/dbraw/zinc/12/26/92/1171122692.db2.gz PESLLJIOVZLXBV-OAHLLOKOSA-N 0 2 318.333 0.877 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CN1C[C@](C)(C(=O)OCC)CC1=O ZINC001609977398 1171130007 /nfs/dbraw/zinc/13/00/07/1171130007.db2.gz SIWWQFCGZDGZFR-CQSZACIVSA-N 0 2 300.355 0.542 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)[C@H](C)C1 ZINC001610007530 1171136274 /nfs/dbraw/zinc/13/62/74/1171136274.db2.gz BRHVZBZRLRTOCO-SNVBAGLBSA-N 0 2 313.379 0.495 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)[C@H](C)C1 ZINC001610007530 1171136280 /nfs/dbraw/zinc/13/62/80/1171136280.db2.gz BRHVZBZRLRTOCO-SNVBAGLBSA-N 0 2 313.379 0.495 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC001610207730 1171196922 /nfs/dbraw/zinc/19/69/22/1171196922.db2.gz WHTIPKCBTFGKDG-LBPRGKRZSA-N 0 2 317.301 0.622 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001610207730 1171196923 /nfs/dbraw/zinc/19/69/23/1171196923.db2.gz WHTIPKCBTFGKDG-LBPRGKRZSA-N 0 2 317.301 0.622 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2CC[C@@H](OC)C[C@@H]2C(=O)[O-])nc1 ZINC001610212169 1171197478 /nfs/dbraw/zinc/19/74/78/1171197478.db2.gz GBEFZKPSXNKJLI-CHWSQXEVSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2CC[C@@H](OC)C[C@@H]2C(=O)[O-])nc1 ZINC001610212169 1171197481 /nfs/dbraw/zinc/19/74/81/1171197481.db2.gz GBEFZKPSXNKJLI-CHWSQXEVSA-N 0 2 308.334 0.932 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)C[C@H](C)[N@H+]1C ZINC001610397152 1171231002 /nfs/dbraw/zinc/23/10/02/1171231002.db2.gz YXDQDYXAIUYFEG-AOOOYVTPSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)[O-])nc2)C[C@H](C)[N@@H+]1C ZINC001610397152 1171231012 /nfs/dbraw/zinc/23/10/12/1171231012.db2.gz YXDQDYXAIUYFEG-AOOOYVTPSA-N 0 2 313.379 0.493 20 0 DCADLN Cc1sc(N2CC[NH+](CC[S@@](C)=O)CC2)nc1C(=O)[O-] ZINC001610590156 1171261771 /nfs/dbraw/zinc/26/17/71/1171261771.db2.gz MGNGNMWMLKOLJX-HXUWFJFHSA-N 0 2 317.436 0.650 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc2c(c1)CCO2 ZINC001610674447 1171288432 /nfs/dbraw/zinc/28/84/32/1171288432.db2.gz RUBAYAFTZHROGD-CYBMUJFWSA-N 0 2 315.329 0.630 20 0 DCADLN O=C([O-])[C@H](O)C1CCN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC001610721958 1171297505 /nfs/dbraw/zinc/29/75/05/1171297505.db2.gz HQERDXOJOONLPD-WCQYABFASA-N 0 2 322.365 0.065 20 0 DCADLN O=C([O-])[C@@H]1[C@H](O)CCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001610732682 1171302296 /nfs/dbraw/zinc/30/22/96/1171302296.db2.gz HGEBUSBXBQTGTO-KGLIPLIRSA-N 0 2 315.329 0.922 20 0 DCADLN O=C([O-])CCCCC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001610769286 1171317816 /nfs/dbraw/zinc/31/78/16/1171317816.db2.gz YQQLVDNKRWPLMK-UHFFFAOYSA-N 0 2 324.381 0.799 20 0 DCADLN O=c1[nH]nc(CN2CCC(n3c(=O)[nH]c4cccnc43)CC2)[nH]1 ZINC001620583370 1171404243 /nfs/dbraw/zinc/40/42/43/1171404243.db2.gz IPHKYOBNHXUECW-UHFFFAOYSA-N 0 2 315.337 0.798 20 0 DCADLN O=C(CCc1nn[nH]n1)NCCc1nc(-c2ccccc2)n[nH]1 ZINC001624836155 1171460832 /nfs/dbraw/zinc/46/08/32/1171460832.db2.gz IKIGMSRZRCUJMR-UHFFFAOYSA-N 0 2 312.337 0.276 20 0 DCADLN CCCC[C@@H](NC(N)=O)C(=O)NCCc1n[nH]c(=S)o1 ZINC001625685004 1171476702 /nfs/dbraw/zinc/47/67/02/1171476702.db2.gz UMBABQGWGCFPFP-SSDOTTSWSA-N 0 2 301.372 0.244 20 0 DCADLN CC(C)(C(=O)NCCc1n[nH]c(=S)o1)N1CCOCC1 ZINC001625689959 1171476803 /nfs/dbraw/zinc/47/68/03/1171476803.db2.gz ZZXPBEAVBFZTGT-UHFFFAOYSA-N 0 2 300.384 0.128 20 0 DCADLN Cc1cc2n[nH]c(NC(=O)CCc3cn[nH]n3)c2c(=O)n1C ZINC001627183282 1171498096 /nfs/dbraw/zinc/49/80/96/1171498096.db2.gz XHKSYXHPIWFHBY-UHFFFAOYSA-N 0 2 301.310 0.259 20 0 DCADLN CSCC[C@H](NC(=O)C(=O)c1ccc(O)cc1)c1nn[nH]n1 ZINC001629932073 1171561038 /nfs/dbraw/zinc/56/10/38/1171561038.db2.gz WJQRTEMTBVZDJT-JTQLQIEISA-N 0 2 321.362 0.699 20 0 DCADLN CN(CCCNC(=O)CSc1n[nH]c(=O)[n-]1)c1cccc[nH+]1 ZINC001633065196 1171632527 /nfs/dbraw/zinc/63/25/27/1171632527.db2.gz IQYMDXKCIAZTMY-UHFFFAOYSA-N 0 2 322.394 0.640 20 0 DCADLN CC(C)OCCCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001635956527 1171720571 /nfs/dbraw/zinc/72/05/71/1171720571.db2.gz QIFKTZKYKSUUJX-UHFFFAOYSA-N 0 2 318.399 0.171 20 0 DCADLN O=C(C=CCn1cncn1)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001640379058 1171878497 /nfs/dbraw/zinc/87/84/97/1171878497.db2.gz BYKUJICMVVLXNJ-DJWKRKHSSA-N 0 2 311.305 0.964 20 0 DCADLN O=C1NC(=O)N2CCN(Cc3nc(=O)c4sccc4[nH]3)C[C@H]12 ZINC001642566990 1171949970 /nfs/dbraw/zinc/94/99/70/1171949970.db2.gz GVVLLLCIHNLEGA-MRVPVSSYSA-N 0 2 319.346 0.133 20 0 DCADLN O=C(N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1)[C@@H]1CCNC1=O ZINC001643473510 1171977947 /nfs/dbraw/zinc/97/79/47/1171977947.db2.gz JMEXRYOUFGGCNM-MNOVXSKESA-N 0 2 315.333 0.046 20 0 DCADLN C[C@H](NC(=O)[C@@]1(C2CCCC2)CCNC1=O)c1nn(C)cc1O ZINC001646316745 1172333542 /nfs/dbraw/zinc/33/35/42/1172333542.db2.gz GLQMJWMXTAMEEU-MGPLVRAMSA-N 0 2 320.393 0.999 20 0 DCADLN COC(=O)[C@@H]1CCN(c2nnc(-c3n[nH]c(Cl)n3)n2C)C1 ZINC001646890295 1172574244 /nfs/dbraw/zinc/57/42/44/1172574244.db2.gz UPGOZXNWORHJPM-ZCFIWIBFSA-N 0 2 311.733 0.253 20 0 DCADLN COC(=O)[C@H]1CCN(c2nnc(-c3n[nH]c(Cl)n3)n2C)C1 ZINC001646890294 1172574464 /nfs/dbraw/zinc/57/44/64/1172574464.db2.gz UPGOZXNWORHJPM-LURJTMIESA-N 0 2 311.733 0.253 20 0 DCADLN CCc1nc([C@H](C)NC(=O)NC[C@H](C)N2CC[NH+](C)CC2)n[nH]1 ZINC001646971268 1172611961 /nfs/dbraw/zinc/61/19/61/1172611961.db2.gz KQRNUWVGWYFOSM-RYUDHWBXSA-N 0 2 323.445 0.363 20 0 DCADLN CS(=O)(=O)[C@@H]1CCCN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001647140695 1172698658 /nfs/dbraw/zinc/69/86/58/1172698658.db2.gz NVPGFKTZGFVGDI-LLVKDONJSA-N 0 2 310.379 0.032 20 0 DCADLN CS(=O)(=O)CCCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001647184735 1172727765 /nfs/dbraw/zinc/72/77/65/1172727765.db2.gz SBUNIURHZFKVCG-VIFPVBQESA-N 0 2 316.383 0.041 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@H]1[C@H]1CCCOC1 ZINC001649360734 1173129342 /nfs/dbraw/zinc/12/93/42/1173129342.db2.gz FNGWJFLFTVNDQF-JQWIXIFHSA-N 0 2 317.349 0.099 20 0 DCADLN C[C@@H]1C[N@H+](Cc2cc(-c3cnn(C)c3)no2)C[C@H](C(=O)[O-])O1 ZINC001649864250 1173269556 /nfs/dbraw/zinc/26/95/56/1173269556.db2.gz LLHVIFXMGPLDJC-NOZJJQNGSA-N 0 2 306.322 0.749 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2cc(-c3cnn(C)c3)no2)C[C@H](C(=O)[O-])O1 ZINC001649864250 1173269559 /nfs/dbraw/zinc/26/95/59/1173269559.db2.gz LLHVIFXMGPLDJC-NOZJJQNGSA-N 0 2 306.322 0.749 20 0 DCADLN CO[C@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)[C@@H]1CCOC1 ZINC001649909958 1173299636 /nfs/dbraw/zinc/29/96/36/1173299636.db2.gz RPTYCEKVYKXNPN-AXFHLTTASA-N 0 2 310.354 0.268 20 0 DCADLN CN(C)S(=O)(=O)NCC[NH2+]Cc1ccc([O-])c(F)c1F ZINC001658047243 1173918690 /nfs/dbraw/zinc/91/86/90/1173918690.db2.gz QHUXJQRCFJTPBZ-UHFFFAOYSA-N 0 2 309.338 0.156 20 0 DCADLN O=c1nc(CN2CC[C@H](c3nn[nH]n3)C2)[nH]c2ccsc21 ZINC001659943710 1173937147 /nfs/dbraw/zinc/93/71/47/1173937147.db2.gz KLCNBIVANSAVKY-ZETCQYMHSA-N 0 2 303.351 0.900 20 0 DCADLN CCOCCN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001672081252 1175076135 /nfs/dbraw/zinc/07/61/35/1175076135.db2.gz WLGSDJOOEFYATQ-QMMMGPOBSA-N 0 2 302.268 0.086 20 0 DCADLN CCOCCN1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001672081252 1175076137 /nfs/dbraw/zinc/07/61/37/1175076137.db2.gz WLGSDJOOEFYATQ-QMMMGPOBSA-N 0 2 302.268 0.086 20 0 DCADLN CC(C)(C)[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001681068924 1175874101 /nfs/dbraw/zinc/87/41/01/1175874101.db2.gz MNPJKRZLSQTFLV-PHDIDXHHSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)(C)[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001681068924 1175874107 /nfs/dbraw/zinc/87/41/07/1175874107.db2.gz MNPJKRZLSQTFLV-PHDIDXHHSA-N 0 2 315.267 0.019 20 0 DCADLN Cc1cnn(CCC(=O)NCCNC(=O)C(F)C(F)(F)F)c1 ZINC001686689887 1176193000 /nfs/dbraw/zinc/19/30/00/1176193000.db2.gz YEESSYFMUUAMBG-SNVBAGLBSA-N 0 2 324.278 0.714 20 0 DCADLN Cc1cnn(CCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001686689887 1176193003 /nfs/dbraw/zinc/19/30/03/1176193003.db2.gz YEESSYFMUUAMBG-SNVBAGLBSA-N 0 2 324.278 0.714 20 0 DCADLN CC[C@H](C(=O)NC1C[NH+](CCCO[C@H]2CCOC2)C1)[NH+](C)C ZINC001692256132 1177463980 /nfs/dbraw/zinc/46/39/80/1177463980.db2.gz VUALMVAGVGVICN-LSDHHAIUSA-N 0 2 313.442 0.323 20 0 DCADLN O=C(C[C@H]1CC=CCC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001699628881 1178225310 /nfs/dbraw/zinc/22/53/10/1178225310.db2.gz ZJWSCLIQOLKYHB-NWDGAFQWSA-N 0 2 305.382 0.947 20 0 DCADLN CC(C(=O)NCC1(O)CN(Cc2n[nH]c(=O)[nH]2)C1)=C1CCCC1 ZINC001699911917 1178370154 /nfs/dbraw/zinc/37/01/54/1178370154.db2.gz QADGJUXEEFYJQE-UHFFFAOYSA-N 0 2 321.381 0.064 20 0 DCADLN O=C(Cc1ccc[nH]1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001701062554 1178912815 /nfs/dbraw/zinc/91/28/15/1178912815.db2.gz FOUHXOZIZBNGCA-UHFFFAOYSA-N 0 2 316.365 0.329 20 0 DCADLN O=C(Cc1ccc[nH]1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001701062554 1178912821 /nfs/dbraw/zinc/91/28/21/1178912821.db2.gz FOUHXOZIZBNGCA-UHFFFAOYSA-N 0 2 316.365 0.329 20 0 DCADLN COCCCC(=O)N1CC(CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001704582348 1180076567 /nfs/dbraw/zinc/07/65/67/1180076567.db2.gz MDFTXMZARBUPRD-UHFFFAOYSA-N 0 2 308.382 0.262 20 0 DCADLN C/C=C(/C)C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001716648493 1182478177 /nfs/dbraw/zinc/47/81/77/1182478177.db2.gz QYWZHDGHWCWFEX-BXVXIVLCSA-N 0 2 315.377 0.679 20 0 DCADLN O=C(CCn1cccn1)NCCCNC(=O)C(F)C(F)(F)F ZINC001720228595 1183517641 /nfs/dbraw/zinc/51/76/41/1183517641.db2.gz GJVXPXDIFIXJCR-JTQLQIEISA-N 0 2 324.278 0.796 20 0 DCADLN O=C(CCn1cccn1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001720228595 1183517646 /nfs/dbraw/zinc/51/76/46/1183517646.db2.gz GJVXPXDIFIXJCR-JTQLQIEISA-N 0 2 324.278 0.796 20 0 DCADLN CCc1nc(C(=O)NCCCN(C)Cc2cnnn2C)c(C)o1 ZINC001731271184 1185232692 /nfs/dbraw/zinc/23/26/92/1185232692.db2.gz DGQWZEUAHSAFKA-UHFFFAOYSA-N 0 2 320.397 0.926 20 0 DCADLN O=C(CC(F)(F)C(F)F)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001732110913 1185676867 /nfs/dbraw/zinc/67/68/67/1185676867.db2.gz VINHCJINHRTEKV-UHFFFAOYSA-N 0 2 311.239 0.101 20 0 DCADLN CCOCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001732141282 1185695659 /nfs/dbraw/zinc/69/56/59/1185695659.db2.gz OSEPXYTVPBLALX-QWRGUYRKSA-N 0 2 309.370 0.112 20 0 DCADLN COc1cccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001736785642 1187105057 /nfs/dbraw/zinc/10/50/57/1187105057.db2.gz VQBYPLCZLMCZSG-SECBINFHSA-N 0 2 323.246 0.837 20 0 DCADLN COc1cccc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001736785642 1187105063 /nfs/dbraw/zinc/10/50/63/1187105063.db2.gz VQBYPLCZLMCZSG-SECBINFHSA-N 0 2 323.246 0.837 20 0 DCADLN COCCCC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001738005495 1187444440 /nfs/dbraw/zinc/44/44/40/1187444440.db2.gz AECMVSAGKHCEBU-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN COCCCC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001738005495 1187444443 /nfs/dbraw/zinc/44/44/43/1187444443.db2.gz AECMVSAGKHCEBU-VIFPVBQESA-N 0 2 300.252 0.640 20 0 DCADLN CN(C(=O)C=Cc1ccc[nH]1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753435695 1188595990 /nfs/dbraw/zinc/59/59/90/1188595990.db2.gz WTYHCANYTQDISZ-ZYOFXKKJSA-N 0 2 316.365 0.585 20 0 DCADLN CN(C(=O)C=Cc1ccc[nH]1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753435695 1188595991 /nfs/dbraw/zinc/59/59/91/1188595991.db2.gz WTYHCANYTQDISZ-ZYOFXKKJSA-N 0 2 316.365 0.585 20 0 DCADLN C[C@H]1C[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC001771641237 1190388869 /nfs/dbraw/zinc/38/88/69/1190388869.db2.gz IUADWMUODCGZSS-UWVGGRQHSA-N 0 2 305.338 0.003 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2nnc([C@@H]3CCCO3)o2)cn1 ZINC001771674296 1190463745 /nfs/dbraw/zinc/46/37/45/1190463745.db2.gz ICJLTIDZDLMYQP-VIFPVBQESA-N 0 2 313.339 0.938 20 0 DCADLN CN(C(=O)[C@H]1CCC[C@H](F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042708370 751854452 /nfs/dbraw/zinc/85/44/52/751854452.db2.gz HBGWXQPUEYIQON-UWVGGRQHSA-N 0 2 311.361 0.681 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001042826808 751934921 /nfs/dbraw/zinc/93/49/21/751934921.db2.gz FGJLCKYYMWTCQD-GHMZBOCLSA-N 0 2 309.370 0.111 20 0 DCADLN COC(=O)c1nc2ccc(N[C@@H]3CCC(=O)N(C)C3)nc2[nH]1 ZINC001167249309 747038656 /nfs/dbraw/zinc/03/86/56/747038656.db2.gz CYYZGALEIUSISV-MRVPVSSYSA-N 0 2 303.322 0.777 20 0 DCADLN Nc1[nH+]c(N[C@@H]2CO[N-]C2=O)ccc1I ZINC001167515717 747351963 /nfs/dbraw/zinc/35/19/63/747351963.db2.gz FQVFAUNUSJNWBT-RXMQYKEDSA-N 0 2 320.090 0.110 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nc(C(C)C)ns2)c1[O-] ZINC001125733888 747542531 /nfs/dbraw/zinc/54/25/31/747542531.db2.gz FDLTUTLWFTWICI-UHFFFAOYSA-N 0 2 324.410 0.918 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2ccsn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071603617 761986434 /nfs/dbraw/zinc/98/64/34/761986434.db2.gz RYDAVJAXDPKJGK-IUCAKERBSA-N 0 2 322.394 0.750 20 0 DCADLN Cc1nnn(NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)c1C ZINC000914021732 750966042 /nfs/dbraw/zinc/96/60/42/750966042.db2.gz YSWHJGOQVPGZGQ-QMMMGPOBSA-N 0 2 307.276 0.668 20 0 DCADLN O=S(=O)(C[C@@]12CC[N@H+](C1)CCC2)N1CC(n2cc[nH+]c2)C1 ZINC000914351020 751009091 /nfs/dbraw/zinc/00/90/91/751009091.db2.gz DSISZNLNAGVNNB-AWEZNQCLSA-N 0 2 310.423 0.556 20 0 DCADLN C[C@H]1CC[C@@H](CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001043751666 752375567 /nfs/dbraw/zinc/37/55/67/752375567.db2.gz IZUJOGSMCCXDQQ-ONGXEEELSA-N 0 2 309.370 0.111 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1CC[C@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071782922 762133605 /nfs/dbraw/zinc/13/36/05/762133605.db2.gz GVFGZRDYBBYQHV-WPRPVWTQSA-N 0 2 320.353 0.590 20 0 DCADLN CC(C)[C@]1(C)C[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044122503 752579367 /nfs/dbraw/zinc/57/93/67/752579367.db2.gz YWQSKAQOZSQVCH-NHYWBVRUSA-N 0 2 307.398 0.835 20 0 DCADLN CC(C)c1ocnc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044148543 752594260 /nfs/dbraw/zinc/59/42/60/752594260.db2.gz MBFGPIOWNXELMY-UHFFFAOYSA-N 0 2 320.353 0.578 20 0 DCADLN CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC2(CCOCC2)C1 ZINC001089911133 752608483 /nfs/dbraw/zinc/60/84/83/752608483.db2.gz HVPQGUPEOMXYDM-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC2(CCOCC2)C1 ZINC001089911133 752608488 /nfs/dbraw/zinc/60/84/88/752608488.db2.gz HVPQGUPEOMXYDM-NSHDSACASA-N 0 2 309.370 0.018 20 0 DCADLN CN(C(=O)c1ccc(F)cc1F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044252027 752648268 /nfs/dbraw/zinc/64/82/68/752648268.db2.gz QJFQFVWWHNVAFD-UHFFFAOYSA-N 0 2 323.303 0.745 20 0 DCADLN CO[C@H]1CCCC[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044355927 752703084 /nfs/dbraw/zinc/70/30/84/752703084.db2.gz IXLVSRBEDMCVCZ-RYUDHWBXSA-N 0 2 323.397 0.358 20 0 DCADLN CO[C@@H]1CCCC[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044355928 752703228 /nfs/dbraw/zinc/70/32/28/752703228.db2.gz IXLVSRBEDMCVCZ-VXGBXAGGSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H](C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC001044382670 752718689 /nfs/dbraw/zinc/71/86/89/752718689.db2.gz AIAYWZHJLIOZFV-JTQLQIEISA-N 0 2 323.397 0.216 20 0 DCADLN Cc1ncoc1C(=O)NC1(C)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045397941 753179427 /nfs/dbraw/zinc/17/94/27/753179427.db2.gz BCRJENMIVPFBMR-UHFFFAOYSA-N 0 2 320.353 0.591 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)O1 ZINC001096039523 753279047 /nfs/dbraw/zinc/27/90/47/753279047.db2.gz RJTSFVYPUBVSGY-LDMBFOFVSA-N 0 2 321.381 0.299 20 0 DCADLN C[C@]1(NC(=O)c2cc(Cl)c[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046134521 753500176 /nfs/dbraw/zinc/50/01/76/753500176.db2.gz CBUDNTAAPOBHBK-ZDUSSCGKSA-N 0 2 324.772 0.886 20 0 DCADLN C[C@]1(NC(=O)c2cc(Cl)c[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046134521 753500189 /nfs/dbraw/zinc/50/01/89/753500189.db2.gz CBUDNTAAPOBHBK-ZDUSSCGKSA-N 0 2 324.772 0.886 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057833643 753573820 /nfs/dbraw/zinc/57/38/20/753573820.db2.gz LMDPAGOEVCAXAG-XHNCKOQMSA-N 0 2 314.279 0.480 20 0 DCADLN C[C@H]1C[C@@H]1C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057833643 753573824 /nfs/dbraw/zinc/57/38/24/753573824.db2.gz LMDPAGOEVCAXAG-XHNCKOQMSA-N 0 2 314.279 0.480 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cccnn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071923449 762230923 /nfs/dbraw/zinc/23/09/23/762230923.db2.gz IRNYHIXMOXWVTQ-VHSXEESVSA-N 0 2 317.353 0.083 20 0 DCADLN C[C@]1(NC(=O)c2cc(F)c[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046494248 753750151 /nfs/dbraw/zinc/75/01/51/753750151.db2.gz QPZDZPAICLJLKZ-ZDUSSCGKSA-N 0 2 308.317 0.372 20 0 DCADLN C[C@]1(NC(=O)c2cc(F)c[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046494248 753750155 /nfs/dbraw/zinc/75/01/55/753750155.db2.gz QPZDZPAICLJLKZ-ZDUSSCGKSA-N 0 2 308.317 0.372 20 0 DCADLN C[C@@]1(NC(=O)c2ccc(=O)[nH]c2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046816533 753960655 /nfs/dbraw/zinc/96/06/55/753960655.db2.gz NRRAYHQNRSOJKT-CQSZACIVSA-N 0 2 318.337 0.005 20 0 DCADLN C[C@@]1(NC(=O)c2ccc(=O)[nH]c2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046816533 753960659 /nfs/dbraw/zinc/96/06/59/753960659.db2.gz NRRAYHQNRSOJKT-CQSZACIVSA-N 0 2 318.337 0.005 20 0 DCADLN CCn1cccc1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046838676 753972890 /nfs/dbraw/zinc/97/28/90/753972890.db2.gz NDONECOXUONABG-OAHLLOKOSA-N 0 2 318.381 0.726 20 0 DCADLN CCn1cccc1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046838676 753972893 /nfs/dbraw/zinc/97/28/93/753972893.db2.gz NDONECOXUONABG-OAHLLOKOSA-N 0 2 318.381 0.726 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046841052 753974011 /nfs/dbraw/zinc/97/40/11/753974011.db2.gz JYYPBSMSXVDOAT-CQSZACIVSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046841052 753974014 /nfs/dbraw/zinc/97/40/14/753974014.db2.gz JYYPBSMSXVDOAT-CQSZACIVSA-N 0 2 304.354 0.541 20 0 DCADLN O=C(c1ccco1)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049287896 755216944 /nfs/dbraw/zinc/21/69/44/755216944.db2.gz FPSSTEDQUYBDCA-MNOVXSKESA-N 0 2 317.349 0.982 20 0 DCADLN O=C(c1ccco1)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049287896 755216950 /nfs/dbraw/zinc/21/69/50/755216950.db2.gz FPSSTEDQUYBDCA-MNOVXSKESA-N 0 2 317.349 0.982 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)on1 ZINC001096793718 755520629 /nfs/dbraw/zinc/52/06/29/755520629.db2.gz LHGKTSOLBVKYNM-BBBLOLIVSA-N 0 2 318.337 0.342 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1CCCO1 ZINC001079449305 755832504 /nfs/dbraw/zinc/83/25/04/755832504.db2.gz KAVPLKRLVHRVPT-GMTAPVOTSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1CCCO1 ZINC001079449305 755832509 /nfs/dbraw/zinc/83/25/09/755832509.db2.gz KAVPLKRLVHRVPT-GMTAPVOTSA-N 0 2 309.370 0.016 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001079901652 756032999 /nfs/dbraw/zinc/03/29/99/756032999.db2.gz UAZMSCOUWHFOLQ-MRVPVSSYSA-N 0 2 323.250 0.378 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]n2)C1 ZINC001079901652 756033005 /nfs/dbraw/zinc/03/30/05/756033005.db2.gz UAZMSCOUWHFOLQ-MRVPVSSYSA-N 0 2 323.250 0.378 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)COC)C1 ZINC001079900363 756033109 /nfs/dbraw/zinc/03/31/09/756033109.db2.gz YMHMNDLBYRDDCQ-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)COC)C1 ZINC001079900363 756033115 /nfs/dbraw/zinc/03/31/15/756033115.db2.gz YMHMNDLBYRDDCQ-SECBINFHSA-N 0 2 300.252 0.593 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)cs1 ZINC001080322042 756165174 /nfs/dbraw/zinc/16/51/74/756165174.db2.gz MDPDBGGLJMOJGC-VXNVDRBHSA-N 0 2 322.394 0.526 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001053780892 756200395 /nfs/dbraw/zinc/20/03/95/756200395.db2.gz WFUOQIMHJNFHRH-VHSXEESVSA-N 0 2 321.381 0.016 20 0 DCADLN CC(=O)N1CCC(CO)(NC(=O)C(F)C(F)(F)F)CC1 ZINC001080885039 756375424 /nfs/dbraw/zinc/37/54/24/756375424.db2.gz WYXPUBKULBFJAG-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N1CCC(CO)(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001080885039 756375428 /nfs/dbraw/zinc/37/54/28/756375428.db2.gz WYXPUBKULBFJAG-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CC[N@@H+]1C[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@@H](O)C1 ZINC001084097114 757672849 /nfs/dbraw/zinc/67/28/49/757672849.db2.gz DRIWELSTXGKXJG-NEPJUHHUSA-N 0 2 301.350 0.267 20 0 DCADLN Cc1cc(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)no1 ZINC001084175806 757709687 /nfs/dbraw/zinc/70/96/87/757709687.db2.gz YOGWSSYMDBFTEH-MWLCHTKSSA-N 0 2 318.337 0.153 20 0 DCADLN O=C(Cc1ccc[nH]1)N1C[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084844081 758078364 /nfs/dbraw/zinc/07/83/64/758078364.db2.gz FSJDYJAVVYECLB-ZYHUDNBSSA-N 0 2 316.365 0.114 20 0 DCADLN O=C(Cc1ccc[nH]1)N1C[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084844081 758078374 /nfs/dbraw/zinc/07/83/74/758078374.db2.gz FSJDYJAVVYECLB-ZYHUDNBSSA-N 0 2 316.365 0.114 20 0 DCADLN O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)[C@]12C[C@H]1CCC2 ZINC001051035051 758386641 /nfs/dbraw/zinc/38/66/41/758386641.db2.gz UQXKBGLCYCLXSF-JRPNMDOOSA-N 0 2 321.381 0.018 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccccn1 ZINC001085459015 758903529 /nfs/dbraw/zinc/90/35/29/758903529.db2.gz XDRDXCYSEBLMHC-JTQLQIEISA-N 0 2 302.338 0.252 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccns1 ZINC001085624284 759135560 /nfs/dbraw/zinc/13/55/60/759135560.db2.gz UUXLYRJSDUUXLD-MRVPVSSYSA-N 0 2 308.367 0.313 20 0 DCADLN Cc1coc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001085776147 759294988 /nfs/dbraw/zinc/29/49/88/759294988.db2.gz KTGCESDWGCPOIG-SNVBAGLBSA-N 0 2 305.338 0.758 20 0 DCADLN O=C(NC[C@@H](CO)Nc1cc(F)ncn1)C(F)C(F)(F)F ZINC001122759928 767869927 /nfs/dbraw/zinc/86/99/27/767869927.db2.gz FXTLKOVAUCCSLU-XNCJUZBTSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](CO)Nc1cc(F)ncn1)[C@H](F)C(F)(F)F ZINC001122759928 767869932 /nfs/dbraw/zinc/86/99/32/767869932.db2.gz FXTLKOVAUCCSLU-XNCJUZBTSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](CO)Nc1cnc(F)cn1)C(F)C(F)(F)F ZINC001122761365 767870991 /nfs/dbraw/zinc/87/09/91/767870991.db2.gz RFDBJRBGDRYPSY-XNCJUZBTSA-N 0 2 314.214 0.405 20 0 DCADLN O=C(NC[C@@H](CO)Nc1cnc(F)cn1)[C@H](F)C(F)(F)F ZINC001122761365 767870996 /nfs/dbraw/zinc/87/09/96/767870996.db2.gz RFDBJRBGDRYPSY-XNCJUZBTSA-N 0 2 314.214 0.405 20 0 DCADLN CC[C@H](C)C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057829330 759573392 /nfs/dbraw/zinc/57/33/92/759573392.db2.gz KCAVMPVYGQGTNQ-DTWKUNHWSA-N 0 2 316.295 0.870 20 0 DCADLN CC[C@H](C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057829330 759573377 /nfs/dbraw/zinc/57/33/77/759573377.db2.gz KCAVMPVYGQGTNQ-DTWKUNHWSA-N 0 2 316.295 0.870 20 0 DCADLN CC(=O)N1CC2(C1)CC[C@H](CNC(=O)C(F)C(F)(F)F)O2 ZINC001068583670 760424736 /nfs/dbraw/zinc/42/47/36/760424736.db2.gz SYVUVSPMOVAIBF-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN CC(=O)N1CC2(C1)CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)O2 ZINC001068583670 760424741 /nfs/dbraw/zinc/42/47/41/760424741.db2.gz SYVUVSPMOVAIBF-BDAKNGLRSA-N 0 2 312.263 0.783 20 0 DCADLN C[NH+]1CCN(C(=O)c2ccnc(NCc3cc(=O)[n-]o3)c2)CC1 ZINC001169482125 760687999 /nfs/dbraw/zinc/68/79/99/760687999.db2.gz XDPTVAHULDRUJY-UHFFFAOYSA-N 0 2 317.349 0.775 20 0 DCADLN CS[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001108965801 761180597 /nfs/dbraw/zinc/18/05/97/761180597.db2.gz KAWCJEGVBLSESG-JXUBOQSCSA-N 0 2 311.411 0.483 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cocn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071388470 761815096 /nfs/dbraw/zinc/81/50/96/761815096.db2.gz GVLAJAMOHICHRE-DTWKUNHWSA-N 0 2 306.326 0.281 20 0 DCADLN CC1(CCC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@@H]2O)CC1 ZINC001100060211 763267437 /nfs/dbraw/zinc/26/74/37/763267437.db2.gz ONKXNUDMRXGKQT-QWRGUYRKSA-N 0 2 323.397 0.142 20 0 DCADLN CCCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131875453 764088064 /nfs/dbraw/zinc/08/80/64/764088064.db2.gz NYUVPOBUMBKEJV-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CCCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131875453 764088066 /nfs/dbraw/zinc/08/80/66/764088066.db2.gz NYUVPOBUMBKEJV-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)OCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131881517 764110800 /nfs/dbraw/zinc/11/08/00/764110800.db2.gz PQHIKFPDXHQTMS-QWRGUYRKSA-N 0 2 311.386 0.404 20 0 DCADLN CC(C)OCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131881517 764110801 /nfs/dbraw/zinc/11/08/01/764110801.db2.gz PQHIKFPDXHQTMS-QWRGUYRKSA-N 0 2 311.386 0.404 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)C(=O)C(C)(C)C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001131935007 764140947 /nfs/dbraw/zinc/14/09/47/764140947.db2.gz QNVWRMAQKWAZAN-NXEZZACHSA-N 0 2 323.397 0.595 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)CC2(O)CCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132017144 764198111 /nfs/dbraw/zinc/19/81/11/764198111.db2.gz MHKKPGWADXAXAR-WDEREUQCSA-N 0 2 323.397 0.285 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)CC2(O)CCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001132017144 764198116 /nfs/dbraw/zinc/19/81/16/764198116.db2.gz MHKKPGWADXAXAR-WDEREUQCSA-N 0 2 323.397 0.285 20 0 DCADLN CC(C)[N@@H+]1CCCC[C@H]1C(=O)NCC[NH2+]Cc1ncccn1 ZINC001134762768 765658515 /nfs/dbraw/zinc/65/85/15/765658515.db2.gz FVEDBRKDUHWNPT-AWEZNQCLSA-N 0 2 305.426 0.945 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001119901590 766856288 /nfs/dbraw/zinc/85/62/88/766856288.db2.gz CHTUJCQZSZFAOD-ZDUSSCGKSA-N 0 2 306.366 0.230 20 0 DCADLN CO[N-]C(=O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001119901590 766856298 /nfs/dbraw/zinc/85/62/98/766856298.db2.gz CHTUJCQZSZFAOD-ZDUSSCGKSA-N 0 2 306.366 0.230 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)c1nnc(CO)n1C ZINC001121292192 767500295 /nfs/dbraw/zinc/50/02/95/767500295.db2.gz ZASGFKHHEHHEQE-UHFFFAOYSA-N 0 2 306.351 0.654 20 0 DCADLN CN(C)C(=O)N1CC[NH+](Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC001138920734 768445678 /nfs/dbraw/zinc/44/56/78/768445678.db2.gz FRBJJNAQFXRSLZ-UHFFFAOYSA-N 0 2 321.377 0.949 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2ccccc2C(=O)[O-])CCN1C(C)=O ZINC001140504459 768533746 /nfs/dbraw/zinc/53/37/46/768533746.db2.gz SZPBXNFJJFZFFN-CQSZACIVSA-N 0 2 320.345 0.591 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2ccccc2C(=O)[O-])CCN1C(C)=O ZINC001140504459 768533751 /nfs/dbraw/zinc/53/37/51/768533751.db2.gz SZPBXNFJJFZFFN-CQSZACIVSA-N 0 2 320.345 0.591 20 0 DCADLN O=C(/C=C\C1CC1)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149528438 768775124 /nfs/dbraw/zinc/77/51/24/768775124.db2.gz IDPKUZPVZHDIOJ-RXNFCKPNSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(c1ccc2oc(=O)nc-2[n-]1)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001150609621 769138965 /nfs/dbraw/zinc/13/89/65/769138965.db2.gz ZTZACONENNDDHR-JTQLQIEISA-N 0 2 314.305 0.438 20 0 DCADLN Cc1cc(CC(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001231335536 769228628 /nfs/dbraw/zinc/22/86/28/769228628.db2.gz UXKIODNSWUPPGH-LLVKDONJSA-N 0 2 320.353 0.082 20 0 DCADLN Cc1cc(-c2nc(C(=O)NN3CC(=O)N(C)C3=O)co2)ccn1 ZINC001151236608 769295513 /nfs/dbraw/zinc/29/55/13/769295513.db2.gz MGIFBHMGLJSOTK-UHFFFAOYSA-N 0 2 315.289 0.584 20 0 DCADLN CCOCCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-] ZINC001233696125 769428806 /nfs/dbraw/zinc/42/88/06/769428806.db2.gz WHEAWAUQNBNKQH-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN CCOCCC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C)c1[O-] ZINC001233696125 769428813 /nfs/dbraw/zinc/42/88/13/769428813.db2.gz WHEAWAUQNBNKQH-LBPRGKRZSA-N 0 2 310.398 0.997 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1cccnc1 ZINC001233715739 769433427 /nfs/dbraw/zinc/43/34/27/769433427.db2.gz MFBLZALIWCAPIL-LBPRGKRZSA-N 0 2 316.365 0.181 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc2[nH]c(=S)[nH]c2c1 ZINC001152287035 769523049 /nfs/dbraw/zinc/52/30/49/769523049.db2.gz UIJXFQKNUXRQKK-VIFPVBQESA-N 0 2 308.344 0.891 20 0 DCADLN CN(C[C@H]1CCN1Cc1nnnn1C)C(=O)C(F)C(F)(F)F ZINC001234423209 769652788 /nfs/dbraw/zinc/65/27/88/769652788.db2.gz DNFNMCNTLINJGM-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@H]1CCN1Cc1nnnn1C)C(=O)[C@@H](F)C(F)(F)F ZINC001234423209 769652792 /nfs/dbraw/zinc/65/27/92/769652792.db2.gz DNFNMCNTLINJGM-VXNVDRBHSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(C2CC2)CC1 ZINC001235684782 769931266 /nfs/dbraw/zinc/93/12/66/769931266.db2.gz KLPSXAIAYSYRQG-LLVKDONJSA-N 0 2 305.382 0.733 20 0 DCADLN NC(=O)c1ccc(CNC(=O)c2c[nH]c3cccnc3c2=O)cc1 ZINC001153859986 769968147 /nfs/dbraw/zinc/96/81/47/769968147.db2.gz DRXXMXRZSADVEN-UHFFFAOYSA-N 0 2 322.324 0.952 20 0 DCADLN Cn1nnc(C(=O)NCCc2n[nH]c(=S)o2)c1C(F)(F)F ZINC001154907499 770334643 /nfs/dbraw/zinc/33/46/43/770334643.db2.gz RLYKIEHUUIESMG-UHFFFAOYSA-N 0 2 322.272 0.478 20 0 DCADLN O=C(Cc1csc(Br)n1)NCc1n[nH]c(=O)[nH]1 ZINC001178292918 770699148 /nfs/dbraw/zinc/69/91/48/770699148.db2.gz NKMHBZNFOVFTEL-UHFFFAOYSA-N 0 2 318.156 0.588 20 0 DCADLN O=C(Cc1nnc(Cc2ccccc2)[nH]1)NCc1n[nH]c(=O)[nH]1 ZINC001178419376 770751441 /nfs/dbraw/zinc/75/14/41/770751441.db2.gz DJXDVVIFNOXZAP-UHFFFAOYSA-N 0 2 313.321 0.078 20 0 DCADLN CO[C@H](C)C(=O)Nc1cccc2c(=O)cc(-c3nn[nH]n3)oc12 ZINC001181457026 771288380 /nfs/dbraw/zinc/28/83/80/771288380.db2.gz FINLQVXSAYMQIS-SSDOTTSWSA-N 0 2 315.289 0.947 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCC(=O)N1c1ccccc1 ZINC001181948813 771374174 /nfs/dbraw/zinc/37/41/74/771374174.db2.gz CIPLABFIRSMOTE-JTQLQIEISA-N 0 2 301.306 0.322 20 0 DCADLN COC[C@H](C)Oc1cc(NC(=O)C(CO)C(F)(F)F)[nH]n1 ZINC001183278671 771626351 /nfs/dbraw/zinc/62/63/51/771626351.db2.gz HYLHRVIOUNQCAU-NKWVEPMBSA-N 0 2 311.260 0.933 20 0 DCADLN COC[C@H](C)Oc1cc(NC(=O)[C@@H](CO)C(F)(F)F)[nH]n1 ZINC001183278671 771626353 /nfs/dbraw/zinc/62/63/53/771626353.db2.gz HYLHRVIOUNQCAU-NKWVEPMBSA-N 0 2 311.260 0.933 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@@H](O)Cc1c[nH]c2ccccc12 ZINC001184299457 771768684 /nfs/dbraw/zinc/76/86/84/771768684.db2.gz GHXFVZANXLEWMF-GWCFXTLKSA-N 0 2 319.342 0.497 20 0 DCADLN CC(C)n1nc(C[NH+](C)C)c2c1CN(C(=O)C1([NH3+])CC1)CC2 ZINC001158698554 771809410 /nfs/dbraw/zinc/80/94/10/771809410.db2.gz PGQXFHIZMZWUBD-UHFFFAOYSA-N 0 2 305.426 0.902 20 0 DCADLN C[N@H+](Cc1ccccc1)[C@@H](CO)C(=O)NC1C(=O)N=CN=C1[O-] ZINC001185224622 771881754 /nfs/dbraw/zinc/88/17/54/771881754.db2.gz RJHIKJQBKGBGTA-NSHDSACASA-N 0 2 318.333 0.319 20 0 DCADLN C[N@@H+](Cc1ccccc1)[C@@H](CO)C(=O)NC1C(=O)N=CN=C1[O-] ZINC001185224622 771881757 /nfs/dbraw/zinc/88/17/57/771881757.db2.gz RJHIKJQBKGBGTA-NSHDSACASA-N 0 2 318.333 0.319 20 0 DCADLN Cc1cnn(C)c1NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185566190 771929500 /nfs/dbraw/zinc/92/95/00/771929500.db2.gz JHNKYTFNHANTEB-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(F)ncc1C(F)(F)F ZINC001185730651 771950875 /nfs/dbraw/zinc/95/08/75/771950875.db2.gz YXTTZXZTFSCUIJ-UHFFFAOYSA-N 0 2 305.191 0.993 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1ccc2n[nH]cc2c1 ZINC001185956253 771975287 /nfs/dbraw/zinc/97/52/87/771975287.db2.gz UOTBCJANLGBIBA-UHFFFAOYSA-N 0 2 307.335 0.553 20 0 DCADLN CO[C@H]1CCn2cc(C(=O)NCCCc3n[nH]c(=O)[nH]3)nc2C1 ZINC001160880601 772131751 /nfs/dbraw/zinc/13/17/51/772131751.db2.gz YVPCOVXSRWPIMR-VIFPVBQESA-N 0 2 320.353 0.031 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)c1nccs1)c1ccccn1 ZINC001187908069 772241123 /nfs/dbraw/zinc/24/11/23/772241123.db2.gz GYXPWAKXZCXVBS-VIFPVBQESA-N 0 2 313.360 0.731 20 0 DCADLN O=C(Nc1ncnc2n[nH]nc21)c1cc(-c2ccncc2)n[nH]1 ZINC001189313192 772453224 /nfs/dbraw/zinc/45/32/24/772453224.db2.gz GSGRLRUDMWQXMR-UHFFFAOYSA-N 0 2 307.277 0.785 20 0 DCADLN COC(=O)c1nc2nc(NCCCc3c[nH][nH]c3=O)ccc2[nH]1 ZINC001164393548 772654071 /nfs/dbraw/zinc/65/40/71/772654071.db2.gz BFUPEZDKMQYALC-MRVPVSSYSA-N 0 2 316.321 0.668 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)C(Cl)(Cl)Cl ZINC001192001931 772851852 /nfs/dbraw/zinc/85/18/52/772851852.db2.gz WYMJEOOSINPQHT-UHFFFAOYSA-N 0 2 308.574 0.945 20 0 DCADLN COC(=O)c1ccc(O)c(C(=O)n2cc(F)c(=O)nc2N)c1 ZINC001192396667 772917311 /nfs/dbraw/zinc/91/73/11/772917311.db2.gz NCZAFPZHOVEUKJ-UHFFFAOYSA-N 0 2 307.237 0.388 20 0 DCADLN O=C(c1c(F)ccc(F)c1O)N1CCN2C(=O)COC[C@@H]2C1 ZINC001192703352 772948989 /nfs/dbraw/zinc/94/89/89/772948989.db2.gz SWYOKCBHITWERF-QMMMGPOBSA-N 0 2 312.272 0.354 20 0 DCADLN O=C(c1ccc(F)c(F)c1O)N1CCN(c2ncncn2)CC1 ZINC001192806946 772962719 /nfs/dbraw/zinc/96/27/19/772962719.db2.gz NPJSUVSVSRXABV-UHFFFAOYSA-N 0 2 321.287 0.818 20 0 DCADLN C[C@@]1(CO)CN(C(=O)c2ccc(O)c(F)c2F)CC[C@@H]1O ZINC001192831245 772965989 /nfs/dbraw/zinc/96/59/89/772965989.db2.gz DVLDHQLWKBXGQF-HZMBPMFUSA-N 0 2 301.289 0.876 20 0 DCADLN Cc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)CCc3nc[nH]n3)C2)on1 ZINC001206798672 773108556 /nfs/dbraw/zinc/10/85/56/773108556.db2.gz LFMBCPCULFDLFM-ZWNOBZJWSA-N 0 2 318.381 0.670 20 0 DCADLN CC(C)OC(=O)c1ncccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001194039521 773142490 /nfs/dbraw/zinc/14/24/90/773142490.db2.gz GAKCUQIJOSTRQQ-UHFFFAOYSA-N 0 2 305.294 0.401 20 0 DCADLN CCN(C)c1ncc(NC(=O)c2cc(C(=O)OC)n[nH]2)cn1 ZINC001194284176 773176208 /nfs/dbraw/zinc/17/62/08/773176208.db2.gz UAHWRWMAFNBZNJ-UHFFFAOYSA-N 0 2 304.310 0.695 20 0 DCADLN CCN(C)c1ncc(NC(=O)c2cc(C(=O)OC)[nH]n2)cn1 ZINC001194284176 773176210 /nfs/dbraw/zinc/17/62/10/773176210.db2.gz UAHWRWMAFNBZNJ-UHFFFAOYSA-N 0 2 304.310 0.695 20 0 DCADLN Cn1ncnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001114308752 773384097 /nfs/dbraw/zinc/38/40/97/773384097.db2.gz LVPZXZQYEKSRAV-WDQPUEAGSA-N 0 2 321.278 0.262 20 0 DCADLN Cn1ncnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(F)(F)F ZINC001114308752 773384104 /nfs/dbraw/zinc/38/41/04/773384104.db2.gz LVPZXZQYEKSRAV-WDQPUEAGSA-N 0 2 321.278 0.262 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2nc[nH]c(=O)c2c1 ZINC001195967835 773508025 /nfs/dbraw/zinc/50/80/25/773508025.db2.gz PWEGARVCLFMXSJ-UHFFFAOYSA-N 0 2 311.319 0.640 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC001195967112 773508307 /nfs/dbraw/zinc/50/83/07/773508307.db2.gz ALVQZAFZDBWOQD-UHFFFAOYSA-N 0 2 314.363 0.693 20 0 DCADLN CCCNC(=O)C[NH+]1CCCN(C(=O)C[N@H+](C)C2CCC2)CC1 ZINC001196172289 773552125 /nfs/dbraw/zinc/55/21/25/773552125.db2.gz XNQGUJKEQDMRIT-UHFFFAOYSA-N 0 2 324.469 0.531 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc(-c2ccncc2)on1 ZINC001196223759 773560786 /nfs/dbraw/zinc/56/07/86/773560786.db2.gz JCKCHJUCEQVMMU-LLVKDONJSA-N 0 2 304.287 0.776 20 0 DCADLN O=C(N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1(CCF)CC1 ZINC001196627534 773625688 /nfs/dbraw/zinc/62/56/88/773625688.db2.gz RZEPCBSLYIKLPZ-UHFFFAOYSA-N 0 2 311.361 0.684 20 0 DCADLN O=C(c1cc(-n2ncc(=O)[nH]c2=O)ccc1Cl)N1CC(=O)C1 ZINC001197988038 773837311 /nfs/dbraw/zinc/83/73/11/773837311.db2.gz MLVYZYNRLQULEH-UHFFFAOYSA-N 0 2 320.692 0.011 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cccc3c2NC(=O)CO3)n1C ZINC001198399059 773916049 /nfs/dbraw/zinc/91/60/49/773916049.db2.gz SRJFUISNNJJYKV-UHFFFAOYSA-N 0 2 322.346 0.860 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2ccc3c(c2)NC(=O)C3=O)n1C ZINC001198403789 773917269 /nfs/dbraw/zinc/91/72/69/773917269.db2.gz KLGUPQFLOIZIPD-UHFFFAOYSA-N 0 2 320.330 0.664 20 0 DCADLN C=CS(=O)(=O)Nc1c(C(=O)OCC)nsc1C(=O)OC ZINC001198573867 773942887 /nfs/dbraw/zinc/94/28/87/773942887.db2.gz RBDKFCNPJBNUSV-UHFFFAOYSA-N 0 2 320.348 0.992 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc(OC2CCC2)ncn1 ZINC001199476670 774126664 /nfs/dbraw/zinc/12/66/64/774126664.db2.gz VYNKEXRMHCTLTI-LLVKDONJSA-N 0 2 308.319 0.447 20 0 DCADLN CCCCOCC[N@H+]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](O)C1 ZINC001220852695 774935760 /nfs/dbraw/zinc/93/57/60/774935760.db2.gz SWEBGTPMPSYGDT-CABCVRRESA-N 0 2 324.425 0.239 20 0 DCADLN COCCCCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221427778 775097417 /nfs/dbraw/zinc/09/74/17/775097417.db2.gz KDNJTZKFGHTWAM-VXGBXAGGSA-N 0 2 323.397 0.360 20 0 DCADLN Cc1n[nH]c(C(=O)NCCCN(C)c2cc[nH+]c(C)n2)c1[O-] ZINC001095514098 775195979 /nfs/dbraw/zinc/19/59/79/775195979.db2.gz ZZQWDCRAJIDTLU-UHFFFAOYSA-N 0 2 304.354 0.778 20 0 DCADLN Cc1cccc(O[C@@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])c1 ZINC001225239459 775658775 /nfs/dbraw/zinc/65/87/75/775658775.db2.gz DRMAAWPDNGJNCT-AWEZNQCLSA-N 0 2 315.391 0.962 20 0 DCADLN O=C(C(F)C(F)(F)F)N(CCO)CCNc1ncccn1 ZINC001111579760 775669876 /nfs/dbraw/zinc/66/98/76/775669876.db2.gz PGMDCHDTCDMBMS-MRVPVSSYSA-N 0 2 310.251 0.610 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N(CCO)CCNc1ncccn1 ZINC001111579760 775669883 /nfs/dbraw/zinc/66/98/83/775669883.db2.gz PGMDCHDTCDMBMS-MRVPVSSYSA-N 0 2 310.251 0.610 20 0 DCADLN C[N@@H+]1CCO[C@H](COc2ccc(O)cc2S(=O)(=O)[O-])C1 ZINC001226413199 775813881 /nfs/dbraw/zinc/81/38/81/775813881.db2.gz OWCLWCAAXFFHTE-JTQLQIEISA-N 0 2 303.336 0.348 20 0 DCADLN C[N@H+]1CCO[C@H](COc2ccc(O)cc2S(=O)(=O)[O-])C1 ZINC001226413199 775813891 /nfs/dbraw/zinc/81/38/91/775813891.db2.gz OWCLWCAAXFFHTE-JTQLQIEISA-N 0 2 303.336 0.348 20 0 DCADLN O=c1nc2c(=O)[nH]c(=O)[nH]c2c(O[C@@H]2COc3cnccc32)[nH]1 ZINC001226886507 775890423 /nfs/dbraw/zinc/89/04/23/775890423.db2.gz NXDJLRIOHHCADF-SSDOTTSWSA-N 0 2 315.245 0.444 20 0 DCADLN O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)Oc1ccc(O)cc1 ZINC001227445359 775974731 /nfs/dbraw/zinc/97/47/31/775974731.db2.gz MCJKIXVSTZQYIC-CYBMUJFWSA-N 0 2 317.363 0.360 20 0 DCADLN Cn1cc(CN2CCC(NC(=O)C(F)C(F)(F)F)CC2)nn1 ZINC001227473734 775976893 /nfs/dbraw/zinc/97/68/93/775976893.db2.gz AUWJDBAYXLPGHS-JTQLQIEISA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnc2nc(=O)[nH]c(O[C@@H]3[C@H]4C[C@@H]5C(=O)O[C@@H]3[C@H]5C4)c21 ZINC001228677458 776112687 /nfs/dbraw/zinc/11/26/87/776112687.db2.gz QPSRSPFJPZENLV-VGVVQYNPSA-N 0 2 302.290 0.398 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cnc(Cl)s1 ZINC001593489639 1167874013 /nfs/dbraw/zinc/87/40/13/1167874013.db2.gz SIRAIQOJXFYJNA-UHFFFAOYSA-N 0 2 311.714 0.287 20 0 DCADLN NS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001601192912 1168648823 /nfs/dbraw/zinc/64/88/23/1168648823.db2.gz XXOQJGIKKYTNOQ-SNVBAGLBSA-N 0 2 302.327 0.387 20 0 DCADLN NS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001601192912 1168648836 /nfs/dbraw/zinc/64/88/36/1168648836.db2.gz XXOQJGIKKYTNOQ-SNVBAGLBSA-N 0 2 302.327 0.387 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC001601643845 1168799560 /nfs/dbraw/zinc/79/95/60/1168799560.db2.gz FGDBVYZOEOSQLE-VXGBXAGGSA-N 0 2 302.346 0.559 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+](C)[C@H](C)C[S@@](C)=O)C(=O)[O-] ZINC001603775018 1169383476 /nfs/dbraw/zinc/38/34/76/1169383476.db2.gz CWCBDVYUQIRQEL-QZXWKGOOSA-N 0 2 306.428 0.301 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+](C)[C@H](C)C[S@@](C)=O)C(=O)[O-] ZINC001603775018 1169383482 /nfs/dbraw/zinc/38/34/82/1169383482.db2.gz CWCBDVYUQIRQEL-QZXWKGOOSA-N 0 2 306.428 0.301 20 0 DCADLN COCCC[C@H](C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001266349153 939088127 /nfs/dbraw/zinc/08/81/27/939088127.db2.gz ALOGPLYCOFFPFR-QWRGUYRKSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(NCCCNC(=O)[C@]1(F)CCOC1)C(F)C(F)(F)F ZINC001350152769 939362982 /nfs/dbraw/zinc/36/29/82/939362982.db2.gz YIDLRDXMIKSPBS-XVKPBYJWSA-N 0 2 318.242 0.638 20 0 DCADLN O=C(NCCCNC(=O)[C@]1(F)CCOC1)[C@H](F)C(F)(F)F ZINC001350152769 939362989 /nfs/dbraw/zinc/36/29/89/939362989.db2.gz YIDLRDXMIKSPBS-XVKPBYJWSA-N 0 2 318.242 0.638 20 0 DCADLN C=C/C(C)=C\CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001267711305 939634301 /nfs/dbraw/zinc/63/43/01/939634301.db2.gz REYKLDTZPDDGPI-UWMOLUOFSA-N 0 2 303.366 0.818 20 0 DCADLN Cc1nonc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001421134611 939711395 /nfs/dbraw/zinc/71/13/95/939711395.db2.gz RLRBGPPNELXLJI-VIFPVBQESA-N 0 2 310.251 0.826 20 0 DCADLN Cc1nonc1CN1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001421134611 939711398 /nfs/dbraw/zinc/71/13/98/939711398.db2.gz RLRBGPPNELXLJI-VIFPVBQESA-N 0 2 310.251 0.826 20 0 DCADLN Cc1conc1C[NH2+]CC=CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001268529683 940218537 /nfs/dbraw/zinc/21/85/37/940218537.db2.gz ULNYYYZZSBVMHJ-ARJAWSKDSA-N 0 2 305.338 0.796 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2nncs2)c1[O-] ZINC001268530207 940219065 /nfs/dbraw/zinc/21/90/65/940219065.db2.gz YCPCLXIUYWUJLB-IHWYPQMZSA-N 0 2 308.367 0.351 20 0 DCADLN O=C(Nc1nnco1)c1noc2c1CN(C(=O)C1(F)CC1)CC2 ZINC001268934119 940409439 /nfs/dbraw/zinc/40/94/39/940409439.db2.gz YLKQIPCYAPFRCZ-UHFFFAOYSA-N 0 2 321.268 0.697 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001409146844 941551955 /nfs/dbraw/zinc/55/19/55/941551955.db2.gz GQINKESCXHMFER-SNVBAGLBSA-N 0 2 322.262 0.427 20 0 DCADLN O=C(Cc1ncc[nH]1)N1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409146844 941551958 /nfs/dbraw/zinc/55/19/58/941551958.db2.gz GQINKESCXHMFER-SNVBAGLBSA-N 0 2 322.262 0.427 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2nccc(C)n2)c1[O-] ZINC001482997469 941766205 /nfs/dbraw/zinc/76/62/05/941766205.db2.gz PCQBZAGUCGJUTM-ARJAWSKDSA-N 0 2 316.365 0.598 20 0 DCADLN C[C@@H](C[NH2+]CC(=O)NC(C)(C)C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001483234996 942015763 /nfs/dbraw/zinc/01/57/63/942015763.db2.gz WLJKDZJXXPRWOG-NSHDSACASA-N 0 2 309.414 0.351 20 0 DCADLN COCC(=O)NC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001409519965 942031966 /nfs/dbraw/zinc/03/19/66/942031966.db2.gz ZGMZECHUODRCJI-MWLCHTKSSA-N 0 2 314.279 0.934 20 0 DCADLN COCC(=O)NC[C@@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001409519965 942031970 /nfs/dbraw/zinc/03/19/70/942031970.db2.gz ZGMZECHUODRCJI-MWLCHTKSSA-N 0 2 314.279 0.934 20 0 DCADLN CCC1(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC001483884519 942997509 /nfs/dbraw/zinc/99/75/09/942997509.db2.gz MBJIXRIMDMWTKC-UHFFFAOYSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)CCCF)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001483875302 943002633 /nfs/dbraw/zinc/00/26/33/943002633.db2.gz PQXYGKHOPSTHSL-UHFFFAOYSA-N 0 2 321.356 0.415 20 0 DCADLN CN(C(=O)C1(C(C)(F)F)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001483878638 943004281 /nfs/dbraw/zinc/00/42/81/943004281.db2.gz CFTAMHXQMISASH-UHFFFAOYSA-N 0 2 315.324 0.588 20 0 DCADLN C[C@H]1Cc2n[nH]cc2CN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC001413549103 943064267 /nfs/dbraw/zinc/06/42/67/943064267.db2.gz CRIQUEPFOGXLAX-XVKPBYJWSA-N 0 2 307.379 0.487 20 0 DCADLN Cc1noc([C@H]2CCC[N@H+](CCS(=O)(=O)CC(=O)[O-])C2)n1 ZINC001611266176 970960683 /nfs/dbraw/zinc/96/06/83/970960683.db2.gz JTLLPDQDYUMDJS-JTQLQIEISA-N 0 2 317.367 0.057 20 0 DCADLN Cc1noc([C@H]2CCC[N@@H+](CCS(=O)(=O)CC(=O)[O-])C2)n1 ZINC001611266176 970960691 /nfs/dbraw/zinc/96/06/91/970960691.db2.gz JTLLPDQDYUMDJS-JTQLQIEISA-N 0 2 317.367 0.057 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001484058004 943120210 /nfs/dbraw/zinc/12/02/10/943120210.db2.gz NMMHRSHFQXZMIX-MEBBXXQBSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccco1 ZINC001484058004 943120215 /nfs/dbraw/zinc/12/02/15/943120215.db2.gz NMMHRSHFQXZMIX-MEBBXXQBSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H](O)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001484195898 943187158 /nfs/dbraw/zinc/18/71/58/943187158.db2.gz MWBHQRLOITWIDQ-MNOVXSKESA-N 0 2 316.352 0.406 20 0 DCADLN CON1CCC(C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)CC1 ZINC001413656466 943552307 /nfs/dbraw/zinc/55/23/07/943552307.db2.gz AJQRSRYOTVZOTC-UHFFFAOYSA-N 0 2 324.381 0.471 20 0 DCADLN Cc1c[nH+]cn1CC(=O)NC[C@@H]1CCC[N@H+](Cc2cnon2)C1 ZINC001491110935 943758036 /nfs/dbraw/zinc/75/80/36/943758036.db2.gz KRKLFGHEMYLOCJ-ZDUSSCGKSA-N 0 2 318.381 0.603 20 0 DCADLN CCc1nn(C)cc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485314622 943818615 /nfs/dbraw/zinc/81/86/15/943818615.db2.gz XYOWAKHJKOBSDV-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CCc1nn(C)cc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485314622 943818619 /nfs/dbraw/zinc/81/86/19/943818619.db2.gz XYOWAKHJKOBSDV-VIFPVBQESA-N 0 2 321.385 0.057 20 0 DCADLN CC(C)[C@H](F)C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001491221402 943838921 /nfs/dbraw/zinc/83/89/21/943838921.db2.gz GQCDIHHOKZGHIJ-PWSUYJOCSA-N 0 2 313.377 0.975 20 0 DCADLN O=C([O-])[C@@H]1CCN(C[C@@H](O)C[NH+]2CCOCC2)c2ccccc21 ZINC000323951409 971064305 /nfs/dbraw/zinc/06/43/05/971064305.db2.gz DBMCXQNOLYLPIT-DZGCQCFKSA-N 0 2 320.389 0.758 20 0 DCADLN CC/C(C)=C\C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001272850159 944277572 /nfs/dbraw/zinc/27/75/72/944277572.db2.gz DTRZRCAHRWEQLI-YAEJEKNGSA-N 0 2 309.370 0.184 20 0 DCADLN CNC(=O)[C@@H](C)[N@H+]1CC=C(CNC(=O)Cn2cncc2C)CC1 ZINC001486511411 944944296 /nfs/dbraw/zinc/94/42/96/944944296.db2.gz QXQGUPQSHIFZFT-CYBMUJFWSA-N 0 2 319.409 0.074 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2ccsc2[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001317455347 944979960 /nfs/dbraw/zinc/97/99/60/944979960.db2.gz IOPWJOJRZDNMIE-UHFFFAOYSA-N 0 2 320.378 0.915 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2ccsc2[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC001317455347 944979969 /nfs/dbraw/zinc/97/99/69/944979969.db2.gz IOPWJOJRZDNMIE-UHFFFAOYSA-N 0 2 320.378 0.915 20 0 DCADLN CC(C)(C)C(=O)C(=O)NCCN(Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001317549215 945042631 /nfs/dbraw/zinc/04/26/31/945042631.db2.gz NHKAPKUCMOZSQN-UHFFFAOYSA-N 0 2 309.370 0.206 20 0 DCADLN CS(=O)(=O)CCn1cc(-n2[nH]c(=O)c3cc(N)ccc32)cn1 ZINC001249678218 945642867 /nfs/dbraw/zinc/64/28/67/945642867.db2.gz OTCWPSUCXLMCEE-UHFFFAOYSA-N 0 2 321.362 0.554 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2cccc3cccnc32)S1 ZINC001251020904 945706216 /nfs/dbraw/zinc/70/62/16/945706216.db2.gz MUXZCTCZJMKIQT-NSHDSACASA-N 0 2 323.355 0.822 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2ccc(Cl)cc2)S1 ZINC001251743988 945779310 /nfs/dbraw/zinc/77/93/10/945779310.db2.gz FOXZNBWVRJJLNO-MRVPVSSYSA-N 0 2 306.752 0.927 20 0 DCADLN Cc1nnc(C[NH2+]CC[C@@H](C)NC(=O)CCc2nnc[nH]2)o1 ZINC001320390293 945855731 /nfs/dbraw/zinc/85/57/31/945855731.db2.gz VFPPENHJKNDXGS-SECBINFHSA-N 0 2 307.358 0.113 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cnc2ccccc2c1 ZINC001320626973 945954535 /nfs/dbraw/zinc/95/45/35/945954535.db2.gz WWWLXGMEEPEKIS-UHFFFAOYSA-N 0 2 312.289 0.313 20 0 DCADLN O=C(CS(=O)(=O)C1CCCC1)NCCc1n[nH]c(=S)o1 ZINC001320741788 945998839 /nfs/dbraw/zinc/99/88/39/945998839.db2.gz KUKCEVQYVXBCGJ-UHFFFAOYSA-N 0 2 319.408 0.374 20 0 DCADLN O=C([O-])c1cccc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)c1 ZINC001602442522 971200543 /nfs/dbraw/zinc/20/05/43/971200543.db2.gz MSPGTKMNSXRHOG-UHFFFAOYSA-N 0 2 316.361 0.981 20 0 DCADLN Cc1[nH]ncc1CNC(=O)C(=O)NCCc1ccc(O)cc1 ZINC001321573180 946383327 /nfs/dbraw/zinc/38/33/27/946383327.db2.gz BUNXXQVKYZHNQQ-UHFFFAOYSA-N 0 2 302.334 0.399 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)[N-]OCCC(F)(F)F ZINC001321631782 946404965 /nfs/dbraw/zinc/40/49/65/946404965.db2.gz XREZAGYXOUVTIZ-QMMMGPOBSA-N 0 2 308.260 0.388 20 0 DCADLN CC(C)CO[N-]C(=O)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC001321658619 946417028 /nfs/dbraw/zinc/41/70/28/946417028.db2.gz NVWSFIPZDOLVSS-UHFFFAOYSA-N 0 2 308.382 0.582 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc(F)cc2F)ccn1 ZINC001255999119 946569696 /nfs/dbraw/zinc/56/96/96/946569696.db2.gz WWKJIPOLTBCQPY-UHFFFAOYSA-N 0 2 313.285 0.681 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc(C(=O)NC(C)C)cc1 ZINC001259024431 946896498 /nfs/dbraw/zinc/89/64/98/946896498.db2.gz QHQYQUOPMCZYQY-UHFFFAOYSA-N 0 2 314.363 0.740 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc2c(c1)C(=O)NC2(C)C ZINC001259026726 946899637 /nfs/dbraw/zinc/89/96/37/946899637.db2.gz ACTCARSBBMZTOR-UHFFFAOYSA-N 0 2 312.347 0.580 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccnn2Cc2ccccn2)cn1 ZINC001259821173 946989048 /nfs/dbraw/zinc/98/90/48/946989048.db2.gz LULQZOTWZZDXLZ-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN COc1ncnc(NS(=O)(=O)C2CCOCC2)c1OC ZINC001259908435 947014636 /nfs/dbraw/zinc/01/46/36/947014636.db2.gz NTMCDVRNTRJKGI-UHFFFAOYSA-N 0 2 303.340 0.415 20 0 DCADLN COC(=O)c1cscc1NS(=O)(=O)CS(C)(=O)=O ZINC001260583910 947116296 /nfs/dbraw/zinc/11/62/96/947116296.db2.gz QDGTZWQIBQRMHX-UHFFFAOYSA-N 0 2 313.378 0.279 20 0 DCADLN CS(=O)(=O)c1cccc(S(=O)(=O)Nc2nnco2)c1 ZINC001260598920 947127769 /nfs/dbraw/zinc/12/77/69/947127769.db2.gz PXKNPTPPDPCWKT-UHFFFAOYSA-N 0 2 303.321 0.274 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2cccc(S(C)(=O)=O)c2)o1 ZINC001260598972 947128202 /nfs/dbraw/zinc/12/82/02/947128202.db2.gz STIDORVMJQLYIC-UHFFFAOYSA-N 0 2 317.348 0.582 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnn(C)c2C(N)=O)cc1C ZINC001260632502 947131535 /nfs/dbraw/zinc/13/15/35/947131535.db2.gz FGBOAGPTIWWVGW-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN O=S(=O)(Nc1ccc2c(cnn2CCO)c1)c1cn[nH]c1 ZINC001260960539 947159482 /nfs/dbraw/zinc/15/94/82/947159482.db2.gz UBCRZVRMYHXPBG-UHFFFAOYSA-N 0 2 307.335 0.553 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCO1 ZINC001411190620 947461315 /nfs/dbraw/zinc/46/13/15/947461315.db2.gz VLZVVUYBAZYHOZ-NSHDSACASA-N 0 2 311.386 0.358 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCO1 ZINC001411190620 947461319 /nfs/dbraw/zinc/46/13/19/947461319.db2.gz VLZVVUYBAZYHOZ-NSHDSACASA-N 0 2 311.386 0.358 20 0 DCADLN C/C(=C\C(=O)Nc1cnn(CC(=O)[O-])c1)C[NH+]1CCOCC1 ZINC001602736502 971425647 /nfs/dbraw/zinc/42/56/47/971425647.db2.gz XNPRPRJNVHKEEL-IZZDOVSWSA-N 0 2 308.338 0.185 20 0 DCADLN Cn1cnc2c1ncnc2NCCNC(=O)C(F)C(F)(F)F ZINC001094182918 947805391 /nfs/dbraw/zinc/80/53/91/947805391.db2.gz UTBXTOKFMONOMX-ZETCQYMHSA-N 0 2 320.250 0.792 20 0 DCADLN Cn1cnc2c1ncnc2NCCNC(=O)[C@H](F)C(F)(F)F ZINC001094182918 947805401 /nfs/dbraw/zinc/80/54/01/947805401.db2.gz UTBXTOKFMONOMX-ZETCQYMHSA-N 0 2 320.250 0.792 20 0 DCADLN Cn1cnc2c1ncnc2NCCNC(=O)C(F)C(F)(F)F ZINC001094182917 947806175 /nfs/dbraw/zinc/80/61/75/947806175.db2.gz UTBXTOKFMONOMX-SSDOTTSWSA-N 0 2 320.250 0.792 20 0 DCADLN Cn1cnc2c1ncnc2NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001094182917 947806179 /nfs/dbraw/zinc/80/61/79/947806179.db2.gz UTBXTOKFMONOMX-SSDOTTSWSA-N 0 2 320.250 0.792 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC001326174341 948081347 /nfs/dbraw/zinc/08/13/47/948081347.db2.gz XXFHAAXMUIYQPS-MFKMUULPSA-N 0 2 302.396 0.031 20 0 DCADLN CCC(=CC(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)CC ZINC001326625986 948223162 /nfs/dbraw/zinc/22/31/62/948223162.db2.gz GMCUJZJSARZNIJ-LBPRGKRZSA-N 0 2 323.397 0.574 20 0 DCADLN C[C@]1(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)C=CCC1 ZINC001326646418 948230692 /nfs/dbraw/zinc/23/06/92/948230692.db2.gz DHQVZSRYRVYVIG-NHYWBVRUSA-N 0 2 321.381 0.184 20 0 DCADLN CCO[N-]C(=O)CNC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001326762354 948270815 /nfs/dbraw/zinc/27/08/15/948270815.db2.gz OGLSKOYJCOHNCO-LBPRGKRZSA-N 0 2 323.397 0.452 20 0 DCADLN Cc1csc(=O)n1CCC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001364393554 949179097 /nfs/dbraw/zinc/17/90/97/949179097.db2.gz HMRSZYATIOUJAD-VIFPVBQESA-N 0 2 310.379 0.925 20 0 DCADLN CC[C@]1(C(=O)[O-])CCC[N@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589604373 949222857 /nfs/dbraw/zinc/22/28/57/949222857.db2.gz BGONWOZYOGNSDC-HNNXBMFYSA-N 0 2 318.333 0.607 20 0 DCADLN CC[C@]1(C(=O)[O-])CCC[N@@H+](CN2C(=O)c3nccnc3C2=O)C1 ZINC001589604373 949222878 /nfs/dbraw/zinc/22/28/78/949222878.db2.gz BGONWOZYOGNSDC-HNNXBMFYSA-N 0 2 318.333 0.607 20 0 DCADLN Cn1cc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001364510004 949454875 /nfs/dbraw/zinc/45/48/75/949454875.db2.gz JAYMBAOGLGRNQU-JTQLQIEISA-N 0 2 305.342 0.333 20 0 DCADLN COCc1nnc(CNCc2cn(C[C@@H]3CCCO3)nn2)[nH]1 ZINC001364618480 949642859 /nfs/dbraw/zinc/64/28/59/949642859.db2.gz YPFCAMUMDJHOQQ-NSHDSACASA-N 0 2 307.358 0.011 20 0 DCADLN CCC[N@H+]([C@H](CC)C(=O)OCC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001589659952 949856979 /nfs/dbraw/zinc/85/69/79/949856979.db2.gz WBVSCEQTVGLTPH-VXGBXAGGSA-N 0 2 314.382 0.726 20 0 DCADLN CCC[N@@H+]([C@H](CC)C(=O)OCC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001589659952 949856995 /nfs/dbraw/zinc/85/69/95/949856995.db2.gz WBVSCEQTVGLTPH-VXGBXAGGSA-N 0 2 314.382 0.726 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](c2ncccn2)C2CC2)S1 ZINC001364918135 950188856 /nfs/dbraw/zinc/18/88/56/950188856.db2.gz LREBZVKWTHLQFB-WCBMZHEXSA-N 0 2 305.363 0.600 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)C2(CF)CCC2)[nH]1 ZINC001364996685 950320216 /nfs/dbraw/zinc/32/02/16/950320216.db2.gz ACAIURNRDVHNEN-UHFFFAOYSA-N 0 2 304.347 0.105 20 0 DCADLN CC[C@H]1CCC[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364997686 950323104 /nfs/dbraw/zinc/32/31/04/950323104.db2.gz LOZGXJULZVYXOV-VHSXEESVSA-N 0 2 314.411 0.792 20 0 DCADLN CNC(=O)C[N@@H+]1CCC[C@@H]1CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001266207385 950474019 /nfs/dbraw/zinc/47/40/19/950474019.db2.gz MLJRPRBJHBXJLG-NSHDSACASA-N 0 2 323.397 0.144 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@@H]1CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001266207385 950474027 /nfs/dbraw/zinc/47/40/27/950474027.db2.gz MLJRPRBJHBXJLG-NSHDSACASA-N 0 2 323.397 0.144 20 0 DCADLN COC(=O)c1ccc(CC(=O)N[C@H](C)c2nn(C)cc2O)cn1 ZINC001365121749 950555137 /nfs/dbraw/zinc/55/51/37/950555137.db2.gz VAMIBSJCCPBQQC-SECBINFHSA-N 0 2 318.333 0.727 20 0 DCADLN C[C@@H](NC(=O)C1CCN(C(=O)N(C)C)CC1)c1nn(C)cc1O ZINC001365121891 950557757 /nfs/dbraw/zinc/55/77/57/950557757.db2.gz WKGXPELPNZQBPH-SNVBAGLBSA-N 0 2 323.397 0.697 20 0 DCADLN Cn1cccc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1=O ZINC001365125254 950565274 /nfs/dbraw/zinc/56/52/74/950565274.db2.gz GSBVUFJEIAGAMT-VIFPVBQESA-N 0 2 318.337 0.621 20 0 DCADLN CC1(c2nnc(-c3cccnc3)o2)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001330701630 950597694 /nfs/dbraw/zinc/59/76/94/950597694.db2.gz BASVHRZDTAMBQM-UHFFFAOYSA-N 0 2 313.321 0.729 20 0 DCADLN CCC(C)(C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001365264788 950840628 /nfs/dbraw/zinc/84/06/28/950840628.db2.gz KIRSHTHXEDMBGU-UHFFFAOYSA-N 0 2 303.388 0.337 20 0 DCADLN CCOC(=O)C1(O)CC[NH+](Cc2c(C(=O)[O-])cnn2C)CC1 ZINC001590180266 950874958 /nfs/dbraw/zinc/87/49/58/950874958.db2.gz XLVQUFVVSFJAED-UHFFFAOYSA-N 0 2 311.338 0.008 20 0 DCADLN CCn1cc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC001365413329 951096633 /nfs/dbraw/zinc/09/66/33/951096633.db2.gz CZOCJDZRIZUYOL-VIFPVBQESA-N 0 2 320.357 0.211 20 0 DCADLN Cc1cc(=O)n2nc(NC(=O)c3cc(C4CC4)no3)[nH]c2n1 ZINC001331447474 951125213 /nfs/dbraw/zinc/12/52/13/951125213.db2.gz HSARUHPCHBTUIE-UHFFFAOYSA-N 0 2 300.278 0.844 20 0 DCADLN CN(C)C(=O)N1CCC[C@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC001253235746 951233007 /nfs/dbraw/zinc/23/30/07/951233007.db2.gz YKUNVUZZRGLVJM-QMMMGPOBSA-N 0 2 317.333 0.614 20 0 DCADLN Cc1nnc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)s1 ZINC001365577975 951287798 /nfs/dbraw/zinc/28/77/98/951287798.db2.gz JTBMDYSYSZFRRS-MRVPVSSYSA-N 0 2 323.382 0.759 20 0 DCADLN C[C@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)n1cccc1 ZINC001365623517 951335235 /nfs/dbraw/zinc/33/52/35/951335235.db2.gz LXBDKFRUMFQJDX-LLVKDONJSA-N 0 2 304.354 0.217 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001595041052 951423348 /nfs/dbraw/zinc/42/33/48/951423348.db2.gz LZHWIQJVXRSUIC-NSHDSACASA-N 0 2 322.365 0.487 20 0 DCADLN COCCOC[N@@H+]1CC[C@H]2[C@@H]1CCN2C(=O)c1cc(=O)n(C)[n-]1 ZINC001274804534 951839848 /nfs/dbraw/zinc/83/98/48/951839848.db2.gz FFDLWGQGGXJSAJ-STQMWFEESA-N 0 2 324.381 0.035 20 0 DCADLN COCCOC[N@H+]1CC[C@H]2[C@@H]1CCN2C(=O)c1cc(=O)n(C)[n-]1 ZINC001274804534 951839853 /nfs/dbraw/zinc/83/98/53/951839853.db2.gz FFDLWGQGGXJSAJ-STQMWFEESA-N 0 2 324.381 0.035 20 0 DCADLN O=C([O-])COCCNc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC001595112767 951917225 /nfs/dbraw/zinc/91/72/25/951917225.db2.gz IOLYHCRIELYALU-NSHDSACASA-N 0 2 310.354 0.198 20 0 DCADLN O=C([O-])COCCNc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC001595112767 951917236 /nfs/dbraw/zinc/91/72/36/951917236.db2.gz IOLYHCRIELYALU-NSHDSACASA-N 0 2 310.354 0.198 20 0 DCADLN O=C([O-])CS(=O)(=O)CCSc1[nH+]cc2ccccn21 ZINC001595124997 952006572 /nfs/dbraw/zinc/00/65/72/952006572.db2.gz JGQOJMBROKUGSG-UHFFFAOYSA-N 0 2 300.361 0.926 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]1CCCN1C=O ZINC001333783305 952153715 /nfs/dbraw/zinc/15/37/15/952153715.db2.gz QKJJKOIXYPNTAF-SNVBAGLBSA-N 0 2 320.374 0.976 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn(C)cn1 ZINC001411564592 952277389 /nfs/dbraw/zinc/27/73/89/952277389.db2.gz BADPDVMHCNVIIY-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cn(C)cn1 ZINC001411564592 952277393 /nfs/dbraw/zinc/27/73/93/952277393.db2.gz BADPDVMHCNVIIY-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](O)[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001411946998 952559713 /nfs/dbraw/zinc/55/97/13/952559713.db2.gz OQNIMELVEPEFDR-ONGXEEELSA-N 0 2 318.333 0.109 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2CCNC3=O)S1 ZINC001412242671 952710975 /nfs/dbraw/zinc/71/09/75/952710975.db2.gz BLHWEUGQQSOBIY-SNVBAGLBSA-N 0 2 318.358 0.467 20 0 DCADLN C/C(=C\C(=O)N[C@](C)(CCF)C(=O)[O-])C[NH+]1CCOCC1 ZINC001339540356 953101292 /nfs/dbraw/zinc/10/12/92/953101292.db2.gz TZJUIUQGSZJILS-CFRMROPGSA-N 0 2 302.346 0.584 20 0 DCADLN C[C@]1(CO)CCCN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412807364 953106415 /nfs/dbraw/zinc/10/64/15/953106415.db2.gz IIFKVDJIPBIXAZ-OAHLLOKOSA-N 0 2 318.333 0.253 20 0 DCADLN CC(C)C1(O)CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001412836106 953158489 /nfs/dbraw/zinc/15/84/89/953158489.db2.gz TZRBXYARESZLCU-UHFFFAOYSA-N 0 2 318.333 0.109 20 0 DCADLN CC(C)c1cnc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)s1 ZINC001343643521 953361654 /nfs/dbraw/zinc/36/16/54/953361654.db2.gz PVQWMVZBRFBQCM-UHFFFAOYSA-N 0 2 310.339 0.345 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001594758563 953488455 /nfs/dbraw/zinc/48/84/55/953488455.db2.gz YDQBWNQCXPYVMM-XQHKEYJVSA-N 0 2 311.382 0.402 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC001594758563 953488460 /nfs/dbraw/zinc/48/84/60/953488460.db2.gz YDQBWNQCXPYVMM-XQHKEYJVSA-N 0 2 311.382 0.402 20 0 DCADLN C[C@H](NC(=O)C[NH2+][C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1)C1CC1 ZINC001366096215 953677079 /nfs/dbraw/zinc/67/70/79/953677079.db2.gz AVPITUBQNYFGLJ-AAEUAGOBSA-N 0 2 319.409 0.057 20 0 DCADLN CCc1nc(C[N@@H+]2C[C@@H](C(=O)[O-])C3(CC(C(=O)OC)C3)C2)no1 ZINC001593648478 953691548 /nfs/dbraw/zinc/69/15/48/953691548.db2.gz IRPDPMZIOTUKQB-VOZQYIJBSA-N 0 2 323.349 0.718 20 0 DCADLN CCc1nc(C[N@H+]2C[C@@H](C(=O)[O-])C3(CC(C(=O)OC)C3)C2)no1 ZINC001593648478 953691554 /nfs/dbraw/zinc/69/15/54/953691554.db2.gz IRPDPMZIOTUKQB-VOZQYIJBSA-N 0 2 323.349 0.718 20 0 DCADLN CCOCCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001282857102 953700541 /nfs/dbraw/zinc/70/05/41/953700541.db2.gz PIPDYUPWWZELEJ-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN CCOCCC(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001282857102 953700545 /nfs/dbraw/zinc/70/05/45/953700545.db2.gz PIPDYUPWWZELEJ-SNVBAGLBSA-N 0 2 314.279 0.984 20 0 DCADLN CCO[C@H](CC)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001282936963 953792149 /nfs/dbraw/zinc/79/21/49/953792149.db2.gz NYRZWKZLDSTSGK-HTQZYQBOSA-N 0 2 302.268 0.934 20 0 DCADLN CCO[C@H](CC)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001282936963 953792162 /nfs/dbraw/zinc/79/21/62/953792162.db2.gz NYRZWKZLDSTSGK-HTQZYQBOSA-N 0 2 302.268 0.934 20 0 DCADLN CN(C)[C@H](C(=O)Nc1cc(C(=O)[O-])ccn1)c1c[nH+]cn1C ZINC001593690875 954030253 /nfs/dbraw/zinc/03/02/53/954030253.db2.gz JNYWQCQRHYFXRT-LBPRGKRZSA-N 0 2 303.322 0.755 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N[C@@H](C)CCC[NH2+]Cc1cnon1 ZINC001283204310 954136050 /nfs/dbraw/zinc/13/60/50/954136050.db2.gz JUUWNFWFYJNBST-NSHDSACASA-N 0 2 306.370 0.649 20 0 DCADLN CN1CCn2c(C[N@H+](C)CCC3(C(=O)[O-])CCC3)nnc2C1=O ZINC001593741951 954355285 /nfs/dbraw/zinc/35/52/85/954355285.db2.gz FSIRNNCVRGMGMD-UHFFFAOYSA-N 0 2 321.381 0.441 20 0 DCADLN CN1CCn2c(C[N@@H+](C)CCC3(C(=O)[O-])CCC3)nnc2C1=O ZINC001593741951 954355300 /nfs/dbraw/zinc/35/53/00/954355300.db2.gz FSIRNNCVRGMGMD-UHFFFAOYSA-N 0 2 321.381 0.441 20 0 DCADLN CC[N@H+](CCNC(=O)CC[C@@H]1CCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001366611181 954511335 /nfs/dbraw/zinc/51/13/35/954511335.db2.gz ZHIREAAACFRREW-LLVKDONJSA-N 0 2 311.386 0.265 20 0 DCADLN CC[N@@H+](CCNC(=O)CC[C@@H]1CCOC1)Cc1n[nH]c(=O)[n-]1 ZINC001366611181 954511336 /nfs/dbraw/zinc/51/13/36/954511336.db2.gz ZHIREAAACFRREW-LLVKDONJSA-N 0 2 311.386 0.265 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1nnnn1C ZINC001366616033 954521139 /nfs/dbraw/zinc/52/11/39/954521139.db2.gz PGKMTIQHMQEXJP-QMMMGPOBSA-N 0 2 312.271 0.049 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1nnnn1C ZINC001366616033 954521148 /nfs/dbraw/zinc/52/11/48/954521148.db2.gz PGKMTIQHMQEXJP-QMMMGPOBSA-N 0 2 312.271 0.049 20 0 DCADLN O=C(CCCn1cccn1)NCCNC(=O)C(F)C(F)(F)F ZINC001349049828 954583988 /nfs/dbraw/zinc/58/39/88/954583988.db2.gz OFMYMIUREMXDFC-JTQLQIEISA-N 0 2 324.278 0.796 20 0 DCADLN O=C(CCCn1cccn1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001349049828 954583998 /nfs/dbraw/zinc/58/39/98/954583998.db2.gz OFMYMIUREMXDFC-JTQLQIEISA-N 0 2 324.278 0.796 20 0 DCADLN Cc1ccnc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001349961445 954944720 /nfs/dbraw/zinc/94/47/20/954944720.db2.gz AOAGBKNDEYXKRU-QMMMGPOBSA-N 0 2 322.262 0.922 20 0 DCADLN Cc1ccnc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001349961445 954944733 /nfs/dbraw/zinc/94/47/33/954944733.db2.gz AOAGBKNDEYXKRU-QMMMGPOBSA-N 0 2 322.262 0.922 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)OC ZINC001589477936 954963996 /nfs/dbraw/zinc/96/39/96/954963996.db2.gz QJGITTHVOFXMKF-JTQLQIEISA-N 0 2 311.338 0.501 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)OC ZINC001589477936 954964002 /nfs/dbraw/zinc/96/40/02/954964002.db2.gz QJGITTHVOFXMKF-JTQLQIEISA-N 0 2 311.338 0.501 20 0 DCADLN CC[C@H](CNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C)C(=O)[O-] ZINC001589527466 955131482 /nfs/dbraw/zinc/13/14/82/955131482.db2.gz ZBJSSJULBBFBMH-YNEHKIRRSA-N 0 2 313.398 0.602 20 0 DCADLN CC[C@H](CNC(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001589551210 955216631 /nfs/dbraw/zinc/21/66/31/955216631.db2.gz ZQYUSUPZCWBVFI-CYBMUJFWSA-N 0 2 323.393 0.661 20 0 DCADLN COC(=O)Cc1ccccc1C[NH+]1CCN(C(=O)C(=O)[O-])CC1 ZINC001593853306 955476506 /nfs/dbraw/zinc/47/65/06/955476506.db2.gz GXRIPONEFQMZBD-UHFFFAOYSA-N 0 2 320.345 0.131 20 0 DCADLN Cn1cc([C@@H]2C[C@H](C(=O)[O-])CN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001594537160 955585429 /nfs/dbraw/zinc/58/54/29/955585429.db2.gz AOTOBHHJFOXBOT-NEPJUHHUSA-N 0 2 317.349 0.334 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001589083157 955878513 /nfs/dbraw/zinc/87/85/13/955878513.db2.gz IWZODVVZVMJZGA-QMMMGPOBSA-N 0 2 319.321 0.652 20 0 DCADLN C[C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001589083157 955878530 /nfs/dbraw/zinc/87/85/30/955878530.db2.gz IWZODVVZVMJZGA-QMMMGPOBSA-N 0 2 319.321 0.652 20 0 DCADLN C[C@@H](CNC(=O)c1ccc2[nH]nnc2c1)NC(=O)c1ccn[nH]1 ZINC001285710896 955927295 /nfs/dbraw/zinc/92/72/95/955927295.db2.gz BQDABQJWMHJGAM-QMMMGPOBSA-N 0 2 313.321 0.229 20 0 DCADLN Cn1ncc(C(=O)[O-])c1C[NH+]1CC(CNC(=O)C(F)(F)F)C1 ZINC001594578915 955944699 /nfs/dbraw/zinc/94/46/99/955944699.db2.gz HJVGXUWCSYEPQK-UHFFFAOYSA-N 0 2 320.271 0.229 20 0 DCADLN C[C@@H](NC(=O)Nc1cncc(C(=O)[O-])c1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001589109318 956060592 /nfs/dbraw/zinc/06/05/92/956060592.db2.gz WMZQCWGKHFVYFN-MFKMUULPSA-N 0 2 321.381 0.536 20 0 DCADLN C[C@@H](NC(=O)Nc1cncc(C(=O)[O-])c1)[C@@H]1CN(C)CC[N@H+]1C ZINC001589109318 956060602 /nfs/dbraw/zinc/06/06/02/956060602.db2.gz WMZQCWGKHFVYFN-MFKMUULPSA-N 0 2 321.381 0.536 20 0 DCADLN CO[C@H](C(=O)[O-])C(=O)N[C@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC001593924163 956104344 /nfs/dbraw/zinc/10/43/44/956104344.db2.gz XJCYKVZOQZVBKI-OCCSQVGLSA-N 0 2 317.345 0.920 20 0 DCADLN C[C@@](O)(CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1)C(=O)[O-] ZINC001589138619 956250410 /nfs/dbraw/zinc/25/04/10/956250410.db2.gz GYBSJNKFPUEZKX-XJKSGUPXSA-N 0 2 321.377 0.388 20 0 DCADLN C[C@@](O)(CNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1)C(=O)[O-] ZINC001589138619 956250419 /nfs/dbraw/zinc/25/04/19/956250419.db2.gz GYBSJNKFPUEZKX-XJKSGUPXSA-N 0 2 321.377 0.388 20 0 DCADLN CCOCCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001463581158 1013144680 /nfs/dbraw/zinc/14/46/80/1013144680.db2.gz FBDKQKMXBXCKNL-UHFFFAOYSA-N 0 2 306.322 0.423 20 0 DCADLN Cc1n[nH]c(C(F)(F)F)c1C(=O)NCCCc1c[nH][nH]c1=O ZINC001354101776 956285351 /nfs/dbraw/zinc/28/53/51/956285351.db2.gz HKIISDLADPUDGI-ZETCQYMHSA-N 0 2 317.271 0.979 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(CC(=O)[O-])cc1 ZINC000388561776 972177987 /nfs/dbraw/zinc/17/79/87/972177987.db2.gz NFADLCQRMCYQLS-UHFFFAOYSA-N 0 2 309.347 0.526 20 0 DCADLN COCCOCC(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001354304710 956342982 /nfs/dbraw/zinc/34/29/82/956342982.db2.gz IIKRVXPCGDXJBZ-VXNVDRBHSA-N 0 2 318.267 0.171 20 0 DCADLN COCCOCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001354304710 956342975 /nfs/dbraw/zinc/34/29/75/956342975.db2.gz IIKRVXPCGDXJBZ-VXNVDRBHSA-N 0 2 318.267 0.171 20 0 DCADLN COCCOCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001354304705 956343679 /nfs/dbraw/zinc/34/36/79/956343679.db2.gz IIKRVXPCGDXJBZ-CBAPKCEASA-N 0 2 318.267 0.171 20 0 DCADLN COCCOCC(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001354304705 956343688 /nfs/dbraw/zinc/34/36/88/956343688.db2.gz IIKRVXPCGDXJBZ-CBAPKCEASA-N 0 2 318.267 0.171 20 0 DCADLN COCC[N@H+](Cc1ccccn1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001594012573 957009783 /nfs/dbraw/zinc/00/97/83/957009783.db2.gz SPHBVPSWDJQDKV-CYBMUJFWSA-N 0 2 307.350 0.216 20 0 DCADLN COCC[N@@H+](Cc1ccccn1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001594012573 957009799 /nfs/dbraw/zinc/00/97/99/957009799.db2.gz SPHBVPSWDJQDKV-CYBMUJFWSA-N 0 2 307.350 0.216 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCOCC1)C(F)C(F)(F)F ZINC001292684875 957109085 /nfs/dbraw/zinc/10/90/85/957109085.db2.gz FVSJGWCVEIMBJV-RKDXNWHRSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCNC(=O)[C@@H]1CCCOCC1)[C@@H](F)C(F)(F)F ZINC001292684875 957109092 /nfs/dbraw/zinc/10/90/92/957109092.db2.gz FVSJGWCVEIMBJV-RKDXNWHRSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001603158893 972259481 /nfs/dbraw/zinc/25/94/81/972259481.db2.gz JSRIWUYIUAPYBB-UPJWGTAASA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)OC(=O)C1(CC(=O)[O-])CCOCC1 ZINC001603159181 972260888 /nfs/dbraw/zinc/26/08/88/972260888.db2.gz SSYDIPILVZLLNV-LBPRGKRZSA-N 0 2 315.366 0.522 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCCCCn2cc[nH+]c2)c[nH]1 ZINC000045107503 957451658 /nfs/dbraw/zinc/45/16/58/957451658.db2.gz SHOQCCPROUOXET-UHFFFAOYSA-N 0 2 312.351 0.668 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000055311793 957544377 /nfs/dbraw/zinc/54/43/77/957544377.db2.gz NPDLWBAKIRYWOW-MRVPVSSYSA-N 0 2 313.335 0.850 20 0 DCADLN C[C@@H](CNC(=O)c1ccn[nH]1)NC(=O)c1ccc2[nH]nnc2c1 ZINC001295424667 957701729 /nfs/dbraw/zinc/70/17/29/957701729.db2.gz JWGRFCGSAPHCLY-QMMMGPOBSA-N 0 2 313.321 0.229 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)C1(C(=O)[O-])C(C)(C)C1(C)C ZINC001339150352 957854972 /nfs/dbraw/zinc/85/49/72/957854972.db2.gz BVPVKYASFKMCHU-NSHDSACASA-N 0 2 312.410 0.960 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)C1(C(=O)[O-])C(C)(C)C1(C)C ZINC001339150352 957854986 /nfs/dbraw/zinc/85/49/86/957854986.db2.gz BVPVKYASFKMCHU-NSHDSACASA-N 0 2 312.410 0.960 20 0 DCADLN Cc1coc(C)c1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367507417 957917424 /nfs/dbraw/zinc/91/74/24/957917424.db2.gz POQXFEFUOBGYGE-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1coc(C)c1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367507417 957917452 /nfs/dbraw/zinc/91/74/52/957917452.db2.gz POQXFEFUOBGYGE-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c2nccn21 ZINC001361450528 957978976 /nfs/dbraw/zinc/97/89/76/957978976.db2.gz JUNIYNSTLCFJRY-WCBMZHEXSA-N 0 2 313.321 0.090 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001367546021 958006747 /nfs/dbraw/zinc/00/67/47/958006747.db2.gz NPNSBGZUYOLFDW-NSHDSACASA-N 0 2 318.381 0.599 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001367546021 958006763 /nfs/dbraw/zinc/00/67/63/958006763.db2.gz NPNSBGZUYOLFDW-NSHDSACASA-N 0 2 318.381 0.599 20 0 DCADLN CCO[C@@H]1CCC[C@H]1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361500180 958026478 /nfs/dbraw/zinc/02/64/78/958026478.db2.gz ZPGAOCQWEFXSNI-GHMZBOCLSA-N 0 2 305.338 0.145 20 0 DCADLN CCO[C@H]1C[C@@H](NC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC001361720316 958254217 /nfs/dbraw/zinc/25/42/17/958254217.db2.gz FNJSGANEBNTMCJ-PHIMTYICSA-N 0 2 304.350 0.557 20 0 DCADLN Cn1nccc1[C@@H]1NC(=O)CC[C@@H]1NC(=O)C(F)C(F)(F)F ZINC001548831818 1013171888 /nfs/dbraw/zinc/17/18/88/1013171888.db2.gz NJHPMQYFGUTSHT-WQGWLQIFSA-N 0 2 322.262 0.756 20 0 DCADLN Cn1nccc1[C@@H]1NC(=O)CC[C@@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001548831818 1013171892 /nfs/dbraw/zinc/17/18/92/1013171892.db2.gz NJHPMQYFGUTSHT-WQGWLQIFSA-N 0 2 322.262 0.756 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001430149680 1013174939 /nfs/dbraw/zinc/17/49/39/1013174939.db2.gz XCWNREJXNCYMET-ZXFLCMHBSA-N 0 2 314.279 0.811 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430149680 1013174948 /nfs/dbraw/zinc/17/49/48/1013174948.db2.gz XCWNREJXNCYMET-ZXFLCMHBSA-N 0 2 314.279 0.811 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)C[C@@H]1C ZINC000110718555 958394752 /nfs/dbraw/zinc/39/47/52/958394752.db2.gz RSCVPTARIXVFJP-NSHDSACASA-N 0 2 319.427 0.054 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)N2CCC(C(=O)[O-])CC2)C[C@@H]1C ZINC000110718555 958394761 /nfs/dbraw/zinc/39/47/61/958394761.db2.gz RSCVPTARIXVFJP-NSHDSACASA-N 0 2 319.427 0.054 20 0 DCADLN Cc1n[nH]c(NC(=O)CCOC(=O)CNC(=O)C(C)(C)C)n1 ZINC001361971330 958555349 /nfs/dbraw/zinc/55/53/49/958555349.db2.gz PGGJWUWIFFWFGQ-UHFFFAOYSA-N 0 2 311.342 0.147 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(Cl)c[nH]1 ZINC001367785504 958572643 /nfs/dbraw/zinc/57/26/43/958572643.db2.gz WPDKIKFLXNNPIM-SSDOTTSWSA-N 0 2 312.761 0.742 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc(Cl)c[nH]1 ZINC001367785504 958572656 /nfs/dbraw/zinc/57/26/56/958572656.db2.gz WPDKIKFLXNNPIM-SSDOTTSWSA-N 0 2 312.761 0.742 20 0 DCADLN COc1cccc([C@H]2CN(C(=O)COCC(=O)[O-])CC[NH2+]2)c1 ZINC001594125652 958635279 /nfs/dbraw/zinc/63/52/79/958635279.db2.gz WBNBVHIYTODUTN-CYBMUJFWSA-N 0 2 308.334 0.269 20 0 DCADLN O=C(CSc1n[nH]c(=O)[n-]1)N1CC(Oc2cc[nH+]cc2)C1 ZINC001362050655 958656228 /nfs/dbraw/zinc/65/62/28/958656228.db2.gz WOINZXGUMFJZTL-UHFFFAOYSA-N 0 2 307.335 0.287 20 0 DCADLN Cc1cn(C2CCOCC2)nc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362212415 958939114 /nfs/dbraw/zinc/93/91/14/958939114.db2.gz LEKSWSPYINHQPX-UHFFFAOYSA-N 0 2 306.326 0.297 20 0 DCADLN Cn1nc(C(=O)OC(C)(C)C)cc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362216016 958942887 /nfs/dbraw/zinc/94/28/87/958942887.db2.gz XNTAYNAXISEKNR-UHFFFAOYSA-N 0 2 322.325 0.129 20 0 DCADLN C[C@H](C(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)[O-])n1cc[nH+]c1 ZINC001588556390 959055994 /nfs/dbraw/zinc/05/59/94/959055994.db2.gz DWZSSKWMSSXCSI-MFKMUULPSA-N 0 2 303.318 0.962 20 0 DCADLN CN(Cc1nc[nH]n1)C(=O)c1ncc(Br)cc1O ZINC001362386368 959256819 /nfs/dbraw/zinc/25/68/19/959256819.db2.gz QMNXPMIJCLHCBI-UHFFFAOYSA-N 0 2 312.127 0.940 20 0 DCADLN CN(Cc1nnc[nH]1)C(=O)c1ncc(Br)cc1O ZINC001362386368 959256831 /nfs/dbraw/zinc/25/68/31/959256831.db2.gz QMNXPMIJCLHCBI-UHFFFAOYSA-N 0 2 312.127 0.940 20 0 DCADLN COc1ccc(C(=O)N2CCSC[C@@H]2c2nn[nH]n2)c(O)c1 ZINC001362389664 959261325 /nfs/dbraw/zinc/26/13/25/959261325.db2.gz CANISUHXNPWFDC-SNVBAGLBSA-N 0 2 321.362 0.844 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)CC[C@H]1O ZINC001362408268 959294272 /nfs/dbraw/zinc/29/42/72/959294272.db2.gz RREYDIXTNLHSDB-JOYOIKCWSA-N 0 2 318.333 0.109 20 0 DCADLN Cc1nc(N2CC[NH+](CC[S@@](C)=O)CC2)sc1C(=O)[O-] ZINC001594456997 959428621 /nfs/dbraw/zinc/42/86/21/959428621.db2.gz TUOSBFJAQFITJL-HXUWFJFHSA-N 0 2 317.436 0.650 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@@H+](C)CCc2cnn(C)c2)c1[O-] ZINC001492226629 959721247 /nfs/dbraw/zinc/72/12/47/959721247.db2.gz MFOMRSWAZYRAPH-UHFFFAOYSA-N 0 2 306.370 0.062 20 0 DCADLN Cc1[nH]nc(C(=O)NCC[N@H+](C)CCc2cnn(C)c2)c1[O-] ZINC001492226629 959721257 /nfs/dbraw/zinc/72/12/57/959721257.db2.gz MFOMRSWAZYRAPH-UHFFFAOYSA-N 0 2 306.370 0.062 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nnc(C3CC3)s2)S1 ZINC001362751573 959932263 /nfs/dbraw/zinc/93/22/63/959932263.db2.gz DRSKMLCLYIKLCM-ZCFIWIBFSA-N 0 2 311.392 0.588 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CCC[C@H]1CNC(=O)Cn1c[nH+]cc1C ZINC001377399148 960033740 /nfs/dbraw/zinc/03/37/40/960033740.db2.gz BYYNUHPSNBFXCF-STQMWFEESA-N 0 2 307.398 0.036 20 0 DCADLN CC1=C(C)C(=O)N(CCC(=O)N[C@@H](C)c2nn(C)cc2O)C1=O ZINC001304550587 960090491 /nfs/dbraw/zinc/09/04/91/960090491.db2.gz CELTUARNVOXWAK-JTQLQIEISA-N 0 2 320.349 0.398 20 0 DCADLN COC(CNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1)OC ZINC001305830540 960114951 /nfs/dbraw/zinc/11/49/51/960114951.db2.gz MGPVZBYSMIGFHR-UHFFFAOYSA-N 0 2 324.255 0.807 20 0 DCADLN COC(=O)c1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)ccc1C ZINC001306660078 960131291 /nfs/dbraw/zinc/13/12/91/960131291.db2.gz KVBGZTSAFYWGEI-UHFFFAOYSA-N 0 2 318.333 0.968 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H]2[C@@H]2CCC[C@H]2O)S1 ZINC001362898527 960148037 /nfs/dbraw/zinc/14/80/37/960148037.db2.gz JDAOQYFZBSPUQH-ZDCRXTMVSA-N 0 2 311.407 0.695 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NC[C@@H](C)c1ncon1 ZINC001362990274 960265817 /nfs/dbraw/zinc/26/58/17/960265817.db2.gz PHWMTKMEPDPQKY-SFYZADRCSA-N 0 2 322.287 0.992 20 0 DCADLN Cn1ccnc1[C@@H]1N(C(=O)C[C@@H]2SC(=N)NC2=O)CC1(C)C ZINC001363008933 960293394 /nfs/dbraw/zinc/29/33/94/960293394.db2.gz VHKCUHKFRXWGPK-WPRPVWTQSA-N 0 2 321.406 0.886 20 0 DCADLN COc1cc(OC)cc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001363037552 960328745 /nfs/dbraw/zinc/32/87/45/960328745.db2.gz MIIYCITZHJZEIZ-UHFFFAOYSA-N 0 2 305.290 0.835 20 0 DCADLN COc1cc(OC)cc(C(=O)NCC2=NC(=O)CC(=O)N2)c1 ZINC001363037552 960328752 /nfs/dbraw/zinc/32/87/52/960328752.db2.gz MIIYCITZHJZEIZ-UHFFFAOYSA-N 0 2 305.290 0.835 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CC(=O)N[C@@H]2CCCC[C@@H]21)c1nn(C)cc1O ZINC001363054341 960351607 /nfs/dbraw/zinc/35/16/07/960351607.db2.gz WVROKDJPXKQFKI-IRCOFANPSA-N 0 2 320.393 0.998 20 0 DCADLN CN(CC(C)(C)CO)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363159054 960503670 /nfs/dbraw/zinc/50/36/70/960503670.db2.gz LHZOPTYUULCWQG-UHFFFAOYSA-N 0 2 320.349 0.357 20 0 DCADLN O=C(N[C@@H]1CCC[N@@H+](C[C@H](O)CC(F)(F)F)C1)c1ncn[nH]1 ZINC001377825054 960743445 /nfs/dbraw/zinc/74/34/45/960743445.db2.gz UMCAKHYACCTKBC-RKDXNWHRSA-N 0 2 321.303 0.312 20 0 DCADLN O=C(N[C@@H]1CCC[N@H+](C[C@H](O)CC(F)(F)F)C1)c1ncn[nH]1 ZINC001377825054 960743462 /nfs/dbraw/zinc/74/34/62/960743462.db2.gz UMCAKHYACCTKBC-RKDXNWHRSA-N 0 2 321.303 0.312 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cncn1C ZINC001377828419 960754236 /nfs/dbraw/zinc/75/42/36/960754236.db2.gz BOVKBYPBSRNNGC-XVKPBYJWSA-N 0 2 324.278 0.484 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cc(C(F)(F)F)n[nH]2)S1 ZINC001363293953 960816516 /nfs/dbraw/zinc/81/65/16/960816516.db2.gz TXIMNEKVZICOBO-YFKPBYRVSA-N 0 2 321.284 0.601 20 0 DCADLN CCC[NH+](C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NC(C)C)C1 ZINC001377864901 960843663 /nfs/dbraw/zinc/84/36/63/960843663.db2.gz YZOLTVOPLWNOSE-AWEZNQCLSA-N 0 2 312.458 0.433 20 0 DCADLN Cc1cncc(CCC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001571076976 960962378 /nfs/dbraw/zinc/96/23/78/960962378.db2.gz PRPPFNDEFRHMKX-CYBMUJFWSA-N 0 2 302.334 0.858 20 0 DCADLN Cc1cncc(CCC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001571076976 960962386 /nfs/dbraw/zinc/96/23/86/960962386.db2.gz PRPPFNDEFRHMKX-CYBMUJFWSA-N 0 2 302.334 0.858 20 0 DCADLN CSc1nc(=O)[nH]c(C)c1C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001363414322 961106756 /nfs/dbraw/zinc/10/67/56/961106756.db2.gz LXXNCWHEKPICNA-MRVPVSSYSA-N 0 2 309.351 0.399 20 0 DCADLN O=C(Cn1cccn1)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001378632618 961163542 /nfs/dbraw/zinc/16/35/42/961163542.db2.gz DZTKYODROBTFLY-VIFPVBQESA-N 0 2 322.262 0.549 20 0 DCADLN O=C(Cn1cccn1)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001378632618 961163550 /nfs/dbraw/zinc/16/35/50/961163550.db2.gz DZTKYODROBTFLY-VIFPVBQESA-N 0 2 322.262 0.549 20 0 DCADLN CC1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CCC1 ZINC001378664751 961230757 /nfs/dbraw/zinc/23/07/57/961230757.db2.gz MSRMRFUNMGEMAX-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nnn(C)n1 ZINC001378041031 961261064 /nfs/dbraw/zinc/26/10/64/961261064.db2.gz BLTYLSDOYROARD-POYBYMJQSA-N 0 2 312.271 0.047 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1nnn(C)n1 ZINC001378041031 961261083 /nfs/dbraw/zinc/26/10/83/961261083.db2.gz BLTYLSDOYROARD-POYBYMJQSA-N 0 2 312.271 0.047 20 0 DCADLN CC(C)(NC(=O)C[C@H]1SC(=N)NC1=O)[C@H](O)C(F)(F)F ZINC001363515897 961329094 /nfs/dbraw/zinc/32/90/94/961329094.db2.gz CBOKYMDZAJOZAG-FBCQKBJTSA-N 0 2 313.301 0.361 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCC(=O)c2cccn21 ZINC001571127719 961519108 /nfs/dbraw/zinc/51/91/08/961519108.db2.gz CNRXDMSPGOZARL-PWSUYJOCSA-N 0 2 316.317 0.541 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCC(=O)c2cccn21 ZINC001571127719 961519125 /nfs/dbraw/zinc/51/91/25/961519125.db2.gz CNRXDMSPGOZARL-PWSUYJOCSA-N 0 2 316.317 0.541 20 0 DCADLN Cc1cn(C)nc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001430224850 1013265260 /nfs/dbraw/zinc/26/52/60/1013265260.db2.gz YHTVLUXZQKVXIO-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cn(C)nc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001430224850 1013265267 /nfs/dbraw/zinc/26/52/67/1013265267.db2.gz YHTVLUXZQKVXIO-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cnc(C[NH+]2CCC(NC(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)nc1 ZINC001571137400 961610989 /nfs/dbraw/zinc/61/09/89/961610989.db2.gz FEDBYOORWWCASC-CHWSQXEVSA-N 0 2 318.377 0.586 20 0 DCADLN CCN(C(=O)[C@@H](OC)C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378202180 961652768 /nfs/dbraw/zinc/65/27/68/961652768.db2.gz RQWKIAWXIIEESB-YPMHNXCESA-N 0 2 323.397 0.358 20 0 DCADLN CCN(C(=O)[C@@H](OC)C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001378202180 961652778 /nfs/dbraw/zinc/65/27/78/961652778.db2.gz RQWKIAWXIIEESB-YPMHNXCESA-N 0 2 323.397 0.358 20 0 DCADLN C[C@@H](NC(=O)C[C@@H]1CCCS1(=O)=O)c1nn(C)cc1O ZINC001363666963 961659870 /nfs/dbraw/zinc/65/98/70/961659870.db2.gz OHPMLFOFCCOZST-BDAKNGLRSA-N 0 2 301.368 0.270 20 0 DCADLN COc1cc(C[N@H+](C)[C@H](C)CNC(=O)C[NH+](C)C(C)C)on1 ZINC001378230844 961713239 /nfs/dbraw/zinc/71/32/39/961713239.db2.gz LXETTYIVUXNUFT-GFCCVEGCSA-N 0 2 312.414 0.960 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1C[C@H]2[C@@H](C1)C2(F)F ZINC001363769713 961842967 /nfs/dbraw/zinc/84/29/67/961842967.db2.gz JEONMKNNJUULMX-AOOOYVTPSA-N 0 2 322.271 0.603 20 0 DCADLN C[C@H](NC(=O)C1CCCC1)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001571156571 961880701 /nfs/dbraw/zinc/88/07/01/961880701.db2.gz ZREFXLNUDNRLLW-JQWIXIFHSA-N 0 2 322.365 0.147 20 0 DCADLN O=C(CN1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)Nc1ccccn1 ZINC001363795454 961899524 /nfs/dbraw/zinc/89/95/24/961899524.db2.gz JANPDRFDHNISEI-SNVBAGLBSA-N 0 2 302.338 0.723 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1ccncc1 ZINC001379077743 962045425 /nfs/dbraw/zinc/04/54/25/962045425.db2.gz OWQJOSKUXARGOV-UHFFFAOYSA-N 0 2 318.381 0.428 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCc1ccncc1 ZINC001379077743 962045440 /nfs/dbraw/zinc/04/54/40/962045440.db2.gz OWQJOSKUXARGOV-UHFFFAOYSA-N 0 2 318.381 0.428 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001379810417 962070061 /nfs/dbraw/zinc/07/00/61/962070061.db2.gz UCHKHUSDLKELNO-ATEVWHNOSA-N 0 2 319.409 0.739 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001379810417 962070091 /nfs/dbraw/zinc/07/00/91/962070091.db2.gz UCHKHUSDLKELNO-ATEVWHNOSA-N 0 2 319.409 0.739 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCO1 ZINC001379097657 962098078 /nfs/dbraw/zinc/09/80/78/962098078.db2.gz ZKYMEZUKRKNDQX-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCO1 ZINC001379097657 962098098 /nfs/dbraw/zinc/09/80/98/962098098.db2.gz ZKYMEZUKRKNDQX-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN COC(=O)c1coc(CNS(=O)(=O)c2conc2C)n1 ZINC001363992022 962252966 /nfs/dbraw/zinc/25/29/66/962252966.db2.gz MHKBGVYGBVSYEQ-UHFFFAOYSA-N 0 2 301.280 0.236 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@H](CO)c1cccnc1 ZINC001364003896 962272531 /nfs/dbraw/zinc/27/25/31/962272531.db2.gz ASVNJOCXGBKDQC-LLVKDONJSA-N 0 2 321.424 0.457 20 0 DCADLN Cc1nn[nH]c1C(=O)N(C)C[C@H](C)[NH2+][C@@H](C)c1nncn1C ZINC001379193073 962322865 /nfs/dbraw/zinc/32/28/65/962322865.db2.gz BNCAUYDYNLDSJJ-WPRPVWTQSA-N 0 2 306.374 0.053 20 0 DCADLN C[N@@H+]1CCCC[C@@H]1C(=O)NC[C@@H](O)C[NH2+]C/C(Cl)=C\Cl ZINC001379231374 962417074 /nfs/dbraw/zinc/41/70/74/962417074.db2.gz QVZNKGLJTZSKPC-RBWIOGKGSA-N 0 2 324.252 0.856 20 0 DCADLN CC(C)NC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)NCC(=O)[O-] ZINC001588927987 962442075 /nfs/dbraw/zinc/44/20/75/962442075.db2.gz OJBOAMXUZKMTEI-LLVKDONJSA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)NC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)NCC(=O)[O-] ZINC001588927987 962442088 /nfs/dbraw/zinc/44/20/88/962442088.db2.gz OJBOAMXUZKMTEI-LLVKDONJSA-N 0 2 315.370 0.176 20 0 DCADLN O=C(Nc1ccncc1F)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364102009 962473093 /nfs/dbraw/zinc/47/30/93/962473093.db2.gz ZTNYNAORJSOUCE-MRVPVSSYSA-N 0 2 306.301 0.878 20 0 DCADLN O=C(Nc1nccc(Br)c1O)[C@@H]1COC(=O)N1 ZINC001364308685 962871197 /nfs/dbraw/zinc/87/11/97/962871197.db2.gz UVOQNAVJDAIUAX-YFKPBYRVSA-N 0 2 302.084 0.597 20 0 DCADLN C[C@@H](CNS(=O)(=O)c1cc(C(=O)[O-])no1)[NH+]1CCCC1 ZINC000316005437 963963385 /nfs/dbraw/zinc/96/33/85/963963385.db2.gz FGLNUCAWNTVVOK-QMMMGPOBSA-N 0 2 303.340 0.135 20 0 DCADLN COCCC(C)(C)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001375359885 964303063 /nfs/dbraw/zinc/30/30/63/964303063.db2.gz QAWOVIIGGUIYJW-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001369076116 964370730 /nfs/dbraw/zinc/37/07/30/964370730.db2.gz PRGFJTTVOIRQEL-XCBNKYQSSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2nncn2C)C1 ZINC001369076116 964370736 /nfs/dbraw/zinc/37/07/36/964370736.db2.gz PRGFJTTVOIRQEL-XCBNKYQSSA-N 0 2 323.294 0.652 20 0 DCADLN COCCC1(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001375662934 964684109 /nfs/dbraw/zinc/68/41/09/964684109.db2.gz VDKLKONHDACSLR-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN COCCC1(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1 ZINC001375662934 964684116 /nfs/dbraw/zinc/68/41/16/964684116.db2.gz VDKLKONHDACSLR-MNOVXSKESA-N 0 2 323.397 0.406 20 0 DCADLN CCn1ncnc1CN1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001375677266 964704190 /nfs/dbraw/zinc/70/41/90/964704190.db2.gz XEAHCVIJYVEMBP-JTQLQIEISA-N 0 2 323.294 0.841 20 0 DCADLN CCn1ncnc1CN1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001375677266 964704196 /nfs/dbraw/zinc/70/41/96/964704196.db2.gz XEAHCVIJYVEMBP-JTQLQIEISA-N 0 2 323.294 0.841 20 0 DCADLN CCC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCC1(F)F ZINC001375984347 965039527 /nfs/dbraw/zinc/03/95/27/965039527.db2.gz VAMNYKRPKLZPJV-QMMMGPOBSA-N 0 2 303.313 0.494 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001376035085 965114724 /nfs/dbraw/zinc/11/47/24/965114724.db2.gz BQOCLPCPSSOXAL-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@@H](C(=O)NCC1(O)C[NH+](Cc2cccc(C)c2)C1)[NH+](C)C ZINC001376268312 965459486 /nfs/dbraw/zinc/45/94/86/965459486.db2.gz AQVDJKYQNYYTDW-INIZCTEOSA-N 0 2 319.449 0.998 20 0 DCADLN CCn1ccnc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001374666298 965727850 /nfs/dbraw/zinc/72/78/50/965727850.db2.gz SQDBSTOAAXYXNY-MRVPVSSYSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1ccnc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374666298 965727854 /nfs/dbraw/zinc/72/78/54/965727854.db2.gz SQDBSTOAAXYXNY-MRVPVSSYSA-N 0 2 322.262 0.744 20 0 DCADLN CCO[C@@H](C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001375037854 966271731 /nfs/dbraw/zinc/27/17/31/966271731.db2.gz LXPUBBVWVBLRME-ZYHUDNBSSA-N 0 2 313.402 0.508 20 0 DCADLN CCO[C@@H](C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001375037854 966271737 /nfs/dbraw/zinc/27/17/37/966271737.db2.gz LXPUBBVWVBLRME-ZYHUDNBSSA-N 0 2 313.402 0.508 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCN(C(=O)N2CCOCC2)CC1 ZINC000049706623 967533212 /nfs/dbraw/zinc/53/32/12/967533212.db2.gz KWRVXWKEICPUJG-UHFFFAOYSA-N 0 2 320.349 0.354 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372004046 967540343 /nfs/dbraw/zinc/54/03/43/967540343.db2.gz RCPGEZNZGLRSQI-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001372004046 967540354 /nfs/dbraw/zinc/54/03/54/967540354.db2.gz RCPGEZNZGLRSQI-SCZZXKLOSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccnnc2)C1)C(F)C(F)(F)F ZINC001372052184 967604644 /nfs/dbraw/zinc/60/46/44/967604644.db2.gz MFZRWDIRGPNMLN-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccnnc2)C1)[C@@H](F)C(F)(F)F ZINC001372052184 967604661 /nfs/dbraw/zinc/60/46/61/967604661.db2.gz MFZRWDIRGPNMLN-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN CC[NH+](CC)CC(=O)N1CC[C@H](NC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001372237044 967776694 /nfs/dbraw/zinc/77/66/94/967776694.db2.gz GRMPJGLORRVBFZ-NSHDSACASA-N 0 2 323.397 0.096 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001372266397 967813113 /nfs/dbraw/zinc/81/31/13/967813113.db2.gz BVTMFMWYDDBLSA-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1nncc1C(=O)N1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001372266397 967813115 /nfs/dbraw/zinc/81/31/15/967813115.db2.gz BVTMFMWYDDBLSA-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN CC[C@H](CNC(=O)NC(C)(C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000308616243 967930337 /nfs/dbraw/zinc/93/03/37/967930337.db2.gz JKVGRQRRRPOMAU-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C[N@@H+]1CCC(C)(C)C1 ZINC001448161950 1013794665 /nfs/dbraw/zinc/79/46/65/1013794665.db2.gz HSNAXFUPTXGZAH-LBPRGKRZSA-N 0 2 321.425 0.305 20 0 DCADLN CCOCC(=O)NC[C@@H](CC)NC(=O)C(F)C(F)(F)F ZINC001498891311 1019502752 /nfs/dbraw/zinc/50/27/52/1019502752.db2.gz DCPVVTUHROVFLM-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN CCOCC(=O)NC[C@@H](CC)NC(=O)[C@H](F)C(F)(F)F ZINC001498891311 1019502799 /nfs/dbraw/zinc/50/27/99/1019502799.db2.gz DCPVVTUHROVFLM-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cccc(=O)[nH]1 ZINC001372902126 968514438 /nfs/dbraw/zinc/51/44/38/968514438.db2.gz HUODEJQJGIWUJS-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cccc(=O)[nH]1 ZINC001372902126 968514445 /nfs/dbraw/zinc/51/44/45/968514445.db2.gz HUODEJQJGIWUJS-MUWHJKNJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnc[nH]c1=O ZINC001372911371 968522691 /nfs/dbraw/zinc/52/26/91/968522691.db2.gz VJADKFZLPHDFPZ-FSPLSTOPSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnc[nH]c1=O ZINC001372911371 968522698 /nfs/dbraw/zinc/52/26/98/968522698.db2.gz VJADKFZLPHDFPZ-FSPLSTOPSA-N 0 2 324.234 0.317 20 0 DCADLN CCO[C@@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCCC1 ZINC001373444793 969104041 /nfs/dbraw/zinc/10/40/41/969104041.db2.gz IEFHJHCSDUMXDV-CYBMUJFWSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1 ZINC001373547882 969216772 /nfs/dbraw/zinc/21/67/72/969216772.db2.gz ZSMQHFZQTXCHKQ-LLVKDONJSA-N 0 2 315.377 0.862 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2nnn(C)n2)C1 ZINC001448287250 1013859830 /nfs/dbraw/zinc/85/98/30/1013859830.db2.gz HBBDLFPYBUTNCQ-RCOVLWMOSA-N 0 2 324.282 0.047 20 0 DCADLN C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(Cc2nnn(C)n2)C1 ZINC001448287250 1013859832 /nfs/dbraw/zinc/85/98/32/1013859832.db2.gz HBBDLFPYBUTNCQ-RCOVLWMOSA-N 0 2 324.282 0.047 20 0 DCADLN CN1CCCC[C@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)C1=O ZINC001448450672 1013915801 /nfs/dbraw/zinc/91/58/01/1013915801.db2.gz FICADEWMOADNGJ-MNOVXSKESA-N 0 2 321.381 0.475 20 0 DCADLN C[C@@]1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCNC(=O)C1 ZINC001448457611 1013918165 /nfs/dbraw/zinc/91/81/65/1013918165.db2.gz QIGCLIBPEPKLPZ-YMTOWFKASA-N 0 2 307.354 0.133 20 0 DCADLN CCO[C@H](C)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001431146109 1013921891 /nfs/dbraw/zinc/92/18/91/1013921891.db2.gz VTPGDYBPOUTJDX-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CCO[C@H](C)C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001431146109 1013921896 /nfs/dbraw/zinc/92/18/96/1013921896.db2.gz VTPGDYBPOUTJDX-HTQZYQBOSA-N 0 2 302.268 0.886 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001431222538 1013954729 /nfs/dbraw/zinc/95/47/29/1013954729.db2.gz NXCQJPCETDCADB-SFYZADRCSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)[C@@H](O)C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001431222538 1013954732 /nfs/dbraw/zinc/95/47/32/1013954732.db2.gz NXCQJPCETDCADB-SFYZADRCSA-N 0 2 302.268 0.478 20 0 DCADLN CC(C)N(C(=O)C[N@@H+]1C[C@@H](O)C[C@H]1C(=O)[O-])c1ccccc1 ZINC000318414628 970432329 /nfs/dbraw/zinc/43/23/29/970432329.db2.gz JEQJKFZICXQHPR-KBPBESRZSA-N 0 2 306.362 0.948 20 0 DCADLN CC(C)N(C(=O)C[N@H+]1C[C@@H](O)C[C@H]1C(=O)[O-])c1ccccc1 ZINC000318414628 970432332 /nfs/dbraw/zinc/43/23/32/970432332.db2.gz JEQJKFZICXQHPR-KBPBESRZSA-N 0 2 306.362 0.948 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)N2CCN(c3cccc[nH+]3)CC2)O1 ZINC000318535129 970443493 /nfs/dbraw/zinc/44/34/93/970443493.db2.gz JQYPMBULTQTRKJ-NEPJUHHUSA-N 0 2 305.334 0.362 20 0 DCADLN CO[C@@H](C(=O)[O-])C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC001604432229 973221338 /nfs/dbraw/zinc/22/13/38/973221338.db2.gz OHHDQICUTBFCEM-CQSZACIVSA-N 0 2 322.361 0.235 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])[C@@H](C)CO1 ZINC001603460215 973281608 /nfs/dbraw/zinc/28/16/08/973281608.db2.gz ARMSOEYLQDLGKF-RYUDHWBXSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCCC(C)(C)C(=O)[O-])[C@@H](C)CO1 ZINC001603460215 973281616 /nfs/dbraw/zinc/28/16/16/973281616.db2.gz ARMSOEYLQDLGKF-RYUDHWBXSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cccnc1C(=O)[O-] ZINC001603473174 973350124 /nfs/dbraw/zinc/35/01/24/973350124.db2.gz JYHDLMTUXHFBHM-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)Nc1cccnc1C(=O)[O-] ZINC001603473174 973350134 /nfs/dbraw/zinc/35/01/34/973350134.db2.gz JYHDLMTUXHFBHM-JTQLQIEISA-N 0 2 308.338 0.622 20 0 DCADLN Cc1cc(C(=O)[O-])ccc1S(=O)(=O)NCCc1c[nH+]cn1C ZINC000401736360 973381150 /nfs/dbraw/zinc/38/11/50/973381150.db2.gz YQQSYCFEBGGCLR-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN CC[C@H](CNC(=O)N(C)[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001603552972 973630505 /nfs/dbraw/zinc/63/05/05/973630505.db2.gz ULLLFRJQBTZTIE-VXGBXAGGSA-N 0 2 301.387 0.459 20 0 DCADLN CC[C@@H](CNC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001603552971 973630708 /nfs/dbraw/zinc/63/07/08/973630708.db2.gz ULLLFRJQBTZTIE-RYUDHWBXSA-N 0 2 301.387 0.459 20 0 DCADLN C[C@H]1CN(c2ccc(C(=O)[O-])c[nH+]2)C[C@@H]1C(=O)N1CCOCC1 ZINC001549176514 1014163826 /nfs/dbraw/zinc/16/38/26/1014163826.db2.gz LJIHYYXDFBQPGO-AAEUAGOBSA-N 0 2 319.361 0.711 20 0 DCADLN COc1ccc2c(c1)OC(C)(C)C[N@@H+](CC(=O)NCC(=O)[O-])C2 ZINC001604843747 974088162 /nfs/dbraw/zinc/08/81/62/974088162.db2.gz REFGOMWHYAFIQF-UHFFFAOYSA-N 0 2 322.361 0.869 20 0 DCADLN COc1ccc2c(c1)OC(C)(C)C[N@H+](CC(=O)NCC(=O)[O-])C2 ZINC001604843747 974088167 /nfs/dbraw/zinc/08/81/67/974088167.db2.gz REFGOMWHYAFIQF-UHFFFAOYSA-N 0 2 322.361 0.869 20 0 DCADLN O=C([O-])[C@@]12COC[C@H]1CN(c1cc(N3CCCC3)[nH+]cn1)C2 ZINC001549300669 1014210787 /nfs/dbraw/zinc/21/07/87/1014210787.db2.gz YTGSTACLAZRLMW-ABAIWWIYSA-N 0 2 304.350 0.614 20 0 DCADLN O=C([O-])[C@@]12COC[C@H]1CN(c1cc(N3CCCC3)nc[nH+]1)C2 ZINC001549300669 1014210791 /nfs/dbraw/zinc/21/07/91/1014210791.db2.gz YTGSTACLAZRLMW-ABAIWWIYSA-N 0 2 304.350 0.614 20 0 DCADLN C[N@H+](CC(=O)NCCNC(=O)CCc1c[nH]c[nH+]1)CC(C)(C)C ZINC001522708253 1014316743 /nfs/dbraw/zinc/31/67/43/1014316743.db2.gz PVUQITHUEPMNKK-UHFFFAOYSA-N 0 2 323.441 0.553 20 0 DCADLN CC(C)c1nn(C)cc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001592050435 976789756 /nfs/dbraw/zinc/78/97/56/976789756.db2.gz OQSRSNWNSJPCSK-LLVKDONJSA-N 0 2 305.338 0.692 20 0 DCADLN CC(C)c1nn(C)cc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001592050435 976789767 /nfs/dbraw/zinc/78/97/67/976789767.db2.gz OQSRSNWNSJPCSK-LLVKDONJSA-N 0 2 305.338 0.692 20 0 DCADLN Cn1cc(O[C@@H]2COC[C@H]2NC(=O)C(F)C(F)(F)F)cn1 ZINC001549664350 1014388620 /nfs/dbraw/zinc/38/86/20/1014388620.db2.gz MJAAWNPSJCRTLW-HLTSFMKQSA-N 0 2 311.235 0.583 20 0 DCADLN Cn1cc(O[C@@H]2COC[C@H]2NC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001549664350 1014388629 /nfs/dbraw/zinc/38/86/29/1014388629.db2.gz MJAAWNPSJCRTLW-HLTSFMKQSA-N 0 2 311.235 0.583 20 0 DCADLN C[C@H](CC(=O)N1CC[C@]2(CC(C(=O)[O-])=NO2)C1)n1cc[nH+]c1 ZINC001592233235 977430820 /nfs/dbraw/zinc/43/08/20/977430820.db2.gz DQXONDVXAQSSNG-YGRLFVJLSA-N 0 2 306.322 0.666 20 0 DCADLN C[C@H](CC(=O)[O-])C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC001592341961 977793603 /nfs/dbraw/zinc/79/36/03/977793603.db2.gz LDKWQFJLZMSFFF-OLZOCXBDSA-N 0 2 314.382 0.047 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)cnn1 ZINC001522893506 1014457219 /nfs/dbraw/zinc/45/72/19/1014457219.db2.gz XRTGXZGVZXGPLH-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN Cc1cc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)cnn1 ZINC001522893506 1014457227 /nfs/dbraw/zinc/45/72/27/1014457227.db2.gz XRTGXZGVZXGPLH-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN Cc1ccn(CC(=O)NCCCNC(=O)C(F)C(F)(F)F)n1 ZINC001522935692 1014478379 /nfs/dbraw/zinc/47/83/79/1014478379.db2.gz NKSKABJYIZCKTA-JTQLQIEISA-N 0 2 324.278 0.714 20 0 DCADLN Cc1ccn(CC(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001522935692 1014478388 /nfs/dbraw/zinc/47/83/88/1014478388.db2.gz NKSKABJYIZCKTA-JTQLQIEISA-N 0 2 324.278 0.714 20 0 DCADLN O=C(Cc1ncc[nH]1)NCCCNC(=O)C(F)C(F)(F)F ZINC001522936694 1014479156 /nfs/dbraw/zinc/47/91/56/1014479156.db2.gz ZAOHIBOWILNFQH-SECBINFHSA-N 0 2 310.251 0.475 20 0 DCADLN O=C(Cc1ncc[nH]1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001522936694 1014479167 /nfs/dbraw/zinc/47/91/67/1014479167.db2.gz ZAOHIBOWILNFQH-SECBINFHSA-N 0 2 310.251 0.475 20 0 DCADLN C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N(C)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001592616272 978579258 /nfs/dbraw/zinc/57/92/58/978579258.db2.gz SFCBXXREVMULSP-UPJWGTAASA-N 0 2 312.414 0.127 20 0 DCADLN CC[C@]1(C)CC(=O)N(C[N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001595369181 980835164 /nfs/dbraw/zinc/83/51/64/980835164.db2.gz SDOILXUGEJDWBJ-CABCVRRESA-N 0 2 309.366 0.898 20 0 DCADLN CC[C@]1(C)CC(=O)N(C[N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001595369181 980835170 /nfs/dbraw/zinc/83/51/70/980835170.db2.gz SDOILXUGEJDWBJ-CABCVRRESA-N 0 2 309.366 0.898 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC001523438344 1014703368 /nfs/dbraw/zinc/70/33/68/1014703368.db2.gz SOPURLVSTKUHPD-RKDXNWHRSA-N 0 2 316.295 0.868 20 0 DCADLN CC(C)[C@@H](O)C(=O)N(C)CCCNC(=O)[C@@H](F)C(F)(F)F ZINC001523438344 1014703392 /nfs/dbraw/zinc/70/33/92/1014703392.db2.gz SOPURLVSTKUHPD-RKDXNWHRSA-N 0 2 316.295 0.868 20 0 DCADLN CCCC(=O)N1CCC[C@@H]1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001595523666 981348539 /nfs/dbraw/zinc/34/85/39/981348539.db2.gz NSCIOZUFUXFJEE-NWDGAFQWSA-N 0 2 322.365 0.313 20 0 DCADLN CCCC(=O)N1CCC[C@@H]1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001595523666 981348545 /nfs/dbraw/zinc/34/85/45/981348545.db2.gz NSCIOZUFUXFJEE-NWDGAFQWSA-N 0 2 322.365 0.313 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCc1ncc(C(=O)[O-])s1 ZINC000910816279 982135397 /nfs/dbraw/zinc/13/53/97/982135397.db2.gz ONYRFJITXSMZMI-HTQZYQBOSA-N 0 2 306.347 0.995 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@@H+]1CC[C@@H](OC)C[C@H]1C(=O)[O-] ZINC001595760379 982469473 /nfs/dbraw/zinc/46/94/73/982469473.db2.gz YPLNTYUGZQTVQG-MNOVXSKESA-N 0 2 315.370 0.176 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@H+]1CC[C@@H](OC)C[C@H]1C(=O)[O-] ZINC001595760379 982469477 /nfs/dbraw/zinc/46/94/77/982469477.db2.gz YPLNTYUGZQTVQG-MNOVXSKESA-N 0 2 315.370 0.176 20 0 DCADLN C[C@@H](CNC(=O)Cc1ncc[nH]1)N(C)C(=O)C(F)C(F)(F)F ZINC001450060317 1014879243 /nfs/dbraw/zinc/87/92/43/1014879243.db2.gz DMUITWRKSNVCEY-OIBJUYFYSA-N 0 2 324.278 0.816 20 0 DCADLN CC[N@H+](CC(=O)NC1(C(=O)[O-])CCCCC1)[C@H]1CCNC1=O ZINC001596203437 983590055 /nfs/dbraw/zinc/59/00/55/983590055.db2.gz IRSCGQCJIAGDIW-NSHDSACASA-N 0 2 311.382 0.101 20 0 DCADLN CC[N@@H+](CC(=O)NC1(C(=O)[O-])CCCCC1)[C@H]1CCNC1=O ZINC001596203437 983590057 /nfs/dbraw/zinc/59/00/57/983590057.db2.gz IRSCGQCJIAGDIW-NSHDSACASA-N 0 2 311.382 0.101 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC001596222253 983613626 /nfs/dbraw/zinc/61/36/26/983613626.db2.gz KUBKJXQRXXIJAP-UHFFFAOYSA-N 0 2 319.361 0.924 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC001596222253 983613627 /nfs/dbraw/zinc/61/36/27/983613627.db2.gz KUBKJXQRXXIJAP-UHFFFAOYSA-N 0 2 319.361 0.924 20 0 DCADLN CCN(CC)C(=O)CN1CC[NH+](Cc2occc2C(=O)[O-])CC1 ZINC001596247870 983649574 /nfs/dbraw/zinc/64/95/74/983649574.db2.gz WAGPWLVKHMHILE-UHFFFAOYSA-N 0 2 323.393 0.964 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2CO)cn1 ZINC001551141655 1014979400 /nfs/dbraw/zinc/97/94/00/1014979400.db2.gz BONIILDVFPQPNW-UTLUCORTSA-N 0 2 309.263 0.855 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]2CO)cn1 ZINC001551141655 1014979405 /nfs/dbraw/zinc/97/94/05/1014979405.db2.gz BONIILDVFPQPNW-UTLUCORTSA-N 0 2 309.263 0.855 20 0 DCADLN CCN(C(=O)COC)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001530965379 1015003787 /nfs/dbraw/zinc/00/37/87/1015003787.db2.gz IBYOZJUIGYAQHP-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)COC)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001530965379 1015003796 /nfs/dbraw/zinc/00/37/96/1015003796.db2.gz IBYOZJUIGYAQHP-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001524680390 1015038802 /nfs/dbraw/zinc/03/88/02/1015038802.db2.gz SQONNPSRHXSRTP-YIZRAAEISA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001524680390 1015038816 /nfs/dbraw/zinc/03/88/16/1015038816.db2.gz SQONNPSRHXSRTP-YIZRAAEISA-N 0 2 314.279 0.621 20 0 DCADLN C/C(=C/C[NH+]1CCN(C(=O)C(=O)OC(C)(C)C)CC1)C(=O)[O-] ZINC001588961458 984740215 /nfs/dbraw/zinc/74/02/15/984740215.db2.gz YVQAOTOXGFQVLZ-WZUFQYTHSA-N 0 2 312.366 0.503 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)[C@H]1CN(C(=O)C(C)C)CCO1 ZINC001383404992 984807752 /nfs/dbraw/zinc/80/77/52/984807752.db2.gz HRTNHWPMJKGWKF-TZMCWYRMSA-N 0 2 322.409 0.649 20 0 DCADLN C[C@]12C[N@H+](Cc3cncc(C(=O)[O-])c3)C[C@H]1CS(=O)(=O)C2 ZINC001599938994 985431050 /nfs/dbraw/zinc/43/10/50/985431050.db2.gz ALZZWTCTDMYZMV-GXTWGEPZSA-N 0 2 310.375 0.646 20 0 DCADLN C[C@]12C[N@@H+](Cc3cncc(C(=O)[O-])c3)C[C@H]1CS(=O)(=O)C2 ZINC001599938994 985431055 /nfs/dbraw/zinc/43/10/55/985431055.db2.gz ALZZWTCTDMYZMV-GXTWGEPZSA-N 0 2 310.375 0.646 20 0 DCADLN O=C(CC1CC1)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001384230607 985452235 /nfs/dbraw/zinc/45/22/35/985452235.db2.gz NDEQQQNTFYRJOZ-KHQFGBGNSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(CC1CC1)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001384230607 985452242 /nfs/dbraw/zinc/45/22/42/985452242.db2.gz NDEQQQNTFYRJOZ-KHQFGBGNSA-N 0 2 312.263 0.375 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CCN3CCOC[C@@H]3C2)c(C)c1C(=O)[O-] ZINC001599944582 985502907 /nfs/dbraw/zinc/50/29/07/985502907.db2.gz GRISKBSRDPDJDG-LBPRGKRZSA-N 0 2 321.377 0.529 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CCN3CCOC[C@@H]3C2)c(C)c1C(=O)[O-] ZINC001599944582 985502919 /nfs/dbraw/zinc/50/29/19/985502919.db2.gz GRISKBSRDPDJDG-LBPRGKRZSA-N 0 2 321.377 0.529 20 0 DCADLN COCCN(CCNC(=O)COC)C(=O)C(F)C(F)(F)F ZINC001384392690 985546904 /nfs/dbraw/zinc/54/69/04/985546904.db2.gz UMGRTJJBYYTDGP-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN COCCN(CCNC(=O)COC)C(=O)[C@@H](F)C(F)(F)F ZINC001384392690 985546910 /nfs/dbraw/zinc/54/69/10/985546910.db2.gz UMGRTJJBYYTDGP-SECBINFHSA-N 0 2 318.267 0.124 20 0 DCADLN Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001599954179 985658455 /nfs/dbraw/zinc/65/84/55/985658455.db2.gz BLFHORHNBJASBB-GFCCVEGCSA-N 0 2 321.377 0.876 20 0 DCADLN CC(=O)OCC(C)(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001589229100 985764070 /nfs/dbraw/zinc/76/40/70/985764070.db2.gz NTSDUPFCBNYBEV-NSHDSACASA-N 0 2 311.338 0.501 20 0 DCADLN CC(=O)OCC(C)(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001589229100 985764074 /nfs/dbraw/zinc/76/40/74/985764074.db2.gz NTSDUPFCBNYBEV-NSHDSACASA-N 0 2 311.338 0.501 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)c1cccc2nn[nH]c21 ZINC001594520188 985972409 /nfs/dbraw/zinc/97/24/09/985972409.db2.gz MOGLRTPSKDCUKA-JTQLQIEISA-N 0 2 317.349 0.579 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1cccc2nn[nH]c21 ZINC001594520188 985972420 /nfs/dbraw/zinc/97/24/20/985972420.db2.gz MOGLRTPSKDCUKA-JTQLQIEISA-N 0 2 317.349 0.579 20 0 DCADLN CCOCCn1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001596945906 986089175 /nfs/dbraw/zinc/08/91/75/986089175.db2.gz UAFXZTAECVRNDC-LBPRGKRZSA-N 0 2 321.337 0.068 20 0 DCADLN CCOCCn1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001596945906 986089186 /nfs/dbraw/zinc/08/91/86/986089186.db2.gz UAFXZTAECVRNDC-LBPRGKRZSA-N 0 2 321.337 0.068 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)Cc2ccc(C(=O)[O-])nc2)[C@@H](C)CO1 ZINC001594528208 986096508 /nfs/dbraw/zinc/09/65/08/986096508.db2.gz STDJYRBHIUNEBA-RYUDHWBXSA-N 0 2 321.377 0.548 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)Cc2ccc(C(=O)[O-])nc2)[C@@H](C)CO1 ZINC001594528208 986096523 /nfs/dbraw/zinc/09/65/23/986096523.db2.gz STDJYRBHIUNEBA-RYUDHWBXSA-N 0 2 321.377 0.548 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)Cc2ccc(C(=O)[O-])nc2)[C@@H](C)CO1 ZINC001594528207 986097077 /nfs/dbraw/zinc/09/70/77/986097077.db2.gz STDJYRBHIUNEBA-NWDGAFQWSA-N 0 2 321.377 0.548 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)Cc2ccc(C(=O)[O-])nc2)[C@@H](C)CO1 ZINC001594528207 986097096 /nfs/dbraw/zinc/09/70/96/986097096.db2.gz STDJYRBHIUNEBA-NWDGAFQWSA-N 0 2 321.377 0.548 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)N[C@@H]1CCC[C@H]1CC(=O)[O-] ZINC001594607332 986577997 /nfs/dbraw/zinc/57/79/97/986577997.db2.gz HWWGATVXMWQRSC-RWMBFGLXSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)N[C@@H]1CCC[C@H]1CC(=O)[O-] ZINC001594607332 986578009 /nfs/dbraw/zinc/57/80/09/986578009.db2.gz HWWGATVXMWQRSC-RWMBFGLXSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)NC(=O)C(F)C(F)(F)F ZINC001386439327 987149770 /nfs/dbraw/zinc/14/97/70/987149770.db2.gz FWUQAVAYVHGSBL-DFTQBPQZSA-N 0 2 312.263 0.400 20 0 DCADLN C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)NC(=O)[C@H](F)C(F)(F)F ZINC001386439327 987149772 /nfs/dbraw/zinc/14/97/72/987149772.db2.gz FWUQAVAYVHGSBL-DFTQBPQZSA-N 0 2 312.263 0.400 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001387722254 988083843 /nfs/dbraw/zinc/08/38/43/988083843.db2.gz LMGXYMMPFCVOST-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cn(C)nc1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001387722254 988083855 /nfs/dbraw/zinc/08/38/55/988083855.db2.gz LMGXYMMPFCVOST-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN CCc1cnc(C[NH2+]C2(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)o1 ZINC001597631645 988599144 /nfs/dbraw/zinc/59/91/44/988599144.db2.gz YCLLITIJYORRBU-QWRGUYRKSA-N 0 2 307.350 0.696 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001389062297 988778027 /nfs/dbraw/zinc/77/80/27/988778027.db2.gz PQUMZVGHKWGNOE-SVRRBLITSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccco1 ZINC001389062297 988778035 /nfs/dbraw/zinc/77/80/35/988778035.db2.gz PQUMZVGHKWGNOE-SVRRBLITSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(Cc1cnoc1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001389232292 989007411 /nfs/dbraw/zinc/00/74/11/989007411.db2.gz IIFLBAHEGNWBPO-SECBINFHSA-N 0 2 309.219 0.445 20 0 DCADLN O=C(Cc1cnoc1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001389232292 989007421 /nfs/dbraw/zinc/00/74/21/989007421.db2.gz IIFLBAHEGNWBPO-SECBINFHSA-N 0 2 309.219 0.445 20 0 DCADLN CCc1nnc(C[NH2+]C2(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)s1 ZINC001597728913 989030525 /nfs/dbraw/zinc/03/05/25/989030525.db2.gz OLSPYVCIHAQHAR-IUCAKERBSA-N 0 2 324.406 0.560 20 0 DCADLN C[C@@H]1CN(C(=O)NCc2ccn3cc[nH+]c3c2)C[C@@H](C(=O)[O-])O1 ZINC001599858725 989131625 /nfs/dbraw/zinc/13/16/25/989131625.db2.gz VBJSSDVBDZPFOE-PWSUYJOCSA-N 0 2 318.333 0.718 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1(C(F)F)CC1 ZINC001389368503 989167046 /nfs/dbraw/zinc/16/70/46/989167046.db2.gz VYHKFJKORHMNQX-MRVPVSSYSA-N 0 2 315.324 0.636 20 0 DCADLN CC[C@@H](CC(F)F)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001389462938 989287449 /nfs/dbraw/zinc/28/74/49/989287449.db2.gz PVCQBHLPLUXXHK-DTWKUNHWSA-N 0 2 317.340 0.882 20 0 DCADLN CCn1nc(C)c(C[N@@H+]2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001597933955 989901383 /nfs/dbraw/zinc/90/13/83/989901383.db2.gz XBJWEXIQRPEMSE-NSHDSACASA-N 0 2 319.369 0.733 20 0 DCADLN CCn1nc(C)c(C[N@H+]2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001597933955 989901387 /nfs/dbraw/zinc/90/13/87/989901387.db2.gz XBJWEXIQRPEMSE-NSHDSACASA-N 0 2 319.369 0.733 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C1(F)CCCC1 ZINC001391054567 990544383 /nfs/dbraw/zinc/54/43/83/990544383.db2.gz YLMPZFAKQOHTGU-SNVBAGLBSA-N 0 2 311.361 0.873 20 0 DCADLN Cn1nnnc1CN(CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001391159904 990630275 /nfs/dbraw/zinc/63/02/75/990630275.db2.gz GHFSSHOATALPFM-VIFPVBQESA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN(CCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001391159904 990630280 /nfs/dbraw/zinc/63/02/80/990630280.db2.gz GHFSSHOATALPFM-VIFPVBQESA-N 0 2 324.282 0.191 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001391172992 990638698 /nfs/dbraw/zinc/63/86/98/990638698.db2.gz MMRWTPFPMBSJQU-URLYPYJESA-N 0 2 305.382 0.637 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001391172992 990638700 /nfs/dbraw/zinc/63/87/00/990638700.db2.gz MMRWTPFPMBSJQU-URLYPYJESA-N 0 2 305.382 0.637 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001451074564 1015577714 /nfs/dbraw/zinc/57/77/14/1015577714.db2.gz YOPPSOKBPZHJNL-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001451074564 1015577719 /nfs/dbraw/zinc/57/77/19/1015577719.db2.gz YOPPSOKBPZHJNL-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN CN(C)[C@@H](C(=O)N1CC[NH+](CC(=O)[O-])CC1)c1ccccc1 ZINC001598163147 990668559 /nfs/dbraw/zinc/66/85/59/990668559.db2.gz PVUDZIIVSUXTOA-OAHLLOKOSA-N 0 2 305.378 0.518 20 0 DCADLN CC(C)([NH2+]Cc1cn(CC(=O)[O-])nn1)C(=O)NCC1CCCC1 ZINC001590872402 990780001 /nfs/dbraw/zinc/78/00/01/990780001.db2.gz GKTOIXZKHJFWPC-UHFFFAOYSA-N 0 2 323.397 0.537 20 0 DCADLN CC(C)CO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001391525564 990915745 /nfs/dbraw/zinc/91/57/45/990915745.db2.gz HCWVBVIJZABIAK-WOPDTQHZSA-N 0 2 323.397 0.357 20 0 DCADLN CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])[NH2+]Cc1nnc(C)o1 ZINC001391688288 991096602 /nfs/dbraw/zinc/09/66/02/991096602.db2.gz UXGNMJAGTIXJMU-VIFPVBQESA-N 0 2 308.342 0.413 20 0 DCADLN CCC1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC1 ZINC001391982237 991358802 /nfs/dbraw/zinc/35/88/02/991358802.db2.gz QBGPPBVZGBMEQF-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN CN(C)c1nc(N)nc(C[NH2+]C2(C(=O)[O-])CCCCCC2)n1 ZINC001598353088 991456165 /nfs/dbraw/zinc/45/61/65/991456165.db2.gz ZTJQJZFAXXSEGB-UHFFFAOYSA-N 0 2 308.386 0.787 20 0 DCADLN COc1cc[nH+]c(CN2CC(NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)c1 ZINC001599721056 991538756 /nfs/dbraw/zinc/53/87/56/991538756.db2.gz DISOEBZGRJXDKT-OLZOCXBDSA-N 0 2 305.334 0.111 20 0 DCADLN CCN(CC[NH2+][C@@H]1CCCNC1=O)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001392451549 991946406 /nfs/dbraw/zinc/94/64/06/991946406.db2.gz AZOWRSWTJUPQBQ-UONOGXRCSA-N 0 2 321.425 0.551 20 0 DCADLN CC(C)C[C@@H](NC(=O)CSCC[NH+]1CCOCC1)C(=O)[O-] ZINC001591173885 991966813 /nfs/dbraw/zinc/96/68/13/991966813.db2.gz OOEXARBFLHJMBQ-GFCCVEGCSA-N 0 2 318.439 0.667 20 0 DCADLN C[S@@](=O)c1cccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001599780752 992360529 /nfs/dbraw/zinc/36/05/29/992360529.db2.gz VDOPFNMJAOGXNT-AMXDTQDGSA-N 0 2 321.358 0.504 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001393019556 992630005 /nfs/dbraw/zinc/63/00/05/992630005.db2.gz RWWUTQYFRHCMRT-NKWVEPMBSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001393019556 992630008 /nfs/dbraw/zinc/63/00/08/992630008.db2.gz RWWUTQYFRHCMRT-NKWVEPMBSA-N 0 2 308.235 0.612 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001598518671 992651590 /nfs/dbraw/zinc/65/15/90/992651590.db2.gz SAUJBBBLDFJMDS-ZFWWWQNUSA-N 0 2 324.352 0.694 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1CCC[C@H](O)[C@H]1C(=O)[O-] ZINC001598518671 992651595 /nfs/dbraw/zinc/65/15/95/992651595.db2.gz SAUJBBBLDFJMDS-ZFWWWQNUSA-N 0 2 324.352 0.694 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001393347090 992902885 /nfs/dbraw/zinc/90/28/85/992902885.db2.gz NYPDPASHTVJNJH-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]nc1C(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001393347090 992902898 /nfs/dbraw/zinc/90/28/98/992902898.db2.gz NYPDPASHTVJNJH-IONNQARKSA-N 0 2 322.262 0.949 20 0 DCADLN CCC[NH+](C)CC(=O)N[C@@H]1CCC[N@H+](Cc2nnnn2C)CC1 ZINC001393593570 993111901 /nfs/dbraw/zinc/11/19/01/993111901.db2.gz BPDULLBVMDIOPX-CYBMUJFWSA-N 0 2 323.445 0.023 20 0 DCADLN CC[C@@H]1C[C@H](C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001399628847 993618185 /nfs/dbraw/zinc/61/81/85/993618185.db2.gz IQZHGPQHPZGAIC-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CC[C@@H]1C[C@H](C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001399628847 993618190 /nfs/dbraw/zinc/61/81/90/993618190.db2.gz IQZHGPQHPZGAIC-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001399816245 993807812 /nfs/dbraw/zinc/80/78/12/993807812.db2.gz AZYCSXSTGXOWTA-MNOVXSKESA-N 0 2 319.369 0.049 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001399816245 993807817 /nfs/dbraw/zinc/80/78/17/993807817.db2.gz AZYCSXSTGXOWTA-MNOVXSKESA-N 0 2 319.369 0.049 20 0 DCADLN CCc1[nH]ccc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400012081 994053888 /nfs/dbraw/zinc/05/38/88/994053888.db2.gz YNXBYYXENRXHOG-UHFFFAOYSA-N 0 2 318.381 0.795 20 0 DCADLN CCc1[nH]ccc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001400012081 994053892 /nfs/dbraw/zinc/05/38/92/994053892.db2.gz YNXBYYXENRXHOG-UHFFFAOYSA-N 0 2 318.381 0.795 20 0 DCADLN C[C@H]([NH2+][C@@H](C)c1cn(-c2ccccc2)nn1)C(=O)NCC(=O)[O-] ZINC001593203929 994192935 /nfs/dbraw/zinc/19/29/35/994192935.db2.gz JSLJERBLXPURRZ-QWRGUYRKSA-N 0 2 317.349 0.507 20 0 DCADLN COCC1(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001400292940 994390578 /nfs/dbraw/zinc/39/05/78/994390578.db2.gz SLCXQTQKENYLBB-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@H](CNC(=O)C[N@@H+]1CCC[C@H]1C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001400307426 994404511 /nfs/dbraw/zinc/40/45/11/994404511.db2.gz JPPVDBROKTWUBC-NWDGAFQWSA-N 0 2 307.398 0.057 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1[C@H](C(=O)[O-])c1ccsc1 ZINC001598671135 994758823 /nfs/dbraw/zinc/75/88/23/994758823.db2.gz MWTDMDDVDIFIAV-QWRGUYRKSA-N 0 2 318.420 0.887 20 0 DCADLN CNS(=O)(=O)C[C@@H]1CCC[N@H+]1[C@H](C(=O)[O-])c1ccsc1 ZINC001598671135 994758831 /nfs/dbraw/zinc/75/88/31/994758831.db2.gz MWTDMDDVDIFIAV-QWRGUYRKSA-N 0 2 318.420 0.887 20 0 DCADLN CNS(=O)(=O)CC[N@@H+]1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC001598672555 994782396 /nfs/dbraw/zinc/78/23/96/994782396.db2.gz UDDUAGVHCKMZEJ-UHFFFAOYSA-N 0 2 316.354 0.431 20 0 DCADLN CNS(=O)(=O)CC[N@H+]1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC001598672555 994782407 /nfs/dbraw/zinc/78/24/07/994782407.db2.gz UDDUAGVHCKMZEJ-UHFFFAOYSA-N 0 2 316.354 0.431 20 0 DCADLN CCN(C(=O)[C@@H](C)COC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400748261 994876996 /nfs/dbraw/zinc/87/69/96/994876996.db2.gz BHJLKYVFABOBTQ-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN CCN(C(=O)[C@@H](C)COC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001400748261 994877003 /nfs/dbraw/zinc/87/70/03/994877003.db2.gz BHJLKYVFABOBTQ-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN CCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)CCc1cn[nH]n1)O2 ZINC001502440037 1015919018 /nfs/dbraw/zinc/91/90/18/1015919018.db2.gz BAFDTTNJZIBCNK-ZDUSSCGKSA-N 0 2 307.398 0.497 20 0 DCADLN CCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)CCc1c[nH]nn1)O2 ZINC001502440037 1015919029 /nfs/dbraw/zinc/91/90/29/1015919029.db2.gz BAFDTTNJZIBCNK-ZDUSSCGKSA-N 0 2 307.398 0.497 20 0 DCADLN O=C(N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cnon1 ZINC001397008671 995740510 /nfs/dbraw/zinc/74/05/10/995740510.db2.gz GBAPEELBCZOJMR-SVRRBLITSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(N[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1CC1)c1cnon1 ZINC001397008671 995740522 /nfs/dbraw/zinc/74/05/22/995740522.db2.gz GBAPEELBCZOJMR-SVRRBLITSA-N 0 2 324.234 0.595 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)CNc1cccc[nH+]1 ZINC001598764876 995819342 /nfs/dbraw/zinc/81/93/42/995819342.db2.gz FGRMBATTWYSJME-QWRGUYRKSA-N 0 2 321.333 0.358 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(C)(C)C(F)F)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001397268073 995897055 /nfs/dbraw/zinc/89/70/55/995897055.db2.gz BXSJTCMTOYLKHP-SFYZADRCSA-N 0 2 317.340 0.881 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ccncn1 ZINC001397277627 995902445 /nfs/dbraw/zinc/90/24/45/995902445.db2.gz PNKXWTBETKEYOG-OOZYFLPDSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ccncn1 ZINC001397277627 995902441 /nfs/dbraw/zinc/90/24/41/995902441.db2.gz PNKXWTBETKEYOG-OOZYFLPDSA-N 0 2 320.246 0.754 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1Cc1cccc(C(N)=O)c1 ZINC001598776800 995938499 /nfs/dbraw/zinc/93/84/99/995938499.db2.gz KNQOLWKPJHDIQD-OLZOCXBDSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cc1cccc(C(N)=O)c1 ZINC001598776800 995938503 /nfs/dbraw/zinc/93/85/03/995938503.db2.gz KNQOLWKPJHDIQD-OLZOCXBDSA-N 0 2 320.345 0.624 20 0 DCADLN COC(=O)[C@@H]1CCC[C@H](C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])C1 ZINC001598790943 996072202 /nfs/dbraw/zinc/07/22/02/996072202.db2.gz NGIOCQNCFHUBHR-UMNHJUIQSA-N 0 2 323.349 0.501 20 0 DCADLN COC(=O)[C@@H]1CCC[C@H](C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])C1 ZINC001598790943 996072207 /nfs/dbraw/zinc/07/22/07/996072207.db2.gz NGIOCQNCFHUBHR-UMNHJUIQSA-N 0 2 323.349 0.501 20 0 DCADLN Cn1nnc(CN[C@H]2C[C@H](CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001397651811 996117192 /nfs/dbraw/zinc/11/71/92/996117192.db2.gz ZBFPLWFVXWRHAG-ZXFLCMHBSA-N 0 2 324.282 0.095 20 0 DCADLN CN(CCNC(=O)CC(C)(C)O)C(=O)C(F)C(F)(F)F ZINC001397903353 996246522 /nfs/dbraw/zinc/24/65/22/996246522.db2.gz JBTJOPLEAJCYOF-MRVPVSSYSA-N 0 2 302.268 0.622 20 0 DCADLN CN(CCNC(=O)CC(C)(C)O)C(=O)[C@@H](F)C(F)(F)F ZINC001397903353 996246528 /nfs/dbraw/zinc/24/65/28/996246528.db2.gz JBTJOPLEAJCYOF-MRVPVSSYSA-N 0 2 302.268 0.622 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)C[C@H]1C(=O)[O-] ZINC001598818365 996296628 /nfs/dbraw/zinc/29/66/28/996296628.db2.gz QHWJHUUQKYVUKN-NXEZZACHSA-N 0 2 317.301 0.509 20 0 DCADLN C[C@]1(C(=O)[O-])CCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001593784114 996500986 /nfs/dbraw/zinc/50/09/86/996500986.db2.gz BMXDZAIJKBSWTQ-HNNXBMFYSA-N 0 2 316.317 0.596 20 0 DCADLN O=C(COCC1CC1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398567902 996577612 /nfs/dbraw/zinc/57/76/12/996577612.db2.gz FXVANZIJACUJSY-JTQLQIEISA-N 0 2 312.263 0.640 20 0 DCADLN O=C(COCC1CC1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001398567902 996577614 /nfs/dbraw/zinc/57/76/14/996577614.db2.gz FXVANZIJACUJSY-JTQLQIEISA-N 0 2 312.263 0.640 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001398751408 996672686 /nfs/dbraw/zinc/67/26/86/996672686.db2.gz MDLQLBOUVTVVNH-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001398751408 996672687 /nfs/dbraw/zinc/67/26/87/996672687.db2.gz MDLQLBOUVTVVNH-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H](CO)CCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001466654696 1016098413 /nfs/dbraw/zinc/09/84/13/1016098413.db2.gz GFFXPZQISQGXKZ-JTQLQIEISA-N 0 2 320.349 0.405 20 0 DCADLN CCN1CC[C@H](N2CC(NC(=O)C(F)C(F)(F)F)C2)C1=O ZINC001404767060 997444309 /nfs/dbraw/zinc/44/43/09/997444309.db2.gz KEJGDZUKXDNXID-DTWKUNHWSA-N 0 2 311.279 0.308 20 0 DCADLN CCN1CC[C@H](N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)C1=O ZINC001404767060 997444312 /nfs/dbraw/zinc/44/43/12/997444312.db2.gz KEJGDZUKXDNXID-DTWKUNHWSA-N 0 2 311.279 0.308 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@@H+]2CC[C@@H](n3cccn3)C2)n1 ZINC001598921674 997577948 /nfs/dbraw/zinc/57/79/48/997577948.db2.gz HCQBEBNAHXHHTL-SNVBAGLBSA-N 0 2 319.321 0.469 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])n(C[N@H+]2CC[C@@H](n3cccn3)C2)n1 ZINC001598921674 997577955 /nfs/dbraw/zinc/57/79/55/997577955.db2.gz HCQBEBNAHXHHTL-SNVBAGLBSA-N 0 2 319.321 0.469 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001405066351 997750325 /nfs/dbraw/zinc/75/03/25/997750325.db2.gz QFDNNEWIVZNSPX-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn(C)cn1 ZINC001405066351 997750340 /nfs/dbraw/zinc/75/03/40/997750340.db2.gz QFDNNEWIVZNSPX-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN CN(C(=O)C1(C(F)F)CC1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405145791 997854205 /nfs/dbraw/zinc/85/42/05/997854205.db2.gz YAQKAMRXRUTOSQ-MRVPVSSYSA-N 0 2 315.324 0.588 20 0 DCADLN O=C(CC1CC1)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001405183180 997900202 /nfs/dbraw/zinc/90/02/02/997900202.db2.gz FLLJURHMAOXSAO-IONNQARKSA-N 0 2 300.252 0.280 20 0 DCADLN O=C(CC1CC1)NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F ZINC001405183180 997900210 /nfs/dbraw/zinc/90/02/10/997900210.db2.gz FLLJURHMAOXSAO-IONNQARKSA-N 0 2 300.252 0.280 20 0 DCADLN CCN(C(=O)Cc1cnoc1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405186814 997901978 /nfs/dbraw/zinc/90/19/78/997901978.db2.gz XLRNRIRFAPWPCD-NSHDSACASA-N 0 2 320.353 0.164 20 0 DCADLN CCN(C(=O)Cc1cnoc1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405186814 997901991 /nfs/dbraw/zinc/90/19/91/997901991.db2.gz XLRNRIRFAPWPCD-NSHDSACASA-N 0 2 320.353 0.164 20 0 DCADLN COCCNC(=O)C1CCN(c2cc(CC(=O)[O-])cc[nH+]2)CC1 ZINC001599242259 998066744 /nfs/dbraw/zinc/06/67/44/998066744.db2.gz LEWJTYOCBZIYFA-UHFFFAOYSA-N 0 2 321.377 0.688 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@@H+]2C[C@@H](O)C[C@H]2CO)c1 ZINC001599452847 998135700 /nfs/dbraw/zinc/13/57/00/998135700.db2.gz KZTLXNJFRJZILB-COPLHBTASA-N 0 2 311.334 0.257 20 0 DCADLN COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2C[C@@H](O)C[C@H]2CO)c1 ZINC001599452847 998135709 /nfs/dbraw/zinc/13/57/09/998135709.db2.gz KZTLXNJFRJZILB-COPLHBTASA-N 0 2 311.334 0.257 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@H+]2C[C@@H](O)[C@H](OC)C2)c1 ZINC001599000119 998816637 /nfs/dbraw/zinc/81/66/37/998816637.db2.gz WWQTYDIOBDWIMB-UPJWGTAASA-N 0 2 309.318 0.290 20 0 DCADLN COC(=O)c1cccc([C@@H](C(=O)[O-])[N@@H+]2C[C@@H](O)[C@H](OC)C2)c1 ZINC001599000119 998816644 /nfs/dbraw/zinc/81/66/44/998816644.db2.gz WWQTYDIOBDWIMB-UPJWGTAASA-N 0 2 309.318 0.290 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NC1CC(C(=O)[O-])C1 ZINC001594249478 998954325 /nfs/dbraw/zinc/95/43/25/998954325.db2.gz IEWNVSFVLCMVED-YWPUVAFDSA-N 0 2 311.382 0.354 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccoc1CC(=O)[O-] ZINC001594251162 998966285 /nfs/dbraw/zinc/96/62/85/998966285.db2.gz SVBBEGPLPABCNP-NEPJUHHUSA-N 0 2 322.361 0.842 20 0 DCADLN CC1CCC(N(C)C(=O)C[N@@H+]2CCC[C@@H](O)[C@H]2C(=O)[O-])CC1 ZINC001594310020 999198606 /nfs/dbraw/zinc/19/86/06/999198606.db2.gz XQIXZEDANMABDL-SJQFEJMWSA-N 0 2 312.410 0.933 20 0 DCADLN CC1CCC(N(C)C(=O)C[N@H+]2CCC[C@@H](O)[C@H]2C(=O)[O-])CC1 ZINC001594310020 999198611 /nfs/dbraw/zinc/19/86/11/999198611.db2.gz XQIXZEDANMABDL-SJQFEJMWSA-N 0 2 312.410 0.933 20 0 DCADLN COc1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccc1C ZINC001418475166 1000262905 /nfs/dbraw/zinc/26/29/05/1000262905.db2.gz GFFNTSOTVJPIPR-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN COc1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccc1C ZINC001418475166 1000262909 /nfs/dbraw/zinc/26/29/09/1000262909.db2.gz GFFNTSOTVJPIPR-UHFFFAOYSA-N 0 2 319.365 0.689 20 0 DCADLN CC(C)SCC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401350568 1000367105 /nfs/dbraw/zinc/36/71/05/1000367105.db2.gz ABNBLJGQHCZLAM-UHFFFAOYSA-N 0 2 301.416 0.542 20 0 DCADLN CC(C)SCC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001401350568 1000367106 /nfs/dbraw/zinc/36/71/06/1000367106.db2.gz ABNBLJGQHCZLAM-UHFFFAOYSA-N 0 2 301.416 0.542 20 0 DCADLN CC(C)n1cnc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001401381709 1000396274 /nfs/dbraw/zinc/39/62/74/1000396274.db2.gz CAFGILAAEFESHX-UHFFFAOYSA-N 0 2 321.385 0.492 20 0 DCADLN CC(C)n1cnc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001401381709 1000396280 /nfs/dbraw/zinc/39/62/80/1000396280.db2.gz CAFGILAAEFESHX-UHFFFAOYSA-N 0 2 321.385 0.492 20 0 DCADLN CN(CC[N@H+](C)CCO[C@@H]1CC1(F)F)C(=O)Cc1c[nH+]c[nH]1 ZINC001401395851 1000406288 /nfs/dbraw/zinc/40/62/88/1000406288.db2.gz MVIUBQYGVTUZDU-GFCCVEGCSA-N 0 2 316.352 0.767 20 0 DCADLN Cc1ncc(C[NH+]2CC(CNC(=O)C[N@@H+](C)C3CCC3)C2)cn1 ZINC001419025525 1000699647 /nfs/dbraw/zinc/69/96/47/1000699647.db2.gz YSEQJTINRGCXGP-UHFFFAOYSA-N 0 2 317.437 0.817 20 0 DCADLN Cc1noc(C[NH2+]C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C2CC2)n1 ZINC001401824850 1000761678 /nfs/dbraw/zinc/76/16/78/1000761678.db2.gz CPDVNOZBOBGROT-SNVBAGLBSA-N 0 2 320.353 0.413 20 0 DCADLN C[C@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCO1 ZINC001402032501 1000959346 /nfs/dbraw/zinc/95/93/46/1000959346.db2.gz FPNYFWABTIOIEG-PWSUYJOCSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402080875 1000999341 /nfs/dbraw/zinc/99/93/41/1000999341.db2.gz LZSNLGHBUULFPO-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](C[NH2+]Cc1csnn1)N(C)C(=O)C[N@@H+](C)C1CCC1 ZINC001419663444 1001205518 /nfs/dbraw/zinc/20/55/18/1001205518.db2.gz DLDGPMAWSLDBNH-LLVKDONJSA-N 0 2 311.455 0.959 20 0 DCADLN COCCOCC(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001471064658 1016516845 /nfs/dbraw/zinc/51/68/45/1016516845.db2.gz OEWXFCLBGRVZIV-GFCCVEGCSA-N 0 2 320.349 0.573 20 0 DCADLN Cc1cc(CCC(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001403158649 1001699909 /nfs/dbraw/zinc/69/99/09/1001699909.db2.gz DYRIRKLUSJSENX-VIFPVBQESA-N 0 2 322.369 0.376 20 0 DCADLN Cc1cc(CCC(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001403158649 1001699912 /nfs/dbraw/zinc/69/99/12/1001699912.db2.gz DYRIRKLUSJSENX-VIFPVBQESA-N 0 2 322.369 0.376 20 0 DCADLN C[C@@H](CNC(=O)C1(C)CCOCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403173110 1001706515 /nfs/dbraw/zinc/70/65/15/1001706515.db2.gz FJHDGPZPDUNIOT-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)C1(C)CCOCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403173110 1001706517 /nfs/dbraw/zinc/70/65/17/1001706517.db2.gz FJHDGPZPDUNIOT-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN Cc1ccncc1CC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419969849 1001734537 /nfs/dbraw/zinc/73/45/37/1001734537.db2.gz BXBLZZPDDPYXNU-UHFFFAOYSA-N 0 2 318.381 0.347 20 0 DCADLN Cc1ccncc1CC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419969849 1001734542 /nfs/dbraw/zinc/73/45/42/1001734542.db2.gz BXBLZZPDDPYXNU-UHFFFAOYSA-N 0 2 318.381 0.347 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@]12C[C@H]1CCCC2 ZINC001420009490 1001760289 /nfs/dbraw/zinc/76/02/89/1001760289.db2.gz HUMZVKRFZPYWAE-IAQYHMDHSA-N 0 2 307.398 0.981 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@]12C[C@H]1CCCC2 ZINC001420009490 1001760297 /nfs/dbraw/zinc/76/02/97/1001760297.db2.gz HUMZVKRFZPYWAE-IAQYHMDHSA-N 0 2 307.398 0.981 20 0 DCADLN CC(C)N(C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001471447679 1016578193 /nfs/dbraw/zinc/57/81/93/1016578193.db2.gz JGIIPOJCGDNHNP-UHFFFAOYSA-N 0 2 315.399 0.432 20 0 DCADLN CC(C)Cn1[nH]c(CC(=O)N[C@@H](C)C2=NN(C)CC2=O)cc1=O ZINC001420443798 1002424583 /nfs/dbraw/zinc/42/45/83/1002424583.db2.gz ZZAFVILKQSIGFT-JTQLQIEISA-N 0 2 321.381 0.691 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H](C)C(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001403893643 1002695545 /nfs/dbraw/zinc/69/55/45/1002695545.db2.gz GWWMAZIZGYMESA-ZETCQYMHSA-N 0 2 309.292 0.647 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H](C)C(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001403893643 1002695550 /nfs/dbraw/zinc/69/55/50/1002695550.db2.gz GWWMAZIZGYMESA-ZETCQYMHSA-N 0 2 309.292 0.647 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1=COCCC1 ZINC001403917534 1002742109 /nfs/dbraw/zinc/74/21/09/1002742109.db2.gz IYVCOSHDLYJAHE-UHFFFAOYSA-N 0 2 323.397 0.873 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1=COCCC1 ZINC001403917534 1002742112 /nfs/dbraw/zinc/74/21/12/1002742112.db2.gz IYVCOSHDLYJAHE-UHFFFAOYSA-N 0 2 323.397 0.873 20 0 DCADLN O=C(CCc1ccon1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001404000951 1002877026 /nfs/dbraw/zinc/87/70/26/1002877026.db2.gz NMKWVJLBLUMNBF-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN O=C(CCc1ccon1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001404000951 1002877043 /nfs/dbraw/zinc/87/70/43/1002877043.db2.gz NMKWVJLBLUMNBF-NSHDSACASA-N 0 2 320.353 0.212 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001404058505 1002954429 /nfs/dbraw/zinc/95/44/29/1002954429.db2.gz ZYKXUYXMOLISIT-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N(C)C[C@H]1CCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001404058505 1002954436 /nfs/dbraw/zinc/95/44/36/1002954436.db2.gz ZYKXUYXMOLISIT-PSASIEDQSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(NCC1(NCc2nc(C(F)F)no2)CC1)c1cnn[nH]1 ZINC001420958982 1003177068 /nfs/dbraw/zinc/17/70/68/1003177068.db2.gz WCZLPKRVTXPTRY-UHFFFAOYSA-N 0 2 313.268 0.178 20 0 DCADLN O=C(NCC1([NH2+]Cc2nc(C(F)F)no2)CC1)c1cnn[n-]1 ZINC001420958982 1003177074 /nfs/dbraw/zinc/17/70/74/1003177074.db2.gz WCZLPKRVTXPTRY-UHFFFAOYSA-N 0 2 313.268 0.178 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)[C@H]1COc2ccc(O)cc2O1 ZINC001420976540 1003194026 /nfs/dbraw/zinc/19/40/26/1003194026.db2.gz QJHODDDLYYJWHF-SNVBAGLBSA-N 0 2 307.262 0.279 20 0 DCADLN CCn1ncnc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001421029884 1003248678 /nfs/dbraw/zinc/24/86/78/1003248678.db2.gz KMQKTHQCDIAXKV-VIFPVBQESA-N 0 2 309.267 0.499 20 0 DCADLN CCn1ncnc1CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001421029884 1003248684 /nfs/dbraw/zinc/24/86/84/1003248684.db2.gz KMQKTHQCDIAXKV-VIFPVBQESA-N 0 2 309.267 0.499 20 0 DCADLN CC(C)[C@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1cnn(C)c1 ZINC001472208938 1016760411 /nfs/dbraw/zinc/76/04/11/1016760411.db2.gz VPMFCROPYHDRPM-ONGXEEELSA-N 0 2 309.395 0.790 20 0 DCADLN C[C@@H](NC(=O)C1(O)Cc2ccccc2C1)c1nn(C)cc1O ZINC001472238187 1016767357 /nfs/dbraw/zinc/76/73/57/1016767357.db2.gz ZQSGCNWEYZFTIU-SNVBAGLBSA-N 0 2 301.346 0.833 20 0 DCADLN C[C@@H](NC(=O)CCC[C@@]1(C)NC(=O)NC1=O)c1nn(C)cc1O ZINC001472237965 1016767927 /nfs/dbraw/zinc/76/79/27/1016767927.db2.gz XFCOSDIAQPZDAC-XLKFXECMSA-N 0 2 323.353 0.071 20 0 DCADLN CCCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001426508703 1003802312 /nfs/dbraw/zinc/80/23/12/1003802312.db2.gz WBXGWIRJUGSOFV-BHNWBGBOSA-N 0 2 300.252 0.375 20 0 DCADLN CCCC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O ZINC001426508703 1003802327 /nfs/dbraw/zinc/80/23/27/1003802327.db2.gz WBXGWIRJUGSOFV-BHNWBGBOSA-N 0 2 300.252 0.375 20 0 DCADLN Cc1cccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406591952 1003901160 /nfs/dbraw/zinc/90/11/60/1003901160.db2.gz ZJPCQDQULFRGHR-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN Cc1cccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001406591952 1003901165 /nfs/dbraw/zinc/90/11/65/1003901165.db2.gz ZJPCQDQULFRGHR-JTQLQIEISA-N 0 2 304.354 0.464 20 0 DCADLN CC(F)(F)CCC(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001406861006 1004160412 /nfs/dbraw/zinc/16/04/12/1004160412.db2.gz SBYRZZPOEPYVQB-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001428810749 1004189330 /nfs/dbraw/zinc/18/93/30/1004189330.db2.gz BMDGFUUBQUNNLN-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)NC(=O)[C@@H](F)C(F)(F)F ZINC001428810749 1004189334 /nfs/dbraw/zinc/18/93/34/1004189334.db2.gz BMDGFUUBQUNNLN-IMTBSYHQSA-N 0 2 323.246 0.922 20 0 DCADLN COC[C@H](NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001427233900 1004269804 /nfs/dbraw/zinc/26/98/04/1004269804.db2.gz RIUWGHIDYWXAQD-QWRGUYRKSA-N 0 2 309.370 0.824 20 0 DCADLN Cn1nccc1CCNC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427325014 1004322953 /nfs/dbraw/zinc/32/29/53/1004322953.db2.gz UPNKWAXCDOGWPD-JTQLQIEISA-N 0 2 319.369 0.376 20 0 DCADLN CCn1cnc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)c1 ZINC001429299675 1004515223 /nfs/dbraw/zinc/51/52/23/1004515223.db2.gz XHZDUVTXDZJFHB-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CCn1cnc(C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001429299675 1004515231 /nfs/dbraw/zinc/51/52/31/1004515231.db2.gz XHZDUVTXDZJFHB-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccccn1 ZINC001427821093 1004629022 /nfs/dbraw/zinc/62/90/22/1004629022.db2.gz DBJUCQNXVKBREG-IONNQARKSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccccn1 ZINC001427821093 1004629029 /nfs/dbraw/zinc/62/90/29/1004629029.db2.gz DBJUCQNXVKBREG-IONNQARKSA-N 0 2 323.246 0.189 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c(=O)c1)NC(=O)C(F)C(F)(F)F ZINC001408203646 1005105896 /nfs/dbraw/zinc/10/58/96/1005105896.db2.gz VBMHPOHVGZVNEC-XRGYYRRGSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c(=O)c1)NC(=O)[C@H](F)C(F)(F)F ZINC001408203646 1005105900 /nfs/dbraw/zinc/10/59/00/1005105900.db2.gz VBMHPOHVGZVNEC-XRGYYRRGSA-N 0 2 324.234 0.317 20 0 DCADLN COCCC(=O)NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001408389513 1005187890 /nfs/dbraw/zinc/18/78/90/1005187890.db2.gz VLARPVBXVCGMNR-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN COCCC(=O)NC[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001408389513 1005187893 /nfs/dbraw/zinc/18/78/93/1005187893.db2.gz VLARPVBXVCGMNR-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@H]1[C@@H](C)CCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001414731197 1005392022 /nfs/dbraw/zinc/39/20/22/1005392022.db2.gz LTLLKWBDOSFEDC-DTWKUNHWSA-N 0 2 315.399 0.289 20 0 DCADLN CC1(C)C[C@@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CO1 ZINC001414736061 1005393063 /nfs/dbraw/zinc/39/30/63/1005393063.db2.gz NXJNNYXPFMNOPC-NXEZZACHSA-N 0 2 309.370 0.967 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001415182934 1005477161 /nfs/dbraw/zinc/47/71/61/1005477161.db2.gz QBMQDMNKGJGGNR-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001415182934 1005477162 /nfs/dbraw/zinc/47/71/62/1005477162.db2.gz QBMQDMNKGJGGNR-HTRCEHHLSA-N 0 2 308.235 0.612 20 0 DCADLN CC[C@@H](CNC(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001416179695 1005667568 /nfs/dbraw/zinc/66/75/68/1005667568.db2.gz KUQPEZHRUDGMRP-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN CC[C@@H](CNC(=O)Cn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001416179695 1005667569 /nfs/dbraw/zinc/66/75/69/1005667569.db2.gz KUQPEZHRUDGMRP-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCC(C)(C)CO ZINC001417884572 1005914944 /nfs/dbraw/zinc/91/49/44/1005914944.db2.gz XMJYSAVIHOOKET-UHFFFAOYSA-N 0 2 306.366 0.398 20 0 DCADLN CCC[N@H+](C)CC(=O)NCC[NH+]1CCN(C/C=C\Cl)CC1 ZINC001417932919 1005930090 /nfs/dbraw/zinc/93/00/90/1005930090.db2.gz YLNFRXOCWIYMOR-PLNGDYQASA-N 0 2 316.877 0.815 20 0 DCADLN O=C(N[C@H]1CCCOCC1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434788117 1005976584 /nfs/dbraw/zinc/97/65/84/1005976584.db2.gz IKVHBBRDBUBRMW-QWRGUYRKSA-N 0 2 309.370 0.968 20 0 DCADLN CCC[NH+](C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)N2CCC2)C1 ZINC001436694231 1006262588 /nfs/dbraw/zinc/26/25/88/1006262588.db2.gz FHSACJUTEMQHHV-AWEZNQCLSA-N 0 2 310.442 0.141 20 0 DCADLN CCCC(=O)NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001451858101 1006456690 /nfs/dbraw/zinc/45/66/90/1006456690.db2.gz KYVKNWDLULHUOK-APPZFPTMSA-N 0 2 302.268 0.622 20 0 DCADLN CCCC(=O)NC[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001451858101 1006456699 /nfs/dbraw/zinc/45/66/99/1006456699.db2.gz KYVKNWDLULHUOK-APPZFPTMSA-N 0 2 302.268 0.622 20 0 DCADLN Cc1nn(C)cc1NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001418404141 1006477968 /nfs/dbraw/zinc/47/79/68/1006477968.db2.gz DEMDNXWFHRJLNU-UHFFFAOYSA-N 0 2 310.335 0.334 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1C ZINC001439303488 1006671767 /nfs/dbraw/zinc/67/17/67/1006671767.db2.gz SVYPJPBNSUKHSM-VIFPVBQESA-N 0 2 306.370 0.408 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1C ZINC001439303488 1006671773 /nfs/dbraw/zinc/67/17/73/1006671773.db2.gz SVYPJPBNSUKHSM-VIFPVBQESA-N 0 2 306.370 0.408 20 0 DCADLN C[C@@H](C[N@H+](C)CCn1cccn1)NC(=O)Cc1c[nH+]cn1C ZINC001439399064 1006809677 /nfs/dbraw/zinc/80/96/77/1006809677.db2.gz KVVJCWHQUKEGAF-ZDUSSCGKSA-N 0 2 304.398 0.296 20 0 DCADLN C[C@@H]1CC[C@H](C(N)=O)[C@@H](C)N1C(=O)C[C@@H]1SC(=N)NC1=O ZINC001452456891 1006841930 /nfs/dbraw/zinc/84/19/30/1006841930.db2.gz IFXHWFVDSADRSN-HXFLIBJXSA-N 0 2 312.395 0.044 20 0 DCADLN O=C(COCC1CCCC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001439537994 1006979171 /nfs/dbraw/zinc/97/91/71/1006979171.db2.gz WGGWSNKBYVBLSL-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2cn(C3CCC3)nn2)S1 ZINC001452681544 1006985970 /nfs/dbraw/zinc/98/59/70/1006985970.db2.gz AJZHACSRXQTPQS-VIFPVBQESA-N 0 2 308.367 0.176 20 0 DCADLN CCO[C@@H]1CN(C(C)=O)C[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001453011792 1007193640 /nfs/dbraw/zinc/19/36/40/1007193640.db2.gz GMZBATXTCFVXEJ-OPRDCNLKSA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@@H]1CN(C(C)=O)C[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001453011792 1007193644 /nfs/dbraw/zinc/19/36/44/1007193644.db2.gz GMZBATXTCFVXEJ-OPRDCNLKSA-N 0 2 314.279 0.886 20 0 DCADLN O=C(CC[C@@H]1CCCO1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437649154 1007209223 /nfs/dbraw/zinc/20/92/23/1007209223.db2.gz BYZBISAPUSJGKM-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(CC[C@@H]1CCCO1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437649154 1007209230 /nfs/dbraw/zinc/20/92/30/1007209230.db2.gz BYZBISAPUSJGKM-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001453161816 1007282104 /nfs/dbraw/zinc/28/21/04/1007282104.db2.gz DOFYZDHGJJFPOK-XPUUQOCRSA-N 0 2 323.250 0.344 20 0 DCADLN Cc1n[nH]nc1C(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001453161816 1007282110 /nfs/dbraw/zinc/28/21/10/1007282110.db2.gz DOFYZDHGJJFPOK-XPUUQOCRSA-N 0 2 323.250 0.344 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001453171426 1007289380 /nfs/dbraw/zinc/28/93/80/1007289380.db2.gz VKIPAFFJEFHEFB-WPRPVWTQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001453171426 1007289391 /nfs/dbraw/zinc/28/93/91/1007289391.db2.gz VKIPAFFJEFHEFB-WPRPVWTQSA-N 0 2 322.262 0.501 20 0 DCADLN C[N@H+](CC(=O)NC[C@H]1CCN1C(=O)Cc1c[nH+]c[nH]1)C1CCC1 ZINC001453174135 1007290649 /nfs/dbraw/zinc/29/06/49/1007290649.db2.gz DBERBNSCSIYYJF-CQSZACIVSA-N 0 2 319.409 0.154 20 0 DCADLN C[C@H](C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccco1 ZINC001437806105 1007420506 /nfs/dbraw/zinc/42/05/06/1007420506.db2.gz FPBIXCPHKQGDGB-JTQLQIEISA-N 0 2 319.365 0.988 20 0 DCADLN C[C@H](C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccco1 ZINC001437806105 1007420513 /nfs/dbraw/zinc/42/05/13/1007420513.db2.gz FPBIXCPHKQGDGB-JTQLQIEISA-N 0 2 319.365 0.988 20 0 DCADLN O=C(NCC1(O)CN(Cc2ccc(CF)cc2)C1)c1cnn[nH]1 ZINC001440063667 1007465264 /nfs/dbraw/zinc/46/52/64/1007465264.db2.gz STYMXTWTTFBFSA-UHFFFAOYSA-N 0 2 319.340 0.251 20 0 DCADLN O=C(NCC1(O)C[NH+](Cc2ccc(CF)cc2)C1)c1cnn[n-]1 ZINC001440063667 1007465273 /nfs/dbraw/zinc/46/52/73/1007465273.db2.gz STYMXTWTTFBFSA-UHFFFAOYSA-N 0 2 319.340 0.251 20 0 DCADLN C[C@H](CNC(=O)c1cnn[nH]1)N(C)Cc1ncc(C2CC2)o1 ZINC001440949456 1008134395 /nfs/dbraw/zinc/13/43/95/1008134395.db2.gz WSXZDZKWFQKEPJ-SECBINFHSA-N 0 2 304.354 0.920 20 0 DCADLN CC(C)[C@@H](C)C(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001441068809 1008205003 /nfs/dbraw/zinc/20/50/03/1008205003.db2.gz LIHMENSGMROTOJ-GFCCVEGCSA-N 0 2 324.429 0.036 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](C)n1cccc1)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001441519457 1008387548 /nfs/dbraw/zinc/38/75/48/1008387548.db2.gz VOUCQZWEKWCXQF-NEPJUHHUSA-N 0 2 320.397 0.108 20 0 DCADLN CCC1(C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)CC1 ZINC001433296921 1008679324 /nfs/dbraw/zinc/67/93/24/1008679324.db2.gz CTMLMAUBCPTQTL-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001433296921 1008679329 /nfs/dbraw/zinc/67/93/29/1008679329.db2.gz CTMLMAUBCPTQTL-JGVFFNPUSA-N 0 2 314.279 0.670 20 0 DCADLN CCOCCn1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)cn1 ZINC001454188665 1008990190 /nfs/dbraw/zinc/99/01/90/1008990190.db2.gz FWKZGCLEYULCNH-UHFFFAOYSA-N 0 2 307.310 0.051 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cnc2ccccc2c1O ZINC001454192631 1008991872 /nfs/dbraw/zinc/99/18/72/1008991872.db2.gz ZKBHSUYQIXDXJW-UHFFFAOYSA-N 0 2 312.285 0.659 20 0 DCADLN Cc1nc(NC(=O)c2cc(Cl)sc2S(N)(=O)=O)n[nH]1 ZINC001433667122 1009048985 /nfs/dbraw/zinc/04/89/85/1009048985.db2.gz RKWVCTDJBRBCRX-UHFFFAOYSA-N 0 2 321.771 0.728 20 0 DCADLN Cc1nnc(NC(=O)c2cc(Cl)sc2S(N)(=O)=O)[nH]1 ZINC001433667122 1009048999 /nfs/dbraw/zinc/04/89/99/1009048999.db2.gz RKWVCTDJBRBCRX-UHFFFAOYSA-N 0 2 321.771 0.728 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1)C(F)C(F)(F)F ZINC001454395503 1009117117 /nfs/dbraw/zinc/11/71/17/1009117117.db2.gz CLQXBCHBFJBXPQ-YIQYQHPQSA-N 0 2 324.274 0.687 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1)[C@H](F)C(F)(F)F ZINC001454395503 1009117126 /nfs/dbraw/zinc/11/71/26/1009117126.db2.gz CLQXBCHBFJBXPQ-YIQYQHPQSA-N 0 2 324.274 0.687 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1nc[nH]n1)C(F)C(F)(F)F ZINC001433742595 1009140058 /nfs/dbraw/zinc/14/00/58/1009140058.db2.gz YOBJMJKWSCCEEC-RITPCOANSA-N 0 2 309.223 0.036 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)c1nc[nH]n1)[C@H](F)C(F)(F)F ZINC001433742595 1009140061 /nfs/dbraw/zinc/14/00/61/1009140061.db2.gz YOBJMJKWSCCEEC-RITPCOANSA-N 0 2 309.223 0.036 20 0 DCADLN C[C@@H]1[C@H](CCC(=O)NCc2n[nH]c(=O)[nH]2)[C@H](C)Nc2ccnn21 ZINC001433773474 1009168470 /nfs/dbraw/zinc/16/84/70/1009168470.db2.gz QPKBEHLLQNVVPU-IVZWLZJFSA-N 0 2 319.369 0.795 20 0 DCADLN C[C@@](CO)(NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)C1CC1 ZINC001433803539 1009193320 /nfs/dbraw/zinc/19/33/20/1009193320.db2.gz FNMJBVXLJNSWMW-HNNXBMFYSA-N 0 2 318.333 0.157 20 0 DCADLN CC(C)(C)CC[C@@H](CO)NC(=O)C[C@H]1SC(=N)NC1=O ZINC001433940382 1009377183 /nfs/dbraw/zinc/37/71/83/1009377183.db2.gz RLTGMELZXGGYFC-DTWKUNHWSA-N 0 2 301.412 0.846 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)[C@@H]2CCCOC2)CC1 ZINC001433954303 1009396446 /nfs/dbraw/zinc/39/64/46/1009396446.db2.gz PXJBJRRWDZTGMA-GFCCVEGCSA-N 0 2 320.393 0.452 20 0 DCADLN C[C@@H](Cn1cccn1)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001477303406 1017275304 /nfs/dbraw/zinc/27/53/04/1017275304.db2.gz ZNCGIFYPYDAHBJ-WDEREUQCSA-N 0 2 319.369 0.685 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccc(=O)[nH]c1 ZINC001455284211 1009631587 /nfs/dbraw/zinc/63/15/87/1009631587.db2.gz ZVZBTDMGVOMXGQ-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccc(=O)[nH]c1 ZINC001455284211 1009631597 /nfs/dbraw/zinc/63/15/97/1009631597.db2.gz ZVZBTDMGVOMXGQ-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN Cn1ncnc1CN1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001442780896 1009725734 /nfs/dbraw/zinc/72/57/34/1009725734.db2.gz HEQNZUQXJWHFBQ-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CCC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001442780896 1009725748 /nfs/dbraw/zinc/72/57/48/1009725748.db2.gz HEQNZUQXJWHFBQ-SCZZXKLOSA-N 0 2 323.294 0.796 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)NC(=O)C3)S1 ZINC001455593813 1009843615 /nfs/dbraw/zinc/84/36/15/1009843615.db2.gz XVSATEKLLNAKDE-VIFPVBQESA-N 0 2 304.331 0.676 20 0 DCADLN COC(=O)c1ccc(C(=O)N[C@H](C)c2nn(C)cc2O)n1C ZINC001434405895 1009913557 /nfs/dbraw/zinc/91/35/57/1009913557.db2.gz CUHYSRNXLOUJBQ-MRVPVSSYSA-N 0 2 306.322 0.742 20 0 DCADLN C[C@H](NC(=O)c1cc(OC(F)F)n(C)n1)c1nn(C)cc1O ZINC001434406364 1009913829 /nfs/dbraw/zinc/91/38/29/1009913829.db2.gz GMGLTOGZQVEKHL-LURJTMIESA-N 0 2 315.280 0.952 20 0 DCADLN Cc1c[nH]cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1=O ZINC001434432241 1009946997 /nfs/dbraw/zinc/94/69/97/1009946997.db2.gz VFAYRCUODHTOTM-VIFPVBQESA-N 0 2 303.322 0.527 20 0 DCADLN COc1ccc(C(=O)NCc2n[nH]c([C@H]3CCOC3)n2)c(O)c1 ZINC001434435964 1009952955 /nfs/dbraw/zinc/95/29/55/1009952955.db2.gz DJFTXYRAXUUBNF-VIFPVBQESA-N 0 2 318.333 0.953 20 0 DCADLN COCC(C)(C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001443099580 1010139416 /nfs/dbraw/zinc/13/94/16/1010139416.db2.gz MUZMQTFUNOXPFR-UHFFFAOYSA-N 0 2 306.322 0.421 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)OC2CCCCC2)[nH]1 ZINC001434648914 1010156435 /nfs/dbraw/zinc/15/64/35/1010156435.db2.gz LCFWMZNXDBPKPR-UHFFFAOYSA-N 0 2 316.383 0.908 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001422737457 1010548659 /nfs/dbraw/zinc/54/86/59/1010548659.db2.gz HLKLRWIZSPPEJW-SFYZADRCSA-N 0 2 317.340 0.738 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ncc[nH]1 ZINC001508898914 1017092520 /nfs/dbraw/zinc/09/25/20/1017092520.db2.gz IHGUOWXOQQFIRN-BQBZGAKWSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1ncc[nH]1 ZINC001508898914 1017092535 /nfs/dbraw/zinc/09/25/35/1017092535.db2.gz IHGUOWXOQQFIRN-BQBZGAKWSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)Cn1cccn1)NC(=O)C(F)C(F)(F)F ZINC001443734681 1010616740 /nfs/dbraw/zinc/61/67/40/1010616740.db2.gz KBOLUKGTLACRAT-WPRPVWTQSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@@H](CN(C)C(=O)Cn1cccn1)NC(=O)[C@H](F)C(F)(F)F ZINC001443734681 1010616749 /nfs/dbraw/zinc/61/67/49/1010616749.db2.gz KBOLUKGTLACRAT-WPRPVWTQSA-N 0 2 324.278 0.747 20 0 DCADLN C[C@@H](CC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001443847028 1010700542 /nfs/dbraw/zinc/70/05/42/1010700542.db2.gz QELNTBXUHHYVPY-CMPLNLGQSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H](CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001443847028 1010700550 /nfs/dbraw/zinc/70/05/50/1010700550.db2.gz QELNTBXUHHYVPY-CMPLNLGQSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H](C)[NH2+]Cc2nc(=O)n(C)[nH]2)oc1C ZINC001423038896 1010829932 /nfs/dbraw/zinc/82/99/32/1010829932.db2.gz HWDBABRNWGLUCY-JTQLQIEISA-N 0 2 321.381 0.569 20 0 DCADLN Cn1ccnc1CN[C@@H](CO)CNC(=O)c1ccccc1Cl ZINC001423283273 1011045554 /nfs/dbraw/zinc/04/55/54/1011045554.db2.gz NDGXFMWRCKHDOS-LLVKDONJSA-N 0 2 322.796 0.954 20 0 DCADLN CCCn1ncnc1CNC1(CNC(=O)c2ncccn2)CC1 ZINC001423283686 1011045833 /nfs/dbraw/zinc/04/58/33/1011045833.db2.gz PGKKKTCTCZHGME-UHFFFAOYSA-N 0 2 315.381 0.530 20 0 DCADLN Cc1noc(CN2CC(N(C)C(=O)C(F)C(F)(F)F)C2)n1 ZINC001423408478 1011129529 /nfs/dbraw/zinc/12/95/29/1011129529.db2.gz RJWDIVSVFOCYEZ-SECBINFHSA-N 0 2 310.251 0.921 20 0 DCADLN Cc1noc(CN2CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001423408478 1011129531 /nfs/dbraw/zinc/12/95/31/1011129531.db2.gz RJWDIVSVFOCYEZ-SECBINFHSA-N 0 2 310.251 0.921 20 0 DCADLN C[C@H](CNC(=O)CC(C)(C)O)NC(=O)C(F)C(F)(F)F ZINC001431656936 1011512640 /nfs/dbraw/zinc/51/26/40/1011512640.db2.gz XEYGKRDWRHPCHQ-SVRRBLITSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@H](CNC(=O)CC(C)(C)O)NC(=O)[C@H](F)C(F)(F)F ZINC001431656936 1011512655 /nfs/dbraw/zinc/51/26/55/1011512655.db2.gz XEYGKRDWRHPCHQ-SVRRBLITSA-N 0 2 302.268 0.669 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)NC(=O)C(F)C(F)(F)F ZINC001431836051 1011693780 /nfs/dbraw/zinc/69/37/80/1011693780.db2.gz OVCUHSOBPSSNPQ-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)NC(=O)[C@H](F)C(F)(F)F ZINC001431836051 1011693785 /nfs/dbraw/zinc/69/37/85/1011693785.db2.gz OVCUHSOBPSSNPQ-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cocn1)C(F)C(F)(F)F ZINC001534851591 1011698709 /nfs/dbraw/zinc/69/87/09/1011698709.db2.gz YJNOHOVXGWBUEN-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cocn1)[C@@H](F)C(F)(F)F ZINC001534851591 1011698717 /nfs/dbraw/zinc/69/87/17/1011698717.db2.gz YJNOHOVXGWBUEN-POYBYMJQSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21)C(F)C(F)(F)F ZINC001432041296 1011902108 /nfs/dbraw/zinc/90/21/08/1011902108.db2.gz STRQPMCAMZUXMM-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@@H](F)C(F)(F)F ZINC001432041296 1011902118 /nfs/dbraw/zinc/90/21/18/1011902118.db2.gz STRQPMCAMZUXMM-SRQGCSHVSA-N 0 2 324.274 0.496 20 0 DCADLN O=C(CCC(F)F)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001458004077 1012030626 /nfs/dbraw/zinc/03/06/26/1012030626.db2.gz PMUDBDYZLCHNKP-YLWLKBPMSA-N 0 2 324.221 0.525 20 0 DCADLN O=C(CCC(F)F)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001458004077 1012030647 /nfs/dbraw/zinc/03/06/47/1012030647.db2.gz PMUDBDYZLCHNKP-YLWLKBPMSA-N 0 2 324.221 0.525 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001445680995 1012106559 /nfs/dbraw/zinc/10/65/59/1012106559.db2.gz ZMDDZXWCEUPKPI-MRVPVSSYSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001445680995 1012106569 /nfs/dbraw/zinc/10/65/69/1012106569.db2.gz ZMDDZXWCEUPKPI-MRVPVSSYSA-N 0 2 307.354 0.970 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@@H]1CCCO1 ZINC001445685020 1012112147 /nfs/dbraw/zinc/11/21/47/1012112147.db2.gz IUDUSZUNKNPFFB-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@@H]1CCCO1 ZINC001445685020 1012112162 /nfs/dbraw/zinc/11/21/62/1012112162.db2.gz IUDUSZUNKNPFFB-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccnc1 ZINC001445688792 1012118278 /nfs/dbraw/zinc/11/82/78/1012118278.db2.gz IFESAVDQSDESBC-JTQLQIEISA-N 0 2 304.354 0.085 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccnc1 ZINC001445688792 1012118286 /nfs/dbraw/zinc/11/82/86/1012118286.db2.gz IFESAVDQSDESBC-JTQLQIEISA-N 0 2 304.354 0.085 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ncccn1 ZINC001424185586 1012140560 /nfs/dbraw/zinc/14/05/60/1012140560.db2.gz VZEPNJDBLIJVLR-HTQZYQBOSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ncccn1 ZINC001424185586 1012140568 /nfs/dbraw/zinc/14/05/68/1012140568.db2.gz VZEPNJDBLIJVLR-HTQZYQBOSA-N 0 2 322.262 0.954 20 0 DCADLN CO[C@H](C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001432261524 1012222251 /nfs/dbraw/zinc/22/22/51/1012222251.db2.gz WKTVUTKMYQWPPL-DTWKUNHWSA-N 0 2 312.263 0.639 20 0 DCADLN CO[C@H](C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)C1CC1 ZINC001432261524 1012222263 /nfs/dbraw/zinc/22/22/63/1012222263.db2.gz WKTVUTKMYQWPPL-DTWKUNHWSA-N 0 2 312.263 0.639 20 0 DCADLN CC[C@@H](C)CONC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001556803720 1012231874 /nfs/dbraw/zinc/23/18/74/1012231874.db2.gz IXMVOVDLLVTWDL-SECBINFHSA-N 0 2 306.322 0.974 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@H]1CCC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001424278470 1012293037 /nfs/dbraw/zinc/29/30/37/1012293037.db2.gz KLLGFDSAPMTWKW-AWEZNQCLSA-N 0 2 322.457 0.926 20 0 DCADLN CN(C)C(=O)CCCNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001563763844 1012511292 /nfs/dbraw/zinc/51/12/92/1012511292.db2.gz HEBPVMHQLTXSHJ-SNVBAGLBSA-N 0 2 324.385 0.268 20 0 DCADLN COC(=O)c1cnoc1CN1CCC[C@H](C2N=NC(=O)O2)C1 ZINC001460038083 1012843239 /nfs/dbraw/zinc/84/32/39/1012843239.db2.gz ZZONXKXZZDDCRZ-QMMMGPOBSA-N 0 2 308.294 0.929 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001446609572 1012864463 /nfs/dbraw/zinc/86/44/63/1012864463.db2.gz AFSVZYOMHNLKEW-DTWKUNHWSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001446609572 1012864481 /nfs/dbraw/zinc/86/44/81/1012864481.db2.gz AFSVZYOMHNLKEW-DTWKUNHWSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1ccc(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc1 ZINC001460715202 1012957670 /nfs/dbraw/zinc/95/76/70/1012957670.db2.gz GEHBKJIHJNXAFC-UHFFFAOYSA-N 0 2 312.333 0.134 20 0 DCADLN O=C(NCC1(O)CN(Cc2ncccn2)C1)C(F)C(F)(F)F ZINC001446833702 1013005828 /nfs/dbraw/zinc/00/58/28/1013005828.db2.gz HRHQJUZGAPWYST-VIFPVBQESA-N 0 2 322.262 0.040 20 0 DCADLN O=C(NCC1(O)CN(Cc2ncccn2)C1)[C@H](F)C(F)(F)F ZINC001446833702 1013005834 /nfs/dbraw/zinc/00/58/34/1013005834.db2.gz HRHQJUZGAPWYST-VIFPVBQESA-N 0 2 322.262 0.040 20 0 DCADLN Cc1ncc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001478797736 1017388085 /nfs/dbraw/zinc/38/80/85/1017388085.db2.gz NDJSRDIRCKISEW-SECBINFHSA-N 0 2 306.326 0.896 20 0 DCADLN CO[C@@H](C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001506639669 1017427541 /nfs/dbraw/zinc/42/75/41/1017427541.db2.gz KXJXNLQMYHMNQI-NOZJJQNGSA-N 0 2 323.397 0.262 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1)C(F)F ZINC001506779295 1017540834 /nfs/dbraw/zinc/54/08/34/1017540834.db2.gz WRQMNLFQFRRSDE-CDUCUWFYSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(NC[C@@]1(O)CCN(C(=O)[C@H](F)C(F)(F)F)C1)C(F)F ZINC001506779295 1017540843 /nfs/dbraw/zinc/54/08/43/1017540843.db2.gz WRQMNLFQFRRSDE-CDUCUWFYSA-N 0 2 322.205 0.232 20 0 DCADLN CCO[C@@H](C[NH+]1CC(N(C)C(=O)c2n[nH]c(C)c2[O-])C1)C1CC1 ZINC001499653914 1018020589 /nfs/dbraw/zinc/02/05/89/1018020589.db2.gz DBIVDYLOOUUFJZ-ZDUSSCGKSA-N 0 2 322.409 0.995 20 0 DCADLN CN(C(=O)C(C)(C)C(F)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001499774896 1018072994 /nfs/dbraw/zinc/07/29/94/1018072994.db2.gz OYWWJYJFAGISOQ-UHFFFAOYSA-N 0 2 321.303 0.741 20 0 DCADLN COCC1(CC(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001500220112 1018326488 /nfs/dbraw/zinc/32/64/88/1018326488.db2.gz OYOUPDNFWJTUJM-AWEZNQCLSA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(CC(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001500220112 1018326499 /nfs/dbraw/zinc/32/64/99/1018326499.db2.gz OYOUPDNFWJTUJM-AWEZNQCLSA-N 0 2 323.397 0.408 20 0 DCADLN Cn1cnnc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001480360248 1018463763 /nfs/dbraw/zinc/46/37/63/1018463763.db2.gz QIYGERRPGQXZQV-SECBINFHSA-N 0 2 309.267 0.360 20 0 DCADLN Cn1cnnc1CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001480360248 1018463769 /nfs/dbraw/zinc/46/37/69/1018463769.db2.gz QIYGERRPGQXZQV-SECBINFHSA-N 0 2 309.267 0.360 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1nccnc1N ZINC001496124255 1019090789 /nfs/dbraw/zinc/09/07/89/1019090789.db2.gz YVJLLFQPOQXVCK-SSDOTTSWSA-N 0 2 323.250 0.147 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1nccnc1N ZINC001496124255 1019090807 /nfs/dbraw/zinc/09/08/07/1019090807.db2.gz YVJLLFQPOQXVCK-SSDOTTSWSA-N 0 2 323.250 0.147 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccon2)C1)C(F)C(F)(F)F ZINC001498577040 1019240055 /nfs/dbraw/zinc/24/00/55/1019240055.db2.gz MATJZGUTSOMQPJ-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NCC1CN(C(=O)c2ccon2)C1)[C@H](F)C(F)(F)F ZINC001498577040 1019240074 /nfs/dbraw/zinc/24/00/74/1019240074.db2.gz MATJZGUTSOMQPJ-QMMMGPOBSA-N 0 2 309.219 0.763 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cocn1 ZINC001501738562 1019431191 /nfs/dbraw/zinc/43/11/91/1019431191.db2.gz WCWVPGJFLHECHP-CMLYIYFCSA-N 0 2 309.219 0.977 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@H](F)C(F)(F)F)c1cocn1 ZINC001501738562 1019431194 /nfs/dbraw/zinc/43/11/94/1019431194.db2.gz WCWVPGJFLHECHP-CMLYIYFCSA-N 0 2 309.219 0.977 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001496833233 1019681492 /nfs/dbraw/zinc/68/14/92/1019681492.db2.gz ZOIRNRYFSCBTOQ-UHFFFAOYSA-N 0 2 320.397 0.670 20 0 DCADLN Cc1cc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1C ZINC001496833233 1019681495 /nfs/dbraw/zinc/68/14/95/1019681495.db2.gz ZOIRNRYFSCBTOQ-UHFFFAOYSA-N 0 2 320.397 0.670 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC001494228274 1020087213 /nfs/dbraw/zinc/08/72/13/1020087213.db2.gz WPMILZPXUMFRHM-YIZRAAEISA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC001494228274 1020087220 /nfs/dbraw/zinc/08/72/20/1020087220.db2.gz WPMILZPXUMFRHM-YIZRAAEISA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1CCC[N@H+](CCOC[C@@H]2CCCO2)C1)c1cnn[nH]1 ZINC001494320045 1020128999 /nfs/dbraw/zinc/12/89/99/1020128999.db2.gz FWOUDEBROJTUPS-STQMWFEESA-N 0 2 323.397 0.195 20 0 DCADLN CC(C)[C@@H](C)C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001494455456 1020224260 /nfs/dbraw/zinc/22/42/60/1020224260.db2.gz FKZGWPNVFQVUBL-LLVKDONJSA-N 0 2 317.393 0.615 20 0 DCADLN Cc1ccc(OCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001494495906 1020253766 /nfs/dbraw/zinc/25/37/66/1020253766.db2.gz VHIZWAOSJZIGFN-UHFFFAOYSA-N 0 2 317.349 0.198 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cccnc2C(=O)[O-])C[C@H](C)[N@H+]1C ZINC001607479173 1170464147 /nfs/dbraw/zinc/46/41/47/1170464147.db2.gz RGILKSJRZNAKNL-AOOOYVTPSA-N 0 2 313.379 0.493 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)c2cccnc2C(=O)[O-])C[C@H](C)[N@@H+]1C ZINC001607479173 1170464151 /nfs/dbraw/zinc/46/41/51/1170464151.db2.gz RGILKSJRZNAKNL-AOOOYVTPSA-N 0 2 313.379 0.493 20 0 DCADLN NS(=O)(=O)[C@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001608259673 1170635632 /nfs/dbraw/zinc/63/56/32/1170635632.db2.gz PASKCPQFPDDOSZ-JTQLQIEISA-N 0 2 302.327 0.387 20 0 DCADLN NS(=O)(=O)[C@H]1CC[N@@H+](Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001608259673 1170635635 /nfs/dbraw/zinc/63/56/35/1170635635.db2.gz PASKCPQFPDDOSZ-JTQLQIEISA-N 0 2 302.327 0.387 20 0 DCADLN O=C([O-])C1(CS(=O)(=O)NCCNc2cccc[nH+]2)CCC1 ZINC001608574268 1170705070 /nfs/dbraw/zinc/70/50/70/1170705070.db2.gz XLOXLLBPWUYWJP-UHFFFAOYSA-N 0 2 313.379 0.668 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)[C@H]1CCCN(c2ccccc2)C1=O ZINC000092618721 185329343 /nfs/dbraw/zinc/32/93/43/185329343.db2.gz CTQHFOVCVWUVPF-LBPRGKRZSA-N 0 2 301.350 0.725 20 0 DCADLN CN(CC(C)(CO)CO)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000330713845 529849433 /nfs/dbraw/zinc/84/94/33/529849433.db2.gz XCTPVCBRNHGLEK-UHFFFAOYSA-N 0 2 316.427 0.712 20 0 DCADLN O=S(=O)(Nc1nncs1)c1cnn([C@H]2CCOC2)c1 ZINC001645633702 1172082202 /nfs/dbraw/zinc/08/22/02/1172082202.db2.gz ZJAIBVXMJIASTH-ZETCQYMHSA-N 0 2 301.353 0.497 20 0 DCADLN CC(C)Cn1cnc(S(=O)(=O)N2CC(CC(N)=O)C2)c1 ZINC000281092515 216073773 /nfs/dbraw/zinc/07/37/73/216073773.db2.gz WDCQGQPQGPCGPL-UHFFFAOYSA-N 0 2 300.384 0.035 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc3c(c2)CCN3C(N)=O)cn1 ZINC000156393474 291224678 /nfs/dbraw/zinc/22/46/78/291224678.db2.gz IBRWCWVOQZUWCJ-UHFFFAOYSA-N 0 2 321.362 0.662 20 0 DCADLN C[C@@H]1CN(c2ccc(CNC(=O)C3(C(=O)[O-])CC3)c[nH+]2)CCO1 ZINC000566563277 291313019 /nfs/dbraw/zinc/31/30/19/291313019.db2.gz CCKQWTKYOZODLR-LLVKDONJSA-N 0 2 319.361 0.788 20 0 DCADLN CCS(=O)(=O)NCCNc1nc(C2CCCC2)ns1 ZINC000420591103 240047707 /nfs/dbraw/zinc/04/77/07/240047707.db2.gz XXWJTHLNDUNJHK-UHFFFAOYSA-N 0 2 304.441 0.969 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)[C@@H]2CCN(C)C2=O)c1 ZINC000424682150 240261060 /nfs/dbraw/zinc/26/10/60/240261060.db2.gz ORJGIZQKKKAZLE-MCMMXHMISA-N 0 2 324.402 0.492 20 0 DCADLN C[C@@H](C(=O)[O-])N(C(=O)c1ccc(-n2cc[nH+]c2)nn1)C1CC1 ZINC000567862845 291385393 /nfs/dbraw/zinc/38/53/93/291385393.db2.gz XRHASVNHONQZQR-VIFPVBQESA-N 0 2 301.306 0.740 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H](C)CC(N)=O ZINC000568646821 291439781 /nfs/dbraw/zinc/43/97/81/291439781.db2.gz HUPJMYGCKQHKBE-MRVPVSSYSA-N 0 2 303.322 0.936 20 0 DCADLN CC(C)[C@@H]1OCC[C@@H]1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275106565 212123970 /nfs/dbraw/zinc/12/39/70/212123970.db2.gz JOGXZAINBGVPGF-SCZZXKLOSA-N 0 2 300.384 0.367 20 0 DCADLN C[C@@](O)(CNC(=O)CSc1n[nH]c(=O)[nH]1)c1ccsc1 ZINC000275089190 212115180 /nfs/dbraw/zinc/11/51/80/212115180.db2.gz GIUGDFAZOXDQFB-LLVKDONJSA-N 0 2 314.392 0.276 20 0 DCADLN O=C([O-])[C@H]1CCC[N@@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000262096632 304187533 /nfs/dbraw/zinc/18/75/33/304187533.db2.gz IBZLREFOKSHEJQ-GFCCVEGCSA-N 0 2 303.318 0.521 20 0 DCADLN O=C([O-])[C@H]1CCC[N@H+]1CC(=O)N1CC(=O)Nc2ccccc21 ZINC000262096632 304187536 /nfs/dbraw/zinc/18/75/36/304187536.db2.gz IBZLREFOKSHEJQ-GFCCVEGCSA-N 0 2 303.318 0.521 20 0 DCADLN CC[C@H](CNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC001646725802 1172493394 /nfs/dbraw/zinc/49/33/94/1172493394.db2.gz TVSWQTQUZACDRB-CHWSQXEVSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H](C(=O)[O-])C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000574571940 291703051 /nfs/dbraw/zinc/70/30/51/291703051.db2.gz RHLCDDPWEJIGLT-DZGCQCFKSA-N 0 2 320.389 0.767 20 0 DCADLN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1cc(F)c(O)c(F)c1 ZINC000273886026 211143499 /nfs/dbraw/zinc/14/34/99/211143499.db2.gz UIOJWVYDXNKXSE-XCBNKYQSSA-N 0 2 301.245 0.419 20 0 DCADLN COC[C@](C)(NC(=O)c1cc(F)c(O)c(F)c1)C(=O)OC ZINC000273813985 211081084 /nfs/dbraw/zinc/08/10/84/211081084.db2.gz DPFVDSWJCRLFRF-ZDUSSCGKSA-N 0 2 303.261 0.978 20 0 DCADLN COCn1nccc1S(=O)(=O)N1CCC(C)(COC)CC1 ZINC000331113307 252648781 /nfs/dbraw/zinc/64/87/81/252648781.db2.gz UPERSSDFHAHXIO-UHFFFAOYSA-N 0 2 317.411 0.924 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc(C(=O)NC(N)=O)c1 ZINC000339097117 253030541 /nfs/dbraw/zinc/03/05/41/253030541.db2.gz YHBOKHZOPWPHPG-UHFFFAOYSA-N 0 2 323.334 0.327 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2ccc(-n3cccn3)nc2)n1 ZINC000340079072 253197001 /nfs/dbraw/zinc/19/70/01/253197001.db2.gz DWQGYTFUMAZFQQ-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(S(C)(=O)=O)cc2)cn1 ZINC000340261031 253226727 /nfs/dbraw/zinc/22/67/27/253226727.db2.gz UIDWVEZOEYUWIC-UHFFFAOYSA-N 0 2 315.376 0.624 20 0 DCADLN CN(C(=O)Cn1c2ccccc2n(C)c1=O)[C@@H]1CCC[C@H]1O ZINC000288649242 220321982 /nfs/dbraw/zinc/32/19/82/220321982.db2.gz ZQDJUPMEVQUMIY-ZIAGYGMSSA-N 0 2 303.362 0.712 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2cccc(NC(=O)CO)c2)cn1 ZINC000342775002 253539599 /nfs/dbraw/zinc/53/95/99/253539599.db2.gz FBYNNMPVNSCLMC-UHFFFAOYSA-N 0 2 324.362 0.635 20 0 DCADLN C[C@@H](C(=O)N=c1nc(C(C)(C)C)[n-]s1)N1CC[NH+](C)CC1 ZINC000348882546 254262772 /nfs/dbraw/zinc/26/27/72/254262772.db2.gz YWGDPLSOZVWROZ-JTQLQIEISA-N 0 2 311.455 0.832 20 0 DCADLN COC(=O)Cn1cc(NS(=O)(=O)c2ccsc2)cn1 ZINC000349993585 254307776 /nfs/dbraw/zinc/30/77/76/254307776.db2.gz WRZRBNXRWBRSIQ-UHFFFAOYSA-N 0 2 301.349 0.918 20 0 DCADLN CCn1cc(NS(=O)(=O)c2ccc(OC)c(C(N)=O)c2)cn1 ZINC000032595332 395711532 /nfs/dbraw/zinc/71/15/32/395711532.db2.gz LZCHSAPFJNAAFX-UHFFFAOYSA-N 0 2 324.362 0.811 20 0 DCADLN O=C([O-])C[NH+]1CCN(S(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000035126649 395718885 /nfs/dbraw/zinc/71/88/85/395718885.db2.gz KHSXLWJPIQFEHO-UHFFFAOYSA-N 0 2 318.782 0.731 20 0 DCADLN COc1ccc(CN(CCO)Cc2n[nH]c(=O)[nH]2)c(OC)c1 ZINC000091576332 395726109 /nfs/dbraw/zinc/72/61/09/395726109.db2.gz LZHMEXOEOSKBND-UHFFFAOYSA-N 0 2 308.338 0.110 20 0 DCADLN COC(=O)Cn1cc(NS(=O)(=O)c2c(C)noc2C)cn1 ZINC000038030601 395730745 /nfs/dbraw/zinc/73/07/45/395730745.db2.gz DEDZEHGRDHBKPL-UHFFFAOYSA-N 0 2 314.323 0.462 20 0 DCADLN O=C(Nc1cnc(C2CC2)nc1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000103088391 395771814 /nfs/dbraw/zinc/77/18/14/395771814.db2.gz CFECVOPLLWMVHK-UHFFFAOYSA-N 0 2 324.300 0.531 20 0 DCADLN COCCCNC(=O)CS(=O)(=O)c1nc2ccccc2[nH]1 ZINC000047940306 395803531 /nfs/dbraw/zinc/80/35/31/395803531.db2.gz VDLJWWJUKLBMQC-UHFFFAOYSA-N 0 2 311.363 0.489 20 0 DCADLN COC(=O)NCC(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000048316088 395813196 /nfs/dbraw/zinc/81/31/96/395813196.db2.gz VBJGKQNJFFJSME-UHFFFAOYSA-N 0 2 310.335 0.299 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NS(=O)(=O)CC1CCCCC1 ZINC000049043314 395826818 /nfs/dbraw/zinc/82/68/18/395826818.db2.gz YZQSHQHAJSQBGD-LBPRGKRZSA-N 0 2 315.395 0.905 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NS(=O)(=O)CC1CCCCC1 ZINC000049043314 395826820 /nfs/dbraw/zinc/82/68/20/395826820.db2.gz YZQSHQHAJSQBGD-LBPRGKRZSA-N 0 2 315.395 0.905 20 0 DCADLN Cc1cc(C(=O)NCC2(C)OCCO2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000271166170 395843691 /nfs/dbraw/zinc/84/36/91/395843691.db2.gz ZMOAHQXUEVCWRA-UHFFFAOYSA-N 0 2 320.305 0.237 20 0 DCADLN CC[C@@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccc2c(c1)OCCO2 ZINC000132304383 395926879 /nfs/dbraw/zinc/92/68/79/395926879.db2.gz OKZUWYWUAZRZFA-SECBINFHSA-N 0 2 304.306 0.750 20 0 DCADLN COC(=O)[C@@]1(NCC(=O)NOCc2ccccc2)CCOC1 ZINC000271431035 395865748 /nfs/dbraw/zinc/86/57/48/395865748.db2.gz AVARVGWEMIIUNK-OAHLLOKOSA-N 0 2 308.334 0.156 20 0 DCADLN O=C(CNC(=O)C1CC1)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000057786402 395948079 /nfs/dbraw/zinc/94/80/79/395948079.db2.gz SAWADBUOPVRENP-UHFFFAOYSA-N 0 2 302.290 0.907 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1csc(=O)[nH]1 ZINC000277114515 396050614 /nfs/dbraw/zinc/05/06/14/396050614.db2.gz DUTIGZICKXYOMD-UHFFFAOYSA-N 0 2 311.285 0.510 20 0 DCADLN NS(=O)(=O)c1cccc(CNC(=O)[C@H](F)C(F)(F)F)c1 ZINC000277283339 396059228 /nfs/dbraw/zinc/05/92/28/396059228.db2.gz CIBPSXMPOSGHSM-QMMMGPOBSA-N 0 2 314.260 0.851 20 0 DCADLN NS(=O)(=O)c1cccc(CNC(=O)C(F)C(F)(F)F)c1 ZINC000277283339 396059232 /nfs/dbraw/zinc/05/92/32/396059232.db2.gz CIBPSXMPOSGHSM-QMMMGPOBSA-N 0 2 314.260 0.851 20 0 DCADLN CNC(=O)[C@H](NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-])c1ccccc1 ZINC000262110068 396099488 /nfs/dbraw/zinc/09/94/88/396099488.db2.gz KEGHUUHGPNXDGQ-TZMCWYRMSA-N 0 2 319.361 0.139 20 0 DCADLN CNC(=O)[C@H](NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-])c1ccccc1 ZINC000262110068 396099489 /nfs/dbraw/zinc/09/94/89/396099489.db2.gz KEGHUUHGPNXDGQ-TZMCWYRMSA-N 0 2 319.361 0.139 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc3c(c2)NC(=O)CC3)c1O ZINC000278057567 396103647 /nfs/dbraw/zinc/10/36/47/396103647.db2.gz IRVBFINFALPBJF-LBPRGKRZSA-N 0 2 317.301 0.559 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)s1 ZINC000071121195 396110186 /nfs/dbraw/zinc/11/01/86/396110186.db2.gz BSDXWNDYZZLXNS-QYNIQEEDSA-N 0 2 318.380 0.416 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])[C@H]1CCN(c2ccccc2F)C1=O ZINC000262230629 396114003 /nfs/dbraw/zinc/11/40/03/396114003.db2.gz MZUZNSYWEFTDTP-LBPRGKRZSA-N 0 2 323.324 0.064 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])[C@H]1CCN(c2ccccc2F)C1=O ZINC000262230629 396114004 /nfs/dbraw/zinc/11/40/04/396114004.db2.gz MZUZNSYWEFTDTP-LBPRGKRZSA-N 0 2 323.324 0.064 20 0 DCADLN O=C(NC[C@@H]1CCSC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000279083739 396151588 /nfs/dbraw/zinc/15/15/88/396151588.db2.gz AOENWAJDXFMSPP-ZETCQYMHSA-N 0 2 306.347 0.919 20 0 DCADLN O=C(NC[C@H]1CCSC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000279083732 396151730 /nfs/dbraw/zinc/15/17/30/396151730.db2.gz AOENWAJDXFMSPP-SSDOTTSWSA-N 0 2 306.347 0.919 20 0 DCADLN O=C(CN1CCOCC1=O)Nc1nc(-c2ccccc2F)n[nH]1 ZINC000280685064 396215066 /nfs/dbraw/zinc/21/50/66/396215066.db2.gz QTGHSCPHFOVOCO-UHFFFAOYSA-N 0 2 319.296 0.408 20 0 DCADLN CC[C@H](NC(=O)c1cccc2[nH]nnc21)C(=O)N1CCOCC1 ZINC000180138844 396230519 /nfs/dbraw/zinc/23/05/19/396230519.db2.gz VRSGMJUQOIKAPG-NSHDSACASA-N 0 2 317.349 0.325 20 0 DCADLN O=C(CNC(=O)c1cc(F)c(O)c(F)c1)N1CCOCC1 ZINC000186988242 396361816 /nfs/dbraw/zinc/36/18/16/396361816.db2.gz CDMYXPFKUJJEJE-UHFFFAOYSA-N 0 2 300.261 0.259 20 0 DCADLN COCCCONC(=O)CNC(=O)c1cc(F)cc(F)c1 ZINC000287720933 396418853 /nfs/dbraw/zinc/41/88/53/396418853.db2.gz GSKWFGLMEXLPJY-UHFFFAOYSA-N 0 2 302.277 0.779 20 0 DCADLN CCC[C@H](NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)C(N)=O ZINC000286179332 396379390 /nfs/dbraw/zinc/37/93/90/396379390.db2.gz AKBZOJIJARQVGX-ZETCQYMHSA-N 0 2 319.321 0.128 20 0 DCADLN CN(C)c1[nH+]cc(CN2CC[C@H](NC(=O)NC3CC3)C2)n1C ZINC000515167123 396500838 /nfs/dbraw/zinc/50/08/38/396500838.db2.gz KOURUNCOVNGRQN-LBPRGKRZSA-N 0 2 306.414 0.522 20 0 DCADLN CC[C@@H](C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1)C(=O)OC ZINC000289006156 396452625 /nfs/dbraw/zinc/45/26/25/396452625.db2.gz IRDHOKRPWRYWNR-JTQLQIEISA-N 0 2 304.306 0.903 20 0 DCADLN CCc1nc(C)c(CNS(=O)(=O)c2c(N)noc2C)o1 ZINC000291950767 396521290 /nfs/dbraw/zinc/52/12/90/396521290.db2.gz OEZANMGHEMIHSM-UHFFFAOYSA-N 0 2 300.340 0.903 20 0 DCADLN CC[C@@H]1CN(Cc2cc(=O)n3[nH]ccc3n2)C[C@@H](C)S1(=O)=O ZINC000561899429 396648764 /nfs/dbraw/zinc/64/87/64/396648764.db2.gz BSKPJUHXEPXFRF-ZYHUDNBSSA-N 0 2 324.406 0.420 20 0 DCADLN Cn1c2ccc(C(=O)NCCCc3n[nH]c(=O)[nH]3)cc2[nH]c1=O ZINC000531118465 396589980 /nfs/dbraw/zinc/58/99/80/396589980.db2.gz JWULSSCLGUNJDV-UHFFFAOYSA-N 0 2 316.321 0.465 20 0 DCADLN C[C@@H](Cc1cnn(C)c1)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000581886728 396590422 /nfs/dbraw/zinc/59/04/22/396590422.db2.gz QGNROMQTPAXINU-JQWIXIFHSA-N 0 2 318.381 0.829 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccnc(OC(C)C)c2)c1O ZINC000586873135 396669844 /nfs/dbraw/zinc/66/98/44/396669844.db2.gz SVFACZMKOVEZQS-NSHDSACASA-N 0 2 307.306 0.857 20 0 DCADLN COC(=O)[C@@H](NS(=O)(=O)Cc1cccc(F)c1F)[C@@H](C)O ZINC000563062646 396698241 /nfs/dbraw/zinc/69/82/41/396698241.db2.gz FIWQYPISYSNHTI-HQJQHLMTSA-N 0 2 323.317 0.307 20 0 DCADLN O=C([O-])[C@H]1CCCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000563129794 396705772 /nfs/dbraw/zinc/70/57/72/396705772.db2.gz BFSQWSPBPNZXKX-JSGCOSHPSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])[C@H]1CCCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000563129794 396705774 /nfs/dbraw/zinc/70/57/74/396705774.db2.gz BFSQWSPBPNZXKX-JSGCOSHPSA-N 0 2 310.394 0.953 20 0 DCADLN CC[C@H]1C[C@H](C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)CC(=O)N1 ZINC000563192796 396712606 /nfs/dbraw/zinc/71/26/06/396712606.db2.gz OGNOJHTVHOGPRO-QWRGUYRKSA-N 0 2 321.381 0.521 20 0 DCADLN COc1cccc(S(=O)(=O)Nc2cnn(CC(N)=O)c2)c1 ZINC000589433241 396715038 /nfs/dbraw/zinc/71/50/38/396715038.db2.gz OETRLOYQPHHXHW-UHFFFAOYSA-N 0 2 310.335 0.178 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2ccc(NC(=O)C3CC3)nc2)n1 ZINC000596533565 396792497 /nfs/dbraw/zinc/79/24/97/396792497.db2.gz PTVYGNXWHMZZNJ-UHFFFAOYSA-N 0 2 321.362 0.964 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2c[nH]nc2C2CC2)cn1C ZINC000563432772 396733123 /nfs/dbraw/zinc/73/31/23/396733123.db2.gz XWYJQWUFPKZVNZ-UHFFFAOYSA-N 0 2 323.378 0.786 20 0 DCADLN COC(=O)c1nccc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000596226526 396737467 /nfs/dbraw/zinc/73/74/67/396737467.db2.gz QOONITKGMICLBF-QMMMGPOBSA-N 0 2 304.310 0.471 20 0 DCADLN C[C@@H]1OCC[C@@H]1S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328810688 396832853 /nfs/dbraw/zinc/83/28/53/396832853.db2.gz FEKVQQUPNHTJLT-AEJSXWLSSA-N 0 2 316.383 0.197 20 0 DCADLN C[C@@H]1CC[C@H](C)[N@H+]1CC(=O)NC[C@@](C)(O)C[NH+]1CCOCC1 ZINC000332556224 396887051 /nfs/dbraw/zinc/88/70/51/396887051.db2.gz NQGCZGMABHPWTD-IJEWVQPXSA-N 0 2 313.442 0.059 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@]1(C2CCCC2)CCNC1=O ZINC000629968065 396897815 /nfs/dbraw/zinc/89/78/15/396897815.db2.gz ZUJWHXGLLGTSSU-OAHLLOKOSA-N 0 2 321.381 0.256 20 0 DCADLN CC(C)(C)OC(=O)C(C)(C)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000600601771 396898123 /nfs/dbraw/zinc/89/81/23/396898123.db2.gz VBVNKELDEJMINE-UHFFFAOYSA-N 0 2 316.383 0.839 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-])C(=O)OC ZINC000600700475 396922024 /nfs/dbraw/zinc/92/20/24/396922024.db2.gz HVBHUSCVIGGYHZ-WBIUFABUSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-])C(=O)OC ZINC000600700475 396922031 /nfs/dbraw/zinc/92/20/31/396922031.db2.gz HVBHUSCVIGGYHZ-WBIUFABUSA-N 0 2 314.382 0.629 20 0 DCADLN CCNc1nc(NC(C)(C)C)[nH+]c(N2CC[C@@H](CC(N)=O)C2)n1 ZINC000377135601 397034769 /nfs/dbraw/zinc/03/47/69/397034769.db2.gz FCDFZAJXJOBXDI-JTQLQIEISA-N 0 2 321.429 0.637 20 0 DCADLN CC(C)c1cc(NS(=O)(=O)CCCCS(N)(=O)=O)n[nH]1 ZINC000349605893 397075472 /nfs/dbraw/zinc/07/54/72/397075472.db2.gz IFDQRZOPKXFPCB-UHFFFAOYSA-N 0 2 324.428 0.344 20 0 DCADLN CNC(=O)C1(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CCC1 ZINC000592040704 397075874 /nfs/dbraw/zinc/07/58/74/397075874.db2.gz KHKYKAIEMYYLFO-UHFFFAOYSA-N 0 2 316.317 0.885 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000625957443 397041299 /nfs/dbraw/zinc/04/12/99/397041299.db2.gz JNCDVCVEDJGUID-NXEZZACHSA-N 0 2 321.333 0.598 20 0 DCADLN CCOC(=O)c1cnn(C)c1NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000573210378 397144691 /nfs/dbraw/zinc/14/46/91/397144691.db2.gz IROOFJSJFJFWND-JTQLQIEISA-N 0 2 324.337 0.084 20 0 DCADLN CCOC(=O)c1cnn(C)c1NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000573210378 397144698 /nfs/dbraw/zinc/14/46/98/397144698.db2.gz IROOFJSJFJFWND-JTQLQIEISA-N 0 2 324.337 0.084 20 0 DCADLN COC(=O)Cn1ccc(NS(=O)(=O)C[C@H](OC)C(C)C)n1 ZINC000592350859 397157842 /nfs/dbraw/zinc/15/78/42/397157842.db2.gz JHKMFCSSFPHMBL-JTQLQIEISA-N 0 2 319.383 0.469 20 0 DCADLN CC(C)(CC(N)=O)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613492668 397266736 /nfs/dbraw/zinc/26/67/36/397266736.db2.gz MFTPUCJXHPLTDN-UHFFFAOYSA-N 0 2 304.306 0.414 20 0 DCADLN O=C(C[C@@H]1CC(=O)N1)NCc1nnc(COc2ccccc2)[nH]1 ZINC000613596437 397285019 /nfs/dbraw/zinc/28/50/19/397285019.db2.gz HQMWRBHNEWWVAV-SNVBAGLBSA-N 0 2 315.333 0.279 20 0 DCADLN COC(=O)[C@H]1C[C@H](NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000598779900 397294677 /nfs/dbraw/zinc/29/46/77/397294677.db2.gz LBUYJYPLZFBPLW-XYPYZODXSA-N 0 2 317.301 0.711 20 0 DCADLN COC(=O)C[C@H](O)CNc1nc(C2CCOCC2)ns1 ZINC000599163311 397351207 /nfs/dbraw/zinc/35/12/07/397351207.db2.gz AAWUPDQRQXEXNX-VIFPVBQESA-N 0 2 301.368 0.190 20 0 DCADLN CCN(C(=O)c1cccc(-c2nc(=O)o[nH]2)c1)[C@H]1CCNC1=O ZINC000614458834 397442899 /nfs/dbraw/zinc/44/28/99/397442899.db2.gz MIHIWWAFXPYFMC-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN CCN(C(=O)c1cccc(-c2nc(=O)o[nH]2)c1)[C@@H]1CCNC1=O ZINC000614458833 397443184 /nfs/dbraw/zinc/44/31/84/397443184.db2.gz MIHIWWAFXPYFMC-LLVKDONJSA-N 0 2 316.317 0.381 20 0 DCADLN CCN1CC[C@H](NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)C1=O ZINC000614564504 397470405 /nfs/dbraw/zinc/47/04/05/397470405.db2.gz LEIBSCCRIAQGTC-NSHDSACASA-N 0 2 316.317 0.381 20 0 DCADLN CCN1CCOC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000370576494 397503883 /nfs/dbraw/zinc/50/38/83/397503883.db2.gz SQBAZFJSJORKQA-LBPRGKRZSA-N 0 2 317.349 0.837 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](CC(=O)[O-])C1CCC1)C(=O)OC ZINC000594524916 397564489 /nfs/dbraw/zinc/56/44/89/397564489.db2.gz NHBHLORZUVPQEA-HZMBPMFUSA-N 0 2 314.382 0.629 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](CC(=O)[O-])C1CCC1)C(=O)OC ZINC000594524916 397564494 /nfs/dbraw/zinc/56/44/94/397564494.db2.gz NHBHLORZUVPQEA-HZMBPMFUSA-N 0 2 314.382 0.629 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)[nH]n1 ZINC000606127710 397677770 /nfs/dbraw/zinc/67/77/70/397677770.db2.gz OKNCPJYSTHRRAX-UHFFFAOYSA-N 0 2 322.394 0.544 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)n[nH]1 ZINC000606127710 397677772 /nfs/dbraw/zinc/67/77/72/397677772.db2.gz OKNCPJYSTHRRAX-UHFFFAOYSA-N 0 2 322.394 0.544 20 0 DCADLN CS(=O)(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1O ZINC000607103706 397695623 /nfs/dbraw/zinc/69/56/23/397695623.db2.gz LDQZKKPCUXEDKF-UHFFFAOYSA-N 0 2 306.365 0.204 20 0 DCADLN CCCC(=O)N1CCC[C@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC000081815268 158085736 /nfs/dbraw/zinc/08/57/36/158085736.db2.gz LEUYAEJLBWSJFJ-NSHDSACASA-N 0 2 323.397 0.186 20 0 DCADLN COC(=O)CN(C1CCCC1)S(=O)(=O)NCC(F)(F)F ZINC000127702821 158275182 /nfs/dbraw/zinc/27/51/82/158275182.db2.gz DYDZCPBXOKJSMR-UHFFFAOYSA-N 0 2 318.317 0.801 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)c3ccccn3)C2)[nH]1 ZINC000328803851 159030844 /nfs/dbraw/zinc/03/08/44/159030844.db2.gz COGUPLULMORODP-VIFPVBQESA-N 0 2 309.351 0.474 20 0 DCADLN C[C@@H]1OCC[C@H]1S(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000328810714 159031575 /nfs/dbraw/zinc/03/15/75/159031575.db2.gz FEKVQQUPNHTJLT-IVZWLZJFSA-N 0 2 316.383 0.197 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)C[C@@H]3CCCO3)C2)[nH]1 ZINC000328840643 159034066 /nfs/dbraw/zinc/03/40/66/159034066.db2.gz OIKRJNFPXOQQMJ-UWVGGRQHSA-N 0 2 316.383 0.199 20 0 DCADLN O=C(CCOC[C@@H]1CCCO1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329094295 159061109 /nfs/dbraw/zinc/06/11/09/159061109.db2.gz XPQPXKQLCNUGDF-RYUDHWBXSA-N 0 2 324.381 0.802 20 0 DCADLN O=C(CCOC[C@H]1CCCO1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329094298 159061337 /nfs/dbraw/zinc/06/13/37/159061337.db2.gz XPQPXKQLCNUGDF-VXGBXAGGSA-N 0 2 324.381 0.802 20 0 DCADLN COCCC(=O)N1CCc2nc(NS(C)(=O)=O)sc2C1 ZINC000330586465 159197333 /nfs/dbraw/zinc/19/73/33/159197333.db2.gz VHXWXKDISXLOPT-UHFFFAOYSA-N 0 2 319.408 0.436 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2c(C)n[nH]c2C)cn1 ZINC000341712771 159223492 /nfs/dbraw/zinc/22/34/92/159223492.db2.gz MNZAZIZNIDWPPO-UHFFFAOYSA-N 0 2 313.339 0.197 20 0 DCADLN CC(C)CN(C)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000407988876 160004594 /nfs/dbraw/zinc/00/45/94/160004594.db2.gz HFQWVMFKSDXDOQ-JTQLQIEISA-N 0 2 317.415 0.522 20 0 DCADLN CN(C)[C@H](CNC(=O)c1nc(=O)[nH][nH]1)c1c(F)cccc1F ZINC000115715937 286945042 /nfs/dbraw/zinc/94/50/42/286945042.db2.gz GKFGXQXVUSXUAJ-SECBINFHSA-N 0 2 311.292 0.409 20 0 DCADLN CCn1cc(S(=O)(=O)NC(=O)/C=C/c2cccnc2)cn1 ZINC000132215951 287004019 /nfs/dbraw/zinc/00/40/19/287004019.db2.gz IFYDFEBCVXPFPP-AATRIKPKSA-N 0 2 306.347 0.816 20 0 DCADLN COc1ccccc1OC[C@H](C)CNC(=O)c1nc(=O)[nH][nH]1 ZINC000135222423 287020675 /nfs/dbraw/zinc/02/06/75/287020675.db2.gz OCHDIJLTJMYQKE-SECBINFHSA-N 0 2 306.322 0.552 20 0 DCADLN O=C(COc1cc(F)cc(F)c1)NCCCc1n[nH]c(=O)[nH]1 ZINC000354959635 287172104 /nfs/dbraw/zinc/17/21/04/287172104.db2.gz PNNBGMDISLJASY-UHFFFAOYSA-N 0 2 312.276 0.916 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2nc(-c3ccncc3)ns2)n1 ZINC000342500860 415194963 /nfs/dbraw/zinc/19/49/63/415194963.db2.gz XBNPZHRUUINCQR-UHFFFAOYSA-N 0 2 322.375 0.556 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cncnc1-n1cccn1 ZINC000342468253 415185765 /nfs/dbraw/zinc/18/57/65/415185765.db2.gz ZBWXHFYRVBJBAR-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CCN(CC(F)(F)F)C1 ZINC000352589029 415239553 /nfs/dbraw/zinc/23/95/53/415239553.db2.gz PZZFBKHTEFYHEM-QMMMGPOBSA-N 0 2 321.303 0.443 20 0 DCADLN Cc1cccc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)n1 ZINC000048704343 415295588 /nfs/dbraw/zinc/29/55/88/415295588.db2.gz ZWTHBZFRJQHLSP-UHFFFAOYSA-N 0 2 313.364 0.865 20 0 DCADLN Cn1c2ccc(NC(=O)C(N)C(F)(F)F)cc2n(C)c1=O ZINC000353136389 415452285 /nfs/dbraw/zinc/45/22/85/415452285.db2.gz XCRONQUSQSRODX-SECBINFHSA-N 0 2 302.256 0.705 20 0 DCADLN Cn1c2ccc(NC(=O)[C@@H](N)C(F)(F)F)cc2n(C)c1=O ZINC000353136389 415452291 /nfs/dbraw/zinc/45/22/91/415452291.db2.gz XCRONQUSQSRODX-SECBINFHSA-N 0 2 302.256 0.705 20 0 DCADLN CN(C)C(=O)COc1cccc(NC(=O)C(N)C(F)(F)F)c1 ZINC000353190687 415466048 /nfs/dbraw/zinc/46/60/48/415466048.db2.gz VWOAIVWHYPVBGP-NSHDSACASA-N 0 2 319.283 0.982 20 0 DCADLN CN(C)C(=O)COc1cccc(NC(=O)[C@H](N)C(F)(F)F)c1 ZINC000353190687 415466060 /nfs/dbraw/zinc/46/60/60/415466060.db2.gz VWOAIVWHYPVBGP-NSHDSACASA-N 0 2 319.283 0.982 20 0 DCADLN CO[C@H](C[NH3+])C(=O)N(C)Cc1[nH+]ccn1Cc1ccccc1 ZINC000353433062 415540458 /nfs/dbraw/zinc/54/04/58/415540458.db2.gz SZZWXPCXKCWPSM-CQSZACIVSA-N 0 2 302.378 0.864 20 0 DCADLN O=c1[nH]nc(CN2CCc3c(cccc3N3CCOC3=O)C2)[nH]1 ZINC000092618892 415546352 /nfs/dbraw/zinc/54/63/52/415546352.db2.gz MZPLNKWIFSTMDX-UHFFFAOYSA-N 0 2 315.333 0.613 20 0 DCADLN NC(C(=O)Nc1nc(CN2CCOCC2)cs1)C(F)(F)F ZINC000353441675 415547739 /nfs/dbraw/zinc/54/77/39/415547739.db2.gz AWMCZVNZDXNWEB-MRVPVSSYSA-N 0 2 324.328 0.803 20 0 DCADLN CC(=O)NCc1ccc(NS(=O)(=O)c2ccnn2C)cc1 ZINC000353586175 415598142 /nfs/dbraw/zinc/59/81/42/415598142.db2.gz DWFYIJFWZHZMQE-UHFFFAOYSA-N 0 2 308.363 0.857 20 0 DCADLN COCc1nc(S(=O)(=O)CCC(=O)NC2CCCC2)n[nH]1 ZINC000353889933 415685192 /nfs/dbraw/zinc/68/51/92/415685192.db2.gz AHVUBKPPRDQQSO-UHFFFAOYSA-N 0 2 316.383 0.174 20 0 DCADLN CSc1nnc(CNS(=O)(=O)c2c(N)noc2C)s1 ZINC000289789658 415719567 /nfs/dbraw/zinc/71/95/67/415719567.db2.gz IOBUDAPLQPZTCM-UHFFFAOYSA-N 0 2 321.409 0.617 20 0 DCADLN O=C(Nc1cc(C2CC2)[nH]n1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000343792736 415731985 /nfs/dbraw/zinc/73/19/85/415731985.db2.gz FCLBNOMSKVLGIG-UHFFFAOYSA-N 0 2 312.289 1.289 20 0 DCADLN CN1CCc2ccc(NC(=O)NCc3n[nH]c(=O)[nH]3)cc2C1=O ZINC000354134920 415765642 /nfs/dbraw/zinc/76/56/42/415765642.db2.gz VOUMHINUTNBVDN-UHFFFAOYSA-N 0 2 316.321 0.460 20 0 DCADLN COC(=O)[C@H](NC(=O)c1c[nH]c2nc(C)ccc2c1=O)[C@H](C)O ZINC000354157510 415775844 /nfs/dbraw/zinc/77/58/44/415775844.db2.gz IIJOMNBUDPIYJC-GZMMTYOYSA-N 0 2 319.317 0.296 20 0 DCADLN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)NCc1cn[nH]c1C ZINC000344144241 415850039 /nfs/dbraw/zinc/85/00/39/415850039.db2.gz WJFCXCWKUHNWPT-KWQFWETISA-N 0 2 302.400 0.298 20 0 DCADLN CCS(=O)(=O)C[C@@H](C)NC(=O)N[C@@H]1CCN(C(C)C)C1=O ZINC000334033327 415789762 /nfs/dbraw/zinc/78/97/62/415789762.db2.gz WCAXIUCYSUBNEV-GHMZBOCLSA-N 0 2 319.427 0.118 20 0 DCADLN CCCN1C[C@H](C(=O)N2CC[C@@H](C)[S@@](=O)CC2)CC1=O ZINC000334023550 415789811 /nfs/dbraw/zinc/78/98/11/415789811.db2.gz FLWJBEZBCOOSJD-HTGLOVNISA-N 0 2 300.424 0.614 20 0 DCADLN NS(=O)(=O)CCCCNc1nc(C(F)(F)F)ns1 ZINC000336794760 415814579 /nfs/dbraw/zinc/81/45/79/415814579.db2.gz FMKAHKMAQSCHFZ-UHFFFAOYSA-N 0 2 304.319 0.459 20 0 DCADLN COCn1nc(C)c(S(=O)(=O)NCc2cn[nH]c2C)c1C ZINC000344293644 415883665 /nfs/dbraw/zinc/88/36/65/415883665.db2.gz HSLLSCYHLLQECL-UHFFFAOYSA-N 0 2 313.383 0.614 20 0 DCADLN O=C(Nc1cccc(NC(=O)c2ccncc2)c1)c1nc(=O)[nH][nH]1 ZINC000129418542 415905766 /nfs/dbraw/zinc/90/57/66/415905766.db2.gz GQQIWFPHEFFZRT-UHFFFAOYSA-N 0 2 324.300 0.998 20 0 DCADLN CCOc1cccc(S(=O)(=O)Nc2nc3ncccn3n2)c1 ZINC000337143366 415916150 /nfs/dbraw/zinc/91/61/50/415916150.db2.gz VDYDUNJYSUKOEE-UHFFFAOYSA-N 0 2 319.346 1.324 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2ccc(F)cn2)s1 ZINC000337394503 415955570 /nfs/dbraw/zinc/95/55/70/415955570.db2.gz IKRQIVWCOCGSAN-UHFFFAOYSA-N 0 2 317.327 0.696 20 0 DCADLN COC[C@@H]1C[C@H](OC)CN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000344626647 415963319 /nfs/dbraw/zinc/96/33/19/415963319.db2.gz JIVILKHWVPUMPH-UWVGGRQHSA-N 0 2 320.349 0.550 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2ncc(C)s2)cn1C ZINC000298301215 416001686 /nfs/dbraw/zinc/00/16/86/416001686.db2.gz VXUDIZFKTMSABL-UHFFFAOYSA-N 0 2 314.392 0.950 20 0 DCADLN C[C@@H](O)C[C@@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000337578316 415979820 /nfs/dbraw/zinc/97/98/20/415979820.db2.gz YJJNNVFACINMQJ-SCZZXKLOSA-N 0 2 318.333 0.812 20 0 DCADLN C[C@@H](NC(=O)CCc1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000337693057 415996356 /nfs/dbraw/zinc/99/63/56/415996356.db2.gz WGAJHQVLLZIXLU-SNVBAGLBSA-N 0 2 317.349 0.264 20 0 DCADLN COC(=O)Cc1cccc(S(=O)(=O)Nc2ccn(C)n2)c1 ZINC000357022974 416021658 /nfs/dbraw/zinc/02/16/58/416021658.db2.gz WWOPITBBHXFGQV-UHFFFAOYSA-N 0 2 309.347 0.936 20 0 DCADLN C[C@@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)c1nncn1C ZINC000345404204 416089965 /nfs/dbraw/zinc/08/99/65/416089965.db2.gz GBPOXEDSLGBIIB-MRVPVSSYSA-N 0 2 314.305 0.649 20 0 DCADLN CC(C)(C)S(=O)(=O)c1ccc(NCc2n[nH]c(=O)[nH]2)cn1 ZINC000301808179 416093177 /nfs/dbraw/zinc/09/31/77/416093177.db2.gz ZCJBGCBJLPVQMJ-UHFFFAOYSA-N 0 2 311.367 0.677 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)c2ccccc2C)cn1 ZINC000345674614 416131141 /nfs/dbraw/zinc/13/11/41/416131141.db2.gz IPWMGQLGDRXYHZ-UHFFFAOYSA-N 0 2 307.331 1.372 20 0 DCADLN Cc1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)nn1-c1ccccc1 ZINC000357840057 416140496 /nfs/dbraw/zinc/14/04/96/416140496.db2.gz JMYPAINSJXQKLU-UHFFFAOYSA-N 0 2 313.321 1.326 20 0 DCADLN CC[C@](NC(=O)c1c[nH]c2c(cnn2C)c1=O)(C(N)=O)C(C)C ZINC000180273380 416195361 /nfs/dbraw/zinc/19/53/61/416195361.db2.gz CWHILMHHIKEGGO-OAHLLOKOSA-N 0 2 319.365 0.694 20 0 DCADLN COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC000341603722 416213816 /nfs/dbraw/zinc/21/38/16/416213816.db2.gz KEGNZCRTJNSPMU-OLZOCXBDSA-N 0 2 324.381 0.161 20 0 DCADLN COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC000341603722 416213819 /nfs/dbraw/zinc/21/38/19/416213819.db2.gz KEGNZCRTJNSPMU-OLZOCXBDSA-N 0 2 324.381 0.161 20 0 DCADLN Cc1[nH+]ccn1CCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358339789 416225141 /nfs/dbraw/zinc/22/51/41/416225141.db2.gz GXLVFAXFIPNFSY-UHFFFAOYSA-N 0 2 300.322 0.609 20 0 DCADLN O=C(CO)N1CCN(C(=O)c2cc(F)c(F)c(O)c2F)CC1 ZINC000183550857 416245129 /nfs/dbraw/zinc/24/51/29/416245129.db2.gz GMXLLSORNOWCHP-UHFFFAOYSA-N 0 2 318.251 0.086 20 0 DCADLN Cn1cnc(NC(=O)C2=NN(c3ccc(F)cc3)CC2=O)n1 ZINC000349414397 416254961 /nfs/dbraw/zinc/25/49/61/416254961.db2.gz PCZRVLYNDJUJJS-UHFFFAOYSA-N 0 2 302.269 1.098 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc2c(c1)CCC2 ZINC000342048978 416274279 /nfs/dbraw/zinc/27/42/79/416274279.db2.gz OLEDMAULODNOJS-UHFFFAOYSA-N 0 2 301.306 0.254 20 0 DCADLN CCOC(=O)C1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000331160909 416275120 /nfs/dbraw/zinc/27/51/20/416275120.db2.gz KLEFAIZCZWJNAY-UHFFFAOYSA-N 0 2 314.367 0.404 20 0 DCADLN CCOCCO[C@@H](C)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000352261417 416312852 /nfs/dbraw/zinc/31/28/52/416312852.db2.gz RGBISRSLKBGTAE-WDEREUQCSA-N 0 2 312.370 0.658 20 0 DCADLN C[C@H](CC[S@](C)=O)NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000359451312 416346395 /nfs/dbraw/zinc/34/63/95/416346395.db2.gz YSYJSLLSYJGXAZ-CLTRCRFRSA-N 0 2 323.374 0.917 20 0 DCADLN CNS(=O)(=O)c1ccc(O)c(NC(=O)c2cn[nH]c2C)c1 ZINC000359688459 416352951 /nfs/dbraw/zinc/35/29/51/416352951.db2.gz ZBRWJLMPLLOMGY-UHFFFAOYSA-N 0 2 310.335 0.584 20 0 DCADLN CN(C[C@@H](O)C(F)(F)F)S(=O)(=O)NCC(F)(F)F ZINC000195444751 416326059 /nfs/dbraw/zinc/32/60/59/416326059.db2.gz WUJRUDWQEJMFOV-SCSAIBSYSA-N 0 2 304.212 0.238 20 0 DCADLN CC(C)OC(=O)[C@@H](C)N(C)S(=O)(=O)NCC(F)(F)F ZINC000195389423 416326270 /nfs/dbraw/zinc/32/62/70/416326270.db2.gz RIPFWEAOECHISH-SSDOTTSWSA-N 0 2 306.306 0.655 20 0 DCADLN COC(=O)C[C@@H]1CCCN1S(=O)(=O)NCC(F)(F)F ZINC000195428130 416326363 /nfs/dbraw/zinc/32/63/63/416326363.db2.gz LUERWKBCGUKHPC-ZETCQYMHSA-N 0 2 304.290 0.411 20 0 DCADLN COC(=O)CCN1CC[C@H](Nc2cccc(C(=O)[O-])[nH+]2)C1=O ZINC000416415224 416368910 /nfs/dbraw/zinc/36/89/10/416368910.db2.gz JOJSKNFVLZRJFV-VIFPVBQESA-N 0 2 307.306 0.356 20 0 DCADLN CCCCC(=O)N1CSC[C@H]1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000359809723 416360133 /nfs/dbraw/zinc/36/01/33/416360133.db2.gz DZRNJHRXCCNPOR-QMMMGPOBSA-N 0 2 313.383 0.218 20 0 DCADLN COCCOCc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)ccc1F ZINC000359836992 416362081 /nfs/dbraw/zinc/36/20/81/416362081.db2.gz YUJZAVSQQKEIMQ-UHFFFAOYSA-N 0 2 324.312 0.742 20 0 DCADLN CO[C@@H]1C[C@H](NS(=O)(=O)NCC(F)(F)F)C12CCC2 ZINC000416558992 416375604 /nfs/dbraw/zinc/37/56/04/416375604.db2.gz JIBZXJHAHFIAPD-JGVFFNPUSA-N 0 2 302.318 0.930 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1ccccc1NCCC(N)=O ZINC000360230879 416380825 /nfs/dbraw/zinc/38/08/25/416380825.db2.gz NSRMRJFZNUUBMX-UHFFFAOYSA-N 0 2 323.378 0.806 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1cccc([S@@](C)=O)c1 ZINC000590598146 416529096 /nfs/dbraw/zinc/52/90/96/416529096.db2.gz OZEKPTRJCLVLNO-IBGZPJMESA-N 0 2 315.376 1.103 20 0 DCADLN CC(C)NC(=O)CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000436076868 416548183 /nfs/dbraw/zinc/54/81/83/416548183.db2.gz RQWIHTNBNXALEX-UHFFFAOYSA-N 0 2 304.354 0.455 20 0 DCADLN CNC(=O)Cn1nc(NC(=O)c2cc(F)c(O)c(F)c2)cc1C ZINC000436967082 416576213 /nfs/dbraw/zinc/57/62/13/416576213.db2.gz OBIUUOMGUJEZLE-UHFFFAOYSA-N 0 2 324.287 1.174 20 0 DCADLN c1ccc(OCc2nnc(CNc3nccn4nnnc34)[nH]2)cc1 ZINC000546732506 416678020 /nfs/dbraw/zinc/67/80/20/416678020.db2.gz BRYUVSLMCVRFOA-UHFFFAOYSA-N 0 2 323.320 0.829 20 0 DCADLN CCC(CC)(CNC(=O)C[N@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000424260388 416634317 /nfs/dbraw/zinc/63/43/17/416634317.db2.gz ZPFUOHMGKOOVRU-UHFFFAOYSA-N 0 2 315.414 0.450 20 0 DCADLN CCC(CC)(CNC(=O)C[N@@H+](C)CC(=O)NC(C)C)C(=O)[O-] ZINC000424260388 416634323 /nfs/dbraw/zinc/63/43/23/416634323.db2.gz ZPFUOHMGKOOVRU-UHFFFAOYSA-N 0 2 315.414 0.450 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC[C@H](C(N)=O)C2)c1 ZINC000424655229 416665589 /nfs/dbraw/zinc/66/55/89/416665589.db2.gz ZQUNNUQPOUCKRU-CHNSCGDPSA-N 0 2 310.375 0.137 20 0 DCADLN O=C([O-])[C@@H]1CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000514735979 416674034 /nfs/dbraw/zinc/67/40/34/416674034.db2.gz HBVPWUVWOBENMW-SECBINFHSA-N 0 2 302.290 0.206 20 0 DCADLN NS(=O)(=O)c1ccc(C(=O)Nc2nnc(C3CC3)s2)o1 ZINC000548819229 416692381 /nfs/dbraw/zinc/69/23/81/416692381.db2.gz WRTXALIDAFSOEP-UHFFFAOYSA-N 0 2 314.348 0.908 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCSC[C@@H]1CCO ZINC000443031840 416725994 /nfs/dbraw/zinc/72/59/94/416725994.db2.gz ZDXCWZYKWKFSJK-ZETCQYMHSA-N 0 2 308.347 0.183 20 0 DCADLN CCN(C(=O)c1c[nH]c2c(cnn2C)c1=O)[C@@H]1CCNC1=O ZINC000443038420 416727804 /nfs/dbraw/zinc/72/78/04/416727804.db2.gz QEQMNVLXEAHITB-SNVBAGLBSA-N 0 2 303.322 0.025 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H](CO)c1ccsc1 ZINC000443044823 416728349 /nfs/dbraw/zinc/72/83/49/416728349.db2.gz GUXRKMGLFBFUAS-SSDOTTSWSA-N 0 2 304.315 0.768 20 0 DCADLN O=S(=O)(CCn1cc[nH+]c1)NC1CC[NH+](CC2CC2)CC1 ZINC000641285539 416768526 /nfs/dbraw/zinc/76/85/26/416768526.db2.gz AZHHEUKDCNNWJO-UHFFFAOYSA-N 0 2 312.439 0.677 20 0 DCADLN CCCNC(=O)c1ccc(NCCCc2n[nH]c(=O)[nH]2)nc1 ZINC000427373714 416817482 /nfs/dbraw/zinc/81/74/82/416817482.db2.gz CFSRHSMDZUMVLY-UHFFFAOYSA-N 0 2 304.354 1.090 20 0 DCADLN O=C([O-])c1cn(CCNc2cc(N3CCCCC3)nc[nH+]2)nn1 ZINC000517747090 416822465 /nfs/dbraw/zinc/82/24/65/416822465.db2.gz MYQLJXDDGWHEJN-UHFFFAOYSA-N 0 2 317.353 0.869 20 0 DCADLN O=C([O-])c1cn(CCNc2cc(N3CCCCC3)[nH+]cn2)nn1 ZINC000517747090 416822470 /nfs/dbraw/zinc/82/24/70/416822470.db2.gz MYQLJXDDGWHEJN-UHFFFAOYSA-N 0 2 317.353 0.869 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000370022897 416865733 /nfs/dbraw/zinc/86/57/33/416865733.db2.gz VVXXYFCEILEFCQ-IUCAKERBSA-N 0 2 302.318 0.742 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc(C(=O)N(C)C)cc2)nn1C ZINC000427650723 416836820 /nfs/dbraw/zinc/83/68/20/416836820.db2.gz KBTLDQIESNZZFR-UHFFFAOYSA-N 0 2 323.378 0.626 20 0 DCADLN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)c1[nH]c(=O)[nH]c(=O)c1N ZINC000447055964 416961538 /nfs/dbraw/zinc/96/15/38/416961538.db2.gz JHZKFCFDLQXFAW-UHFFFAOYSA-N 0 2 320.353 0.763 20 0 DCADLN Cc1cc(CC(=O)Nc2nnc(NS(C)(=O)=O)s2)on1 ZINC000565068460 416920462 /nfs/dbraw/zinc/92/04/62/416920462.db2.gz GPNACAJPWNTBFI-UHFFFAOYSA-N 0 2 317.352 0.387 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(N3CCC3=O)c2)cn1 ZINC000447778700 417029400 /nfs/dbraw/zinc/02/94/00/417029400.db2.gz KHLZMXOZACERMK-UHFFFAOYSA-N 0 2 306.347 0.958 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)CCCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000295751577 225197799 /nfs/dbraw/zinc/19/77/99/225197799.db2.gz AZHXTWPMXNRLNQ-QMMMGPOBSA-N 0 2 320.349 0.883 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC(C)(CO)CO)cn1 ZINC000568521403 417147215 /nfs/dbraw/zinc/14/72/15/417147215.db2.gz HWZPDOMFSQLNTO-UHFFFAOYSA-N 0 2 307.354 0.014 20 0 DCADLN CN(C(=O)C1=NN(c2ccccc2)CC1=O)C(C)(C)C(N)=O ZINC000295880528 225340325 /nfs/dbraw/zinc/34/03/25/225340325.db2.gz GLYORXVDGQTHRX-UHFFFAOYSA-N 0 2 302.334 0.914 20 0 DCADLN O=C(N[C@@H]1CCCS(=O)(=O)C1)c1cc(F)c(O)c(F)c1 ZINC000408405696 417159446 /nfs/dbraw/zinc/15/94/46/417159446.db2.gz FQFDDNMWRANBLL-MRVPVSSYSA-N 0 2 305.302 0.977 20 0 DCADLN O=S1(=O)CCO[C@H](CNc2nc(C3CCCC3)ns2)C1 ZINC000568983877 417200880 /nfs/dbraw/zinc/20/08/80/417200880.db2.gz AIQPXYGWQUVRRT-SNVBAGLBSA-N 0 2 317.436 0.843 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@@H+]1CCOC2(CCCCC2)C1 ZINC000569065555 417210835 /nfs/dbraw/zinc/21/08/35/417210835.db2.gz RCZBJCIGRYQRJS-OAHLLOKOSA-N 0 2 300.443 0.961 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2ccnc(OC)c2)cn1 ZINC000414451473 417278541 /nfs/dbraw/zinc/27/85/41/417278541.db2.gz JQLGLMCRZPPCPY-UHFFFAOYSA-N 0 2 312.351 0.734 20 0 DCADLN CCN1CCC[N@@H+]([C@H]2CCCCN(CC(=O)[O-])C2=O)CC1=O ZINC000643875905 417408653 /nfs/dbraw/zinc/40/86/53/417408653.db2.gz DWNFRMHTWFVQKC-LBPRGKRZSA-N 0 2 311.382 0.006 20 0 DCADLN CCN1CCC[N@H+]([C@H]2CCCCN(CC(=O)[O-])C2=O)CC1=O ZINC000643875905 417408657 /nfs/dbraw/zinc/40/86/57/417408657.db2.gz DWNFRMHTWFVQKC-LBPRGKRZSA-N 0 2 311.382 0.006 20 0 DCADLN CO[C@@H]1COCC[C@@H]1CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000452642338 417494647 /nfs/dbraw/zinc/49/46/47/417494647.db2.gz USKCGGWGDBEOPI-BXKDBHETSA-N 0 2 320.349 0.455 20 0 DCADLN CC(C)C[C@](C)(CC(=O)[O-])C(=O)N1CC[NH+](CCO)CC1 ZINC000634939430 417549403 /nfs/dbraw/zinc/54/94/03/417549403.db2.gz ITUHVGUJRKWDAU-OAHLLOKOSA-N 0 2 300.399 0.650 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)N[C@@H](C)c2[nH+]ccn2C)C1 ZINC000635519546 417688115 /nfs/dbraw/zinc/68/81/15/417688115.db2.gz PIINNQUOOJJTPZ-HZMBPMFUSA-N 0 2 310.354 0.614 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)N1CC[C@@H]2C[N@H+](C)C[C@@H]21 ZINC000645620373 417764872 /nfs/dbraw/zinc/76/48/72/417764872.db2.gz FINQDEFNCYGPMP-PWSUYJOCSA-N 0 2 304.350 0.191 20 0 DCADLN C[C@H]1CC[C@H](CNC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)O1 ZINC000457374373 417706925 /nfs/dbraw/zinc/70/69/25/417706925.db2.gz PJPSLLNDDQIJSG-IONNQARKSA-N 0 2 304.306 0.733 20 0 DCADLN O=C(CC1CCN(CC(F)F)CC1)NCc1n[nH]c(=O)[nH]1 ZINC000635643457 417728270 /nfs/dbraw/zinc/72/82/70/417728270.db2.gz IIJZWNITNYERLH-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN CCC[C@@H](C)[C@H](CO)NC(=O)C(=O)N=c1ccc(C2CC2)n[nH]1 ZINC000651963616 417824142 /nfs/dbraw/zinc/82/41/42/417824142.db2.gz FAHNIYOJZZCESQ-MFKMUULPSA-N 0 2 320.393 0.628 20 0 DCADLN CC[C@H]1CN(C(=O)C(=O)N=c2cc(C)c(C)n[nH]2)[C@@H](CC)CO1 ZINC000651987793 417828512 /nfs/dbraw/zinc/82/85/12/417828512.db2.gz JFAHBICTYJDAOF-STQMWFEESA-N 0 2 320.393 0.870 20 0 DCADLN O=C(CCCn1cc[nH+]c1)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000646131027 417788513 /nfs/dbraw/zinc/78/85/13/417788513.db2.gz AWMOFYOGVVOWJZ-NSHDSACASA-N 0 2 304.354 0.893 20 0 DCADLN CC(C)O[C@H]1C[C@@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651847830 417796168 /nfs/dbraw/zinc/79/61/68/417796168.db2.gz VJUXOUJEJYWKKZ-PHIMTYICSA-N 0 2 309.370 0.292 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2cn(C(C)C)cn2)[nH]1 ZINC000657104025 417812781 /nfs/dbraw/zinc/81/27/81/417812781.db2.gz MITRURKEODIPTQ-UHFFFAOYSA-N 0 2 314.371 0.207 20 0 DCADLN CN1CC[N@@H+](C)C[C@@H]1CNCc1ccnn1-c1cc[nH+]cc1 ZINC000657357975 417849499 /nfs/dbraw/zinc/84/94/99/417849499.db2.gz SDXIIMXDRRARKP-INIZCTEOSA-N 0 2 300.410 0.603 20 0 DCADLN CCCn1nccc1C[NH2+]C1CC[NH+](CC(=O)N(C)C)CC1 ZINC000657356066 417853169 /nfs/dbraw/zinc/85/31/69/417853169.db2.gz GUAJZTZNNLFSGL-UHFFFAOYSA-N 0 2 307.442 0.935 20 0 DCADLN CN(Cc1nc(=O)o[n-]1)C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000640455350 417845527 /nfs/dbraw/zinc/84/55/27/417845527.db2.gz GKSXWRFNUMDPSV-ZETCQYMHSA-N 0 2 319.243 0.712 20 0 DCADLN O=C([O-])[C@H]1CCN(c2nc(NCCO)c3ccccc3[nH+]2)C1 ZINC000662877485 417936741 /nfs/dbraw/zinc/93/67/41/417936741.db2.gz DGWNZTYXKSTTGF-JTQLQIEISA-N 0 2 302.334 0.945 20 0 DCADLN CS(=O)(=O)N1CC[C@H](NC(=O)c2c(O)cc(F)cc2F)C1 ZINC000652766967 417965304 /nfs/dbraw/zinc/96/53/04/417965304.db2.gz VYYAFSGRMXJWKQ-QMMMGPOBSA-N 0 2 320.317 0.434 20 0 DCADLN Cc1nc(NS(=O)(=O)c2coc(C(=O)N(C)C)c2C)no1 ZINC000647270738 418005572 /nfs/dbraw/zinc/00/55/72/418005572.db2.gz JTBRNVLMACRDKM-UHFFFAOYSA-N 0 2 314.323 0.782 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNc1ccc(C(=O)[O-])c[nH+]1 ZINC000647451618 418011185 /nfs/dbraw/zinc/01/11/85/418011185.db2.gz BGRYQQLAMZOMEP-GXSJLCMTSA-N 0 2 313.379 0.332 20 0 DCADLN CCOc1ccc(NC(=O)N2CC[N@H+](C)C[C@@H]2C[NH3+])nc1C ZINC000659053429 418049746 /nfs/dbraw/zinc/04/97/46/418049746.db2.gz QFZPMPFUJJVKPS-LBPRGKRZSA-N 0 2 307.398 0.895 20 0 DCADLN Cc1nc(=NC(=O)NCCc2cn3cccc(C)c3n2)[nH]n1C ZINC000653241352 418045885 /nfs/dbraw/zinc/04/58/85/418045885.db2.gz ALJAKGMJNYIPAY-UHFFFAOYSA-N 0 2 313.365 0.866 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H](CS(C)(=O)=O)C2)c1O ZINC000647073294 417982900 /nfs/dbraw/zinc/98/29/00/417982900.db2.gz GTGSCVBXRHAMDI-VIFPVBQESA-N 0 2 301.368 0.321 20 0 DCADLN O=C([O-])[C@@H](C(=O)NCc1cc[nH+]c(N2CCOCC2)c1)C1CC1 ZINC000663104795 417990767 /nfs/dbraw/zinc/99/07/67/417990767.db2.gz NFUCGLUHFVZMFC-CQSZACIVSA-N 0 2 319.361 0.645 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC000663108899 417990784 /nfs/dbraw/zinc/99/07/84/417990784.db2.gz ALUNYRICVVIBMT-INIZCTEOSA-N 0 2 306.362 0.821 20 0 DCADLN CCOc1cnc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000648888008 418169831 /nfs/dbraw/zinc/16/98/31/418169831.db2.gz MQWFJAGOLUCXOJ-UHFFFAOYSA-N 0 2 318.337 0.719 20 0 DCADLN CNC(=O)C1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC000648893690 418171025 /nfs/dbraw/zinc/17/10/25/418171025.db2.gz SJFWXSCPNMAMQZ-SECBINFHSA-N 0 2 307.354 0.133 20 0 DCADLN COCCN(C[C@H](O)C(F)(F)F)C(=O)c1n[nH]c(C)c1O ZINC000655199262 418237006 /nfs/dbraw/zinc/23/70/06/418237006.db2.gz LSGKTTKMPYZOGW-ZETCQYMHSA-N 0 2 311.260 0.436 20 0 DCADLN CNC(=O)[C@]1(C(F)(F)F)CCN(C(=O)c2n[nH]c(C)c2O)C1 ZINC000649146959 418203223 /nfs/dbraw/zinc/20/32/23/418203223.db2.gz CMIHVBUFOHGFAX-NSHDSACASA-N 0 2 320.271 0.564 20 0 DCADLN COc1ccnc(N2CCN(c3cc[nH+]c(C(=O)[O-])c3)CC2)n1 ZINC000650766695 418323526 /nfs/dbraw/zinc/32/35/26/418323526.db2.gz UFTMGBFVQWDHBL-UHFFFAOYSA-N 0 2 315.333 0.905 20 0 DCADLN Cc1cc(N2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)nc[nH+]1 ZINC000650774229 418323709 /nfs/dbraw/zinc/32/37/09/418323709.db2.gz QVQHGZQUQMTRNE-LLVKDONJSA-N 0 2 304.350 0.687 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC000649453594 418255023 /nfs/dbraw/zinc/25/50/23/418255023.db2.gz SUXRBRPOSKQUBZ-CHWSQXEVSA-N 0 2 320.393 0.402 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000649463876 418255201 /nfs/dbraw/zinc/25/52/01/418255201.db2.gz ADNCBKZZXHHWSM-KFWWJZLASA-N 0 2 318.373 0.897 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000655598209 418279515 /nfs/dbraw/zinc/27/95/15/418279515.db2.gz YZRFOUWSGLPVIC-CHWSQXEVSA-N 0 2 314.345 0.653 20 0 DCADLN Cn1cnnc1N1CC[NH+]([C@H](C(=O)[O-])c2ccccc2)CC1 ZINC000656191888 418335484 /nfs/dbraw/zinc/33/54/84/418335484.db2.gz SVANULJNLWZANR-ZDUSSCGKSA-N 0 2 301.350 0.763 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000656470009 418356951 /nfs/dbraw/zinc/35/69/51/418356951.db2.gz BXRCOJLHKMPOFK-JTNHKYCSSA-N 0 2 308.338 0.014 20 0 DCADLN O=C(C[C@]1(C(=O)[O-])CCOC1)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000656480301 418357472 /nfs/dbraw/zinc/35/74/72/418357472.db2.gz MFESGSFSRYRDQL-MLGOLLRUSA-N 0 2 319.361 0.658 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1n[nH]cc1SC)[C@@H]1CCOC1 ZINC000656730174 418374336 /nfs/dbraw/zinc/37/43/36/418374336.db2.gz APWQKJDWKOWHTB-RKDXNWHRSA-N 0 2 321.424 0.925 20 0 DCADLN COc1cncc(NS(=O)(=O)C[C@@H](OC)[C@@H]2CCOC2)n1 ZINC000656734740 418374760 /nfs/dbraw/zinc/37/47/60/418374760.db2.gz HRMDFTPEOHCDPT-NXEZZACHSA-N 0 2 317.367 0.278 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccc2c(n1)OCCO2 ZINC000656741346 418375266 /nfs/dbraw/zinc/37/52/66/418375266.db2.gz LZMNOLFIJKFVNZ-UHFFFAOYSA-N 0 2 310.335 0.870 20 0 DCADLN O=C([O-])CN1CC[C@H]([NH+]2CCN(c3cccs3)CC2)C1=O ZINC000662206566 418389087 /nfs/dbraw/zinc/38/90/87/418389087.db2.gz UFVRSSCTYYFSLU-NSHDSACASA-N 0 2 309.391 0.556 20 0 DCADLN CCOCCNC(=O)C[N@@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000662236430 418392789 /nfs/dbraw/zinc/39/27/89/418392789.db2.gz WEQBCLIPZFLLDK-HNNXBMFYSA-N 0 2 306.362 0.823 20 0 DCADLN CCOCCNC(=O)C[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000662236430 418392792 /nfs/dbraw/zinc/39/27/92/418392792.db2.gz WEQBCLIPZFLLDK-HNNXBMFYSA-N 0 2 306.362 0.823 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)NCCc2ccc(O)cc2)[nH]1 ZINC000651577233 418394172 /nfs/dbraw/zinc/39/41/72/418394172.db2.gz FUEZRCIVYWBDDB-UHFFFAOYSA-N 0 2 317.349 0.284 20 0 DCADLN CO[C@]1(C)C[C@H](NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1(C)C ZINC000651595788 418395190 /nfs/dbraw/zinc/39/51/90/418395190.db2.gz RNIXPRQCJWOZGP-ZUZCIYMTSA-N 0 2 323.397 0.539 20 0 DCADLN CO[C@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC[C@@H]1C ZINC000651740423 418408050 /nfs/dbraw/zinc/40/80/50/418408050.db2.gz AGIYHJSWXQCYFJ-QWRGUYRKSA-N 0 2 309.370 0.103 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H](C)[C@H]2CCCCO2)[nH]1 ZINC000651655271 418401173 /nfs/dbraw/zinc/40/11/73/418401173.db2.gz SRXJPHDLKVZSQP-WDEREUQCSA-N 0 2 309.370 0.293 20 0 DCADLN Cc1cccnc1/C=C/C(=O)NS(=O)(=O)c1cnn(C)c1 ZINC000185248887 261119587 /nfs/dbraw/zinc/11/95/87/261119587.db2.gz SCHYOOURXDLWNQ-AATRIKPKSA-N 0 2 306.347 0.642 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1cccc(F)c1Cl ZINC000354408408 261215833 /nfs/dbraw/zinc/21/58/33/261215833.db2.gz HOYBXWQSOCQPKF-UHFFFAOYSA-N 0 2 313.676 0.558 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CCC[C@H](C(N)=O)C1)c2=O ZINC000355700878 261357433 /nfs/dbraw/zinc/35/74/33/261357433.db2.gz KSEIUIHCWSVUIL-QMMMGPOBSA-N 0 2 303.322 0.011 20 0 DCADLN Cn1cnnc1CCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000362722667 262064600 /nfs/dbraw/zinc/06/46/00/262064600.db2.gz KSDYQQTZEFFBGE-UHFFFAOYSA-N 0 2 312.333 0.679 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@H]2C[C@@]23CCOC3)s1 ZINC000363795679 262131259 /nfs/dbraw/zinc/13/12/59/262131259.db2.gz HIVAAPPABKCGCL-LHLIQPBNSA-N 0 2 318.380 0.275 20 0 DCADLN COC(=O)c1cc(C[NH2+]C[C@@H]2C[N@@H+]3CCC[C@@H]3CO2)cn1C ZINC000368017259 262144614 /nfs/dbraw/zinc/14/46/14/262144614.db2.gz HMHNKKGYVVHXNV-ZIAGYGMSSA-N 0 2 307.394 0.765 20 0 DCADLN CCOC(=O)[C@@H](CC)C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000412324515 262185619 /nfs/dbraw/zinc/18/56/19/262185619.db2.gz NXGMMSSRXHGCHN-UWVGGRQHSA-N 0 2 310.354 0.806 20 0 DCADLN CO[C@@H](CC(C)C)CS(=O)(=O)Nc1cn(C)nc1C(N)=O ZINC000421164195 262391264 /nfs/dbraw/zinc/39/12/64/262391264.db2.gz JVZFXJUSIRNMEA-VIFPVBQESA-N 0 2 318.399 0.322 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@@H+](C)[C@@H](C)[C@@H]1C ZINC000355449660 271008270 /nfs/dbraw/zinc/00/82/70/271008270.db2.gz ANFXLZRKSKFWIS-IUCAKERBSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@H+](C)[C@@H](C)[C@@H]1C ZINC000355449660 271008271 /nfs/dbraw/zinc/00/82/71/271008271.db2.gz ANFXLZRKSKFWIS-IUCAKERBSA-N 0 2 316.379 0.999 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000355455552 271010747 /nfs/dbraw/zinc/01/07/47/271010747.db2.gz MJQPEHXKNMXKSU-VHSXEESVSA-N 0 2 315.395 0.436 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000355455552 271010750 /nfs/dbraw/zinc/01/07/50/271010750.db2.gz MJQPEHXKNMXKSU-VHSXEESVSA-N 0 2 315.395 0.436 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H](CO)C1CCCC1)c2=O ZINC000359643738 271137210 /nfs/dbraw/zinc/13/72/10/271137210.db2.gz XSWXWSORWXBSFQ-LBPRGKRZSA-N 0 2 304.350 0.955 20 0 DCADLN COc1ccccc1/C=C/C(=O)NS(=O)(=O)c1ccnn1C ZINC000492563600 272135141 /nfs/dbraw/zinc/13/51/41/272135141.db2.gz JFCVKEAYGWBSIU-BQYQJAHWSA-N 0 2 321.358 0.947 20 0 DCADLN CCn1cc(S(=O)(=O)[N-]C(=O)/C=C\c2[nH+]ccn2CC)cn1 ZINC000492556124 272135381 /nfs/dbraw/zinc/13/53/81/272135381.db2.gz YCXWEZLHVXPHCL-WAYWQWQTSA-N 0 2 323.378 0.638 20 0 DCADLN COC(=O)N1CCC[C@@H](C(=O)NCCCc2n[nH]c(=O)[nH]2)C1 ZINC000493073752 272166843 /nfs/dbraw/zinc/16/68/43/272166843.db2.gz NKDVYJVLNKBQDB-SECBINFHSA-N 0 2 311.342 0.038 20 0 DCADLN O=C(/C=C\c1ccc[nH]1)NS(=O)(=O)c1cnc2n1CCC2 ZINC000493161530 272172450 /nfs/dbraw/zinc/17/24/50/272172450.db2.gz INFLSCJQGSHELT-WAYWQWQTSA-N 0 2 306.347 0.676 20 0 DCADLN CNC(=O)c1ccc(/C=C\C(=O)N2CC[N@H+](C)C[C@@H]2C[NH3+])cc1 ZINC000493185555 272173842 /nfs/dbraw/zinc/17/38/42/272173842.db2.gz JADFTIGJTZYVQD-KKTNHOPESA-N 0 2 316.405 0.161 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/c1cncc(C)c1 ZINC000493333823 272181427 /nfs/dbraw/zinc/18/14/27/272181427.db2.gz IYVSAFBFHHSQMS-SNAWJCMRSA-N 0 2 320.374 0.950 20 0 DCADLN COC(=O)NCCC(=O)NS(=O)(=O)c1cc(C)oc1C ZINC000494420303 272221900 /nfs/dbraw/zinc/22/19/00/272221900.db2.gz ZVYXKZWDZNJYRF-UHFFFAOYSA-N 0 2 304.324 0.447 20 0 DCADLN CC(C)(C)OC(=O)NCC(F)(F)CC(=O)NOCCCO ZINC000496547777 272323244 /nfs/dbraw/zinc/32/32/44/272323244.db2.gz JRINRMMFLKSPLN-UHFFFAOYSA-N 0 2 312.313 0.967 20 0 DCADLN COCCc1nsc(N[C@@H]2CCC[C@@H](S(C)(=O)=O)C2)n1 ZINC000530696660 287754353 /nfs/dbraw/zinc/75/43/53/287754353.db2.gz KNQXAMAKKJGIIH-NXEZZACHSA-N 0 2 319.452 0.917 20 0 DCADLN CC[C@@](C)(CO)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000542922168 287952319 /nfs/dbraw/zinc/95/23/19/287952319.db2.gz CXIYBOKSOKTLAR-AWEZNQCLSA-N 0 2 306.322 0.635 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2cnc3c(c2)c(C)nn3C)o1 ZINC000546620379 288022386 /nfs/dbraw/zinc/02/23/86/288022386.db2.gz LGKFZLZOYLPZQD-UHFFFAOYSA-N 0 2 308.323 0.769 20 0 DCADLN CC1(C)CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@H]1O ZINC000331989029 281226363 /nfs/dbraw/zinc/22/63/63/281226363.db2.gz NOTNBSHCWGVFPG-SECBINFHSA-N 0 2 304.306 0.279 20 0 DCADLN CC(=O)N(C)CC(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000555536562 288353839 /nfs/dbraw/zinc/35/38/39/288353839.db2.gz PXKJGRGILQZRAX-UHFFFAOYSA-N 0 2 303.322 0.903 20 0 DCADLN O=C(CNC(=O)C1CC1)N=c1nc(-c2cccnc2)[nH]s1 ZINC000555735678 288361078 /nfs/dbraw/zinc/36/10/78/288361078.db2.gz WWSQZBIJJZROCY-UHFFFAOYSA-N 0 2 303.347 0.487 20 0 DCADLN Cc1cc(C(=O)NN2CCCCC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000089343728 301134403 /nfs/dbraw/zinc/13/44/03/301134403.db2.gz BWXZYTTWTABRBZ-UHFFFAOYSA-N 0 2 303.322 0.463 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCOC[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000278396598 301147630 /nfs/dbraw/zinc/14/76/30/301147630.db2.gz DCXDXFHIQBVWIZ-IUCAKERBSA-N 0 2 318.333 0.899 20 0 DCADLN CN(C)C(=O)c1cccc(C[N@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@@H]3C2)c1 ZINC000583214268 337274140 /nfs/dbraw/zinc/27/41/40/337274140.db2.gz DEGGCDSDPRLCKE-CABCVRRESA-N 0 2 316.405 0.132 20 0 DCADLN CN(C)C(=O)c1cccc(C[N@H+]2CCN3C(=O)[C@H]([NH3+])C[C@@H]3C2)c1 ZINC000583214273 337274220 /nfs/dbraw/zinc/27/42/20/337274220.db2.gz DEGGCDSDPRLCKE-HUUCEWRRSA-N 0 2 316.405 0.132 20 0 DCADLN COc1cncc(S(=O)(=O)Nc2nc3n(n2)CCCC3)c1 ZINC000576585723 341853742 /nfs/dbraw/zinc/85/37/42/341853742.db2.gz KZEUHHYMFIZOPR-UHFFFAOYSA-N 0 2 309.351 0.819 20 0 DCADLN CO[C@@H](C)C(=O)N(C)CCCNC(=O)[C@@H](F)C(F)(F)F ZINC001703592351 1179608676 /nfs/dbraw/zinc/60/86/76/1179608676.db2.gz DTKMCEJEIANXCV-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN Cc1cc(O)ccc1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000174135134 534014986 /nfs/dbraw/zinc/01/49/86/534014986.db2.gz AMJZPYJUEDVWKW-UHFFFAOYSA-N 0 2 312.285 0.878 20 0 DCADLN CC[S@@](=O)CC(=O)NOC[C@H](C)NC(=O)OC(C)(C)C ZINC000496327865 535966172 /nfs/dbraw/zinc/96/61/72/535966172.db2.gz MXIKFXVITBZVGM-GWNMQOMSSA-N 0 2 308.400 0.716 20 0 DCADLN C[C@@](O)(CO)CNC(=O)c1cc(F)cc(Br)c1O ZINC000416227843 534261949 /nfs/dbraw/zinc/26/19/49/534261949.db2.gz QIXDHWXYUOUHGT-NSHDSACASA-N 0 2 322.130 0.767 20 0 DCADLN NC(=O)[C@@H]1CSCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000271525828 518197077 /nfs/dbraw/zinc/19/70/77/518197077.db2.gz HRMBIBUHZZGTEC-QMMMGPOBSA-N 0 2 310.404 0.800 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2ccc(F)cc2)CC1)[N@H+](C)CC(=O)[O-] ZINC000130141371 524124807 /nfs/dbraw/zinc/12/48/07/524124807.db2.gz CBWQQSRQWBCMCE-LBPRGKRZSA-N 0 2 323.368 0.879 20 0 DCADLN C[C@@H](C(=O)N1CCN(c2ccc(F)cc2)CC1)[N@@H+](C)CC(=O)[O-] ZINC000130141371 524124817 /nfs/dbraw/zinc/12/48/17/524124817.db2.gz CBWQQSRQWBCMCE-LBPRGKRZSA-N 0 2 323.368 0.879 20 0 DCADLN C[C@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@@H]1CCOC1 ZINC000266254038 525260930 /nfs/dbraw/zinc/26/09/30/525260930.db2.gz VIRABEKAMRPYSL-JGVFFNPUSA-N 0 2 304.306 0.591 20 0 DCADLN C[C@H](O)C[C@@H]1COCC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000157423381 525799206 /nfs/dbraw/zinc/79/92/06/525799206.db2.gz MVJLBMIZBCSACB-DZGCQCFKSA-N 0 2 308.378 0.706 20 0 DCADLN C[C@H](O)C[C@@H]1COCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000157423381 525799211 /nfs/dbraw/zinc/79/92/11/525799211.db2.gz MVJLBMIZBCSACB-DZGCQCFKSA-N 0 2 308.378 0.706 20 0 DCADLN C[C@@H](O)C[C@H]1COCC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000157423820 525842520 /nfs/dbraw/zinc/84/25/20/525842520.db2.gz MVJLBMIZBCSACB-HIFRSBDPSA-N 0 2 308.378 0.706 20 0 DCADLN C[C@@H](O)C[C@H]1COCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000157423820 525842528 /nfs/dbraw/zinc/84/25/28/525842528.db2.gz MVJLBMIZBCSACB-HIFRSBDPSA-N 0 2 308.378 0.706 20 0 DCADLN Cc1n[nH]c(NC(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)n1 ZINC000189705845 526990091 /nfs/dbraw/zinc/99/00/91/526990091.db2.gz SADQSXIIXZBWJL-RYUDHWBXSA-N 0 2 319.365 0.493 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCN(C)C2=O)c1 ZINC000424687884 536924821 /nfs/dbraw/zinc/92/48/21/536924821.db2.gz RFADVKDJCZRISJ-LAJNKCICSA-N 0 2 310.375 0.150 20 0 DCADLN CCc1[nH]n(C)c2nnc(NC(=O)CSc3n[nH]c(=O)[nH]3)c1-2 ZINC000275120728 545992910 /nfs/dbraw/zinc/99/29/10/545992910.db2.gz MHKPJJSPYFSAIP-UHFFFAOYSA-N 0 2 322.354 0.001 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCCC[C@H]1C(=O)N1CCCC1 ZINC000333383839 546079128 /nfs/dbraw/zinc/07/91/28/546079128.db2.gz XWWZHOSCFRGPDX-GHMZBOCLSA-N 0 2 321.381 0.555 20 0 DCADLN CCc1nocc1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000666974053 546536860 /nfs/dbraw/zinc/53/68/60/546536860.db2.gz IFBYJSFBFMVUCJ-UHFFFAOYSA-N 0 2 317.352 0.712 20 0 DCADLN C[NH+](C)CCn1cc(NC(=O)[C@H]2CCc3[nH+]c[nH]c3C2)cn1 ZINC000667772063 546651802 /nfs/dbraw/zinc/65/18/02/546651802.db2.gz VBOCGHNWWFBPPX-NSHDSACASA-N 0 2 302.382 0.911 20 0 DCADLN C[NH+](C)CCn1cc(NC(=O)[C@H]2CCc3[nH]c[nH+]c3C2)cn1 ZINC000667772063 546651807 /nfs/dbraw/zinc/65/18/07/546651807.db2.gz VBOCGHNWWFBPPX-NSHDSACASA-N 0 2 302.382 0.911 20 0 DCADLN O=C(NC[C@@H]1CCCNC1=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000668443749 546762084 /nfs/dbraw/zinc/76/20/84/546762084.db2.gz FFBFVEJXOWIQAE-NSHDSACASA-N 0 2 316.317 0.286 20 0 DCADLN Cn1[n-]c(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)cc1=O ZINC000668564086 546786152 /nfs/dbraw/zinc/78/61/52/546786152.db2.gz DHKOTYZBKFPTGE-LBPRGKRZSA-N 0 2 308.382 0.453 20 0 DCADLN O=C(NCC(F)F)C(=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000674474421 547596470 /nfs/dbraw/zinc/59/64/70/547596470.db2.gz ZCXZWYXVSZRYTJ-UHFFFAOYSA-N 0 2 312.232 0.350 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)c1ccc2nnnn2c1 ZINC000675137977 547664361 /nfs/dbraw/zinc/66/43/61/547664361.db2.gz DWPFFXGVVRMIIA-UHFFFAOYSA-N 0 2 323.272 0.720 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1cnc2c(c1)COCC2 ZINC000675189494 547668713 /nfs/dbraw/zinc/66/87/13/547668713.db2.gz JAVLMXHMVOGPKA-UHFFFAOYSA-N 0 2 308.363 0.997 20 0 DCADLN COCC[N@H+](CC(=O)[O-])CC(=O)N1CCO[C@H]2CCCC[C@H]21 ZINC000676230462 547746945 /nfs/dbraw/zinc/74/69/45/547746945.db2.gz JAPUIIVDMFPJAI-OLZOCXBDSA-N 0 2 314.382 0.189 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])CC(=O)N1CCO[C@H]2CCCC[C@H]21 ZINC000676230462 547746948 /nfs/dbraw/zinc/74/69/48/547746948.db2.gz JAPUIIVDMFPJAI-OLZOCXBDSA-N 0 2 314.382 0.189 20 0 DCADLN O=C([O-])[C@H]1C[N@@H+]([C@@H]2CCCN(c3ccccc3)C2=O)CCO1 ZINC000676231219 547747539 /nfs/dbraw/zinc/74/75/39/547747539.db2.gz JKBWJYUZPWHSFD-ZIAGYGMSSA-N 0 2 304.346 0.967 20 0 DCADLN O=C([O-])[C@H]1C[N@H+]([C@@H]2CCCN(c3ccccc3)C2=O)CCO1 ZINC000676231219 547747541 /nfs/dbraw/zinc/74/75/41/547747541.db2.gz JKBWJYUZPWHSFD-ZIAGYGMSSA-N 0 2 304.346 0.967 20 0 DCADLN O=C(NCCCN1CCNC(=O)C1)c1c(O)cc(F)cc1F ZINC000677843982 547908907 /nfs/dbraw/zinc/90/89/07/547908907.db2.gz UGFCQCZZNIFXEH-UHFFFAOYSA-N 0 2 313.304 0.222 20 0 DCADLN O=C(N[C@H](CO)c1c(F)cccc1F)c1n[nH]c(=O)[nH]c1=O ZINC000678392420 547971403 /nfs/dbraw/zinc/97/14/03/547971403.db2.gz HGGCDBOUYKNDQN-SSDOTTSWSA-N 0 2 312.232 0.024 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(C(=O)N(C)C)CC2(C)C)c1O ZINC000679116253 548027553 /nfs/dbraw/zinc/02/75/53/548027553.db2.gz VFORGZHPTNYZHM-UHFFFAOYSA-N 0 2 309.370 0.642 20 0 DCADLN CCN(CC)C(=O)C[NH+]1CCN(c2ncccc2C(=O)[O-])CC1 ZINC000680073404 548117246 /nfs/dbraw/zinc/11/72/46/548117246.db2.gz CNOLFYAVBWBTCZ-UHFFFAOYSA-N 0 2 320.393 0.770 20 0 DCADLN CCn1nccc1S(=O)(=O)Nc1ccc2c(c1)C(=O)NCC2 ZINC000681131274 548207319 /nfs/dbraw/zinc/20/73/19/548207319.db2.gz RTORZMLHNNYRQD-UHFFFAOYSA-N 0 2 320.374 0.990 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc3ncsc3c2)[nH]n1 ZINC000681363812 548241720 /nfs/dbraw/zinc/24/17/20/548241720.db2.gz ZIQQHAYFEBNYLN-UHFFFAOYSA-N 0 2 323.359 0.919 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@H]1CCN(C)C(=O)C1)c2=O ZINC000682690280 548419441 /nfs/dbraw/zinc/41/94/41/548419441.db2.gz CXMJQDCGCOSPRZ-JTQLQIEISA-N 0 2 314.345 0.994 20 0 DCADLN Cn1cc([C@H](NC(=O)NCc2n[nH]c(=O)[nH]2)C(F)(F)F)cn1 ZINC000683644561 548505872 /nfs/dbraw/zinc/50/58/72/548505872.db2.gz YMHRJTSDUZLRKU-ZETCQYMHSA-N 0 2 319.247 0.347 20 0 DCADLN CC1(F)CN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000684595415 548630319 /nfs/dbraw/zinc/63/03/19/548630319.db2.gz COBAXNJZHYOEAK-UHFFFAOYSA-N 0 2 314.298 0.169 20 0 DCADLN CN1C(=O)COc2cc(NS(=O)(=O)c3cn[nH]c3)ccc21 ZINC000685002878 548671891 /nfs/dbraw/zinc/67/18/91/548671891.db2.gz ROCVZTMQIHPDND-UHFFFAOYSA-N 0 2 308.319 0.566 20 0 DCADLN C[N@@H+](CC(=O)Nc1nc(CC(=O)[O-])cs1)C[C@@H]1CCCO1 ZINC000737399522 599810654 /nfs/dbraw/zinc/81/06/54/599810654.db2.gz LTYPQSNCBNCURZ-JTQLQIEISA-N 0 2 313.379 0.820 20 0 DCADLN C[N@H+](CC(=O)Nc1nc(CC(=O)[O-])cs1)C[C@@H]1CCCO1 ZINC000737399522 599810656 /nfs/dbraw/zinc/81/06/56/599810656.db2.gz LTYPQSNCBNCURZ-JTQLQIEISA-N 0 2 313.379 0.820 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N2CCC[C@@H](CC(=O)[O-])C2)CCO1 ZINC000818113541 597122756 /nfs/dbraw/zinc/12/27/56/597122756.db2.gz QAZYIMJYYKBUQU-STQMWFEESA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N2CCC[C@@H](CC(=O)[O-])C2)CCO1 ZINC000818113541 597122759 /nfs/dbraw/zinc/12/27/59/597122759.db2.gz QAZYIMJYYKBUQU-STQMWFEESA-N 0 2 313.398 0.603 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@@H+]1CCCC[C@H]1C(=O)[O-] ZINC000314512114 597429322 /nfs/dbraw/zinc/42/93/22/597429322.db2.gz SGKDGSRSVPATMD-LBPRGKRZSA-N 0 2 313.398 0.299 20 0 DCADLN CCN(CC(=O)NC(C)C)C(=O)C[N@H+]1CCCC[C@H]1C(=O)[O-] ZINC000314512114 597429326 /nfs/dbraw/zinc/42/93/26/597429326.db2.gz SGKDGSRSVPATMD-LBPRGKRZSA-N 0 2 313.398 0.299 20 0 DCADLN Cc1nn(Cc2nnc(C(C)C)o2)c(=O)c(-c2nn[nH]n2)c1C ZINC000737522905 598378684 /nfs/dbraw/zinc/37/86/84/598378684.db2.gz OCDDEBFYCMHXNC-UHFFFAOYSA-N 0 2 316.325 0.595 20 0 DCADLN C[C@@H](CNc1nccnc1-c1nn[nH]n1)CN1CCOCC1 ZINC000736025283 599534475 /nfs/dbraw/zinc/53/44/75/599534475.db2.gz FPBRKCCACBRNPR-JTQLQIEISA-N 0 2 304.358 0.037 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)NCCCCCC(=O)[O-])CCO1 ZINC000736809513 599793622 /nfs/dbraw/zinc/79/36/22/599793622.db2.gz LQNVTFHWROKSIN-LBPRGKRZSA-N 0 2 301.387 0.651 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)NCCCCCC(=O)[O-])CCO1 ZINC000736809513 599793624 /nfs/dbraw/zinc/79/36/24/599793624.db2.gz LQNVTFHWROKSIN-LBPRGKRZSA-N 0 2 301.387 0.651 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NCCCCC(=O)[O-])[NH+]1CCOCC1 ZINC000736648651 599832080 /nfs/dbraw/zinc/83/20/80/599832080.db2.gz ZYHHOHBJBXKMQY-NWDGAFQWSA-N 0 2 301.387 0.650 20 0 DCADLN CN1CC[NH+](C[C@@H]2CN(Cc3occc3C(=O)[O-])CCO2)CC1 ZINC000737526381 599918706 /nfs/dbraw/zinc/91/87/06/599918706.db2.gz MWSMMYWHNMPZEW-CYBMUJFWSA-N 0 2 323.393 0.426 20 0 DCADLN O=C([O-])c1ccc(OC[C@@H](O)C[NH+]2CC(n3cccn3)C2)cc1 ZINC000740159757 599921844 /nfs/dbraw/zinc/92/18/44/599921844.db2.gz CNSHIVXPKHUHKA-AWEZNQCLSA-N 0 2 317.345 0.878 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000238130255 599941836 /nfs/dbraw/zinc/94/18/36/599941836.db2.gz HRVPFQGLNAMBIW-CYBMUJFWSA-N 0 2 301.302 0.798 20 0 DCADLN Nc1nc(N)nc(C[N@@H+]2Cc3ccccc3C[C@@H]2C(=O)[O-])n1 ZINC000739203643 599967013 /nfs/dbraw/zinc/96/70/13/599967013.db2.gz QYKRLXXMJLJACU-SNVBAGLBSA-N 0 2 300.322 0.048 20 0 DCADLN Nc1nc(N)nc(C[N@H+]2Cc3ccccc3C[C@@H]2C(=O)[O-])n1 ZINC000739203643 599967017 /nfs/dbraw/zinc/96/70/17/599967017.db2.gz QYKRLXXMJLJACU-SNVBAGLBSA-N 0 2 300.322 0.048 20 0 DCADLN CC(C)N(C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-])c1ccccc1 ZINC000318414629 600140148 /nfs/dbraw/zinc/14/01/48/600140148.db2.gz JEQJKFZICXQHPR-KGLIPLIRSA-N 0 2 306.362 0.948 20 0 DCADLN CC(C)N(C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-])c1ccccc1 ZINC000318414629 600140149 /nfs/dbraw/zinc/14/01/49/600140149.db2.gz JEQJKFZICXQHPR-KGLIPLIRSA-N 0 2 306.362 0.948 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000737572660 600233662 /nfs/dbraw/zinc/23/36/62/600233662.db2.gz DTHJWQAGLPAAFO-MNOVXSKESA-N 0 2 300.355 0.239 20 0 DCADLN COC(=O)[C@@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000737572660 600233663 /nfs/dbraw/zinc/23/36/63/600233663.db2.gz DTHJWQAGLPAAFO-MNOVXSKESA-N 0 2 300.355 0.239 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)Nc1nnc(CCC(=O)[O-])s1 ZINC000736629456 600290200 /nfs/dbraw/zinc/29/02/00/600290200.db2.gz LQIBUFYXMINWST-QMMMGPOBSA-N 0 2 324.366 0.962 20 0 DCADLN O=C([O-])CNC(=O)CC[N@@H+]1CCO[C@H](c2ccccc2F)C1 ZINC000739805987 600298625 /nfs/dbraw/zinc/29/86/25/600298625.db2.gz LTZDAMPAXWAKDZ-ZDUSSCGKSA-N 0 2 310.325 0.790 20 0 DCADLN O=C([O-])CNC(=O)CC[N@H+]1CCO[C@H](c2ccccc2F)C1 ZINC000739805987 600298627 /nfs/dbraw/zinc/29/86/27/600298627.db2.gz LTZDAMPAXWAKDZ-ZDUSSCGKSA-N 0 2 310.325 0.790 20 0 DCADLN Cn1cc(N2CC[C@H]([NH2+][C@@H](C(=O)[O-])c3ccccc3)C2=O)cn1 ZINC000738411741 600440281 /nfs/dbraw/zinc/44/02/81/600440281.db2.gz SPKQIEULXKNNIB-UONOGXRCSA-N 0 2 314.345 0.941 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C(=O)[O-])o1 ZINC000736822634 600545763 /nfs/dbraw/zinc/54/57/63/600545763.db2.gz SXYHOVUXUWCBSU-SNVBAGLBSA-N 0 2 311.338 0.498 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C(=O)[O-])o1 ZINC000736822634 600545767 /nfs/dbraw/zinc/54/57/67/600545767.db2.gz SXYHOVUXUWCBSU-SNVBAGLBSA-N 0 2 311.338 0.498 20 0 DCADLN Cc1cn2cc(NC(=O)Cn3cc(C(=O)[O-])nn3)ccc2[nH+]1 ZINC000832985566 601279980 /nfs/dbraw/zinc/27/99/80/601279980.db2.gz UKPYDQOOEPXSAH-UHFFFAOYSA-N 0 2 300.278 0.571 20 0 DCADLN C[C@H]1CN(C(=O)c2ccnc(C(=O)[O-])c2)C[C@@H]1[NH+]1CCOCC1 ZINC000828400744 601292128 /nfs/dbraw/zinc/29/21/28/601292128.db2.gz ATXMKJQASFDWBP-FZMZJTMJSA-N 0 2 319.361 0.573 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)C1CC1 ZINC000833247623 601580608 /nfs/dbraw/zinc/58/06/08/601580608.db2.gz PHQGXMIBWWMSII-ZDUSSCGKSA-N 0 2 304.346 0.655 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)C1CC1 ZINC000833247623 601580609 /nfs/dbraw/zinc/58/06/09/601580609.db2.gz PHQGXMIBWWMSII-ZDUSSCGKSA-N 0 2 304.346 0.655 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000736416776 601855344 /nfs/dbraw/zinc/85/53/44/601855344.db2.gz QXFLFLBYTDZALS-VXGBXAGGSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000736416776 601855346 /nfs/dbraw/zinc/85/53/46/601855346.db2.gz QXFLFLBYTDZALS-VXGBXAGGSA-N 0 2 301.387 0.506 20 0 DCADLN C[C@@H]1CN(c2nc[nH+]c(N3CCO[C@@H](C)C3)c2C(=O)[O-])CCO1 ZINC000828500240 602293112 /nfs/dbraw/zinc/29/31/12/602293112.db2.gz OMZKWUDEYLJKJO-PHIMTYICSA-N 0 2 322.365 0.625 20 0 DCADLN C[C@@H]1CN(c2[nH+]cnc(N3CCO[C@@H](C)C3)c2C(=O)[O-])CCO1 ZINC000828500240 602293114 /nfs/dbraw/zinc/29/31/14/602293114.db2.gz OMZKWUDEYLJKJO-PHIMTYICSA-N 0 2 322.365 0.625 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000827461413 602376973 /nfs/dbraw/zinc/37/69/73/602376973.db2.gz WTQAWTLJVSBCRS-SNVBAGLBSA-N 0 2 310.354 0.566 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736820618 602616919 /nfs/dbraw/zinc/61/69/19/602616919.db2.gz CGKLSXAAMDNADC-LLVKDONJSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736820618 602616920 /nfs/dbraw/zinc/61/69/20/602616920.db2.gz CGKLSXAAMDNADC-LLVKDONJSA-N 0 2 314.386 0.149 20 0 DCADLN Cc1nc(CC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cs1 ZINC000830737719 603509483 /nfs/dbraw/zinc/50/94/83/603509483.db2.gz LWWTVSLJGNTFLF-LLVKDONJSA-N 0 2 312.395 0.404 20 0 DCADLN Cc1nc(CC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cs1 ZINC000830737719 603509486 /nfs/dbraw/zinc/50/94/86/603509486.db2.gz LWWTVSLJGNTFLF-LLVKDONJSA-N 0 2 312.395 0.404 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(C(C)(C)C)n[nH]2)[C@H](CNC(=O)[O-])C1 ZINC000828507643 603510643 /nfs/dbraw/zinc/51/06/43/603510643.db2.gz RLSPJBRAEUJQJJ-SNVBAGLBSA-N 0 2 323.397 0.731 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(C(C)(C)C)n[nH]2)[C@H](CNC(=O)[O-])C1 ZINC000828507643 603510645 /nfs/dbraw/zinc/51/06/45/603510645.db2.gz RLSPJBRAEUJQJJ-SNVBAGLBSA-N 0 2 323.397 0.731 20 0 DCADLN COCc1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])o1 ZINC000829039802 603511818 /nfs/dbraw/zinc/51/18/18/603511818.db2.gz BXKIYLMTDCDUEM-JTQLQIEISA-N 0 2 311.338 0.450 20 0 DCADLN COCc1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])o1 ZINC000829039802 603511821 /nfs/dbraw/zinc/51/18/21/603511821.db2.gz BXKIYLMTDCDUEM-JTQLQIEISA-N 0 2 311.338 0.450 20 0 DCADLN Cc1ccc(CC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000830290056 603512112 /nfs/dbraw/zinc/51/21/12/603512112.db2.gz YBMUUNWYAMCKQY-CQSZACIVSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1ccc(CC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cc1 ZINC000830290056 603512116 /nfs/dbraw/zinc/51/21/16/603512116.db2.gz YBMUUNWYAMCKQY-CQSZACIVSA-N 0 2 305.378 0.948 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830904185 603522466 /nfs/dbraw/zinc/52/24/66/603522466.db2.gz LUOJHMUTOSKRPO-JOYOIKCWSA-N 0 2 324.381 0.805 20 0 DCADLN Cc1noc(C)c1[C@H](C)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000830904185 603522468 /nfs/dbraw/zinc/52/24/68/603522468.db2.gz LUOJHMUTOSKRPO-JOYOIKCWSA-N 0 2 324.381 0.805 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)NCc1ccon1 ZINC000828316912 603577603 /nfs/dbraw/zinc/57/76/03/603577603.db2.gz YADYLSJZTZFKLT-UHFFFAOYSA-N 0 2 311.342 0.112 20 0 DCADLN CC(C)C[C@@H](CNC(=O)[O-])NC(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000824197304 603632918 /nfs/dbraw/zinc/63/29/18/603632918.db2.gz VKBDGJXPWBUCGR-NWDGAFQWSA-N 0 2 316.402 0.299 20 0 DCADLN CC(C)C[C@@H](CNC(=O)[O-])NC(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000824197304 603632919 /nfs/dbraw/zinc/63/29/19/603632919.db2.gz VKBDGJXPWBUCGR-NWDGAFQWSA-N 0 2 316.402 0.299 20 0 DCADLN C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)N[C@H]1CCc2[nH+]ccn2C1 ZINC000826110708 603701785 /nfs/dbraw/zinc/70/17/85/603701785.db2.gz UGOFEXJJBHMWDE-NHCYSSNCSA-N 0 2 322.365 0.818 20 0 DCADLN CO[C@H](C)CCNC(=O)C(C)(C)[NH+]1CCN(C(=O)[O-])CC1 ZINC000828823803 603737725 /nfs/dbraw/zinc/73/77/25/603737725.db2.gz IULPHWVFHDQUDE-LLVKDONJSA-N 0 2 301.387 0.602 20 0 DCADLN C[C@]1(C(=O)N2CC[C@H]([NH+]3CCOCC3)C2)CCN(C(=O)[O-])C1 ZINC000825651355 603968323 /nfs/dbraw/zinc/96/83/23/603968323.db2.gz XSOUMPJFHLFKJR-WFASDCNBSA-N 0 2 311.382 0.310 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1CCC[N@H+](Cc2nc(N)nc(N(C)C)n2)C1 ZINC000825273986 604250264 /nfs/dbraw/zinc/25/02/64/604250264.db2.gz RNWQELMZIONRNM-ZJUUUORDSA-N 0 2 323.401 0.388 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1CCC[N@@H+](Cc2nc(N)nc(N(C)C)n2)C1 ZINC000825273986 604250266 /nfs/dbraw/zinc/25/02/66/604250266.db2.gz RNWQELMZIONRNM-ZJUUUORDSA-N 0 2 323.401 0.388 20 0 DCADLN O=C([O-])NC1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CCCC1 ZINC000832151087 604296922 /nfs/dbraw/zinc/29/69/22/604296922.db2.gz DSLOEOGECSIZMV-LBPRGKRZSA-N 0 2 311.382 0.500 20 0 DCADLN O=C([O-])CCNC(=O)C[NH+]1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000833237209 604370545 /nfs/dbraw/zinc/37/05/45/604370545.db2.gz YFCYJPICLSIUGR-LLVKDONJSA-N 0 2 312.288 0.213 20 0 DCADLN Cn1cc(Cl)cc1C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000828506452 604398449 /nfs/dbraw/zinc/39/84/49/604398449.db2.gz IKHSNMDGITYKFH-JTQLQIEISA-N 0 2 314.773 0.702 20 0 DCADLN Cn1cc(Cl)cc1C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000828506452 604398452 /nfs/dbraw/zinc/39/84/52/604398452.db2.gz IKHSNMDGITYKFH-JTQLQIEISA-N 0 2 314.773 0.702 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccc(NC=O)cc2)[C@H](CNC(=O)[O-])C1 ZINC000828512879 604401396 /nfs/dbraw/zinc/40/13/96/604401396.db2.gz AOWTYHNZQJMLAL-CYBMUJFWSA-N 0 2 320.349 0.279 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccc(NC=O)cc2)[C@H](CNC(=O)[O-])C1 ZINC000828512879 604401399 /nfs/dbraw/zinc/40/13/99/604401399.db2.gz AOWTYHNZQJMLAL-CYBMUJFWSA-N 0 2 320.349 0.279 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2ccccn2)[C@@H](CNC(=O)[O-])C1 ZINC000828500001 604407611 /nfs/dbraw/zinc/40/76/11/604407611.db2.gz DALBQDDQJXVTPR-ZDUSSCGKSA-N 0 2 306.366 0.424 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2ccccn2)[C@@H](CNC(=O)[O-])C1 ZINC000828500001 604407612 /nfs/dbraw/zinc/40/76/12/604407612.db2.gz DALBQDDQJXVTPR-ZDUSSCGKSA-N 0 2 306.366 0.424 20 0 DCADLN C[C@H](Oc1cccnc1)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000825616523 604408544 /nfs/dbraw/zinc/40/85/44/604408544.db2.gz FOMXENIPQHCHOH-NWDGAFQWSA-N 0 2 322.365 0.259 20 0 DCADLN C[C@H](Oc1cccnc1)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000825616523 604408545 /nfs/dbraw/zinc/40/85/45/604408545.db2.gz FOMXENIPQHCHOH-NWDGAFQWSA-N 0 2 322.365 0.259 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(Cl)ccn2)[C@H](CNC(=O)[O-])C1 ZINC000828506365 604410570 /nfs/dbraw/zinc/41/05/70/604410570.db2.gz GPEFPTNAXTYBAF-SNVBAGLBSA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(Cl)ccn2)[C@H](CNC(=O)[O-])C1 ZINC000828506365 604410571 /nfs/dbraw/zinc/41/05/71/604410571.db2.gz GPEFPTNAXTYBAF-SNVBAGLBSA-N 0 2 312.757 0.759 20 0 DCADLN CO[C@H]1CCN(c2nc[nH+]c(N3CC[C@H](OC)C3)c2C(=O)[O-])C1 ZINC000831847214 604460358 /nfs/dbraw/zinc/46/03/58/604460358.db2.gz PLGQWCFEZKTTDA-QWRGUYRKSA-N 0 2 322.365 0.625 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@H]1CC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000827653339 604522324 /nfs/dbraw/zinc/52/23/24/604522324.db2.gz NRUHGUHTQRNULA-AVGNSLFASA-N 0 2 313.398 0.744 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@H+](C[C@H](O)COc2ccccc2)CCO1 ZINC000825350169 604615972 /nfs/dbraw/zinc/61/59/72/604615972.db2.gz HFHQJZZIIICMPG-YDHLFZDLSA-N 0 2 324.377 0.783 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1C[N@@H+](C[C@H](O)COc2ccccc2)CCO1 ZINC000825350169 604615976 /nfs/dbraw/zinc/61/59/76/604615976.db2.gz HFHQJZZIIICMPG-YDHLFZDLSA-N 0 2 324.377 0.783 20 0 DCADLN C[C@@]1(C(=O)[O-])CC[N@H+](Cn2nc(CO)n(C3CC3)c2=S)C1 ZINC000833554678 604693774 /nfs/dbraw/zinc/69/37/74/604693774.db2.gz FUMQDNPJXCFEKO-CYBMUJFWSA-N 0 2 312.395 0.995 20 0 DCADLN C[C@@]1(C(=O)[O-])CC[N@@H+](Cn2nc(CO)n(C3CC3)c2=S)C1 ZINC000833554678 604693775 /nfs/dbraw/zinc/69/37/75/604693775.db2.gz FUMQDNPJXCFEKO-CYBMUJFWSA-N 0 2 312.395 0.995 20 0 DCADLN CC(=O)N[C@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])C1CCCC1 ZINC000833394957 604899121 /nfs/dbraw/zinc/89/91/21/604899121.db2.gz IASSAZNILCYHNF-STQMWFEESA-N 0 2 322.365 0.217 20 0 DCADLN CC(=O)N[C@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])C1CCCC1 ZINC000833394957 604899123 /nfs/dbraw/zinc/89/91/23/604899123.db2.gz IASSAZNILCYHNF-STQMWFEESA-N 0 2 322.365 0.217 20 0 DCADLN CC(C)N1C(=O)C[N@H+](CCc2cn(CC(=O)[O-])nn2)CC1(C)C ZINC000833499177 604913573 /nfs/dbraw/zinc/91/35/73/604913573.db2.gz FJIADWJFJRLJGO-UHFFFAOYSA-N 0 2 323.397 0.236 20 0 DCADLN CC(C)N1C(=O)C[N@@H+](CCc2cn(CC(=O)[O-])nn2)CC1(C)C ZINC000833499177 604913576 /nfs/dbraw/zinc/91/35/76/604913576.db2.gz FJIADWJFJRLJGO-UHFFFAOYSA-N 0 2 323.397 0.236 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cn1 ZINC000833309983 604935520 /nfs/dbraw/zinc/93/55/20/604935520.db2.gz PXHKDCGTMDPZEI-GFCCVEGCSA-N 0 2 305.334 0.327 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+](C)[C@@H](C(=O)[O-])c1ccccc1 ZINC000833657958 604950562 /nfs/dbraw/zinc/95/05/62/604950562.db2.gz WNKIFKTUHYQZRD-CMPLNLGQSA-N 0 2 307.350 0.978 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)[C@@H](C(=O)[O-])c1ccccc1 ZINC000833657958 604950567 /nfs/dbraw/zinc/95/05/67/604950567.db2.gz WNKIFKTUHYQZRD-CMPLNLGQSA-N 0 2 307.350 0.978 20 0 DCADLN C[C@](CNC(=O)[O-])([NH2+]Cc1cc(=O)n2[nH]ccc2n1)C1CC1 ZINC000824953741 605169626 /nfs/dbraw/zinc/16/96/26/605169626.db2.gz WRIKREMEAWMYBX-CQSZACIVSA-N 0 2 305.338 0.549 20 0 DCADLN Cn1cncc1[C@@H]1CC(=O)N(C[NH+]2CCC(NC(=O)[O-])CC2)C1 ZINC000833959452 605318458 /nfs/dbraw/zinc/31/84/58/605318458.db2.gz VALLETFXHWQIKT-LLVKDONJSA-N 0 2 321.381 0.426 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)CO[C@@H]1CCCN(C(=O)[O-])C1 ZINC000828312864 605422300 /nfs/dbraw/zinc/42/23/00/605422300.db2.gz RZYYVABGJRWZMC-CYBMUJFWSA-N 0 2 324.381 0.891 20 0 DCADLN O=C([O-])N1CC[C@H]([NH+]2CCN(C(=O)c3ccccn3)CC2)C1 ZINC000834082400 605584048 /nfs/dbraw/zinc/58/40/48/605584048.db2.gz DIXLKQFZDPQGHX-LBPRGKRZSA-N 0 2 304.350 0.592 20 0 DCADLN CC(C)(C(=O)NCCc1ccncc1)[NH+]1CCN(C(=O)[O-])CC1 ZINC000823744051 605656346 /nfs/dbraw/zinc/65/63/46/605656346.db2.gz UURSTQJQCLGNKS-UHFFFAOYSA-N 0 2 320.393 0.815 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000736415178 605699952 /nfs/dbraw/zinc/69/99/52/605699952.db2.gz IKHHTWYFKMKSBC-NEPJUHHUSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000736415178 605699954 /nfs/dbraw/zinc/69/99/54/605699954.db2.gz IKHHTWYFKMKSBC-NEPJUHHUSA-N 0 2 301.387 0.506 20 0 DCADLN O=C([O-])NC[C@H]1CCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000834218164 605787474 /nfs/dbraw/zinc/78/74/74/605787474.db2.gz GYNBNBDDAPKYBD-NEPJUHHUSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N[C@H](CC(F)F)C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000834133171 606070335 /nfs/dbraw/zinc/07/03/35/606070335.db2.gz OVTAOPJTVZVUKB-NXEZZACHSA-N 0 2 321.324 0.211 20 0 DCADLN C[C@]1(NC(=O)[O-])CCCC[C@H]1C(=O)NC[C@@H]1C[NH+]2CCN1CC2 ZINC000833824714 606077345 /nfs/dbraw/zinc/07/73/45/606077345.db2.gz HMNAXTGXLNSSFZ-WWGRRREGSA-N 0 2 324.425 0.319 20 0 DCADLN CC[N@H+](CC(=O)N(C)Cc1cnn(C)c1)C1CN(C(=O)[O-])C1 ZINC000833856209 606094643 /nfs/dbraw/zinc/09/46/43/606094643.db2.gz AGHZQLBMEHZNEL-UHFFFAOYSA-N 0 2 309.370 0.063 20 0 DCADLN CC[N@@H+](CC(=O)N(C)Cc1cnn(C)c1)C1CN(C(=O)[O-])C1 ZINC000833856209 606094647 /nfs/dbraw/zinc/09/46/47/606094647.db2.gz AGHZQLBMEHZNEL-UHFFFAOYSA-N 0 2 309.370 0.063 20 0 DCADLN CCC[C@H](NC(=O)c1sccc1-c1nn[nH]n1)c1nn[nH]n1 ZINC000821022716 606304712 /nfs/dbraw/zinc/30/47/12/606304712.db2.gz TVSCXFMWEDKCJM-ZETCQYMHSA-N 0 2 319.354 0.713 20 0 DCADLN O=C(Cc1cccc(-c2nn[nH]n2)c1)N1CCc2[nH]nnc2C1 ZINC000823092733 606599043 /nfs/dbraw/zinc/59/90/43/606599043.db2.gz JIWSHDBSYMXWKX-UHFFFAOYSA-N 0 2 310.321 0.112 20 0 DCADLN CCC[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)nc1)c1nn[nH]n1 ZINC000821020568 606912280 /nfs/dbraw/zinc/91/22/80/606912280.db2.gz FFVRGYBVBJQUSO-SECBINFHSA-N 0 2 314.313 0.046 20 0 DCADLN O=S(=O)(Cc1ccc(F)cc1)Nc1n[nH]cc1-c1nn[nH]n1 ZINC000826486663 608307016 /nfs/dbraw/zinc/30/70/16/608307016.db2.gz LDZRIBJPSCXRMF-UHFFFAOYSA-N 0 2 323.313 0.671 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(N[C@H]2CCC(C)(C)C2)n(C)c1=O ZINC000826355847 608556776 /nfs/dbraw/zinc/55/67/76/608556776.db2.gz PPTFPVRVIKGPJO-QMMMGPOBSA-N 0 2 319.369 0.255 20 0 DCADLN Cc1ccoc1C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027757326 660699934 /nfs/dbraw/zinc/69/99/34/660699934.db2.gz YIMKOHPNHJVRBL-SNVBAGLBSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC=CCC1 ZINC001027753951 660697225 /nfs/dbraw/zinc/69/72/25/660697225.db2.gz XIWCDFHYURODOO-RYUDHWBXSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC=CCC1 ZINC001027753951 660697226 /nfs/dbraw/zinc/69/72/26/660697226.db2.gz XIWCDFHYURODOO-RYUDHWBXSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC000979549209 660775295 /nfs/dbraw/zinc/77/52/95/660775295.db2.gz SPAPJIFRHDNBMM-JHJVBQTASA-N 0 2 320.393 0.308 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC1(F)F ZINC001027869994 660861794 /nfs/dbraw/zinc/86/17/94/660861794.db2.gz QMJVSVIRXUTFJE-YUMQZZPRSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC1(F)F ZINC001027869994 660861796 /nfs/dbraw/zinc/86/17/96/660861796.db2.gz QMJVSVIRXUTFJE-YUMQZZPRSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1CC1(F)F ZINC001027869994 660861797 /nfs/dbraw/zinc/86/17/97/660861797.db2.gz QMJVSVIRXUTFJE-YUMQZZPRSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(C=Cc1ccco1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980637956 660976176 /nfs/dbraw/zinc/97/61/76/660976176.db2.gz DKKBGMNSJKXZMA-SNAWJCMRSA-N 0 2 317.349 0.851 20 0 DCADLN Cc1ccoc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980708625 660988843 /nfs/dbraw/zinc/98/88/43/660988843.db2.gz XHCPNCALZABJNS-UHFFFAOYSA-N 0 2 305.338 0.760 20 0 DCADLN O=C(C[C@H]1CCCO1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980757424 661002855 /nfs/dbraw/zinc/00/28/55/661002855.db2.gz VGEAWIRMPSEHNL-LLVKDONJSA-N 0 2 309.370 0.114 20 0 DCADLN O=C(C=Cc1ccc[nH]1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981040230 661066752 /nfs/dbraw/zinc/06/67/52/661066752.db2.gz ZLZDCNYZUYPTQJ-PLNGDYQASA-N 0 2 316.365 0.586 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028041740 661096205 /nfs/dbraw/zinc/09/62/05/661096205.db2.gz FSLFLPYXRYEJDY-UTUOFQBUSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028041740 661096209 /nfs/dbraw/zinc/09/62/09/661096209.db2.gz FSLFLPYXRYEJDY-UTUOFQBUSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1coc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001028086813 661138508 /nfs/dbraw/zinc/13/85/08/661138508.db2.gz FQPJVPVMVNGEKT-SNVBAGLBSA-N 0 2 305.338 0.806 20 0 DCADLN O=C([C@@H]1CCC[C@H]2C[C@H]21)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981491731 661160452 /nfs/dbraw/zinc/16/04/52/661160452.db2.gz UGWLBOKPVCDJGQ-YNEHKIRRSA-N 0 2 319.409 0.981 20 0 DCADLN CC(F)(F)C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981577804 661175819 /nfs/dbraw/zinc/17/58/19/661175819.db2.gz DGLHFYDESVAVTG-UHFFFAOYSA-N 0 2 315.324 0.590 20 0 DCADLN CC(F)(F)C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981577804 661175820 /nfs/dbraw/zinc/17/58/20/661175820.db2.gz DGLHFYDESVAVTG-UHFFFAOYSA-N 0 2 315.324 0.590 20 0 DCADLN Cc1ncccc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028107263 661181895 /nfs/dbraw/zinc/18/18/95/661181895.db2.gz MUPFKKPPTJMXBK-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ncccc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028107263 661181897 /nfs/dbraw/zinc/18/18/97/661181897.db2.gz MUPFKKPPTJMXBK-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(c1ccon1)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981646350 661189176 /nfs/dbraw/zinc/18/91/76/661189176.db2.gz XAQSUSHXYAGAEI-UHFFFAOYSA-N 0 2 318.337 0.237 20 0 DCADLN O=C(c1ccon1)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981646350 661189177 /nfs/dbraw/zinc/18/91/77/661189177.db2.gz XAQSUSHXYAGAEI-UHFFFAOYSA-N 0 2 318.337 0.237 20 0 DCADLN CC[C@@H](C[N@@H+]1CCCN(C(=O)C2=NC(=O)N(C)C2)CC1)OC ZINC000981880035 661240816 /nfs/dbraw/zinc/24/08/16/661240816.db2.gz RVJAUDHDFNXPCO-LBPRGKRZSA-N 0 2 310.398 0.699 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)O1 ZINC001028151666 661244984 /nfs/dbraw/zinc/24/49/84/661244984.db2.gz WXJDXSHRVKHMTI-GMTAPVOTSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)O1 ZINC001028151666 661244985 /nfs/dbraw/zinc/24/49/85/661244985.db2.gz WXJDXSHRVKHMTI-GMTAPVOTSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N2CCC[N@@H+]([C@H]3CCN(C)C3=O)CC2)C1 ZINC000981957641 661255754 /nfs/dbraw/zinc/25/57/54/661255754.db2.gz ONZLETDKKLWVLB-CABCVRRESA-N 0 2 322.453 0.093 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028207110 661277719 /nfs/dbraw/zinc/27/77/19/661277719.db2.gz YQODOTYOHMTAEV-JTQLQIEISA-N 0 2 319.369 0.245 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001028207110 661277720 /nfs/dbraw/zinc/27/77/20/661277720.db2.gz YQODOTYOHMTAEV-JTQLQIEISA-N 0 2 319.369 0.245 20 0 DCADLN COc1ccccc1-c1n[nH]c(NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])n1 ZINC000052779151 665419938 /nfs/dbraw/zinc/41/99/38/665419938.db2.gz SODHDACMOSJDNV-RKDXNWHRSA-N 0 2 303.278 0.506 20 0 DCADLN CCc1ccoc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970381136 657459928 /nfs/dbraw/zinc/45/99/28/657459928.db2.gz VYHJWMSAOCTVBJ-SECBINFHSA-N 0 2 319.365 0.916 20 0 DCADLN CCn1ncc(C[NH2+][C@H]2C[C@@H](NC(=O)Cn3ccnc3C)C2)n1 ZINC001023091392 657497937 /nfs/dbraw/zinc/49/79/37/657497937.db2.gz YIHYGPYCGRUZPH-BETUJISGSA-N 0 2 317.397 0.240 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970459337 657538465 /nfs/dbraw/zinc/53/84/65/657538465.db2.gz KOWBMPXPRZCLLH-HKWIRBFKSA-N 0 2 321.381 0.014 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC000969316339 657694602 /nfs/dbraw/zinc/69/46/02/657694602.db2.gz QQCMDVKWWBABKH-JTQLQIEISA-N 0 2 316.365 0.464 20 0 DCADLN C[C@@H](NC(=O)c1ccnc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969379451 657778883 /nfs/dbraw/zinc/77/88/83/657778883.db2.gz JTZJHWZGMJDDQB-MRVPVSSYSA-N 0 2 320.328 0.295 20 0 DCADLN COc1cc(C[NH+]2CC(CNC(=O)c3cn[nH]n3)C2)ccc1C ZINC001031807797 665536067 /nfs/dbraw/zinc/53/60/67/665536067.db2.gz DLKHLECFPNISJV-UHFFFAOYSA-N 0 2 315.377 0.984 20 0 DCADLN Cc1nonc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000994937492 665559794 /nfs/dbraw/zinc/55/97/94/665559794.db2.gz UIPMPQYTLLUOTR-ZETCQYMHSA-N 0 2 310.207 0.219 20 0 DCADLN Cc1nonc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000994937492 665559796 /nfs/dbraw/zinc/55/97/96/665559796.db2.gz UIPMPQYTLLUOTR-ZETCQYMHSA-N 0 2 310.207 0.219 20 0 DCADLN CC[C@@H](C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1)[NH+](C)C ZINC000969989549 658329686 /nfs/dbraw/zinc/32/96/86/658329686.db2.gz LMQIKAZAESCRFU-OLZOCXBDSA-N 0 2 321.425 0.978 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1cnsn1 ZINC000972832776 658474793 /nfs/dbraw/zinc/47/47/93/658474793.db2.gz LAYOQZJTPBJTPH-QMMMGPOBSA-N 0 2 323.382 0.002 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N2CC[C@H]([N@@H+](C)Cc3cnnn3C)C2)C1 ZINC000972335923 658582816 /nfs/dbraw/zinc/58/28/16/658582816.db2.gz SHINNFJBOVBEEB-KGLIPLIRSA-N 0 2 320.441 0.190 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1COC2 ZINC001024449144 658626851 /nfs/dbraw/zinc/62/68/51/658626851.db2.gz ADISSLCRDFTJAD-PGUXBMHVSA-N 0 2 321.381 0.018 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1COC2 ZINC001024449144 658626862 /nfs/dbraw/zinc/62/68/62/658626862.db2.gz ADISSLCRDFTJAD-PGUXBMHVSA-N 0 2 321.381 0.018 20 0 DCADLN Cc1nc([C@@H](C)[NH2+][C@H]2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)no1 ZINC001021974404 658700775 /nfs/dbraw/zinc/70/07/75/658700775.db2.gz ZOUJYXVVJFGJRJ-QNSHHTMESA-N 0 2 320.353 0.727 20 0 DCADLN Cc1ncncc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006812875 658720839 /nfs/dbraw/zinc/72/08/39/658720839.db2.gz GCBDTIKDTOQGEX-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@@]1(C)CCCO1 ZINC000177249304 658723605 /nfs/dbraw/zinc/72/36/05/658723605.db2.gz BFLHOFNHQSVJLU-OAHLLOKOSA-N 0 2 305.334 0.986 20 0 DCADLN O=C(NCCCn1cc[nH+]c1)N1CC[C@@H]2[C@H]1CCC[N@H+]2CCO ZINC000891427155 658728856 /nfs/dbraw/zinc/72/88/56/658728856.db2.gz RELQTGKEOLSIHD-HUUCEWRRSA-N 0 2 321.425 0.514 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCCC1 ZINC000973030229 658953490 /nfs/dbraw/zinc/95/34/90/658953490.db2.gz CTQIIQXLJBULAG-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=CCCC1 ZINC000973030229 658953494 /nfs/dbraw/zinc/95/34/94/658953494.db2.gz CTQIIQXLJBULAG-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000939838053 665653326 /nfs/dbraw/zinc/65/33/26/665653326.db2.gz KYGRQTIQEXPXAY-SCZZXKLOSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000939838053 665653328 /nfs/dbraw/zinc/65/33/28/665653328.db2.gz KYGRQTIQEXPXAY-SCZZXKLOSA-N 0 2 322.262 0.570 20 0 DCADLN C[C@@H](C(=O)N[C@]1(C(=O)[O-])CCSC1)[NH+]1CCSCC1 ZINC000909358613 659354313 /nfs/dbraw/zinc/35/43/13/659354313.db2.gz SIRCLDJJDVSMAO-JOYOIKCWSA-N 0 2 304.437 0.500 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008394915 659356815 /nfs/dbraw/zinc/35/68/15/659356815.db2.gz PXXWIKKFZIYYCW-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)[C@H]1CC1(F)F ZINC000974555484 659570906 /nfs/dbraw/zinc/57/09/06/659570906.db2.gz JSWBHEBVURYTGO-SFYZADRCSA-N 0 2 315.324 0.492 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)[C@]1(C)CCOC1 ZINC000977398266 659677573 /nfs/dbraw/zinc/67/75/73/659677573.db2.gz FGTWYEFLJDGZMA-ZUZCIYMTSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1CCC[NH+]1CC(=O)N[C@@H]1C[N@@H+](CC(=O)N(C)C)CC1(C)C ZINC000975046532 659772604 /nfs/dbraw/zinc/77/26/04/659772604.db2.gz CVBLRWMSRJLOLU-UONOGXRCSA-N 0 2 324.469 0.386 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(C[C@H]3CCCS3(=O)=O)C2)[nH]1 ZINC000930496851 659784800 /nfs/dbraw/zinc/78/48/00/659784800.db2.gz GRZQKEIHNYDOSS-VHSXEESVSA-N 0 2 300.384 0.267 20 0 DCADLN Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000940281069 665731475 /nfs/dbraw/zinc/73/14/75/665731475.db2.gz WZCSIQMWHSYSLC-RQJHMYQMSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000940281069 665731478 /nfs/dbraw/zinc/73/14/78/665731478.db2.gz WZCSIQMWHSYSLC-RQJHMYQMSA-N 0 2 323.250 0.046 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000982851426 661700274 /nfs/dbraw/zinc/70/02/74/661700274.db2.gz ZDGFAPAWLXBGSQ-XPUUQOCRSA-N 0 2 323.250 0.283 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000940538173 665793086 /nfs/dbraw/zinc/79/30/86/665793086.db2.gz ROLIYNJGPCFHDP-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000940538173 665793084 /nfs/dbraw/zinc/79/30/84/665793084.db2.gz ROLIYNJGPCFHDP-VXNVDRBHSA-N 0 2 322.262 0.651 20 0 DCADLN Cc1cc(C)c(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)[nH]1 ZINC001038421601 661930256 /nfs/dbraw/zinc/93/02/56/661930256.db2.gz GGJKXCMCSXFWHT-JTQLQIEISA-N 0 2 304.354 0.460 20 0 DCADLN O=C([C@H]1CC1(F)F)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029298880 662094655 /nfs/dbraw/zinc/09/46/55/662094655.db2.gz YAWMCWOAFRLPDB-HRDYMLBCSA-N 0 2 313.308 0.341 20 0 DCADLN O=C(c1ccns1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029327332 662105739 /nfs/dbraw/zinc/10/57/39/662105739.db2.gz PVYWPSYMDRWWEJ-DTORHVGOSA-N 0 2 320.378 0.456 20 0 DCADLN C/C(=C/C(=O)N(C[C@H](C)C(=O)[O-])C1CC1)C[NH+]1CCOCC1 ZINC000909453075 662112263 /nfs/dbraw/zinc/11/22/63/662112263.db2.gz CEESTJMWQNCPHW-SUIFULHWSA-N 0 2 310.394 0.977 20 0 DCADLN Cc1n[nH]cc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029415680 662157724 /nfs/dbraw/zinc/15/77/24/662157724.db2.gz VTXSDYZVKOGSIJ-AOOOYVTPSA-N 0 2 317.353 0.031 20 0 DCADLN CC1(C)CCC[C@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031907254 665838648 /nfs/dbraw/zinc/83/86/48/665838648.db2.gz DFDQBIURIADBMR-NSHDSACASA-N 0 2 307.398 0.885 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)nc1 ZINC001038103394 662187829 /nfs/dbraw/zinc/18/78/29/662187829.db2.gz KXVWNHMINQDQJY-VIFPVBQESA-N 0 2 306.301 0.049 20 0 DCADLN O=C(N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1)C1(CF)CC1 ZINC001029467923 662246580 /nfs/dbraw/zinc/24/65/80/662246580.db2.gz GZJASTIWERNTMX-AOOOYVTPSA-N 0 2 309.345 0.435 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)N(C)[C@@H]1CCC[N@H+](C)C1 ZINC000896633317 662301597 /nfs/dbraw/zinc/30/15/97/662301597.db2.gz SPQVQUNSWJMLBZ-LSDHHAIUSA-N 0 2 312.458 0.833 20 0 DCADLN O=C([C@@H]1C[C@H]1C1CC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029509570 662348190 /nfs/dbraw/zinc/34/81/90/662348190.db2.gz XZKMRXJONXLXPE-QNWHQSFQSA-N 0 2 317.393 0.732 20 0 DCADLN C[N@@H+](CC1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1)[C@@H]1CCNC1=O ZINC001030005849 662630597 /nfs/dbraw/zinc/63/05/97/662630597.db2.gz BJSWDVLMZMRRBU-CYBMUJFWSA-N 0 2 319.409 0.011 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1CCN(c2nc[nH]n2)CC1 ZINC000898330112 662949347 /nfs/dbraw/zinc/94/93/47/662949347.db2.gz ZHEPLKTUVDDWOA-UHFFFAOYSA-N 0 2 309.276 0.751 20 0 DCADLN CC(C)=CC[NH+]1CC(N(C)C(=O)[C@H]2C[N@@H+]3CCC[C@H]3CO2)C1 ZINC001042756863 663077075 /nfs/dbraw/zinc/07/70/75/663077075.db2.gz UVRQIYOMWHJLMX-GOEBONIOSA-N 0 2 307.438 0.958 20 0 DCADLN C[NH+]1CCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(CO)cc1 ZINC001038116257 663081449 /nfs/dbraw/zinc/08/14/49/663081449.db2.gz KVFPMDPILTUWDX-SJORKVTESA-N 0 2 317.433 0.964 20 0 DCADLN Cc1nc(C(C)C)ncc1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC000899040181 663119025 /nfs/dbraw/zinc/11/90/25/663119025.db2.gz LLBULCALWVRFCF-VIFPVBQESA-N 0 2 305.338 0.836 20 0 DCADLN CSCc1nc(CNC(=O)C2C[NH+]([C@@H]3CCOC3)C2)n[nH]1 ZINC000899473851 663146985 /nfs/dbraw/zinc/14/69/85/663146985.db2.gz RXKRNFGZNPDBCT-SNVBAGLBSA-N 0 2 311.411 0.005 20 0 DCADLN COc1ccc(C[NH+]2CC[C@@H]2C(=O)NCC[N@H+](C)CCO)cc1 ZINC000899740779 663185772 /nfs/dbraw/zinc/18/57/72/663185772.db2.gz ILLIYNIVBYBVPR-MRXNPFEDSA-N 0 2 321.421 0.310 20 0 DCADLN CCOC[C@H](O)Cn1cnc2c1nc(C(F)(F)F)[nH]c2=O ZINC000899898380 663198198 /nfs/dbraw/zinc/19/81/98/663198198.db2.gz GXSZYBHMCFYBGX-ZCFIWIBFSA-N 0 2 306.244 0.948 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000901450827 663344737 /nfs/dbraw/zinc/34/47/37/663344737.db2.gz VIQUHCPTFSCCMZ-BDAKNGLRSA-N 0 2 321.255 0.689 20 0 DCADLN Cn1cc(NS(=O)(=O)N=S(C)(C)=O)c(C(F)(F)F)n1 ZINC000901651672 663359645 /nfs/dbraw/zinc/35/96/45/663359645.db2.gz RZYCSINUNNTOKD-UHFFFAOYSA-N 0 2 320.318 0.823 20 0 DCADLN C[C@@H]1CN(CN2C[C@@H](C3CC3)CC2=O)C[C@H]1CS(N)(=O)=O ZINC000902614080 663422279 /nfs/dbraw/zinc/42/22/79/663422279.db2.gz DNKMLZBXDRSANY-WXHSDQCUSA-N 0 2 315.439 0.059 20 0 DCADLN CCc1noc(C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1 ZINC000902612243 663422294 /nfs/dbraw/zinc/42/22/94/663422294.db2.gz JRLGKVIBWLVYDT-JTQLQIEISA-N 0 2 321.381 0.057 20 0 DCADLN COC(=O)c1cn(CN2CCOC[C@H]2C[C@H]2CCCO2)nn1 ZINC000902612383 663422413 /nfs/dbraw/zinc/42/24/13/663422413.db2.gz YXWZDXOBYYNMBC-VXGBXAGGSA-N 0 2 310.354 0.292 20 0 DCADLN Cc1cccc(OCCNC(=O)[C@H](C)ON=C(N)CN(C)C)c1 ZINC000902613830 663422426 /nfs/dbraw/zinc/42/24/26/663422426.db2.gz XKBORQSAOFPSGU-ZDUSSCGKSA-N 0 2 322.409 0.939 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC000903417108 663454329 /nfs/dbraw/zinc/45/43/29/663454329.db2.gz VSRZIXDNUVCKNG-DZGCQCFKSA-N 0 2 309.387 0.759 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC000903417108 663454330 /nfs/dbraw/zinc/45/43/30/663454330.db2.gz VSRZIXDNUVCKNG-DZGCQCFKSA-N 0 2 309.387 0.759 20 0 DCADLN C[C@H]([C@H]1C[N@H+](C)CCO1)N1C(=O)N[C@H](C[NH+]2CCCCC2)C1=O ZINC000924804482 663581750 /nfs/dbraw/zinc/58/17/50/663581750.db2.gz GIMDFIXVZJHDEF-MGPQQGTHSA-N 0 2 324.425 0.112 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1(C(F)(F)F)CC1 ZINC000906224509 663603842 /nfs/dbraw/zinc/60/38/42/663603842.db2.gz UMVBEECAGGWAGH-UHFFFAOYSA-N 0 2 315.255 0.625 20 0 DCADLN CCn1ccnc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991085766 663637205 /nfs/dbraw/zinc/63/72/05/663637205.db2.gz QDKIUDUHMFHICE-MRVPVSSYSA-N 0 2 322.262 0.744 20 0 DCADLN CCn1ccnc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000991085766 663637206 /nfs/dbraw/zinc/63/72/06/663637206.db2.gz QDKIUDUHMFHICE-MRVPVSSYSA-N 0 2 322.262 0.744 20 0 DCADLN COc1ccccc1[C@@H](O)C(=O)NCCc1n[nH]c(=S)o1 ZINC000907461563 663699108 /nfs/dbraw/zinc/69/91/08/663699108.db2.gz MFFVDUBCAHRQFD-LLVKDONJSA-N 0 2 309.347 0.759 20 0 DCADLN O=C([O-])[C@@]1(C(=O)N2CC[NH+](CCO)CC2)C[C@@H]1c1ccccc1 ZINC000907736899 663715131 /nfs/dbraw/zinc/71/51/31/663715131.db2.gz UPCYEFRHOAVZHL-PBHICJAKSA-N 0 2 318.373 0.381 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C1(C(=O)[O-])CCOCC1 ZINC000907913810 663723709 /nfs/dbraw/zinc/72/37/09/663723709.db2.gz GZJKCHJMAHPZJF-VXGBXAGGSA-N 0 2 321.377 0.832 20 0 DCADLN CCCN(C(=O)NCCCn1cc[nH+]c1)[C@H](COC)C(=O)[O-] ZINC000908738438 663767535 /nfs/dbraw/zinc/76/75/35/663767535.db2.gz YNHZTHGHDMPDNJ-GFCCVEGCSA-N 0 2 312.370 0.794 20 0 DCADLN C/C=C\C[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000908747445 663767940 /nfs/dbraw/zinc/76/79/40/663767940.db2.gz LXZUHRCQMSJAOG-RXNFCKPNSA-N 0 2 313.398 0.816 20 0 DCADLN O=C([O-])[C@H](CCF)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000908846538 663771956 /nfs/dbraw/zinc/77/19/56/663771956.db2.gz RCXJFODEJHWFFG-NSHDSACASA-N 0 2 312.345 0.874 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](F)CN1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000908846399 663771994 /nfs/dbraw/zinc/77/19/94/663771994.db2.gz MZDLZOKAHDKYFO-JQWIXIFHSA-N 0 2 324.356 0.969 20 0 DCADLN CO[C@H]1CN(C(=O)NCCCCn2cc[nH+]c2)[C@@](C)(C(=O)[O-])C1 ZINC000908862125 663772535 /nfs/dbraw/zinc/77/25/35/663772535.db2.gz LKTAVZBVMAMPIJ-IUODEOHRSA-N 0 2 324.381 0.937 20 0 DCADLN CO[C@H]1CCN(C(=O)NCCCCn2cc[nH+]c2)[C@H](C(=O)[O-])C1 ZINC000908862509 663772545 /nfs/dbraw/zinc/77/25/45/663772545.db2.gz NYTBQVKTQYEMPK-STQMWFEESA-N 0 2 324.381 0.937 20 0 DCADLN CCCN(C(=O)NCC[N@@H+]1CCOCC1(C)C)[C@@H](C)C(=O)[O-] ZINC000908936206 663775201 /nfs/dbraw/zinc/77/52/01/663775201.db2.gz HDTSEYLWIZNVLC-LBPRGKRZSA-N 0 2 315.414 0.992 20 0 DCADLN CCCN(C(=O)NCC[N@H+]1CCOCC1(C)C)[C@@H](C)C(=O)[O-] ZINC000908936206 663775203 /nfs/dbraw/zinc/77/52/03/663775203.db2.gz HDTSEYLWIZNVLC-LBPRGKRZSA-N 0 2 315.414 0.992 20 0 DCADLN Cc1cccn2cc(CC(=O)N[C@@]3(CC(=O)[O-])CCOC3)[nH+]c12 ZINC000909700144 663795317 /nfs/dbraw/zinc/79/53/17/663795317.db2.gz RBSSMCGJOZLLKU-MRXNPFEDSA-N 0 2 317.345 0.935 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H](C)C[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC000909781298 663804447 /nfs/dbraw/zinc/80/44/47/663804447.db2.gz OCEYOYQBMMBFEV-HPCSDQSLSA-N 0 2 310.394 0.977 20 0 DCADLN O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000910235112 663856784 /nfs/dbraw/zinc/85/67/84/663856784.db2.gz CFEOYAHSAIKYKK-JHJVBQTASA-N 0 2 321.377 0.832 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N[C@](C)(Cc2ccc(F)cc2)C(=O)[O-])C1 ZINC000910511249 663893013 /nfs/dbraw/zinc/89/30/13/663893013.db2.gz APMFRFISWHICQJ-XJKSGUPXSA-N 0 2 324.352 0.658 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N[C@](C)(Cc2ccc(F)cc2)C(=O)[O-])C1 ZINC000910511249 663893015 /nfs/dbraw/zinc/89/30/15/663893015.db2.gz APMFRFISWHICQJ-XJKSGUPXSA-N 0 2 324.352 0.658 20 0 DCADLN C[C@H]1CCN(C(=O)Cn2ccc(C(=O)[O-])n2)C[C@H]1n1cc[nH+]c1 ZINC000910687994 663924064 /nfs/dbraw/zinc/92/40/64/663924064.db2.gz QIYMDEWEYMTNLI-WCQYABFASA-N 0 2 317.349 0.888 20 0 DCADLN O=C([O-])[C@@H]1c2ccccc2OCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC000911055242 663973105 /nfs/dbraw/zinc/97/31/05/663973105.db2.gz APYKXCQOCFDZSG-AWEZNQCLSA-N 0 2 301.302 0.999 20 0 DCADLN Cn1cc(C[C@@H](CO)NC(=O)c2cc(F)c(O)c(F)c2)cn1 ZINC000911120617 663991734 /nfs/dbraw/zinc/99/17/34/663991734.db2.gz NVHZNZBHXWJOGH-JTQLQIEISA-N 0 2 311.288 0.737 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)Cn2cc(C(=O)[O-])cn2)C1 ZINC000911588883 664082418 /nfs/dbraw/zinc/08/24/18/664082418.db2.gz QFKVBXXSVMUFHY-LLVKDONJSA-N 0 2 317.349 0.721 20 0 DCADLN CS(=O)(=O)CC1(NC(=O)c2cc(F)c(O)c(F)c2)COC1 ZINC000912258659 664155135 /nfs/dbraw/zinc/15/51/35/664155135.db2.gz NBDLWJZDKNSVOJ-UHFFFAOYSA-N 0 2 321.301 0.214 20 0 DCADLN COc1ccc(C=O)cc1C(=O)NN1C(=O)[C@H](C)N(C)C1=O ZINC000912816541 664234278 /nfs/dbraw/zinc/23/42/78/664234278.db2.gz BIWUNUDSSLZWAC-QMMMGPOBSA-N 0 2 305.290 0.435 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccn2ccccc12 ZINC001030660362 664623077 /nfs/dbraw/zinc/62/30/77/664623077.db2.gz IRKRUFZQRKHVMA-UHFFFAOYSA-N 0 2 312.333 0.377 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC2(CC2)CC1 ZINC001030688423 664634728 /nfs/dbraw/zinc/63/47/28/664634728.db2.gz DSGVUWITGJVACO-UHFFFAOYSA-N 0 2 305.382 0.781 20 0 DCADLN CC[C@H](C)c1cc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001031066748 664783842 /nfs/dbraw/zinc/78/38/42/664783842.db2.gz AJRCQQZNEYOBJK-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2ccco2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992968189 664787226 /nfs/dbraw/zinc/78/72/26/664787226.db2.gz SHHQPZLNSPENRF-NXEZZACHSA-N 0 2 305.338 0.886 20 0 DCADLN CC(C)CCOCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730535818 664790076 /nfs/dbraw/zinc/79/00/76/664790076.db2.gz JNVZZYGDBQHWHI-UHFFFAOYSA-N 0 2 307.354 0.250 20 0 DCADLN CCc1nc(C)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031094712 664790397 /nfs/dbraw/zinc/79/03/97/664790397.db2.gz MGJOFRZRYPJSHR-UHFFFAOYSA-N 0 2 322.394 0.452 20 0 DCADLN C[C@@H](Cc1ccsc1)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730536527 664790476 /nfs/dbraw/zinc/79/04/76/664790476.db2.gz MXPJSGMGKKPDQC-VIFPVBQESA-N 0 2 317.374 0.880 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCc1nc2ccccc2[nH]1 ZINC000730689405 664800450 /nfs/dbraw/zinc/80/04/50/664800450.db2.gz GNVGUVXOZIBIJV-UHFFFAOYSA-N 0 2 324.300 0.691 20 0 DCADLN CCCn1ncc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001031142540 664802812 /nfs/dbraw/zinc/80/28/12/664802812.db2.gz CAGYHJBXVCDDCB-UHFFFAOYSA-N 0 2 319.369 0.039 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccn[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993082433 664803567 /nfs/dbraw/zinc/80/35/67/664803567.db2.gz BTYZUTSNPWOVTD-BDAKNGLRSA-N 0 2 305.342 0.016 20 0 DCADLN C[C@@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1 ZINC001031285638 664967003 /nfs/dbraw/zinc/96/70/03/664967003.db2.gz LMZWQPPMOKRIRN-SNVBAGLBSA-N 0 2 301.350 0.614 20 0 DCADLN C[C@H]1[C@@H](NC(=O)c2ccsn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993487345 664975120 /nfs/dbraw/zinc/97/51/20/664975120.db2.gz FSUPSPHIOJUQEY-IUCAKERBSA-N 0 2 322.394 0.750 20 0 DCADLN Cc1nnc(CN2CCC[C@H](NC(=O)c3cnn[nH]3)[C@@H]2C)[nH]1 ZINC000993542267 664978965 /nfs/dbraw/zinc/97/89/65/664978965.db2.gz NKAFDSHMWXWTKG-WPRPVWTQSA-N 0 2 304.358 0.014 20 0 DCADLN Cc1cc(C)c(CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)s1 ZINC001031326387 665083493 /nfs/dbraw/zinc/08/34/93/665083493.db2.gz PMISYXKCKRWLRO-UHFFFAOYSA-N 0 2 321.406 0.732 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1cnc[nH]c1=O ZINC000994439571 665106869 /nfs/dbraw/zinc/10/68/69/665106869.db2.gz NSZJLELXPROIQT-SSDOTTSWSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cnc[nH]c1=O ZINC000994439571 665106870 /nfs/dbraw/zinc/10/68/70/665106870.db2.gz NSZJLELXPROIQT-SSDOTTSWSA-N 0 2 322.218 0.023 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1C ZINC001038067897 665124031 /nfs/dbraw/zinc/12/40/31/665124031.db2.gz XXJLVBKWYHXEJP-SNVBAGLBSA-N 0 2 304.354 0.162 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1scnc1Cl ZINC001031396728 665134565 /nfs/dbraw/zinc/13/45/65/665134565.db2.gz XIQFMFZCUHTKKR-UHFFFAOYSA-N 0 2 314.758 0.234 20 0 DCADLN CNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCC[NH+]2C(C)C)C1 ZINC001015795954 665258849 /nfs/dbraw/zinc/25/88/49/665258849.db2.gz ZYLWKMIOXKBPCU-ZIAGYGMSSA-N 0 2 310.442 0.186 20 0 DCADLN CC(C)[C@@H]1CCO[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031677057 665283716 /nfs/dbraw/zinc/28/37/16/665283716.db2.gz WKXKUGFXDUJUHS-AAEUAGOBSA-N 0 2 323.397 0.119 20 0 DCADLN O=C(NCCn1cccc1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000921369139 665297955 /nfs/dbraw/zinc/29/79/55/665297955.db2.gz LMCXWWKSJGPWEY-UHFFFAOYSA-N 0 2 304.354 0.901 20 0 DCADLN C[C@H](CO)N(Cc1ccccc1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000921470839 665307655 /nfs/dbraw/zinc/30/76/55/665307655.db2.gz NZIFQELGJGJESY-PWSUYJOCSA-N 0 2 321.402 0.952 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938486165 665370581 /nfs/dbraw/zinc/37/05/81/665370581.db2.gz RMRYKQLRCGEYEB-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1cnoc1)N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938486165 665370584 /nfs/dbraw/zinc/37/05/84/665370584.db2.gz RMRYKQLRCGEYEB-WCBMZHEXSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000997946756 665376557 /nfs/dbraw/zinc/37/65/57/665376557.db2.gz GKEHVWLQKVODMX-KDXUFGMBSA-N 0 2 310.247 0.106 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000997946756 665376559 /nfs/dbraw/zinc/37/65/59/665376559.db2.gz GKEHVWLQKVODMX-KDXUFGMBSA-N 0 2 310.247 0.106 20 0 DCADLN CCc1cccnc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043179289 666069834 /nfs/dbraw/zinc/06/98/34/666069834.db2.gz VWJAAGQJUMIJLC-UHFFFAOYSA-N 0 2 316.365 0.424 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@]12C[C@@H]1CCCC2 ZINC001032831234 666238615 /nfs/dbraw/zinc/23/86/15/666238615.db2.gz XZJAPCMYEAXXEV-PGUXBMHVSA-N 0 2 305.382 0.781 20 0 DCADLN C[C@H]1CO[C@@H](C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001003333774 666296206 /nfs/dbraw/zinc/29/62/06/666296206.db2.gz SXLQGZDFLIPBCE-MWLCHTKSSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1OCC[C@]1(C)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003376215 666300885 /nfs/dbraw/zinc/30/08/85/666300885.db2.gz XOHCOHCOCYQVHF-BONVTDFDSA-N 0 2 323.397 0.406 20 0 DCADLN CN(C(=O)c1ccccc1F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032876484 666367769 /nfs/dbraw/zinc/36/77/69/666367769.db2.gz NOZHCXICOPWUEM-SNVBAGLBSA-N 0 2 319.340 0.996 20 0 DCADLN CN(C(=O)C1CC(C)(C)C1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033052190 666466635 /nfs/dbraw/zinc/46/66/35/666466635.db2.gz UCAJWMAYEAIZFD-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)C1CC(C)(C)C1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033052190 666466636 /nfs/dbraw/zinc/46/66/36/666466636.db2.gz UCAJWMAYEAIZFD-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)s1 ZINC001032253568 666597562 /nfs/dbraw/zinc/59/75/62/666597562.db2.gz JXDSWMNZAGQLTA-UWVGGRQHSA-N 0 2 319.390 0.979 20 0 DCADLN Cc1ccoc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032278538 666661832 /nfs/dbraw/zinc/66/18/32/666661832.db2.gz BQDOVMHSHRPSBN-UWVGGRQHSA-N 0 2 303.322 0.511 20 0 DCADLN CN(C(=O)[C@]1(C)C[C@H]2C[C@H]2C1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033289765 666664052 /nfs/dbraw/zinc/66/40/52/666664052.db2.gz FEDXWAMWLMEOSC-AZKPJATDSA-N 0 2 319.409 0.979 20 0 DCADLN CN(C(=O)[C@]1(C)C[C@H]2C[C@H]2C1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033289765 666664055 /nfs/dbraw/zinc/66/40/55/666664055.db2.gz FEDXWAMWLMEOSC-AZKPJATDSA-N 0 2 319.409 0.979 20 0 DCADLN CCc1oncc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033467816 666721330 /nfs/dbraw/zinc/72/13/30/666721330.db2.gz SWGRQRWSMZEDDV-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN CCn1ccc(C(=O)N(C)[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001033471978 666725688 /nfs/dbraw/zinc/72/56/88/666725688.db2.gz PYFGMZJWSGTXDV-JTQLQIEISA-N 0 2 319.369 0.073 20 0 DCADLN CCN(C(=O)[C@H]1CCCO1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033742797 666813875 /nfs/dbraw/zinc/81/38/75/666813875.db2.gz PGQDWKQJNIMEBX-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN CCN(C(=O)[C@H]1CCCO1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033742797 666813878 /nfs/dbraw/zinc/81/38/78/666813878.db2.gz PGQDWKQJNIMEBX-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN CCN(C(=O)c1ccoc1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033750253 666815525 /nfs/dbraw/zinc/81/55/25/666815525.db2.gz QQBPBWZMTUGATI-LLVKDONJSA-N 0 2 305.338 0.840 20 0 DCADLN CCOC[C@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000733764643 666816873 /nfs/dbraw/zinc/81/68/73/666816873.db2.gz RYUWDSWIWXOWOB-NSHDSACASA-N 0 2 319.365 0.346 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCOCC1 ZINC001034077634 666912635 /nfs/dbraw/zinc/91/26/35/666912635.db2.gz VNPQNOSFPVVBHH-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCOCC1 ZINC001034077634 666912639 /nfs/dbraw/zinc/91/26/39/666912639.db2.gz VNPQNOSFPVVBHH-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CC1(C)C(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)C1(C)C ZINC001032336544 666966135 /nfs/dbraw/zinc/96/61/35/666966135.db2.gz FXSIBGABILREMY-UWVGGRQHSA-N 0 2 319.409 0.978 20 0 DCADLN O=C(C1CC(F)(F)C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032347092 667001537 /nfs/dbraw/zinc/00/15/37/667001537.db2.gz GIDDPBPYDLDGFF-IUCAKERBSA-N 0 2 313.308 0.341 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccncn1 ZINC001034447658 667022862 /nfs/dbraw/zinc/02/28/62/667022862.db2.gz JZAYCGYDBVJNJE-SNVBAGLBSA-N 0 2 317.353 0.085 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccncn1 ZINC001034447658 667022866 /nfs/dbraw/zinc/02/28/66/667022866.db2.gz JZAYCGYDBVJNJE-SNVBAGLBSA-N 0 2 317.353 0.085 20 0 DCADLN CC1(C)CCC[C@](CO)(NC(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000862846454 667087227 /nfs/dbraw/zinc/08/72/27/667087227.db2.gz OZHYXUMNQRDHPT-OTYXRUKQSA-N 0 2 313.423 0.990 20 0 DCADLN Nc1ncnc2c1ncn2CCNC(=O)C(F)C(F)(F)F ZINC000862972999 667104057 /nfs/dbraw/zinc/10/40/57/667104057.db2.gz SEHPNUNFEJNLTC-ZCFIWIBFSA-N 0 2 306.223 0.425 20 0 DCADLN Nc1ncnc2c1ncn2CCNC(=O)[C@@H](F)C(F)(F)F ZINC000862972999 667104058 /nfs/dbraw/zinc/10/40/58/667104058.db2.gz SEHPNUNFEJNLTC-ZCFIWIBFSA-N 0 2 306.223 0.425 20 0 DCADLN C[C@H](NC(=O)c1ccn[nH]1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001005725544 667153196 /nfs/dbraw/zinc/15/31/96/667153196.db2.gz RAZFOELPKSPMME-RCOVLWMOSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@H](NC(=O)c1ccn[nH]1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001005725544 667153200 /nfs/dbraw/zinc/15/32/00/667153200.db2.gz RAZFOELPKSPMME-RCOVLWMOSA-N 0 2 322.262 0.887 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCCC2(CC2)CC1 ZINC000863643238 667165706 /nfs/dbraw/zinc/16/57/06/667165706.db2.gz SRAPTHFBZDAEDH-UHFFFAOYSA-N 0 2 301.350 0.864 20 0 DCADLN Cc1nccn1CC(=O)N1CCC([NH2+]Cc2cnsn2)CC1 ZINC000997309651 667245028 /nfs/dbraw/zinc/24/50/28/667245028.db2.gz NLLRBXYGAHCKCA-UHFFFAOYSA-N 0 2 320.422 0.824 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@@H]1CC[N@H+](CCn2cccn2)C1 ZINC001015995854 667292398 /nfs/dbraw/zinc/29/23/98/667292398.db2.gz BATOGIKFBXASEZ-CYBMUJFWSA-N 0 2 302.382 0.050 20 0 DCADLN CC1(C)CC(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)C1 ZINC001035360371 667314365 /nfs/dbraw/zinc/31/43/65/667314365.db2.gz DBHXBIFNZKRHQT-NSHDSACASA-N 0 2 323.397 0.264 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1(F)CCCC1 ZINC001032489059 667316432 /nfs/dbraw/zinc/31/64/32/667316432.db2.gz TYFUVRARJKIPFR-UWVGGRQHSA-N 0 2 309.345 0.578 20 0 DCADLN O=C(CNS(=O)(=O)N=S1(=O)CCCC1)N1CCCCC1 ZINC000866493955 667378505 /nfs/dbraw/zinc/37/85/05/667378505.db2.gz DTHTXIDUIGIPKP-UHFFFAOYSA-N 0 2 323.440 0.095 20 0 DCADLN O=C(c1ccco1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035637252 667387889 /nfs/dbraw/zinc/38/78/89/667387889.db2.gz FOUIFYDAMDYTEY-UHFFFAOYSA-N 0 2 317.349 0.842 20 0 DCADLN CSCC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035644416 667397018 /nfs/dbraw/zinc/39/70/18/667397018.db2.gz YFBAZSUMWBDWBL-UHFFFAOYSA-N 0 2 311.411 0.298 20 0 DCADLN Cc1n[nH]cc1C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005800237 667411996 /nfs/dbraw/zinc/41/19/96/667411996.db2.gz URUONDDQRKEOHD-UHFFFAOYSA-N 0 2 319.369 0.278 20 0 DCADLN O=C(/C=C/CN1CCOCC1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000867919981 667517734 /nfs/dbraw/zinc/51/77/34/667517734.db2.gz GMCQLTSRDLPTBC-OWOJBTEDSA-N 0 2 321.381 0.105 20 0 DCADLN COC(=O)[C@@H](F)CNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000838075401 667671833 /nfs/dbraw/zinc/67/18/33/667671833.db2.gz NEXNYBBFSXMJNI-BQBZGAKWSA-N 0 2 316.251 0.317 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H](C2CC2)[C@H]2CCCOC2)S1 ZINC000885458702 667676832 /nfs/dbraw/zinc/67/68/32/667676832.db2.gz MHJQGVPKMSQPAS-NHCYSSNCSA-N 0 2 311.407 0.864 20 0 DCADLN O=C(NC[C@@H]1CC[N@@H+]1C1CCOCC1)[C@@H]1CCc2[nH+]ccn2C1 ZINC000885866055 667713381 /nfs/dbraw/zinc/71/33/81/667713381.db2.gz RCLVGQFAIDFLBA-HIFRSBDPSA-N 0 2 318.421 0.815 20 0 DCADLN COc1ccc([C@@H]2CN(C(=O)CCc3nn[nH]n3)CCN2)cc1 ZINC000870144020 667714228 /nfs/dbraw/zinc/71/42/28/667714228.db2.gz AYSKUBJWBBAPCJ-ZDUSSCGKSA-N 0 2 316.365 0.314 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCO[C@@H](C(F)F)CC2)S1 ZINC000870645471 667749499 /nfs/dbraw/zinc/74/94/99/667749499.db2.gz GXNBRAMFXCUDKO-RQJHMYQMSA-N 0 2 307.322 0.425 20 0 DCADLN COC(=O)NCC(=O)Nc1cc(N2CC[NH+](C)CC2)cc[nH+]1 ZINC000870667605 667750829 /nfs/dbraw/zinc/75/08/29/667750829.db2.gz GVHZQWLITYOCQG-UHFFFAOYSA-N 0 2 307.354 0.128 20 0 DCADLN CO[C@H]1CC[C@H](C)N(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000870716549 667753515 /nfs/dbraw/zinc/75/35/15/667753515.db2.gz WFJUHXGDHQNKNR-UWVGGRQHSA-N 0 2 305.338 0.097 20 0 DCADLN Cc1cccc(CN(C)C(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000741286545 667766319 /nfs/dbraw/zinc/76/63/19/667766319.db2.gz XOSODHFLUDPTBK-UHFFFAOYSA-N 0 2 312.333 0.433 20 0 DCADLN CNC(=O)Cc1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000742520034 667793289 /nfs/dbraw/zinc/79/32/89/667793289.db2.gz BMAIQZKAZYMSMD-JTQLQIEISA-N 0 2 320.374 0.470 20 0 DCADLN COC(=O)C1(NC(=O)C[C@H]2SC(=N)NC2=O)CCCCC1 ZINC000742565188 667793706 /nfs/dbraw/zinc/79/37/06/667793706.db2.gz WXXAEDSZEGAZRG-MRVPVSSYSA-N 0 2 313.379 0.535 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnn(-c3ccccn3)c2)S1 ZINC000742696780 667797304 /nfs/dbraw/zinc/79/73/04/667797304.db2.gz UHKUFQQQEFFAPR-SECBINFHSA-N 0 2 316.346 0.762 20 0 DCADLN Cc1noc(C)c1C[C@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742696275 667797413 /nfs/dbraw/zinc/79/74/13/667797413.db2.gz ZOESCLCZCAYFSJ-WKEGUHRASA-N 0 2 310.379 0.895 20 0 DCADLN COC(=O)[C@H](CNC(=O)C[C@H]1SC(=N)NC1=O)CC(C)C ZINC000742700865 667798181 /nfs/dbraw/zinc/79/81/81/667798181.db2.gz IIKKXYFIOINETG-DTWKUNHWSA-N 0 2 315.395 0.494 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@H](O)[C@@H]3CCCC[C@H]32)S1 ZINC000871197040 667800749 /nfs/dbraw/zinc/80/07/49/667800749.db2.gz FHPLQAMDXNXWHC-ZNSHCXBVSA-N 0 2 311.407 0.695 20 0 DCADLN Cc1nnc(CN(C)CC(=O)NOCCCC(F)(F)F)n1C ZINC000871211654 667800921 /nfs/dbraw/zinc/80/09/21/667800921.db2.gz XTOSKMWGNWIZLC-UHFFFAOYSA-N 0 2 323.319 0.946 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC(n2cncn2)C1 ZINC000743332437 667806646 /nfs/dbraw/zinc/80/66/46/667806646.db2.gz QIIJGZSSSKEBOH-UHFFFAOYSA-N 0 2 310.317 0.867 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc3c(c2)OCO3)S1 ZINC000745415047 667847258 /nfs/dbraw/zinc/84/72/58/667847258.db2.gz BPVGFAAWRJUNDT-JTQLQIEISA-N 0 2 307.331 0.588 20 0 DCADLN CN(C)c1ncccc1CNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000745435938 667847574 /nfs/dbraw/zinc/84/75/74/667847574.db2.gz VWNXFKXRHDLZSQ-VIFPVBQESA-N 0 2 307.379 0.320 20 0 DCADLN CN(C)c1ccc([C@@H]2C[C@H]2C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000748419443 667927941 /nfs/dbraw/zinc/92/79/41/667927941.db2.gz QJZORSVGRWSMHP-NWDGAFQWSA-N 0 2 301.350 0.996 20 0 DCADLN CN(C(=O)C[C@H]1SC(=N)NC1=O)[C@@H]1CCCc2c1cnn2C ZINC000749071905 667945821 /nfs/dbraw/zinc/94/58/21/667945821.db2.gz HXNYRUWXBAXFAT-MWLCHTKSSA-N 0 2 321.406 0.812 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N[C@H]3C[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873065675 667969176 /nfs/dbraw/zinc/96/91/76/667969176.db2.gz JHOIWAISEGTCHS-WHOHXGKFSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N[C@H]3C[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873065675 667969179 /nfs/dbraw/zinc/96/91/79/667969179.db2.gz JHOIWAISEGTCHS-WHOHXGKFSA-N 0 2 306.366 0.959 20 0 DCADLN COc1ccc(C(=O)NC(=O)CN2CCc3cn[nH]c3C2)cc1 ZINC000750719972 667985821 /nfs/dbraw/zinc/98/58/21/667985821.db2.gz JMXAQZSQDXDSCM-UHFFFAOYSA-N 0 2 314.345 0.733 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2COC3=O)S1 ZINC000752866598 668022980 /nfs/dbraw/zinc/02/29/80/668022980.db2.gz PYWBYIPHGVGDQY-VIFPVBQESA-N 0 2 305.315 0.852 20 0 DCADLN CC(C)(C)OC(=O)N(CC(=O)NCc1n[nH]c(=O)[nH]1)C1CC1 ZINC000753113768 668026165 /nfs/dbraw/zinc/02/61/65/668026165.db2.gz CEJWETPWHOPTAQ-UHFFFAOYSA-N 0 2 311.342 0.526 20 0 DCADLN CCCCCN(CCO)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000757161060 668114870 /nfs/dbraw/zinc/11/48/70/668114870.db2.gz RLCSHGGIFYGODN-UHFFFAOYSA-N 0 2 307.354 0.082 20 0 DCADLN CCc1noc(C)c1COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000758028888 668154834 /nfs/dbraw/zinc/15/48/34/668154834.db2.gz YHRNTFRLQFUIDZ-UHFFFAOYSA-N 0 2 317.305 0.673 20 0 DCADLN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)Nc1ncccn1 ZINC000758407715 668173399 /nfs/dbraw/zinc/17/33/99/668173399.db2.gz NYTYNOSRKNHIDC-UHFFFAOYSA-N 0 2 311.323 0.486 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@@H]2c3ccccc3C[C@@H]2O)S1 ZINC000759623462 668229393 /nfs/dbraw/zinc/22/93/93/668229393.db2.gz UPCWMGLUXDNOFT-JBLDHEPKSA-N 0 2 305.359 0.317 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H](c1cccnc1)C1CC1 ZINC000760009466 668249900 /nfs/dbraw/zinc/24/99/00/668249900.db2.gz GBUXKXCSQXBWGD-AWEZNQCLSA-N 0 2 324.344 0.734 20 0 DCADLN C[C@H]1CC2(CCC2)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000762533713 668365732 /nfs/dbraw/zinc/36/57/32/668365732.db2.gz WDHJFFPLJNMPQZ-JTQLQIEISA-N 0 2 301.350 0.862 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCOCC12CCCC2 ZINC000762717409 668373646 /nfs/dbraw/zinc/37/36/46/668373646.db2.gz MXDMUDRZHOTPCI-UHFFFAOYSA-N 0 2 317.349 0.243 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1COc2ccccc2O1 ZINC000762999094 668390204 /nfs/dbraw/zinc/39/02/04/668390204.db2.gz WUNNKFAQJDNRMN-JTQLQIEISA-N 0 2 307.331 0.857 20 0 DCADLN Cn1nccc1[C@H]1CCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000928376307 668578401 /nfs/dbraw/zinc/57/84/01/668578401.db2.gz ZPDAUPBPTBUSFM-ZJUUUORDSA-N 0 2 307.379 0.640 20 0 DCADLN CCN(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(C)(C)C(=O)[O-] ZINC000929014721 668644048 /nfs/dbraw/zinc/64/40/48/668644048.db2.gz KUVQQRXSGOTIGQ-UHFFFAOYSA-N 0 2 315.414 0.992 20 0 DCADLN CCN(C(=O)NCC[N@H+]1CCOC(C)(C)C1)C(C)(C)C(=O)[O-] ZINC000929014721 668644054 /nfs/dbraw/zinc/64/40/54/668644054.db2.gz KUVQQRXSGOTIGQ-UHFFFAOYSA-N 0 2 315.414 0.992 20 0 DCADLN C[C@H](Cc1cccc(O)c1)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000769430714 668648254 /nfs/dbraw/zinc/64/82/54/668648254.db2.gz LYKSKXOBBHWLMZ-KCJUWKMLSA-N 0 2 307.375 0.996 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(C)c2C2CC2)cc1C(N)=O ZINC000769870562 668668149 /nfs/dbraw/zinc/66/81/49/668668149.db2.gz LPXAPOADRQABQQ-UHFFFAOYSA-N 0 2 323.378 0.536 20 0 DCADLN CC(C)[C@@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000772491276 668774654 /nfs/dbraw/zinc/77/46/54/668774654.db2.gz SOBOUHNDDDCJNB-JQWIXIFHSA-N 0 2 319.365 0.391 20 0 DCADLN CCOc1ccccc1C(=O)NCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774882740 668849831 /nfs/dbraw/zinc/84/98/31/668849831.db2.gz JRUBHYZWHGXTOA-UHFFFAOYSA-N 0 2 320.305 0.382 20 0 DCADLN O=C(CN1c2ccccc2OCCC1=O)OCc1n[nH]c(=O)[nH]1 ZINC000774909707 668851137 /nfs/dbraw/zinc/85/11/37/668851137.db2.gz ULUJAZIDVOQXSK-UHFFFAOYSA-N 0 2 318.289 0.369 20 0 DCADLN O=C(Cc1cccc(F)c1)NCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774930340 668852064 /nfs/dbraw/zinc/85/20/64/668852064.db2.gz GLMHUTLFGMFFMQ-UHFFFAOYSA-N 0 2 308.269 0.052 20 0 DCADLN O=C(CNC(=O)c1cc2ccccc2[nH]1)OCc1n[nH]c(=O)[nH]1 ZINC000774957726 668852520 /nfs/dbraw/zinc/85/25/20/668852520.db2.gz GYFHSWAHMAJGCQ-UHFFFAOYSA-N 0 2 315.289 0.465 20 0 DCADLN CCN(C)S(=O)(=O)[N-]C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000776093842 668870858 /nfs/dbraw/zinc/87/08/58/668870858.db2.gz GRERBEBVMHOLTE-UHFFFAOYSA-N 0 2 308.363 0.799 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCS(C)(=O)=O)cn1 ZINC000778510486 668900569 /nfs/dbraw/zinc/90/05/69/668900569.db2.gz BZVKPCHUFLEPCB-UHFFFAOYSA-N 0 2 311.367 0.068 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc2c(c1)NC(=O)CO2 ZINC000779540828 668912558 /nfs/dbraw/zinc/91/25/58/668912558.db2.gz UIUJRPIKWLEQCO-UHFFFAOYSA-N 0 2 320.330 0.662 20 0 DCADLN CC(C)(C)[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000780342286 668979926 /nfs/dbraw/zinc/97/99/26/668979926.db2.gz XRMBJHCMUCSQHD-LLVKDONJSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@@]1(C(=O)[O-])CCCN1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000780543287 668993018 /nfs/dbraw/zinc/99/30/18/668993018.db2.gz KJGBUAVGVONBMM-HNNXBMFYSA-N 0 2 313.398 0.746 20 0 DCADLN C[C@@]1(C(=O)[O-])CCCN1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000780543287 668993022 /nfs/dbraw/zinc/99/30/22/668993022.db2.gz KJGBUAVGVONBMM-HNNXBMFYSA-N 0 2 313.398 0.746 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC2(CO)Cc3ccccc3C2)S1 ZINC000781098779 669030583 /nfs/dbraw/zinc/03/05/83/669030583.db2.gz FJUXZQXFGYRFJN-LLVKDONJSA-N 0 2 319.386 0.189 20 0 DCADLN COc1ccc(Cn2cc(C(=O)NN3CN=NC3=O)cn2)cc1 ZINC000782128393 669081671 /nfs/dbraw/zinc/08/16/71/669081671.db2.gz XUUZCDQXMYYUPE-UHFFFAOYSA-N 0 2 314.305 0.621 20 0 DCADLN CCC[C@]1(C)C(=O)NCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000783874092 669179550 /nfs/dbraw/zinc/17/95/50/669179550.db2.gz ITKCEZNPFRZIFF-AMIZOPFISA-N 0 2 312.395 0.060 20 0 DCADLN COc1cccc(Cn2cc(CNC(=O)C(F)(F)F)nn2)n1 ZINC000881294278 669319541 /nfs/dbraw/zinc/31/95/41/669319541.db2.gz XTZWGPIWABKQKE-UHFFFAOYSA-N 0 2 315.255 0.909 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCCC1CCOCC1 ZINC000789547409 669500135 /nfs/dbraw/zinc/50/01/35/669500135.db2.gz CFYBRDXLWVVTIZ-UHFFFAOYSA-N 0 2 320.349 0.821 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cccc2ocnc21 ZINC000791000077 669587050 /nfs/dbraw/zinc/58/70/50/669587050.db2.gz BHZJGWAIBSRESV-UHFFFAOYSA-N 0 2 310.273 0.851 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)[C@@H](C)C1 ZINC000130958205 669647566 /nfs/dbraw/zinc/64/75/66/669647566.db2.gz YHRLTLXVXSPHGB-DTORHVGOSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)[C@@H](C)C1 ZINC000130958205 669647571 /nfs/dbraw/zinc/64/75/71/669647571.db2.gz YHRLTLXVXSPHGB-DTORHVGOSA-N 0 2 316.379 0.999 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H](C)C(=O)N(C)c1ccccc1 ZINC000883414425 669681648 /nfs/dbraw/zinc/68/16/48/669681648.db2.gz WNXHKNIRHQRSIM-GFCCVEGCSA-N 0 2 322.361 0.988 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)N(C)c1ccccc1 ZINC000883414425 669681653 /nfs/dbraw/zinc/68/16/53/669681653.db2.gz WNXHKNIRHQRSIM-GFCCVEGCSA-N 0 2 322.361 0.988 20 0 DCADLN C[C@H](CONC(=O)CC1OCCO1)NC(=O)OC(C)(C)C ZINC000935161248 669688111 /nfs/dbraw/zinc/68/81/11/669688111.db2.gz QDMHCYGYSCYVKE-SECBINFHSA-N 0 2 304.343 0.710 20 0 DCADLN O=C([N-]OC/C=C\Cl)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000936058521 669818515 /nfs/dbraw/zinc/81/85/15/669818515.db2.gz ISCGDLDJBZTPQZ-XSYPRPMDSA-N 0 2 304.774 0.667 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@@H]1CC[C@H](C)O1 ZINC000158987845 669931962 /nfs/dbraw/zinc/93/19/62/669931962.db2.gz TXRGDKDQGNNEED-ONGXEEELSA-N 0 2 305.334 0.984 20 0 DCADLN CSCCO[N-]C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000797376295 669967000 /nfs/dbraw/zinc/96/70/00/669967000.db2.gz ODLJZMPNCLQEQE-UHFFFAOYSA-N 0 2 312.395 0.805 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(N3CCC3=O)cc2)S1 ZINC000799039595 670034743 /nfs/dbraw/zinc/03/47/43/670034743.db2.gz JGXCBFJTBSKJIJ-SNVBAGLBSA-N 0 2 318.358 0.918 20 0 DCADLN COCC1(COC(=O)Cc2c(C)nc3cc[nH]n3c2=O)CC1 ZINC000799662704 670067611 /nfs/dbraw/zinc/06/76/11/670067611.db2.gz GDODDJOEQQSSFW-UHFFFAOYSA-N 0 2 305.334 0.843 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)O1 ZINC000948604185 670139351 /nfs/dbraw/zinc/13/93/51/670139351.db2.gz RBOPVFSRWCWCRQ-WDEREUQCSA-N 0 2 309.370 0.112 20 0 DCADLN Cn1ncc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000951097351 670195122 /nfs/dbraw/zinc/19/51/22/670195122.db2.gz KDWOJYHUUBPRJF-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1ncc(C(=O)NC[C@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)n1 ZINC000951097351 670195123 /nfs/dbraw/zinc/19/51/23/670195123.db2.gz KDWOJYHUUBPRJF-HTRCEHHLSA-N 0 2 323.250 0.046 20 0 DCADLN CCN(C(=O)c1cc(C)co1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951209896 670218205 /nfs/dbraw/zinc/21/82/05/670218205.db2.gz KGRRTFBZVDFFQO-UHFFFAOYSA-N 0 2 305.338 0.758 20 0 DCADLN O=C(COC1CCCCC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949031933 670242636 /nfs/dbraw/zinc/24/26/36/670242636.db2.gz BAKHUEHWHKSAHC-UHFFFAOYSA-N 0 2 323.397 0.504 20 0 DCADLN CCOC1CC2(C1)CCN(C(=O)C[C@H]1SC(=N)NC1=O)C2 ZINC000804629404 670301921 /nfs/dbraw/zinc/30/19/21/670301921.db2.gz RRCQVQPWCVXVLY-OPASDULOSA-N 0 2 311.407 0.960 20 0 DCADLN COCC(C)(C)CCCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000807801086 670376153 /nfs/dbraw/zinc/37/61/53/670376153.db2.gz RCWDDTUMVIVRAV-UHFFFAOYSA-N 0 2 321.381 0.640 20 0 DCADLN Cc1nc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c(C)s1 ZINC000949807333 670436751 /nfs/dbraw/zinc/43/67/51/670436751.db2.gz QVRJQZVXHTZILG-UHFFFAOYSA-N 0 2 322.394 0.542 20 0 DCADLN Cc1ncccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949944170 670467903 /nfs/dbraw/zinc/46/79/03/670467903.db2.gz KNFFNOGDZYPTTE-UHFFFAOYSA-N 0 2 302.338 0.172 20 0 DCADLN CN(C)c1cc(C(=O)NN2CC(=O)NC2=O)nc2ccccc21 ZINC000809968367 670470181 /nfs/dbraw/zinc/47/01/81/670470181.db2.gz APFMIGKRWLDBPY-UHFFFAOYSA-N 0 2 313.317 0.497 20 0 DCADLN CCN(C(=O)C1CC(C)(C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000950010983 670481144 /nfs/dbraw/zinc/48/11/44/670481144.db2.gz SZKRSGZKDDKMAD-UHFFFAOYSA-N 0 2 307.398 0.979 20 0 DCADLN CN(CCN1CCCS1(=O)=O)C(=O)C(F)C(F)(F)F ZINC000810465842 670486114 /nfs/dbraw/zinc/48/61/14/670486114.db2.gz SWIFZBDCRFNORJ-SSDOTTSWSA-N 0 2 306.281 0.381 20 0 DCADLN CN(CCN1CCCS1(=O)=O)C(=O)[C@@H](F)C(F)(F)F ZINC000810465842 670486116 /nfs/dbraw/zinc/48/61/16/670486116.db2.gz SWIFZBDCRFNORJ-SSDOTTSWSA-N 0 2 306.281 0.381 20 0 DCADLN Cc1nc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c(C)o1 ZINC000952386704 670485961 /nfs/dbraw/zinc/48/59/61/670485961.db2.gz TZTVKDNCBWERFM-UHFFFAOYSA-N 0 2 306.326 0.073 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C(=O)N1c1cnn(C)c1 ZINC000810454366 670486308 /nfs/dbraw/zinc/48/63/08/670486308.db2.gz JNTZCFUVDJQFNU-BWVDBABLSA-N 0 2 322.262 0.931 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C(=O)N1c1cnn(C)c1 ZINC000810454366 670486311 /nfs/dbraw/zinc/48/63/11/670486311.db2.gz JNTZCFUVDJQFNU-BWVDBABLSA-N 0 2 322.262 0.931 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@H](C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952394256 670487437 /nfs/dbraw/zinc/48/74/37/670487437.db2.gz IOJMHCKKAYOFNZ-QWRGUYRKSA-N 0 2 307.398 0.979 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cnn3ncccc23)C1=O ZINC000813546103 670635319 /nfs/dbraw/zinc/63/53/19/670635319.db2.gz CPAGPRFEFCNTPX-CYBMUJFWSA-N 0 2 302.294 0.095 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCS(=O)(=O)C[C@@H]1C1CC1 ZINC000854812106 670637789 /nfs/dbraw/zinc/63/77/89/670637789.db2.gz ZIZLVERODBZDLR-HTQZYQBOSA-N 0 2 303.277 0.922 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCS(=O)(=O)C[C@@H]1C1CC1 ZINC000854812106 670637791 /nfs/dbraw/zinc/63/77/91/670637791.db2.gz ZIZLVERODBZDLR-HTQZYQBOSA-N 0 2 303.277 0.922 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCn2nncc2C1 ZINC000848269854 670661368 /nfs/dbraw/zinc/66/13/68/670661368.db2.gz LZXKLHSISXVOTG-VIFPVBQESA-N 0 2 319.287 0.323 20 0 DCADLN C[C@@H](CNC(=O)C[C@H]1SC(=N)NC1=O)C(=O)OC(C)(C)C ZINC000848309587 670667959 /nfs/dbraw/zinc/66/79/59/670667959.db2.gz PRWCGEYPFUOZEL-JGVFFNPUSA-N 0 2 315.395 0.637 20 0 DCADLN C[C@]1(C2CC2)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO1 ZINC000855298202 670696160 /nfs/dbraw/zinc/69/61/60/670696160.db2.gz ZVVLYQSFTYWXIO-OAHLLOKOSA-N 0 2 317.349 0.099 20 0 DCADLN Cc1ccc2c(c1)CN(C(=O)Cn1[nH]cc3c(=O)ncnc1-3)CC2 ZINC000855379512 670703074 /nfs/dbraw/zinc/70/30/74/670703074.db2.gz SIYDMCXCYQEXPT-UHFFFAOYSA-N 0 2 323.356 0.965 20 0 DCADLN COCCN(CCF)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000855593812 670734196 /nfs/dbraw/zinc/73/41/96/670734196.db2.gz KJDIACPFMRQZGM-UHFFFAOYSA-N 0 2 302.268 0.888 20 0 DCADLN CC1CCC(O)(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000856474667 670823824 /nfs/dbraw/zinc/82/38/24/670823824.db2.gz GFWJNUZOHQXSGO-UHFFFAOYSA-N 0 2 319.365 0.129 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)N2CCC[C@H](c3nn[nH]n3)C2)C1 ZINC000857860854 670955720 /nfs/dbraw/zinc/95/57/20/670955720.db2.gz MOOXVZNJMBXVOI-JFGNBEQYSA-N 0 2 315.381 0.995 20 0 DCADLN Cn1ncc2c1CN(C(=O)C(C)(C)NC(=O)C(F)(F)F)C2 ZINC000857882410 670957885 /nfs/dbraw/zinc/95/78/85/670957885.db2.gz KEHOFERBDORYBD-UHFFFAOYSA-N 0 2 304.272 0.719 20 0 DCADLN C[NH+]1CCN(C(=O)c2ccc(C[N-]C(=O)C(F)(F)F)o2)CC1 ZINC000858089215 670970940 /nfs/dbraw/zinc/97/09/40/670970940.db2.gz KMOKBDHQCDAWSK-UHFFFAOYSA-N 0 2 319.283 0.846 20 0 DCADLN O=C(N[C@@H](CO)CN1CCOCC1)c1cccc(Cl)c1O ZINC000820017088 671155470 /nfs/dbraw/zinc/15/54/70/671155470.db2.gz YBLWOYMBDUVKNW-SNVBAGLBSA-N 0 2 314.769 0.469 20 0 DCADLN CCn1ncn(NC(=O)c2cn[nH]c2-c2ccccc2F)c1=O ZINC000820392318 671198195 /nfs/dbraw/zinc/19/81/95/671198195.db2.gz WQBXILANAVCULY-UHFFFAOYSA-N 0 2 316.296 0.978 20 0 DCADLN CNC(=O)CCOC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860583252 671220692 /nfs/dbraw/zinc/22/06/92/671220692.db2.gz MWHFALZXHYVLFY-UHFFFAOYSA-N 0 2 322.239 0.751 20 0 DCADLN NC(=O)OCCNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860580330 671220736 /nfs/dbraw/zinc/22/07/36/671220736.db2.gz ZINOQKKJPNOYNT-UHFFFAOYSA-N 0 2 323.227 0.697 20 0 DCADLN C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)CSc1n[nH]c(=O)[n-]1 ZINC000826704282 671497228 /nfs/dbraw/zinc/49/72/28/671497228.db2.gz REDARDASPGANLK-NSHDSACASA-N 0 2 319.390 0.905 20 0 DCADLN C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)CSc1n[nH]c(=O)[n-]1 ZINC000826704282 671497229 /nfs/dbraw/zinc/49/72/29/671497229.db2.gz REDARDASPGANLK-NSHDSACASA-N 0 2 319.390 0.905 20 0 DCADLN COc1nc(C(=O)N2CC[C@](C)(NC(=O)C(F)(F)F)C2)co1 ZINC000830789705 671640269 /nfs/dbraw/zinc/64/02/69/671640269.db2.gz KTCVYGBYTBCVPO-NSHDSACASA-N 0 2 321.255 0.966 20 0 DCADLN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)CCc2c[nH]nn2)C1 ZINC000830796153 671640803 /nfs/dbraw/zinc/64/08/03/671640803.db2.gz XDHPYLOFSMMAQH-NSHDSACASA-N 0 2 319.287 0.407 20 0 DCADLN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)CCc2cn[nH]n2)C1 ZINC000830796153 671640804 /nfs/dbraw/zinc/64/08/04/671640804.db2.gz XDHPYLOFSMMAQH-NSHDSACASA-N 0 2 319.287 0.407 20 0 DCADLN O=C(CN1C(=O)Cc2ccccc21)NCCc1n[nH]c(=S)o1 ZINC000832364297 671716304 /nfs/dbraw/zinc/71/63/04/671716304.db2.gz ZGKGFACCRUBXBB-UHFFFAOYSA-N 0 2 318.358 0.606 20 0 DCADLN O=C([O-])CC1(CS(=O)(=O)NCCNc2cccc[nH+]2)CC1 ZINC000833202976 671746686 /nfs/dbraw/zinc/74/66/86/671746686.db2.gz VPOLCXHVKMINCC-UHFFFAOYSA-N 0 2 313.379 0.668 20 0 DCADLN CCCO[N-]C(=O)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000834353591 671756624 /nfs/dbraw/zinc/75/66/24/671756624.db2.gz UBROYNKDVMSDQV-UHFFFAOYSA-N 0 2 322.365 0.623 20 0 DCADLN Cn1[nH]c(C2CCN(C(=O)C(F)C(F)(F)F)CC2)nc1=N ZINC000834862191 671785026 /nfs/dbraw/zinc/78/50/26/671785026.db2.gz USROSFPXMDVZIK-SSDOTTSWSA-N 0 2 309.267 0.834 20 0 DCADLN Cn1[nH]c(C2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)nc1=N ZINC000834862191 671785027 /nfs/dbraw/zinc/78/50/27/671785027.db2.gz USROSFPXMDVZIK-SSDOTTSWSA-N 0 2 309.267 0.834 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)N[C@H](CO)c1ccsc1 ZINC000867119654 671793572 /nfs/dbraw/zinc/79/35/72/671793572.db2.gz POYAIHXGJRZUDK-VVVCHXIZSA-N 0 2 312.438 0.734 20 0 DCADLN CN(C(=O)C[C@@H]1SC(=N)NC1=O)[C@@H](CO)c1ccccc1 ZINC000836798521 671832132 /nfs/dbraw/zinc/83/21/32/671832132.db2.gz APXSHRZXGNIPFN-QWRGUYRKSA-N 0 2 307.375 0.735 20 0 DCADLN COC(=O)[C@@]1(C)CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000840555489 671894417 /nfs/dbraw/zinc/89/44/17/671894417.db2.gz GQHBADLHJGJTHP-SDBXPKJASA-N 0 2 313.379 0.345 20 0 DCADLN COc1ncc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000843877767 672126682 /nfs/dbraw/zinc/12/66/82/672126682.db2.gz IFVOMMYNHWJZGY-MRVPVSSYSA-N 0 2 304.310 0.329 20 0 DCADLN O=C(COCc1ccccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000843877813 672126964 /nfs/dbraw/zinc/12/69/64/672126964.db2.gz IMVXYLNXOVLVKY-LLVKDONJSA-N 0 2 317.349 0.828 20 0 DCADLN CCN(OC)C(=O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000844064681 672144321 /nfs/dbraw/zinc/14/43/21/672144321.db2.gz WGLOJFBIHLUHFT-UHFFFAOYSA-N 0 2 318.333 0.718 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)C[C@H]1CCOC1)n1cc[nH+]c1 ZINC000845504830 672254112 /nfs/dbraw/zinc/25/41/12/672254112.db2.gz XQXBGQOUKPBECG-QWRGUYRKSA-N 0 2 301.368 0.317 20 0 DCADLN CCO[N-]C(=O)CNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000845551729 672260232 /nfs/dbraw/zinc/26/02/32/672260232.db2.gz DWIAYPUXZRPLLN-UHFFFAOYSA-N 0 2 309.370 0.129 20 0 DCADLN Cn1cc([C@H]2N(C(=O)C[C@@H]3SC(=N)NC3=O)CC2(C)C)cn1 ZINC000846160717 672298284 /nfs/dbraw/zinc/29/82/84/672298284.db2.gz XQSALVAGENRVBW-GXSJLCMTSA-N 0 2 321.406 0.886 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)C[C@@H]3CCOC3)CC2)[nH+]c1 ZINC000738682006 685324910 /nfs/dbraw/zinc/32/49/10/685324910.db2.gz WOZYJXBMEUKZDC-LBPRGKRZSA-N 0 2 319.361 0.855 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NC[C@@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)O1 ZINC001024663789 693816983 /nfs/dbraw/zinc/81/69/83/693816983.db2.gz LFCIWCYIWXFLBU-GRYCIOLGSA-N 0 2 323.397 0.549 20 0 DCADLN Cc1c[nH]c(C(=O)N(C)C2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000954382711 686007445 /nfs/dbraw/zinc/00/74/45/686007445.db2.gz IXCRIQNZSPMAOX-MRVPVSSYSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1c[nH]c(C(=O)N(C)C2CN(C(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC000954382711 686007446 /nfs/dbraw/zinc/00/74/46/686007446.db2.gz IXCRIQNZSPMAOX-MRVPVSSYSA-N 0 2 322.262 0.901 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)cc1 ZINC001038011252 693985189 /nfs/dbraw/zinc/98/51/89/693985189.db2.gz IEEVOQPZLFOJFM-LLVKDONJSA-N 0 2 305.313 0.654 20 0 DCADLN Cc1cccnc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038155744 693999427 /nfs/dbraw/zinc/99/94/27/693999427.db2.gz ZRMFJWGBPBDNSF-JTQLQIEISA-N 0 2 302.338 0.218 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(F)c(F)c1 ZINC001038355991 694053986 /nfs/dbraw/zinc/05/39/86/694053986.db2.gz GBBAPBXSKJLWSW-SECBINFHSA-N 0 2 323.303 0.793 20 0 DCADLN CCN(C(=O)[C@@H]1CCO[C@@H](C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957048540 686944306 /nfs/dbraw/zinc/94/43/06/686944306.db2.gz ABEWYDIOWWDNAB-WDEREUQCSA-N 0 2 323.397 0.358 20 0 DCADLN O=C(c1ccc(Cl)cn1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957401456 687053123 /nfs/dbraw/zinc/05/31/23/687053123.db2.gz XHYSWEAUGJSAQF-UHFFFAOYSA-N 0 2 322.756 0.517 20 0 DCADLN C[C@@]1(NC(=O)c2cnon2)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000957409641 687055756 /nfs/dbraw/zinc/05/57/56/687055756.db2.gz MMRNUWXSGFHWHK-OIBJUYFYSA-N 0 2 324.234 0.691 20 0 DCADLN C[C@@]1(NC(=O)c2cnon2)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000957409641 687055758 /nfs/dbraw/zinc/05/57/58/687055758.db2.gz MMRNUWXSGFHWHK-OIBJUYFYSA-N 0 2 324.234 0.691 20 0 DCADLN COc1cccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001038954196 694252577 /nfs/dbraw/zinc/25/25/77/694252577.db2.gz BGTFAALOIKVQHE-NSHDSACASA-N 0 2 317.349 0.523 20 0 DCADLN Cc1cc(F)ccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038946425 694251370 /nfs/dbraw/zinc/25/13/70/694251370.db2.gz BWQXMWRHUAVPFV-LLVKDONJSA-N 0 2 319.340 0.962 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CCOCC1 ZINC000964629306 689273873 /nfs/dbraw/zinc/27/38/73/689273873.db2.gz YHMCQVFKTMNJTD-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CCOCC1 ZINC000964629306 689273875 /nfs/dbraw/zinc/27/38/75/689273875.db2.gz YHMCQVFKTMNJTD-PWSUYJOCSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@H]2C)on1 ZINC000964996384 689333951 /nfs/dbraw/zinc/33/39/51/689333951.db2.gz HBKNDDYLZFLQCF-SCZZXKLOSA-N 0 2 320.353 0.447 20 0 DCADLN CCC(=O)N[C@H]1COC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000965581810 689487615 /nfs/dbraw/zinc/48/76/15/689487615.db2.gz XIYGTNZISIGIDF-APPZFPTMSA-N 0 2 312.263 0.783 20 0 DCADLN CCC(=O)N[C@H]1COC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC000965581810 689487620 /nfs/dbraw/zinc/48/76/20/689487620.db2.gz XIYGTNZISIGIDF-APPZFPTMSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1nc(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CC[C@@H]2C)co1 ZINC000965941475 689590189 /nfs/dbraw/zinc/59/01/89/689590189.db2.gz QYKGDMIATLENRY-WPRPVWTQSA-N 0 2 320.353 0.447 20 0 DCADLN Cc1cc[nH]c1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000965973435 689597809 /nfs/dbraw/zinc/59/78/09/689597809.db2.gz BTVWYGOZTHXDIG-KOLCDFICSA-N 0 2 318.381 0.787 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccns1 ZINC000966523660 689788554 /nfs/dbraw/zinc/78/85/54/689788554.db2.gz KPYRVOOZYAXUNA-IUCAKERBSA-N 0 2 322.394 0.607 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000967631800 690033722 /nfs/dbraw/zinc/03/37/22/690033722.db2.gz VLAXICQIYQBKCJ-KCJUWKMLSA-N 0 2 319.369 0.182 20 0 DCADLN Cc1nnc(C[NH2+][C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)s1 ZINC000968415686 690257748 /nfs/dbraw/zinc/25/77/48/690257748.db2.gz CALXUBZFRFCHJN-SECBINFHSA-N 0 2 322.394 0.588 20 0 DCADLN C[N@H+]1CCCC[C@@H]1C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC000969099834 690469672 /nfs/dbraw/zinc/46/96/72/690469672.db2.gz URRPPSVUAQALIC-DGCLKSJQSA-N 0 2 309.439 0.713 20 0 DCADLN Cc1nonc1C[NH2+][C@@H]1CCN(C(=O)[C@@H]2CCCC[N@@H+]2C)C1 ZINC000969099674 690470355 /nfs/dbraw/zinc/47/03/55/690470355.db2.gz RDJOIURKVFECMT-OCCSQVGLSA-N 0 2 307.398 0.553 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001006591682 690558919 /nfs/dbraw/zinc/55/89/19/690558919.db2.gz SROIDHVIIUDWEF-LLVKDONJSA-N 0 2 302.338 0.300 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCCO1 ZINC001007120713 690630208 /nfs/dbraw/zinc/63/02/08/690630208.db2.gz LYCGVTSCINOSCR-GHMZBOCLSA-N 0 2 309.370 0.160 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)cn1 ZINC001007172537 690636454 /nfs/dbraw/zinc/63/64/54/690636454.db2.gz JJMZZEFWLZYSTQ-SNVBAGLBSA-N 0 2 320.328 0.439 20 0 DCADLN CC(=O)N1CCO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001007673032 690725730 /nfs/dbraw/zinc/72/57/30/690725730.db2.gz NGGVDRNHXLOYGV-MWLCHTKSSA-N 0 2 312.263 0.737 20 0 DCADLN CC(=O)N1CCO[C@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001007673032 690725734 /nfs/dbraw/zinc/72/57/34/690725734.db2.gz NGGVDRNHXLOYGV-MWLCHTKSSA-N 0 2 312.263 0.737 20 0 DCADLN Cc1cnoc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009060594 690980050 /nfs/dbraw/zinc/98/00/50/690980050.db2.gz VRUFINDNHHYZEZ-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001010430753 691277036 /nfs/dbraw/zinc/27/70/36/691277036.db2.gz ATDLSJRRQPSPJM-SECBINFHSA-N 0 2 306.326 0.119 20 0 DCADLN Cc1cccnc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010492681 691293518 /nfs/dbraw/zinc/29/35/18/691293518.db2.gz VXYWJWXFLNZOBW-SNVBAGLBSA-N 0 2 302.338 0.218 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)nc3)C2)c1[O-] ZINC001010635622 691332054 /nfs/dbraw/zinc/33/20/54/691332054.db2.gz FESSYHOXCOQBDJ-GFCCVEGCSA-N 0 2 316.365 0.526 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)c1[O-] ZINC001010635622 691332058 /nfs/dbraw/zinc/33/20/58/691332058.db2.gz FESSYHOXCOQBDJ-GFCCVEGCSA-N 0 2 316.365 0.526 20 0 DCADLN Cc1ccc(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001010667721 691340254 /nfs/dbraw/zinc/34/02/54/691340254.db2.gz IXTUQZNLDSQWFY-JTQLQIEISA-N 0 2 305.338 0.345 20 0 DCADLN O=C(Cc1ccon1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010796703 691383434 /nfs/dbraw/zinc/38/34/34/691383434.db2.gz MXXUQJJYOONEFA-JTQLQIEISA-N 0 2 323.246 0.788 20 0 DCADLN O=C(Cc1ccon1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010796703 691383435 /nfs/dbraw/zinc/38/34/35/691383435.db2.gz MXXUQJJYOONEFA-JTQLQIEISA-N 0 2 323.246 0.788 20 0 DCADLN O=C(c1ccncn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011239821 691491700 /nfs/dbraw/zinc/49/17/00/691491700.db2.gz GRKVRMPJAFJYLK-SECBINFHSA-N 0 2 320.246 0.661 20 0 DCADLN O=C(c1ccncn1)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001011239821 691491706 /nfs/dbraw/zinc/49/17/06/691491706.db2.gz GRKVRMPJAFJYLK-SECBINFHSA-N 0 2 320.246 0.661 20 0 DCADLN Cc1sccc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001014361676 691996911 /nfs/dbraw/zinc/99/69/11/691996911.db2.gz LQFLUZNELHJHIX-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(F)ccc1F ZINC001014730056 692081511 /nfs/dbraw/zinc/08/15/11/692081511.db2.gz YLXSHSJPVZBSKW-SECBINFHSA-N 0 2 323.303 0.793 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C12CCC(CC1)C2 ZINC001014956287 692142544 /nfs/dbraw/zinc/14/25/44/692142544.db2.gz ULGDBTJICHECHE-VTWZXRTESA-N 0 2 305.382 0.781 20 0 DCADLN CCc1cccnc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015032921 692162880 /nfs/dbraw/zinc/16/28/80/692162880.db2.gz NDCOVMQBQOQROM-NSHDSACASA-N 0 2 316.365 0.472 20 0 DCADLN O=C(C[N@@H+]1CC[C@@H](NC(=O)c2cnn[n-]2)C1)Nc1ccccc1 ZINC001015063454 692176836 /nfs/dbraw/zinc/17/68/36/692176836.db2.gz VXRWVEBAZHMWLV-GFCCVEGCSA-N 0 2 314.349 0.248 20 0 DCADLN O=C(C[N@H+]1CC[C@@H](NC(=O)c2cnn[n-]2)C1)Nc1ccccc1 ZINC001015063454 692176839 /nfs/dbraw/zinc/17/68/39/692176839.db2.gz VXRWVEBAZHMWLV-GFCCVEGCSA-N 0 2 314.349 0.248 20 0 DCADLN Cc1c(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C ZINC001015671218 692389882 /nfs/dbraw/zinc/38/98/82/692389882.db2.gz VUUQGUNKNDNDGT-JTQLQIEISA-N 0 2 304.354 0.162 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cc(C2CC2)no1 ZINC001015775582 692436931 /nfs/dbraw/zinc/43/69/31/692436931.db2.gz PGAZIYIPGDCZJV-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN CC(C)c1ocnc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015955861 692520463 /nfs/dbraw/zinc/52/04/63/692520463.db2.gz QMCWIROOQSJBBG-SECBINFHSA-N 0 2 320.353 0.626 20 0 DCADLN Cc1cccnc1C[NH2+][C@H]1CCN(C(=O)[C@H]2C[N@@H+](C)CCO2)C1 ZINC001018663917 693185983 /nfs/dbraw/zinc/18/59/83/693185983.db2.gz TZVKREZGWJYEGH-GOEBONIOSA-N 0 2 318.421 0.411 20 0 DCADLN Cc1noc(CN[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC001018877542 693272057 /nfs/dbraw/zinc/27/20/57/693272057.db2.gz CQZMGIQUAHVYIL-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nc(CN2CCCO[C@@H](CNC(=O)c3cnn[nH]3)C2)co1 ZINC001073671510 694763615 /nfs/dbraw/zinc/76/36/15/694763615.db2.gz BDPAVYGXBQPHHH-LBPRGKRZSA-N 0 2 320.353 0.122 20 0 DCADLN Cc1nc(C[N@@H+]2CCCO[C@@H](CNC(=O)c3cnn[n-]3)C2)co1 ZINC001073671510 694763616 /nfs/dbraw/zinc/76/36/16/694763616.db2.gz BDPAVYGXBQPHHH-LBPRGKRZSA-N 0 2 320.353 0.122 20 0 DCADLN Cc1nc(C[N@H+]2CCCO[C@@H](CNC(=O)c3cnn[n-]3)C2)co1 ZINC001073671510 694763617 /nfs/dbraw/zinc/76/36/17/694763617.db2.gz BDPAVYGXBQPHHH-LBPRGKRZSA-N 0 2 320.353 0.122 20 0 DCADLN CC(C)=CC(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074153979 694828311 /nfs/dbraw/zinc/82/83/11/694828311.db2.gz BBDLJRBXXZHRGH-VXGBXAGGSA-N 0 2 321.381 0.278 20 0 DCADLN Cc1ccc(C(=O)N2CC[C@H]3CN(Cc4n[nH]c(=O)[nH]4)C[C@H]32)o1 ZINC001075522566 694956361 /nfs/dbraw/zinc/95/63/61/694956361.db2.gz LUEUDKBHDLYWOT-WDEREUQCSA-N 0 2 317.349 0.758 20 0 DCADLN C[C@@H](CCNC(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001075704958 694969629 /nfs/dbraw/zinc/96/96/29/694969629.db2.gz HEIMZOHPTPNUEG-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)c1ccn[nH]1)NC(=O)[C@@H](F)C(F)(F)F ZINC001075704958 694969630 /nfs/dbraw/zinc/96/96/30/694969630.db2.gz HEIMZOHPTPNUEG-POYBYMJQSA-N 0 2 310.251 0.935 20 0 DCADLN Cc1conc1C(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001076435681 695022339 /nfs/dbraw/zinc/02/23/39/695022339.db2.gz BTIGRCACVMPNDP-VHSXEESVSA-N 0 2 318.337 0.153 20 0 DCADLN O=C(CC1CCCCC1)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001076716573 695040255 /nfs/dbraw/zinc/04/02/55/695040255.db2.gz RJZQHVXTMDZLQV-VXGBXAGGSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)N1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000397029802 1158371344 /nfs/dbraw/zinc/37/13/44/1158371344.db2.gz OGGZRYFVUQWJIJ-JHJVBQTASA-N 0 2 313.398 0.602 20 0 DCADLN CNC(=O)C[N@H+]1CCC[C@](C)(CNC(=O)Cn2cncc2C)C1 ZINC001446669466 1159498871 /nfs/dbraw/zinc/49/88/71/1159498871.db2.gz IISKWIMZTAVOEH-MRXNPFEDSA-N 0 2 321.425 0.156 20 0 DCADLN Cc1cnc([C@@H](C)[N@@H+](C)[C@@H](C)CNC(=O)c2ncn[nH]2)cn1 ZINC001448106462 1159718208 /nfs/dbraw/zinc/71/82/08/1159718208.db2.gz UIZPQONCQFHFEV-WDEREUQCSA-N 0 2 303.370 0.714 20 0 DCADLN Cc1cnc([C@@H](C)[N@H+](C)[C@@H](C)CNC(=O)c2ncn[nH]2)cn1 ZINC001448106462 1159718210 /nfs/dbraw/zinc/71/82/10/1159718210.db2.gz UIZPQONCQFHFEV-WDEREUQCSA-N 0 2 303.370 0.714 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+](CC)CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001566547381 1160051905 /nfs/dbraw/zinc/05/19/05/1160051905.db2.gz PPHXSFUMUUGQRQ-JTQLQIEISA-N 0 2 311.386 0.129 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+](CC)CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001566547381 1160051911 /nfs/dbraw/zinc/05/19/11/1160051911.db2.gz PPHXSFUMUUGQRQ-JTQLQIEISA-N 0 2 311.386 0.129 20 0 DCADLN CC(C)=CC(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001569344259 1161017073 /nfs/dbraw/zinc/01/70/73/1161017073.db2.gz DAQMOSZSKYEJHG-ZDUSSCGKSA-N 0 2 315.377 0.679 20 0 DCADLN CCn1ncn(NC(=O)c2cc(-c3cccc(O)c3)on2)c1=O ZINC001569831041 1161280454 /nfs/dbraw/zinc/28/04/54/1161280454.db2.gz RBOQYHLKEVVFTH-UHFFFAOYSA-N 0 2 315.289 0.809 20 0 DCADLN COC(=O)c1cc(C[NH2+]Cc2nc(C(=O)[O-])n[nH]2)n(C)c1C ZINC001573938327 1163592381 /nfs/dbraw/zinc/59/23/81/1163592381.db2.gz LLDADUJNQPVQLT-UHFFFAOYSA-N 0 2 307.310 0.226 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)[C@@H](O)c1ccncc1 ZINC001574352862 1163701494 /nfs/dbraw/zinc/70/14/94/1163701494.db2.gz KKGWQPOOLBUXQJ-AAXDQBDMSA-N 0 2 316.317 0.211 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)[C@@H](O)c1ccncc1 ZINC001574352862 1163701500 /nfs/dbraw/zinc/70/15/00/1163701500.db2.gz KKGWQPOOLBUXQJ-AAXDQBDMSA-N 0 2 316.317 0.211 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n(C)n1 ZINC001575309957 1163970254 /nfs/dbraw/zinc/97/02/54/1163970254.db2.gz AJZSZABJGPUFAF-SECBINFHSA-N 0 2 324.278 0.729 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n(C)n1 ZINC001575309957 1163970259 /nfs/dbraw/zinc/97/02/59/1163970259.db2.gz AJZSZABJGPUFAF-SECBINFHSA-N 0 2 324.278 0.729 20 0 DCADLN C[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1)c1ccccc1 ZINC001575416883 1164006828 /nfs/dbraw/zinc/00/68/28/1164006828.db2.gz HUPKIMXOVWHUFF-MRVPVSSYSA-N 0 2 313.321 0.839 20 0 DCADLN C[C@@H](CC(N)=O)C(=O)NC1(C[NH2+]Cc2cn[nH]n2)CCCCC1 ZINC001575518286 1164045310 /nfs/dbraw/zinc/04/53/10/1164045310.db2.gz AKKHMQZRIJOVET-NSHDSACASA-N 0 2 322.413 0.225 20 0 DCADLN CC[C@H](CCO)CNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001576767328 1164456112 /nfs/dbraw/zinc/45/61/12/1164456112.db2.gz NEIFNECYZVGJJV-SECBINFHSA-N 0 2 320.353 0.414 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]([C@@H](C)[NH2+]Cc3cnn[nH]3)C2)c1[O-] ZINC001577518273 1164711391 /nfs/dbraw/zinc/71/13/91/1164711391.db2.gz DBZUIRMARZESOL-SCZZXKLOSA-N 0 2 319.369 0.182 20 0 DCADLN O=C(NCCC[C@@H]1CCC[N@@H+]1Cc1cnn[nH]1)c1cnon1 ZINC001578005103 1164889614 /nfs/dbraw/zinc/88/96/14/1164889614.db2.gz CGYCQTFWJCHSHK-LLVKDONJSA-N 0 2 305.342 0.362 20 0 DCADLN O=C(NCCC[C@@H]1CCC[N@H+]1Cc1cnn[nH]1)c1cnon1 ZINC001578005103 1164889621 /nfs/dbraw/zinc/88/96/21/1164889621.db2.gz CGYCQTFWJCHSHK-LLVKDONJSA-N 0 2 305.342 0.362 20 0 DCADLN CCOC1CN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001578341647 1164976890 /nfs/dbraw/zinc/97/68/90/1164976890.db2.gz ZNVPYVKWAHUAJG-UHFFFAOYSA-N 0 2 304.310 0.137 20 0 DCADLN CO[C@H]1C[C@@H](N(C)C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001579869577 1165418404 /nfs/dbraw/zinc/41/84/04/1165418404.db2.gz CXOWROAZZIQDED-DTORHVGOSA-N 0 2 318.337 0.525 20 0 DCADLN CSCc1n[nH]c(CNc2cc(-c3nn[nH]n3)nc(C)n2)n1 ZINC001580225904 1165541545 /nfs/dbraw/zinc/54/15/45/1165541545.db2.gz OIUYVLFDUNHFHI-UHFFFAOYSA-N 0 2 318.370 0.558 20 0 DCADLN CSCc1nnc(CNc2cc(-c3nn[nH]n3)nc(C)n2)[nH]1 ZINC001580225904 1165541553 /nfs/dbraw/zinc/54/15/53/1165541553.db2.gz OIUYVLFDUNHFHI-UHFFFAOYSA-N 0 2 318.370 0.558 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@H](C)[C@@H](O)C1 ZINC001580597815 1165649542 /nfs/dbraw/zinc/64/95/42/1165649542.db2.gz OAQTVMMHTDENOF-XCBNKYQSSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cccc(Sc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)c1 ZINC001581247395 1165805211 /nfs/dbraw/zinc/80/52/11/1165805211.db2.gz BXYACRRLFMNABO-UHFFFAOYSA-N 0 2 316.346 0.713 20 0 DCADLN Cc1nn(CC(=O)c2cscn2)c(=O)c(-c2nn[nH]n2)c1C ZINC001581604069 1165850590 /nfs/dbraw/zinc/85/05/90/1165850590.db2.gz UHOWSHSKJNDVRB-UHFFFAOYSA-N 0 2 317.334 0.380 20 0 DCADLN Cc1coc(C(=O)N[C@](C)(CNC(=O)CC(N)=O)C2CC2)c1 ZINC001582656367 1166063273 /nfs/dbraw/zinc/06/32/73/1166063273.db2.gz PHHIHUOSGDEHEX-OAHLLOKOSA-N 0 2 307.350 0.478 20 0 DCADLN C[C@](CNC(=O)CC1CC(C)(C)C1)(NC(=O)C(N)=O)C1CC1 ZINC001582659246 1166063647 /nfs/dbraw/zinc/06/36/47/1166063647.db2.gz OLADDVYVHVJTGW-MRXNPFEDSA-N 0 2 309.410 0.699 20 0 DCADLN CC(C)(C)OC(=O)CCCn1cncc(-c2nn[nH]n2)c1=O ZINC001589151557 1166637919 /nfs/dbraw/zinc/63/79/19/1166637919.db2.gz GQZRROWLVDMHBG-UHFFFAOYSA-N 0 2 306.326 0.545 20 0 DCADLN CC(C)c1nc(NC(=O)c2ccc(-c3nn[nH]n3)n2C)n[nH]1 ZINC001589778943 1166686475 /nfs/dbraw/zinc/68/64/75/1166686475.db2.gz ZUECGZAUGNCYEU-UHFFFAOYSA-N 0 2 301.314 0.699 20 0 DCADLN CCOCCCn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2=O ZINC001590811755 1167026706 /nfs/dbraw/zinc/02/67/06/1167026706.db2.gz JBFQLYMYFWEBAP-UHFFFAOYSA-N 0 2 317.349 0.974 20 0 DCADLN CCc1nn(C2CC(OC)C2)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929344 1167053134 /nfs/dbraw/zinc/05/31/34/1167053134.db2.gz JEBSWUGTZPHZFF-UHFFFAOYSA-N 0 2 304.354 0.898 20 0 DCADLN CCc1nn(C[C@@H](O)CSC)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929439 1167054315 /nfs/dbraw/zinc/05/43/15/1167054315.db2.gz QTCXEZFBZISGST-MRVPVSSYSA-N 0 2 324.410 0.272 20 0 DCADLN CN(Cc1ccns1)Cn1cccc(-c2nn[nH]n2)c1=O ZINC001591150221 1167115436 /nfs/dbraw/zinc/11/54/36/1167115436.db2.gz PBYZNMOKCTVGBK-UHFFFAOYSA-N 0 2 303.351 0.574 20 0 DCADLN COC(=O)c1ccccc1Cn1cncc(-c2nn[nH]n2)c1=O ZINC001591304067 1167206866 /nfs/dbraw/zinc/20/68/66/1167206866.db2.gz JOUZFWLEVSSDRI-UHFFFAOYSA-N 0 2 312.289 0.258 20 0 DCADLN CO[C@@H](Cc1ccccc1)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001591334165 1167219392 /nfs/dbraw/zinc/21/93/92/1167219392.db2.gz RCWHUWMZRVOYOI-LBPRGKRZSA-N 0 2 312.333 0.681 20 0 DCADLN CSCc1n[nH]c(CNc2cccc(-c3nn[nH]n3)n2)n1 ZINC001591701804 1167357804 /nfs/dbraw/zinc/35/78/04/1167357804.db2.gz LOIHFVKQOLBCOB-UHFFFAOYSA-N 0 2 303.355 0.855 20 0 DCADLN CSCc1nnc(CNc2cccc(-c3nn[nH]n3)n2)[nH]1 ZINC001591701804 1167357810 /nfs/dbraw/zinc/35/78/10/1167357810.db2.gz LOIHFVKQOLBCOB-UHFFFAOYSA-N 0 2 303.355 0.855 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](C)CCCO ZINC001591834572 1167390258 /nfs/dbraw/zinc/39/02/58/1167390258.db2.gz DHBYMIFZAJHKRQ-MRVPVSSYSA-N 0 2 306.326 0.167 20 0 DCADLN Cc1cc(F)c(-c2nn[nH]n2)c(NCc2n[nH]c(=O)n2C)c1 ZINC001591978232 1167428150 /nfs/dbraw/zinc/42/81/50/1167428150.db2.gz ARGJCBAGSBXEGM-UHFFFAOYSA-N 0 2 304.289 0.760 20 0 DCADLN Cc1ccn(CN2CC(OC(F)F)C2)c(=O)c1-c1nn[nH]n1 ZINC001592266911 1167480139 /nfs/dbraw/zinc/48/01/39/1167480139.db2.gz JJYUJAQADIJPMH-UHFFFAOYSA-N 0 2 312.280 0.218 20 0 DCADLN Cc1[nH]nc(NC(=O)[C@H]2CCCc3n[nH]nc32)c1-c1nn[nH]n1 ZINC001592328749 1167490471 /nfs/dbraw/zinc/49/04/71/1167490471.db2.gz WPXRDTCBCNKAHA-LURJTMIESA-N 0 2 314.313 0.075 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@@H](O)CC(F)(F)C1 ZINC001592497080 1167539602 /nfs/dbraw/zinc/53/96/02/1167539602.db2.gz UOOGIJXYTWZPAN-ZETCQYMHSA-N 0 2 313.264 0.610 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)[C@H]1C[C@@H](C(N)=O)C1 ZINC001592495060 1167539800 /nfs/dbraw/zinc/53/98/00/1167539800.db2.gz HQYWBASAYYRYHD-OCAPTIKFSA-N 0 2 304.310 0.104 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H](C)c1ccnn1C ZINC001592499123 1167539956 /nfs/dbraw/zinc/53/99/56/1167539956.db2.gz JBTLHWYLKNFKSI-ZETCQYMHSA-N 0 2 301.310 0.993 20 0 DCADLN Cn1c(=O)[nH]c(N2CC3(C2)CCCCC3)c(-c2nn[nH]n2)c1=O ZINC001592542417 1167551201 /nfs/dbraw/zinc/55/12/01/1167551201.db2.gz HGVMNWFOLXIGEP-UHFFFAOYSA-N 0 2 317.353 0.024 20 0 DCADLN Cn1c(=O)[nH]c(Oc2ccc(F)cc2F)c(-c2nn[nH]n2)c1=O ZINC001592545243 1167551651 /nfs/dbraw/zinc/55/16/51/1167551651.db2.gz RIUAQQOEJZKAOM-UHFFFAOYSA-N 0 2 322.231 0.324 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NCCC2CC=CC2)n(C)c1=O ZINC001592555725 1167557296 /nfs/dbraw/zinc/55/72/96/1167557296.db2.gz RKMJBNZUROVYKW-UHFFFAOYSA-N 0 2 317.353 0.032 20 0 DCADLN Fc1cc(-c2nn[nH]n2)cnc1N1CC[C@H](c2nc[nH]n2)C1 ZINC001592637324 1167589083 /nfs/dbraw/zinc/58/90/83/1167589083.db2.gz GTUNNYDZSNGDQK-ZETCQYMHSA-N 0 2 301.289 0.513 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc(-c3nn[nH]n3)c2)S1 ZINC001592741427 1167625464 /nfs/dbraw/zinc/62/54/64/1167625464.db2.gz RRVUEOKWOQWOIW-QMMMGPOBSA-N 0 2 317.334 0.362 20 0 DCADLN O=C(Cn1cnc(-c2nn[nH]n2)cc1=O)c1cccc(Cl)c1 ZINC001592940615 1167668940 /nfs/dbraw/zinc/66/89/40/1167668940.db2.gz QLTDSQCXBASNSX-UHFFFAOYSA-N 0 2 316.708 0.960 20 0 DCADLN O=C(N[C@@H]1CCCc2cn[nH]c21)c1cc(-c2nn[nH]n2)ccn1 ZINC001592986352 1167681207 /nfs/dbraw/zinc/68/12/07/1167681207.db2.gz XWZCHUKUQBUHAX-SNVBAGLBSA-N 0 2 310.321 0.792 20 0 DCADLN O=C(Nc1n[nH]c(-c2ccncc2)n1)c1ccc(-c2nn[nH]n2)o1 ZINC001593269576 1167748401 /nfs/dbraw/zinc/74/84/01/1167748401.db2.gz JHAVJJFMJZYFMN-UHFFFAOYSA-N 0 2 323.276 0.892 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1Cc1noc(-c2ccccc2)n1 ZINC001593494771 1167878518 /nfs/dbraw/zinc/87/85/18/1167878518.db2.gz ROYMIDDCDRJPQL-UHFFFAOYSA-N 0 2 322.288 0.522 20 0 DCADLN COC(=O)[C@@H](O)C1CC[NH+]([C@@H](C)c2nc(C(=O)[O-])co2)CC1 ZINC001598714628 1168029976 /nfs/dbraw/zinc/02/99/76/1168029976.db2.gz ZICZZUDFUGINHF-KWQFWETISA-N 0 2 312.322 0.680 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1cc(C(=O)[O-])c(C)o1 ZINC001598864638 1168051834 /nfs/dbraw/zinc/05/18/34/1168051834.db2.gz KNAKWCGNJLIGFU-GFCCVEGCSA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1cc(C(=O)[O-])c(C)o1 ZINC001598864638 1168051841 /nfs/dbraw/zinc/05/18/41/1168051841.db2.gz KNAKWCGNJLIGFU-GFCCVEGCSA-N 0 2 324.333 0.540 20 0 DCADLN Cc1c(N)c(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])ccc1F ZINC001600020997 1168097665 /nfs/dbraw/zinc/09/76/65/1168097665.db2.gz ISQRPNAJEVUZRV-NSHDSACASA-N 0 2 306.297 0.865 20 0 DCADLN Cc1c(N)c(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])ccc1F ZINC001600020997 1168097713 /nfs/dbraw/zinc/09/77/13/1168097713.db2.gz ISQRPNAJEVUZRV-NSHDSACASA-N 0 2 306.297 0.865 20 0 DCADLN Cc1c(Cl)cnn1CN(CC[NH+]1CCOCC1)CC(=O)[O-] ZINC001600017986 1168098125 /nfs/dbraw/zinc/09/81/25/1168098125.db2.gz QNUGWYQSMNEVER-UHFFFAOYSA-N 0 2 316.789 0.521 20 0 DCADLN Cc1cc(C)c(CNC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])c[nH+]1 ZINC001600160632 1168129324 /nfs/dbraw/zinc/12/93/24/1168129324.db2.gz ZGUBYRVCQSYOSE-QWRGUYRKSA-N 0 2 322.365 0.475 20 0 DCADLN Cc1cc(NC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)ncc1C(=O)[O-] ZINC001600270080 1168154398 /nfs/dbraw/zinc/15/43/98/1168154398.db2.gz QACAWXRUOBBCDJ-NSHDSACASA-N 0 2 322.365 0.930 20 0 DCADLN Cc1cc(NC(=O)NCC[N@H+]2CCO[C@@H](C)C2)ncc1C(=O)[O-] ZINC001600270080 1168154406 /nfs/dbraw/zinc/15/44/06/1168154406.db2.gz QACAWXRUOBBCDJ-NSHDSACASA-N 0 2 322.365 0.930 20 0 DCADLN Cc1ccc(N2CC[NH+](CC[S@](C)=O)CC2)nc1C(=O)[O-] ZINC001600436240 1168183215 /nfs/dbraw/zinc/18/32/15/1168183215.db2.gz PANWESUFIMTGJU-NRFANRHFSA-N 0 2 311.407 0.589 20 0 DCADLN Cc1ncsc1C[NH+]1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001600761811 1168281736 /nfs/dbraw/zinc/28/17/36/1168281736.db2.gz DGJFQKDMMQTGCI-AWEZNQCLSA-N 0 2 311.407 0.813 20 0 DCADLN Cn1cc(-c2cc(C[N@@H+]3CCO[C@@H](CC(=O)[O-])C3)on2)cn1 ZINC001600922144 1168509304 /nfs/dbraw/zinc/50/93/04/1168509304.db2.gz AJPHCVFKHDACII-NSHDSACASA-N 0 2 306.322 0.751 20 0 DCADLN Cn1cc(-c2cc(C[N@H+]3CCO[C@@H](CC(=O)[O-])C3)on2)cn1 ZINC001600922144 1168509311 /nfs/dbraw/zinc/50/93/11/1168509311.db2.gz AJPHCVFKHDACII-NSHDSACASA-N 0 2 306.322 0.751 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CCc2ccc(C(=O)[O-])nc2C1 ZINC001600992878 1168550577 /nfs/dbraw/zinc/55/05/77/1168550577.db2.gz DBQVBYJBAZHOJP-UHFFFAOYSA-N 0 2 300.318 0.641 20 0 DCADLN Cn1cnn(C[N@H+](CCCC(=O)[O-])Cc2ccccc2)c1=O ZINC001600994419 1168552057 /nfs/dbraw/zinc/55/20/57/1168552057.db2.gz IUKPLZBQQMUIJS-UHFFFAOYSA-N 0 2 304.350 0.906 20 0 DCADLN Cn1cnn(C[N@@H+](CCCC(=O)[O-])Cc2ccccc2)c1=O ZINC001600994419 1168552063 /nfs/dbraw/zinc/55/20/63/1168552063.db2.gz IUKPLZBQQMUIJS-UHFFFAOYSA-N 0 2 304.350 0.906 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H](C(=O)[O-])c1ccccn1 ZINC001600994527 1168552829 /nfs/dbraw/zinc/55/28/29/1168552829.db2.gz XQTZNAPDRNUDOM-GIPNMCIBSA-N 0 2 300.318 0.861 20 0 DCADLN Cn1nccc1[C@@H]1C[N@H+](C[C@H]2[C@H](C(=O)[O-])C2(F)F)CCO1 ZINC001601038232 1168574665 /nfs/dbraw/zinc/57/46/65/1168574665.db2.gz QHWJJWAOFBYTLJ-INTQDDNPSA-N 0 2 301.293 0.759 20 0 DCADLN Cn1nccc1[C@@H]1C[N@@H+](C[C@H]2[C@H](C(=O)[O-])C2(F)F)CCO1 ZINC001601038232 1168574669 /nfs/dbraw/zinc/57/46/69/1168574669.db2.gz QHWJJWAOFBYTLJ-INTQDDNPSA-N 0 2 301.293 0.759 20 0 DCADLN O=C([O-])c1cc(C[NH+]2CCN(CC(=O)NC3CC3)CC2)cs1 ZINC001601316189 1168704138 /nfs/dbraw/zinc/70/41/38/1168704138.db2.gz ZPVCLHCOERSBPL-UHFFFAOYSA-N 0 2 323.418 0.843 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1ccc2c(c1)COC2 ZINC001601362143 1168719790 /nfs/dbraw/zinc/71/97/90/1168719790.db2.gz NYRGOAGFCNQFBK-CQSZACIVSA-N 0 2 315.329 0.795 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1ccc2c(c1)COC2 ZINC001601362143 1168719802 /nfs/dbraw/zinc/71/98/02/1168719802.db2.gz NYRGOAGFCNQFBK-CQSZACIVSA-N 0 2 315.329 0.795 20 0 DCADLN O=C([O-])[C@@H](O)CCNC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC001601509528 1168770049 /nfs/dbraw/zinc/77/00/49/1168770049.db2.gz BUOOCBWVLFGWRP-LBPRGKRZSA-N 0 2 305.290 0.143 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc2c(n1)OCCO2 ZINC001601565397 1168782280 /nfs/dbraw/zinc/78/22/80/1168782280.db2.gz XGOHYLOBTULVDI-JTQLQIEISA-N 0 2 318.289 0.002 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc2c(n1)OCCO2 ZINC001601565397 1168782282 /nfs/dbraw/zinc/78/22/82/1168782282.db2.gz XGOHYLOBTULVDI-JTQLQIEISA-N 0 2 318.289 0.002 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1Cc2cccnc2C1 ZINC001601566153 1168783878 /nfs/dbraw/zinc/78/38/78/1168783878.db2.gz ZGLMTEMIQNLCQN-MFKMUULPSA-N 0 2 300.318 0.332 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1Cc2cccnc2C1 ZINC001601566153 1168783885 /nfs/dbraw/zinc/78/38/85/1168783885.db2.gz ZGLMTEMIQNLCQN-MFKMUULPSA-N 0 2 300.318 0.332 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC001601643845 1168799555 /nfs/dbraw/zinc/79/95/55/1168799555.db2.gz FGDBVYZOEOSQLE-VXGBXAGGSA-N 0 2 302.346 0.559 20 0 DCADLN O=C([O-])[C@H]([NH2+][C@H]1CCN(C2CCOCC2)C1=O)c1cccnc1 ZINC001601665887 1168810706 /nfs/dbraw/zinc/81/07/06/1168810706.db2.gz IIUYSPPSMSCEHN-UONOGXRCSA-N 0 2 319.361 0.577 20 0 DCADLN O=C([O-])[C@]1(N2CCN(c3nccn4c[nH+]cc34)CC2)CCOC1 ZINC001601720686 1168831352 /nfs/dbraw/zinc/83/13/52/1168831352.db2.gz VSJLSSLASILQMP-HNNXBMFYSA-N 0 2 317.349 0.095 20 0 DCADLN O=C([O-])C1=NO[C@]2(CC[N@H+](CN3CCSC3=S)C2)C1 ZINC001601746684 1168846149 /nfs/dbraw/zinc/84/61/49/1168846149.db2.gz MKNKNRJLRTWCSW-LLVKDONJSA-N 0 2 301.393 0.583 20 0 DCADLN O=C([O-])C1=NO[C@]2(CC[N@@H+](CN3CCSC3=S)C2)C1 ZINC001601746684 1168846156 /nfs/dbraw/zinc/84/61/56/1168846156.db2.gz MKNKNRJLRTWCSW-LLVKDONJSA-N 0 2 301.393 0.583 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001601764638 1168861524 /nfs/dbraw/zinc/86/15/24/1168861524.db2.gz MUQZPLKJFIMUQY-JSGCOSHPSA-N 0 2 315.329 0.780 20 0 DCADLN O=C([O-])[C@H]1c2ccccc2OCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001601871951 1168921683 /nfs/dbraw/zinc/92/16/83/1168921683.db2.gz MAYIVZWKKDGQBT-CQSZACIVSA-N 0 2 301.302 0.999 20 0 DCADLN O=C([O-])CCNC(=O)c1ccc(NC(=O)Cn2cc[nH+]c2)cc1 ZINC001602023671 1168970683 /nfs/dbraw/zinc/97/06/83/1168970683.db2.gz ULJQRJIXBSJQGA-UHFFFAOYSA-N 0 2 316.317 0.726 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1CC(=O)N1CCCCCC1 ZINC001602068009 1168984452 /nfs/dbraw/zinc/98/44/52/1168984452.db2.gz YXKLBAKOEXQXJF-LBPRGKRZSA-N 0 2 311.382 0.054 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1CC(=O)N1CCCCCC1 ZINC001602068009 1168984456 /nfs/dbraw/zinc/98/44/56/1168984456.db2.gz YXKLBAKOEXQXJF-LBPRGKRZSA-N 0 2 311.382 0.054 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1CC[S@@](=O)c1ccccc1 ZINC001602068757 1168985953 /nfs/dbraw/zinc/98/59/53/1168985953.db2.gz VMLKJOXQEDTAJJ-WHEQGISXSA-N 0 2 324.402 0.459 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1CC[S@@](=O)c1ccccc1 ZINC001602068757 1168985955 /nfs/dbraw/zinc/98/59/55/1168985955.db2.gz VMLKJOXQEDTAJJ-WHEQGISXSA-N 0 2 324.402 0.459 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1(c2ccccn2)CC1 ZINC001602169638 1169013868 /nfs/dbraw/zinc/01/38/68/1169013868.db2.gz OFFXPBKVHDMXAD-LLVKDONJSA-N 0 2 300.318 0.648 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1(c2ccccn2)CC1 ZINC001602169638 1169013877 /nfs/dbraw/zinc/01/38/77/1169013877.db2.gz OFFXPBKVHDMXAD-LLVKDONJSA-N 0 2 300.318 0.648 20 0 DCADLN O=C([O-])[C@@H]1CN(C(=O)[C@@H](O)c2c[nH+]c[nH]2)C[C@@H]1c1ccccc1 ZINC001602181284 1169020122 /nfs/dbraw/zinc/02/01/22/1169020122.db2.gz YCFOGQLLKTYHCN-BZPMIXESSA-N 0 2 315.329 0.770 20 0 DCADLN O=C([O-])[C@@H]1CN(CN2CC[NH+](Cc3ccncc3)CC2)C(=O)O1 ZINC001602189224 1169020748 /nfs/dbraw/zinc/02/07/48/1169020748.db2.gz WANJASVKHQXDPH-ZDUSSCGKSA-N 0 2 320.349 0.062 20 0 DCADLN O=C([O-])[C@@H]1CO[C@H](CCC(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)C1 ZINC001602191366 1169021834 /nfs/dbraw/zinc/02/18/34/1169021834.db2.gz HJQJDBXMPLKRAP-CYZMBNFOSA-N 0 2 322.365 0.153 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)NCCCCn2cc[nH+]c2)n[nH]1 ZINC001602295459 1169051039 /nfs/dbraw/zinc/05/10/39/1169051039.db2.gz IEXUOMAOMWXYEM-UHFFFAOYSA-N 0 2 313.339 0.063 20 0 DCADLN O=C([O-])c1cnc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)cn1 ZINC001602508812 1169102885 /nfs/dbraw/zinc/10/28/85/1169102885.db2.gz QFIQZBBZYJRCMS-NSHDSACASA-N 0 2 320.349 0.112 20 0 DCADLN O=C([O-])c1cncc(C[NH+]2CCN(c3nccnn3)CC2)c1 ZINC001602537988 1169110263 /nfs/dbraw/zinc/11/02/63/1169110263.db2.gz IADIDYMKBAYAKL-UHFFFAOYSA-N 0 2 300.322 0.287 20 0 DCADLN O=C([O-])c1cncc(S(=O)(=O)N2CCc3c[nH+]ccc32)c1 ZINC001602542245 1169112317 /nfs/dbraw/zinc/11/23/17/1169112317.db2.gz QUKJWCURZWISKA-UHFFFAOYSA-N 0 2 305.315 0.926 20 0 DCADLN O=C([O-])C1(COC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CC1 ZINC001602610856 1169130781 /nfs/dbraw/zinc/13/07/81/1169130781.db2.gz YMMWIQNHLNISOK-NEPJUHHUSA-N 0 2 313.350 0.274 20 0 DCADLN O=C([O-])[C@@]1(O)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)C1 ZINC001602624963 1169133210 /nfs/dbraw/zinc/13/32/10/1169133210.db2.gz OLVBNLQZTRANSU-OAHLLOKOSA-N 0 2 317.301 0.240 20 0 DCADLN CC(=O)c1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001603123206 1169248079 /nfs/dbraw/zinc/24/80/79/1169248079.db2.gz AMRLBCUPBWTYMJ-MGPLVRAMSA-N 0 2 320.345 0.738 20 0 DCADLN CC(=O)c1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001603123206 1169248087 /nfs/dbraw/zinc/24/80/87/1169248087.db2.gz AMRLBCUPBWTYMJ-MGPLVRAMSA-N 0 2 320.345 0.738 20 0 DCADLN CC(C)(C)n1nnnc1C[N@H+](CCC(=O)[O-])C[C@H]1CCCO1 ZINC001603574405 1169329298 /nfs/dbraw/zinc/32/92/98/1169329298.db2.gz WUEQJFYCVAWQNF-LLVKDONJSA-N 0 2 311.386 0.884 20 0 DCADLN CC(C)(C)n1nnnc1C[N@@H+](CCC(=O)[O-])C[C@H]1CCCO1 ZINC001603574405 1169329307 /nfs/dbraw/zinc/32/93/07/1169329307.db2.gz WUEQJFYCVAWQNF-LLVKDONJSA-N 0 2 311.386 0.884 20 0 DCADLN C[C@H]1OCC[C@@H]1Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001603954191 1169450387 /nfs/dbraw/zinc/45/03/87/1169450387.db2.gz DMHZCRQWXHJUNO-MWLCHTKSSA-N 0 2 301.350 0.942 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCCN(CC(F)F)CC1)C(=O)[O-] ZINC001603972565 1169459109 /nfs/dbraw/zinc/45/91/09/1169459109.db2.gz JQOSTPSETOKRNJ-ZDUSSCGKSA-N 0 2 321.368 0.485 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCCN(CC(F)F)CC1)C(=O)[O-] ZINC001603972565 1169459119 /nfs/dbraw/zinc/45/91/19/1169459119.db2.gz JQOSTPSETOKRNJ-ZDUSSCGKSA-N 0 2 321.368 0.485 20 0 DCADLN C[C@H](OC[C@H]1CCCO1)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001604422846 1169589852 /nfs/dbraw/zinc/58/98/52/1169589852.db2.gz QHLYUEYBLYWRMK-QJPTWQEYSA-N 0 2 311.338 0.037 20 0 DCADLN CC1=C(C(=O)[O-])C[C@@H](Cn2cc(C[NH+]3CCC(O)CC3)nn2)O1 ZINC001604614005 1169629827 /nfs/dbraw/zinc/62/98/27/1169629827.db2.gz UCSOHVUEGJAAAL-ZDUSSCGKSA-N 0 2 322.365 0.382 20 0 DCADLN C[C@@H]1[C@@H](C(=O)[O-])CCN1C(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC001604627231 1169635825 /nfs/dbraw/zinc/63/58/25/1169635825.db2.gz PTXRSMGTEKMYDN-NEPJUHHUSA-N 0 2 322.365 0.101 20 0 DCADLN C[C@H]1CCn2cc(C(=O)N[C@H](Cn3cc[nH+]c3)C(=O)[O-])nc2C1 ZINC001604766565 1169673800 /nfs/dbraw/zinc/67/38/00/1169673800.db2.gz IAGJBZVBVAQEIJ-CMPLNLGQSA-N 0 2 317.349 0.545 20 0 DCADLN CCN1OC[C@H]([NH2+][C@H](Cc2cccc(OC)c2)C(=O)[O-])C1=O ZINC001605487343 1169937457 /nfs/dbraw/zinc/93/74/57/1169937457.db2.gz JGWWFJBNIBUXNF-OLZOCXBDSA-N 0 2 308.334 0.443 20 0 DCADLN CCOC(=O)c1ncc(C[NH+]2CCC(O)(C(=O)[O-])CC2)s1 ZINC001605582936 1169966064 /nfs/dbraw/zinc/96/60/64/1169966064.db2.gz QZCQVBJHJMRTQJ-UHFFFAOYSA-N 0 2 314.363 0.731 20 0 DCADLN CCc1[nH]c(C[N@H+](C)CC(=O)NCCCOC)cc1C(=O)[O-] ZINC001605771366 1169999516 /nfs/dbraw/zinc/99/95/16/1169999516.db2.gz WHSBGWOWKXXWBW-UHFFFAOYSA-N 0 2 311.382 0.860 20 0 DCADLN CCc1[nH]c(C[N@@H+](C)CC(=O)NCCCOC)cc1C(=O)[O-] ZINC001605771366 1169999525 /nfs/dbraw/zinc/99/95/25/1169999525.db2.gz WHSBGWOWKXXWBW-UHFFFAOYSA-N 0 2 311.382 0.860 20 0 DCADLN CCc1nn(C[N@@H+]2C[C@@H]3CCC[C@]3(C(=O)[O-])C2)c(=O)n1CC ZINC001605868511 1170017588 /nfs/dbraw/zinc/01/75/88/1170017588.db2.gz SSQMBKDRIYCFNJ-NHYWBVRUSA-N 0 2 308.382 0.771 20 0 DCADLN CCc1nn(C[N@H+]2C[C@@H]3CCC[C@]3(C(=O)[O-])C2)c(=O)n1CC ZINC001605868511 1170017593 /nfs/dbraw/zinc/01/75/93/1170017593.db2.gz SSQMBKDRIYCFNJ-NHYWBVRUSA-N 0 2 308.382 0.771 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C(=O)[O-])C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001605955779 1170033876 /nfs/dbraw/zinc/03/38/76/1170033876.db2.gz COGFBSGZQHQDBT-ZIAGYGMSSA-N 0 2 320.393 0.564 20 0 DCADLN CN(C(=O)[C@@H]1C[C@H]1C(=O)[O-])C1C[NH+](CCc2ccns2)C1 ZINC001605956611 1170036238 /nfs/dbraw/zinc/03/62/38/1170036238.db2.gz KIJKSWDIPJCMKK-VXGBXAGGSA-N 0 2 309.391 0.549 20 0 DCADLN C[N@H+](CC(=O)Nc1nc(CC(=O)[O-])cs1)[C@@H]1CCCOC1 ZINC001606084317 1170071516 /nfs/dbraw/zinc/07/15/16/1170071516.db2.gz CTYOSOSHKZXRRY-SNVBAGLBSA-N 0 2 313.379 0.820 20 0 DCADLN C[N@@H+](CC(=O)Nc1nc(CC(=O)[O-])cs1)[C@@H]1CCCOC1 ZINC001606084317 1170071520 /nfs/dbraw/zinc/07/15/20/1170071520.db2.gz CTYOSOSHKZXRRY-SNVBAGLBSA-N 0 2 313.379 0.820 20 0 DCADLN CC[C@]1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCNC1=O ZINC001626188995 1170086636 /nfs/dbraw/zinc/08/66/36/1170086636.db2.gz MQLRLVTUEIMRNI-XPTSAGLGSA-N 0 2 307.354 0.133 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccc(Cl)cc2)c1=O ZINC001606221373 1170120395 /nfs/dbraw/zinc/12/03/95/1170120395.db2.gz CVWVPYBZWRZKMR-UHFFFAOYSA-N 0 2 318.724 0.429 20 0 DCADLN C[N@@H+]1CCN(C(=O)C23CCC(C(=O)[O-])(CC2)CC3)C[C@H]1CO ZINC001606228450 1170126713 /nfs/dbraw/zinc/12/67/13/1170126713.db2.gz IWTQIYPQWIILPH-JQRITLKVSA-N 0 2 310.394 0.547 20 0 DCADLN C[N@H+]1CCN(C(=O)C23CCC(C(=O)[O-])(CC2)CC3)C[C@H]1CO ZINC001606228450 1170126714 /nfs/dbraw/zinc/12/67/14/1170126714.db2.gz IWTQIYPQWIILPH-JQRITLKVSA-N 0 2 310.394 0.547 20 0 DCADLN COC(=O)[C@H](CC(=O)[O-])NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001606324185 1170159357 /nfs/dbraw/zinc/15/93/57/1170159357.db2.gz QUTIGSRJGXTHTL-LBPRGKRZSA-N 0 2 317.301 0.618 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@@H+]2CC[C@@H](CO)[C@@H](O)C2)c1 ZINC001606612507 1170242243 /nfs/dbraw/zinc/24/22/43/1170242243.db2.gz FTDDTQPAZDWIKX-MELADBBJSA-N 0 2 323.345 0.274 20 0 DCADLN COC(=O)c1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](CO)[C@@H](O)C2)c1 ZINC001606612507 1170242247 /nfs/dbraw/zinc/24/22/47/1170242247.db2.gz FTDDTQPAZDWIKX-MELADBBJSA-N 0 2 323.345 0.274 20 0 DCADLN O=C(Cn1c(=O)[nH]cc(-c2nn[nH]n2)c1=O)[C@H]1Cc2ccccc21 ZINC001606615282 1170244336 /nfs/dbraw/zinc/24/43/36/1170244336.db2.gz NABBEHHLFCGNTQ-JTQLQIEISA-N 0 2 324.300 0.038 20 0 DCADLN CO[C@@H]1CC(=O)N(C[N@@H+]2CCc3cc(C(=O)[O-])ccc3C2)C1 ZINC001606723288 1170273051 /nfs/dbraw/zinc/27/30/51/1170273051.db2.gz DNQJMULZNOLHHR-CQSZACIVSA-N 0 2 304.346 0.948 20 0 DCADLN CO[C@@H]1CC(=O)N(C[N@H+]2CCc3cc(C(=O)[O-])ccc3C2)C1 ZINC001606723288 1170273055 /nfs/dbraw/zinc/27/30/55/1170273055.db2.gz DNQJMULZNOLHHR-CQSZACIVSA-N 0 2 304.346 0.948 20 0 DCADLN O=C(NCCCc1nc[nH]n1)c1ccsc1-c1nn[nH]n1 ZINC001606776100 1170286681 /nfs/dbraw/zinc/28/66/81/1170286681.db2.gz WLSOGNKCBHBJJT-UHFFFAOYSA-N 0 2 304.339 0.409 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1CN1CC[C@@H](F)C1)CCCC2 ZINC001607089142 1170387145 /nfs/dbraw/zinc/38/71/45/1170387145.db2.gz HWEQFOPAFRRYBP-LLVKDONJSA-N 0 2 318.356 0.909 20 0 DCADLN COc1cccc(C(=O)[O-])c1C[NH+]1CCN(C(=O)[C@H](C)O)CC1 ZINC001607305379 1170425085 /nfs/dbraw/zinc/42/50/85/1170425085.db2.gz OYROWTAQDOPAGH-NSHDSACASA-N 0 2 322.361 0.418 20 0 DCADLN Cc1ccc(N2CCC3(CC2)C[C@@H](O)CNC3=O)[nH+]c1C(=O)[O-] ZINC001607792433 1170507630 /nfs/dbraw/zinc/50/76/30/1170507630.db2.gz DRGGGHNQDJKVGA-LLVKDONJSA-N 0 2 319.361 0.556 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[N@H+](CCO)[C@H](C)C1 ZINC001607917238 1170524178 /nfs/dbraw/zinc/52/41/78/1170524178.db2.gz CYJGOAWYXJWMOR-LLVKDONJSA-N 0 2 310.350 0.354 20 0 DCADLN Cc1coc(CC(=O)[O-])c1C(=O)N1CC[N@@H+](CCO)[C@H](C)C1 ZINC001607917238 1170524181 /nfs/dbraw/zinc/52/41/81/1170524181.db2.gz CYJGOAWYXJWMOR-LLVKDONJSA-N 0 2 310.350 0.354 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)CCCCC(=O)[O-])C2 ZINC001607971062 1170535385 /nfs/dbraw/zinc/53/53/85/1170535385.db2.gz KUCLOVAPZLSTCW-GFCCVEGCSA-N 0 2 323.393 0.802 20 0 DCADLN Cc1ncc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)cc1C(=O)[O-] ZINC001607981916 1170539295 /nfs/dbraw/zinc/53/92/95/1170539295.db2.gz ZEJOENJLIUQDIS-SNVBAGLBSA-N 0 2 322.365 0.930 20 0 DCADLN Cc1nnc(N2CCN(c3cc(CC(=O)[O-])cc[nH+]3)CC2)n1C ZINC001608014219 1170547877 /nfs/dbraw/zinc/54/78/77/1170547877.db2.gz CSCDUSHSZHNNBF-UHFFFAOYSA-N 0 2 316.365 0.472 20 0 DCADLN Cc1oc(S(=O)(=O)N2CC[NH2+]C[C@@](C)(F)C2)cc1C(=O)[O-] ZINC001608053721 1170558991 /nfs/dbraw/zinc/55/89/91/1170558991.db2.gz DTJUKNPHQBJTOM-GFCCVEGCSA-N 0 2 320.342 0.608 20 0 DCADLN Cn1c(C[NH+]2CCCCC2)nnc1N1CCO[C@@H](CC(=O)[O-])C1 ZINC001608088314 1170568391 /nfs/dbraw/zinc/56/83/91/1170568391.db2.gz YWWYXRLOIBMEJN-LBPRGKRZSA-N 0 2 323.397 0.481 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1cccc(CC(=O)[O-])c1 ZINC001608136751 1170590001 /nfs/dbraw/zinc/59/00/01/1170590001.db2.gz RIPWCBDDOKWPFH-LBPRGKRZSA-N 0 2 303.318 0.511 20 0 DCADLN [NH3+][C@H](CC(=O)N[C@@H]1CCCn2nc(C(=O)[O-])cc21)C(F)F ZINC001608249732 1170631043 /nfs/dbraw/zinc/63/10/43/1170631043.db2.gz XCCUEXFSAZZYHS-RNFRBKRXSA-N 0 2 302.281 0.515 20 0 DCADLN Nc1cc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc(Cl)n1 ZINC001608272673 1170638354 /nfs/dbraw/zinc/63/83/54/1170638354.db2.gz SIPANYCVIGQUNL-QMMMGPOBSA-N 0 2 309.713 0.466 20 0 DCADLN Nc1cc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc(Cl)n1 ZINC001608272673 1170638358 /nfs/dbraw/zinc/63/83/58/1170638358.db2.gz SIPANYCVIGQUNL-QMMMGPOBSA-N 0 2 309.713 0.466 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)N[C@@H](C(=O)[O-])c1ccccn1 ZINC001608282232 1170641443 /nfs/dbraw/zinc/64/14/43/1170641443.db2.gz SAHMGTBHJNRBIN-LYKUJDHUSA-N 0 2 305.334 0.212 20 0 DCADLN O=C([O-])c1cc(NC(=O)CN2CC[NH2+]CC2=O)ccc1Cl ZINC001608333781 1170653387 /nfs/dbraw/zinc/65/33/87/1170653387.db2.gz OLSUKNPEGRTBIA-UHFFFAOYSA-N 0 2 311.725 0.409 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CSCc1ccncc1 ZINC001608354500 1170658891 /nfs/dbraw/zinc/65/88/91/1170658891.db2.gz QXJPKPLSVBXXJL-GFCCVEGCSA-N 0 2 320.374 0.850 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CSCc1ccncc1 ZINC001608354500 1170658894 /nfs/dbraw/zinc/65/88/94/1170658894.db2.gz QXJPKPLSVBXXJL-GFCCVEGCSA-N 0 2 320.374 0.850 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)Cc1cn2c([nH+]1)CCCC2)[C@@H]1CCOC1 ZINC001608368958 1170660144 /nfs/dbraw/zinc/66/01/44/1170660144.db2.gz ISURRSAZKXNFJG-YPMHNXCESA-N 0 2 321.377 0.615 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc2c(cn1)OCCO2 ZINC001608486005 1170688639 /nfs/dbraw/zinc/68/86/39/1170688639.db2.gz MPSVLLRQLVEGNO-JTQLQIEISA-N 0 2 318.289 0.002 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc2c(cn1)OCCO2 ZINC001608486005 1170688641 /nfs/dbraw/zinc/68/86/41/1170688641.db2.gz MPSVLLRQLVEGNO-JTQLQIEISA-N 0 2 318.289 0.002 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1nccc2occc21 ZINC001608486156 1170689301 /nfs/dbraw/zinc/68/93/01/1170689301.db2.gz VQXPZUOPPRRZNJ-JTQLQIEISA-N 0 2 300.274 0.977 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1nccc2occc21 ZINC001608486156 1170689303 /nfs/dbraw/zinc/68/93/03/1170689303.db2.gz VQXPZUOPPRRZNJ-JTQLQIEISA-N 0 2 300.274 0.977 20 0 DCADLN O=C([O-])C1=NO[C@@]2(CCN(C(=O)CCCn3cc[nH+]c3)C2)C1 ZINC001608589496 1170709882 /nfs/dbraw/zinc/70/98/82/1170709882.db2.gz QLYJXGUQNXUUHZ-AWEZNQCLSA-N 0 2 306.322 0.495 20 0 DCADLN O=C([O-])[C@@H]1CCN1C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC001608639736 1170728510 /nfs/dbraw/zinc/72/85/10/1170728510.db2.gz FXYDQPHWLKAADB-ZDUSSCGKSA-N 0 2 307.350 0.119 20 0 DCADLN O=C([O-])C[C@@H]1CN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CCO1 ZINC001608699173 1170739592 /nfs/dbraw/zinc/73/95/92/1170739592.db2.gz AKBFRDKEVUUSHN-GHMZBOCLSA-N 0 2 308.338 0.083 20 0 DCADLN O=C([O-])Cc1cc[nH+]c(NCCS(=O)(=O)N2CCCC2)c1 ZINC001608791430 1170754820 /nfs/dbraw/zinc/75/48/20/1170754820.db2.gz KVIUGSAYWZTFKI-UHFFFAOYSA-N 0 2 313.379 0.546 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)CN1C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC001608823359 1170761969 /nfs/dbraw/zinc/76/19/69/1170761969.db2.gz MSPMQXSFGOCTSR-IWSPIJDZSA-N 0 2 321.255 0.423 20 0 DCADLN O=C([O-])[C@]12CCC[C@H]1[N@H+](Cn1nc3cnccn3c1=O)CC2 ZINC001608824812 1170762720 /nfs/dbraw/zinc/76/27/20/1170762720.db2.gz JCMDASPWCVSWFQ-YGRLFVJLSA-N 0 2 303.322 0.178 20 0 DCADLN O=C([O-])[C@]12CCC[C@H]1[N@@H+](Cn1nc3cnccn3c1=O)CC2 ZINC001608824812 1170762722 /nfs/dbraw/zinc/76/27/22/1170762722.db2.gz JCMDASPWCVSWFQ-YGRLFVJLSA-N 0 2 303.322 0.178 20 0 DCADLN O=C([O-])c1cc(-n2cc(C[C@@]3(O)CCSC3)nn2)cc[nH+]1 ZINC001608833062 1170763073 /nfs/dbraw/zinc/76/30/73/1170763073.db2.gz TZOUFJNCTWNDGB-ZDUSSCGKSA-N 0 2 306.347 0.771 20 0 DCADLN CC(=O)Nc1cccc(Cn2cncc(-c3nn[nH]n3)c2=O)c1 ZINC001609064644 1170797714 /nfs/dbraw/zinc/79/77/14/1170797714.db2.gz JWDIUBZMBBRGSR-UHFFFAOYSA-N 0 2 311.305 0.430 20 0 DCADLN C[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CC(C)(C)O1 ZINC001609173815 1170846392 /nfs/dbraw/zinc/84/63/92/1170846392.db2.gz NFDAVUPCMHXWBB-SNVBAGLBSA-N 0 2 304.354 0.485 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCC2CCOCC2)c1=O ZINC001609258218 1170900695 /nfs/dbraw/zinc/90/06/95/1170900695.db2.gz WLRBQBDVAGMVED-UHFFFAOYSA-N 0 2 320.353 0.027 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CCOCC(F)(F)F)c1 ZINC001609386261 1170958887 /nfs/dbraw/zinc/95/88/87/1170958887.db2.gz GPVFRIZPKHAKPC-UHFFFAOYSA-N 0 2 303.244 0.916 20 0 DCADLN CC1(C)CN(C[N@@H+]2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)C(=O)O1 ZINC001609818006 1171092938 /nfs/dbraw/zinc/09/29/38/1171092938.db2.gz GHENVKPYVLXLPU-JTQLQIEISA-N 0 2 323.353 0.802 20 0 DCADLN CC1(C)CN(C[N@H+]2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)C(=O)O1 ZINC001609818006 1171092943 /nfs/dbraw/zinc/09/29/43/1171092943.db2.gz GHENVKPYVLXLPU-JTQLQIEISA-N 0 2 323.353 0.802 20 0 DCADLN CCCS(=O)(=O)CCN1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC001609981612 1171131405 /nfs/dbraw/zinc/13/14/05/1171131405.db2.gz KNLBCLYBHIHYSL-LBPRGKRZSA-N 0 2 306.428 0.292 20 0 DCADLN CCCS(=O)(=O)CCN1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001609981612 1171131407 /nfs/dbraw/zinc/13/14/07/1171131407.db2.gz KNLBCLYBHIHYSL-LBPRGKRZSA-N 0 2 306.428 0.292 20 0 DCADLN COCCN(C)c1ccc(C[N@@H+]2CCOC[C@@H]2CC(=O)[O-])cn1 ZINC001610257611 1171211545 /nfs/dbraw/zinc/21/15/45/1171211545.db2.gz FSNABJZEATVRPZ-AWEZNQCLSA-N 0 2 323.393 0.840 20 0 DCADLN COCCN(C)c1ccc(C[N@H+]2CCOC[C@@H]2CC(=O)[O-])cn1 ZINC001610257611 1171211549 /nfs/dbraw/zinc/21/15/49/1171211549.db2.gz FSNABJZEATVRPZ-AWEZNQCLSA-N 0 2 323.393 0.840 20 0 DCADLN COCc1noc([C@@H](C)[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610274502 1171214765 /nfs/dbraw/zinc/21/47/65/1171214765.db2.gz HJHIQEHHFLYJHJ-BDAKNGLRSA-N 0 2 322.325 0.514 20 0 DCADLN COCc1noc([C@@H](C)[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC001610274502 1171214769 /nfs/dbraw/zinc/21/47/69/1171214769.db2.gz HJHIQEHHFLYJHJ-BDAKNGLRSA-N 0 2 322.325 0.514 20 0 DCADLN Cc1cc([C@@H](C)[N@@H+]2CCN3C(=O)NC(=O)[C@H]3C2)oc1C(=O)[O-] ZINC001610444342 1171236273 /nfs/dbraw/zinc/23/62/73/1171236273.db2.gz DVTOXIGZDLJDDN-RKDXNWHRSA-N 0 2 307.306 0.583 20 0 DCADLN Cc1cc([C@@H](C)[N@H+]2CCN3C(=O)NC(=O)[C@H]3C2)oc1C(=O)[O-] ZINC001610444342 1171236278 /nfs/dbraw/zinc/23/62/78/1171236278.db2.gz DVTOXIGZDLJDDN-RKDXNWHRSA-N 0 2 307.306 0.583 20 0 DCADLN Nc1ccc(CCC(=O)OCc2noc(CCC(=O)[O-])n2)c[nH+]1 ZINC001610648981 1171282872 /nfs/dbraw/zinc/28/28/72/1171282872.db2.gz JPOVDYPTWCPOKW-UHFFFAOYSA-N 0 2 320.305 0.740 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)CSCc1ccncc1 ZINC001610672053 1171287890 /nfs/dbraw/zinc/28/78/90/1171287890.db2.gz GLLDLOITFFSGNW-GFCCVEGCSA-N 0 2 320.374 0.781 20 0 DCADLN O=C([O-])[C@H]1C[NH2+]CCCN1C(=O)c1cc(F)c(O)c(F)c1 ZINC001610747740 1171309371 /nfs/dbraw/zinc/30/93/71/1171309371.db2.gz QJHCPGDGYBEZMX-SNVBAGLBSA-N 0 2 300.261 0.559 20 0 DCADLN O=C([O-])c1cc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)no1 ZINC001610808997 1171331161 /nfs/dbraw/zinc/33/11/61/1171331161.db2.gz ZRTNRJOSIJNJKZ-NSHDSACASA-N 0 2 323.349 0.700 20 0 DCADLN COC(=O)c1c(C)[nH]nc1S(=O)(=O)Oc1cnccc1C ZINC001622640469 1171373179 /nfs/dbraw/zinc/37/31/79/1171373179.db2.gz IMQYEULWLRCGNW-UHFFFAOYSA-N 0 2 311.319 0.976 20 0 DCADLN COC(=O)C1(S(=O)(=O)NCCCc2cn[nH]c2C)CCC1 ZINC001622203922 1171419658 /nfs/dbraw/zinc/41/96/58/1171419658.db2.gz OBSCTOOMKAEHNE-UHFFFAOYSA-N 0 2 315.395 0.666 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1Cc2cncnc2C1 ZINC001628615284 1171531967 /nfs/dbraw/zinc/53/19/67/1171531967.db2.gz XUEILRATGVDDBJ-UHFFFAOYSA-N 0 2 324.300 0.212 20 0 DCADLN COc1cccc([C@@H](O)C(=O)NCCc2n[nH]c(=S)o2)c1 ZINC001633467823 1171642610 /nfs/dbraw/zinc/64/26/10/1171642610.db2.gz BGOZZUKPVWTERP-LLVKDONJSA-N 0 2 309.347 0.759 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cn(-c2ccccc2)nn1 ZINC001634153313 1171663051 /nfs/dbraw/zinc/66/30/51/1171663051.db2.gz ZJYNAGHVKHGBIK-UHFFFAOYSA-N 0 2 312.289 0.399 20 0 DCADLN C[C@H](NC(=O)C1CCCC1)C(=O)NCCc1n[nH]c(=S)o1 ZINC001634590775 1171678804 /nfs/dbraw/zinc/67/88/04/1171678804.db2.gz FMNIULKIVBNWBM-QMMMGPOBSA-N 0 2 312.395 0.712 20 0 DCADLN O=C(C[C@H]1CC(=O)N1)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001635456049 1171703725 /nfs/dbraw/zinc/70/37/25/1171703725.db2.gz MXPMZAPVKHINPJ-GHMZBOCLSA-N 0 2 315.333 0.189 20 0 DCADLN O=C(CNC(=O)CC1CCCC1)NCCc1n[nH]c(=S)o1 ZINC001639104871 1171821578 /nfs/dbraw/zinc/82/15/78/1171821578.db2.gz VUUJOCRAXQDPOC-UHFFFAOYSA-N 0 2 312.395 0.714 20 0 DCADLN CON(C)C1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC001639524967 1171840940 /nfs/dbraw/zinc/84/09/40/1171840940.db2.gz GSNPMFGLDXJBOR-UHFFFAOYSA-N 0 2 302.334 0.896 20 0 DCADLN O=C(CCc1nn[nH]n1)Nc1ccc(F)c(-c2nnc[nH]2)c1 ZINC001639733495 1171850320 /nfs/dbraw/zinc/85/03/20/1171850320.db2.gz WXNLSVZKPLDRHP-UHFFFAOYSA-N 0 2 302.273 0.695 20 0 DCADLN COCc1nnc(CNC(=O)c2cc(-c3ccco3)n[nH]2)[nH]1 ZINC001639968173 1171860631 /nfs/dbraw/zinc/86/06/31/1171860631.db2.gz AXOXICLXQYWHAD-UHFFFAOYSA-N 0 2 302.294 0.864 20 0 DCADLN CCO[N-]C(=O)CNC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC001640758076 1171891788 /nfs/dbraw/zinc/89/17/88/1171891788.db2.gz CJNBJYSIQVUWNE-UHFFFAOYSA-N 0 2 304.306 0.375 20 0 DCADLN COCCC[C@@H](C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001645432296 1172040729 /nfs/dbraw/zinc/04/07/29/1172040729.db2.gz BUPMZKDHJYSUJE-SECBINFHSA-N 0 2 318.399 0.028 20 0 DCADLN COCC1(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC001645887136 1172171897 /nfs/dbraw/zinc/17/18/97/1172171897.db2.gz FXHWFLGOYLKMKY-UHFFFAOYSA-N 0 2 305.338 0.004 20 0 DCADLN CN(C(=O)NC[C@@H]1CCCC[NH+]1C)[C@H]1CC[N@H+]2CCO[C@H]1C2 ZINC001646820436 1172550404 /nfs/dbraw/zinc/55/04/04/1172550404.db2.gz RPLOECRUUXFLQO-KKUMJFAQSA-N 0 2 310.442 0.585 20 0 DCADLN COc1cc(F)cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC001647105689 1172676966 /nfs/dbraw/zinc/67/69/66/1172676966.db2.gz ORWUPFVYBVXAEN-UHFFFAOYSA-N 0 2 317.280 0.858 20 0 DCADLN CCn1[nH]cc(-c2nnc(N(CCF)CCOC)n2C)c1=O ZINC001647688165 1173032866 /nfs/dbraw/zinc/03/28/66/1173032866.db2.gz GBUFNLSBJYXZMH-UHFFFAOYSA-N 0 2 312.349 0.826 20 0 DCADLN CC(C)[NH+]1CCN(C(=O)N[C@@H](C)[C@@H]2C[N@H+](C)CCN2C)CC1 ZINC001649311773 1173096735 /nfs/dbraw/zinc/09/67/35/1173096735.db2.gz MCAMIQCHCMRCLE-GJZGRUSLSA-N 0 2 311.474 0.356 20 0 DCADLN NC(=O)c1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001649794715 1173229612 /nfs/dbraw/zinc/22/96/12/1173229612.db2.gz YLTWZNIWLDGIIR-VIFPVBQESA-N 0 2 316.321 0.024 20 0 DCADLN O=C(CCc1cncnc1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001649855092 1173262346 /nfs/dbraw/zinc/26/23/46/1173262346.db2.gz WIVSUQRNEJOUSN-LLVKDONJSA-N 0 2 302.338 0.639 20 0 DCADLN COC[C@H](NC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1)C(=O)[O-] ZINC001650025600 1173386944 /nfs/dbraw/zinc/38/69/44/1173386944.db2.gz UFFOINFEIQHRCL-KBPBESRZSA-N 0 2 321.377 0.652 20 0 DCADLN COC[C@H](NC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1)C(=O)[O-] ZINC001650025600 1173386949 /nfs/dbraw/zinc/38/69/49/1173386949.db2.gz UFFOINFEIQHRCL-KBPBESRZSA-N 0 2 321.377 0.652 20 0 DCADLN Cn1c(-c2n[nH]c(Cl)n2)nnc1N1CC[C@@]2(CCOC2)C1 ZINC001650266246 1173537808 /nfs/dbraw/zinc/53/78/08/1173537808.db2.gz LGXYEYCBBPWXQD-GFCCVEGCSA-N 0 2 309.761 0.870 20 0 DCADLN Nc1nc2nc(CN3CCC[C@@H]3c3ncc[nH]3)cc(=O)n2[nH]1 ZINC001657990454 1173918388 /nfs/dbraw/zinc/91/83/88/1173918388.db2.gz SVBFEWVKAMPPSF-SECBINFHSA-N 0 2 300.326 0.060 20 0 DCADLN O=C(C=C1CCCCC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001665258670 1174497300 /nfs/dbraw/zinc/49/73/00/1174497300.db2.gz UKJVDQXHSHYURZ-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN C[C@@]1(NC(=O)C=Cc2ccco2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001671608061 1175021048 /nfs/dbraw/zinc/02/10/48/1175021048.db2.gz FTPFTYAWRJSUDY-MBVDDHJVSA-N 0 2 317.349 0.897 20 0 DCADLN C[C@@]1(NC(=O)C=Cc2ccco2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001671608061 1175021053 /nfs/dbraw/zinc/02/10/53/1175021053.db2.gz FTPFTYAWRJSUDY-MBVDDHJVSA-N 0 2 317.349 0.897 20 0 DCADLN C=C/C(C)=C/CC(=O)NC[C@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001672019371 1175064955 /nfs/dbraw/zinc/06/49/55/1175064955.db2.gz XOWJRSUZQNFGCX-XPMGFOTESA-N 0 2 321.381 0.086 20 0 DCADLN C=C/C(C)=C/CC(=O)NC[C@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001672019371 1175064956 /nfs/dbraw/zinc/06/49/56/1175064956.db2.gz XOWJRSUZQNFGCX-XPMGFOTESA-N 0 2 321.381 0.086 20 0 DCADLN CC(C)C(=O)NCCNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001677050427 1175515338 /nfs/dbraw/zinc/51/53/38/1175515338.db2.gz PQRPBGPEYOYBEW-SNVBAGLBSA-N 0 2 324.385 0.172 20 0 DCADLN COCCCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001689641546 1176983111 /nfs/dbraw/zinc/98/31/11/1176983111.db2.gz LPRAWEGYWDVSMP-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN COCCCC(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001689641546 1176983116 /nfs/dbraw/zinc/98/31/16/1176983116.db2.gz LPRAWEGYWDVSMP-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN COc1nccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001720130400 1178682101 /nfs/dbraw/zinc/68/21/01/1178682101.db2.gz RZDVPBOJUWOIJW-ZETCQYMHSA-N 0 2 324.234 0.232 20 0 DCADLN COc1nccc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001720130400 1178682104 /nfs/dbraw/zinc/68/21/04/1178682104.db2.gz RZDVPBOJUWOIJW-ZETCQYMHSA-N 0 2 324.234 0.232 20 0 DCADLN CC(C)[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)C(N)=O ZINC001701556402 1179067733 /nfs/dbraw/zinc/06/77/33/1179067733.db2.gz LYKRHAOXRAERTM-RQJHMYQMSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(N)=O ZINC001701556402 1179067741 /nfs/dbraw/zinc/06/77/41/1179067741.db2.gz LYKRHAOXRAERTM-RQJHMYQMSA-N 0 2 315.267 0.019 20 0 DCADLN COc1nc(C(=O)NCCNC(=O)C(F)C(F)(F)F)co1 ZINC001703218406 1179400518 /nfs/dbraw/zinc/40/05/18/1179400518.db2.gz NJUJZMRADBXKQX-LURJTMIESA-N 0 2 313.207 0.430 20 0 DCADLN COc1nc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)co1 ZINC001703218406 1179400521 /nfs/dbraw/zinc/40/05/21/1179400521.db2.gz NJUJZMRADBXKQX-LURJTMIESA-N 0 2 313.207 0.430 20 0 DCADLN CO[C@@H](C)C(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC001703592351 1179608674 /nfs/dbraw/zinc/60/86/74/1179608674.db2.gz DTKMCEJEIANXCV-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN CCOCCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001705326586 1180345016 /nfs/dbraw/zinc/34/50/16/1180345016.db2.gz MURJZWSCFYRJGS-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CCOCCC(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001705326586 1180345025 /nfs/dbraw/zinc/34/50/25/1180345025.db2.gz MURJZWSCFYRJGS-JTQLQIEISA-N 0 2 314.279 0.983 20 0 DCADLN CC(C)=CC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001721742984 1180559590 /nfs/dbraw/zinc/55/95/90/1180559590.db2.gz HFUNBEINQADNNG-IONNQARKSA-N 0 2 300.252 0.446 20 0 DCADLN CC(C)=CC(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001721742984 1180559593 /nfs/dbraw/zinc/55/95/93/1180559593.db2.gz HFUNBEINQADNNG-IONNQARKSA-N 0 2 300.252 0.446 20 0 DCADLN CCCOCC(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001717859265 1183035144 /nfs/dbraw/zinc/03/51/44/1183035144.db2.gz DVNMLLQSCKATAK-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CCCOCC(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001717859265 1183035151 /nfs/dbraw/zinc/03/51/51/1183035151.db2.gz DVNMLLQSCKATAK-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(C[C@H]1C=CCCC1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001723435208 1184305457 /nfs/dbraw/zinc/30/54/57/1184305457.db2.gz UZUAWJWFHNQGDO-RYUDHWBXSA-N 0 2 305.382 0.947 20 0 DCADLN CCCN1C[C@@H](C(=O)NCCCN(C)Cc2ccon2)CC1=O ZINC001731268982 1185232260 /nfs/dbraw/zinc/23/22/60/1185232260.db2.gz WOUBBRQZMRETPV-ZDUSSCGKSA-N 0 2 322.409 0.871 20 0 DCADLN CCOCCC(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001732401542 1185798847 /nfs/dbraw/zinc/79/88/47/1185798847.db2.gz FEIFOTOMZXVPQY-UHFFFAOYSA-N 0 2 323.397 0.361 20 0 DCADLN CCCCO[C@@H](C)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001733207619 1186180113 /nfs/dbraw/zinc/18/01/13/1186180113.db2.gz PKJUYROHBFWGGY-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN Cn1ccc(C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F)n1 ZINC001738514918 1187580731 /nfs/dbraw/zinc/58/07/31/1187580731.db2.gz ZSGSLDCIBURNTD-PKRMOACSSA-N 0 2 322.262 0.723 20 0 DCADLN Cn1ccc(C(=O)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001738514918 1187580733 /nfs/dbraw/zinc/58/07/33/1187580733.db2.gz ZSGSLDCIBURNTD-PKRMOACSSA-N 0 2 322.262 0.723 20 0 DCADLN CC(C)OCCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001740484129 1187921495 /nfs/dbraw/zinc/92/14/95/1187921495.db2.gz UIYFJMPAOWAZBV-SECBINFHSA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)OCCC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001740484129 1187921498 /nfs/dbraw/zinc/92/14/98/1187921498.db2.gz UIYFJMPAOWAZBV-SECBINFHSA-N 0 2 302.268 0.934 20 0 DCADLN CC1(C(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)CCO2)CC=CC1 ZINC001753652127 1188632972 /nfs/dbraw/zinc/63/29/72/1188632972.db2.gz AKIBBIRGALJVQG-NSHDSACASA-N 0 2 321.381 0.184 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cncnc1 ZINC001758159143 1189760236 /nfs/dbraw/zinc/76/02/36/1189760236.db2.gz IMTQRZLDOZIOIY-MRVPVSSYSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1cncnc1 ZINC001758159143 1189760241 /nfs/dbraw/zinc/76/02/41/1189760241.db2.gz IMTQRZLDOZIOIY-MRVPVSSYSA-N 0 2 308.235 0.613 20 0 DCADLN O=C(Cc1cnoc1)NCCCNC(=O)C(F)C(F)(F)F ZINC001758191675 1189775180 /nfs/dbraw/zinc/77/51/80/1189775180.db2.gz QCFHCHWRHPYOGF-SECBINFHSA-N 0 2 311.235 0.740 20 0 DCADLN O=C(Cc1cnoc1)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001758191675 1189775185 /nfs/dbraw/zinc/77/51/85/1189775185.db2.gz QCFHCHWRHPYOGF-SECBINFHSA-N 0 2 311.235 0.740 20 0 DCADLN O=C(NCC=CCNC(=O)C(F)C(F)(F)F)c1cn[nH]n1 ZINC001759718094 1190278867 /nfs/dbraw/zinc/27/88/67/1190278867.db2.gz NYXQXQZEHFAOII-HIXXPVPXSA-N 0 2 309.223 0.107 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NCC=CCNC(=O)c2[nH]ncc2F)C1 ZINC001759751712 1190289101 /nfs/dbraw/zinc/28/91/01/1190289101.db2.gz DKMZIZLDWNVSFP-OTDNITJGSA-N 0 2 323.372 0.293 20 0 DCADLN Cn1cnnc1C1CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC001771612423 1190451311 /nfs/dbraw/zinc/45/13/11/1190451311.db2.gz URGGAHCGHUJGCJ-SECBINFHSA-N 0 2 322.394 0.078 20 0 DCADLN O=C([C@H]1CCn2ccnc2C1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001771753183 1190489424 /nfs/dbraw/zinc/48/94/24/1190489424.db2.gz POFMNRMTYXBMGS-WDEREUQCSA-N 0 2 316.365 0.675 20 0 DCADLN C[C@H](NC(=O)NCc1ccccc1C[NH+]1CCOCC1)C(=O)[O-] ZINC001771917117 1190554959 /nfs/dbraw/zinc/55/49/59/1190554959.db2.gz YONLXECFJRLCSL-LBPRGKRZSA-N 0 2 321.377 0.791 20 0 DCADLN CCc1ccnc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001042766463 751896187 /nfs/dbraw/zinc/89/61/87/751896187.db2.gz YADWUAQDBPHMCU-UHFFFAOYSA-N 0 2 316.365 0.424 20 0 DCADLN CN(C(=O)c1[nH]ccc1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042885211 751965725 /nfs/dbraw/zinc/96/57/25/751965725.db2.gz UCGFDANWTJYGSC-UHFFFAOYSA-N 0 2 316.365 0.672 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+][C@@H](C)c2nc(C3CC3)no2)c1[O-] ZINC001125749828 747548035 /nfs/dbraw/zinc/54/80/35/747548035.db2.gz QEPZJQDOPKCWOE-QMMMGPOBSA-N 0 2 320.353 0.765 20 0 DCADLN O=C(NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1)c1cc[nH]c1 ZINC001043395132 752216614 /nfs/dbraw/zinc/21/66/14/752216614.db2.gz NMECJHDRYCSNSB-MRVPVSSYSA-N 0 2 323.246 0.218 20 0 DCADLN O=C(NCC1(O)CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cc[nH]c1 ZINC001043395132 752216620 /nfs/dbraw/zinc/21/66/20/752216620.db2.gz NMECJHDRYCSNSB-MRVPVSSYSA-N 0 2 323.246 0.218 20 0 DCADLN C[C@H]1CC[C@@H](CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001043562560 752288430 /nfs/dbraw/zinc/28/84/30/752288430.db2.gz IFWZQSDOJKAYNN-WDEREUQCSA-N 0 2 307.398 0.979 20 0 DCADLN CCCCCC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1OC ZINC001211859729 748864707 /nfs/dbraw/zinc/86/47/07/748864707.db2.gz OYIUDQQBSRKITL-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2c[nH]nc2C)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087679925 749016019 /nfs/dbraw/zinc/01/60/19/749016019.db2.gz PWXXLGMTFHKPJA-WDEREUQCSA-N 0 2 319.369 0.325 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)c2c[nH]nc2C)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087679925 749016024 /nfs/dbraw/zinc/01/60/24/749016024.db2.gz PWXXLGMTFHKPJA-WDEREUQCSA-N 0 2 319.369 0.325 20 0 DCADLN CC1(C)COC(=O)[C@@H]1Oc1[nH]c(=O)nnc1Br ZINC001227063777 749129502 /nfs/dbraw/zinc/12/95/02/749129502.db2.gz IXVUVBJTHBPZGW-BYPYZUCNSA-N 0 2 304.100 0.670 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccncc2F)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088504594 749523708 /nfs/dbraw/zinc/52/37/08/749523708.db2.gz RCHSHDNXXNEYEI-KCJUWKMLSA-N 0 2 320.328 0.437 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCOCC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088546634 749573321 /nfs/dbraw/zinc/57/33/21/749573321.db2.gz MGHOEXSDTYUYKP-UTUOFQBUSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCOCC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088546634 749573323 /nfs/dbraw/zinc/57/33/23/749573323.db2.gz MGHOEXSDTYUYKP-UTUOFQBUSA-N 0 2 323.397 0.406 20 0 DCADLN CCCNC(=O)CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001112867383 761983479 /nfs/dbraw/zinc/98/34/79/761983479.db2.gz OEUULFVGUNDVDF-SNVBAGLBSA-N 0 2 313.295 0.557 20 0 DCADLN CCCNC(=O)CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001112867383 761983483 /nfs/dbraw/zinc/98/34/83/761983483.db2.gz OEUULFVGUNDVDF-SNVBAGLBSA-N 0 2 313.295 0.557 20 0 DCADLN CC(C)[NH+]1C[C@@H](NC(=O)c2cc([C@H]3CCC[N@H+]3C)n[nH]2)[C@@H](O)C1 ZINC001083477460 749990139 /nfs/dbraw/zinc/99/01/39/749990139.db2.gz PXSUBVOGZMNKHS-KFWWJZLASA-N 0 2 321.425 0.360 20 0 DCADLN Cc1nc(C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)cs1 ZINC001043626522 752321619 /nfs/dbraw/zinc/32/16/19/752321619.db2.gz MSBDCPOBYPTHRW-UHFFFAOYSA-N 0 2 308.367 0.232 20 0 DCADLN CC(C)[NH+]1CCC[C@H]1C(=O)NC[C@]1(C)C[N@@H+](CCO)CCO1 ZINC001107943038 752572866 /nfs/dbraw/zinc/57/28/66/752572866.db2.gz YOTSUGIYDGHVPV-GOEBONIOSA-N 0 2 313.442 0.059 20 0 DCADLN CN(C(=O)c1cnoc1C1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044130019 752584195 /nfs/dbraw/zinc/58/41/95/752584195.db2.gz AJQYJASQYMJVEF-UHFFFAOYSA-N 0 2 318.337 0.332 20 0 DCADLN CC[C@@H]1C[C@@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCO1 ZINC001044154755 752598636 /nfs/dbraw/zinc/59/86/36/752598636.db2.gz ZMXLKCKKVAVMBN-CMPLNLGQSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)n1cccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044304471 752679491 /nfs/dbraw/zinc/67/94/91/752679491.db2.gz XZNMVYSYQQWXRN-UHFFFAOYSA-N 0 2 318.381 0.849 20 0 DCADLN CN(C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044357076 752704017 /nfs/dbraw/zinc/70/40/17/752704017.db2.gz LBBQJWXQJLDQAK-JWSAXSAHSA-N 0 2 317.393 0.445 20 0 DCADLN C[C@@H](CC(F)F)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113125544 762151561 /nfs/dbraw/zinc/15/15/61/762151561.db2.gz NQCKRIKDCXUHHG-QMMMGPOBSA-N 0 2 303.313 0.446 20 0 DCADLN C[C@]1(NC(=O)c2ccncc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046101912 753481355 /nfs/dbraw/zinc/48/13/55/753481355.db2.gz OFVXQOCVNIJBEB-AWEZNQCLSA-N 0 2 302.338 0.300 20 0 DCADLN C[C@]1(NC(=O)c2ccncc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046101912 753481356 /nfs/dbraw/zinc/48/13/56/753481356.db2.gz OFVXQOCVNIJBEB-AWEZNQCLSA-N 0 2 302.338 0.300 20 0 DCADLN Cc1cnc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001046116867 753488771 /nfs/dbraw/zinc/48/87/71/753488771.db2.gz CGDJAJDUSXAHIG-AWEZNQCLSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1nc(C(=O)N[C@@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001046254909 753590549 /nfs/dbraw/zinc/59/05/49/753590549.db2.gz OAEFWWUCOZEFNR-ZDUSSCGKSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CCCOCC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046278703 753608551 /nfs/dbraw/zinc/60/85/51/753608551.db2.gz RTHBUOFLODNVED-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CCCOCC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046278703 753608552 /nfs/dbraw/zinc/60/85/52/753608552.db2.gz RTHBUOFLODNVED-IAQYHMDHSA-N 0 2 323.397 0.408 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046502705 753753074 /nfs/dbraw/zinc/75/30/74/753753074.db2.gz LMIQRUOKQIZNLK-JRPNMDOOSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046502705 753753081 /nfs/dbraw/zinc/75/30/81/753753081.db2.gz LMIQRUOKQIZNLK-JRPNMDOOSA-N 0 2 323.397 0.406 20 0 DCADLN CCC(=O)N1CCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001064697155 755452098 /nfs/dbraw/zinc/45/20/98/755452098.db2.gz UHEWVLNFPIUCEL-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)N1CCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001064697155 755452100 /nfs/dbraw/zinc/45/21/00/755452100.db2.gz UHEWVLNFPIUCEL-IONNQARKSA-N 0 2 300.252 0.640 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)on1 ZINC001079413202 755815706 /nfs/dbraw/zinc/81/57/06/755815706.db2.gz SMYKLCHEGHUNGN-VXNVDRBHSA-N 0 2 306.326 0.057 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cc(Cl)c[nH]1 ZINC001079418535 755817006 /nfs/dbraw/zinc/81/70/06/755817006.db2.gz BSBJZVKYLXPCNN-GMSGAONNSA-N 0 2 324.772 0.742 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1cc(Cl)c[nH]1 ZINC001079418535 755817010 /nfs/dbraw/zinc/81/70/10/755817010.db2.gz BSBJZVKYLXPCNN-GMSGAONNSA-N 0 2 324.772 0.742 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1C=CCC1 ZINC001079406877 755828164 /nfs/dbraw/zinc/82/81/64/755828164.db2.gz CAXAEJHYAQJGQB-GRYCIOLGSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@H]1C=CCC1 ZINC001079406877 755828168 /nfs/dbraw/zinc/82/81/68/755828168.db2.gz CAXAEJHYAQJGQB-GRYCIOLGSA-N 0 2 305.382 0.803 20 0 DCADLN C[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccncc1F ZINC001079519918 755896185 /nfs/dbraw/zinc/89/61/85/755896185.db2.gz IVUIXSGXFICXDQ-LDYMZIIASA-N 0 2 320.328 0.295 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)CCOC)C1 ZINC001079900923 756033429 /nfs/dbraw/zinc/03/34/29/756033429.db2.gz BNSKUVWABWKVTB-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)CCOC)C1 ZINC001079900923 756033435 /nfs/dbraw/zinc/03/34/35/756033435.db2.gz BNSKUVWABWKVTB-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCc1ccc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)o1 ZINC001079972887 756068015 /nfs/dbraw/zinc/06/80/15/756068015.db2.gz OYZQQCKQWFYRNQ-MWLCHTKSSA-N 0 2 319.365 0.916 20 0 DCADLN O=C(N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[nH]3)C2)C1)C1CCCC1 ZINC001053716753 756162606 /nfs/dbraw/zinc/16/26/06/756162606.db2.gz ZDPOGLLYVQDVFY-NSHDSACASA-N 0 2 321.381 0.160 20 0 DCADLN Cc1coc(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2C)c1 ZINC001080295488 756164354 /nfs/dbraw/zinc/16/43/54/756164354.db2.gz XESFRBYEAPYMOZ-NXEZZACHSA-N 0 2 305.338 0.662 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001080591963 756257005 /nfs/dbraw/zinc/25/70/05/756257005.db2.gz LCFWLUMPSNTQBL-GWNIPJSYSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001080591963 756257010 /nfs/dbraw/zinc/25/70/10/756257010.db2.gz LCFWLUMPSNTQBL-GWNIPJSYSA-N 0 2 319.409 0.883 20 0 DCADLN Cc1nonc1C[NH2+][C@H]1CN(C(=O)c2n[nH]c(C)c2[O-])C[C@@H]1C ZINC001054574283 756545688 /nfs/dbraw/zinc/54/56/88/756545688.db2.gz QKTUDANCSMFVNO-CPCISQLKSA-N 0 2 320.353 0.365 20 0 DCADLN CC(C)CC(=O)NC1CC[NH+](CCNC(=O)c2ncn[nH]2)CC1 ZINC001055613206 756835302 /nfs/dbraw/zinc/83/53/02/756835302.db2.gz CDOVDSNYVQPRKZ-UHFFFAOYSA-N 0 2 322.413 0.161 20 0 DCADLN CC[C@H](C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001057023208 757037358 /nfs/dbraw/zinc/03/73/58/757037358.db2.gz UUSXZLMMBPJQCB-IUCAKERBSA-N 0 2 316.295 0.870 20 0 DCADLN CC[C@H](C)C(=O)N(CCO)CCNC(=O)[C@H](F)C(F)(F)F ZINC001057023208 757037363 /nfs/dbraw/zinc/03/73/63/757037363.db2.gz UUSXZLMMBPJQCB-IUCAKERBSA-N 0 2 316.295 0.870 20 0 DCADLN O=C(CCC1CC1)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001083028343 757429586 /nfs/dbraw/zinc/42/95/86/757429586.db2.gz JXRILNVQYAERML-NWDGAFQWSA-N 0 2 321.381 0.112 20 0 DCADLN C[C@@H](C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21)C1CCC1 ZINC001084443940 757863742 /nfs/dbraw/zinc/86/37/42/757863742.db2.gz DIWKKFAIZAWUFA-RAIGVLPGSA-N 0 2 319.409 0.979 20 0 DCADLN Cc1ccoc1C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001050822316 758120379 /nfs/dbraw/zinc/12/03/79/758120379.db2.gz JFKJNSSUBUBELG-JTQLQIEISA-N 0 2 321.337 0.043 20 0 DCADLN CCC(=O)NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001065236551 758300094 /nfs/dbraw/zinc/30/00/94/758300094.db2.gz IOJQOAPOWFTIOZ-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)NC[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001065236551 758300099 /nfs/dbraw/zinc/30/00/99/758300099.db2.gz IOJQOAPOWFTIOZ-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cc(Cl)c[nH]1 ZINC001085482591 758928959 /nfs/dbraw/zinc/92/89/59/758928959.db2.gz IDZJJHQSGJNMTC-SECBINFHSA-N 0 2 324.772 0.838 20 0 DCADLN C/C=C(\C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057830267 759573217 /nfs/dbraw/zinc/57/32/17/759573217.db2.gz BWTPLUPFFBEFIV-QZGLAHODSA-N 0 2 314.279 0.790 20 0 DCADLN C/C=C(\C)C(=O)NCCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001057830267 759573222 /nfs/dbraw/zinc/57/32/22/759573222.db2.gz BWTPLUPFFBEFIV-QZGLAHODSA-N 0 2 314.279 0.790 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H]2C[C@H](CNC(=O)c3ncn[nH]3)C2)s1 ZINC001086508508 760269783 /nfs/dbraw/zinc/26/97/83/760269783.db2.gz WMRQUWGNRMPSOM-SZEHBUNVSA-N 0 2 321.410 0.824 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H]2C[C@H](CNC(=O)c3nc[nH]n3)C2)s1 ZINC001086508508 760269788 /nfs/dbraw/zinc/26/97/88/760269788.db2.gz WMRQUWGNRMPSOM-SZEHBUNVSA-N 0 2 321.410 0.824 20 0 DCADLN Cc1nc(C[NH2+][C@H]2C[C@H](CNC(=O)c3ncn[nH]3)C2)c(C)o1 ZINC001086508117 760271919 /nfs/dbraw/zinc/27/19/19/760271919.db2.gz CNMRKCANYBTNNC-XYPYZODXSA-N 0 2 304.354 0.708 20 0 DCADLN CCN1CCO[C@](C)(CNC(=O)CNC(=O)CCC(C)C)C1 ZINC001108282589 760274442 /nfs/dbraw/zinc/27/44/42/760274442.db2.gz WZPKFMBIGSEOFS-MRXNPFEDSA-N 0 2 313.442 0.766 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1c(F)ccnc1F ZINC001129367691 761080237 /nfs/dbraw/zinc/08/02/37/761080237.db2.gz SBSOEQLYNCXAAM-UHFFFAOYSA-N 0 2 306.232 0.383 20 0 DCADLN CCC[NH+](C)CC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001148647315 768023253 /nfs/dbraw/zinc/02/32/53/768023253.db2.gz ROEGSICESXQRGX-UHFFFAOYSA-N 0 2 321.425 0.754 20 0 DCADLN CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)[NH+](C)CC)C2 ZINC001109466398 761430251 /nfs/dbraw/zinc/43/02/51/761430251.db2.gz ZXIGPQQWGRNPFB-RDBSUJKOSA-N 0 2 324.469 0.574 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2ccco2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071311849 761772154 /nfs/dbraw/zinc/77/21/54/761772154.db2.gz BMEPSLKNIAKGGT-VHSXEESVSA-N 0 2 305.338 0.886 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C2=CCOCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071458785 761875383 /nfs/dbraw/zinc/87/53/83/761875383.db2.gz WPXZZSXWFDCBOJ-PWSUYJOCSA-N 0 2 321.381 0.326 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)C2=CCOCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071458785 761875393 /nfs/dbraw/zinc/87/53/93/761875393.db2.gz WPXZZSXWFDCBOJ-PWSUYJOCSA-N 0 2 321.381 0.326 20 0 DCADLN CC(C)C(=O)N1C[C@@H](C(F)(F)F)[C@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001099214069 762837200 /nfs/dbraw/zinc/83/72/00/762837200.db2.gz KXAJOEXQKJEROS-HTQZYQBOSA-N 0 2 321.303 0.645 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)Nc1nccn2nnnc12 ZINC001098328188 763679461 /nfs/dbraw/zinc/67/94/61/763679461.db2.gz USIMVPWOTUYKTO-PHDIDXHHSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)Nc1nccn2nnnc12 ZINC001098328188 763679466 /nfs/dbraw/zinc/67/94/66/763679466.db2.gz USIMVPWOTUYKTO-PHDIDXHHSA-N 0 2 321.238 0.336 20 0 DCADLN O=C(c1ccnc2n[nH]nc21)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001118280213 766276822 /nfs/dbraw/zinc/27/68/22/766276822.db2.gz IEBTWXHKHQIOSC-ZETCQYMHSA-N 0 2 314.309 0.196 20 0 DCADLN O=C(Cn1cc[nH+]c1)N[C@@H]1CC[N@H+](CCOC2CCC2)C[C@@H]1O ZINC001090847538 766663813 /nfs/dbraw/zinc/66/38/13/766663813.db2.gz XRZVORBFXMJIID-CABCVRRESA-N 0 2 322.409 0.004 20 0 DCADLN CC[C@@H](C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149208668 767039363 /nfs/dbraw/zinc/03/93/63/767039363.db2.gz VMHOXROKWVUYTG-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCC=CCO)=N2)cc1 ZINC001146720919 767512685 /nfs/dbraw/zinc/51/26/85/767512685.db2.gz SIWGXGCHFLMMMS-IHWYPQMZSA-N 0 2 303.318 0.865 20 0 DCADLN CC(=O)NC1CCC(C(=O)NCCc2n[nH]c(=S)o2)CC1 ZINC001138525997 768423250 /nfs/dbraw/zinc/42/32/50/768423250.db2.gz WUKUHQNVMQVABV-UHFFFAOYSA-N 0 2 312.395 0.712 20 0 DCADLN O=C(/C=C\C1CC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149528437 768774696 /nfs/dbraw/zinc/77/46/96/768774696.db2.gz IDPKUZPVZHDIOJ-QMAVJUDZSA-N 0 2 321.381 0.184 20 0 DCADLN CC/C(C)=C/C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149694758 768824541 /nfs/dbraw/zinc/82/45/41/768824541.db2.gz UDEMQZNTMAUMIZ-YTRUQHMWSA-N 0 2 323.397 0.574 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCc1ccco1 ZINC001230765232 768841475 /nfs/dbraw/zinc/84/14/75/768841475.db2.gz BYCDXKCQADQBOZ-NSHDSACASA-N 0 2 319.365 0.769 20 0 DCADLN C/C(=C/C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001230957974 769140401 /nfs/dbraw/zinc/14/04/01/769140401.db2.gz KJLMQAJMNRRRHS-UMAGTOLTSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@H]2CC[N@H+]2CCC[C@H](C)O)c1[O-] ZINC001233634297 769415829 /nfs/dbraw/zinc/41/58/29/769415829.db2.gz KHITUFLILJITAP-CMPLNLGQSA-N 0 2 310.398 0.731 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)C[C@H]2CC[N@@H+]2CCC[C@H](C)O)c1[O-] ZINC001233634297 769415830 /nfs/dbraw/zinc/41/58/30/769415830.db2.gz KHITUFLILJITAP-CMPLNLGQSA-N 0 2 310.398 0.731 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)COC(C)(C)C ZINC001233810978 769458545 /nfs/dbraw/zinc/45/85/45/769458545.db2.gz SULLTZZHRCFTKU-SNVBAGLBSA-N 0 2 311.386 0.358 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C=Cc1ccc[nH]1 ZINC001233938050 769502405 /nfs/dbraw/zinc/50/24/05/769502405.db2.gz SRDFPJDVXFVUSE-RXNFCKPNSA-N 0 2 316.365 0.585 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)c1ccoc1 ZINC001095176073 769633292 /nfs/dbraw/zinc/63/32/92/769633292.db2.gz ZEVFOJGEVSYDSZ-VWYCJHECSA-N 0 2 303.322 0.639 20 0 DCADLN O=C(NCCN1CCCCC1=O)c1c[nH]c2cccnc2c1=O ZINC001153854311 769962578 /nfs/dbraw/zinc/96/25/78/769962578.db2.gz VNPTVFAYEBDIRQ-UHFFFAOYSA-N 0 2 314.345 0.665 20 0 DCADLN CC(C)[C@](C)(NC(=O)c1c[nH]c2cccnc2c1=O)C(N)=O ZINC001153859975 769967324 /nfs/dbraw/zinc/96/73/24/769967324.db2.gz DKGUFBCRVKRPST-HNNXBMFYSA-N 0 2 302.334 0.553 20 0 DCADLN CN1C[C@H](NC(=O)c2c[nH]c3cccnc3c2=O)CCC1=O ZINC001153860375 769968019 /nfs/dbraw/zinc/96/80/19/769968019.db2.gz NQCCUIXEWRPMFS-SECBINFHSA-N 0 2 300.318 0.274 20 0 DCADLN O=C(NCCN1CCCCO1)c1c[nH]c2cccnc2c1=O ZINC001153859927 769968090 /nfs/dbraw/zinc/96/80/90/769968090.db2.gz BKIQIESRYSFTMB-UHFFFAOYSA-N 0 2 302.334 0.680 20 0 DCADLN CCCCC(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C1 ZINC001176916945 770250610 /nfs/dbraw/zinc/25/06/10/770250610.db2.gz ABBJFWVUGGUSBE-NWDGAFQWSA-N 0 2 323.397 0.502 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccn(C)c1CC(=O)[O-] ZINC001177369255 770418386 /nfs/dbraw/zinc/41/83/86/770418386.db2.gz MTUQLJVOCPOQQM-LLVKDONJSA-N 0 2 309.366 0.103 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)c1ccn(C)c1CC(=O)[O-] ZINC001177369255 770418390 /nfs/dbraw/zinc/41/83/90/770418390.db2.gz MTUQLJVOCPOQQM-LLVKDONJSA-N 0 2 309.366 0.103 20 0 DCADLN CNc1[nH]c2ccc(C(=O)n3[n-]c4ccnc(=O)c-4c3N)cc2[nH+]1 ZINC001156290896 770777111 /nfs/dbraw/zinc/77/71/11/770777111.db2.gz QJYUDZMAIUCONU-UHFFFAOYSA-N 0 2 323.316 0.865 20 0 DCADLN O=C(NCCN1CCNC1=S)c1cc(F)c(O)c(F)c1 ZINC001182052982 771400418 /nfs/dbraw/zinc/40/04/18/771400418.db2.gz NMNNBAIPHVBYFP-UHFFFAOYSA-N 0 2 301.318 0.590 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001110286923 771470214 /nfs/dbraw/zinc/47/02/14/771470214.db2.gz GESFRKSPOYQHQO-UTUOFQBUSA-N 0 2 321.381 0.491 20 0 DCADLN COC(=O)[C@H](CC(=O)NCc1n[nH]c(=O)[nH]1)Cc1ccccc1 ZINC001182805174 771551822 /nfs/dbraw/zinc/55/18/22/771551822.db2.gz NOHYKMBYTFTSAG-NSHDSACASA-N 0 2 318.333 0.549 20 0 DCADLN CC(C)[N@@H+]1CC[C@H]1C(=O)NC(=N)SCCS(=O)(=O)[O-] ZINC001182861887 771565585 /nfs/dbraw/zinc/56/55/85/771565585.db2.gz LIGAIMZYUCGDFB-QMMMGPOBSA-N 0 2 309.413 0.141 20 0 DCADLN CC(C)[N@H+]1CC[C@H]1C(=O)NC(=N)SCCS(=O)(=O)[O-] ZINC001182861887 771565588 /nfs/dbraw/zinc/56/55/88/771565588.db2.gz LIGAIMZYUCGDFB-QMMMGPOBSA-N 0 2 309.413 0.141 20 0 DCADLN COC(=O)CN1CCN(C(=O)Cc2ccc(S)cc2)CC1 ZINC001183190080 771617146 /nfs/dbraw/zinc/61/71/46/771617146.db2.gz BAHYDUMEYNTZPA-UHFFFAOYSA-N 0 2 308.403 0.835 20 0 DCADLN CO[C@@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110377302 772016951 /nfs/dbraw/zinc/01/69/51/772016951.db2.gz FZWBJICJAAOOAL-ZDCRXTMVSA-N 0 2 309.370 0.157 20 0 DCADLN CO[C@@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001110377302 772016953 /nfs/dbraw/zinc/01/69/53/772016953.db2.gz FZWBJICJAAOOAL-ZDCRXTMVSA-N 0 2 309.370 0.157 20 0 DCADLN CC/C(C)=C\C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187814234 772229215 /nfs/dbraw/zinc/22/92/15/772229215.db2.gz XLOCSEJHTRJNFG-RPGNDLBOSA-N 0 2 305.382 0.899 20 0 DCADLN CC/C(C)=C\C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187814234 772229217 /nfs/dbraw/zinc/22/92/17/772229217.db2.gz XLOCSEJHTRJNFG-RPGNDLBOSA-N 0 2 305.382 0.899 20 0 DCADLN Cc1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C[N@H+](C)C(C)C)C2)n1 ZINC001188139890 772271327 /nfs/dbraw/zinc/27/13/27/772271327.db2.gz ULWFWWSBEXENSL-WCQYABFASA-N 0 2 309.414 0.970 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cc(C(F)(F)F)cnn1 ZINC001188360273 772299354 /nfs/dbraw/zinc/29/93/54/772299354.db2.gz LTIQOCZYLLUNOL-UHFFFAOYSA-N 0 2 313.257 0.800 20 0 DCADLN Cc1ncc(C(=O)N2CC[C@H]([N@H+](C)[C@H](C)C(=O)NC3CC3)C2)[nH]1 ZINC001189408901 772469997 /nfs/dbraw/zinc/46/99/97/772469997.db2.gz FFOHBIAWAFUSHH-MFKMUULPSA-N 0 2 319.409 0.532 20 0 DCADLN NC(=O)c1nc(Cl)nc(N[C@H]2SC(=O)NC2=O)c1F ZINC001163662285 772554819 /nfs/dbraw/zinc/55/48/19/772554819.db2.gz MPQKPVAJBJPFFH-LURJTMIESA-N 0 2 305.678 0.089 20 0 DCADLN CCOC(=O)Cc1ncc(NS(=O)(=O)c2ccncc2)cn1 ZINC001190861047 772689069 /nfs/dbraw/zinc/68/90/69/772689069.db2.gz ZJCWHACWHHYLMI-UHFFFAOYSA-N 0 2 322.346 0.778 20 0 DCADLN C[C@H]1CCN1C(=O)c1cc(S(N)(=O)=O)c(Cl)cc1O ZINC001191017618 772708803 /nfs/dbraw/zinc/70/88/03/772708803.db2.gz LCRTURCXEIOKNN-LURJTMIESA-N 0 2 304.755 0.927 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccnc(-n2ccnc2)c1 ZINC001191969659 772855503 /nfs/dbraw/zinc/85/55/03/772855503.db2.gz UUQXSWZIKCHUJU-NSHDSACASA-N 0 2 303.303 0.306 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1cc(O)cc(F)c1F ZINC001192678815 772947231 /nfs/dbraw/zinc/94/72/31/772947231.db2.gz LGPDILXEFXSVPQ-UHFFFAOYSA-N 0 2 323.215 0.952 20 0 DCADLN O=C(NC1CC2(C1)CS(=O)(=O)C2)c1c(F)ccc(F)c1O ZINC001192720429 772953276 /nfs/dbraw/zinc/95/32/76/772953276.db2.gz UAZUDIBGWZVDNN-UHFFFAOYSA-N 0 2 317.313 0.977 20 0 DCADLN CS(=O)(=O)NC1CN(C(=O)c2ccc(O)c(F)c2F)C1 ZINC001192833885 772961042 /nfs/dbraw/zinc/96/10/42/772961042.db2.gz XFMFGBIJZWRBSJ-UHFFFAOYSA-N 0 2 306.290 0.044 20 0 DCADLN C[C@@]1(CO)CN(C(=O)c2ccc(O)c(F)c2F)CC[C@H]1O ZINC001192831447 772966085 /nfs/dbraw/zinc/96/60/85/772966085.db2.gz DVLDHQLWKBXGQF-YGRLFVJLSA-N 0 2 301.289 0.876 20 0 DCADLN COC(=O)[C@@H]1CN(C)CCN1C(=O)c1ccc(O)c(F)c1F ZINC001192839831 772968818 /nfs/dbraw/zinc/96/88/18/772968818.db2.gz NAIWPXHIBVBQJF-VIFPVBQESA-N 0 2 314.288 0.600 20 0 DCADLN COc1nc(NS(=O)(=O)C[C@H]2CCCO2)c(C)c(OC)n1 ZINC001193214407 773025806 /nfs/dbraw/zinc/02/58/06/773025806.db2.gz ZZOAWBZAXWHXKI-SECBINFHSA-N 0 2 317.367 0.723 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccc(Br)[nH]1 ZINC001193341815 773049105 /nfs/dbraw/zinc/04/91/05/773049105.db2.gz RQNSRXGRRZXGDB-ZETCQYMHSA-N 0 2 304.125 0.816 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC[C@H]1CCOC1 ZINC001206868143 773114461 /nfs/dbraw/zinc/11/44/61/773114461.db2.gz GEYXFRGDPCHRMD-GRYCIOLGSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CC[C@H]1CCOC1 ZINC001206868143 773114463 /nfs/dbraw/zinc/11/44/63/773114463.db2.gz GEYXFRGDPCHRMD-GRYCIOLGSA-N 0 2 323.397 0.264 20 0 DCADLN COC(=O)c1nccnc1NS(=O)(=O)C1CCC(=O)CC1 ZINC001194757972 773257642 /nfs/dbraw/zinc/25/76/42/773257642.db2.gz KPZULIGZAFCDQJ-UHFFFAOYSA-N 0 2 313.335 0.517 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3csnn3)C[C@H]21)C(F)C(F)(F)F ZINC001114308605 773267043 /nfs/dbraw/zinc/26/70/43/773267043.db2.gz JTQMLRXQARPRNC-KDXUFGMBSA-N 0 2 324.303 0.985 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3csnn3)C[C@H]21)[C@H](F)C(F)(F)F ZINC001114308605 773267050 /nfs/dbraw/zinc/26/70/50/773267050.db2.gz JTQMLRXQARPRNC-KDXUFGMBSA-N 0 2 324.303 0.985 20 0 DCADLN O=C(Nc1[nH]c(=O)nc2[nH]c(=O)[nH]c21)c1cc(O)cnc1Cl ZINC001195312886 773375212 /nfs/dbraw/zinc/37/52/12/773375212.db2.gz MCEDTOOKZAHUSM-UHFFFAOYSA-N 0 2 322.668 0.722 20 0 DCADLN CS(=O)(=O)[N-]c1ccsc1C(=O)NCCn1cc[nH+]c1 ZINC001196721539 773638047 /nfs/dbraw/zinc/63/80/47/773638047.db2.gz MSIUAWWFQKTGQS-UHFFFAOYSA-N 0 2 314.392 0.746 20 0 DCADLN CCC[C@@H](OC)C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001196742209 773643536 /nfs/dbraw/zinc/64/35/36/773643536.db2.gz BPHXGVBJRFRRJC-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN COC(=O)CCCCS(=O)(=O)Nc1nccnc1OC ZINC001196914637 773668569 /nfs/dbraw/zinc/66/85/69/773668569.db2.gz IKFUKEFDMFXNQS-UHFFFAOYSA-N 0 2 303.340 0.570 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cncn1Cc1ccncc1 ZINC001197896674 773819024 /nfs/dbraw/zinc/81/90/24/773819024.db2.gz QWCOPMVYJDEWEX-GFCCVEGCSA-N 0 2 317.330 0.365 20 0 DCADLN CC(C)(CO)n1cc(NS(=O)(=O)c2ccccc2N)cn1 ZINC001213411036 773844877 /nfs/dbraw/zinc/84/48/77/773844877.db2.gz GWVFGBZRSHISIL-UHFFFAOYSA-N 0 2 310.379 0.994 20 0 DCADLN Cc1c2cccc(-c3noc(-c4c[nH]c(=O)c(=O)[nH]4)n3)c2nn1C ZINC001213927930 773901999 /nfs/dbraw/zinc/90/19/99/773901999.db2.gz JIOASATWQPXQFR-UHFFFAOYSA-N 0 2 324.300 0.975 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnc(OCC2CC2)cn1 ZINC001199142154 774037689 /nfs/dbraw/zinc/03/76/89/774037689.db2.gz RJSYVFRSWYJVOB-LLVKDONJSA-N 0 2 308.319 0.304 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccncc1OC1CCOCC1 ZINC001199622588 774166210 /nfs/dbraw/zinc/16/62/10/774166210.db2.gz QNZZBZHREGSBEY-UHFFFAOYSA-N 0 2 319.321 0.393 20 0 DCADLN COc1ccc2nc(NC(=O)[C@@H]([NH3+])Cc3c[nH+]c[nH]3)nnc2c1 ZINC001218512677 774232976 /nfs/dbraw/zinc/23/29/76/774232976.db2.gz PACDWVFODIRWHW-JTQLQIEISA-N 0 2 313.321 0.265 20 0 DCADLN COCC(=O)N(C)CCCN(C)C(=O)C(F)C(F)(F)F ZINC001066635141 774929542 /nfs/dbraw/zinc/92/95/42/774929542.db2.gz NYHOFDWKUYMPFR-SECBINFHSA-N 0 2 302.268 0.840 20 0 DCADLN COCC(=O)N(C)CCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001066635141 774929549 /nfs/dbraw/zinc/92/95/49/774929549.db2.gz NYHOFDWKUYMPFR-SECBINFHSA-N 0 2 302.268 0.840 20 0 DCADLN COCCCCC[N@H+]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](O)C1 ZINC001220852518 774935939 /nfs/dbraw/zinc/93/59/39/774935939.db2.gz MLDUVYVJWMKPSQ-CABCVRRESA-N 0 2 324.425 0.239 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1n[nH]c2c1COCC2)C1CC1 ZINC000886479342 775006028 /nfs/dbraw/zinc/00/60/28/775006028.db2.gz KJTAZBYQMMJPBF-LLVKDONJSA-N 0 2 301.368 0.649 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCc1ccccn1 ZINC001222147459 775285474 /nfs/dbraw/zinc/28/54/74/775285474.db2.gz LJQCBILKVOHULX-ZDUSSCGKSA-N 0 2 316.379 0.187 20 0 DCADLN CO[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001110984208 775378331 /nfs/dbraw/zinc/37/83/31/775378331.db2.gz AJRIDFLLRWIAGV-HNCHTBHHSA-N 0 2 323.397 0.403 20 0 DCADLN C[C@H](C(=O)N(C)C)[NH+]1CCC(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001224673346 775595395 /nfs/dbraw/zinc/59/53/95/775595395.db2.gz VDSZZKAYRWXUJK-GFCCVEGCSA-N 0 2 321.425 0.257 20 0 DCADLN C/C(=C\C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001226332674 775801755 /nfs/dbraw/zinc/80/17/55/775801755.db2.gz KZLIDIAJBVXAPU-CSKARUKUSA-N 0 2 305.382 0.947 20 0 DCADLN CC(=O)OCC(COC(C)=O)Oc1nc(C)[nH]c(=O)c1F ZINC001226422895 775815662 /nfs/dbraw/zinc/81/56/62/775815662.db2.gz BZOOUHWPUORJSP-UHFFFAOYSA-N 0 2 302.258 0.503 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001226430335 775816923 /nfs/dbraw/zinc/81/69/23/775816923.db2.gz QSPQJYXWZHICGA-SNVBAGLBSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](c1ccccn1)[N@@H+]1CCC[C@H](Oc2c([O-])c(=O)c2=O)C1 ZINC001226607546 775846293 /nfs/dbraw/zinc/84/62/93/775846293.db2.gz RRSRDBGFRODTEH-MNOVXSKESA-N 0 2 302.330 0.988 20 0 DCADLN C[C@H](c1ccccn1)[N@H+]1CCC[C@H](Oc2c([O-])c(=O)c2=O)C1 ZINC001226607546 775846297 /nfs/dbraw/zinc/84/62/97/775846297.db2.gz RRSRDBGFRODTEH-MNOVXSKESA-N 0 2 302.330 0.988 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001226639472 775852244 /nfs/dbraw/zinc/85/22/44/775852244.db2.gz DCYOWNIYHXDJJW-UHFFFAOYSA-N 0 2 309.370 0.206 20 0 DCADLN O=c1nc2c(=O)[nH]c(=O)[nH]c2c(OCCOC2CCCC2)[nH]1 ZINC001226884851 775889274 /nfs/dbraw/zinc/88/92/74/775889274.db2.gz CUWSQYXAAIWVMX-UHFFFAOYSA-N 0 2 308.294 0.875 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c32)C1 ZINC001226885421 775890313 /nfs/dbraw/zinc/89/03/13/775890313.db2.gz GKBCHLVXRJQRHQ-RITPCOANSA-N 0 2 322.277 0.257 20 0 DCADLN CC1(C)CC[C@@H](COc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)O1 ZINC001226889346 775892901 /nfs/dbraw/zinc/89/29/01/775892901.db2.gz ZRXPJLOBKQXLSB-LURJTMIESA-N 0 2 308.294 0.873 20 0 DCADLN CCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001230618546 776355376 /nfs/dbraw/zinc/35/53/76/776355376.db2.gz FNIKLCOLAWUIOJ-CYBMUJFWSA-N 0 2 317.393 0.855 20 0 DCADLN CCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC001230618546 776355385 /nfs/dbraw/zinc/35/53/85/776355385.db2.gz FNIKLCOLAWUIOJ-CYBMUJFWSA-N 0 2 317.393 0.855 20 0 DCADLN CN(CCn1cc[nH+]c1)S(=O)(=O)[C@H]1CCC[C@H]1C(=O)[O-] ZINC001465106342 804077819 /nfs/dbraw/zinc/07/78/19/804077819.db2.gz KPBHYPJTJBBCCF-MNOVXSKESA-N 0 2 301.368 0.398 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001601779690 1168886606 /nfs/dbraw/zinc/88/66/06/1168886606.db2.gz QKBQNFNPYIJDPL-VXGBXAGGSA-N 0 2 309.391 0.551 20 0 DCADLN O=C(CCc1nc[nH]n1)N[C@H]1CC[N@H+](CCOCC(F)F)C1 ZINC001266313396 939067220 /nfs/dbraw/zinc/06/72/20/939067220.db2.gz ADYJMVGXTDLZCM-JTQLQIEISA-N 0 2 317.340 0.210 20 0 DCADLN CC(C)(C)C(=O)C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001266453587 939123146 /nfs/dbraw/zinc/12/31/46/939123146.db2.gz IGFADHCCMLGKNN-SECBINFHSA-N 0 2 309.370 0.206 20 0 DCADLN COCCOCC[N@@H+]1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001317994481 945234824 /nfs/dbraw/zinc/23/48/24/945234824.db2.gz TYNTYQNVCXNABH-AWEZNQCLSA-N 0 2 310.398 0.127 20 0 DCADLN Cc1ccnc(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001408590130 939395870 /nfs/dbraw/zinc/39/58/70/939395870.db2.gz KFMVQPWBTSTPFU-MRVPVSSYSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ccnc(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001408590130 939395877 /nfs/dbraw/zinc/39/58/77/939395877.db2.gz KFMVQPWBTSTPFU-MRVPVSSYSA-N 0 2 322.262 0.874 20 0 DCADLN Cc1ncn(C)c1C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001408590182 939396468 /nfs/dbraw/zinc/39/64/68/939396468.db2.gz LQBPFUOAJXKUCW-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN Cc1ncn(C)c1C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001408590182 939396469 /nfs/dbraw/zinc/39/64/69/939396469.db2.gz LQBPFUOAJXKUCW-SECBINFHSA-N 0 2 324.278 0.817 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001603916488 1169433113 /nfs/dbraw/zinc/43/31/13/1169433113.db2.gz CCFBRENKEFEBLY-NQBHXWOUSA-N 0 2 309.366 0.860 20 0 DCADLN CCC[N@H+](CCNC(=O)c1nc[nH]n1)Cc1cnc(C)cn1 ZINC001481150598 939585831 /nfs/dbraw/zinc/58/58/31/939585831.db2.gz ZBJNIZFJXBDYHI-UHFFFAOYSA-N 0 2 303.370 0.545 20 0 DCADLN CCC[N@@H+](CCNC(=O)c1nc[nH]n1)Cc1cnc(C)cn1 ZINC001481150598 939585833 /nfs/dbraw/zinc/58/58/33/939585833.db2.gz ZBJNIZFJXBDYHI-UHFFFAOYSA-N 0 2 303.370 0.545 20 0 DCADLN Cc1n[nH]cc1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001496365441 939696727 /nfs/dbraw/zinc/69/67/27/939696727.db2.gz KGGSAZYYJSSNMK-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN Cc1n[nH]cc1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001496365441 939696729 /nfs/dbraw/zinc/69/67/29/939696729.db2.gz KGGSAZYYJSSNMK-QMMMGPOBSA-N 0 2 310.251 0.807 20 0 DCADLN CCN(C(=O)COC(C)C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481763104 940126977 /nfs/dbraw/zinc/12/69/77/940126977.db2.gz WRYACCFQJDASQP-NSHDSACASA-N 0 2 311.386 0.358 20 0 DCADLN CCN(C(=O)COC(C)C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481763104 940126978 /nfs/dbraw/zinc/12/69/78/940126978.db2.gz WRYACCFQJDASQP-NSHDSACASA-N 0 2 311.386 0.358 20 0 DCADLN O=C(Cc1nn[n-]n1)N1CCC2(C[NH+](Cc3ccc[nH]3)C2)CC1 ZINC001270182491 940851512 /nfs/dbraw/zinc/85/15/12/940851512.db2.gz GNPYROGUADZFHL-UHFFFAOYSA-N 0 2 315.381 0.195 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)c3ccns3)C2)[nH]1 ZINC001414276826 940948811 /nfs/dbraw/zinc/94/88/11/940948811.db2.gz LXYBDXBNARHSIC-SSDOTTSWSA-N 0 2 315.380 0.535 20 0 DCADLN CC(C)[C@H](NC(=O)COC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC001603973356 1169458949 /nfs/dbraw/zinc/45/89/49/1169458949.db2.gz ICUUPHNAWDXTHY-JQWIXIFHSA-N 0 2 300.355 0.239 20 0 DCADLN CC(C)[C@H](NC(=O)COC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC001603973356 1169458952 /nfs/dbraw/zinc/45/89/52/1169458952.db2.gz ICUUPHNAWDXTHY-JQWIXIFHSA-N 0 2 300.355 0.239 20 0 DCADLN COCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001409186998 941571762 /nfs/dbraw/zinc/57/17/62/941571762.db2.gz PXZWZZXJKQJRSE-OYNCUSHFSA-N 0 2 312.263 0.735 20 0 DCADLN COCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)C(F)(F)F ZINC001409186998 941571770 /nfs/dbraw/zinc/57/17/70/941571770.db2.gz PXZWZZXJKQJRSE-OYNCUSHFSA-N 0 2 312.263 0.735 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CNC(C)=O ZINC001409335195 941943536 /nfs/dbraw/zinc/94/35/36/941943536.db2.gz TZJDAQQXAIPRDH-IONNQARKSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CNC(C)=O ZINC001409335195 941943540 /nfs/dbraw/zinc/94/35/40/941943540.db2.gz TZJDAQQXAIPRDH-IONNQARKSA-N 0 2 315.267 0.034 20 0 DCADLN COc1cc(C[N@H+](C)[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001409532630 942037528 /nfs/dbraw/zinc/03/75/28/942037528.db2.gz CWEZPKCQSVQOCJ-QMMMGPOBSA-N 0 2 323.353 0.671 20 0 DCADLN COc1cc(C[N@@H+](C)[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001409532630 942037534 /nfs/dbraw/zinc/03/75/34/942037534.db2.gz CWEZPKCQSVQOCJ-QMMMGPOBSA-N 0 2 323.353 0.671 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cnn(C)n1 ZINC001409569330 942060998 /nfs/dbraw/zinc/06/09/98/942060998.db2.gz LBZIEEJXSVNFLI-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1cnn(C)n1 ZINC001409569330 942061000 /nfs/dbraw/zinc/06/10/00/942061000.db2.gz LBZIEEJXSVNFLI-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cnn(C)n1 ZINC001409569314 942061058 /nfs/dbraw/zinc/06/10/58/942061058.db2.gz LBZIEEJXSVNFLI-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1cnn(C)n1 ZINC001409569314 942061061 /nfs/dbraw/zinc/06/10/61/942061061.db2.gz LBZIEEJXSVNFLI-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN CC(C)(C)c1nnc(CNC(=O)C[C@@H]2SC(=N)NC2=O)[nH]1 ZINC001413082093 942489641 /nfs/dbraw/zinc/48/96/41/942489641.db2.gz VXLFJSXQXMWVSQ-LURJTMIESA-N 0 2 310.383 0.275 20 0 DCADLN COCC(=O)NC[C@@H]1CCN1Cc1nc(=O)c2sccc2[nH]1 ZINC001483622386 942555334 /nfs/dbraw/zinc/55/53/34/942555334.db2.gz MJQKTKUGCMIJLV-VIFPVBQESA-N 0 2 322.390 0.734 20 0 DCADLN O=C(CCc1ccccc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001483698208 942701014 /nfs/dbraw/zinc/70/10/14/942701014.db2.gz YYXLKXJRIGBUNZ-CYBMUJFWSA-N 0 2 315.377 0.834 20 0 DCADLN CO[C@](C)(CO)CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC001413388215 942944913 /nfs/dbraw/zinc/94/49/13/942944913.db2.gz QQTVWJIZCTXPSJ-XTZNXHDOSA-N 0 2 316.445 0.115 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2cnc3n2CCCC3)n1 ZINC001413435066 942978511 /nfs/dbraw/zinc/97/85/11/942978511.db2.gz GJWOUHVLZZZCDE-UHFFFAOYSA-N 0 2 311.371 0.588 20 0 DCADLN CCn1ncc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)c1C ZINC001413521928 943044265 /nfs/dbraw/zinc/04/42/65/943044265.db2.gz XDTCCYJCXPKBOD-UHFFFAOYSA-N 0 2 324.362 0.817 20 0 DCADLN CC(C)[NH+](C)CC(=O)N[C@@]1(C)CC[N@H+](Cc2cn(C)nn2)C1 ZINC001484070392 943132108 /nfs/dbraw/zinc/13/21/08/943132108.db2.gz FMNFXFQCZWBCTD-HNNXBMFYSA-N 0 2 308.430 0.236 20 0 DCADLN Cc1cc(C)cc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001413669659 943559972 /nfs/dbraw/zinc/55/99/72/943559972.db2.gz CRPUSCUVLQVSSI-UHFFFAOYSA-N 0 2 322.390 0.896 20 0 DCADLN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413672594 943562995 /nfs/dbraw/zinc/56/29/95/943562995.db2.gz PDAVVOZKYSRYOJ-KATARQTJSA-N 0 2 312.395 0.258 20 0 DCADLN C[C@@H](c1nnnn1C)[N@H+](C)CCCN(C)C(=O)C[NH+]1CCCC1 ZINC001484768120 943571827 /nfs/dbraw/zinc/57/18/27/943571827.db2.gz GLRSXROSCAOIAM-ZDUSSCGKSA-N 0 2 323.445 0.147 20 0 DCADLN O=C([O-])C1=NO[C@H](C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC001611361683 971008236 /nfs/dbraw/zinc/00/82/36/971008236.db2.gz HDBUZUGLLVCJME-KOLCDFICSA-N 0 2 304.306 0.006 20 0 DCADLN Cc1nnc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)o1 ZINC001413710446 943598916 /nfs/dbraw/zinc/59/89/16/943598916.db2.gz VQYNAGFYJMVWTH-MRVPVSSYSA-N 0 2 307.314 0.291 20 0 DCADLN Cc1cc(O)cc(=O)n1CC(=O)N[C@H](C)c1nn(C)cc1O ZINC001413767823 943675677 /nfs/dbraw/zinc/67/56/77/943675677.db2.gz VCQWRBYUMHMKGI-SECBINFHSA-N 0 2 306.322 0.179 20 0 DCADLN C[C@H](NC(=O)c1cnn2c1NC[C@H](C)C2)c1nn(C)cc1O ZINC001413768983 943676422 /nfs/dbraw/zinc/67/64/22/943676422.db2.gz XUUIWIGTVGHUNZ-IUCAKERBSA-N 0 2 304.354 0.875 20 0 DCADLN C[C@@H](NC(=O)CS(=O)(=O)C1CCCC1)c1nn(C)cc1O ZINC001413770938 943678108 /nfs/dbraw/zinc/67/81/08/943678108.db2.gz ZTVOKYMWQRIMEV-SECBINFHSA-N 0 2 315.395 0.660 20 0 DCADLN CCn1ccc(C[N@H+](C)[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001485293667 943800748 /nfs/dbraw/zinc/80/07/48/943800748.db2.gz MPBUPMOSCMWPBJ-SNVBAGLBSA-N 0 2 320.397 0.890 20 0 DCADLN CCn1ccc(C[N@@H+](C)[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001485293667 943800754 /nfs/dbraw/zinc/80/07/54/943800754.db2.gz MPBUPMOSCMWPBJ-SNVBAGLBSA-N 0 2 320.397 0.890 20 0 DCADLN C[C@@H](CNC(=O)CCC(C)(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485319769 943823941 /nfs/dbraw/zinc/82/39/41/943823941.db2.gz LAYUQCJABVDPIQ-QMMMGPOBSA-N 0 2 305.329 0.882 20 0 DCADLN C[C@@H](CNC(=O)CCC(C)(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485319769 943823947 /nfs/dbraw/zinc/82/39/47/943823947.db2.gz LAYUQCJABVDPIQ-QMMMGPOBSA-N 0 2 305.329 0.882 20 0 DCADLN Cc1cc(C[N@@H+]2CC[C@@]3(C2)CN(CC[NH+](C)C)C(=O)CO3)no1 ZINC001272773530 944219494 /nfs/dbraw/zinc/21/94/94/944219494.db2.gz VMCAFYRKEJKCBG-MRXNPFEDSA-N 0 2 322.409 0.348 20 0 DCADLN O=S1(=O)CC[C@](O)(C[NH2+]Cc2ccc(O)c(F)c2F)C1 ZINC001462250580 1013057082 /nfs/dbraw/zinc/05/70/82/1013057082.db2.gz YEDSPEZPKAYVIO-LBPRGKRZSA-N 0 2 307.318 0.310 20 0 DCADLN O=S1(=O)CC[C@](O)(C[NH2+]Cc2ccc([O-])c(F)c2F)C1 ZINC001462250580 1013057094 /nfs/dbraw/zinc/05/70/94/1013057094.db2.gz YEDSPEZPKAYVIO-LBPRGKRZSA-N 0 2 307.318 0.310 20 0 DCADLN NC(=O)c1[nH]nc2c1CCN(C(=O)c1ccc(F)c(F)c1O)C2 ZINC001276858918 944331709 /nfs/dbraw/zinc/33/17/09/944331709.db2.gz ROUPCUVDEBQNPV-UHFFFAOYSA-N 0 2 322.271 0.691 20 0 DCADLN NC(=O)[C@H]1CCC2(CN(C(=O)c3cc(F)c(O)cc3F)C2)O1 ZINC001276858753 944332013 /nfs/dbraw/zinc/33/20/13/944332013.db2.gz NZHONOKPJCOFQX-LLVKDONJSA-N 0 2 312.272 0.529 20 0 DCADLN CC(C)(C)C(=O)C(=O)NCCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001316914281 944640579 /nfs/dbraw/zinc/64/05/79/944640579.db2.gz LKRYRXRZRTVWSN-UHFFFAOYSA-N 0 2 323.397 0.596 20 0 DCADLN CC(C)(C)C(=O)C(=O)NCCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001316914281 944640583 /nfs/dbraw/zinc/64/05/83/944640583.db2.gz LKRYRXRZRTVWSN-UHFFFAOYSA-N 0 2 323.397 0.596 20 0 DCADLN CCOCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001317165952 944831542 /nfs/dbraw/zinc/83/15/42/944831542.db2.gz KYXZPVOQBCPKAX-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CCOCCC(=O)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001317165952 944831547 /nfs/dbraw/zinc/83/15/47/944831547.db2.gz KYXZPVOQBCPKAX-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCN(C)c1ncc(Cl)cn1 ZINC001487403282 945708514 /nfs/dbraw/zinc/70/85/14/945708514.db2.gz ZHZYUBQSGAZGGR-UHFFFAOYSA-N 0 2 308.773 0.957 20 0 DCADLN COCC(=O)N[C@H](CNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001429987746 945815766 /nfs/dbraw/zinc/81/57/66/945815766.db2.gz QIQOJUWSEDHNKW-VXNVDRBHSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)N[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001429987746 945815776 /nfs/dbraw/zinc/81/57/76/945815776.db2.gz QIQOJUWSEDHNKW-VXNVDRBHSA-N 0 2 300.252 0.544 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])[NH2+]C[C@H](O)COCc1ccco1 ZINC001252653851 945976447 /nfs/dbraw/zinc/97/64/47/945976447.db2.gz HPIUXYWUZVMMAG-JQWIXIFHSA-N 0 2 315.322 0.153 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)NC(=O)C(F)C(F)(F)F ZINC001410219980 946052270 /nfs/dbraw/zinc/05/22/70/946052270.db2.gz OSLLCADGIBOUJL-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)c1ccnn1C)NC(=O)[C@@H](F)C(F)(F)F ZINC001410219980 946052283 /nfs/dbraw/zinc/05/22/83/946052283.db2.gz OSLLCADGIBOUJL-HTRCEHHLSA-N 0 2 310.251 0.555 20 0 DCADLN O=S(=O)(CC(F)(F)F)NC1CCN(c2ncccn2)CC1 ZINC001253210172 946094251 /nfs/dbraw/zinc/09/42/51/946094251.db2.gz CYPIGSZRTBYIQI-UHFFFAOYSA-N 0 2 324.328 0.927 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001410323166 946188076 /nfs/dbraw/zinc/18/80/76/946188076.db2.gz QBMQDMNKGJGGNR-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnccn1 ZINC001410323166 946188082 /nfs/dbraw/zinc/18/80/82/946188082.db2.gz QBMQDMNKGJGGNR-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN NC(=O)[C@H]1COCCC12CN(C(=O)C=C(O)c1ccccc1)C2 ZINC001273307006 946459804 /nfs/dbraw/zinc/45/98/04/946459804.db2.gz PORYNSNPVBNOTN-CYBMUJFWSA-N 0 2 316.357 0.610 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc(C(=O)OC)cc1C ZINC001259024418 946898156 /nfs/dbraw/zinc/89/81/56/946898156.db2.gz PQAOUUCUFLHWQJ-UHFFFAOYSA-N 0 2 301.320 0.696 20 0 DCADLN COCCS(=O)(=O)Nc1cccc(F)c1OC1COC1 ZINC001259972589 947044276 /nfs/dbraw/zinc/04/42/76/947044276.db2.gz MHLRKCAWQGINBZ-UHFFFAOYSA-N 0 2 305.327 0.991 20 0 DCADLN Cc1nn(C)c(C)c1S(=O)(=O)Nc1cccc(CC(N)=O)c1 ZINC001260111615 947069659 /nfs/dbraw/zinc/06/96/59/947069659.db2.gz LYSYWIUBUFMGNJ-UHFFFAOYSA-N 0 2 322.390 0.866 20 0 DCADLN Cc1cc(NS(=O)(=O)c2cccc3nonc32)n(CCO)n1 ZINC001260435805 947102043 /nfs/dbraw/zinc/10/20/43/947102043.db2.gz UWAFFTXJBPGETA-UHFFFAOYSA-N 0 2 323.334 0.521 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)Cc1ccccc1F)=C(C)O ZINC001260629903 947129413 /nfs/dbraw/zinc/12/94/13/947129413.db2.gz IGSFOGPCVLAHOJ-LBPRGKRZSA-N 0 2 317.338 0.766 20 0 DCADLN CCOCC(=O)NC1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001411293645 947599829 /nfs/dbraw/zinc/59/98/29/947599829.db2.gz QDAWZHFTMQVUNT-KTOWXAHTSA-N 0 2 314.279 0.934 20 0 DCADLN CCOCC(=O)NC1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001411293645 947599833 /nfs/dbraw/zinc/59/98/33/947599833.db2.gz QDAWZHFTMQVUNT-KTOWXAHTSA-N 0 2 314.279 0.934 20 0 DCADLN CC/C=C(/C)C(=O)N[C@]1(CO)CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001325817128 947931913 /nfs/dbraw/zinc/93/19/13/947931913.db2.gz AJMDYXOAZPABLP-NVWZYQMFSA-N 0 2 323.397 0.310 20 0 DCADLN CC(C)(C)/C=C/C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001326617659 948219184 /nfs/dbraw/zinc/21/91/84/948219184.db2.gz RPKGSNUVEOPKTG-ZWNMCFTASA-N 0 2 323.397 0.430 20 0 DCADLN CCC(=CC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)CC ZINC001326625985 948223081 /nfs/dbraw/zinc/22/30/81/948223081.db2.gz GMCUJZJSARZNIJ-GFCCVEGCSA-N 0 2 323.397 0.574 20 0 DCADLN C[C@H](C(=O)N[C@@H]1CCCCN(CC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001602788629 971538565 /nfs/dbraw/zinc/53/85/65/971538565.db2.gz HJFWWHGSZWZAJC-GHMZBOCLSA-N 0 2 308.338 0.026 20 0 DCADLN COc1cc(CCNC(=O)C[C@H]2SC(=N)NC2=O)ccn1 ZINC001364382778 949161130 /nfs/dbraw/zinc/16/11/30/949161130.db2.gz KGJCFAVXRKOWNW-SECBINFHSA-N 0 2 308.363 0.305 20 0 DCADLN COCC[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)c1cccnc1 ZINC001364669572 949729446 /nfs/dbraw/zinc/72/94/46/949729446.db2.gz PCYGWIGIGSQFQF-GHMZBOCLSA-N 0 2 322.390 0.832 20 0 DCADLN CCC[N@H+]([C@H](CC)C(=O)OCC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001589659945 949856692 /nfs/dbraw/zinc/85/66/92/949856692.db2.gz WBVSCEQTVGLTPH-NEPJUHHUSA-N 0 2 314.382 0.726 20 0 DCADLN CCC[N@@H+]([C@H](CC)C(=O)OCC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001589659945 949856714 /nfs/dbraw/zinc/85/67/14/949856714.db2.gz WBVSCEQTVGLTPH-NEPJUHHUSA-N 0 2 314.382 0.726 20 0 DCADLN Cc1nc(C(C)(C)NS(=O)(=O)N=S2(=O)CCCC2)no1 ZINC001364799530 949963732 /nfs/dbraw/zinc/96/37/32/949963732.db2.gz XNKYDFOWADXXOE-UHFFFAOYSA-N 0 2 322.412 0.709 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)N2CCCc3[nH]ncc32)n[nH]1 ZINC001364857945 950087058 /nfs/dbraw/zinc/08/70/58/950087058.db2.gz RFLCXFXDYKENGX-UHFFFAOYSA-N 0 2 311.323 0.061 20 0 DCADLN CCn1ncc(CNS(=O)(=O)N=S(=O)(CC)CC)n1 ZINC001364909506 950173605 /nfs/dbraw/zinc/17/36/05/950173605.db2.gz HWMDFRCDZAFPLS-UHFFFAOYSA-N 0 2 309.417 0.140 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nnn(C)n2)ccc1C ZINC001364914861 950181794 /nfs/dbraw/zinc/18/17/94/950181794.db2.gz GKFLLTVELTZEJW-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN CC/C=C(\C)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001281090988 950296449 /nfs/dbraw/zinc/29/64/49/950296449.db2.gz OHCSCKCSRIPOSL-UFVYQPJESA-N 0 2 314.279 0.836 20 0 DCADLN CC/C=C(\C)C(=O)N[C@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001281090988 950296467 /nfs/dbraw/zinc/29/64/67/950296467.db2.gz OHCSCKCSRIPOSL-UFVYQPJESA-N 0 2 314.279 0.836 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001364998190 950327103 /nfs/dbraw/zinc/32/71/03/950327103.db2.gz QNTOQWBIBHTMME-BDAKNGLRSA-N 0 2 300.384 0.402 20 0 DCADLN CC[C@@H]1CCC[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364997677 950330170 /nfs/dbraw/zinc/33/01/70/950330170.db2.gz LOZGXJULZVYXOV-NXEZZACHSA-N 0 2 314.411 0.792 20 0 DCADLN Cc1cccc(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)c1 ZINC001365010824 950349164 /nfs/dbraw/zinc/34/91/64/950349164.db2.gz ZTCUMLHEIUCWNE-UHFFFAOYSA-N 0 2 323.378 0.979 20 0 DCADLN O=C(NCCn1cccn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365107506 950531561 /nfs/dbraw/zinc/53/15/61/950531561.db2.gz NUSZFTLDNBTKMQ-SNVBAGLBSA-N 0 2 305.342 0.296 20 0 DCADLN Cn1nnc(NC(=O)CC2SC(=N)NC2=O)c1C(F)F ZINC001365138866 950587250 /nfs/dbraw/zinc/58/72/50/950587250.db2.gz PTTILMYINSJGBH-GSVOUGTGSA-N 0 2 304.282 0.248 20 0 DCADLN C[C@@]1(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCOC1 ZINC001365340578 950994088 /nfs/dbraw/zinc/99/40/88/950994088.db2.gz KSURMIJNVHLEEG-HZMBPMFUSA-N 0 2 309.370 0.826 20 0 DCADLN O=C(N[C@@H]1CCC[C@H]1CCO)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365379050 951043067 /nfs/dbraw/zinc/04/30/67/951043067.db2.gz MCYRIFCVMDCARA-SDDRHHMPSA-N 0 2 323.397 0.950 20 0 DCADLN CC[C@@H]1CCN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001365439732 951130267 /nfs/dbraw/zinc/13/02/67/951130267.db2.gz JTKKFGRJMXLGJU-SECBINFHSA-N 0 2 315.399 0.291 20 0 DCADLN COC(=O)c1c(C)cccc1S(=O)(=O)Nc1nnn(C)n1 ZINC001365455961 951146102 /nfs/dbraw/zinc/14/61/02/951146102.db2.gz LQIJLDSRLKOCAS-UHFFFAOYSA-N 0 2 311.323 0.106 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2cccnc2OCC(F)F)n1 ZINC001365458367 951151462 /nfs/dbraw/zinc/15/14/62/951151462.db2.gz ZKYSQCKNGKUYGX-UHFFFAOYSA-N 0 2 320.281 0.050 20 0 DCADLN COCCn1ncc(C(=O)NCCc2n[nH]c(=S)o2)c1C ZINC001331973740 951416601 /nfs/dbraw/zinc/41/66/01/951416601.db2.gz ORSVETGXPIKPGA-UHFFFAOYSA-N 0 2 311.367 0.482 20 0 DCADLN CC[C@H](C)C(=O)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC001365685919 951429594 /nfs/dbraw/zinc/42/95/94/951429594.db2.gz MUFMJSKKPFVVAE-LBPRGKRZSA-N 0 2 317.393 0.713 20 0 DCADLN C[C@@H](NS(=O)(=O)N=S1(=O)CCCC1)c1csnn1 ZINC001365715567 951470415 /nfs/dbraw/zinc/47/04/15/951470415.db2.gz YZOKIJXOJYPOFZ-SSDOTTSWSA-N 0 2 310.426 0.695 20 0 DCADLN O=C([O-])CN1CC[C@@H]([NH+]2CCC([C@H](O)C(F)(F)F)CC2)C1=O ZINC001595072099 951603758 /nfs/dbraw/zinc/60/37/58/951603758.db2.gz MJJNLKPAXBBAKZ-KOLCDFICSA-N 0 2 324.299 0.307 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2Cc3nc(C4CC4)[nH]c(=O)c3C2)C1=O ZINC001595074424 951632299 /nfs/dbraw/zinc/63/22/99/951632299.db2.gz ROSHOZTWIZWPIT-NSHDSACASA-N 0 2 318.333 0.061 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2Cc3nc(C4CC4)[nH]c(=O)c3C2)C1=O ZINC001595074424 951632305 /nfs/dbraw/zinc/63/23/05/951632305.db2.gz ROSHOZTWIZWPIT-NSHDSACASA-N 0 2 318.333 0.061 20 0 DCADLN CC(C)[C@H](C(=O)[O-])C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001332520273 951677630 /nfs/dbraw/zinc/67/76/30/951677630.db2.gz RXPFSOHVADLEFQ-ZDUSSCGKSA-N 0 2 324.381 0.511 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC001332653828 951746395 /nfs/dbraw/zinc/74/63/95/951746395.db2.gz RPWSMQZBWNMAHB-IHWYPQMZSA-N 0 2 308.334 0.782 20 0 DCADLN COCc1nnc(CN2C(=O)N[C@H](CC(F)(F)F)C2=O)[nH]1 ZINC001333145895 951933408 /nfs/dbraw/zinc/93/34/08/951933408.db2.gz SKGRRQPYLQZWHJ-RXMQYKEDSA-N 0 2 307.232 0.324 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[NH2+]Cc1ccn(C2CCCC2)n1 ZINC001595125486 952012660 /nfs/dbraw/zinc/01/26/60/952012660.db2.gz WXYITVMROZYFRN-UHFFFAOYSA-N 0 2 315.395 0.587 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]1CCCN1C=O ZINC001333783303 952153288 /nfs/dbraw/zinc/15/32/88/952153288.db2.gz QKJJKOIXYPNTAF-JTQLQIEISA-N 0 2 320.374 0.976 20 0 DCADLN O=C([O-])c1coc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000382636148 971827226 /nfs/dbraw/zinc/82/72/26/971827226.db2.gz MZABOLKKWDEXTI-GFCCVEGCSA-N 0 2 308.334 0.915 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)[N@H+](C)CCOCC(F)F)c1[O-] ZINC001275811708 952473199 /nfs/dbraw/zinc/47/31/99/952473199.db2.gz RHCUIPFBWKFIGO-MRVPVSSYSA-N 0 2 320.340 0.756 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)[N@@H+](C)CCOCC(F)F)c1[O-] ZINC001275811708 952473201 /nfs/dbraw/zinc/47/32/01/952473201.db2.gz RHCUIPFBWKFIGO-MRVPVSSYSA-N 0 2 320.340 0.756 20 0 DCADLN C[C@@H](O)[C@H]1CCN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001411946976 952560100 /nfs/dbraw/zinc/56/01/00/952560100.db2.gz OQNIMELVEPEFDR-KOLCDFICSA-N 0 2 318.333 0.109 20 0 DCADLN C[C@@H](CCCNC(=O)[C@@H]1CCC[N@@H+]1C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001336305881 952675630 /nfs/dbraw/zinc/67/56/30/952675630.db2.gz DTYFUULVAXQKIC-STQMWFEESA-N 0 2 321.425 0.448 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC[C@H]1CCO ZINC001412207199 952693034 /nfs/dbraw/zinc/69/30/34/952693034.db2.gz RJTIZNPJLWEWJV-NSHDSACASA-N 0 2 318.333 0.253 20 0 DCADLN CO[C@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001412206362 952695100 /nfs/dbraw/zinc/69/51/00/952695100.db2.gz AWBPUYCJNXZRLN-FNCVBFRFSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001412206362 952695102 /nfs/dbraw/zinc/69/51/02/952695102.db2.gz AWBPUYCJNXZRLN-FNCVBFRFSA-N 0 2 314.279 0.933 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnon1)NC(=O)C(F)C(F)(F)F ZINC001412671689 952981180 /nfs/dbraw/zinc/98/11/80/952981180.db2.gz SAZLOJCBXWBTML-VDTYLAMSSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnon1)NC(=O)[C@H](F)C(F)(F)F ZINC001412671689 952981185 /nfs/dbraw/zinc/98/11/85/952981185.db2.gz SAZLOJCBXWBTML-VDTYLAMSSA-N 0 2 312.223 0.547 20 0 DCADLN C[C@H](CCCCNC(=O)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001340718227 953190297 /nfs/dbraw/zinc/19/02/97/953190297.db2.gz CKQYKYBZXZSYGE-RQJHMYQMSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CCCCNC(=O)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001340718227 953190301 /nfs/dbraw/zinc/19/03/01/953190301.db2.gz CKQYKYBZXZSYGE-RQJHMYQMSA-N 0 2 315.267 0.163 20 0 DCADLN CN(CCCNC(=O)[C@]1(C(=O)[O-])CCCO1)c1cccc[nH+]1 ZINC001593750323 954397846 /nfs/dbraw/zinc/39/78/46/954397846.db2.gz IIIXHFIZQDVBET-HNNXBMFYSA-N 0 2 307.350 0.658 20 0 DCADLN O=C([O-])C1=NO[C@H](C(=O)NC2CCN(c3cccc[nH+]3)CC2)C1 ZINC001594891018 954525080 /nfs/dbraw/zinc/52/50/80/954525080.db2.gz LFAFJFDGNIGVTH-LBPRGKRZSA-N 0 2 318.333 0.396 20 0 DCADLN O=C(CCCn1cccn1)NCCNC(=O)C(F)C(F)(F)F ZINC001349049829 954583434 /nfs/dbraw/zinc/58/34/34/954583434.db2.gz OFMYMIUREMXDFC-SNVBAGLBSA-N 0 2 324.278 0.796 20 0 DCADLN O=C(CCCn1cccn1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001349049829 954583438 /nfs/dbraw/zinc/58/34/38/954583438.db2.gz OFMYMIUREMXDFC-SNVBAGLBSA-N 0 2 324.278 0.796 20 0 DCADLN CCOC1CC(C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366742662 954755565 /nfs/dbraw/zinc/75/55/65/954755565.db2.gz XZSSHHJXJBDALN-UHFFFAOYSA-N 0 2 323.397 0.406 20 0 DCADLN CCOC1CC(C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366742662 954755576 /nfs/dbraw/zinc/75/55/76/954755576.db2.gz XZSSHHJXJBDALN-UHFFFAOYSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@](C)(C(=O)[O-])C(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC001350422876 955089537 /nfs/dbraw/zinc/08/95/37/955089537.db2.gz KRQZIKIQOZEJQP-MRXNPFEDSA-N 0 2 322.361 0.947 20 0 DCADLN CC(C)C[C@H]1CN(C)CC[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001603018091 972074666 /nfs/dbraw/zinc/07/46/66/972074666.db2.gz IMOVGBBERFMTAH-LBPRGKRZSA-N 0 2 306.428 0.148 20 0 DCADLN CC(C)C[C@H]1CN(C)CC[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001603018091 972074668 /nfs/dbraw/zinc/07/46/68/972074668.db2.gz IMOVGBBERFMTAH-LBPRGKRZSA-N 0 2 306.428 0.148 20 0 DCADLN Cc1cnccc1CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001366964930 955129066 /nfs/dbraw/zinc/12/90/66/955129066.db2.gz YGKQKQLGLJELED-UHFFFAOYSA-N 0 2 316.365 0.004 20 0 DCADLN CC(C)C[C@@H]1CN(C)CC[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001603018090 972074701 /nfs/dbraw/zinc/07/47/01/972074701.db2.gz IMOVGBBERFMTAH-GFCCVEGCSA-N 0 2 306.428 0.148 20 0 DCADLN CC(C)C[C@@H]1CN(C)CC[N@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001603018090 972074702 /nfs/dbraw/zinc/07/47/02/972074702.db2.gz IMOVGBBERFMTAH-GFCCVEGCSA-N 0 2 306.428 0.148 20 0 DCADLN C[C@@H](CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001366972390 955140481 /nfs/dbraw/zinc/14/04/81/955140481.db2.gz BFHXYHWJWDQTAE-ZETCQYMHSA-N 0 2 321.303 0.647 20 0 DCADLN CN(CCCNC(=O)c1ccnn1C)C(=O)C(F)C(F)(F)F ZINC001351310406 955282335 /nfs/dbraw/zinc/28/23/35/955282335.db2.gz YQHFOJBMMNDISD-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)c1ccnn1C)C(=O)[C@H](F)C(F)(F)F ZINC001351310406 955282341 /nfs/dbraw/zinc/28/23/41/955282341.db2.gz YQHFOJBMMNDISD-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN C[C@H](CC(=O)O[C@@H]1CCCCN(CC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001589007163 955368934 /nfs/dbraw/zinc/36/89/34/955368934.db2.gz DFMYBNYIWAFPOC-VXGBXAGGSA-N 0 2 323.349 0.843 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)[C@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001589040059 955589804 /nfs/dbraw/zinc/58/98/04/955589804.db2.gz XNDJGIHNMXIHED-UPJWGTAASA-N 0 2 314.382 0.093 20 0 DCADLN Cn1ccn2c(C[NH+]3CCC(C)(C(=O)[O-])CC3)nnc2c1=O ZINC001594551626 955731801 /nfs/dbraw/zinc/73/18/01/955731801.db2.gz OJCNRVDDBHYBHU-UHFFFAOYSA-N 0 2 305.338 0.115 20 0 DCADLN CS(=O)(=O)Nc1ccc(C(=O)Nc2ccncc2O)cn1 ZINC001413850450 955739854 /nfs/dbraw/zinc/73/98/54/955739854.db2.gz SIPBAEOCIBKIJQ-UHFFFAOYSA-N 0 2 308.319 0.228 20 0 DCADLN C/C(=C/C(=O)N[C@@H]1C[C@H](C(=O)[O-])[C@H]2C[C@H]21)C[NH+]1CCOCC1 ZINC001354180732 956305827 /nfs/dbraw/zinc/30/58/27/956305827.db2.gz GXLQPKQWRFLTRA-RFIKALKBSA-N 0 2 308.378 0.490 20 0 DCADLN CC[C@H](OC)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416071732 956388708 /nfs/dbraw/zinc/38/87/08/956388708.db2.gz KBWWGEGUSPHJKO-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CC[C@H](OC)C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001416071732 956388721 /nfs/dbraw/zinc/38/87/21/956388721.db2.gz KBWWGEGUSPHJKO-IUCAKERBSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@H](C)C(=O)N1CC=C(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001288417830 956643316 /nfs/dbraw/zinc/64/33/16/956643316.db2.gz AIPMCPWFMLTGLZ-GFCCVEGCSA-N 0 2 320.393 0.570 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](C[C@H](O)c2cccc(F)c2)CCO1 ZINC001593985646 956772392 /nfs/dbraw/zinc/77/23/92/956772392.db2.gz IFMZPVZXCIGSPH-ZFWWWQNUSA-N 0 2 313.325 0.661 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](C[C@H](O)c2cccc(F)c2)CCO1 ZINC001593985646 956772394 /nfs/dbraw/zinc/77/23/94/956772394.db2.gz IFMZPVZXCIGSPH-ZFWWWQNUSA-N 0 2 313.325 0.661 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCOC1)C(F)C(F)(F)F ZINC001293188805 957303248 /nfs/dbraw/zinc/30/32/48/957303248.db2.gz KWCWYYDWWPFSMV-YUMQZZPRSA-N 0 2 300.252 0.546 20 0 DCADLN O=C(NCCCNC(=O)[C@H]1CCOC1)[C@H](F)C(F)(F)F ZINC001293188805 957303257 /nfs/dbraw/zinc/30/32/57/957303257.db2.gz KWCWYYDWWPFSMV-YUMQZZPRSA-N 0 2 300.252 0.546 20 0 DCADLN Cn1cnc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)c1 ZINC001293247402 957325435 /nfs/dbraw/zinc/32/54/35/957325435.db2.gz ZMXFYRJHSUJSRZ-QMMMGPOBSA-N 0 2 310.251 0.557 20 0 DCADLN Cn1cnc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001293247402 957325446 /nfs/dbraw/zinc/32/54/46/957325446.db2.gz ZMXFYRJHSUJSRZ-QMMMGPOBSA-N 0 2 310.251 0.557 20 0 DCADLN O=C(COCC(F)F)NCCCNC(=O)C(F)C(F)(F)F ZINC001293377748 957357635 /nfs/dbraw/zinc/35/76/35/957357635.db2.gz XIIIZKKZFKZELV-MRVPVSSYSA-N 0 2 324.221 0.791 20 0 DCADLN O=C(COCC(F)F)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001293377748 957357638 /nfs/dbraw/zinc/35/76/38/957357638.db2.gz XIIIZKKZFKZELV-MRVPVSSYSA-N 0 2 324.221 0.791 20 0 DCADLN Cn1ncc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)n1 ZINC001357889527 957397123 /nfs/dbraw/zinc/39/71/23/957397123.db2.gz ZOMYBOHKVOWHPD-UFUPEUMYSA-N 0 2 323.250 0.118 20 0 DCADLN Cn1ncc(C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001357889527 957397133 /nfs/dbraw/zinc/39/71/33/957397133.db2.gz ZOMYBOHKVOWHPD-UFUPEUMYSA-N 0 2 323.250 0.118 20 0 DCADLN Cc1cc(C(=O)[O-])cc(C(=O)N[C@@H](CO)c2c[nH+]cn2C)c1 ZINC001594286918 957787434 /nfs/dbraw/zinc/78/74/34/957787434.db2.gz RDPBBLKWCQQHSG-LBPRGKRZSA-N 0 2 303.318 0.890 20 0 DCADLN O=C([O-])C[C@H]1COCC[N@@H+]1CCN1C(=O)c2ccccc2C1=O ZINC000390839755 972303834 /nfs/dbraw/zinc/30/38/34/972303834.db2.gz CMEFIUMUXXLWOY-NSHDSACASA-N 0 2 318.329 0.458 20 0 DCADLN O=C([O-])C[C@H]1COCC[N@H+]1CCN1C(=O)c2ccccc2C1=O ZINC000390839755 972303837 /nfs/dbraw/zinc/30/38/37/972303837.db2.gz CMEFIUMUXXLWOY-NSHDSACASA-N 0 2 318.329 0.458 20 0 DCADLN C/C=C\[C@H](O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001296664377 958032690 /nfs/dbraw/zinc/03/26/90/958032690.db2.gz VSZJQMHMSUFBEI-DWMUBGRBSA-N 0 2 302.334 0.937 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)[C@]1(C(=O)[O-])CC=CCC1 ZINC001349674531 958095763 /nfs/dbraw/zinc/09/57/63/958095763.db2.gz PGKPRVHBAKBFAL-BBRMVZONSA-N 0 2 309.410 0.550 20 0 DCADLN CSCC(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001446989133 1013168209 /nfs/dbraw/zinc/16/82/09/1013168209.db2.gz WGPNGHAFOIWATC-POYBYMJQSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001446989133 1013168213 /nfs/dbraw/zinc/16/82/13/1013168213.db2.gz WGPNGHAFOIWATC-POYBYMJQSA-N 0 2 302.293 0.967 20 0 DCADLN CSC[C@H](C)C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367787611 958579101 /nfs/dbraw/zinc/57/91/01/958579101.db2.gz MGRUWWZSXYKVGO-DTWKUNHWSA-N 0 2 301.416 0.446 20 0 DCADLN CSC[C@H](C)C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001367787611 958579109 /nfs/dbraw/zinc/57/91/09/958579109.db2.gz MGRUWWZSXYKVGO-DTWKUNHWSA-N 0 2 301.416 0.446 20 0 DCADLN C/C(=C\C(=O)N1CC[C@H](C(=O)[O-])[C@@H](C)C1)C[NH+]1CCOCC1 ZINC001588481651 958597072 /nfs/dbraw/zinc/59/70/72/958597072.db2.gz YSQGKHLMKODLAV-ZJTSMVRJSA-N 0 2 310.394 0.834 20 0 DCADLN Cc1n[nH]c(NC(=O)C[C@H]2CS(=O)(=O)c3ccccc3O2)n1 ZINC001362034029 958632940 /nfs/dbraw/zinc/63/29/40/958632940.db2.gz YSDICKWIJDSCRL-VIFPVBQESA-N 0 2 322.346 0.677 20 0 DCADLN Cn1nncc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001367941679 958917850 /nfs/dbraw/zinc/91/78/50/958917850.db2.gz VEIAQKWGVDQQSZ-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1nncc1CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001367941679 958917858 /nfs/dbraw/zinc/91/78/58/958917858.db2.gz VEIAQKWGVDQQSZ-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN Cc1cccn2cc(CCNC(=O)[C@@H]3CC(C(=O)[O-])=NO3)[nH+]c12 ZINC001594420134 959052631 /nfs/dbraw/zinc/05/26/31/959052631.db2.gz HIFUQVFTZYFGLM-LBPRGKRZSA-N 0 2 316.317 0.531 20 0 DCADLN CC(C)OCC[NH+]1CCN(C(=O)[C@@]2(C(=O)[O-])CCCO2)CC1 ZINC001573550503 959234538 /nfs/dbraw/zinc/23/45/38/959234538.db2.gz ZPVVSEYPLRRXBO-OAHLLOKOSA-N 0 2 314.382 0.189 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H]2[C@H](C1)C2(F)F ZINC001362386853 959257709 /nfs/dbraw/zinc/25/77/09/959257709.db2.gz MWGQLQTWNVOAEB-BDAKNGLRSA-N 0 2 309.276 0.185 20 0 DCADLN O=C(c1c(O)cccc1Cl)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001362385093 959258118 /nfs/dbraw/zinc/25/81/18/959258118.db2.gz FHHHSCWHKCDHJJ-UHFFFAOYSA-N 0 2 323.740 0.683 20 0 DCADLN CC[C@H](C)[C@H](O)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362429830 959339961 /nfs/dbraw/zinc/33/99/61/959339961.db2.gz AVDYFXHBLQBWJT-JOYOIKCWSA-N 0 2 320.349 0.403 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc3[nH]cnc3c2)S1 ZINC001362476158 959428049 /nfs/dbraw/zinc/42/80/49/959428049.db2.gz SXWWJBZQIIOCTB-SNVBAGLBSA-N 0 2 303.347 0.736 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2ccc3nc[nH]c3c2)S1 ZINC001362476158 959428062 /nfs/dbraw/zinc/42/80/62/959428062.db2.gz SXWWJBZQIIOCTB-SNVBAGLBSA-N 0 2 303.347 0.736 20 0 DCADLN N=C1NC(=O)C(CC(=O)NCc2ccc3[nH]cnc3c2)S1 ZINC001362476158 959428082 /nfs/dbraw/zinc/42/80/82/959428082.db2.gz SXWWJBZQIIOCTB-SNVBAGLBSA-N 0 2 303.347 0.736 20 0 DCADLN N=C1NC(=O)C(CC(=O)NCc2ccc3nc[nH]c3c2)S1 ZINC001362476158 959428092 /nfs/dbraw/zinc/42/80/92/959428092.db2.gz SXWWJBZQIIOCTB-SNVBAGLBSA-N 0 2 303.347 0.736 20 0 DCADLN Cc1ccn([C@@H](C)CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001377204460 959686181 /nfs/dbraw/zinc/68/61/81/959686181.db2.gz BETIFNHQGUWVNO-NSHDSACASA-N 0 2 321.385 0.215 20 0 DCADLN Cc1ccn([C@@H](C)CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001377204460 959686185 /nfs/dbraw/zinc/68/61/85/959686185.db2.gz BETIFNHQGUWVNO-NSHDSACASA-N 0 2 321.385 0.215 20 0 DCADLN CO[C@@H](C)C(=O)NCCCN(C)C(=O)C(F)C(F)(F)F ZINC001492298356 959863737 /nfs/dbraw/zinc/86/37/37/959863737.db2.gz OTIJSRXSGZYZBB-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@@H](C)C(=O)NCCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001492298356 959863747 /nfs/dbraw/zinc/86/37/47/959863747.db2.gz OTIJSRXSGZYZBB-JGVFFNPUSA-N 0 2 302.268 0.886 20 0 DCADLN CC(=O)N[C@@](C)(C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001362724101 959893230 /nfs/dbraw/zinc/89/32/30/959893230.db2.gz OSNGTJVSDWEPCL-IAQYHMDHSA-N 0 2 323.397 0.767 20 0 DCADLN CC(=O)N[C@](C)(C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001362724115 959894859 /nfs/dbraw/zinc/89/48/59/959894859.db2.gz OSNGTJVSDWEPCL-NHYWBVRUSA-N 0 2 323.397 0.767 20 0 DCADLN Cn1cc(C[NH2+]C2(CNC(=O)[C@@H]3CCCC[N@@H+]3C)CCC2)nn1 ZINC001368478746 959920400 /nfs/dbraw/zinc/92/04/00/959920400.db2.gz SMGIQLNXQBXHBK-AWEZNQCLSA-N 0 2 320.441 0.428 20 0 DCADLN C[C@H](CC(N)=O)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001362768482 959960751 /nfs/dbraw/zinc/96/07/51/959960751.db2.gz JWCRXQKDOUDCMK-MWLCHTKSSA-N 0 2 317.349 0.422 20 0 DCADLN O=C(C=CCn1cncn1)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC001301648670 960008004 /nfs/dbraw/zinc/00/80/04/960008004.db2.gz DHIPXZILWVTIGN-WXJAXGNASA-N 0 2 310.321 0.253 20 0 DCADLN O=C(/C=C/Cn1cncn1)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC001301648670 960008013 /nfs/dbraw/zinc/00/80/13/960008013.db2.gz DHIPXZILWVTIGN-WXJAXGNASA-N 0 2 310.321 0.253 20 0 DCADLN O=C(c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)N1CCC(F)CC1 ZINC001362842873 960076483 /nfs/dbraw/zinc/07/64/83/960076483.db2.gz FPZHCPTZWLEBJY-UHFFFAOYSA-N 0 2 306.297 0.840 20 0 DCADLN COc1ccc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)cc1O ZINC001362858190 960098417 /nfs/dbraw/zinc/09/84/17/960098417.db2.gz XLZBTVHTNVYYJP-NSHDSACASA-N 0 2 323.374 0.616 20 0 DCADLN Cc1nnc(C2CN(C(=O)C(C)(C)NC(=O)C(F)(F)F)C2)[nH]1 ZINC001362886147 960132590 /nfs/dbraw/zinc/13/25/90/960132590.db2.gz XCFXXOJFEJYGKK-UHFFFAOYSA-N 0 2 319.287 0.496 20 0 DCADLN C[NH+](CC(=O)NC[C@H]1CC[N@@H+](CC(N)=O)C1)Cc1ccccc1 ZINC001377475591 960158562 /nfs/dbraw/zinc/15/85/62/960158562.db2.gz ISSLHJBPLSBCHJ-OAHLLOKOSA-N 0 2 318.421 0.042 20 0 DCADLN CCNC(=O)CCCC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001362974101 960246450 /nfs/dbraw/zinc/24/64/50/960246450.db2.gz UGKWPNNIHPCDOB-UHFFFAOYSA-N 0 2 324.381 0.504 20 0 DCADLN C[C@@H](NC(=O)[C@@H](C)Sc1nncn1C)c1nn(C)cc1O ZINC001363041396 960335220 /nfs/dbraw/zinc/33/52/20/960335220.db2.gz OHDHKQGBIRICTM-HTQZYQBOSA-N 0 2 310.383 0.612 20 0 DCADLN O=C(Nc1nccc(Br)c1O)[C@@H]1CCOC(=O)N1 ZINC001363078420 960378603 /nfs/dbraw/zinc/37/86/03/960378603.db2.gz KWVXLELEBJOAPZ-LURJTMIESA-N 0 2 316.111 0.987 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](CO)Cc2ccoc2)S1 ZINC001363242154 960684362 /nfs/dbraw/zinc/68/43/62/960684362.db2.gz FNTDYGMUUFYHPI-ZJUUUORDSA-N 0 2 311.363 0.103 20 0 DCADLN Cc1c(C(=O)Nn2ccc(=O)[nH]c2=O)oc2c1C(=O)CCC2 ZINC001363348830 960950881 /nfs/dbraw/zinc/95/08/81/960950881.db2.gz VRFKMMFKCOQUIT-UHFFFAOYSA-N 0 2 303.274 0.753 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001571075305 960951564 /nfs/dbraw/zinc/95/15/64/960951564.db2.gz UOAHRXQQGMTOBZ-OLZOCXBDSA-N 0 2 301.302 0.532 20 0 DCADLN Cc1cncc(-c2nc(C[N@@H+]3CC[C@](O)(C(=O)[O-])C3)no2)c1 ZINC001571084662 961035446 /nfs/dbraw/zinc/03/54/46/961035446.db2.gz FEOQYZMQNHDPOT-CQSZACIVSA-N 0 2 304.306 0.461 20 0 DCADLN Cc1cncc(-c2nc(C[N@H+]3CC[C@](O)(C(=O)[O-])C3)no2)c1 ZINC001571084662 961035474 /nfs/dbraw/zinc/03/54/74/961035474.db2.gz FEOQYZMQNHDPOT-CQSZACIVSA-N 0 2 304.306 0.461 20 0 DCADLN CSc1nc(CNC(=O)CCCc2nn[nH]n2)cc(=O)[nH]1 ZINC001363459848 961205852 /nfs/dbraw/zinc/20/58/52/961205852.db2.gz ZIBSJPCJAVMYHO-UHFFFAOYSA-N 0 2 309.355 0.056 20 0 DCADLN O=C([O-])[C@]12C[C@H]1CCC[N@@H+]2[C@H]1CCN(C2CCOCC2)C1=O ZINC001571102936 961251777 /nfs/dbraw/zinc/25/17/77/961251777.db2.gz RNIJMQOOLAAHRL-FFSVYQOJSA-N 0 2 308.378 0.705 20 0 DCADLN O=C([O-])[C@]12C[C@H]1CCC[N@H+]2[C@H]1CCN(C2CCOCC2)C1=O ZINC001571102936 961251794 /nfs/dbraw/zinc/25/17/94/961251794.db2.gz RNIJMQOOLAAHRL-FFSVYQOJSA-N 0 2 308.378 0.705 20 0 DCADLN O=C(NC1CN(C(=O)c2cn[nH]c(=O)c2)C1)C(F)C(F)(F)F ZINC001430218717 1013258678 /nfs/dbraw/zinc/25/86/78/1013258678.db2.gz NEHZLSBJPIWJBS-QMMMGPOBSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)c2cn[nH]c(=O)c2)C1)[C@H](F)C(F)(F)F ZINC001430218717 1013258681 /nfs/dbraw/zinc/25/86/81/1013258681.db2.gz NEHZLSBJPIWJBS-QMMMGPOBSA-N 0 2 322.218 0.023 20 0 DCADLN Cc1cnc(C[NH+]2CCC(NC(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)nc1 ZINC001571137402 961611565 /nfs/dbraw/zinc/61/15/65/961611565.db2.gz FEDBYOORWWCASC-QWHCGFSZSA-N 0 2 318.377 0.586 20 0 DCADLN CCc1ccccc1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363672903 961671139 /nfs/dbraw/zinc/67/11/39/961671139.db2.gz OOGOCCLYIUNCHQ-UHFFFAOYSA-N 0 2 322.390 0.842 20 0 DCADLN COCCn1cc(C(=O)N[C@H](C)c2nn(C)cc2O)ccc1=O ZINC001363830423 961978373 /nfs/dbraw/zinc/97/83/73/961978373.db2.gz JXPXJSRZPQSDEW-SNVBAGLBSA-N 0 2 320.349 0.425 20 0 DCADLN CC[C@H](NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001571164625 961978806 /nfs/dbraw/zinc/97/88/06/961978806.db2.gz CYJUCSZRPTWHTQ-NWDGAFQWSA-N 0 2 324.381 0.463 20 0 DCADLN CC[C@H](NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001571164625 961978813 /nfs/dbraw/zinc/97/88/13/961978813.db2.gz CYJUCSZRPTWHTQ-NWDGAFQWSA-N 0 2 324.381 0.463 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1CN(C(=O)C2(CCF)CC2)C1 ZINC001430276522 1013311753 /nfs/dbraw/zinc/31/17/53/1013311753.db2.gz ZZRCNACUXKSWCO-UHFFFAOYSA-N 0 2 308.357 0.727 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1c[nH]nc1C1CC1 ZINC001379122934 962153257 /nfs/dbraw/zinc/15/32/57/962153257.db2.gz UITLNVAUHAJVBT-UHFFFAOYSA-N 0 2 319.369 0.315 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1c[nH]nc1C1CC1 ZINC001379122934 962153264 /nfs/dbraw/zinc/15/32/64/962153264.db2.gz UITLNVAUHAJVBT-UHFFFAOYSA-N 0 2 319.369 0.315 20 0 DCADLN CC[C@@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@@H]1O ZINC001447286054 1013344047 /nfs/dbraw/zinc/34/40/47/1013344047.db2.gz LDFYGRJROSPULY-SKDRFNHKSA-N 0 2 318.333 0.109 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)CC2CC(F)(F)C2)[nH]1 ZINC001364280795 962808151 /nfs/dbraw/zinc/80/81/51/962808151.db2.gz AECRSCYGQBXNAJ-UHFFFAOYSA-N 0 2 322.337 0.401 20 0 DCADLN C[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)c1ccccn1 ZINC001364286690 962823845 /nfs/dbraw/zinc/82/38/45/962823845.db2.gz RHYNFQAOIWZONF-SECBINFHSA-N 0 2 323.378 0.164 20 0 DCADLN O=C([O-])COCCOCCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001574731724 962843406 /nfs/dbraw/zinc/84/34/06/962843406.db2.gz UGYNHNPPUGDBBA-UHFFFAOYSA-N 0 2 307.306 0.411 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)[C@H]1CC[C@H]1C(=O)[O-])C2 ZINC001574192643 962856063 /nfs/dbraw/zinc/85/60/63/962856063.db2.gz NXDFWLKDQRQEOU-GRYCIOLGSA-N 0 2 321.377 0.268 20 0 DCADLN O=C(CCc1cn[nH]n1)NCC1(O)C[NH+](CCC2CCCC2)C1 ZINC001380622921 963432112 /nfs/dbraw/zinc/43/21/12/963432112.db2.gz AUKDFWAYHGYPJW-UHFFFAOYSA-N 0 2 321.425 0.481 20 0 DCADLN CC1(C(=O)NC[C@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CCCC1 ZINC001380819392 963606766 /nfs/dbraw/zinc/60/67/66/963606766.db2.gz LACJCASRSBWUPS-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CC1(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)CCCC1 ZINC001380819386 963606875 /nfs/dbraw/zinc/60/68/75/963606875.db2.gz LACJCASRSBWUPS-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)Nc1ccc(S(N)(=O)=O)cc1C(=O)[O-] ZINC000314857903 963821777 /nfs/dbraw/zinc/82/17/77/963821777.db2.gz YGCIIEXCPVOQNQ-VIFPVBQESA-N 0 2 324.362 0.729 20 0 DCADLN CO[C@@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1ccccc1 ZINC000315010638 963843400 /nfs/dbraw/zinc/84/34/00/963843400.db2.gz FZIMPPHDLYNDMR-QWHCGFSZSA-N 0 2 303.318 0.909 20 0 DCADLN CO[C@@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1ccccc1 ZINC000315010638 963843405 /nfs/dbraw/zinc/84/34/05/963843405.db2.gz FZIMPPHDLYNDMR-QWHCGFSZSA-N 0 2 303.318 0.909 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)[N@H+](C)CCc2cnn(C)c2)c1[O-] ZINC001368947008 964173762 /nfs/dbraw/zinc/17/37/62/964173762.db2.gz RPGUVANFZYWMKU-SNVBAGLBSA-N 0 2 320.397 0.450 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@@H](C)[N@@H+](C)CCc2cnn(C)c2)c1[O-] ZINC001368947008 964173768 /nfs/dbraw/zinc/17/37/68/964173768.db2.gz RPGUVANFZYWMKU-SNVBAGLBSA-N 0 2 320.397 0.450 20 0 DCADLN CC(C)OCC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001430454261 1013489176 /nfs/dbraw/zinc/48/91/76/1013489176.db2.gz FGBGGPNDGCVODB-VXNVDRBHSA-N 0 2 302.268 0.933 20 0 DCADLN CC(C)OCC(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001430454261 1013489181 /nfs/dbraw/zinc/48/91/81/1013489181.db2.gz FGBGGPNDGCVODB-VXNVDRBHSA-N 0 2 302.268 0.933 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C[C@@H]1CCCOC1 ZINC001375477069 964454046 /nfs/dbraw/zinc/45/40/46/964454046.db2.gz QJPKVVQRCMJXCV-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(CCNC(=O)[C@H](O)C(C)C)C(=O)C(F)C(F)(F)F ZINC001373811964 964665730 /nfs/dbraw/zinc/66/57/30/964665730.db2.gz BESWRMXZKOJUKU-RKDXNWHRSA-N 0 2 316.295 0.868 20 0 DCADLN CCN(CCNC(=O)[C@H](O)C(C)C)C(=O)[C@@H](F)C(F)(F)F ZINC001373811964 964665734 /nfs/dbraw/zinc/66/57/34/964665734.db2.gz BESWRMXZKOJUKU-RKDXNWHRSA-N 0 2 316.295 0.868 20 0 DCADLN C[C@](C[NH2+]Cc1ccon1)(NC(=O)CCc1nnc[nH]1)C1CC1 ZINC001373876533 964741266 /nfs/dbraw/zinc/74/12/66/964741266.db2.gz PFTYRJMXXXSQEW-OAHLLOKOSA-N 0 2 318.381 0.800 20 0 DCADLN C[C@@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[n-]2)C1)n1cc[nH+]c1 ZINC001375712568 964748817 /nfs/dbraw/zinc/74/88/17/964748817.db2.gz RKYSNQRZBQPDMH-JTQLQIEISA-N 0 2 319.369 0.001 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001414116559 964753417 /nfs/dbraw/zinc/75/34/17/964753417.db2.gz BHYGETJQNQDAID-SFYZADRCSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@H]1CCN1C(=O)c1ncc[nH]1)C(=O)[C@H](F)C(F)(F)F ZINC001414116559 964753423 /nfs/dbraw/zinc/75/34/23/964753423.db2.gz BHYGETJQNQDAID-SFYZADRCSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)C(C)(C)NC(=O)C(F)(F)F)C1CN(CCF)C1 ZINC001375724738 964759952 /nfs/dbraw/zinc/75/99/52/964759952.db2.gz PQHBCIAUKCOICD-UHFFFAOYSA-N 0 2 313.295 0.556 20 0 DCADLN CC[C@@H](F)C[N@H+](C)C[C@H](O)CN(C)C(=O)c1cnc(C)[nH]1 ZINC001369499585 965091925 /nfs/dbraw/zinc/09/19/25/965091925.db2.gz RVCANQATQIAJER-NEPJUHHUSA-N 0 2 300.378 0.831 20 0 DCADLN Cn1ncnc1CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001376127191 965242262 /nfs/dbraw/zinc/24/22/62/965242262.db2.gz WZHMQPUWKDPEDK-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncnc1CN1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001376127191 965242267 /nfs/dbraw/zinc/24/22/67/965242267.db2.gz WZHMQPUWKDPEDK-VXNVDRBHSA-N 0 2 309.267 0.406 20 0 DCADLN Cc1cncc(C[N@@H+]2CC[C@@H](NC(=O)CCc3cn[nH]n3)C2)c1 ZINC001376146896 965281691 /nfs/dbraw/zinc/28/16/91/965281691.db2.gz KEZLDZFHTCURIJ-OAHLLOKOSA-N 0 2 314.393 0.831 20 0 DCADLN Cc1cncc(C[N@H+]2CC[C@@H](NC(=O)CCc3cn[nH]n3)C2)c1 ZINC001376146896 965281696 /nfs/dbraw/zinc/28/16/96/965281696.db2.gz KEZLDZFHTCURIJ-OAHLLOKOSA-N 0 2 314.393 0.831 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N[C@H]1CC[NH+](CC(=O)NC(C)(C)C)C1 ZINC001376155834 965301557 /nfs/dbraw/zinc/30/15/57/965301557.db2.gz KARNBDQOQQPWOE-ZDUSSCGKSA-N 0 2 312.458 0.432 20 0 DCADLN CCc1occc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374483614 965436827 /nfs/dbraw/zinc/43/68/27/965436827.db2.gz GQOOKTANGKYGBB-UHFFFAOYSA-N 0 2 307.354 0.870 20 0 DCADLN CCc1occc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001374483614 965436832 /nfs/dbraw/zinc/43/68/32/965436832.db2.gz GQOOKTANGKYGBB-UHFFFAOYSA-N 0 2 307.354 0.870 20 0 DCADLN O=C(COCC1CC1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001376567937 965837732 /nfs/dbraw/zinc/83/77/32/965837732.db2.gz PPIPBVMNNQHSLG-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(COCC1CC1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001376567937 965837752 /nfs/dbraw/zinc/83/77/52/965837752.db2.gz PPIPBVMNNQHSLG-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)c1ccco1 ZINC001375104323 966355850 /nfs/dbraw/zinc/35/58/50/966355850.db2.gz KZCUMGTYMRUREF-UWVGGRQHSA-N 0 2 307.354 0.844 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)c1ccco1 ZINC001375104323 966355856 /nfs/dbraw/zinc/35/58/56/966355856.db2.gz KZCUMGTYMRUREF-UWVGGRQHSA-N 0 2 307.354 0.844 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001370715666 966409387 /nfs/dbraw/zinc/40/93/87/966409387.db2.gz WFRTYOXPBLUXEO-XPUUQOCRSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccco1 ZINC001370715666 966409393 /nfs/dbraw/zinc/40/93/93/966409393.db2.gz WFRTYOXPBLUXEO-XPUUQOCRSA-N 0 2 312.219 0.387 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)oc1C ZINC001381509748 966481297 /nfs/dbraw/zinc/48/12/97/966481297.db2.gz QYXWIPOFBVPEGN-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)oc1C ZINC001381509748 966481301 /nfs/dbraw/zinc/48/13/01/966481301.db2.gz QYXWIPOFBVPEGN-SECBINFHSA-N 0 2 307.354 0.970 20 0 DCADLN CN(C[C@H](O)CNC(=O)CCCF)C(=O)C(F)C(F)(F)F ZINC001371005534 966651053 /nfs/dbraw/zinc/65/10/53/966651053.db2.gz JVIDXHCXEMXLSV-VXNVDRBHSA-N 0 2 320.258 0.572 20 0 DCADLN CN(C[C@H](O)CNC(=O)CCCF)C(=O)[C@@H](F)C(F)(F)F ZINC001371005534 966651062 /nfs/dbraw/zinc/65/10/62/966651062.db2.gz JVIDXHCXEMXLSV-VXNVDRBHSA-N 0 2 320.258 0.572 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCc1ncc[nH]1 ZINC001447977124 1013679415 /nfs/dbraw/zinc/67/94/15/1013679415.db2.gz GFPSDBWJKTWNIU-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN Cc1cncc(CC(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001448056266 1013738547 /nfs/dbraw/zinc/73/85/47/1013738547.db2.gz BRWFRUWOWWOUQB-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN Cc1cncc(CC(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001448056266 1013738553 /nfs/dbraw/zinc/73/85/53/1013738553.db2.gz BRWFRUWOWWOUQB-NSHDSACASA-N 0 2 318.381 0.393 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]c1 ZINC001372905957 968519578 /nfs/dbraw/zinc/51/95/78/968519578.db2.gz NZFFHQDXAKQFHX-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccc(=O)[nH]c1 ZINC001372905957 968519579 /nfs/dbraw/zinc/51/95/79/968519579.db2.gz NZFFHQDXAKQFHX-HZGVNTEJSA-N 0 2 323.246 0.922 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cn[nH]c2)C1)C(F)C(F)(F)F ZINC001448242413 1013839079 /nfs/dbraw/zinc/83/90/79/1013839079.db2.gz KXMBIICHJVYDPI-VXNVDRBHSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2cn[nH]c2)C1)[C@@H](F)C(F)(F)F ZINC001448242413 1013839091 /nfs/dbraw/zinc/83/90/91/1013839091.db2.gz KXMBIICHJVYDPI-VXNVDRBHSA-N 0 2 322.262 0.888 20 0 DCADLN CCn1ncc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001373507730 969170736 /nfs/dbraw/zinc/17/07/36/969170736.db2.gz KTWSEBAJQNEEDP-JTQLQIEISA-N 0 2 323.294 0.746 20 0 DCADLN CCn1ncc(CN2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001373507730 969170740 /nfs/dbraw/zinc/17/07/40/969170740.db2.gz KTWSEBAJQNEEDP-JTQLQIEISA-N 0 2 323.294 0.746 20 0 DCADLN CC[C@@H](C)[C@@H](OC)C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373520109 969182912 /nfs/dbraw/zinc/18/29/12/969182912.db2.gz UPUXETXDXKCUAA-BXKDBHETSA-N 0 2 311.386 0.119 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001605498721 1169940194 /nfs/dbraw/zinc/94/01/94/1169940194.db2.gz CLXXECNNOZZZKS-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001605498721 1169940200 /nfs/dbraw/zinc/94/02/00/1169940200.db2.gz CLXXECNNOZZZKS-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc2ccccc2n1 ZINC000320690683 970699333 /nfs/dbraw/zinc/69/93/33/970699333.db2.gz QGBBKGVGUQABEE-GFCCVEGCSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc2ccccc2n1 ZINC000320690683 970699341 /nfs/dbraw/zinc/69/93/41/970699341.db2.gz QGBBKGVGUQABEE-GFCCVEGCSA-N 0 2 311.301 0.779 20 0 DCADLN O=C([O-])c1ccnc(S(=O)(=O)NCCCCn2cc[nH+]c2)c1 ZINC000320932798 970730665 /nfs/dbraw/zinc/73/06/65/970730665.db2.gz SOBAUGYBHUDRFA-UHFFFAOYSA-N 0 2 324.362 0.735 20 0 DCADLN Cc1cc(=O)[nH]c(C(C)(C)[NH2+][C@H]2CCN(CC(=O)[O-])C2=O)n1 ZINC001605092406 972743149 /nfs/dbraw/zinc/74/31/49/972743149.db2.gz MRGJGLUMUOHHDT-VIFPVBQESA-N 0 2 308.338 0.001 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C1(C(=O)[O-])CC=CC1 ZINC001603375724 972926113 /nfs/dbraw/zinc/92/61/13/972926113.db2.gz VJONAIJNZLBSPL-STQMWFEESA-N 0 2 308.378 0.729 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H]1CCN(C(C)(C)C)C1=O ZINC001604310564 972965381 /nfs/dbraw/zinc/96/53/81/972965381.db2.gz BGSVXDIFNFHDOM-JTQLQIEISA-N 0 2 300.355 0.336 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H]1CCN(C(C)(C)C)C1=O ZINC001604310564 972965390 /nfs/dbraw/zinc/96/53/90/972965390.db2.gz BGSVXDIFNFHDOM-JTQLQIEISA-N 0 2 300.355 0.336 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC000401878684 973485429 /nfs/dbraw/zinc/48/54/29/973485429.db2.gz LJNDHMDRPNLLKI-WCBMZHEXSA-N 0 2 314.288 0.671 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2ccc(F)cc2F)C1 ZINC000401878684 973485436 /nfs/dbraw/zinc/48/54/36/973485436.db2.gz LJNDHMDRPNLLKI-WCBMZHEXSA-N 0 2 314.288 0.671 20 0 DCADLN Cn1cc([C@H]2C[C@@H](C(=O)[O-])CN(c3cc(N)nc[nH+]3)C2)cn1 ZINC001605487938 973612630 /nfs/dbraw/zinc/61/26/30/973612630.db2.gz BBCRAKUTDQENMW-VHSXEESVSA-N 0 2 302.338 0.487 20 0 DCADLN CN(CCNC(=O)Cc1cnn(C)c1)C(=O)C(F)C(F)(F)F ZINC001527976367 1014156250 /nfs/dbraw/zinc/15/62/50/1014156250.db2.gz ZLVSUWYZNUWBBL-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CN(CCNC(=O)Cc1cnn(C)c1)C(=O)[C@@H](F)C(F)(F)F ZINC001527976367 1014156256 /nfs/dbraw/zinc/15/62/56/1014156256.db2.gz ZLVSUWYZNUWBBL-SNVBAGLBSA-N 0 2 324.278 0.438 20 0 DCADLN CCCCNC(=O)[C@@H](C)[N@@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001603647463 974006799 /nfs/dbraw/zinc/00/67/99/974006799.db2.gz SCMXXDAUKAQAPB-BXUZGUMPSA-N 0 2 302.371 0.093 20 0 DCADLN CCCCNC(=O)[C@@H](C)[N@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001603647463 974006812 /nfs/dbraw/zinc/00/68/12/974006812.db2.gz SCMXXDAUKAQAPB-BXUZGUMPSA-N 0 2 302.371 0.093 20 0 DCADLN O=C([O-])[C@]12COC[C@@H]1CN(c1cc(N3CCCC3)[nH+]cn1)C2 ZINC001549300671 1014210625 /nfs/dbraw/zinc/21/06/25/1014210625.db2.gz YTGSTACLAZRLMW-XHDPSFHLSA-N 0 2 304.350 0.614 20 0 DCADLN O=C([O-])[C@]12COC[C@@H]1CN(c1cc(N3CCCC3)nc[nH+]1)C2 ZINC001549300671 1014210635 /nfs/dbraw/zinc/21/06/35/1014210635.db2.gz YTGSTACLAZRLMW-XHDPSFHLSA-N 0 2 304.350 0.614 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+]1CCC[C@H](c2ccncn2)C1)C(=O)[O-] ZINC001591868584 976191427 /nfs/dbraw/zinc/19/14/27/976191427.db2.gz WQLQVKDIZDUNNN-WFASDCNBSA-N 0 2 320.393 0.881 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+]1CCC[C@H](c2ccncn2)C1)C(=O)[O-] ZINC001591868584 976191433 /nfs/dbraw/zinc/19/14/33/976191433.db2.gz WQLQVKDIZDUNNN-WFASDCNBSA-N 0 2 320.393 0.881 20 0 DCADLN C[C@@H](CC(=O)O[C@H]1CCCN(CCC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001592428717 978052278 /nfs/dbraw/zinc/05/22/78/978052278.db2.gz GHGMKCNKQUQGJH-RYUDHWBXSA-N 0 2 323.349 0.843 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)NCCCC(=O)[O-])n1 ZINC000722407642 978141302 /nfs/dbraw/zinc/14/13/02/978141302.db2.gz UBPFQBRHFJHVOQ-UHFFFAOYSA-N 0 2 300.384 0.869 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(C(=O)[O-])cn1 ZINC001592623330 978593179 /nfs/dbraw/zinc/59/31/79/978593179.db2.gz GVGPIPQWEMTIIJ-NSHDSACASA-N 0 2 322.365 0.964 20 0 DCADLN CCN(CCNC(=O)Cc1c[nH]c[nH+]1)C(=O)C[N@@H+]1CC[C@H](C)C1 ZINC001449556742 1014545093 /nfs/dbraw/zinc/54/50/93/1014545093.db2.gz WNLIGRPVDZAJPJ-ZDUSSCGKSA-N 0 2 321.425 0.259 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@@H+]1CC[C@H](OC)C[C@@H]1C(=O)[O-] ZINC001595760382 982469429 /nfs/dbraw/zinc/46/94/29/982469429.db2.gz YPLNTYUGZQTVQG-WDEREUQCSA-N 0 2 315.370 0.176 20 0 DCADLN CCCCNC(=O)NC(=O)C[N@H+]1CC[C@H](OC)C[C@@H]1C(=O)[O-] ZINC001595760382 982469434 /nfs/dbraw/zinc/46/94/34/982469434.db2.gz YPLNTYUGZQTVQG-WDEREUQCSA-N 0 2 315.370 0.176 20 0 DCADLN CCCCNc1cc(N2C[C@H](O)C[C@@H](C(=O)[O-])C2)[nH+]c(N)n1 ZINC001595763194 982470228 /nfs/dbraw/zinc/47/02/28/982470228.db2.gz CFRJDDFJKFKNRI-NXEZZACHSA-N 0 2 309.370 0.543 20 0 DCADLN CCCCNc1cc(N2C[C@H](O)C[C@@H](C(=O)[O-])C2)nc(N)[nH+]1 ZINC001595763194 982470224 /nfs/dbraw/zinc/47/02/24/982470224.db2.gz CFRJDDFJKFKNRI-NXEZZACHSA-N 0 2 309.370 0.543 20 0 DCADLN CCCN(CCC)C(=O)C[N@@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001595873198 982861680 /nfs/dbraw/zinc/86/16/80/982861680.db2.gz OMYOXKZQYZBTHU-LBPRGKRZSA-N 0 2 313.398 0.300 20 0 DCADLN CCCN(CCC)C(=O)C[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001595873198 982861685 /nfs/dbraw/zinc/86/16/85/982861685.db2.gz OMYOXKZQYZBTHU-LBPRGKRZSA-N 0 2 313.398 0.300 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CCCO2)C1)C(F)C(F)(F)F ZINC001524858448 1015058070 /nfs/dbraw/zinc/05/80/70/1015058070.db2.gz NZGKUTDAKGBXGE-XSPKLOCKSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC001524858448 1015058080 /nfs/dbraw/zinc/05/80/80/1015058080.db2.gz NZGKUTDAKGBXGE-XSPKLOCKSA-N 0 2 312.263 0.829 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001383846511 985133871 /nfs/dbraw/zinc/13/38/71/985133871.db2.gz COMVOEIJLLFHAB-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F)c1ccncc1 ZINC001383846511 985133876 /nfs/dbraw/zinc/13/38/76/985133876.db2.gz COMVOEIJLLFHAB-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN Cn1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001450375971 1015098863 /nfs/dbraw/zinc/09/88/63/1015098863.db2.gz MPIOVNJYDJIWKN-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cc(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001450375971 1015098876 /nfs/dbraw/zinc/09/88/76/1015098876.db2.gz MPIOVNJYDJIWKN-MRVPVSSYSA-N 0 2 308.235 0.261 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@H+](C)CCOCCO)c(C)c1C(=O)[O-] ZINC001599943052 985479961 /nfs/dbraw/zinc/47/99/61/985479961.db2.gz ZBRFOFVWTXHUSQ-LLVKDONJSA-N 0 2 312.366 0.842 20 0 DCADLN Cc1[nH]c(C(=O)[C@@H](C)[N@@H+](C)CCOCCO)c(C)c1C(=O)[O-] ZINC001599943052 985479965 /nfs/dbraw/zinc/47/99/65/985479965.db2.gz ZBRFOFVWTXHUSQ-LLVKDONJSA-N 0 2 312.366 0.842 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+]2CC[C@H](O)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599944918 985508864 /nfs/dbraw/zinc/50/88/64/985508864.db2.gz KMMYUDBCKPUVSQ-QWRGUYRKSA-N 0 2 310.350 0.188 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+]2CC[C@H](O)[C@H](CO)C2)c(C)c1C(=O)[O-] ZINC001599944918 985508878 /nfs/dbraw/zinc/50/88/78/985508878.db2.gz KMMYUDBCKPUVSQ-QWRGUYRKSA-N 0 2 310.350 0.188 20 0 DCADLN CCn1ncn(NC(=O)c2csc(-c3ncc[nH]3)n2)c1=O ZINC001450463997 1015156188 /nfs/dbraw/zinc/15/61/88/1015156188.db2.gz IMCJANILYYBFSG-UHFFFAOYSA-N 0 2 305.323 0.295 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)[C@](C)(O)C2CCC2)n[nH]1 ZINC001551656704 1015165436 /nfs/dbraw/zinc/16/54/36/1015165436.db2.gz DASFRUCJPLIJFS-DVOMOZLQSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1[nH]c(C[NH2+]Cc2nnc3c(=O)[nH]ccn23)cc1C(=O)[O-] ZINC001599962703 985822114 /nfs/dbraw/zinc/82/21/14/985822114.db2.gz CMUNOAZCHDHGKE-UHFFFAOYSA-N 0 2 302.294 0.042 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)[C@@H]2C[C@H](C)CO2)n[nH]1 ZINC001551655911 1015166824 /nfs/dbraw/zinc/16/68/24/1015166824.db2.gz IJOAVNNVJUUSTH-ZBAXXZLZSA-N 0 2 308.382 0.869 20 0 DCADLN Cc1cnn(CC(=O)N(C)C[C@H]2OCC[C@H]2c2n[nH]c(C)n2)c1 ZINC001551662555 1015167490 /nfs/dbraw/zinc/16/74/90/1015167490.db2.gz FOFSIESCOPUXFY-CHWSQXEVSA-N 0 2 318.381 0.649 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)Cn2cccn2)n[nH]1 ZINC001551668769 1015170715 /nfs/dbraw/zinc/17/07/15/1015170715.db2.gz RPJLPEFJWUIVTQ-NWDGAFQWSA-N 0 2 304.354 0.341 20 0 DCADLN CC(=O)c1ccc(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC001589283280 986007935 /nfs/dbraw/zinc/00/79/35/986007935.db2.gz GKRMTTLEFWJDMV-AWEZNQCLSA-N 0 2 315.329 0.967 20 0 DCADLN CC(=O)c1ccc(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001589283280 986007950 /nfs/dbraw/zinc/00/79/50/986007950.db2.gz GKRMTTLEFWJDMV-AWEZNQCLSA-N 0 2 315.329 0.967 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2cccc(C(=O)[O-])n2)[C@@H](C)CO1 ZINC001594528396 986098034 /nfs/dbraw/zinc/09/80/34/986098034.db2.gz UKFMXBKLWNWANK-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2cccc(C(=O)[O-])n2)[C@@H](C)CO1 ZINC001594528396 986098051 /nfs/dbraw/zinc/09/80/51/986098051.db2.gz UKFMXBKLWNWANK-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccon2)C1)C(F)C(F)(F)F ZINC001385657159 986497318 /nfs/dbraw/zinc/49/73/18/986497318.db2.gz VQUHWKMWQMDIMM-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccon2)C1)[C@H](F)C(F)(F)F ZINC001385657159 986497323 /nfs/dbraw/zinc/49/73/23/986497323.db2.gz VQUHWKMWQMDIMM-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[NH+]1CCC(CN=S(C)(C)=O)CC1 ZINC001589396774 986527728 /nfs/dbraw/zinc/52/77/28/986527728.db2.gz LWSSTDIWSPRERA-SNVBAGLBSA-N 0 2 319.427 0.015 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC001594607822 986588171 /nfs/dbraw/zinc/58/81/71/986588171.db2.gz OERCTEWTXSAMDA-YPMHNXCESA-N 0 2 313.398 0.507 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC001594607822 986588174 /nfs/dbraw/zinc/58/81/74/986588174.db2.gz OERCTEWTXSAMDA-YPMHNXCESA-N 0 2 313.398 0.507 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001385849866 986623206 /nfs/dbraw/zinc/62/32/06/986623206.db2.gz WVOSYCIKXYCYIW-FXQIFTODSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385849866 986623211 /nfs/dbraw/zinc/62/32/11/986623211.db2.gz WVOSYCIKXYCYIW-FXQIFTODSA-N 0 2 300.252 0.639 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C1=COCCO1 ZINC001386305074 987058774 /nfs/dbraw/zinc/05/87/74/987058774.db2.gz PAWVUJRVXNDPJG-HTRCEHHLSA-N 0 2 314.235 0.396 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C1=COCCO1 ZINC001386305074 987058785 /nfs/dbraw/zinc/05/87/85/987058785.db2.gz PAWVUJRVXNDPJG-HTRCEHHLSA-N 0 2 314.235 0.396 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)NC(=O)C(F)C(F)(F)F ZINC001386506747 987186438 /nfs/dbraw/zinc/18/64/38/987186438.db2.gz KOWWFYQJEWUIIN-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)NC(=O)[C@H](F)C(F)(F)F ZINC001386506747 987186450 /nfs/dbraw/zinc/18/64/50/987186450.db2.gz KOWWFYQJEWUIIN-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN O=C(Cc1ncc[nH]1)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001450689118 1015300627 /nfs/dbraw/zinc/30/06/27/1015300627.db2.gz KQJCKKNHCLZDGR-JTQLQIEISA-N 0 2 322.262 0.427 20 0 DCADLN COC[C@@H](C)C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001386826914 987430948 /nfs/dbraw/zinc/43/09/48/987430948.db2.gz GAGKNWRBHDQCIZ-HTQZYQBOSA-N 0 2 302.268 0.744 20 0 DCADLN COC[C@@H](C)C(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001386826914 987430952 /nfs/dbraw/zinc/43/09/52/987430952.db2.gz GAGKNWRBHDQCIZ-HTQZYQBOSA-N 0 2 302.268 0.744 20 0 DCADLN Cc1cn(C)nc1C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001386841615 987444180 /nfs/dbraw/zinc/44/41/80/987444180.db2.gz JGGJCSQKIJYJOH-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cn(C)nc1C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001386841615 987444184 /nfs/dbraw/zinc/44/41/84/987444184.db2.gz JGGJCSQKIJYJOH-CBAPKCEASA-N 0 2 324.278 0.863 20 0 DCADLN CCN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)COC)C1 ZINC001450779760 1015350361 /nfs/dbraw/zinc/35/03/61/1015350361.db2.gz HWQBTYSVJCGYAT-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(C(=O)COC)C1 ZINC001450779760 1015350375 /nfs/dbraw/zinc/35/03/75/1015350375.db2.gz HWQBTYSVJCGYAT-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN Cc1cnn(CC(=O)NCCN(C)C(=O)C(F)C(F)(F)F)c1 ZINC001387661582 987988184 /nfs/dbraw/zinc/98/81/84/987988184.db2.gz SMUIKTOHFIUOLN-SNVBAGLBSA-N 0 2 324.278 0.667 20 0 DCADLN Cc1cnn(CC(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F)c1 ZINC001387661582 987988191 /nfs/dbraw/zinc/98/81/91/987988191.db2.gz SMUIKTOHFIUOLN-SNVBAGLBSA-N 0 2 324.278 0.667 20 0 DCADLN CS[C@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001387697489 988037465 /nfs/dbraw/zinc/03/74/65/988037465.db2.gz HYUCLYFSCNZXPL-VDTYLAMSSA-N 0 2 302.293 0.965 20 0 DCADLN CS[C@H](C)C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001387697489 988037478 /nfs/dbraw/zinc/03/74/78/988037478.db2.gz HYUCLYFSCNZXPL-VDTYLAMSSA-N 0 2 302.293 0.965 20 0 DCADLN Cc1nonc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001387752099 988118010 /nfs/dbraw/zinc/11/80/10/988118010.db2.gz WJWYUUMNEZVNGG-SSDOTTSWSA-N 0 2 310.207 0.219 20 0 DCADLN Cc1nonc1C(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387752099 988118015 /nfs/dbraw/zinc/11/80/15/988118015.db2.gz WJWYUUMNEZVNGG-SSDOTTSWSA-N 0 2 310.207 0.219 20 0 DCADLN CC(F)(F)C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001389035010 988746531 /nfs/dbraw/zinc/74/65/31/988746531.db2.gz CPKQCTGAFDLFOP-WHFBIAKZSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001389035010 988746532 /nfs/dbraw/zinc/74/65/32/988746532.db2.gz CPKQCTGAFDLFOP-WHFBIAKZSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001389035008 988747534 /nfs/dbraw/zinc/74/75/34/988747534.db2.gz CPKQCTGAFDLFOP-UHNVWZDZSA-N 0 2 310.194 0.135 20 0 DCADLN CC(F)(F)C(=O)NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001389035008 988747539 /nfs/dbraw/zinc/74/75/39/988747539.db2.gz CPKQCTGAFDLFOP-UHNVWZDZSA-N 0 2 310.194 0.135 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001389381959 989182558 /nfs/dbraw/zinc/18/25/58/989182558.db2.gz KSIMXTSPNYENSY-MHTLYPKNSA-N 0 2 311.239 0.248 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001389381959 989182566 /nfs/dbraw/zinc/18/25/66/989182566.db2.gz KSIMXTSPNYENSY-MHTLYPKNSA-N 0 2 311.239 0.248 20 0 DCADLN CC(C)[C@H](C(=O)N[C@@H]1CCC[N@H+](CC(=O)N2CCC2)C1)[NH+](C)C ZINC001389386827 989186054 /nfs/dbraw/zinc/18/60/54/989186054.db2.gz FBMWCEZHHVJLSL-GDBMZVCRSA-N 0 2 324.469 0.386 20 0 DCADLN Cn1cc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001389451907 989268421 /nfs/dbraw/zinc/26/84/21/989268421.db2.gz AAMSIGUATWZBJC-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cc(CN2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001389451907 989268427 /nfs/dbraw/zinc/26/84/27/989268427.db2.gz AAMSIGUATWZBJC-APPZFPTMSA-N 0 2 309.267 0.406 20 0 DCADLN CCc1oc(C[NH+]2CCN(c3nncn3C)CC2)cc1C(=O)[O-] ZINC001597799601 989382972 /nfs/dbraw/zinc/38/29/72/989382972.db2.gz RCSCJYAELFCPTJ-UHFFFAOYSA-N 0 2 319.365 0.991 20 0 DCADLN C[N@H+](CCNC(=O)c1csc(Cl)n1)Cc1n[nH]c(=O)[n-]1 ZINC001390833954 990423122 /nfs/dbraw/zinc/42/31/22/990423122.db2.gz BZMSUQAGCOKSQD-UHFFFAOYSA-N 0 2 316.774 0.482 20 0 DCADLN C[N@@H+](CCNC(=O)c1csc(Cl)n1)Cc1n[nH]c(=O)[n-]1 ZINC001390833954 990423124 /nfs/dbraw/zinc/42/31/24/990423124.db2.gz BZMSUQAGCOKSQD-UHFFFAOYSA-N 0 2 316.774 0.482 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001451066701 1015570615 /nfs/dbraw/zinc/57/06/15/1015570615.db2.gz NXYDPTWQFYCZND-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cn[nH]c1 ZINC001451066701 1015570621 /nfs/dbraw/zinc/57/06/21/1015570621.db2.gz NXYDPTWQFYCZND-XPUUQOCRSA-N 0 2 310.251 0.887 20 0 DCADLN CN(C)[C@@H](C(=O)Nc1cc(C(=O)[O-])nn1C)c1c[nH+]cn1C ZINC001598165106 990678330 /nfs/dbraw/zinc/67/83/30/990678330.db2.gz KFSCNBDYALQVOT-LLVKDONJSA-N 0 2 306.326 0.093 20 0 DCADLN CCCN(C(=O)[C@H]1CCOC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391259565 990704522 /nfs/dbraw/zinc/70/45/22/990704522.db2.gz IFZBERWXPINOMQ-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN CCCN(C(=O)[C@H]1CCOC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391259565 990704530 /nfs/dbraw/zinc/70/45/30/990704530.db2.gz IFZBERWXPINOMQ-RYUDHWBXSA-N 0 2 323.397 0.360 20 0 DCADLN C[C@@H](CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCO1 ZINC001391517569 990909386 /nfs/dbraw/zinc/90/93/86/990909386.db2.gz SBEIVFOXEISXOY-JQWIXIFHSA-N 0 2 323.397 0.264 20 0 DCADLN CN(C)c1ncccc1C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001598358744 991480902 /nfs/dbraw/zinc/48/09/02/991480902.db2.gz LVKGHVGFPMBRHG-LLVKDONJSA-N 0 2 303.322 0.296 20 0 DCADLN CN(C)c1ncccc1C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001598358744 991480906 /nfs/dbraw/zinc/48/09/06/991480906.db2.gz LVKGHVGFPMBRHG-LLVKDONJSA-N 0 2 303.322 0.296 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccncn1)NC(=O)C(F)C(F)(F)F ZINC001451203734 1015641935 /nfs/dbraw/zinc/64/19/35/1015641935.db2.gz RAUYLUKIMFYBJC-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1ccncn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001451203734 1015641940 /nfs/dbraw/zinc/64/19/40/1015641940.db2.gz RAUYLUKIMFYBJC-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN CCN(C)C(=O)C[N@@H+](C)CCN(C)C(=O)[C@@H](C(C)C)[NH+](C)C ZINC001392319281 991747653 /nfs/dbraw/zinc/74/76/53/991747653.db2.gz MDLSACDMNUHCIX-OAHLLOKOSA-N 0 2 314.474 0.441 20 0 DCADLN C[N@H+](CCCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1nnc(C2CC2)o1 ZINC001598479855 992130732 /nfs/dbraw/zinc/13/07/32/992130732.db2.gz MSRIUCZTWHZWIG-WDEREUQCSA-N 0 2 322.365 0.606 20 0 DCADLN C[N@@H+](CCCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1nnc(C2CC2)o1 ZINC001598479855 992130739 /nfs/dbraw/zinc/13/07/39/992130739.db2.gz MSRIUCZTWHZWIG-WDEREUQCSA-N 0 2 322.365 0.606 20 0 DCADLN Cc1cc(C[N@@H+](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])nn1C ZINC001392652680 992208785 /nfs/dbraw/zinc/20/87/85/992208785.db2.gz SNPKCCZWOHOJNR-VIFPVBQESA-N 0 2 320.397 0.716 20 0 DCADLN Cc1cc(C[N@H+](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])nn1C ZINC001392652680 992208794 /nfs/dbraw/zinc/20/87/94/992208794.db2.gz SNPKCCZWOHOJNR-VIFPVBQESA-N 0 2 320.397 0.716 20 0 DCADLN CNC(=O)C[NH+](C)C[C@H](C)NC(=O)[C@@H]1CCCC[N@H+]1C(C)C ZINC001392701414 992288216 /nfs/dbraw/zinc/28/82/16/992288216.db2.gz GOVXVIOAWOHSEG-KBPBESRZSA-N 0 2 312.458 0.432 20 0 DCADLN CC(C)CNC(=O)C1CC[NH+](Cn2cc(C(=O)[O-])nn2)CC1 ZINC001591369006 992393992 /nfs/dbraw/zinc/39/39/92/992393992.db2.gz FCZUVRSSPRJSMK-UHFFFAOYSA-N 0 2 309.370 0.418 20 0 DCADLN Cn1nncc1CN1CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001393105971 992686344 /nfs/dbraw/zinc/68/63/44/992686344.db2.gz WCIXRAOFGDPZJF-MWLCHTKSSA-N 0 2 323.294 0.796 20 0 DCADLN CCCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCC1(F)F ZINC001393224455 992756595 /nfs/dbraw/zinc/75/65/95/992756595.db2.gz YYPFDGBJVZHCSV-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1cnnn1C)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001591593964 992813008 /nfs/dbraw/zinc/81/30/08/992813008.db2.gz MUNNDDCZHWEHHX-CHWSQXEVSA-N 0 2 323.397 0.205 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1cnnn1C)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001591593964 992813012 /nfs/dbraw/zinc/81/30/12/992813012.db2.gz MUNNDDCZHWEHHX-CHWSQXEVSA-N 0 2 323.397 0.205 20 0 DCADLN Cn1nnc2cc(C(=O)O[C@@H]3C[C@@H](C(=O)[O-])[N@H+](C)C3)ccc21 ZINC001598577265 993296600 /nfs/dbraw/zinc/29/66/00/993296600.db2.gz YTNSWCQAZFYGAI-SKDRFNHKSA-N 0 2 304.306 0.282 20 0 DCADLN Cn1nnc2cc(C(=O)O[C@@H]3C[C@@H](C(=O)[O-])[N@@H+](C)C3)ccc21 ZINC001598577265 993296607 /nfs/dbraw/zinc/29/66/07/993296607.db2.gz YTNSWCQAZFYGAI-SKDRFNHKSA-N 0 2 304.306 0.282 20 0 DCADLN CCCn1nccc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394070161 993453614 /nfs/dbraw/zinc/45/36/14/993453614.db2.gz QBRNOBYWCQTHPL-SNVBAGLBSA-N 0 2 321.385 0.367 20 0 DCADLN CCCn1nccc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394070161 993453617 /nfs/dbraw/zinc/45/36/17/993453617.db2.gz QBRNOBYWCQTHPL-SNVBAGLBSA-N 0 2 321.385 0.367 20 0 DCADLN CC[N@H+](CCNC(=O)c1cc(C2CC2)no1)Cc1n[nH]c(=O)[n-]1 ZINC001399747533 993731617 /nfs/dbraw/zinc/73/16/17/993731617.db2.gz BVTCQEITMMWNRE-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cc(C2CC2)no1)Cc1n[nH]c(=O)[n-]1 ZINC001399747533 993731623 /nfs/dbraw/zinc/73/16/23/993731623.db2.gz BVTCQEITMMWNRE-UHFFFAOYSA-N 0 2 320.353 0.628 20 0 DCADLN CC(C)OCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399837610 993830937 /nfs/dbraw/zinc/83/09/37/993830937.db2.gz XCEQVFLDIVBMJH-LLVKDONJSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)OCCC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001399837610 993830946 /nfs/dbraw/zinc/83/09/46/993830946.db2.gz XCEQVFLDIVBMJH-LLVKDONJSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1cnnn1C)[NH+](C)C ZINC001399856445 993848984 /nfs/dbraw/zinc/84/89/84/993848984.db2.gz AVJDMSQXPAKGTP-JSGCOSHPSA-N 0 2 308.430 0.236 20 0 DCADLN Cc1cnn(CC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)c1 ZINC001399925274 993937472 /nfs/dbraw/zinc/93/74/72/993937472.db2.gz WJGVRPJBXMSGCB-SCZZXKLOSA-N 0 2 324.278 0.713 20 0 DCADLN Cc1cnn(CC(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001399925274 993937476 /nfs/dbraw/zinc/93/74/76/993937476.db2.gz WJGVRPJBXMSGCB-SCZZXKLOSA-N 0 2 324.278 0.713 20 0 DCADLN COc1ccc(S(=O)(=O)NCC[NH+]2CCC2)c(C(=O)[O-])c1 ZINC001599615521 994009115 /nfs/dbraw/zinc/00/91/15/994009115.db2.gz OCJQYINQXGXLAA-UHFFFAOYSA-N 0 2 314.363 0.377 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001400412733 994489484 /nfs/dbraw/zinc/48/94/84/994489484.db2.gz CRFUQUHGGQJHDP-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001400412733 994489489 /nfs/dbraw/zinc/48/94/89/994489489.db2.gz CRFUQUHGGQJHDP-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN CNc1cc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc(Cl)n1 ZINC001598675833 994842418 /nfs/dbraw/zinc/84/24/18/994842418.db2.gz HOFOEPWIFJOHPU-SECBINFHSA-N 0 2 323.740 0.926 20 0 DCADLN CNc1cc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc(Cl)n1 ZINC001598675833 994842422 /nfs/dbraw/zinc/84/24/22/994842422.db2.gz HOFOEPWIFJOHPU-SECBINFHSA-N 0 2 323.740 0.926 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nnn(C)n2)cc1Cl ZINC001464431640 1015908523 /nfs/dbraw/zinc/90/85/23/1015908523.db2.gz YEPKDDWMGBFWIY-UHFFFAOYSA-N 0 2 303.731 0.673 20 0 DCADLN CN(C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001396062838 995179946 /nfs/dbraw/zinc/17/99/46/995179946.db2.gz GONIGRNLALFRPB-RITPCOANSA-N 0 2 324.221 0.430 20 0 DCADLN CN(C[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)C(F)F ZINC001396062838 995179956 /nfs/dbraw/zinc/17/99/56/995179956.db2.gz GONIGRNLALFRPB-RITPCOANSA-N 0 2 324.221 0.430 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@@H+]1CCO[C@H]1CCCCO1 ZINC001598751217 995655767 /nfs/dbraw/zinc/65/57/67/995655767.db2.gz ADMWIWNPMHHGHK-AGIUHOORSA-N 0 2 315.366 0.868 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CCO[C@H]1CCCCO1 ZINC001598751217 995655771 /nfs/dbraw/zinc/65/57/71/995655771.db2.gz ADMWIWNPMHHGHK-AGIUHOORSA-N 0 2 315.366 0.868 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cc[nH]n2)C1)C(F)C(F)(F)F ZINC001396929355 995689428 /nfs/dbraw/zinc/68/94/28/995689428.db2.gz LCUPAVHOHBTVGJ-POYBYMJQSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ccn[nH]2)C1)C(F)C(F)(F)F ZINC001396929355 995689434 /nfs/dbraw/zinc/68/94/34/995689434.db2.gz LCUPAVHOHBTVGJ-POYBYMJQSA-N 0 2 308.235 0.641 20 0 DCADLN COC[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCc1[nH+]ccn1C ZINC001396996576 995733328 /nfs/dbraw/zinc/73/33/28/995733328.db2.gz OAXBFOBJNSQTBP-ZIAGYGMSSA-N 0 2 308.426 0.920 20 0 DCADLN O=C(N[C@@H](CNC(=O)C(F)C(F)(F)F)C1CC1)c1cnon1 ZINC001397008668 995741067 /nfs/dbraw/zinc/74/10/67/995741067.db2.gz GBAPEELBCZOJMR-POYBYMJQSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(N[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1CC1)c1cnon1 ZINC001397008668 995741074 /nfs/dbraw/zinc/74/10/74/995741074.db2.gz GBAPEELBCZOJMR-POYBYMJQSA-N 0 2 324.234 0.595 20 0 DCADLN COC(=O)C1C[C@H](C)[NH+](Cc2cn(CC(=O)[O-])nn2)[C@@H](C)C1 ZINC001598760266 995768775 /nfs/dbraw/zinc/76/87/75/995768775.db2.gz YBSOYZTYCGFZOQ-UWVGGRQHSA-N 0 2 310.354 0.525 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccon1 ZINC001397462813 996013099 /nfs/dbraw/zinc/01/30/99/996013099.db2.gz USPRZRPATZMEOI-IMTBSYHQSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1ccon1 ZINC001397462813 996013105 /nfs/dbraw/zinc/01/31/05/996013105.db2.gz USPRZRPATZMEOI-IMTBSYHQSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ccon1 ZINC001397462816 996013696 /nfs/dbraw/zinc/01/36/96/996013696.db2.gz USPRZRPATZMEOI-MUWHJKNJSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1ccon1 ZINC001397462816 996013701 /nfs/dbraw/zinc/01/37/01/996013701.db2.gz USPRZRPATZMEOI-MUWHJKNJSA-N 0 2 311.235 0.738 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CNc2cccc[nH+]2)[C@H](C(=O)[O-])C1 ZINC001598793184 996090951 /nfs/dbraw/zinc/09/09/51/996090951.db2.gz JFWXRBJMDUSNBL-QWRGUYRKSA-N 0 2 321.333 0.358 20 0 DCADLN COCC(=O)N1CCC[C@@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001397703707 996139391 /nfs/dbraw/zinc/13/93/91/996139391.db2.gz RRSIILFWFYLENZ-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN COCC(=O)N1CCC[C@@H](N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001397703707 996139392 /nfs/dbraw/zinc/13/93/92/996139392.db2.gz RRSIILFWFYLENZ-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cncn1C ZINC001397936229 996266381 /nfs/dbraw/zinc/26/63/81/996266381.db2.gz FHRNCGZAUXAWTH-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cncn1C ZINC001397936229 996266390 /nfs/dbraw/zinc/26/63/90/996266390.db2.gz FHRNCGZAUXAWTH-MRVPVSSYSA-N 0 2 310.251 0.509 20 0 DCADLN CC(C)(CC(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1)C(=O)[O-] ZINC001573476724 1163445522 /nfs/dbraw/zinc/44/55/22/1163445522.db2.gz MCKHJMQCLABNJT-LLVKDONJSA-N 0 2 312.366 0.383 20 0 DCADLN C[C@]1(Br)C[C@@H]1C[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001593740328 996356761 /nfs/dbraw/zinc/35/67/61/996356761.db2.gz RIPNXJWXOYVJRG-FYBVGQRMSA-N 0 2 305.172 0.435 20 0 DCADLN C[C@]1(Br)C[C@@H]1C[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001593740328 996356767 /nfs/dbraw/zinc/35/67/67/996356767.db2.gz RIPNXJWXOYVJRG-FYBVGQRMSA-N 0 2 305.172 0.435 20 0 DCADLN COC(=O)CNC(=O)[C@H](C)[N@H+](C)Cc1cc(C(=O)[O-])c(C)o1 ZINC001598864008 996699180 /nfs/dbraw/zinc/69/91/80/996699180.db2.gz VQCLBZQVDRBLSF-QMMMGPOBSA-N 0 2 312.322 0.396 20 0 DCADLN COC(=O)CNC(=O)[C@H](C)[N@@H+](C)Cc1cc(C(=O)[O-])c(C)o1 ZINC001598864008 996699183 /nfs/dbraw/zinc/69/91/83/996699183.db2.gz VQCLBZQVDRBLSF-QMMMGPOBSA-N 0 2 312.322 0.396 20 0 DCADLN CC(C)C[C@@](C)(CNS(=O)(=O)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001573503058 1163452903 /nfs/dbraw/zinc/45/29/03/1163452903.db2.gz UPRDQYXXUHMTOV-ZDUSSCGKSA-N 0 2 321.443 0.205 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001398988228 996797956 /nfs/dbraw/zinc/79/79/56/996797956.db2.gz GQAXRMHIJBKMPQ-DTWKUNHWSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001398988228 996797965 /nfs/dbraw/zinc/79/79/65/996797965.db2.gz GQAXRMHIJBKMPQ-DTWKUNHWSA-N 0 2 324.278 0.945 20 0 DCADLN CO[C@H]1CCC[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404251372 996863272 /nfs/dbraw/zinc/86/32/72/996863272.db2.gz KMEUQNZFGJNTOV-RYUDHWBXSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@H]1CCC[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001404251372 996863288 /nfs/dbraw/zinc/86/32/88/996863288.db2.gz KMEUQNZFGJNTOV-RYUDHWBXSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](NC(=O)Cc1[nH]cc[nH+]1)C1CN(C(=O)[C@@H]2CCC[N@H+]2C)C1 ZINC001404677071 997370108 /nfs/dbraw/zinc/37/01/08/997370108.db2.gz KMSCNLQUVRPBBS-AAEUAGOBSA-N 0 2 319.409 0.010 20 0 DCADLN CCc1noc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001404763654 997442695 /nfs/dbraw/zinc/44/26/95/997442695.db2.gz DUFOOOWSEPRNNR-SECBINFHSA-N 0 2 310.251 0.833 20 0 DCADLN CCc1noc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001404763654 997442708 /nfs/dbraw/zinc/44/27/08/997442708.db2.gz DUFOOOWSEPRNNR-SECBINFHSA-N 0 2 310.251 0.833 20 0 DCADLN CCc1noc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001404763674 997442835 /nfs/dbraw/zinc/44/28/35/997442835.db2.gz DUFOOOWSEPRNNR-VIFPVBQESA-N 0 2 310.251 0.833 20 0 DCADLN CCc1noc(CN2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001404763674 997442840 /nfs/dbraw/zinc/44/28/40/997442840.db2.gz DUFOOOWSEPRNNR-VIFPVBQESA-N 0 2 310.251 0.833 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CC[C@H]2COC[C@H]2C1 ZINC001598925778 997645339 /nfs/dbraw/zinc/64/53/39/997645339.db2.gz YUYOJDZSLLJCGP-VHSXEESVSA-N 0 2 309.322 0.294 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CC[C@H]2COC[C@H]2C1 ZINC001598925778 997645347 /nfs/dbraw/zinc/64/53/47/997645347.db2.gz YUYOJDZSLLJCGP-VHSXEESVSA-N 0 2 309.322 0.294 20 0 DCADLN COCCCS(=O)(=O)N1CC[NH+](C/C(C)=C/C(=O)[O-])CC1 ZINC001599226670 997772173 /nfs/dbraw/zinc/77/21/73/997772173.db2.gz HILIMXUJRXJIBP-ZRDIBKRKSA-N 0 2 320.411 0.001 20 0 DCADLN CCC(C)(C)C(=O)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001405291764 998067288 /nfs/dbraw/zinc/06/72/88/998067288.db2.gz NDTKITNJUUTIMZ-HTQZYQBOSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(C)(C)C(=O)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001405291764 998067297 /nfs/dbraw/zinc/06/72/97/998067297.db2.gz NDTKITNJUUTIMZ-HTQZYQBOSA-N 0 2 316.295 0.916 20 0 DCADLN CC1(CC(=O)NC2(CO)CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001505384726 1016201655 /nfs/dbraw/zinc/20/16/55/1016201655.db2.gz UUKZDROQIBNSKY-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN C[C@H]1OC(=O)N[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001467900038 1016207448 /nfs/dbraw/zinc/20/74/48/1016207448.db2.gz WQTFGYNDXGJAFD-MUWHJKNJSA-N 0 2 303.278 0.613 20 0 DCADLN COC(=O)c1ccc(C[N@H+]2C[C@H](OC)C[C@]2(C)C(=O)[O-])nc1 ZINC001598971523 998524931 /nfs/dbraw/zinc/52/49/31/998524931.db2.gz FGKAJXUEAZOQBM-IUODEOHRSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1ccc(C[N@@H+]2C[C@H](OC)C[C@]2(C)C(=O)[O-])nc1 ZINC001598971523 998524934 /nfs/dbraw/zinc/52/49/34/998524934.db2.gz FGKAJXUEAZOQBM-IUODEOHRSA-N 0 2 308.334 0.932 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)[C@@H]2CCCC[C@@H]2C(=O)[O-])CC[N@H+]1C ZINC001594176010 998676482 /nfs/dbraw/zinc/67/64/82/998676482.db2.gz DAFPSYMIGUHDKF-MROQNXINSA-N 0 2 318.439 0.984 20 0 DCADLN C[C@@H]1[C@H](C)N(S(=O)(=O)[C@@H]2CCCC[C@@H]2C(=O)[O-])CC[N@@H+]1C ZINC001594176010 998676484 /nfs/dbraw/zinc/67/64/84/998676484.db2.gz DAFPSYMIGUHDKF-MROQNXINSA-N 0 2 318.439 0.984 20 0 DCADLN COC(=O)c1cccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001598992107 998747178 /nfs/dbraw/zinc/74/71/78/998747178.db2.gz IAYVFRFYNVMYRA-GFCCVEGCSA-N 0 2 317.301 0.553 20 0 DCADLN COC(=O)c1cccc(C[N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)n1 ZINC001598995144 998772529 /nfs/dbraw/zinc/77/25/29/998772529.db2.gz FFCZMXMEWAVASE-OAHLLOKOSA-N 0 2 319.317 0.674 20 0 DCADLN COC(=O)c1cccc(C[N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)n1 ZINC001598995144 998772534 /nfs/dbraw/zinc/77/25/34/998772534.db2.gz FFCZMXMEWAVASE-OAHLLOKOSA-N 0 2 319.317 0.674 20 0 DCADLN COC(=O)c1coc([C@H](C)[NH+]2CCC([C@@H](O)C(=O)[O-])CC2)n1 ZINC001599013897 998922383 /nfs/dbraw/zinc/92/23/83/998922383.db2.gz GIVIEHYSAJFQON-GZMMTYOYSA-N 0 2 312.322 0.680 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NC1CC(C(=O)[O-])C1 ZINC001594249477 998954189 /nfs/dbraw/zinc/95/41/89/998954189.db2.gz IEWNVSFVLCMVED-XVSSEFHLSA-N 0 2 311.382 0.354 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C(=O)[O-])[nH]1 ZINC001594250569 998961482 /nfs/dbraw/zinc/96/14/82/998961482.db2.gz NXJJJDQBFMAOGS-MNOVXSKESA-N 0 2 307.350 0.648 20 0 DCADLN CC(C)=CC(=O)N(C)C[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001511479279 1016300788 /nfs/dbraw/zinc/30/07/88/1016300788.db2.gz XJTGNMDGGRXOPA-WCBMZHEXSA-N 0 2 314.279 0.789 20 0 DCADLN CC(C)=CC(=O)N(C)C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001511479279 1016300791 /nfs/dbraw/zinc/30/07/91/1016300791.db2.gz XJTGNMDGGRXOPA-WCBMZHEXSA-N 0 2 314.279 0.789 20 0 DCADLN C[C@@H]1CCCC[N@@H+]1CCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594375415 999415989 /nfs/dbraw/zinc/41/59/89/999415989.db2.gz RBMACEVQALBJDR-SECBINFHSA-N 0 2 317.367 0.526 20 0 DCADLN C[C@@H]1CCCC[N@H+]1CCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594375415 999415991 /nfs/dbraw/zinc/41/59/91/999415991.db2.gz RBMACEVQALBJDR-SECBINFHSA-N 0 2 317.367 0.526 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)NCC(=O)OC(C)(C)C)[C@@H](C(=O)[O-])C1 ZINC001594414608 999548132 /nfs/dbraw/zinc/54/81/32/999548132.db2.gz LCOAWMHHGWOWRV-GHMZBOCLSA-N 0 2 314.382 0.629 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)NCC(=O)OC(C)(C)C)[C@@H](C(=O)[O-])C1 ZINC001594414608 999548135 /nfs/dbraw/zinc/54/81/35/999548135.db2.gz LCOAWMHHGWOWRV-GHMZBOCLSA-N 0 2 314.382 0.629 20 0 DCADLN Cn1ccc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001419019070 1000695662 /nfs/dbraw/zinc/69/56/62/1000695662.db2.gz DDQUJUZBAJDSIL-JTQLQIEISA-N 0 2 308.279 0.869 20 0 DCADLN Cn1ccc(CN2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001419019070 1000695666 /nfs/dbraw/zinc/69/56/66/1000695666.db2.gz DDQUJUZBAJDSIL-JTQLQIEISA-N 0 2 308.279 0.869 20 0 DCADLN O=C(NCC1CN(Cc2ncccn2)C1)C(F)C(F)(F)F ZINC001419023695 1000698822 /nfs/dbraw/zinc/69/88/22/1000698822.db2.gz WHZHTWBECLAZIC-SNVBAGLBSA-N 0 2 306.263 0.925 20 0 DCADLN O=C(NCC1CN(Cc2ncccn2)C1)[C@@H](F)C(F)(F)F ZINC001419023695 1000698824 /nfs/dbraw/zinc/69/88/24/1000698824.db2.gz WHZHTWBECLAZIC-SNVBAGLBSA-N 0 2 306.263 0.925 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1c[nH]cc1C1CC1 ZINC001401787948 1000725037 /nfs/dbraw/zinc/72/50/37/1000725037.db2.gz BLPCYAGHEKSDQV-VIFPVBQESA-N 0 2 318.381 0.966 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1c[nH]cc1C1CC1 ZINC001401787948 1000725045 /nfs/dbraw/zinc/72/50/45/1000725045.db2.gz BLPCYAGHEKSDQV-VIFPVBQESA-N 0 2 318.381 0.966 20 0 DCADLN O=C(CN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1)NC1CC1 ZINC001419080845 1000750354 /nfs/dbraw/zinc/75/03/54/1000750354.db2.gz AGNCMTLRYLLUQZ-SCZZXKLOSA-N 0 2 311.279 0.356 20 0 DCADLN CCCC[C@H](C(N)=O)[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001401887559 1000821204 /nfs/dbraw/zinc/82/12/04/1000821204.db2.gz GOQKJTWGLJHVKW-WDEREUQCSA-N 0 2 323.397 0.272 20 0 DCADLN CCCC[C@H](C(N)=O)[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001401887559 1000821207 /nfs/dbraw/zinc/82/12/07/1000821207.db2.gz GOQKJTWGLJHVKW-WDEREUQCSA-N 0 2 323.397 0.272 20 0 DCADLN CN(C)C(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cn1 ZINC001419354298 1000995631 /nfs/dbraw/zinc/99/56/31/1000995631.db2.gz JMJFXBAUUDDTEZ-VIFPVBQESA-N 0 2 321.362 0.278 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2(O)C[NH+](Cc3ccccc3)C2)c1[O-] ZINC001402317907 1001210573 /nfs/dbraw/zinc/21/05/73/1001210573.db2.gz DLBDCFXNCYWTHY-UHFFFAOYSA-N 0 2 316.361 0.400 20 0 DCADLN C[C@@H](C[NH2+]Cc1n[nH]c(=O)[n-]1)N(C)C(=O)C(F)C(F)(F)F ZINC001419685290 1001220432 /nfs/dbraw/zinc/22/04/32/1001220432.db2.gz RGYSLVUKKOJBIF-FSPLSTOPSA-N 0 2 313.255 0.347 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1O2)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001470692941 1016480976 /nfs/dbraw/zinc/48/09/76/1016480976.db2.gz UVHKQMCZZQWFOF-ZDCRXTMVSA-N 0 2 307.354 0.719 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H](C)[NH2+][C@@H](C)c2csnn2)c1[O-] ZINC001419733306 1001260983 /nfs/dbraw/zinc/26/09/83/1001260983.db2.gz WUHCCBFTLAMBTO-BQBZGAKWSA-N 0 2 310.383 0.744 20 0 DCADLN O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC001419740500 1001268626 /nfs/dbraw/zinc/26/86/26/1001268626.db2.gz WPWSTMXTLZHYPH-UHFFFAOYSA-N 0 2 316.321 0.395 20 0 DCADLN CCO[C@@H](C)C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001402729380 1001483105 /nfs/dbraw/zinc/48/31/05/1001483105.db2.gz KFIZIXBPMOYQDN-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@H](C)CC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402742217 1001487456 /nfs/dbraw/zinc/48/74/56/1001487456.db2.gz XKNOLYCNDVVUCG-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@H](C)CC(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402742217 1001487458 /nfs/dbraw/zinc/48/74/58/1001487458.db2.gz XKNOLYCNDVVUCG-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419955083 1001718482 /nfs/dbraw/zinc/71/84/82/1001718482.db2.gz OVMVHVGHVBEDKS-SNVBAGLBSA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H](OCC1CC1)C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419955083 1001718484 /nfs/dbraw/zinc/71/84/84/1001718484.db2.gz OVMVHVGHVBEDKS-SNVBAGLBSA-N 0 2 311.386 0.216 20 0 DCADLN CO[C@@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001403362255 1001880116 /nfs/dbraw/zinc/88/01/16/1001880116.db2.gz ORNYZTJRKXQTTD-VHSXEESVSA-N 0 2 311.386 0.262 20 0 DCADLN CO[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1(C)C ZINC001403362255 1001880133 /nfs/dbraw/zinc/88/01/33/1001880133.db2.gz ORNYZTJRKXQTTD-VHSXEESVSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1nc([C@@H](C)[NH2+][C@H](CCNC(=O)c2nnc[nH]2)C(C)C)no1 ZINC001403473844 1002006317 /nfs/dbraw/zinc/00/63/17/1002006317.db2.gz AAAMZCZBKPWTIO-MWLCHTKSSA-N 0 2 321.385 0.991 20 0 DCADLN O=C(NCC[C@H]1CCCO1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001471346142 1016561170 /nfs/dbraw/zinc/56/11/70/1016561170.db2.gz XXLJQJBKTBVLLX-GHMZBOCLSA-N 0 2 309.370 0.968 20 0 DCADLN CCN(CC[NH2+][C@H](C)c1nnc(C)[nH]1)C(=O)c1n[nH]c(C)c1[O-] ZINC001420246377 1002107636 /nfs/dbraw/zinc/10/76/36/1002107636.db2.gz OUMQTZTWPGSEHJ-SECBINFHSA-N 0 2 321.385 0.663 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424988122 1002218581 /nfs/dbraw/zinc/21/85/81/1002218581.db2.gz WWJAUFXUIVGEIR-MRBYEJRBSA-N 0 2 323.397 0.262 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001424988122 1002218590 /nfs/dbraw/zinc/21/85/90/1002218590.db2.gz WWJAUFXUIVGEIR-MRBYEJRBSA-N 0 2 323.397 0.262 20 0 DCADLN CCC1(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001403715546 1002412500 /nfs/dbraw/zinc/41/25/00/1002412500.db2.gz KLRHLXIVRSJQFO-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN CCC1(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001403715546 1002412506 /nfs/dbraw/zinc/41/25/06/1002412506.db2.gz KLRHLXIVRSJQFO-UHFFFAOYSA-N 0 2 311.386 0.265 20 0 DCADLN C[C@H](NC(=O)C(F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001425218543 1002448675 /nfs/dbraw/zinc/44/86/75/1002448675.db2.gz HEPPSWYRKJWRJN-OIBJUYFYSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1CN(Cc2cnn(C)n2)C1 ZINC001425218543 1002448685 /nfs/dbraw/zinc/44/86/85/1002448685.db2.gz HEPPSWYRKJWRJN-OIBJUYFYSA-N 0 2 323.294 0.652 20 0 DCADLN C[C@@H](CC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001425258789 1002487839 /nfs/dbraw/zinc/48/78/39/1002487839.db2.gz AOJDVUJFXYQEEG-UWVGGRQHSA-N 0 2 307.398 0.883 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)C1CN(Cc2nncn2C)C1 ZINC001425260681 1002489316 /nfs/dbraw/zinc/48/93/16/1002489316.db2.gz BIWVGCJTOWAKGQ-SNVBAGLBSA-N 0 2 317.397 0.026 20 0 DCADLN CCc1nc(C[NH2+]C[C@H](C)NC(=O)Cc2nnc[nH]2)cs1 ZINC001420495751 1002500692 /nfs/dbraw/zinc/50/06/92/1002500692.db2.gz WCLXKBPSOFSGKZ-VIFPVBQESA-N 0 2 308.411 0.661 20 0 DCADLN CCO[C@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420602101 1002676773 /nfs/dbraw/zinc/67/67/73/1002676773.db2.gz UNWAFZTZVDZOLY-AAEUAGOBSA-N 0 2 323.397 0.406 20 0 DCADLN CCO[C@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420602101 1002676782 /nfs/dbraw/zinc/67/67/82/1002676782.db2.gz UNWAFZTZVDZOLY-AAEUAGOBSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc2c([nH]1)CCC2 ZINC001420656891 1002760233 /nfs/dbraw/zinc/76/02/33/1002760233.db2.gz CKIWTIJJNUYQLA-SECBINFHSA-N 0 2 318.381 0.577 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1cc2c([nH]1)CCC2 ZINC001420656891 1002760242 /nfs/dbraw/zinc/76/02/42/1002760242.db2.gz CKIWTIJJNUYQLA-SECBINFHSA-N 0 2 318.381 0.577 20 0 DCADLN C[C@H]1C[C@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CO1 ZINC001420670575 1002781924 /nfs/dbraw/zinc/78/19/24/1002781924.db2.gz FNVGFGQTOKAVMX-UWVGGRQHSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H]1C[C@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CO1 ZINC001420670575 1002781932 /nfs/dbraw/zinc/78/19/32/1002781932.db2.gz FNVGFGQTOKAVMX-UWVGGRQHSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cscn1 ZINC001420671939 1002786826 /nfs/dbraw/zinc/78/68/26/1002786826.db2.gz NXWXBEPFOQNGPR-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1cscn1 ZINC001420671939 1002786835 /nfs/dbraw/zinc/78/68/35/1002786835.db2.gz NXWXBEPFOQNGPR-VIFPVBQESA-N 0 2 324.410 0.536 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](C)C(C)(F)F)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001425668700 1002943481 /nfs/dbraw/zinc/94/34/81/1002943481.db2.gz XLSJARVMMPFVFB-RKDXNWHRSA-N 0 2 319.356 0.336 20 0 DCADLN O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C1(CF)CCC1 ZINC001404062451 1002961383 /nfs/dbraw/zinc/96/13/83/1002961383.db2.gz DIUSKKAWXPTZGB-JTQLQIEISA-N 0 2 311.361 0.731 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1(CF)CCC1 ZINC001404062451 1002961395 /nfs/dbraw/zinc/96/13/95/1002961395.db2.gz DIUSKKAWXPTZGB-JTQLQIEISA-N 0 2 311.361 0.731 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1(CF)CCC1 ZINC001404062451 1002961405 /nfs/dbraw/zinc/96/14/05/1002961405.db2.gz DIUSKKAWXPTZGB-JTQLQIEISA-N 0 2 311.361 0.731 20 0 DCADLN Cc1cncc(CC(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001420848632 1003023925 /nfs/dbraw/zinc/02/39/25/1003023925.db2.gz KYYCODMAOIFNKJ-GFCCVEGCSA-N 0 2 316.365 0.147 20 0 DCADLN CO[C@H](CC(C)C)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420871335 1003058645 /nfs/dbraw/zinc/05/86/45/1003058645.db2.gz GTNQYDAVUCOBGE-WDEREUQCSA-N 0 2 311.386 0.262 20 0 DCADLN Cc1[nH]c(C=O)cc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001472062086 1016718125 /nfs/dbraw/zinc/71/81/25/1016718125.db2.gz UMHWMQFVYNLGIS-UHFFFAOYSA-N 0 2 318.333 0.960 20 0 DCADLN CC[C@@H](C)[C@H](OC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001472082371 1016723372 /nfs/dbraw/zinc/72/33/72/1016723372.db2.gz NZMIVLPUFIGVOY-KCJUWKMLSA-N 0 2 318.399 0.027 20 0 DCADLN C[S@](=O)c1cccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001421002356 1003224436 /nfs/dbraw/zinc/22/44/36/1003224436.db2.gz SIKIDBFTTQAQFW-NRFANRHFSA-N 0 2 307.331 0.555 20 0 DCADLN CO[C@H](C)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001421019574 1003241042 /nfs/dbraw/zinc/24/10/42/1003241042.db2.gz HWJIKYKUNXSWIN-UTUOFQBUSA-N 0 2 323.397 0.501 20 0 DCADLN O=C(NC1CN(C[C@H]2CCc3ncnn32)C1)C(F)C(F)(F)F ZINC001421031177 1003249656 /nfs/dbraw/zinc/24/96/56/1003249656.db2.gz PMAUIHOYOKSSNX-SCZZXKLOSA-N 0 2 321.278 0.466 20 0 DCADLN C[C@H](NC(=O)c1ccc(S(N)(=O)=O)cc1)c1nn(C)cc1O ZINC001472238282 1016767876 /nfs/dbraw/zinc/76/78/76/1016767876.db2.gz WXYOJFNFHCPKJR-QMMMGPOBSA-N 0 2 324.362 0.264 20 0 DCADLN O=C(c1c(O)cccc1O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001472562086 1016828572 /nfs/dbraw/zinc/82/85/72/1016828572.db2.gz QRQQLZAFCRIYLD-MRVPVSSYSA-N 0 2 304.306 0.941 20 0 DCADLN COCc1noc([C@@H](C)N(C)C[C@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001406617167 1003927892 /nfs/dbraw/zinc/92/78/92/1003927892.db2.gz XVSGHKWSQSHTPO-DTWKUNHWSA-N 0 2 323.357 0.145 20 0 DCADLN CCCCC(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001426669102 1003953639 /nfs/dbraw/zinc/95/36/39/1003953639.db2.gz YIYYEHNMNBKIFY-WEDXCCLWSA-N 0 2 314.279 0.765 20 0 DCADLN CCCCC(=O)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001426669102 1003953648 /nfs/dbraw/zinc/95/36/48/1003953648.db2.gz YIYYEHNMNBKIFY-WEDXCCLWSA-N 0 2 314.279 0.765 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CCCC(N)=O ZINC001428655023 1004092580 /nfs/dbraw/zinc/09/25/80/1004092580.db2.gz PGPAFSXBWLAJKM-HZGVNTEJSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CCCC(N)=O ZINC001428655023 1004092571 /nfs/dbraw/zinc/09/25/71/1004092571.db2.gz PGPAFSXBWLAJKM-HZGVNTEJSA-N 0 2 315.267 0.163 20 0 DCADLN CCn1cc(CN2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001406828020 1004129065 /nfs/dbraw/zinc/12/90/65/1004129065.db2.gz LFHJOARMOWRVLS-ZJUUUORDSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1cc(CN2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001406828020 1004129070 /nfs/dbraw/zinc/12/90/70/1004129070.db2.gz LFHJOARMOWRVLS-ZJUUUORDSA-N 0 2 323.294 0.889 20 0 DCADLN CC(=O)NC[C@@]1(C)CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001427219669 1004263533 /nfs/dbraw/zinc/26/35/33/1004263533.db2.gz XBCSXOSDQUDPGQ-SCZZXKLOSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)NC[C@@]1(C)CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001427219669 1004263538 /nfs/dbraw/zinc/26/35/38/1004263538.db2.gz XBCSXOSDQUDPGQ-SCZZXKLOSA-N 0 2 300.252 0.640 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NC[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001427280455 1004299752 /nfs/dbraw/zinc/29/97/52/1004299752.db2.gz JFLIBBKXPDAACO-HDJSIYSDSA-N 0 2 321.425 0.234 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001429084362 1004374633 /nfs/dbraw/zinc/37/46/33/1004374633.db2.gz PIFZVABTHKFDIG-GJMOJQLCSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001429084362 1004374642 /nfs/dbraw/zinc/37/46/42/1004374642.db2.gz PIFZVABTHKFDIG-GJMOJQLCSA-N 0 2 322.262 0.935 20 0 DCADLN CN(CCNC(=O)[C@]1(C)CCOC1)C(=O)C(F)C(F)(F)F ZINC001429441381 1004599317 /nfs/dbraw/zinc/59/93/17/1004599317.db2.gz BERBVXQFSOUBBJ-GZMMTYOYSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCNC(=O)[C@]1(C)CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001429441381 1004599322 /nfs/dbraw/zinc/59/93/22/1004599322.db2.gz BERBVXQFSOUBBJ-GZMMTYOYSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001427828055 1004631639 /nfs/dbraw/zinc/63/16/39/1004631639.db2.gz RGGBKNANEGWHJN-ZJUUUORDSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccccc1 ZINC001427828055 1004631642 /nfs/dbraw/zinc/63/16/42/1004631642.db2.gz RGGBKNANEGWHJN-ZJUUUORDSA-N 0 2 322.258 0.794 20 0 DCADLN O=C(NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001427922368 1004683224 /nfs/dbraw/zinc/68/32/24/1004683224.db2.gz MEBCVJJAAMMPFI-SFYZADRCSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1)c1ncc[nH]1 ZINC001427922368 1004683229 /nfs/dbraw/zinc/68/32/29/1004683229.db2.gz MEBCVJJAAMMPFI-SFYZADRCSA-N 0 2 322.262 0.935 20 0 DCADLN CC[NH+](CC)CC(=O)N(C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001429623309 1004701526 /nfs/dbraw/zinc/70/15/26/1004701526.db2.gz WUMKBLAZWGKTEW-CQSZACIVSA-N 0 2 321.425 0.353 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001407889787 1004925981 /nfs/dbraw/zinc/92/59/81/1004925981.db2.gz QQYHDWFKLFMLGX-OOZYFLPDSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1nccc1C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001407889787 1004925984 /nfs/dbraw/zinc/92/59/84/1004925984.db2.gz QQYHDWFKLFMLGX-OOZYFLPDSA-N 0 2 322.262 0.698 20 0 DCADLN C[C@@H](CNC(=O)c1cnsn1)NC(=O)[C@H](F)C(F)(F)F ZINC001408074348 1005019685 /nfs/dbraw/zinc/01/96/85/1005019685.db2.gz JLMVHLVDOMLSES-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)c1cnsn1)NC(=O)C(F)C(F)(F)F ZINC001408074348 1005019681 /nfs/dbraw/zinc/01/96/81/1005019681.db2.gz JLMVHLVDOMLSES-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN CC1(C)C[C@H](NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CO1 ZINC001414736067 1005392998 /nfs/dbraw/zinc/39/29/98/1005392998.db2.gz NXJNNYXPFMNOPC-UWVGGRQHSA-N 0 2 309.370 0.967 20 0 DCADLN CCn1cc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)nn1 ZINC001415128026 1005464796 /nfs/dbraw/zinc/46/47/96/1005464796.db2.gz DOCIHTJSGNPBCB-SNVBAGLBSA-N 0 2 323.294 0.843 20 0 DCADLN CCn1cc(CN2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)nn1 ZINC001415128026 1005464799 /nfs/dbraw/zinc/46/47/99/1005464799.db2.gz DOCIHTJSGNPBCB-SNVBAGLBSA-N 0 2 323.294 0.843 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001415516698 1005551455 /nfs/dbraw/zinc/55/14/55/1005551455.db2.gz YFXUKXFSMHEYIC-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccnnc1 ZINC001415516698 1005551457 /nfs/dbraw/zinc/55/14/57/1005551457.db2.gz YFXUKXFSMHEYIC-QMMMGPOBSA-N 0 2 308.235 0.565 20 0 DCADLN CNC(=O)NC(=O)CC[N@@H+]1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001473864452 1016982504 /nfs/dbraw/zinc/98/25/04/1016982504.db2.gz WHEXEIAFLLMTRB-VIFPVBQESA-N 0 2 323.353 0.173 20 0 DCADLN CNC(=O)NC(=O)CC[N@H+]1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001473864452 1016982513 /nfs/dbraw/zinc/98/25/13/1016982513.db2.gz WHEXEIAFLLMTRB-VIFPVBQESA-N 0 2 323.353 0.173 20 0 DCADLN CC(C)(CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)OCC1CC1 ZINC001417557687 1005843547 /nfs/dbraw/zinc/84/35/47/1005843547.db2.gz LBLLPXZECFMFTQ-UHFFFAOYSA-N 0 2 319.365 0.393 20 0 DCADLN O=C(c1ccc(O)cc1O)N1CCSC[C@H]1c1nn[nH]n1 ZINC001417719488 1005875253 /nfs/dbraw/zinc/87/52/53/1005875253.db2.gz ILUSQNCOLCIIEX-VIFPVBQESA-N 0 2 307.335 0.541 20 0 DCADLN CC(C)[C@H](F)C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001417897479 1005918843 /nfs/dbraw/zinc/91/88/43/1005918843.db2.gz PJUZPYFWIUAUPO-LBPRGKRZSA-N 0 2 313.377 0.927 20 0 DCADLN O=C(C[NH+]1CCCC1)N[C@H]1CCC[N@H+](CCNC(=O)C2CC2)C1 ZINC001417913750 1005923352 /nfs/dbraw/zinc/92/33/52/1005923352.db2.gz ADWBSFPRZPEKCU-HNNXBMFYSA-N 0 2 322.453 0.189 20 0 DCADLN CC(F)(F)CCC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001417936593 1005932185 /nfs/dbraw/zinc/93/21/85/1005932185.db2.gz SGLXTSSFBNTONA-MRVPVSSYSA-N 0 2 303.313 0.636 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cccnn1 ZINC001506095690 1017011681 /nfs/dbraw/zinc/01/16/81/1017011681.db2.gz MGAKHGNVHSHUOB-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN O=C(NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1)c1cccnn1 ZINC001506095690 1017011686 /nfs/dbraw/zinc/01/16/86/1017011686.db2.gz MGAKHGNVHSHUOB-MRVPVSSYSA-N 0 2 320.246 0.756 20 0 DCADLN CCn1ncnc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001434862499 1006059196 /nfs/dbraw/zinc/05/91/96/1006059196.db2.gz WIYNWOFUYLIOCH-SNVBAGLBSA-N 0 2 323.294 0.843 20 0 DCADLN CCn1ncnc1CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001434862499 1006059210 /nfs/dbraw/zinc/05/92/10/1006059210.db2.gz WIYNWOFUYLIOCH-SNVBAGLBSA-N 0 2 323.294 0.843 20 0 DCADLN Cc1ccc(NC(=O)CC2SC(=N)NC2=O)c(C(N)=O)c1 ZINC001418178580 1006132907 /nfs/dbraw/zinc/13/29/07/1006132907.db2.gz JVUYTHRIRTZWPY-SECBINFHSA-N 0 2 306.347 0.589 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)C1=CCCC1 ZINC001451423192 1006165713 /nfs/dbraw/zinc/16/57/13/1006165713.db2.gz XKLPVFVJAUVOSZ-BDAKNGLRSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@H](F)C(F)(F)F)C1=CCCC1 ZINC001451423192 1006165719 /nfs/dbraw/zinc/16/57/19/1006165719.db2.gz XKLPVFVJAUVOSZ-BDAKNGLRSA-N 0 2 312.263 0.590 20 0 DCADLN CCOC(=O)c1n[nH]c([C@@H](C)NC(=O)Cc2[nH]cnc2C)n1 ZINC001418404391 1006478881 /nfs/dbraw/zinc/47/88/81/1006478881.db2.gz FAZITJUZCFRHFH-MRVPVSSYSA-N 0 2 306.326 0.433 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C)C[NH2+][C@H](C)c2csnn2)c1[O-] ZINC001439110053 1006480533 /nfs/dbraw/zinc/48/05/33/1006480533.db2.gz WHDBMUKSHILGOB-RNFRBKRXSA-N 0 2 310.383 0.744 20 0 DCADLN CCC(CC)C(=O)NC[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001452289407 1006717560 /nfs/dbraw/zinc/71/75/60/1006717560.db2.gz OZKIPRZMPPJBKH-BDAKNGLRSA-N 0 2 316.295 0.916 20 0 DCADLN CCC(CC)C(=O)NC[C@@H](O)CNC(=O)[C@H](F)C(F)(F)F ZINC001452289407 1006717575 /nfs/dbraw/zinc/71/75/75/1006717575.db2.gz OZKIPRZMPPJBKH-BDAKNGLRSA-N 0 2 316.295 0.916 20 0 DCADLN COc1ccnc(C[N@H+](C)CCNC(=O)C[NH+](C)C(C)C)c1 ZINC001437570040 1007136754 /nfs/dbraw/zinc/13/67/54/1007136754.db2.gz MTALVRDYXOKGGM-UHFFFAOYSA-N 0 2 308.426 0.978 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001437604206 1007169611 /nfs/dbraw/zinc/16/96/11/1007169611.db2.gz YWFAGICCIFTXMA-UHFFFAOYSA-N 0 2 303.366 0.691 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001437604206 1007169618 /nfs/dbraw/zinc/16/96/18/1007169618.db2.gz YWFAGICCIFTXMA-UHFFFAOYSA-N 0 2 303.366 0.691 20 0 DCADLN O=C(CCc1ccoc1)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437662538 1007232295 /nfs/dbraw/zinc/23/22/95/1007232295.db2.gz MHMKWXGIWBCKHC-GFCCVEGCSA-N 0 2 319.365 0.817 20 0 DCADLN O=C(CCc1ccoc1)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437662538 1007232302 /nfs/dbraw/zinc/23/23/02/1007232302.db2.gz MHMKWXGIWBCKHC-GFCCVEGCSA-N 0 2 319.365 0.817 20 0 DCADLN CCn1ncc(C[NH+]2CC[C@H](CNC(=O)C[N@H+](C)C(C)C)C2)n1 ZINC001437741055 1007340798 /nfs/dbraw/zinc/34/07/98/1007340798.db2.gz PKKNSWDZEDUXPW-CQSZACIVSA-N 0 2 322.457 0.576 20 0 DCADLN Cc1nnc([C@H](C)[NH2+]CCN(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001437828222 1007437818 /nfs/dbraw/zinc/43/78/18/1007437818.db2.gz GHTYESHOHAVCMP-QMMMGPOBSA-N 0 2 307.358 0.273 20 0 DCADLN Cn1ncc(CN2CCC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001441025898 1008183873 /nfs/dbraw/zinc/18/38/73/1008183873.db2.gz UIZRNNIZCNBTGY-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CCC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001441025898 1008183874 /nfs/dbraw/zinc/18/38/74/1008183874.db2.gz UIZRNNIZCNBTGY-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN Cc1[nH]nc(C(=O)NCCN2CC[NH+](CCC(C)C)CC2)c1[O-] ZINC001441055988 1008199556 /nfs/dbraw/zinc/19/95/56/1008199556.db2.gz VJBIRHMRCZUCQO-UHFFFAOYSA-N 0 2 323.441 0.817 20 0 DCADLN O=C(NCC1(O)CN(Cc2ccon2)C1)C(F)C(F)(F)F ZINC001421448897 1008603633 /nfs/dbraw/zinc/60/36/33/1008603633.db2.gz WKGVKEOMRFUSPZ-QMMMGPOBSA-N 0 2 311.235 0.238 20 0 DCADLN O=C(NCC1(O)CN(Cc2ccon2)C1)[C@H](F)C(F)(F)F ZINC001421448897 1008603641 /nfs/dbraw/zinc/60/36/41/1008603641.db2.gz WKGVKEOMRFUSPZ-QMMMGPOBSA-N 0 2 311.235 0.238 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cncnc2)C1 ZINC001453680820 1008661991 /nfs/dbraw/zinc/66/19/91/1008661991.db2.gz WESWLFGYFBNNNX-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)c2cncnc2)C1 ZINC001453680820 1008661997 /nfs/dbraw/zinc/66/19/97/1008661997.db2.gz WESWLFGYFBNNNX-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CSCC[C@H](NC(=O)c1cccc2n[nH]nc21)c1nn[nH]n1 ZINC001453786083 1008715467 /nfs/dbraw/zinc/71/54/67/1008715467.db2.gz WFMVNCGOJYAUHK-VIFPVBQESA-N 0 2 318.366 0.695 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cnc(NC2CC2)s1 ZINC001454190214 1008987313 /nfs/dbraw/zinc/98/73/13/1008987313.db2.gz LMKIJUQUGAJPKW-UHFFFAOYSA-N 0 2 307.335 0.849 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cnc(NC2CC2)s1 ZINC001454190214 1008987324 /nfs/dbraw/zinc/98/73/24/1008987324.db2.gz LMKIJUQUGAJPKW-UHFFFAOYSA-N 0 2 307.335 0.849 20 0 DCADLN CN1CC[N@H+](C)C[C@H]1CNC(=O)c1ccc(C[NH+]2CCCC2)o1 ZINC001433694644 1009082789 /nfs/dbraw/zinc/08/27/89/1009082789.db2.gz NNOLBWXQUPVVNG-CQSZACIVSA-N 0 2 320.437 0.851 20 0 DCADLN CCO[C@H](C[N@@H+]1CCO[C@H](CNC(=O)c2ncn[nH]2)C1)C1CC1 ZINC001421811165 1009123790 /nfs/dbraw/zinc/12/37/90/1009123790.db2.gz VQZHSQXAQZSOIQ-CHWSQXEVSA-N 0 2 323.397 0.050 20 0 DCADLN CCO[C@H](C[N@H+]1CCO[C@H](CNC(=O)c2ncn[nH]2)C1)C1CC1 ZINC001421811165 1009123796 /nfs/dbraw/zinc/12/37/96/1009123796.db2.gz VQZHSQXAQZSOIQ-CHWSQXEVSA-N 0 2 323.397 0.050 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccn(CCC(F)(F)F)n1 ZINC001433767971 1009161039 /nfs/dbraw/zinc/16/10/39/1009161039.db2.gz AZAOOBGMZYOFQA-UHFFFAOYSA-N 0 2 304.232 0.589 20 0 DCADLN O=C(C[C@H]1C(=O)Nc2c1cccc2F)NCc1n[nH]c(=O)[nH]1 ZINC001433769594 1009163464 /nfs/dbraw/zinc/16/34/64/1009163464.db2.gz FAWCYEDGXWJQPY-SSDOTTSWSA-N 0 2 305.269 0.392 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001454638238 1009238056 /nfs/dbraw/zinc/23/80/56/1009238056.db2.gz GCNJAUFMCVRJOC-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001454638238 1009238064 /nfs/dbraw/zinc/23/80/64/1009238064.db2.gz GCNJAUFMCVRJOC-SVRRBLITSA-N 0 2 308.235 0.612 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnsn1 ZINC001455280576 1009630905 /nfs/dbraw/zinc/63/09/05/1009630905.db2.gz JIQHDTPPMOXNSE-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cnsn1 ZINC001455280576 1009630912 /nfs/dbraw/zinc/63/09/12/1009630912.db2.gz JIQHDTPPMOXNSE-LURJTMIESA-N 0 2 314.264 0.627 20 0 DCADLN C[C@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2nc[nH]n2)C1 ZINC001434176582 1009637317 /nfs/dbraw/zinc/63/73/17/1009637317.db2.gz DAYRURQBUVWKAT-WKEGUHRASA-N 0 2 323.250 0.426 20 0 DCADLN C[C@]1(NC(=O)[C@H](F)C(F)(F)F)CCN(C(=O)c2nc[nH]n2)C1 ZINC001434176582 1009637323 /nfs/dbraw/zinc/63/73/23/1009637323.db2.gz DAYRURQBUVWKAT-WKEGUHRASA-N 0 2 323.250 0.426 20 0 DCADLN CC[N@H+](CCNC(=O)COc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001442746148 1009695403 /nfs/dbraw/zinc/69/54/03/1009695403.db2.gz NPOBGYTWYFRYTI-UHFFFAOYSA-N 0 2 319.365 0.527 20 0 DCADLN CC[N@@H+](CCNC(=O)COc1ccccc1)Cc1n[nH]c(=O)[n-]1 ZINC001442746148 1009695406 /nfs/dbraw/zinc/69/54/06/1009695406.db2.gz NPOBGYTWYFRYTI-UHFFFAOYSA-N 0 2 319.365 0.527 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@@H](C)O1 ZINC001434280888 1009723477 /nfs/dbraw/zinc/72/34/77/1009723477.db2.gz CPDFHWXKNJBRJR-KBVBSXBZSA-N 0 2 318.333 0.562 20 0 DCADLN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)c2cncc(O)c2)n[nH]1 ZINC001434418431 1009931486 /nfs/dbraw/zinc/93/14/86/1009931486.db2.gz WEYBPHNMIXEDGG-MNOVXSKESA-N 0 2 303.322 0.416 20 0 DCADLN CCN1CC[C@H]([N@@H+]2CC[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)C1=O ZINC001442921853 1009940863 /nfs/dbraw/zinc/94/08/63/1009940863.db2.gz YVOYLAGPPVVLBU-STQMWFEESA-N 0 2 319.409 0.011 20 0 DCADLN C[C@@H]([NH2+]Cc1ncnn1C(C)(C)C)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001422370578 1009965281 /nfs/dbraw/zinc/96/52/81/1009965281.db2.gz CVMJHZOVAQKSNG-ZJUUUORDSA-N 0 2 320.401 0.448 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCOC1 ZINC001442992943 1010019173 /nfs/dbraw/zinc/01/91/73/1010019173.db2.gz HLQLJETZSNBOFT-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCOC1 ZINC001442992943 1010019185 /nfs/dbraw/zinc/01/91/85/1010019185.db2.gz HLQLJETZSNBOFT-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN Cc1cc(CO)cc(C)c1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC001455959456 1010055486 /nfs/dbraw/zinc/05/54/86/1010055486.db2.gz XRXHEIXDBVEYSH-GFCCVEGCSA-N 0 2 321.402 0.968 20 0 DCADLN CCOCCOCC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001456242687 1010144017 /nfs/dbraw/zinc/14/40/17/1010144017.db2.gz SHECYWGLEXYNQA-UHFFFAOYSA-N 0 2 313.354 0.250 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+]CCN(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001443110743 1010149225 /nfs/dbraw/zinc/14/92/25/1010149225.db2.gz VRVYOFUYKHPNRX-SECBINFHSA-N 0 2 321.385 0.527 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1CCC1(F)F)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422516674 1010227013 /nfs/dbraw/zinc/22/70/13/1010227013.db2.gz BUHRYZRRNNFLHK-JGVFFNPUSA-N 0 2 303.313 0.492 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1CCC1(F)F)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422516674 1010227024 /nfs/dbraw/zinc/22/70/24/1010227024.db2.gz BUHRYZRRNNFLHK-JGVFFNPUSA-N 0 2 303.313 0.492 20 0 DCADLN CCO[C@H](C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001422523148 1010238869 /nfs/dbraw/zinc/23/88/69/1010238869.db2.gz SIYIJYKZZFJIBS-PWSUYJOCSA-N 0 2 313.402 0.508 20 0 DCADLN CCO[C@H](C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001422523148 1010238872 /nfs/dbraw/zinc/23/88/72/1010238872.db2.gz SIYIJYKZZFJIBS-PWSUYJOCSA-N 0 2 313.402 0.508 20 0 DCADLN CO[C@@H](C)CN1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001422620931 1010413824 /nfs/dbraw/zinc/41/38/24/1010413824.db2.gz MBXDUCMZSPIANO-IUCAKERBSA-N 0 2 316.295 0.475 20 0 DCADLN CO[C@H](C)CN1CC(CCO)(NC(=O)C(F)C(F)(F)F)C1 ZINC001422620927 1010415081 /nfs/dbraw/zinc/41/50/81/1010415081.db2.gz MBXDUCMZSPIANO-BDAKNGLRSA-N 0 2 316.295 0.475 20 0 DCADLN CCn1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001422875694 1010693019 /nfs/dbraw/zinc/69/30/19/1010693019.db2.gz BSRKGYWRQDVFNT-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCn1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001422875694 1010693023 /nfs/dbraw/zinc/69/30/23/1010693023.db2.gz BSRKGYWRQDVFNT-SECBINFHSA-N 0 2 321.385 0.285 20 0 DCADLN CCN(C(=O)Cc1ccco1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443876465 1010720472 /nfs/dbraw/zinc/72/04/72/1010720472.db2.gz CQYSCNWRVSFUTD-LLVKDONJSA-N 0 2 319.365 0.769 20 0 DCADLN CCN(C(=O)Cc1ccco1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001443876465 1010720478 /nfs/dbraw/zinc/72/04/78/1010720478.db2.gz CQYSCNWRVSFUTD-LLVKDONJSA-N 0 2 319.365 0.769 20 0 DCADLN CO[C@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001456465754 1011289096 /nfs/dbraw/zinc/28/90/96/1011289096.db2.gz XIQPVDMDZWEGRC-SVRRBLITSA-N 0 2 300.252 0.496 20 0 DCADLN CO[C@H](C)C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001456465754 1011289109 /nfs/dbraw/zinc/28/91/09/1011289109.db2.gz XIQPVDMDZWEGRC-SVRRBLITSA-N 0 2 300.252 0.496 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccnc(F)c1F ZINC001533335380 1011322142 /nfs/dbraw/zinc/32/21/42/1011322142.db2.gz SXNRRVRGKFIUFU-UHFFFAOYSA-N 0 2 306.232 0.383 20 0 DCADLN Cc1ccc(NCCCNC(=O)Cn2c(=O)[n-][nH]c2=O)[nH+]c1 ZINC001445085122 1011389676 /nfs/dbraw/zinc/38/96/76/1011389676.db2.gz DWQXWAZMIKMDIH-UHFFFAOYSA-N 0 2 306.326 0.011 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(F)s1 ZINC001445085399 1011392804 /nfs/dbraw/zinc/39/28/04/1011392804.db2.gz DDFSZLXHECQJEL-UHFFFAOYSA-N 0 2 313.358 0.915 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(F)s1 ZINC001445085399 1011392817 /nfs/dbraw/zinc/39/28/17/1011392817.db2.gz DDFSZLXHECQJEL-UHFFFAOYSA-N 0 2 313.358 0.915 20 0 DCADLN Cc1cn2nnc(C(=O)Nc3n[nH]c(C(C)C)n3)c2c(=O)[nH]1 ZINC001546218584 1017313060 /nfs/dbraw/zinc/31/30/60/1017313060.db2.gz KWMHOVCSWIBENX-UHFFFAOYSA-N 0 2 302.298 0.632 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cocn1)C(F)C(F)(F)F ZINC001534851593 1011698264 /nfs/dbraw/zinc/69/82/64/1011698264.db2.gz YJNOHOVXGWBUEN-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cocn1)[C@H](F)C(F)(F)F ZINC001534851593 1011698270 /nfs/dbraw/zinc/69/82/70/1011698270.db2.gz YJNOHOVXGWBUEN-XPUUQOCRSA-N 0 2 309.219 0.906 20 0 DCADLN COc1cnc(F)c(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC001534898155 1011718066 /nfs/dbraw/zinc/71/80/66/1011718066.db2.gz IDRHZJCYSWRPNZ-UHFFFAOYSA-N 0 2 318.268 0.253 20 0 DCADLN Cc1sc(Br)nc1C(=O)NN1CC(=O)NC1=O ZINC001457524936 1011733619 /nfs/dbraw/zinc/73/36/19/1011733619.db2.gz CNLWZEKNYHZCKA-UHFFFAOYSA-N 0 2 319.140 0.411 20 0 DCADLN CO[C@@H]1CN(C(=O)C(C)C)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001445610668 1012000291 /nfs/dbraw/zinc/00/02/91/1012000291.db2.gz FYSWQIZCRYKZRF-IWSPIJDZSA-N 0 2 314.279 0.885 20 0 DCADLN CO[C@@H]1CN(C(=O)C(C)C)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001445610668 1012000299 /nfs/dbraw/zinc/00/02/99/1012000299.db2.gz FYSWQIZCRYKZRF-IWSPIJDZSA-N 0 2 314.279 0.885 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)C1=CCOCC1 ZINC001432167211 1012069325 /nfs/dbraw/zinc/06/93/25/1012069325.db2.gz ZRQHLZXOVAGEOD-SECBINFHSA-N 0 2 324.274 0.999 20 0 DCADLN O=C(NCC1(NC(=O)[C@@H](F)C(F)(F)F)CC1)C1=CCOCC1 ZINC001432167211 1012069334 /nfs/dbraw/zinc/06/93/34/1012069334.db2.gz ZRQHLZXOVAGEOD-SECBINFHSA-N 0 2 324.274 0.999 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@H]1CCCO1 ZINC001445685017 1012109491 /nfs/dbraw/zinc/10/94/91/1012109491.db2.gz IUDUSZUNKNPFFB-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@H]1CCCO1 ZINC001445685017 1012109500 /nfs/dbraw/zinc/10/95/00/1012109500.db2.gz IUDUSZUNKNPFFB-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)CC(=O)NCCC(=O)NCCc1n[nH]c(=S)o1 ZINC001556284256 1012193246 /nfs/dbraw/zinc/19/32/46/1012193246.db2.gz ZGFQWUNKEBNIDU-UHFFFAOYSA-N 0 2 300.384 0.569 20 0 DCADLN Cc1nc2ccnn2cc1C(=O)NCCc1n[nH]c(=S)o1 ZINC001556284259 1012194188 /nfs/dbraw/zinc/19/41/88/1012194188.db2.gz ZNHHUMUUDGNZPF-UHFFFAOYSA-N 0 2 304.335 0.682 20 0 DCADLN Cn1ccc(CC(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001432261431 1012220963 /nfs/dbraw/zinc/22/09/63/1012220963.db2.gz VMNWMFRPPDMLTP-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN Cn1ccc(CC(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001432261431 1012220979 /nfs/dbraw/zinc/22/09/79/1012220979.db2.gz VMNWMFRPPDMLTP-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN CCOCC1(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001445764899 1012227664 /nfs/dbraw/zinc/22/76/64/1012227664.db2.gz OGMKZSUNSWNENS-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CCOCC1(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001445764899 1012227678 /nfs/dbraw/zinc/22/76/78/1012227678.db2.gz OGMKZSUNSWNENS-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN CC(C)[C@@H](C(=O)N1CC(NC(=O)CCn2cc[nH+]c2)C1)[NH+](C)C ZINC001432306841 1012297285 /nfs/dbraw/zinc/29/72/85/1012297285.db2.gz QQCRWLKJLIDOBV-HNNXBMFYSA-N 0 2 321.425 0.186 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432378559 1012398758 /nfs/dbraw/zinc/39/87/58/1012398758.db2.gz YLQFRIWTJJQLOT-VXNVDRBHSA-N 0 2 314.279 0.981 20 0 DCADLN CCO[C@H](C)C(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432378559 1012398773 /nfs/dbraw/zinc/39/87/73/1012398773.db2.gz YLQFRIWTJJQLOT-VXNVDRBHSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@H](OC)C(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001432379890 1012404112 /nfs/dbraw/zinc/40/41/12/1012404112.db2.gz OBTUFSLUEQSXCQ-IUCAKERBSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@H](OC)C(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001432379890 1012404129 /nfs/dbraw/zinc/40/41/29/1012404129.db2.gz OBTUFSLUEQSXCQ-IUCAKERBSA-N 0 2 314.279 0.981 20 0 DCADLN CCn1cc(CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C)nn1 ZINC001424350929 1012416950 /nfs/dbraw/zinc/41/69/50/1012416950.db2.gz VTWIAHCXESAFAG-LJQANCHMSA-N 0 2 323.444 0.529 20 0 DCADLN O=C(NN1C(=O)NC2(CCCCC2)C1=O)c1cnn2c1CCC2 ZINC001539563732 1012442628 /nfs/dbraw/zinc/44/26/28/1012442628.db2.gz WHZHUSBNZHWJSR-UHFFFAOYSA-N 0 2 317.349 0.729 20 0 DCADLN CN(C)C(=O)C=CC(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC001540662536 1012458697 /nfs/dbraw/zinc/45/86/97/1012458697.db2.gz RNYZGGWORZRQHP-PLNGDYQASA-N 0 2 320.374 1.000 20 0 DCADLN CC(C)OCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001459187419 1012610817 /nfs/dbraw/zinc/61/08/17/1012610817.db2.gz SSBLKSXHDQLRMM-SNVBAGLBSA-N 0 2 314.279 0.981 20 0 DCADLN CC(C)OCC(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001459187419 1012610830 /nfs/dbraw/zinc/61/08/30/1012610830.db2.gz SSBLKSXHDQLRMM-SNVBAGLBSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001432712845 1012796169 /nfs/dbraw/zinc/79/61/69/1012796169.db2.gz KMVXULQWYIOVGS-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001432712845 1012796180 /nfs/dbraw/zinc/79/61/80/1012796180.db2.gz KMVXULQWYIOVGS-APPZFPTMSA-N 0 2 324.278 0.945 20 0 DCADLN Cc1cc(NC(=O)NCc2nnc(O)n2C2CC2)c(=O)n(C)c1 ZINC001476253836 1017182622 /nfs/dbraw/zinc/18/26/22/1017182622.db2.gz SIMWCUCEHIXLTN-UHFFFAOYSA-N 0 2 318.337 0.647 20 0 DCADLN CC[C@H](C)OCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506620276 1017413393 /nfs/dbraw/zinc/41/33/93/1017413393.db2.gz RFRZEFYNMHDSLX-VHSXEESVSA-N 0 2 311.386 0.262 20 0 DCADLN CCCN(CC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001479428605 1017553803 /nfs/dbraw/zinc/55/38/03/1017553803.db2.gz OOUIVDANZFRHQO-UHFFFAOYSA-N 0 2 303.388 0.291 20 0 DCADLN Cn1cc(CN2CCC[C@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001479904015 1017952205 /nfs/dbraw/zinc/95/22/05/1017952205.db2.gz RDBZCQSKSQUORQ-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CCC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001479904015 1017952214 /nfs/dbraw/zinc/95/22/14/1017952214.db2.gz RDBZCQSKSQUORQ-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@@]1(NC(=O)C2(CCF)CC2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500244133 1018344134 /nfs/dbraw/zinc/34/41/34/1018344134.db2.gz UQYSPVHKNCCUCD-CYBMUJFWSA-N 0 2 311.361 0.731 20 0 DCADLN C[C@@]1(NC(=O)C2(CCF)CC2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001500244133 1018344141 /nfs/dbraw/zinc/34/41/41/1018344141.db2.gz UQYSPVHKNCCUCD-CYBMUJFWSA-N 0 2 311.361 0.731 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccccc1 ZINC001498322139 1018949738 /nfs/dbraw/zinc/94/97/38/1018949738.db2.gz DMAUXDOMGFDARF-NSHDSACASA-N 0 2 303.366 0.690 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccccc1 ZINC001498322139 1018949745 /nfs/dbraw/zinc/94/97/45/1018949745.db2.gz DMAUXDOMGFDARF-NSHDSACASA-N 0 2 303.366 0.690 20 0 DCADLN CCCSCC(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496673024 1019508677 /nfs/dbraw/zinc/50/86/77/1019508677.db2.gz LMYPRDWDFVJRKI-UHFFFAOYSA-N 0 2 301.416 0.544 20 0 DCADLN CCCSCC(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001496673024 1019508686 /nfs/dbraw/zinc/50/86/86/1019508686.db2.gz LMYPRDWDFVJRKI-UHFFFAOYSA-N 0 2 301.416 0.544 20 0 DCADLN CCCNC(=O)CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001498979673 1019610310 /nfs/dbraw/zinc/61/03/10/1019610310.db2.gz RBXAXFJVZPBMBR-WPRPVWTQSA-N 0 2 313.295 0.604 20 0 DCADLN CCCNC(=O)CN1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001498979673 1019610320 /nfs/dbraw/zinc/61/03/20/1019610320.db2.gz RBXAXFJVZPBMBR-WPRPVWTQSA-N 0 2 313.295 0.604 20 0 DCADLN CC[C@H](CNC(=O)C[N@H+](C)C(C)C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001499142444 1019789563 /nfs/dbraw/zinc/78/95/63/1019789563.db2.gz BANRPRQRYSBUIV-CYBMUJFWSA-N 0 2 323.441 0.612 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1ccnnc1 ZINC001494228273 1020086400 /nfs/dbraw/zinc/08/64/00/1020086400.db2.gz WPMILZPXUMFRHM-HRDYMLBCSA-N 0 2 320.246 0.754 20 0 DCADLN O=C(N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccnnc1 ZINC001494228273 1020086413 /nfs/dbraw/zinc/08/64/13/1020086413.db2.gz WPMILZPXUMFRHM-HRDYMLBCSA-N 0 2 320.246 0.754 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001606299153 1170154246 /nfs/dbraw/zinc/15/42/46/1170154246.db2.gz UPHDYGOHUZJNMM-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001606299153 1170154250 /nfs/dbraw/zinc/15/42/50/1170154250.db2.gz UPHDYGOHUZJNMM-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN CC(C)(C(=O)N1CCC2(C[C@H]2C(=O)[O-])CC1)[NH+]1CCOCC1 ZINC001609647287 1171059260 /nfs/dbraw/zinc/05/92/60/1171059260.db2.gz AVPRSURAHKGRLP-LBPRGKRZSA-N 0 2 310.394 0.811 20 0 DCADLN CNS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001610146791 1171175717 /nfs/dbraw/zinc/17/57/17/1171175717.db2.gz UVUNPCXBWAGXNU-NSHDSACASA-N 0 2 316.354 0.647 20 0 DCADLN C[C@H](c1ccc(S(C)(=O)=O)cc1)N(C)Cc1n[nH]c(=O)[nH]1 ZINC000092616691 185329210 /nfs/dbraw/zinc/32/92/10/185329210.db2.gz LPZWZMIBDQYSGA-SECBINFHSA-N 0 2 310.379 0.695 20 0 DCADLN CCCCOCCNC(=O)Cc1c(C)nc2cc[nH]n2c1=O ZINC000171474540 186165655 /nfs/dbraw/zinc/16/56/55/186165655.db2.gz XGVRNBRFRDLTFN-UHFFFAOYSA-N 0 2 306.366 0.806 20 0 DCADLN COC[C@H](CO)NC(=O)N[C@@H]1CCCN(c2ccccc2)C1 ZINC000280280269 215507296 /nfs/dbraw/zinc/50/72/96/215507296.db2.gz MRFSDYUNQMXDBB-KGLIPLIRSA-N 0 2 307.394 0.962 20 0 DCADLN CCc1cc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)nc(C)n1 ZINC000180764028 199206440 /nfs/dbraw/zinc/20/64/40/199206440.db2.gz KNFSPJQDKQPMGO-UHFFFAOYSA-N 0 2 323.378 0.586 20 0 DCADLN CCCNC(=O)CCNC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000426648378 240352129 /nfs/dbraw/zinc/35/21/29/240352129.db2.gz SCHMGCOMEVYKHF-UHFFFAOYSA-N 0 2 318.381 0.939 20 0 DCADLN O=S(=O)(NCCCOC[C@H]1CCOC1)NCC(F)(F)F ZINC000195294053 237508869 /nfs/dbraw/zinc/50/88/69/237508869.db2.gz KAMBRRNSIYBPRY-SECBINFHSA-N 0 2 320.333 0.416 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)[C@H]2CCN(C)C2=O)c1 ZINC000424682152 240262903 /nfs/dbraw/zinc/26/29/03/240262903.db2.gz ORJGIZQKKKAZLE-WHEQGISXSA-N 0 2 324.402 0.492 20 0 DCADLN COC(=O)NCC(=O)N=c1nc(-c2cccc(OC)c2)[nH]s1 ZINC000491087791 241107112 /nfs/dbraw/zinc/10/71/12/241107112.db2.gz ZAEFVGBQLNSMQJ-UHFFFAOYSA-N 0 2 322.346 0.930 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@@H](O)C2(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000275316354 212267609 /nfs/dbraw/zinc/26/76/09/212267609.db2.gz ZIKVNOMZEYTMNL-DTWKUNHWSA-N 0 2 318.333 0.634 20 0 DCADLN COC(=O)CCN1CCN(C(=O)c2ccc(C(N)=O)o2)[C@@H](C)C1 ZINC000271681010 209120443 /nfs/dbraw/zinc/12/04/43/209120443.db2.gz OKJDTNGGBQPLST-JTQLQIEISA-N 0 2 323.349 0.088 20 0 DCADLN CC(=O)N1CC[C@](C)(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000573567707 291640633 /nfs/dbraw/zinc/64/06/33/291640633.db2.gz LBCUXTYNYOOOTF-NHYWBVRUSA-N 0 2 321.381 0.475 20 0 DCADLN CCOC(=O)c1cnc(NC(=O)c2c(C)c(C)nn(C)c2=O)[nH]1 ZINC000339163387 253037083 /nfs/dbraw/zinc/03/70/83/253037083.db2.gz DFSJYKSJXCCGQZ-UHFFFAOYSA-N 0 2 319.321 0.549 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC[C@@H](O)COC)=N1 ZINC000338975651 253018092 /nfs/dbraw/zinc/01/80/92/253018092.db2.gz WXMOJRSIMNJWGR-GFCCVEGCSA-N 0 2 319.361 0.877 20 0 DCADLN CN(C)c1ccc(CNC(=O)c2c[n-]n3c2nccc3=O)c[nH+]1 ZINC000339244654 253046563 /nfs/dbraw/zinc/04/65/63/253046563.db2.gz ADEIDESLKUXSEJ-UHFFFAOYSA-N 0 2 312.333 0.414 20 0 DCADLN CC[C@@H](C[C@H](C)CO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000340290417 253231242 /nfs/dbraw/zinc/23/12/42/253231242.db2.gz MCWAUKKCCGIDMG-WPRPVWTQSA-N 0 2 320.349 0.963 20 0 DCADLN CS(=O)(=O)[N-]c1ccccc1C(=O)NCCn1cc[nH+]c1 ZINC000340847573 253313619 /nfs/dbraw/zinc/31/36/19/253313619.db2.gz RBUSCHGTRULQEG-UHFFFAOYSA-N 0 2 308.363 0.685 20 0 DCADLN COc1cc(OC)nc(NS(=O)(=O)c2cn(C)nc2C)n1 ZINC000288698415 220354495 /nfs/dbraw/zinc/35/44/95/220354495.db2.gz XZKGSZPSSOAHPG-UHFFFAOYSA-N 0 2 313.339 0.337 20 0 DCADLN Cc1cc(C(=O)NCC[C@@H](O)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000346383131 254044154 /nfs/dbraw/zinc/04/41/54/254044154.db2.gz QOFJMPWRTRTRLN-SNVBAGLBSA-N 0 2 320.349 0.881 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NCCn2ccnc2)s[nH]1 ZINC000347400767 254154297 /nfs/dbraw/zinc/15/42/97/254154297.db2.gz BHJWGPBGGVSHPC-UHFFFAOYSA-N 0 2 322.394 0.209 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnc3c(c2)CCCC3)c1O ZINC000348581851 254245712 /nfs/dbraw/zinc/24/57/12/254245712.db2.gz OZZMQVBDMSDRDY-GFCCVEGCSA-N 0 2 303.318 0.948 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnc3c(c2)CCCC3)c1O ZINC000348581853 254246088 /nfs/dbraw/zinc/24/60/88/254246088.db2.gz OZZMQVBDMSDRDY-LBPRGKRZSA-N 0 2 303.318 0.948 20 0 DCADLN CS(=O)(=O)CCCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000348634279 254247384 /nfs/dbraw/zinc/24/73/84/254247384.db2.gz LFXGGBGNOXGXEL-UHFFFAOYSA-N 0 2 311.281 0.974 20 0 DCADLN CCOC(=O)CN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348866047 254262455 /nfs/dbraw/zinc/26/24/55/254262455.db2.gz JTBNDOOARILUSP-UHFFFAOYSA-N 0 2 305.290 0.665 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ccc(C(N)=O)nc2)cn1 ZINC000350827633 254326724 /nfs/dbraw/zinc/32/67/24/254326724.db2.gz DMXXDZIBAUHBON-UHFFFAOYSA-N 0 2 308.319 0.385 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1cccc2c1oc(=O)n2C ZINC000350869515 254328069 /nfs/dbraw/zinc/32/80/69/254328069.db2.gz LEJXZLMGKGYBGS-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2noc(C)n2)cn1C ZINC000351508300 254341267 /nfs/dbraw/zinc/34/12/67/254341267.db2.gz DJZOROGZYXDATM-UHFFFAOYSA-N 0 2 300.296 0.304 20 0 DCADLN COC(=O)[C@H](C)CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000353352518 254452661 /nfs/dbraw/zinc/45/26/61/254452661.db2.gz KZWSCGWTMNJDOZ-RXMQYKEDSA-N 0 2 322.368 0.047 20 0 DCADLN O=C([O-])NCC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000285552088 131180509 /nfs/dbraw/zinc/18/05/09/131180509.db2.gz FBXKXDZJQIYKRR-ZDUSSCGKSA-N 0 2 307.350 0.444 20 0 DCADLN O=C([O-])[C@@H]1CCCN1C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000032076787 395709101 /nfs/dbraw/zinc/70/91/01/395709101.db2.gz CQOAVRZMXBLWNC-ZDUSSCGKSA-N 0 2 318.377 0.279 20 0 DCADLN O=c1[nH]nc(CN2CCOC[C@@H]2C[C@H](O)c2cccs2)[nH]1 ZINC000091590829 395726511 /nfs/dbraw/zinc/72/65/11/395726511.db2.gz DNQULCXSWFTYDD-UWVGGRQHSA-N 0 2 310.379 0.484 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccnc1-n1cncn1 ZINC000040500261 395734266 /nfs/dbraw/zinc/73/42/66/395734266.db2.gz MAHXLWIMWCLGTL-UHFFFAOYSA-N 0 2 305.323 0.495 20 0 DCADLN O=S(=O)(Nc1ccc(Cn2cncn2)cc1)c1cn[nH]c1 ZINC000040809627 395734652 /nfs/dbraw/zinc/73/46/52/395734652.db2.gz ZRCFWVAXTKTPQO-UHFFFAOYSA-N 0 2 304.335 0.850 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(CC[C@H]3CCS(=O)(=O)C3)C2)[nH]1 ZINC001647197230 1172735866 /nfs/dbraw/zinc/73/58/66/1172735866.db2.gz NAKUWFUJITTYEI-WDEREUQCSA-N 0 2 314.411 0.515 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@H+](CC[C@H]3CCS(=O)(=O)C3)C2)n[nH]1 ZINC001647197230 1172735872 /nfs/dbraw/zinc/73/58/72/1172735872.db2.gz NAKUWFUJITTYEI-WDEREUQCSA-N 0 2 314.411 0.515 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@@H+](CC[C@H]3CCS(=O)(=O)C3)C2)n[nH]1 ZINC001647197230 1172735878 /nfs/dbraw/zinc/73/58/78/1172735878.db2.gz NAKUWFUJITTYEI-WDEREUQCSA-N 0 2 314.411 0.515 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc(C(=O)N(C)C)cc2)no1 ZINC000194801009 395808414 /nfs/dbraw/zinc/80/84/14/395808414.db2.gz MZLRZCOVYWIQKR-UHFFFAOYSA-N 0 2 310.335 0.881 20 0 DCADLN O=C(Nc1ccccc1)[C@H]1CCCN(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000128075440 395917701 /nfs/dbraw/zinc/91/77/01/395917701.db2.gz IWECUHKNKZEXEX-JTQLQIEISA-N 0 2 315.333 0.589 20 0 DCADLN COc1ccc(C(=O)N(C)C)cc1NS(=O)(=O)c1cn[nH]c1 ZINC000057119662 395939342 /nfs/dbraw/zinc/93/93/42/395939342.db2.gz UIWFVOPRTVFOFG-UHFFFAOYSA-N 0 2 324.362 0.921 20 0 DCADLN NC(=O)CCNC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000274514335 395954926 /nfs/dbraw/zinc/95/49/26/395954926.db2.gz LXXQICQOZYESKY-UHFFFAOYSA-N 0 2 318.337 0.058 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CC[C@H](O)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000276829550 396031093 /nfs/dbraw/zinc/03/10/93/396031093.db2.gz FJUZYDZQEZVQSN-BDAKNGLRSA-N 0 2 318.333 0.635 20 0 DCADLN C[C@@H]1c2nncn2CCN1CC(=O)NOCc1ccccc1 ZINC000276841857 396031508 /nfs/dbraw/zinc/03/15/08/396031508.db2.gz QUNDYUHPZAYGLA-GFCCVEGCSA-N 0 2 301.350 0.903 20 0 DCADLN Cc1nc2n(n1)CCN(C(=O)C(C)(C)NC(=O)C(F)(F)F)C2 ZINC000277146344 396052208 /nfs/dbraw/zinc/05/22/08/396052208.db2.gz QJWADANNFVZFPJ-UHFFFAOYSA-N 0 2 319.287 0.386 20 0 DCADLN NS(=O)(=O)c1cccc(CNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC000277283333 396059043 /nfs/dbraw/zinc/05/90/43/396059043.db2.gz CIBPSXMPOSGHSM-MRVPVSSYSA-N 0 2 314.260 0.851 20 0 DCADLN NS(=O)(=O)c1cccc(CNC(=O)C(F)C(F)(F)F)c1 ZINC000277283333 396059047 /nfs/dbraw/zinc/05/90/47/396059047.db2.gz CIBPSXMPOSGHSM-MRVPVSSYSA-N 0 2 314.260 0.851 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccccc2-n2cnnn2)cn1 ZINC000066580992 396010884 /nfs/dbraw/zinc/01/08/84/396010884.db2.gz FVONRLRXQBEXDP-UHFFFAOYSA-N 0 2 319.350 0.680 20 0 DCADLN CC[C@H](C(=O)Nc1nnc(NS(C)(=O)=O)s1)C(=O)OC ZINC000276587913 396013442 /nfs/dbraw/zinc/01/34/42/396013442.db2.gz APMPVDTVMMYPAW-RXMQYKEDSA-N 0 2 322.368 0.047 20 0 DCADLN Cc1cc(C(=O)Nc2nnc(NS(C)(=O)=O)s2)ns1 ZINC000277818000 396089334 /nfs/dbraw/zinc/08/93/34/396089334.db2.gz ZQUVLVKUOFXCFR-UHFFFAOYSA-N 0 2 319.393 0.927 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc([S@](C)=O)c2)c1O ZINC000278005383 396100092 /nfs/dbraw/zinc/10/00/92/396100092.db2.gz GDILBPPNNQXBOO-CWKPULSASA-N 0 2 310.331 0.412 20 0 DCADLN O=C([O-])[C@@]1(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCOC1 ZINC000262152995 396105198 /nfs/dbraw/zinc/10/51/98/396105198.db2.gz MAXUSGRGZJMUFV-OAHLLOKOSA-N 0 2 301.302 0.846 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc(C(=O)NC)c2)c1O ZINC000278113115 396106621 /nfs/dbraw/zinc/10/66/21/396106621.db2.gz PYHDNIKJOJJPRU-JTQLQIEISA-N 0 2 305.290 0.034 20 0 DCADLN CNS(=O)(=O)c1ccc(CNC(=O)C(F)(F)F)s1 ZINC000171240973 396112086 /nfs/dbraw/zinc/11/20/86/396112086.db2.gz OZILOVQVKODMOM-UHFFFAOYSA-N 0 2 302.299 0.835 20 0 DCADLN O=C([O-])[C@H]1CCCC[N@@H+]1CC(=O)NC(=O)NCc1ccccc1 ZINC000262279169 396117526 /nfs/dbraw/zinc/11/75/26/396117526.db2.gz KNXZAYRXCGXSEH-CYBMUJFWSA-N 0 2 319.361 0.952 20 0 DCADLN O=C([O-])[C@H]1CCCC[N@H+]1CC(=O)NC(=O)NCc1ccccc1 ZINC000262279169 396117528 /nfs/dbraw/zinc/11/75/28/396117528.db2.gz KNXZAYRXCGXSEH-CYBMUJFWSA-N 0 2 319.361 0.952 20 0 DCADLN Cc1n[nH]c(NC(=O)CCn2c3ccccc3n(C)c2=O)n1 ZINC000171548026 396118107 /nfs/dbraw/zinc/11/81/07/396118107.db2.gz SUYZBGDOLRGDQV-UHFFFAOYSA-N 0 2 300.322 0.795 20 0 DCADLN COCCOc1cccc(CNC(=O)C[N@H+](C)[C@H](C)C(=O)[O-])c1 ZINC000262674353 396171928 /nfs/dbraw/zinc/17/19/28/396171928.db2.gz SYDWGFZBIXQTIN-GFCCVEGCSA-N 0 2 324.377 0.733 20 0 DCADLN COCCOc1cccc(CNC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])c1 ZINC000262674353 396171930 /nfs/dbraw/zinc/17/19/30/396171930.db2.gz SYDWGFZBIXQTIN-GFCCVEGCSA-N 0 2 324.377 0.733 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)Nc1cccc2c1oc(=O)n2C ZINC000282279228 396276963 /nfs/dbraw/zinc/27/69/63/396276963.db2.gz USLYJJSOLSSUGQ-QMMMGPOBSA-N 0 2 300.336 0.908 20 0 DCADLN O=S(=O)(Cc1noc2ccccc21)NCC(F)(F)CO ZINC000184302166 396290438 /nfs/dbraw/zinc/29/04/38/396290438.db2.gz KGRAZJYGGFTJDS-UHFFFAOYSA-N 0 2 306.290 0.875 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@@H]1CC[S@@](=O)C1)c2=O ZINC000081790633 396308840 /nfs/dbraw/zinc/30/88/40/396308840.db2.gz MUCWIBCRSIWYIC-BTKVJGODSA-N 0 2 305.359 0.895 20 0 DCADLN C[C@H]([C@H](C)NC(=O)N(C)CC[N@H+](C)C1CC1)[NH+]1CCOCC1 ZINC000185355682 396325932 /nfs/dbraw/zinc/32/59/32/396325932.db2.gz NDCNWFQIQUNGCV-UONOGXRCSA-N 0 2 312.458 0.831 20 0 DCADLN CCN1CC[NH+](CCC(=O)NCc2c[nH+]c(N(C)C)n2C)CC1 ZINC000265640603 396332685 /nfs/dbraw/zinc/33/26/85/396332685.db2.gz UVSMMVFMUICDJL-UHFFFAOYSA-N 0 2 322.457 0.130 20 0 DCADLN Cc1[nH+]c[nH]c1CNC(=O)[C@@H]1COCCN1CC(F)(F)F ZINC000283461581 396338727 /nfs/dbraw/zinc/33/87/27/396338727.db2.gz ONZDZXDTVGBOJF-JTQLQIEISA-N 0 2 306.288 0.597 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCc1c(F)cccc1F ZINC000186968706 396360835 /nfs/dbraw/zinc/36/08/35/396360835.db2.gz DBTUKVQECSBGEI-UHFFFAOYSA-N 0 2 314.317 0.827 20 0 DCADLN COCCCONC(=O)c1ccc(=O)n(Cc2ccccc2)n1 ZINC000287754129 396421000 /nfs/dbraw/zinc/42/10/00/396421000.db2.gz ITJSWJSVBRPTCO-UHFFFAOYSA-N 0 2 317.345 0.990 20 0 DCADLN CC[C@@H](C)OCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000269715051 396438738 /nfs/dbraw/zinc/43/87/38/396438738.db2.gz OVYAWRWYXAFEBT-ZCFIWIBFSA-N 0 2 308.385 0.663 20 0 DCADLN CNC(=O)CCS(=O)(=O)C=C(O)c1cc(C)n(C)c1C ZINC000580718485 396495027 /nfs/dbraw/zinc/49/50/27/396495027.db2.gz XBQAFLUASTWXRC-UHFFFAOYSA-N 0 2 300.380 0.376 20 0 DCADLN Cc1cc(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC000516973646 396516611 /nfs/dbraw/zinc/51/66/11/396516611.db2.gz YCLZRSLEUUQVRS-JTQLQIEISA-N 0 2 304.354 0.501 20 0 DCADLN Cc1cc(N2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2=O)n(C)n1 ZINC000290937190 396476024 /nfs/dbraw/zinc/47/60/24/396476024.db2.gz VUPVHQYDAFUVSZ-VXNVDRBHSA-N 0 2 322.262 0.850 20 0 DCADLN Cc1cc(N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2=O)n(C)n1 ZINC000290937190 396476025 /nfs/dbraw/zinc/47/60/25/396476025.db2.gz VUPVHQYDAFUVSZ-VXNVDRBHSA-N 0 2 322.262 0.850 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccnn2C)n(-c2ncccn2)n1 ZINC000530799563 396584786 /nfs/dbraw/zinc/58/47/86/396584786.db2.gz LJNHNZYAPFPCRS-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN CC[C@H](O)CNC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000292273252 396542080 /nfs/dbraw/zinc/54/20/80/396542080.db2.gz LERUYIGHBJRWKI-NSHDSACASA-N 0 2 319.365 0.954 20 0 DCADLN O=C(C1CC1)N1CCN(Cc2cc(=O)n3[nH]ccc3n2)CC1 ZINC000533421051 396602309 /nfs/dbraw/zinc/60/23/09/396602309.db2.gz CSDBDZUEPVBRSU-UHFFFAOYSA-N 0 2 301.350 0.077 20 0 DCADLN O=C(CNC1=NS(=O)(=O)c2ccccc21)NOCC1CC1 ZINC000293720146 396627815 /nfs/dbraw/zinc/62/78/15/396627815.db2.gz GNAAPSHNQKDGKA-UHFFFAOYSA-N 0 2 309.347 0.183 20 0 DCADLN CC[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(C)(C)O ZINC000293901336 396640491 /nfs/dbraw/zinc/64/04/91/396640491.db2.gz FHPVEGKKSRYULO-SECBINFHSA-N 0 2 306.322 0.715 20 0 DCADLN CSC[C@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000294479598 396676353 /nfs/dbraw/zinc/67/63/53/396676353.db2.gz BLZKWHKJXAXWOT-QMMMGPOBSA-N 0 2 302.425 0.792 20 0 DCADLN COC(=O)c1oc(S(=O)(=O)Nc2nnc(C)o2)cc1C ZINC000596043700 396711442 /nfs/dbraw/zinc/71/14/42/396711442.db2.gz FAYVTKDBBCQHHD-UHFFFAOYSA-N 0 2 301.280 0.867 20 0 DCADLN O=C([O-])[C@H]1COCC[N@H+]1C[C@H](O)COCc1cccs1 ZINC000563278107 396719669 /nfs/dbraw/zinc/71/96/69/396719669.db2.gz OQUNRDRNDOWHKP-CMPLNLGQSA-N 0 2 301.364 0.411 20 0 DCADLN O=C([O-])[C@H]1COCC[N@@H+]1C[C@H](O)COCc1cccs1 ZINC000563278107 396719671 /nfs/dbraw/zinc/71/96/71/396719671.db2.gz OQUNRDRNDOWHKP-CMPLNLGQSA-N 0 2 301.364 0.411 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@@H](C)CO1 ZINC000617722600 396794361 /nfs/dbraw/zinc/79/43/61/396794361.db2.gz BBKUWWRKMOZKAW-UWVGGRQHSA-N 0 2 320.349 0.767 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@@H](C)CO1 ZINC000617722600 396794365 /nfs/dbraw/zinc/79/43/65/396794365.db2.gz BBKUWWRKMOZKAW-UWVGGRQHSA-N 0 2 320.349 0.767 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CN2CCCNC2=O)s[nH]1 ZINC000634154052 396797265 /nfs/dbraw/zinc/79/72/65/396797265.db2.gz XCUFPZUCBSUYGX-UHFFFAOYSA-N 0 2 313.383 0.195 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CN2CC[NH+](C)CC2)s[n-]1 ZINC000634151006 396797419 /nfs/dbraw/zinc/79/74/19/396797419.db2.gz GBDAMVVMPFJKMI-UHFFFAOYSA-N 0 2 313.427 0.027 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)c2ccn(C)c(=O)c2)s[nH]1 ZINC000634153726 396797749 /nfs/dbraw/zinc/79/77/49/396797749.db2.gz VMOBKCIURCIVJP-UHFFFAOYSA-N 0 2 308.363 0.793 20 0 DCADLN C[C@@H]1OCC[C@@H]1S(=O)(=O)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000328835941 396833147 /nfs/dbraw/zinc/83/31/47/396833147.db2.gz MLVSSSBOHXLVHS-WPRPVWTQSA-N 0 2 316.383 0.197 20 0 DCADLN C[N@H+](C[C@@H](O)C[NH2+][C@H]1CCN(c2ccccc2F)C1=O)C1CC1 ZINC000564442012 396833151 /nfs/dbraw/zinc/83/31/51/396833151.db2.gz AMTYBVVRLOBWIS-ZFWWWQNUSA-N 0 2 321.396 0.976 20 0 DCADLN Cc1n[nH]c(NC(=O)Cc2ccc(S(N)(=O)=O)s2)n1 ZINC000590833559 396845112 /nfs/dbraw/zinc/84/51/12/396845112.db2.gz QOYLGAPYBIQYSO-UHFFFAOYSA-N 0 2 301.353 0.003 20 0 DCADLN O=C1C[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@@H]2CCCCN12 ZINC000375713326 396802938 /nfs/dbraw/zinc/80/29/38/396802938.db2.gz XLLPWQADUHTYDP-SFYZADRCSA-N 0 2 315.317 0.126 20 0 DCADLN CC(=O)N[C@@H]1C(C)=NN(c2ccc(C(F)(F)F)nn2)C1=O ZINC000634611983 396891855 /nfs/dbraw/zinc/89/18/55/396891855.db2.gz KKEVQYFDDMVORA-SECBINFHSA-N 0 2 301.228 0.723 20 0 DCADLN CCn1cc(C2=NN(CC(C)(C)S(C)(=O)=O)C(=O)C2)cn1 ZINC000634620384 396892474 /nfs/dbraw/zinc/89/24/74/396892474.db2.gz BPDFJCZDSNHTKG-UHFFFAOYSA-N 0 2 312.395 0.663 20 0 DCADLN CCn1cc(-c2cc(=O)n(CC(C)(C)S(C)(=O)=O)[nH]2)cn1 ZINC000634620384 396892481 /nfs/dbraw/zinc/89/24/81/396892481.db2.gz BPDFJCZDSNHTKG-UHFFFAOYSA-N 0 2 312.395 0.663 20 0 DCADLN O=S(=O)(Nc1ncc2c(n1)CCOC2)c1cncc(F)c1 ZINC000634660378 396894780 /nfs/dbraw/zinc/89/47/80/396894780.db2.gz IZUIUWOEZTYMHE-UHFFFAOYSA-N 0 2 310.310 0.884 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2nnc([C@@H]3CCCO3)s2)n1 ZINC000634664522 396896440 /nfs/dbraw/zinc/89/64/40/396896440.db2.gz FFYRWZLIVQVXLF-ZETCQYMHSA-N 0 2 315.380 0.924 20 0 DCADLN COC(=O)c1scc(C)c1NS(=O)(=O)c1cnnn1C ZINC000600655491 396906698 /nfs/dbraw/zinc/90/66/98/396906698.db2.gz PIHNJOUXUCXBEW-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN CCC1(Cn2cc(C(=O)N(C)CC3N=NC(=O)O3)nn2)COC1 ZINC000630009905 396914478 /nfs/dbraw/zinc/91/44/78/396914478.db2.gz XKCSDOLVCQSLFP-UHFFFAOYSA-N 0 2 322.325 0.066 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)NCCc1cccc(C(=O)[O-])c1 ZINC000630158132 396950571 /nfs/dbraw/zinc/95/05/71/396950571.db2.gz MVXPYQWBWQJOOI-CQSZACIVSA-N 0 2 306.362 0.764 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)NCCc1cccc(C(=O)[O-])c1 ZINC000630158132 396950576 /nfs/dbraw/zinc/95/05/76/396950576.db2.gz MVXPYQWBWQJOOI-CQSZACIVSA-N 0 2 306.362 0.764 20 0 DCADLN CC[N@@H+]1CCOC[C@@H]1C(=O)N1CCc2c(cccc2C(=O)[O-])C1 ZINC000630215696 396962885 /nfs/dbraw/zinc/96/28/85/396962885.db2.gz HRJYKXVLKQRQFC-OAHLLOKOSA-N 0 2 318.373 0.990 20 0 DCADLN CC[N@H+]1CCOC[C@@H]1C(=O)N1CCc2c(cccc2C(=O)[O-])C1 ZINC000630215696 396962891 /nfs/dbraw/zinc/96/28/91/396962891.db2.gz HRJYKXVLKQRQFC-OAHLLOKOSA-N 0 2 318.373 0.990 20 0 DCADLN CCCc1cc(C(=O)N2CC[NH+]([C@H](C)CC(=O)[O-])CC2)n[nH]1 ZINC000630225321 396964138 /nfs/dbraw/zinc/96/41/38/396964138.db2.gz UASHCCUERYMVDW-LLVKDONJSA-N 0 2 308.382 0.983 20 0 DCADLN CO[C@@H]1[C@H]2C[C@H]3CN(C(=O)CSc4n[nH]c(=O)[nH]4)[C@H]1[C@H]3C2 ZINC000377159652 397039743 /nfs/dbraw/zinc/03/97/43/397039743.db2.gz YIOAARIMKNLZCQ-MVBDTIADSA-N 0 2 310.379 0.484 20 0 DCADLN CCN(CC(=O)N(C)C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000600953052 396971532 /nfs/dbraw/zinc/97/15/32/396971532.db2.gz RMMMVTFNONQEGT-UHFFFAOYSA-N 0 2 318.333 0.580 20 0 DCADLN C[C@@H]1CCC[N@@H+](CCNC(=O)NCC[NH+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000573065875 397127730 /nfs/dbraw/zinc/12/77/30/397127730.db2.gz XMSWNEMGYAMABS-OAGGEKHMSA-N 0 2 324.469 0.881 20 0 DCADLN CSc1nc(=O)n(C/C=C/Cn2[nH]c(SC)nc2=O)[nH]1 ZINC000573743588 397202099 /nfs/dbraw/zinc/20/20/99/397202099.db2.gz OSYGMSOFCJQVDJ-ONEGZZNKSA-N 0 2 314.396 0.156 20 0 DCADLN CCCc1nsc(N[C@@H]2CCCN(S(C)(=O)=O)C2)n1 ZINC000400231773 397317819 /nfs/dbraw/zinc/31/78/19/397317819.db2.gz FBSOGWPWIDMKTN-SECBINFHSA-N 0 2 304.441 0.748 20 0 DCADLN CC(C)CO[C@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000620308597 397258661 /nfs/dbraw/zinc/25/86/61/397258661.db2.gz LYRJGMDPMBUCJE-SSDOTTSWSA-N 0 2 322.412 0.909 20 0 DCADLN O=C(C[C@@H]1CCCC(=O)N1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000577517455 397339518 /nfs/dbraw/zinc/33/95/18/397339518.db2.gz OLUJMLYPQXKCMT-ZJUUUORDSA-N 0 2 307.354 0.275 20 0 DCADLN COC(=O)C[C@@H](O)CNc1nc(C2CCOCC2)ns1 ZINC000599163308 397351473 /nfs/dbraw/zinc/35/14/73/397351473.db2.gz AAWUPDQRQXEXNX-SECBINFHSA-N 0 2 301.368 0.190 20 0 DCADLN CCCc1nsc(N[C@H]2CCCN(S(C)(=O)=O)C2)n1 ZINC000400231774 397318552 /nfs/dbraw/zinc/31/85/52/397318552.db2.gz FBSOGWPWIDMKTN-VIFPVBQESA-N 0 2 304.441 0.748 20 0 DCADLN CO[C@@H]1CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)CCO1 ZINC000613815868 397328238 /nfs/dbraw/zinc/32/82/38/397328238.db2.gz FJWFUULQILPRSO-VIFPVBQESA-N 0 2 320.305 0.189 20 0 DCADLN COCC[C@H](CO)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614424950 397436091 /nfs/dbraw/zinc/43/60/91/397436091.db2.gz NZYZJQDBRFUBJY-LLVKDONJSA-N 0 2 307.306 0.157 20 0 DCADLN COC(=O)[C@@H](CNS(=O)(=O)NCC(F)(F)F)CC1CC1 ZINC000578136191 397394891 /nfs/dbraw/zinc/39/48/91/397394891.db2.gz DNRXKVWEAGDDJL-MRVPVSSYSA-N 0 2 318.317 0.562 20 0 DCADLN N[C@@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)c1c(F)cccc1F ZINC000578646728 397466044 /nfs/dbraw/zinc/46/60/44/397466044.db2.gz IVRIUHLJGDGGDF-LLVKDONJSA-N 0 2 311.292 0.537 20 0 DCADLN O=C(c1cc(F)c(F)c(O)c1F)N1CC[C@@H](CO)[C@@H](O)C1 ZINC000579560754 397580114 /nfs/dbraw/zinc/58/01/14/397580114.db2.gz JTUYOEDUTOKCGW-RCOVLWMOSA-N 0 2 305.252 0.625 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(N2CCOCC2)cn1 ZINC000604839186 397656062 /nfs/dbraw/zinc/65/60/62/397656062.db2.gz ZPEAWWHKNPYBNX-UHFFFAOYSA-N 0 2 323.378 0.453 20 0 DCADLN Cc1n[nH]c(C)c1NS(=O)(=O)c1cccc(-n2cnnn2)c1 ZINC000090230501 158103928 /nfs/dbraw/zinc/10/39/28/158103928.db2.gz ZABVDIJDAOJYDB-UHFFFAOYSA-N 0 2 319.350 0.803 20 0 DCADLN C[C@H](CS(C)(=O)=O)N(Cc1cc(=O)n2[nH]ccc2n1)C1CC1 ZINC000113262229 158146793 /nfs/dbraw/zinc/14/67/93/158146793.db2.gz RFNRZQBXLGSCPW-SNVBAGLBSA-N 0 2 324.406 0.420 20 0 DCADLN CC[C@H]1CCCC[N@H+]1CCNC(=O)NCC[NH+]1CCOCC1 ZINC000113406368 158147442 /nfs/dbraw/zinc/14/74/42/158147442.db2.gz APTMZTANDYUJGU-HNNXBMFYSA-N 0 2 312.458 0.882 20 0 DCADLN Cc1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)ccc1C(=O)N(C)C ZINC000119168379 158183875 /nfs/dbraw/zinc/18/38/75/158183875.db2.gz IKCWXOZNQWLHKI-UHFFFAOYSA-N 0 2 318.337 0.430 20 0 DCADLN CN1CCc2ccc(NS(=O)(=O)c3cn[nH]c3)cc2C1=O ZINC000122777906 158224391 /nfs/dbraw/zinc/22/43/91/158224391.db2.gz BFUFTIFCCGAGBI-UHFFFAOYSA-N 0 2 306.347 0.839 20 0 DCADLN NC(=O)[C@@H]1CCCN1C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000123254864 158227803 /nfs/dbraw/zinc/22/78/03/158227803.db2.gz UBDWWILPZWLUJT-NSHDSACASA-N 0 2 318.308 0.807 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)N3CCCCC3)C2)[nH]1 ZINC000328822800 159032622 /nfs/dbraw/zinc/03/26/22/159032622.db2.gz IFSIAIKZFCDIBS-SNVBAGLBSA-N 0 2 315.399 0.420 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)[C@H]3CCCOC3)CC2)[nH]1 ZINC000328843866 159034120 /nfs/dbraw/zinc/03/41/20/159034120.db2.gz PSXCMNLUJFHCCH-JTQLQIEISA-N 0 2 316.383 0.199 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)N2CCOCC2)[nH]1 ZINC000329403257 159090016 /nfs/dbraw/zinc/09/00/16/159090016.db2.gz CBXHHOPNAIMTMQ-NSHDSACASA-N 0 2 309.370 0.930 20 0 DCADLN COCc1nnc([C@H]2CCCCN2S(=O)(=O)C2COC2)[nH]1 ZINC000329857128 159124142 /nfs/dbraw/zinc/12/41/42/159124142.db2.gz VDYPXKURQQWDAA-SNVBAGLBSA-N 0 2 316.383 0.207 20 0 DCADLN CO[C@@H](C)CS(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000408192404 160056871 /nfs/dbraw/zinc/05/68/71/160056871.db2.gz LZBQCWZTESRUAH-DTWKUNHWSA-N 0 2 304.372 0.054 20 0 DCADLN CO[C@H](C)CS(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000408192407 160057007 /nfs/dbraw/zinc/05/70/07/160057007.db2.gz LZBQCWZTESRUAH-RKDXNWHRSA-N 0 2 304.372 0.054 20 0 DCADLN C[C@H]1CC[C@@H](C(=O)NS(=O)(=O)c2cc(C(N)=O)n(C)c2)C1 ZINC000408321659 160084952 /nfs/dbraw/zinc/08/49/52/160084952.db2.gz PWGZSLAQIZGKDX-DTWKUNHWSA-N 0 2 313.379 0.365 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)C[C@H]1CCC(=O)[C@H]1C ZINC000408453720 160111598 /nfs/dbraw/zinc/11/15/98/160111598.db2.gz SMCKEQXKRFIMOF-WCBMZHEXSA-N 0 2 313.379 0.539 20 0 DCADLN Cc1cc(C(=O)NS(=O)(=O)c2cn(C)nc2C)c(C)n1C ZINC000408455709 160112084 /nfs/dbraw/zinc/11/20/84/160112084.db2.gz YOYHVYLXTIEWQF-UHFFFAOYSA-N 0 2 310.379 0.803 20 0 DCADLN C[C@H](C(=O)NC[C@H](C)N1CC[NH+](C)CC1)[NH+]1CCSCC1 ZINC000132096815 287003555 /nfs/dbraw/zinc/00/35/55/287003555.db2.gz CHUPREVUNFJTRZ-UONOGXRCSA-N 0 2 314.499 0.176 20 0 DCADLN O=C(NCc1ccc(O)c(Br)c1)c1nc(=O)[nH][nH]1 ZINC000151606240 287034895 /nfs/dbraw/zinc/03/48/95/287034895.db2.gz ZRZJVHJVTRHQSA-UHFFFAOYSA-N 0 2 313.111 0.496 20 0 DCADLN CC[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H]1CCCO1 ZINC000152342210 287040721 /nfs/dbraw/zinc/04/07/21/287040721.db2.gz RKTYBQCDFBRDBT-GHMZBOCLSA-N 0 2 318.333 0.299 20 0 DCADLN CCc1ccc(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1C ZINC000355995035 287191024 /nfs/dbraw/zinc/19/10/24/287191024.db2.gz WPNNJWRYZUYUNW-UHFFFAOYSA-N 0 2 303.322 0.636 20 0 DCADLN O=C(CN1CN=NC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000356002280 287192544 /nfs/dbraw/zinc/19/25/44/287192544.db2.gz CQOYUAWJLDHICP-UHFFFAOYSA-N 0 2 301.266 0.113 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1CC[C@@](C)(C(N)=O)C1)c2=O ZINC000358396244 287208714 /nfs/dbraw/zinc/20/87/14/287208714.db2.gz UDPKPUACLZOVKY-CQSZACIVSA-N 0 2 303.322 0.011 20 0 DCADLN CCOC1CC(CCNC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)C1 ZINC000352463442 415183546 /nfs/dbraw/zinc/18/35/46/415183546.db2.gz YKLAAWMBFNOVKB-SLTAFYQDSA-N 0 2 312.458 0.737 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC[C@H](COC(F)F)C1 ZINC000352640786 415261752 /nfs/dbraw/zinc/26/17/52/415261752.db2.gz TYJICJMVNPFMIS-LURJTMIESA-N 0 2 312.260 0.944 20 0 DCADLN CC(C)(CO)[C@H](NS(=O)(=O)NCC(F)(F)F)C1CC1 ZINC000352564295 415229074 /nfs/dbraw/zinc/22/90/74/415229074.db2.gz DCKAWOUWPPLMFY-MRVPVSSYSA-N 0 2 304.334 0.770 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N(C)C[C@@H]2CC=CCC2)n1 ZINC000342842677 415313172 /nfs/dbraw/zinc/31/31/72/415313172.db2.gz QGVQVTYMUVKEDN-CYBMUJFWSA-N 0 2 320.441 0.732 20 0 DCADLN COc1cc(C(=O)N2CCc3[nH]nnc3C2)cc(OC)c1O ZINC000343291076 415530627 /nfs/dbraw/zinc/53/06/27/415530627.db2.gz YMXAQIOKKSOIME-UHFFFAOYSA-N 0 2 304.306 0.726 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccnc(NC(=O)C2CC2)c1 ZINC000353645775 415612961 /nfs/dbraw/zinc/61/29/61/415612961.db2.gz CCMYZQXSKGEEFC-UHFFFAOYSA-N 0 2 302.294 0.184 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CC(=O)N(C)C2)c1 ZINC000424645167 287311906 /nfs/dbraw/zinc/31/19/06/287311906.db2.gz SKEDMVCRRWMLMI-CWKPULSASA-N 0 2 310.375 0.150 20 0 DCADLN CS(=O)(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000353736871 415640143 /nfs/dbraw/zinc/64/01/43/415640143.db2.gz JWUABHLIIUPWFF-UHFFFAOYSA-N 0 2 311.323 0.236 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1C[C@H](CO)c2ccccc21 ZINC000353821950 415670712 /nfs/dbraw/zinc/67/07/12/415670712.db2.gz DQTIVTAIAYFJCC-MRVPVSSYSA-N 0 2 306.347 0.725 20 0 DCADLN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)c2cccc3[nH]nnc32)O1 ZINC000119066696 415734590 /nfs/dbraw/zinc/73/45/90/415734590.db2.gz KCVIMRXYJUAJOD-BXKDBHETSA-N 0 2 317.349 0.324 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H]1CCO[C@H]1c1ccccc1 ZINC000289154731 415700656 /nfs/dbraw/zinc/70/06/56/415700656.db2.gz JFONBYGHJRLNAC-PWSUYJOCSA-N 0 2 320.374 0.837 20 0 DCADLN COCC[N@H+](C)CCNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000120255795 415761527 /nfs/dbraw/zinc/76/15/27/415761527.db2.gz HRXCPPVCFUCSHX-AWEZNQCLSA-N 0 2 321.425 0.538 20 0 DCADLN Cn1cc(N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2=O)cn1 ZINC000290309611 415788930 /nfs/dbraw/zinc/78/89/30/415788930.db2.gz GXQLFAIYBFEKQF-SECBINFHSA-N 0 2 308.235 0.496 20 0 DCADLN Cn1cc(N2CCN(C(=O)C(F)C(F)(F)F)CC2=O)cn1 ZINC000290309611 415788935 /nfs/dbraw/zinc/78/89/35/415788935.db2.gz GXQLFAIYBFEKQF-SECBINFHSA-N 0 2 308.235 0.496 20 0 DCADLN CCOC(=O)[C@@H](NC(=O)c1cnn(C)c1C)[C@@H]1CCCOC1 ZINC000334028955 415789029 /nfs/dbraw/zinc/78/90/29/415789029.db2.gz QQKHINZQPGUYKG-YPMHNXCESA-N 0 2 309.366 0.817 20 0 DCADLN CCCN1C[C@@H](C(=O)N2CCS(=O)(=O)C[C@H](C)C2)CC1=O ZINC000334025904 415789891 /nfs/dbraw/zinc/78/98/91/415789891.db2.gz HGEQRLBGAOEWBL-NEPJUHHUSA-N 0 2 316.423 0.138 20 0 DCADLN NC(=O)[C@H]1CCCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000121912751 415818977 /nfs/dbraw/zinc/81/89/77/415818977.db2.gz ZLSRWRGLROGZFY-NSHDSACASA-N 0 2 314.345 0.915 20 0 DCADLN COc1ccc2c(c1)C=C(C(=O)NCc1n[nH]c(=O)[nH]1)CO2 ZINC000126963023 415881936 /nfs/dbraw/zinc/88/19/36/415881936.db2.gz BZWFLOMJVCRWOJ-UHFFFAOYSA-N 0 2 302.290 0.199 20 0 DCADLN CC(C)(C)NC(=O)c1cccc(NC(=O)c2nc(=O)[nH][nH]2)c1 ZINC000129748758 415911496 /nfs/dbraw/zinc/91/14/96/415911496.db2.gz PHPUOBIWAUTDJE-UHFFFAOYSA-N 0 2 303.322 0.879 20 0 DCADLN CN(CCN(C)S(=O)(=O)NCC(F)(F)F)CC(F)F ZINC000337148046 415917371 /nfs/dbraw/zinc/91/73/71/415917371.db2.gz GTUCBYLGAMYDFB-UHFFFAOYSA-N 0 2 313.292 0.512 20 0 DCADLN Cc1noc(C)c1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000344178111 415859845 /nfs/dbraw/zinc/85/98/45/415859845.db2.gz XJJDXZGGLNJIED-UHFFFAOYSA-N 0 2 317.352 0.767 20 0 DCADLN CC(=O)c1c[nH]ccc1=NS(=O)(=O)c1c(C)nn(C)c1C ZINC000356536676 415946527 /nfs/dbraw/zinc/94/65/27/415946527.db2.gz VJLYLIXLJPKUJK-UHFFFAOYSA-N 0 2 308.363 0.857 20 0 DCADLN CC(=O)c1cnccc1NS(=O)(=O)c1c(C)nn(C)c1C ZINC000356536676 415946533 /nfs/dbraw/zinc/94/65/33/415946533.db2.gz VJLYLIXLJPKUJK-UHFFFAOYSA-N 0 2 308.363 0.857 20 0 DCADLN COC(=O)[C@H]1COCCN1Cc1nc(=O)c2sccc2[nH]1 ZINC000135542908 415961439 /nfs/dbraw/zinc/96/14/39/415961439.db2.gz JARPXNYORBLNID-SECBINFHSA-N 0 2 309.347 0.358 20 0 DCADLN O=S(=O)(Nc1ccnc2ncnn21)c1cccc2c1OCO2 ZINC000337766441 416006838 /nfs/dbraw/zinc/00/68/38/416006838.db2.gz MUYBPMNQCIZEDR-UHFFFAOYSA-N 0 2 319.302 0.654 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CSc2n[nH]c(=O)[nH]2)[C@H]1C ZINC000356982801 416015728 /nfs/dbraw/zinc/01/57/28/416015728.db2.gz NXFIVKMATKGMFD-BQBZGAKWSA-N 0 2 300.340 0.012 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@H](CO)CC1CCCC1 ZINC000338022096 416034623 /nfs/dbraw/zinc/03/46/23/416034623.db2.gz KTNPLBCCGKCDQH-VIFPVBQESA-N 0 2 304.334 0.914 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N3CCCCCC3)cc-2c(=O)[nH]1 ZINC000175322817 416102271 /nfs/dbraw/zinc/10/22/71/416102271.db2.gz LLNQFWFHQRNMTN-UHFFFAOYSA-N 0 2 324.362 0.176 20 0 DCADLN COC(=O)c1cnc(NS(=O)(=O)Cc2ccccc2)cn1 ZINC000345687867 416133795 /nfs/dbraw/zinc/13/37/95/416133795.db2.gz GPUOYUQLNLRNBG-UHFFFAOYSA-N 0 2 307.331 1.205 20 0 DCADLN Cn1cnn(-c2ccc(NS(=O)(=O)c3cn[nH]c3)cc2)c1=O ZINC000179884427 416191719 /nfs/dbraw/zinc/19/17/19/416191719.db2.gz GFNWPSQLIKWMPI-UHFFFAOYSA-N 0 2 320.334 0.095 20 0 DCADLN COCCOC[C@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358337763 416225350 /nfs/dbraw/zinc/22/53/50/416225350.db2.gz CASWKUJXIRIZOR-VIFPVBQESA-N 0 2 308.338 0.455 20 0 DCADLN Cc1noc([C@H](C)NC(=O)c2c[nH]c3c(cnn3C)c2=O)n1 ZINC000358337167 416225525 /nfs/dbraw/zinc/22/55/25/416225525.db2.gz LGJDRJUMOLVTKD-LURJTMIESA-N 0 2 302.294 0.856 20 0 DCADLN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358355957 416228016 /nfs/dbraw/zinc/22/80/16/416228016.db2.gz QDWLAYHKWIKELH-KWQFWETISA-N 0 2 317.349 0.318 20 0 DCADLN CC(=O)N1CCCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)CC1 ZINC000358357769 416228045 /nfs/dbraw/zinc/22/80/45/416228045.db2.gz YVXQBVKBFFUCPN-UHFFFAOYSA-N 0 2 317.349 0.368 20 0 DCADLN COc1ccc(C(C)=O)cc1CC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000358357724 416228656 /nfs/dbraw/zinc/22/86/56/416228656.db2.gz OHAQZVBGEGNZEY-UHFFFAOYSA-N 0 2 304.306 0.580 20 0 DCADLN Cc1cnc(N)c(S(=O)(=O)Nc2ccc(C(N)=O)nc2)c1 ZINC000358589756 416257923 /nfs/dbraw/zinc/25/79/23/416257923.db2.gz KUBLEBSWAWCRQF-UHFFFAOYSA-N 0 2 307.335 0.267 20 0 DCADLN CC(C)c1ccccc1NC(=O)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000342064262 416277113 /nfs/dbraw/zinc/27/71/13/416277113.db2.gz FURTXUVVTIILOG-UHFFFAOYSA-N 0 2 303.322 0.889 20 0 DCADLN CN(C)C(=O)c1cccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c1 ZINC000359525561 416349866 /nfs/dbraw/zinc/34/98/66/416349866.db2.gz NRONMVILSUIBST-UHFFFAOYSA-N 0 2 317.349 0.575 20 0 DCADLN Cc1nn(C)c(C)c1-c1cc(C(=O)NCc2n[nH]c(=O)[nH]2)[nH]n1 ZINC000359830692 416361728 /nfs/dbraw/zinc/36/17/28/416361728.db2.gz KOVGETDMMMAMTF-UHFFFAOYSA-N 0 2 316.325 0.181 20 0 DCADLN CCOC(=O)[C@@H](CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000360594338 416398453 /nfs/dbraw/zinc/39/84/53/416398453.db2.gz IYRZWFIQNXVSDN-LLVKDONJSA-N 0 2 319.317 0.232 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(C(=O)c2cc[nH]c2)cc1 ZINC000361493709 416440754 /nfs/dbraw/zinc/44/07/54/416440754.db2.gz UOVXGPDIRFHFCG-UHFFFAOYSA-N 0 2 311.301 0.999 20 0 DCADLN C[C@H](C(=O)N1CCCC1)[NH+]1CCN(C(=O)[C@@H]([NH3+])C2CCC2)CC1 ZINC000423434201 416511167 /nfs/dbraw/zinc/51/11/67/416511167.db2.gz QESSYGWANVSQPN-HIFRSBDPSA-N 0 2 322.453 0.269 20 0 DCADLN COC(=O)c1ccc(O)cc1NS(=O)(=O)c1cnn(C)c1 ZINC000436667532 416568429 /nfs/dbraw/zinc/56/84/29/416568429.db2.gz UDTBEKYNYDJENS-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1cccc(-c2nnnn2C)c1 ZINC000437416890 416583833 /nfs/dbraw/zinc/58/38/33/416583833.db2.gz BIBDSEKAXAVFGD-UHFFFAOYSA-N 0 2 319.350 0.411 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N1C[C@@H]3CC[C@H](O)C[C@@H]3C1)c2=O ZINC000425053333 416680664 /nfs/dbraw/zinc/68/06/64/416680664.db2.gz XYPHFNSIEGPQAR-AXFHLTTASA-N 0 2 316.361 0.907 20 0 DCADLN CCC(CC)(CNC(=O)NCC[N@@H+]1CCOC[C@H]1C)C(=O)[O-] ZINC000424404737 416640459 /nfs/dbraw/zinc/64/04/59/416640459.db2.gz GXWGWWIMJBRRQM-GFCCVEGCSA-N 0 2 315.414 0.897 20 0 DCADLN CCC(CC)(CNC(=O)NCC[N@H+]1CCOC[C@H]1C)C(=O)[O-] ZINC000424404737 416640463 /nfs/dbraw/zinc/64/04/63/416640463.db2.gz GXWGWWIMJBRRQM-GFCCVEGCSA-N 0 2 315.414 0.897 20 0 DCADLN COc1cc[nH+]cc1NC(=O)C(=O)NC[C@@H](CC(C)C)C(=O)[O-] ZINC000424432325 416643898 /nfs/dbraw/zinc/64/38/98/416643898.db2.gz DXSQPUJSIQQONM-SNVBAGLBSA-N 0 2 323.349 0.892 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)[C@@H]2CCNC2=O)c1 ZINC000424755661 416666798 /nfs/dbraw/zinc/66/67/98/416666798.db2.gz RMNLCPNYBINKAD-XUSGNXJCSA-N 0 2 310.375 0.150 20 0 DCADLN CC[C@H](CNS(C)(=O)=O)NC(=O)c1cccc2[nH]nnc21 ZINC000514778236 416674669 /nfs/dbraw/zinc/67/46/69/416674669.db2.gz VEVJMWQIZZNPCU-MRVPVSSYSA-N 0 2 311.367 0.016 20 0 DCADLN C[C@@H](C(=O)Nc1ncccc1O)[C@H](C)NC(=O)c1ncn[nH]1 ZINC000614827430 416711782 /nfs/dbraw/zinc/71/17/82/416711782.db2.gz ROSFLFVEQAIMLN-SFYZADRCSA-N 0 2 304.310 0.298 20 0 DCADLN C[C@@H](C(=O)Nc1ncccc1O)[C@H](C)NC(=O)c1nc[nH]n1 ZINC000614827430 416711786 /nfs/dbraw/zinc/71/17/86/416711786.db2.gz ROSFLFVEQAIMLN-SFYZADRCSA-N 0 2 304.310 0.298 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1cccc(NC(N)=O)c1 ZINC000554898758 416711981 /nfs/dbraw/zinc/71/19/81/416711981.db2.gz IVPNUPZTELGJSI-UHFFFAOYSA-N 0 2 311.323 0.857 20 0 DCADLN NC(=O)CCC1CCN(S(=O)(=O)NCC(F)(F)F)CC1 ZINC000443046367 416727644 /nfs/dbraw/zinc/72/76/44/416727644.db2.gz KECBKMJXSSHKSO-UHFFFAOYSA-N 0 2 317.333 0.361 20 0 DCADLN CCCN(CC(N)=O)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000615206020 416784795 /nfs/dbraw/zinc/78/47/95/416784795.db2.gz BKCFEAGGVAZIDO-UHFFFAOYSA-N 0 2 304.306 0.367 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=O)c1NC(=O)c1cnc2n(C)[nH]cc-2c1=O ZINC000427136543 416803463 /nfs/dbraw/zinc/80/34/63/416803463.db2.gz NUOYPFZEUBPIOZ-UHFFFAOYSA-N 0 2 316.277 0.436 20 0 DCADLN COC(=O)C[C@H](C)CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000518561133 416867342 /nfs/dbraw/zinc/86/73/42/416867342.db2.gz HCYHYQZUCBOFSY-NXEZZACHSA-N 0 2 310.354 0.806 20 0 DCADLN COCC[C@H](NC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+])c1ccc(C)o1 ZINC000565294918 416961457 /nfs/dbraw/zinc/96/14/57/416961457.db2.gz XTYZRRNVMOBWNE-KBPBESRZSA-N 0 2 324.425 0.950 20 0 DCADLN CCO[C@@H]1C[C@H]([NH2+]C[C@H](O)C[N@H+]2C[C@@H](C)O[C@@H](C)C2)[C@@H]1OC ZINC000565298985 416963250 /nfs/dbraw/zinc/96/32/50/416963250.db2.gz FKJJXBSRMNADRR-OHQCYIMMSA-N 0 2 316.442 0.239 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@@H]2CCO)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000428601003 416911118 /nfs/dbraw/zinc/91/11/18/416911118.db2.gz QEEYDWFWZAYBSN-SECBINFHSA-N 0 2 318.333 0.731 20 0 DCADLN CC[C@@H](NC(=O)C1=NN(c2ccc(F)cc2)CC1=O)C(N)=O ZINC000428826401 416925971 /nfs/dbraw/zinc/92/59/71/416925971.db2.gz KPBJTQRBHBSEAM-SNVBAGLBSA-N 0 2 306.297 0.711 20 0 DCADLN O=C(NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)c1ccc2oc(=O)nc-2[n-]1 ZINC000615861367 416926695 /nfs/dbraw/zinc/92/66/95/416926695.db2.gz ZDNQVHJMWKLIOY-AOOOYVTPSA-N 0 2 318.333 0.521 20 0 DCADLN O=C(NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)c1ccc2oc(=O)nc-2[n-]1 ZINC000615861367 416926700 /nfs/dbraw/zinc/92/67/00/416926700.db2.gz ZDNQVHJMWKLIOY-AOOOYVTPSA-N 0 2 318.333 0.521 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(N2CCC2=O)c1 ZINC000447794843 417029304 /nfs/dbraw/zinc/02/93/04/417029304.db2.gz MDKBDGZYKJBQFI-UHFFFAOYSA-N 0 2 306.347 0.958 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)CNC(=O)C1CC1 ZINC000642219035 417033767 /nfs/dbraw/zinc/03/37/67/417033767.db2.gz LMGKFDIUGFACNT-UHFFFAOYSA-N 0 2 320.374 0.882 20 0 DCADLN CC(C)c1n[nH]c(C(N)=O)c1NC(=O)Cc1cncc(O)c1 ZINC000616162678 416978229 /nfs/dbraw/zinc/97/82/29/416978229.db2.gz KPUJWOUNVPELCI-UHFFFAOYSA-N 0 2 303.322 0.914 20 0 DCADLN Cc1cc(CNc2nc(N)nc(N)[nH+]2)cc(N2CCCC2)[nH+]1 ZINC000624231760 416988552 /nfs/dbraw/zinc/98/85/52/416988552.db2.gz JXANMJNWSSIBFW-UHFFFAOYSA-N 0 2 300.370 0.034 20 0 DCADLN CC(C)CC(=O)NCCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000565602370 416997942 /nfs/dbraw/zinc/99/79/42/416997942.db2.gz USOSNGZPFDSVMY-NSHDSACASA-N 0 2 323.397 0.769 20 0 DCADLN CCn1nc(C[N@H+]2CCN3C(=O)[C@H]([NH3+])C[C@H]3C2)c2ccccc21 ZINC000521271487 417001525 /nfs/dbraw/zinc/00/15/25/417001525.db2.gz IQVAZVHIOBEVCB-GXTWGEPZSA-N 0 2 313.405 0.800 20 0 DCADLN COC(=O)C[C@]1(NC(=O)c2cccc3[nH]nnc32)CCOC1 ZINC000525872950 417158525 /nfs/dbraw/zinc/15/85/25/417158525.db2.gz GSFPRSFPBXANRS-CQSZACIVSA-N 0 2 304.306 0.410 20 0 DCADLN O=C1CCC[C@H]1[C@H]1COCCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC000526135038 417188327 /nfs/dbraw/zinc/18/83/27/417188327.db2.gz ZAUFOXJQSGZGAF-QWHCGFSZSA-N 0 2 316.361 0.593 20 0 DCADLN O=C(N[C@H](CCO)C1CCOCC1)c1cccc2[nH]nnc21 ZINC000527114643 417214175 /nfs/dbraw/zinc/21/41/75/417214175.db2.gz UYGZKENJHYQDHK-GFCCVEGCSA-N 0 2 304.350 0.865 20 0 DCADLN CC[C@@H](NC(=O)C[NH+]1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC000569180831 417222603 /nfs/dbraw/zinc/22/26/03/417222603.db2.gz JJBWEUFPQCLGRI-GFCCVEGCSA-N 0 2 306.366 0.183 20 0 DCADLN CCOC[C@@H](O)CNC(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000433355334 417255385 /nfs/dbraw/zinc/25/53/85/417255385.db2.gz VSDMTXKSBTWSGV-JTQLQIEISA-N 0 2 305.334 0.771 20 0 DCADLN C[C@@H]1[C@H](CO)CCCN1C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000414032806 417255907 /nfs/dbraw/zinc/25/59/07/417255907.db2.gz KWSPTWJDCMXXEB-ZJUUUORDSA-N 0 2 304.350 0.907 20 0 DCADLN CN(CC1N=NC(=O)O1)C(=O)Cn1nnnc1-c1ccsc1 ZINC000622115019 417397176 /nfs/dbraw/zinc/39/71/76/417397176.db2.gz FWGPKIJEMKFORZ-UHFFFAOYSA-N 0 2 321.322 0.149 20 0 DCADLN COc1ccc(OC)c(C[N@@H+]2CCN3C(=O)[C@H]([NH3+])C[C@H]3C2)c1 ZINC000570827921 417397164 /nfs/dbraw/zinc/39/71/64/417397164.db2.gz QPFOPHQSBPIQGA-GXTWGEPZSA-N 0 2 305.378 0.448 20 0 DCADLN C[C@@H]1[C@H](CO)CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000622108277 417397705 /nfs/dbraw/zinc/39/77/05/417397705.db2.gz QTZGCYQCHOXKHB-BDAKNGLRSA-N 0 2 318.333 0.669 20 0 DCADLN COc1cc(C[N@@H+]2CCN3C(=O)[C@@H]([NH3+])C[C@@H]3C2)cc(OC)c1O ZINC000570529540 417366660 /nfs/dbraw/zinc/36/66/60/417366660.db2.gz QLSRIAAUFMEUOB-NEPJUHHUSA-N 0 2 321.377 0.153 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000574919559 417493431 /nfs/dbraw/zinc/49/34/31/417493431.db2.gz DSUVSJZBASFQCC-UMNHJUIQSA-N 0 2 300.355 0.239 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-])C(=O)OC ZINC000574919559 417493437 /nfs/dbraw/zinc/49/34/37/417493437.db2.gz DSUVSJZBASFQCC-UMNHJUIQSA-N 0 2 300.355 0.239 20 0 DCADLN O=C([O-])c1cccc(N[C@@H]2CCN(C3CCOCC3)C2=O)[nH+]1 ZINC000634963194 417551636 /nfs/dbraw/zinc/55/16/36/417551636.db2.gz MTBLKZUWYDKXNT-LLVKDONJSA-N 0 2 305.334 0.972 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@]1(C)CCC(=O)N1)c2=O ZINC000457187875 417694952 /nfs/dbraw/zinc/69/49/52/417694952.db2.gz NATGGPUPNYELKS-AWEZNQCLSA-N 0 2 303.322 0.072 20 0 DCADLN CC[C@@H]1COC(C)(C)CN1C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651985418 417829561 /nfs/dbraw/zinc/82/95/61/417829561.db2.gz PQISJCPCCZQJJJ-LLVKDONJSA-N 0 2 323.397 0.635 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc2c(c1)CCC(=O)N2C ZINC000629288387 417772799 /nfs/dbraw/zinc/77/27/99/417772799.db2.gz PYPAYGYIQGMMIL-UHFFFAOYSA-N 0 2 321.362 0.525 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC[C@@H](O)C23CCCC3)[nH]1 ZINC000651822526 417790260 /nfs/dbraw/zinc/79/02/60/417790260.db2.gz AEUSXWSBJZFHNA-LLVKDONJSA-N 0 2 321.381 0.125 20 0 DCADLN CO[C@@](C)([C@H](C)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CC1 ZINC000651850882 417799444 /nfs/dbraw/zinc/79/94/44/417799444.db2.gz WGASFSCFIGXINV-BONVTDFDSA-N 0 2 323.397 0.539 20 0 DCADLN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)C(=O)Nc1ncccc1O ZINC000646202130 417805659 /nfs/dbraw/zinc/80/56/59/417805659.db2.gz IXPPBZJIIBXRRO-HTQZYQBOSA-N 0 2 304.310 0.298 20 0 DCADLN Cc1cc(=NC(=O)C(=O)N[C@@H](C)COCC2CC2)[nH]nc1C ZINC000652154511 417853606 /nfs/dbraw/zinc/85/36/06/417853606.db2.gz HTRXFXZNEKSYKZ-JTQLQIEISA-N 0 2 306.366 0.385 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)N2CC[C@H]3C[N@H+](C)C[C@H]32)cn1 ZINC000646934924 417956523 /nfs/dbraw/zinc/95/65/23/417956523.db2.gz XWSSKOVKGOGMMH-GXFFZTMASA-N 0 2 300.366 0.586 20 0 DCADLN O=C([O-])c1ccc(N2CCC(C(=O)N3CCOCC3)CC2)[nH+]c1 ZINC000647448644 418010916 /nfs/dbraw/zinc/01/09/16/418010916.db2.gz UWKPZNBVPXNZQT-UHFFFAOYSA-N 0 2 319.361 0.855 20 0 DCADLN C[C@@]1(C2CCN(c3[nH+]cccc3C(=O)[O-])CC2)NC(=O)NC1=O ZINC000647451020 418011075 /nfs/dbraw/zinc/01/10/75/418011075.db2.gz ITKVLFUUTFFMTK-HNNXBMFYSA-N 0 2 318.333 0.594 20 0 DCADLN CN(C)S(=O)(=O)[C@@H]1CCCN(c2[nH+]cccc2C(=O)[O-])C1 ZINC000647455289 418011573 /nfs/dbraw/zinc/01/15/73/418011573.db2.gz URZOFTMVGZFUON-SNVBAGLBSA-N 0 2 313.379 0.640 20 0 DCADLN O=C1CCCN1c1cnc(NCCCc2n[nH]c(=O)[nH]2)cn1 ZINC000663677230 418045990 /nfs/dbraw/zinc/04/59/90/418045990.db2.gz YEQHBBBGGDRTPE-UHFFFAOYSA-N 0 2 303.326 0.472 20 0 DCADLN O=C([O-])[C@]1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC=CCC1 ZINC000663130392 417994608 /nfs/dbraw/zinc/99/46/08/417994608.db2.gz HTJCZEVCEJWSSG-CZUORRHYSA-N 0 2 308.378 0.731 20 0 DCADLN CCC(CC)(C(=O)[O-])C(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000653294536 418055298 /nfs/dbraw/zinc/05/52/98/418055298.db2.gz QJZBRARUHCKKEX-UHFFFAOYSA-N 0 2 313.398 0.286 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NCC1(C(=O)[O-])CCC1)[NH+]1CCOCC1 ZINC000659396007 418090924 /nfs/dbraw/zinc/09/09/24/418090924.db2.gz CRIBFENRDBQJNG-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN CCn1cc[nH+]c1[C@H](C)NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000659406974 418092429 /nfs/dbraw/zinc/09/24/29/418092429.db2.gz XMOVEWJEAPPERX-FIXISWKDSA-N 0 2 322.365 0.707 20 0 DCADLN CC(C)C[C@H](CNC(=O)C(=O)NCCn1cc[nH+]c1)CC(=O)[O-] ZINC000659645228 418136339 /nfs/dbraw/zinc/13/63/39/418136339.db2.gz WFLVHCSCXTZOAR-LBPRGKRZSA-N 0 2 324.381 0.253 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000659786342 418181903 /nfs/dbraw/zinc/18/19/03/418181903.db2.gz WBFAOIDMLYHBND-UKRRQHHQSA-N 0 2 319.405 0.640 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000659786342 418181907 /nfs/dbraw/zinc/18/19/07/418181907.db2.gz WBFAOIDMLYHBND-UKRRQHHQSA-N 0 2 319.405 0.640 20 0 DCADLN C[C@H]1C[C@H](NC(=O)N2CC[N@@H+]3C[C@H](O)C[C@H]3C2)c2[nH+]ccn21 ZINC000654583151 418163717 /nfs/dbraw/zinc/16/37/17/418163717.db2.gz JACJJQPIRNAGAM-RVMXOQNASA-N 0 2 305.382 0.349 20 0 DCADLN O=C(c1cnn(CCF)c1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000648893025 418170825 /nfs/dbraw/zinc/17/08/25/418170825.db2.gz CGGRGKYGZOZPOP-VIFPVBQESA-N 0 2 308.317 0.696 20 0 DCADLN CC(C)(C)CCC1(O)CC(C(=O)N=c2cc(C(N)=O)[nH][nH]2)C1 ZINC000649178706 418206375 /nfs/dbraw/zinc/20/63/75/418206375.db2.gz AHBLFBCPRFMLCZ-UHFFFAOYSA-N 0 2 308.382 0.836 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)N3CC[C@@H]4C[C@@H]4C3)cc-2c(=O)[nH]1 ZINC000660017827 418219298 /nfs/dbraw/zinc/21/92/98/418219298.db2.gz LERILBGKUZKOEL-HTQZYQBOSA-N 0 2 322.346 0.467 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)C(C)(C)C(N)=O ZINC000655049997 418219998 /nfs/dbraw/zinc/21/99/98/418219998.db2.gz FAWGANFDXCDCCJ-UHFFFAOYSA-N 0 2 303.322 0.936 20 0 DCADLN COCCN(C[C@@H](O)C(F)(F)F)C(=O)c1n[nH]c(C)c1O ZINC000655199261 418236805 /nfs/dbraw/zinc/23/68/05/418236805.db2.gz LSGKTTKMPYZOGW-SSDOTTSWSA-N 0 2 311.260 0.436 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)c2cc(=O)[nH]c(C(C)C)c2)[nH]n1 ZINC000650817732 418326543 /nfs/dbraw/zinc/32/65/43/418326543.db2.gz MAUYZSYICCKPIK-UHFFFAOYSA-N 0 2 315.333 0.734 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@@H]2CCc3ccccc3O2)[nH]n1 ZINC000650819555 418327351 /nfs/dbraw/zinc/32/73/51/418327351.db2.gz YFUBTUTXFLTUGG-ZDUSSCGKSA-N 0 2 312.329 0.590 20 0 DCADLN CN(Cc1c[nH]c[nH+]1)C(=O)NCCN1CC[N@H+](C)C(C)(C)C1 ZINC000661130502 418317206 /nfs/dbraw/zinc/31/72/06/418317206.db2.gz OESFVXLBVHZQCT-UHFFFAOYSA-N 0 2 308.430 0.577 20 0 DCADLN O=C([O-])c1cc(N2CCC[C@@](O)(C(=O)N3CCCC3)C2)cc[nH+]1 ZINC000650772137 418323291 /nfs/dbraw/zinc/32/32/91/418323291.db2.gz PQJJRRXTCHANDD-INIZCTEOSA-N 0 2 319.361 0.734 20 0 DCADLN Cn1nccc1[C@H]1[C@H](Nc2cc[nH+]c(C(=O)[O-])c2)CC(=O)N1C ZINC000650770557 418323818 /nfs/dbraw/zinc/32/38/18/418323818.db2.gz YZHPCMMTDTUXRV-QMTHXVAHSA-N 0 2 315.333 0.319 20 0 DCADLN O=C(NCCn1cc[nH+]c1)C(=O)N[C@@H]1CCC[N@@H+]2CCCC[C@@H]12 ZINC000656286685 418339624 /nfs/dbraw/zinc/33/96/24/418339624.db2.gz PBOMNTMOLFVOSZ-KGLIPLIRSA-N 0 2 319.409 0.132 20 0 DCADLN CN(C(=O)N[C@@H]1CC[N@H+](C(C)(C)C)C1)[C@H]1CC[NH+]2CCO[C@H]1C2 ZINC000661512697 418342357 /nfs/dbraw/zinc/34/23/57/418342357.db2.gz LXWJSBDYDJHOAF-ILXRZTDVSA-N 0 2 324.469 0.974 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1n[nH]cc1SC)[C@H]1CCOC1 ZINC000656730172 418374452 /nfs/dbraw/zinc/37/44/52/418374452.db2.gz APWQKJDWKOWHTB-DTWKUNHWSA-N 0 2 321.424 0.925 20 0 DCADLN CCc1nnc(NS(=O)(=O)c2cc(C)cc(C(N)=O)c2)o1 ZINC000656733737 418375295 /nfs/dbraw/zinc/37/52/95/418375295.db2.gz DYNSNWBAILZWJX-UHFFFAOYSA-N 0 2 310.335 0.840 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H](C)CCc2ccco2)[nH]1 ZINC000651496540 418384595 /nfs/dbraw/zinc/38/45/95/418384595.db2.gz BLIQHWZPEXTZEU-LLVKDONJSA-N 0 2 319.365 0.950 20 0 DCADLN CCCCOCCCNC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651494279 418384702 /nfs/dbraw/zinc/38/47/02/418384702.db2.gz ZURUNNXPRDISDE-UHFFFAOYSA-N 0 2 311.386 0.542 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@@H](CO)C2CCCCC2)[nH]1 ZINC000651507329 418385210 /nfs/dbraw/zinc/38/52/10/418385210.db2.gz SWUXAPWPPSNCAI-LBPRGKRZSA-N 0 2 323.397 0.277 20 0 DCADLN COCCN(CC(C)C)C(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651524059 418387542 /nfs/dbraw/zinc/38/75/42/418387542.db2.gz NKAVZPNLCOBLPG-UHFFFAOYSA-N 0 2 311.386 0.350 20 0 DCADLN O=C([O-])CN1CC[C@@H](N2CC[NH+](Cc3cccs3)CC2)C1=O ZINC000662205906 418389412 /nfs/dbraw/zinc/38/94/12/418389412.db2.gz NPOAEMNPICRPMF-CYBMUJFWSA-N 0 2 323.418 0.551 20 0 DCADLN COc1ccc2c(c1)CC[N@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC000662221547 418390091 /nfs/dbraw/zinc/39/00/91/418390091.db2.gz ARUDXVRYMKDJPG-CQSZACIVSA-N 0 2 304.346 0.739 20 0 DCADLN COc1ccc2c(c1)CC[N@@H+]([C@@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC000662221547 418390094 /nfs/dbraw/zinc/39/00/94/418390094.db2.gz ARUDXVRYMKDJPG-CQSZACIVSA-N 0 2 304.346 0.739 20 0 DCADLN C[C@@H]1C[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C[C@@](C)(C(F)F)O1 ZINC000662238185 418392275 /nfs/dbraw/zinc/39/22/75/418392275.db2.gz ZWUXBRQWPBQWPP-ZDMBXUJBSA-N 0 2 306.309 0.416 20 0 DCADLN C[C@@H]1C[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C[C@@](C)(C(F)F)O1 ZINC000662238185 418392277 /nfs/dbraw/zinc/39/22/77/418392277.db2.gz ZWUXBRQWPBQWPP-ZDMBXUJBSA-N 0 2 306.309 0.416 20 0 DCADLN CN(CCC(=O)N(C)[C@@H]1CC[N@H+]2CCO[C@H]1C2)c1cc[nH+]cc1 ZINC000650840858 418328702 /nfs/dbraw/zinc/32/87/02/418328702.db2.gz KUXGYGLDXHMZHS-CVEARBPZSA-N 0 2 318.421 0.839 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC(C)(C)OC[C@@H]2C)[nH]1 ZINC000651750644 418408199 /nfs/dbraw/zinc/40/81/99/418408199.db2.gz RITMCHKCRMTSRZ-JTQLQIEISA-N 0 2 309.370 0.245 20 0 DCADLN C[C@@H](CN1CC[NH+](C)CC1)NC(=O)NCCCn1cc[nH+]c1 ZINC000106511981 261107874 /nfs/dbraw/zinc/10/78/74/261107874.db2.gz OUQHUFQWIULUFB-AWEZNQCLSA-N 0 2 308.430 0.208 20 0 DCADLN O=C(N[C@H]1CC[S@](=O)C1)C1=NN(c2ccccc2)CC1=O ZINC000354722547 261263417 /nfs/dbraw/zinc/26/34/17/261263417.db2.gz ZNKHRJYLEXMUIR-CWKPULSASA-N 0 2 305.359 0.829 20 0 DCADLN C[S@](=O)c1ccc(NC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000362376942 262027696 /nfs/dbraw/zinc/02/76/96/262027696.db2.gz VLXZDPOULHZTNR-FQEVSTJZSA-N 0 2 312.376 0.979 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1cccc(N2CN=NC2=O)c1 ZINC000363929154 262136841 /nfs/dbraw/zinc/13/68/41/262136841.db2.gz SZRKOFNYXFVXJQ-UHFFFAOYSA-N 0 2 320.334 0.507 20 0 DCADLN CCOC(=O)[C@H](CC)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000412324514 262185548 /nfs/dbraw/zinc/18/55/48/262185548.db2.gz NXGMMSSRXHGCHN-NXEZZACHSA-N 0 2 310.354 0.806 20 0 DCADLN CC(C)(C)c1nc(=NCCCCn2cc(C(N)=O)nn2)s[nH]1 ZINC000413610506 262218783 /nfs/dbraw/zinc/21/87/83/262218783.db2.gz QWKGNZNPILSNSO-UHFFFAOYSA-N 0 2 323.426 0.840 20 0 DCADLN CCN1CC[NH+](C[C@@H](C)CNC(=O)NCC(F)(F)C[NH3+])CC1 ZINC000417753094 262260403 /nfs/dbraw/zinc/26/04/03/262260403.db2.gz NYBADSZQHAJWEO-LBPRGKRZSA-N 0 2 321.416 0.153 20 0 DCADLN CC[C@@H](CS(=O)(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)OC ZINC000420721563 262382997 /nfs/dbraw/zinc/38/29/97/262382997.db2.gz ILVABPNNNWQQTE-ZJUUUORDSA-N 0 2 318.399 0.445 20 0 DCADLN COC[C@@H](C)[C@@H](C)C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000360032623 271160845 /nfs/dbraw/zinc/16/08/45/271160845.db2.gz KUXCRLGGICUCGZ-RNFRBKRXSA-N 0 2 322.412 0.767 20 0 DCADLN CCn1ncnc1CNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000360930702 271166465 /nfs/dbraw/zinc/16/64/65/271166465.db2.gz MORYMMYFXGMJII-UHFFFAOYSA-N 0 2 314.305 0.571 20 0 DCADLN Cc1c(/C=C\C(=O)NS(=O)(=O)c2cnn(C)c2C)cnn1C ZINC000493161928 272172436 /nfs/dbraw/zinc/17/24/36/272172436.db2.gz IZYHZQZFXARIFA-WAYWQWQTSA-N 0 2 323.378 0.289 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C\c1cnn(C)c1C ZINC000493372219 272184484 /nfs/dbraw/zinc/18/44/84/272184484.db2.gz RNMDHDBCLQKCMW-WAYWQWQTSA-N 0 2 323.378 0.289 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C\c1ccncn1 ZINC000493401913 272186073 /nfs/dbraw/zinc/18/60/73/272186073.db2.gz OVDJLRVJMQXGDX-ARJAWSKDSA-N 0 2 307.335 0.037 20 0 DCADLN COC(=O)NCCCC(=O)NS(=O)(=O)c1cc(C)oc1C ZINC000494708590 272228891 /nfs/dbraw/zinc/22/88/91/272228891.db2.gz DBQKVOMDAWJSKG-UHFFFAOYSA-N 0 2 318.351 0.838 20 0 DCADLN COC(=O)NCCNC(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000496879953 272349646 /nfs/dbraw/zinc/34/96/46/272349646.db2.gz CXUKUYIYKUHSQP-UHFFFAOYSA-N 0 2 301.372 0.735 20 0 DCADLN O=c1nc2[nH]cc(S(=O)(=O)NCC3CC=CC3)cc-2c(=O)[nH]1 ZINC000528469989 287713412 /nfs/dbraw/zinc/71/34/12/287713412.db2.gz KWPNVJYLVTTWLD-UHFFFAOYSA-N 0 2 322.346 0.681 20 0 DCADLN CCc1nc(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)no1 ZINC000538695094 287882327 /nfs/dbraw/zinc/88/23/27/287882327.db2.gz COEVRQWLXLGMKR-UHFFFAOYSA-N 0 2 324.318 0.764 20 0 DCADLN NC(=O)NC(=O)CCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000544558192 287977528 /nfs/dbraw/zinc/97/75/28/287977528.db2.gz LKAIMTYTCYAPFP-UHFFFAOYSA-N 0 2 305.212 0.124 20 0 DCADLN CC(=O)N1CC[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000156434414 278055307 /nfs/dbraw/zinc/05/53/07/278055307.db2.gz GJOVLGPITZDJAR-LBPRGKRZSA-N 0 2 314.345 0.929 20 0 DCADLN CC(C)(C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)N1CCOCC1 ZINC000329678067 278263172 /nfs/dbraw/zinc/26/31/72/278263172.db2.gz QEFLWQFYAYJZAM-NSHDSACASA-N 0 2 323.397 0.327 20 0 DCADLN CCOC(=O)c1n[nH]c([C@H](CC(C)C)NC(=O)c2cn[nH]n2)n1 ZINC000548385764 288137931 /nfs/dbraw/zinc/13/79/31/288137931.db2.gz IICLPSOUMDOPMU-QMMMGPOBSA-N 0 2 321.341 0.617 20 0 DCADLN CCOC(=O)c1n[nH]c([C@H](CC(C)C)NC(=O)c2cnn[nH]2)n1 ZINC000548385764 288137936 /nfs/dbraw/zinc/13/79/36/288137936.db2.gz IICLPSOUMDOPMU-QMMMGPOBSA-N 0 2 321.341 0.617 20 0 DCADLN CC(=O)N[C@@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC000552107124 288264775 /nfs/dbraw/zinc/26/47/75/288264775.db2.gz UWWWBZCUGJKFLL-WDEREUQCSA-N 0 2 309.370 0.377 20 0 DCADLN C[C@@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@]2(CCOC2)O1 ZINC000369615148 297057634 /nfs/dbraw/zinc/05/76/34/297057634.db2.gz JRPRLWAONNPRII-BDAKNGLRSA-N 0 2 318.317 0.263 20 0 DCADLN COCC[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000582966614 337223419 /nfs/dbraw/zinc/22/34/19/337223419.db2.gz OZOGSQMBSKFGDE-KGLIPLIRSA-N 0 2 306.410 0.673 20 0 DCADLN NC(=O)[C@@]1(NC(=O)c2cc(F)c(F)c(O)c2F)CCOC1 ZINC000583153367 337247122 /nfs/dbraw/zinc/24/71/22/337247122.db2.gz LQVQSONXUHQGHZ-GFCCVEGCSA-N 0 2 304.224 0.184 20 0 DCADLN C[C@@H]1CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C[C@@H]1C(=O)[O-] ZINC000576634116 341856052 /nfs/dbraw/zinc/85/60/52/341856052.db2.gz ZCDZIJJZZVIBJZ-UTUOFQBUSA-N 0 2 306.366 0.950 20 0 DCADLN CO[C@@H](C)C(=O)N(C)CCCNC(=O)C(F)C(F)(F)F ZINC001703592353 1179606711 /nfs/dbraw/zinc/60/67/11/1179606711.db2.gz DTKMCEJEIANXCV-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN CO[C@@H](C)C(=O)N(C)CCCNC(=O)[C@H](F)C(F)(F)F ZINC001703592353 1179606719 /nfs/dbraw/zinc/60/67/19/1179606719.db2.gz DTKMCEJEIANXCV-YUMQZZPRSA-N 0 2 302.268 0.886 20 0 DCADLN COC(=O)c1c(C)[nH]c(C(O)=CS(=O)(=O)CCO)c1C ZINC000267909619 521748727 /nfs/dbraw/zinc/74/87/27/521748727.db2.gz BZSQPFMOVXANDC-UHFFFAOYSA-N 0 2 303.336 0.008 20 0 DCADLN COC(=O)c1cc(NS(=O)(=O)c2c(C)n[nH]c2C)cn1C ZINC000267804358 521895827 /nfs/dbraw/zinc/89/58/27/521895827.db2.gz RBUOKDHVTKVHAZ-UHFFFAOYSA-N 0 2 312.351 0.952 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)[C@H]2CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266902713 524143948 /nfs/dbraw/zinc/14/39/48/524143948.db2.gz LXHFJVOCYQKGFE-BDAKNGLRSA-N 0 2 318.333 0.899 20 0 DCADLN CC(C)(C)C(=O)NCCNS(=O)(=O)NCC(F)(F)F ZINC000187138715 527596917 /nfs/dbraw/zinc/59/69/17/527596917.db2.gz LLGPSFLYAWGHPM-UHFFFAOYSA-N 0 2 305.322 0.135 20 0 DCADLN CCC(CC)(NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(N)=O ZINC000299525615 528714458 /nfs/dbraw/zinc/71/44/58/528714458.db2.gz FPXSYURZQXWXPS-UHFFFAOYSA-N 0 2 319.321 0.210 20 0 DCADLN CCN1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)[C@H](C)C1=O ZINC000428732780 535646805 /nfs/dbraw/zinc/64/68/05/535646805.db2.gz BVAXCUXVNHDZPK-SECBINFHSA-N 0 2 317.349 0.367 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000314889827 546025439 /nfs/dbraw/zinc/02/54/39/546025439.db2.gz BIBBYDZNCSTDOT-CQSZACIVSA-N 0 2 306.362 0.739 20 0 DCADLN Cc1ccccc1CN(C)C(=O)C[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000314889827 546025444 /nfs/dbraw/zinc/02/54/44/546025444.db2.gz BIBBYDZNCSTDOT-CQSZACIVSA-N 0 2 306.362 0.739 20 0 DCADLN NC(=O)[C@H]1CC[C@@H]1C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000654687061 546360321 /nfs/dbraw/zinc/36/03/21/546360321.db2.gz NMHIVAGMBQLZMG-UWVGGRQHSA-N 0 2 302.290 0.480 20 0 DCADLN CC(=O)NCCCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666002847 546418835 /nfs/dbraw/zinc/41/88/35/546418835.db2.gz PPTNWMBLZBHLBD-UHFFFAOYSA-N 0 2 304.306 0.286 20 0 DCADLN COCc1nnc(CNC(=O)Nc2cnc3c(c2)COCC3)[nH]1 ZINC000666597106 546488187 /nfs/dbraw/zinc/48/81/87/546488187.db2.gz GCLUPXRGGBPBBJ-UHFFFAOYSA-N 0 2 318.337 0.741 20 0 DCADLN C[NH+](C)CCn1ccc(NC(=O)[C@H]2CCc3[nH+]c[nH]c3C2)n1 ZINC000667617205 546630109 /nfs/dbraw/zinc/63/01/09/546630109.db2.gz KXHPAPQGJXIMMB-NSHDSACASA-N 0 2 302.382 0.911 20 0 DCADLN C[NH+](C)CCn1ccc(NC(=O)[C@H]2CCc3[nH]c[nH+]c3C2)n1 ZINC000667617205 546630111 /nfs/dbraw/zinc/63/01/11/546630111.db2.gz KXHPAPQGJXIMMB-NSHDSACASA-N 0 2 302.382 0.911 20 0 DCADLN CCC[N@@H+]1CC[C@H](NC(=O)C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000668946563 546888705 /nfs/dbraw/zinc/88/87/05/546888705.db2.gz ARFGHVNSQAWOHX-QWHCGFSZSA-N 0 2 319.409 0.504 20 0 DCADLN CC[C@H]1CN(C(=O)c2n[nH]c(C)c2O)C[C@@H](C)S1(=O)=O ZINC000672514977 547368511 /nfs/dbraw/zinc/36/85/11/547368511.db2.gz TVVCNRDDAIXJEF-APPZFPTMSA-N 0 2 301.368 0.461 20 0 DCADLN C[C@H](c1ccccc1)[C@@H](CO)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000673056992 547442011 /nfs/dbraw/zinc/44/20/11/547442011.db2.gz OSMUSXODIKVZMQ-MWLCHTKSSA-N 0 2 322.390 0.883 20 0 DCADLN CCCCCS(=O)(=O)CC(=O)N(C)CC1N=NC(=O)O1 ZINC000673479703 547484615 /nfs/dbraw/zinc/48/46/15/547484615.db2.gz PBHJWBNEOJSMSH-UHFFFAOYSA-N 0 2 305.356 0.339 20 0 DCADLN Cc1ccc(N2CCC(C(=O)NCc3n[nH]c(=O)[n-]3)CC2)[nH+]c1 ZINC000673948985 547545801 /nfs/dbraw/zinc/54/58/01/547545801.db2.gz IBJNTONCQNAKAL-UHFFFAOYSA-N 0 2 316.365 0.747 20 0 DCADLN Cc1nc(C)c(S(=O)(=O)Nc2cnn(CCO)c2)s1 ZINC000674335324 547582748 /nfs/dbraw/zinc/58/27/48/547582748.db2.gz IPTCRKLYLOFKIN-UHFFFAOYSA-N 0 2 302.381 0.750 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000675967536 547723543 /nfs/dbraw/zinc/72/35/43/547723543.db2.gz QNUWIQRDEJJUDF-UHFFFAOYSA-N 0 2 305.334 0.904 20 0 DCADLN Cc1cccn2cc(CC(=O)N3CCO[C@@H](C(=O)[O-])C3)[nH+]c12 ZINC000676212792 547744233 /nfs/dbraw/zinc/74/42/33/547744233.db2.gz IHHACCOKYIBCNN-GFCCVEGCSA-N 0 2 303.318 0.497 20 0 DCADLN C[C@@H](C(=O)NCc1ccccc1F)[N@@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231717 547746815 /nfs/dbraw/zinc/74/68/15/547746815.db2.gz LYOVOGZHAIXXLX-GXFFZTMASA-N 0 2 310.325 0.616 20 0 DCADLN C[C@@H](C(=O)NCc1ccccc1F)[N@H+]1CCO[C@@H](C(=O)[O-])C1 ZINC000676231717 547746816 /nfs/dbraw/zinc/74/68/16/547746816.db2.gz LYOVOGZHAIXXLX-GXFFZTMASA-N 0 2 310.325 0.616 20 0 DCADLN CCC[C@@H](O)CC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000676740642 547810954 /nfs/dbraw/zinc/81/09/54/547810954.db2.gz APYANZPJYIOIIJ-ZCFIWIBFSA-N 0 2 308.385 0.399 20 0 DCADLN Cc1nc(SCCC(=O)N2CCC[C@H](c3nn[nH]n3)C2)n[nH]1 ZINC000678798391 548005757 /nfs/dbraw/zinc/00/57/57/548005757.db2.gz XEXZCJYCXBLYTH-VIFPVBQESA-N 0 2 322.398 0.515 20 0 DCADLN Cc1nnc(SCCC(=O)N2CCC[C@H](c3nn[nH]n3)C2)[nH]1 ZINC000678798391 548005760 /nfs/dbraw/zinc/00/57/60/548005760.db2.gz XEXZCJYCXBLYTH-VIFPVBQESA-N 0 2 322.398 0.515 20 0 DCADLN COCCc1ccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)cc1 ZINC000679204593 548033375 /nfs/dbraw/zinc/03/33/75/548033375.db2.gz MJJWQDGZPDWEND-UHFFFAOYSA-N 0 2 324.362 0.498 20 0 DCADLN O=C(N[C@@H](CO)CC1CC1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000679272106 548037911 /nfs/dbraw/zinc/03/79/11/548037911.db2.gz JXPWBOVWMDSWEL-GFCCVEGCSA-N 0 2 303.318 0.921 20 0 DCADLN CC(=O)Nc1cc(NS(=O)(=O)c2cnnn2C)ccc1F ZINC000682832871 548429773 /nfs/dbraw/zinc/42/97/73/548429773.db2.gz MSEJQJAUZBEABS-UHFFFAOYSA-N 0 2 313.314 0.713 20 0 DCADLN CC[C@@]1(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCOC1 ZINC000682979878 548444267 /nfs/dbraw/zinc/44/42/67/548444267.db2.gz WCLBEIINVOLFLW-CQSZACIVSA-N 0 2 304.306 0.735 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)c2nccs2)s1 ZINC000683539530 548497416 /nfs/dbraw/zinc/49/74/16/548497416.db2.gz MHTSVELZZKSTOU-UHFFFAOYSA-N 0 2 305.366 0.618 20 0 DCADLN CCN1OC[C@H](N(C)Cc2nc(=O)c3sccc3[nH]2)C1=O ZINC000683899438 548533528 /nfs/dbraw/zinc/53/35/28/548533528.db2.gz GRYRISKTEOLHHR-VIFPVBQESA-N 0 2 308.363 0.991 20 0 DCADLN CC(C)CCOCCNC(=O)C[N@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000826855979 601435070 /nfs/dbraw/zinc/43/50/70/601435070.db2.gz VIMYJBGNWREQLG-AWEZNQCLSA-N 0 2 304.362 0.664 20 0 DCADLN Cc1nn(Cc2ccn(C(C)C)n2)c(=O)c(-c2nn[nH]n2)c1C ZINC000737524523 599193331 /nfs/dbraw/zinc/19/33/31/599193331.db2.gz ZSWUOZLQNUHGGX-UHFFFAOYSA-N 0 2 314.353 0.866 20 0 DCADLN CC(C)(CNC(=O)N1CCC(C(=O)[O-])CC1)[NH+]1CCOCC1 ZINC000738750646 596939218 /nfs/dbraw/zinc/93/92/18/596939218.db2.gz KXZRMLHQKGNBGQ-UHFFFAOYSA-N 0 2 313.398 0.603 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)NCCC(=O)[O-])C2)o1 ZINC000818970903 597265211 /nfs/dbraw/zinc/26/52/11/597265211.db2.gz SZLVXWFLFLBNCN-NSHDSACASA-N 0 2 310.354 0.673 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)NCCC(=O)[O-])C2)o1 ZINC000818970903 597265213 /nfs/dbraw/zinc/26/52/13/597265213.db2.gz SZLVXWFLFLBNCN-NSHDSACASA-N 0 2 310.354 0.673 20 0 DCADLN COc1ccccc1C[NH+]1CCN(C(=O)NCCC(=O)[O-])CC1 ZINC000818631398 597294962 /nfs/dbraw/zinc/29/49/62/597294962.db2.gz SIBIHAWGIYPKCD-UHFFFAOYSA-N 0 2 321.377 0.997 20 0 DCADLN C[C@@H](C(=O)[O-])N(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000817750214 597462946 /nfs/dbraw/zinc/46/29/46/597462946.db2.gz KCYCLTTXUQWFAT-NSHDSACASA-N 0 2 308.382 0.564 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000262661276 597476394 /nfs/dbraw/zinc/47/63/94/597476394.db2.gz NSKSXXRWFVMUQS-SNVBAGLBSA-N 0 2 307.350 0.732 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000262661276 597476397 /nfs/dbraw/zinc/47/63/97/597476397.db2.gz NSKSXXRWFVMUQS-SNVBAGLBSA-N 0 2 307.350 0.732 20 0 DCADLN COC(=O)Nc1ccc(CNC(=O)C[N@H+](C)[C@H](C)C(=O)[O-])cc1 ZINC000818512918 597476822 /nfs/dbraw/zinc/47/68/22/597476822.db2.gz SEHXVWTYCKFGHM-SNVBAGLBSA-N 0 2 323.349 0.886 20 0 DCADLN COC(=O)Nc1ccc(CNC(=O)C[N@@H+](C)[C@H](C)C(=O)[O-])cc1 ZINC000818512918 597476824 /nfs/dbraw/zinc/47/68/24/597476824.db2.gz SEHXVWTYCKFGHM-SNVBAGLBSA-N 0 2 323.349 0.886 20 0 DCADLN O=S(=O)(Nc1nncs1)c1ccc(-c2nn[nH]n2)cc1 ZINC000823589226 607319870 /nfs/dbraw/zinc/31/98/70/607319870.db2.gz UJFGJTPHNZEBLP-UHFFFAOYSA-N 0 2 309.336 0.519 20 0 DCADLN CN1CC[N@H+](C)[C@@H](CNc2nc(Cl)c(C(=O)[O-])s2)C1 ZINC000388959256 600240164 /nfs/dbraw/zinc/24/01/64/600240164.db2.gz MVQYEDLRXDNXSV-ZETCQYMHSA-N 0 2 304.803 0.574 20 0 DCADLN CN1CC[N@@H+](C)[C@@H](CNc2nc(Cl)c(C(=O)[O-])s2)C1 ZINC000388959256 600240165 /nfs/dbraw/zinc/24/01/65/600240165.db2.gz MVQYEDLRXDNXSV-ZETCQYMHSA-N 0 2 304.803 0.574 20 0 DCADLN C[C@H]1CC[C@H](Nc2c(-c3nn[nH]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000737596318 598503759 /nfs/dbraw/zinc/50/37/59/598503759.db2.gz MEUHEFPQXKNUIT-KYZUINATSA-N 0 2 319.369 0.255 20 0 DCADLN C[N@@H+]1CCO[C@@H](CNC(=O)NCCCCCCC(=O)[O-])C1 ZINC000091676550 599831168 /nfs/dbraw/zinc/83/11/68/599831168.db2.gz PEBOEYWRGURQPF-LBPRGKRZSA-N 0 2 301.387 0.651 20 0 DCADLN C[N@H+]1CCO[C@@H](CNC(=O)NCCCCCCC(=O)[O-])C1 ZINC000091676550 599831170 /nfs/dbraw/zinc/83/11/70/599831170.db2.gz PEBOEYWRGURQPF-LBPRGKRZSA-N 0 2 301.387 0.651 20 0 DCADLN C[C@H]([C@H](C)NC(=O)NCCSCC(=O)[O-])[NH+]1CCOCC1 ZINC000736646516 599905606 /nfs/dbraw/zinc/90/56/06/599905606.db2.gz LGSUWRQIJSCRSF-WDEREUQCSA-N 0 2 319.427 0.213 20 0 DCADLN COCCC[N@H+](Cc1ccncc1)Cn1cc(C(=O)[O-])nn1 ZINC000737762707 600077933 /nfs/dbraw/zinc/07/79/33/600077933.db2.gz KKVGTGOHOTXVGT-UHFFFAOYSA-N 0 2 305.338 0.868 20 0 DCADLN COCCC[N@@H+](Cc1ccncc1)Cn1cc(C(=O)[O-])nn1 ZINC000737762707 600077929 /nfs/dbraw/zinc/07/79/29/600077929.db2.gz KKVGTGOHOTXVGT-UHFFFAOYSA-N 0 2 305.338 0.868 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@@H+]3C[C@H](O)C[C@H]3C(=O)[O-])nc12 ZINC000318341515 600138562 /nfs/dbraw/zinc/13/85/62/600138562.db2.gz UTUSWWVAOUQERT-NEPJUHHUSA-N 0 2 303.318 0.023 20 0 DCADLN Cc1cccn2c(=O)cc(C[N@H+]3C[C@H](O)C[C@H]3C(=O)[O-])nc12 ZINC000318341515 600138563 /nfs/dbraw/zinc/13/85/63/600138563.db2.gz UTUSWWVAOUQERT-NEPJUHHUSA-N 0 2 303.318 0.023 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2ccc(C(=O)[O-])cc2F)C1 ZINC000387768003 600278146 /nfs/dbraw/zinc/27/81/46/600278146.db2.gz VUNURUXWCIKYNG-SNVBAGLBSA-N 0 2 311.313 0.976 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2ccc(C(=O)[O-])cc2F)C1 ZINC000387768003 600278148 /nfs/dbraw/zinc/27/81/48/600278148.db2.gz VUNURUXWCIKYNG-SNVBAGLBSA-N 0 2 311.313 0.976 20 0 DCADLN C[N@@H+](Cc1cc[nH]n1)C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737451167 600442136 /nfs/dbraw/zinc/44/21/36/600442136.db2.gz KRGFNMVKBXRESF-CYBMUJFWSA-N 0 2 305.334 0.980 20 0 DCADLN C[N@H+](Cc1cc[nH]n1)C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737451167 600442138 /nfs/dbraw/zinc/44/21/38/600442138.db2.gz KRGFNMVKBXRESF-CYBMUJFWSA-N 0 2 305.334 0.980 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000737471651 600505706 /nfs/dbraw/zinc/50/57/06/600505706.db2.gz BXUMWEYMNNLYFB-OLZOCXBDSA-N 0 2 310.325 0.304 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000737471651 600505707 /nfs/dbraw/zinc/50/57/07/600505707.db2.gz BXUMWEYMNNLYFB-OLZOCXBDSA-N 0 2 310.325 0.304 20 0 DCADLN CC(C)(C)C(=O)NC[C@H]1CCC[N@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736241817 600538785 /nfs/dbraw/zinc/53/87/85/600538785.db2.gz UTHUCFOJXIVZDP-LLVKDONJSA-N 0 2 323.397 0.808 20 0 DCADLN CC(C)(C)C(=O)NC[C@H]1CCC[N@@H+](Cn2cc(C(=O)[O-])nn2)C1 ZINC000736241817 600538788 /nfs/dbraw/zinc/53/87/88/600538788.db2.gz UTHUCFOJXIVZDP-LLVKDONJSA-N 0 2 323.397 0.808 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@H+]2CC[C@@H](CCO)C2)n1 ZINC000738632103 600970617 /nfs/dbraw/zinc/97/06/17/600970617.db2.gz OZWYQHNOWQWSNU-VIFPVBQESA-N 0 2 313.379 0.413 20 0 DCADLN O=C([O-])Cc1csc(NC(=O)C[N@@H+]2CC[C@@H](CCO)C2)n1 ZINC000738632103 600970619 /nfs/dbraw/zinc/97/06/19/600970619.db2.gz OZWYQHNOWQWSNU-VIFPVBQESA-N 0 2 313.379 0.413 20 0 DCADLN O=C([O-])CCCCCNC(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000315294583 601108374 /nfs/dbraw/zinc/10/83/74/601108374.db2.gz JLDPTPUYKMEWTO-CYBMUJFWSA-N 0 2 313.398 0.748 20 0 DCADLN CC(C)CCOCCNC(=O)C[N@@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000826855979 601435067 /nfs/dbraw/zinc/43/50/67/601435067.db2.gz VIMYJBGNWREQLG-AWEZNQCLSA-N 0 2 304.362 0.664 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](CC(=O)N2CCO[C@@H]3CCCC[C@@H]32)C1 ZINC000833107983 601446553 /nfs/dbraw/zinc/44/65/53/601446553.db2.gz ZBZKNPTXWSFDEW-YWPYICTPSA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](CC(=O)N2CCO[C@@H]3CCCC[C@@H]32)C1 ZINC000833107983 601446554 /nfs/dbraw/zinc/44/65/54/601446554.db2.gz ZBZKNPTXWSFDEW-YWPYICTPSA-N 0 2 314.357 0.655 20 0 DCADLN COc1c(C)c[nH+]c(CNS(=O)(=O)C[C@H](C)C(=O)[O-])c1C ZINC000831852049 601784785 /nfs/dbraw/zinc/78/47/85/601784785.db2.gz OOPZCDYEQIGJMN-VIFPVBQESA-N 0 2 316.379 0.847 20 0 DCADLN C[C@@H](C(=O)N1CCC(CCC(=O)[O-])CC1)[NH+]1CCN(C)CC1 ZINC000825983389 601819023 /nfs/dbraw/zinc/81/90/23/601819023.db2.gz XFSLIHLDTBABLT-ZDUSSCGKSA-N 0 2 311.426 0.726 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N1CCN(C(=O)[O-])CC1 ZINC000736736937 602289256 /nfs/dbraw/zinc/28/92/56/602289256.db2.gz KAXDFTAFWRCUIK-UHFFFAOYSA-N 0 2 314.386 0.102 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N1CCN(C(=O)[O-])CC1 ZINC000736736937 602289258 /nfs/dbraw/zinc/28/92/58/602289258.db2.gz KAXDFTAFWRCUIK-UHFFFAOYSA-N 0 2 314.386 0.102 20 0 DCADLN O=C([O-])N1CCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)CC1 ZINC000738646805 602455999 /nfs/dbraw/zinc/45/59/99/602455999.db2.gz JAEDYZUFCNTYJA-NSHDSACASA-N 0 2 307.354 0.238 20 0 DCADLN O=C([O-])N1CCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)CC1 ZINC000738646805 602456003 /nfs/dbraw/zinc/45/60/03/602456003.db2.gz JAEDYZUFCNTYJA-NSHDSACASA-N 0 2 307.354 0.238 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000736418963 602735310 /nfs/dbraw/zinc/73/53/10/602735310.db2.gz CZLOASNRJNOOMC-QWHCGFSZSA-N 0 2 314.430 0.421 20 0 DCADLN CC(C)[C@H](NC(=O)[O-])C(=O)N1C[C@H]([NH+]2CCOCC2)[C@@H](C)C1 ZINC000736359956 603074965 /nfs/dbraw/zinc/07/49/65/603074965.db2.gz DCGQCGJLSPKNRJ-AVGNSLFASA-N 0 2 313.398 0.458 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)CCN1C(=O)[O-] ZINC000826075640 603192267 /nfs/dbraw/zinc/19/22/67/603192267.db2.gz ZUKFCTJAGHJQPK-VXGBXAGGSA-N 0 2 321.381 0.626 20 0 DCADLN C[C@@H]1CN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)CCN1C(=O)[O-] ZINC000826075640 603192268 /nfs/dbraw/zinc/19/22/68/603192268.db2.gz ZUKFCTJAGHJQPK-VXGBXAGGSA-N 0 2 321.381 0.626 20 0 DCADLN CC(C)(CNC(=O)C[C@@H]1CCCN1C(=O)[O-])[NH+]1CCOCC1 ZINC000823906466 603232903 /nfs/dbraw/zinc/23/29/03/603232903.db2.gz CYFDUSYGIFSLQB-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000737442647 603306783 /nfs/dbraw/zinc/30/67/83/603306783.db2.gz ZOMPFUKLVYPNDS-LBPRGKRZSA-N 0 2 314.386 0.102 20 0 DCADLN CC(C)CCO[C@H](C)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824239098 603508848 /nfs/dbraw/zinc/50/88/48/603508848.db2.gz QBTZGCLIFAOUBM-CHWSQXEVSA-N 0 2 315.414 0.848 20 0 DCADLN CC(C)CCO[C@H](C)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000824239098 603508853 /nfs/dbraw/zinc/50/88/53/603508853.db2.gz QBTZGCLIFAOUBM-CHWSQXEVSA-N 0 2 315.414 0.848 20 0 DCADLN C[N@@H+]1CCN(C(=O)Cc2ccc(F)cc2)[C@H](CNC(=O)[O-])C1 ZINC000828500839 603518133 /nfs/dbraw/zinc/51/81/33/603518133.db2.gz PWEQTWABAZJFSI-CYBMUJFWSA-N 0 2 309.341 0.778 20 0 DCADLN C[N@H+]1CCN(C(=O)Cc2ccc(F)cc2)[C@H](CNC(=O)[O-])C1 ZINC000828500839 603518136 /nfs/dbraw/zinc/51/81/36/603518136.db2.gz PWEQTWABAZJFSI-CYBMUJFWSA-N 0 2 309.341 0.778 20 0 DCADLN Cc1[nH]c2ccc(NC(=O)[C@@H]3C[C@@H](O)CN3C(=O)[O-])cc2[nH+]1 ZINC000830786294 603642110 /nfs/dbraw/zinc/64/21/10/603642110.db2.gz ZWZWWJIXLCIYRQ-SKDRFNHKSA-N 0 2 304.306 0.923 20 0 DCADLN CC[C@H]1CC[C@H](C(=O)N(C)CC[NH+]2CCN(C(=O)[O-])CC2)O1 ZINC000826660269 603659831 /nfs/dbraw/zinc/65/98/31/603659831.db2.gz JWSWNVYRGRGHIW-QWHCGFSZSA-N 0 2 313.398 0.698 20 0 DCADLN O=C([O-])N1CC[NH+](CCNC(=O)CC2(O)CCCCC2)CC1 ZINC000831293164 603673118 /nfs/dbraw/zinc/67/31/18/603673118.db2.gz WHXYMJMVHBKSML-UHFFFAOYSA-N 0 2 313.398 0.484 20 0 DCADLN C[C@@H](CNC(=O)N1CCN(C(=O)[O-])[C@@H](C)C1)[NH+]1CCOCC1 ZINC000824929608 603691935 /nfs/dbraw/zinc/69/19/35/603691935.db2.gz FTMJJGITWBIUTC-RYUDHWBXSA-N 0 2 314.386 0.101 20 0 DCADLN CC(C)(C(=O)NCc1ccc(=O)[nH]c1)[NH+]1CCN(C(=O)[O-])CC1 ZINC000823743422 603814727 /nfs/dbraw/zinc/81/47/27/603814727.db2.gz FOEYEUBGBYTVCZ-UHFFFAOYSA-N 0 2 322.365 0.478 20 0 DCADLN CC1(C)CN(C(=O)[O-])CC[N@@H+]1CCn1nc2ccccn2c1=O ZINC000825729508 603916329 /nfs/dbraw/zinc/91/63/29/603916329.db2.gz XSLYSIQBPOLGLF-UHFFFAOYSA-N 0 2 319.365 0.570 20 0 DCADLN CC1(C)CN(C(=O)[O-])CC[N@H+]1CCn1nc2ccccn2c1=O ZINC000825729508 603916332 /nfs/dbraw/zinc/91/63/32/603916332.db2.gz XSLYSIQBPOLGLF-UHFFFAOYSA-N 0 2 319.365 0.570 20 0 DCADLN C[C@@H](NC(=O)NCC(C)(C)NC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000825160742 604116922 /nfs/dbraw/zinc/11/69/22/604116922.db2.gz DOCZHJBESJOLOR-MNOVXSKESA-N 0 2 316.402 0.441 20 0 DCADLN CCc1cc(=O)[nH]c(CN(C)CC[NH+]2CCN(C(=O)[O-])CC2)n1 ZINC000827568422 604130356 /nfs/dbraw/zinc/13/03/56/604130356.db2.gz ACEMSAZYXSBVTH-UHFFFAOYSA-N 0 2 323.397 0.472 20 0 DCADLN C[C@H](CN(C)C(=O)[O-])C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000824848975 604153506 /nfs/dbraw/zinc/15/35/06/604153506.db2.gz QWYAOGHHIBTGDL-WOPDTQHZSA-N 0 2 301.387 0.458 20 0 DCADLN C[C@@H](c1nc(C2CC2)no1)N1CC[NH+](CCNC(=O)[O-])CC1 ZINC000825644423 604364473 /nfs/dbraw/zinc/36/44/73/604364473.db2.gz DNZPLXQFYXAPGA-JTQLQIEISA-N 0 2 309.370 0.893 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC000833001696 604448394 /nfs/dbraw/zinc/44/83/94/604448394.db2.gz QVWIVYNSVNJXAI-WDEREUQCSA-N 0 2 305.334 0.886 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CN(c2ncnc3c2C[N@H+](C)CC3)CCO1 ZINC000825371644 604453768 /nfs/dbraw/zinc/45/37/68/604453768.db2.gz HHYLQHMAZKUSAR-GXFFZTMASA-N 0 2 321.381 0.326 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CN(c2ncnc3c2C[N@@H+](C)CC3)CCO1 ZINC000825371644 604453770 /nfs/dbraw/zinc/45/37/70/604453770.db2.gz HHYLQHMAZKUSAR-GXFFZTMASA-N 0 2 321.381 0.326 20 0 DCADLN O=C([O-])[C@@]1([NH2+]CC(=O)Nc2nnc(-c3ccco3)o2)CCOC1 ZINC000833764948 604487751 /nfs/dbraw/zinc/48/77/51/604487751.db2.gz QFAYUFXPZQRQSL-CYBMUJFWSA-N 0 2 322.277 0.101 20 0 DCADLN Cc1cccn2cc(CCNC(=O)NC3CN(C(=O)[O-])C3)[nH+]c12 ZINC000830590385 604594075 /nfs/dbraw/zinc/59/40/75/604594075.db2.gz DBZCACNYXGKOBC-UHFFFAOYSA-N 0 2 317.349 0.847 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1C[N@H+](Cc2ccc(C(N)=O)cc2)CCO1 ZINC000825351207 604628043 /nfs/dbraw/zinc/62/80/43/604628043.db2.gz NCAGGSOIERSQHZ-ZWNOBZJWSA-N 0 2 307.350 0.642 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1C[N@@H+](Cc2ccc(C(N)=O)cc2)CCO1 ZINC000825351207 604628047 /nfs/dbraw/zinc/62/80/47/604628047.db2.gz NCAGGSOIERSQHZ-ZWNOBZJWSA-N 0 2 307.350 0.642 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N2CCC(n3cc[nH+]c3)CC2)C1 ZINC000832051107 604794117 /nfs/dbraw/zinc/79/41/17/604794117.db2.gz TVIWSWUNNLOPMD-LBPRGKRZSA-N 0 2 308.338 0.425 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000833586579 604803961 /nfs/dbraw/zinc/80/39/61/604803961.db2.gz GODSXFAHOOSUHL-WDEREUQCSA-N 0 2 319.427 0.052 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000833586579 604803963 /nfs/dbraw/zinc/80/39/63/604803963.db2.gz GODSXFAHOOSUHL-WDEREUQCSA-N 0 2 319.427 0.052 20 0 DCADLN COCc1nc(C[NH+]2CCC([C@@H](O)C(=O)[O-])CC2)cs1 ZINC000833725696 604985702 /nfs/dbraw/zinc/98/57/02/604985702.db2.gz DGLXBPJFPXSCSC-GFCCVEGCSA-N 0 2 300.380 0.947 20 0 DCADLN COC(=O)c1cncc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC000833717979 605033056 /nfs/dbraw/zinc/03/30/56/605033056.db2.gz WXLABWZYZWBQMY-NSHDSACASA-N 0 2 318.289 0.017 20 0 DCADLN COC(=O)c1cncc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC000833717979 605033059 /nfs/dbraw/zinc/03/30/59/605033059.db2.gz WXLABWZYZWBQMY-NSHDSACASA-N 0 2 318.289 0.017 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCOC2(CCC2)C1 ZINC000833404300 605147416 /nfs/dbraw/zinc/14/74/16/605147416.db2.gz NXTWRVXUVGGSDQ-LBPRGKRZSA-N 0 2 310.394 0.953 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCOC2(CCC2)C1 ZINC000833404300 605147420 /nfs/dbraw/zinc/14/74/20/605147420.db2.gz NXTWRVXUVGGSDQ-LBPRGKRZSA-N 0 2 310.394 0.953 20 0 DCADLN C[N@H+](CC(=O)Nc1nc(C2CC2)no1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828120807 605156353 /nfs/dbraw/zinc/15/63/53/605156353.db2.gz XKEQRWDNCFJSOU-SECBINFHSA-N 0 2 309.326 0.570 20 0 DCADLN C[N@@H+](CC(=O)Nc1nc(C2CC2)no1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828120807 605156356 /nfs/dbraw/zinc/15/63/56/605156356.db2.gz XKEQRWDNCFJSOU-SECBINFHSA-N 0 2 309.326 0.570 20 0 DCADLN C[C@H]1OCCN(C(=O)C(C)(C)[NH+]2CCN(C(=O)[O-])CC2)[C@@H]1C ZINC000826127828 605166604 /nfs/dbraw/zinc/16/66/04/605166604.db2.gz XYSUHCUUBNMKBM-VXGBXAGGSA-N 0 2 313.398 0.696 20 0 DCADLN C[C@@H](NC(=O)c1ccc(CNC(=O)[O-])o1)[C@H]1C[N@H+](C)CCO1 ZINC000825457744 605201052 /nfs/dbraw/zinc/20/10/52/605201052.db2.gz AUJDXLKVATZCOU-BXKDBHETSA-N 0 2 311.338 0.496 20 0 DCADLN C[C@@H](NC(=O)c1ccc(CNC(=O)[O-])o1)[C@H]1C[N@@H+](C)CCO1 ZINC000825457744 605201055 /nfs/dbraw/zinc/20/10/55/605201055.db2.gz AUJDXLKVATZCOU-BXKDBHETSA-N 0 2 311.338 0.496 20 0 DCADLN CC(=O)NC[C@@H]1C[N@H+](CCOc2ccccc2C(=O)[O-])CCO1 ZINC000833397654 605211183 /nfs/dbraw/zinc/21/11/83/605211183.db2.gz WFHROIYTRVAJBC-CYBMUJFWSA-N 0 2 322.361 0.601 20 0 DCADLN CC(=O)NC[C@@H]1C[N@@H+](CCOc2ccccc2C(=O)[O-])CCO1 ZINC000833397654 605211186 /nfs/dbraw/zinc/21/11/86/605211186.db2.gz WFHROIYTRVAJBC-CYBMUJFWSA-N 0 2 322.361 0.601 20 0 DCADLN O=C(CO[C@@H]1CCCN(C(=O)[O-])C1)N[C@@H]1CCc2[nH+]ccn2C1 ZINC000831514603 605282677 /nfs/dbraw/zinc/28/26/77/605282677.db2.gz YMDSHBBWAZIZBO-VXGBXAGGSA-N 0 2 322.365 0.473 20 0 DCADLN O=C([O-])N[C@@H]1CC[C@@H](C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000832254768 605291773 /nfs/dbraw/zinc/29/17/73/605291773.db2.gz MZOCERWWAUMPBO-UPJWGTAASA-N 0 2 311.382 0.356 20 0 DCADLN O=C([O-])N[C@@H]1CC[C@H](C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000832254771 605291936 /nfs/dbraw/zinc/29/19/36/605291936.db2.gz MZOCERWWAUMPBO-YNEHKIRRSA-N 0 2 311.382 0.356 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)[C@@H](C)NC(=O)[O-] ZINC000830764827 605295528 /nfs/dbraw/zinc/29/55/28/605295528.db2.gz NKGTVMFPROKYSI-SNVBAGLBSA-N 0 2 308.338 0.821 20 0 DCADLN O=C([O-])N1CCC[C@H](OCC(=O)N2CC(n3cc[nH+]c3)C2)C1 ZINC000831986212 605310189 /nfs/dbraw/zinc/31/01/89/605310189.db2.gz PJNANGRWDVFRFY-LBPRGKRZSA-N 0 2 308.338 0.425 20 0 DCADLN O=C([O-])Nc1ccc(C(=O)N2CC[NH+](CCO)CC2)c(O)c1 ZINC000832829263 605389253 /nfs/dbraw/zinc/38/92/53/605389253.db2.gz QQULDWNARAXQTQ-UHFFFAOYSA-N 0 2 309.322 0.232 20 0 DCADLN CC(C)(C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-])c1cccnc1 ZINC000833411685 605404327 /nfs/dbraw/zinc/40/43/27/605404327.db2.gz NOAFERUGHQFKAE-LBPRGKRZSA-N 0 2 302.334 0.894 20 0 DCADLN CC(C)(C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-])c1cccnc1 ZINC000833411685 605404322 /nfs/dbraw/zinc/40/43/22/605404322.db2.gz NOAFERUGHQFKAE-LBPRGKRZSA-N 0 2 302.334 0.894 20 0 DCADLN COC[C@](C)(CC(=O)[O-])NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000833721500 605457333 /nfs/dbraw/zinc/45/73/33/605457333.db2.gz VPYHQKXAIKEYED-HNNXBMFYSA-N 0 2 318.333 0.877 20 0 DCADLN Cn1cccc1C(=O)N1CC[NH+]([C@H]2CCN(C(=O)[O-])C2)CC1 ZINC000833952163 605581361 /nfs/dbraw/zinc/58/13/61/605581361.db2.gz HUWJOPDDUOUQJR-LBPRGKRZSA-N 0 2 306.366 0.535 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@@H](NC(=O)[O-])C(C)(C)C ZINC000824908097 605634249 /nfs/dbraw/zinc/63/42/49/605634249.db2.gz QRVRFYSOCUDJJF-WDEREUQCSA-N 0 2 301.387 0.506 20 0 DCADLN O=C([O-])N1CCC[C@H]1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000834016604 605988176 /nfs/dbraw/zinc/98/81/76/605988176.db2.gz FEBOTOCQCKRACH-RYUDHWBXSA-N 0 2 304.350 0.919 20 0 DCADLN Cc1nnc(CCNc2ncc(-c3nn[nH]n3)cc2Cl)[nH]1 ZINC000822439458 606199116 /nfs/dbraw/zinc/19/91/16/606199116.db2.gz BFKMVPKWJAJTRF-UHFFFAOYSA-N 0 2 305.733 0.996 20 0 DCADLN Cc1cnc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)o1 ZINC000822400062 606637050 /nfs/dbraw/zinc/63/70/50/606637050.db2.gz VJNGBEZKKXPNDP-UHFFFAOYSA-N 0 2 306.307 0.964 20 0 DCADLN Cc1cc(Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)c(C)s1 ZINC000826267401 608095896 /nfs/dbraw/zinc/09/58/96/608095896.db2.gz DHZZQGNMBLCPBX-UHFFFAOYSA-N 0 2 318.362 0.454 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(Cc2ccc3c(c2)CCC3)c1=O ZINC000826356856 608096520 /nfs/dbraw/zinc/09/65/20/608096520.db2.gz IOCJQGXUQPWCAC-UHFFFAOYSA-N 0 2 324.344 0.264 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(NS(=O)(=O)Cc2ccccc2)n1 ZINC000826357836 608101356 /nfs/dbraw/zinc/10/13/56/608101356.db2.gz SRFXUTVEORTUQS-UHFFFAOYSA-N 0 2 319.350 0.542 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccncc1 ZINC001027723351 660655996 /nfs/dbraw/zinc/65/59/96/660655996.db2.gz YLDNKNAZBVJHBB-LLVKDONJSA-N 0 2 302.338 0.300 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccncc1 ZINC001027723351 660655997 /nfs/dbraw/zinc/65/59/97/660655997.db2.gz YLDNKNAZBVJHBB-LLVKDONJSA-N 0 2 302.338 0.300 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC=CCC1 ZINC001027753950 660697341 /nfs/dbraw/zinc/69/73/41/660697341.db2.gz XIWCDFHYURODOO-NWDGAFQWSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC=CCC1 ZINC001027753950 660697342 /nfs/dbraw/zinc/69/73/42/660697342.db2.gz XIWCDFHYURODOO-NWDGAFQWSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1ncncc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027769170 660711401 /nfs/dbraw/zinc/71/14/01/660711401.db2.gz NYOCESROFUQFQO-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)ncn1 ZINC001027828811 660805633 /nfs/dbraw/zinc/80/56/33/660805633.db2.gz PVTUIEIEHOJWJW-JTQLQIEISA-N 0 2 317.353 0.003 20 0 DCADLN Cc1noc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001027957446 660971453 /nfs/dbraw/zinc/97/14/53/660971453.db2.gz YFSCKGDCJZXGCF-SNVBAGLBSA-N 0 2 320.353 0.510 20 0 DCADLN Cc1occc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000980677552 660983548 /nfs/dbraw/zinc/98/35/48/660983548.db2.gz PUBKTKWJBOJVPS-UHFFFAOYSA-N 0 2 305.338 0.760 20 0 DCADLN O=C(C1CCC(O)CC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981070020 661073443 /nfs/dbraw/zinc/07/34/43/661073443.db2.gz HZOKNNIKXUPHRO-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN O=C([C@H]1CCCOCC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981066049 661073028 /nfs/dbraw/zinc/07/30/28/661073028.db2.gz UGPVTMHKUAWFIP-LBPRGKRZSA-N 0 2 323.397 0.361 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCCN([C@@H]2CCNC2=O)CC1 ZINC000981209467 661103440 /nfs/dbraw/zinc/10/34/40/661103440.db2.gz IGRSHJQOYKUGQX-BDAKNGLRSA-N 0 2 311.279 0.310 20 0 DCADLN C[C@H]1CC[C@@H](C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000982079016 661288636 /nfs/dbraw/zinc/28/86/36/661288636.db2.gz ZYWBROSOQQQQNN-NWDGAFQWSA-N 0 2 307.398 0.981 20 0 DCADLN COCCNC(=O)C(C#N)=C([O-])c1ccc(-n2cc[nH+]c2)cc1 ZINC000181036469 665471458 /nfs/dbraw/zinc/47/14/58/665471458.db2.gz JBIRLSZHXPJCQQ-AWEZNQCLSA-N 0 2 312.329 0.957 20 0 DCADLN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC000890977631 657497042 /nfs/dbraw/zinc/49/70/42/657497042.db2.gz HARXDQASIDCAIR-BWZBUEFSSA-N 0 2 316.251 0.452 20 0 DCADLN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC000890977631 657497048 /nfs/dbraw/zinc/49/70/48/657497048.db2.gz HARXDQASIDCAIR-BWZBUEFSSA-N 0 2 316.251 0.452 20 0 DCADLN COCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC000969171566 657526128 /nfs/dbraw/zinc/52/61/28/657526128.db2.gz AECVIUPGXDXGRT-XKSSXDPKSA-N 0 2 312.263 0.735 20 0 DCADLN COCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](F)C(F)(F)F ZINC000969171566 657526133 /nfs/dbraw/zinc/52/61/33/657526133.db2.gz AECVIUPGXDXGRT-XKSSXDPKSA-N 0 2 312.263 0.735 20 0 DCADLN CN1CC[N@H+](CCS(=O)(=O)CC(=O)[O-])Cc2ccccc21 ZINC000262333074 657545966 /nfs/dbraw/zinc/54/59/66/657545966.db2.gz VZRUNQPGWMRRSX-UHFFFAOYSA-N 0 2 312.391 0.438 20 0 DCADLN CN1CC[N@@H+](CCS(=O)(=O)CC(=O)[O-])Cc2ccccc21 ZINC000262333074 657545969 /nfs/dbraw/zinc/54/59/69/657545969.db2.gz VZRUNQPGWMRRSX-UHFFFAOYSA-N 0 2 312.391 0.438 20 0 DCADLN Cc1n[nH]c(C)c1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970599339 657672822 /nfs/dbraw/zinc/67/28/22/657672822.db2.gz HJIRYBQSJYALBV-SSDOTTSWSA-N 0 2 319.369 0.101 20 0 DCADLN Cc1cc(C)c(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001010473101 657700644 /nfs/dbraw/zinc/70/06/44/657700644.db2.gz SNRDBZVOXMJKGJ-SNVBAGLBSA-N 0 2 305.338 0.724 20 0 DCADLN Cc1cscc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010484736 657822630 /nfs/dbraw/zinc/82/26/30/657822630.db2.gz YOITTWZRKBBHCN-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN Cc1nocc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000998750229 665519768 /nfs/dbraw/zinc/51/97/68/665519768.db2.gz VFWAVRQFQVXXPM-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nocc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000998750229 665519770 /nfs/dbraw/zinc/51/97/70/665519770.db2.gz VFWAVRQFQVXXPM-QMMMGPOBSA-N 0 2 309.219 0.824 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970820879 657948882 /nfs/dbraw/zinc/94/88/82/657948882.db2.gz LHSNPKDZXJDJEX-QMMMGPOBSA-N 0 2 319.369 0.046 20 0 DCADLN C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1NC(=O)c1ncc[nH]1 ZINC000949765235 657983781 /nfs/dbraw/zinc/98/37/81/657983781.db2.gz MVEJXJJOCBDBHH-BWZBUEFSSA-N 0 2 322.262 0.887 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1NC(=O)c1ncc[nH]1 ZINC000949765235 657983792 /nfs/dbraw/zinc/98/37/92/657983792.db2.gz MVEJXJJOCBDBHH-BWZBUEFSSA-N 0 2 322.262 0.887 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006868258 658118820 /nfs/dbraw/zinc/11/88/20/658118820.db2.gz AQAJWTWDRACAQH-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN CC[C@H](CO)Nc1cc(NC[C@@H]2C[N@H+]3CCC[C@@H]3CO2)nc[nH+]1 ZINC000892144864 658223063 /nfs/dbraw/zinc/22/30/63/658223063.db2.gz PKLXMNZLSUDUCU-MGPQQGTHSA-N 0 2 321.425 0.935 20 0 DCADLN CC1(C)CC[N@H+](CC(=O)N2CC[C@@H]([NH2+]Cc3cnon3)C2)C1 ZINC000969967812 658307403 /nfs/dbraw/zinc/30/74/03/658307403.db2.gz JKQYRILCLOWTOP-GFCCVEGCSA-N 0 2 307.398 0.492 20 0 DCADLN C[N@@H+]1CCO[C@H](C(=O)N2CC[C@]3(C2)C[NH+](CC2CC2)CCO3)C1 ZINC000972314356 658555484 /nfs/dbraw/zinc/55/54/84/658555484.db2.gz CQGBPWGOPXDRPZ-DOTOQJQBSA-N 0 2 323.437 0.030 20 0 DCADLN O=C(NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1cocn1 ZINC001024362849 658580748 /nfs/dbraw/zinc/58/07/48/658580748.db2.gz PXMMNPOFEQBJLJ-VIFPVBQESA-N 0 2 306.326 0.283 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1=COCCC1 ZINC001024354529 658585067 /nfs/dbraw/zinc/58/50/67/658585067.db2.gz LHKIYBMOPARPBV-LBPRGKRZSA-N 0 2 321.381 0.675 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1=COCCC1 ZINC001024354529 658585073 /nfs/dbraw/zinc/58/50/73/658585073.db2.gz LHKIYBMOPARPBV-LBPRGKRZSA-N 0 2 321.381 0.675 20 0 DCADLN Cc1ncc(C[N@@H+](C)[C@H]2CCN(C(=O)CCc3c[nH]nn3)C2)o1 ZINC000972369640 658632706 /nfs/dbraw/zinc/63/27/06/658632706.db2.gz HMKDNZUFZYUCRD-ZDUSSCGKSA-N 0 2 318.381 0.767 20 0 DCADLN CCn1nncc1C[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010622748 658710931 /nfs/dbraw/zinc/71/09/31/658710931.db2.gz CGMBZSUMOSIHMB-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN CCn1nncc1C[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010622748 658710933 /nfs/dbraw/zinc/71/09/33/658710933.db2.gz CGMBZSUMOSIHMB-SNVBAGLBSA-N 0 2 319.369 0.039 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC12CC2 ZINC001024682305 658769860 /nfs/dbraw/zinc/76/98/60/658769860.db2.gz YUVNNAPJAMVBTA-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC12CC2 ZINC001024682305 658769863 /nfs/dbraw/zinc/76/98/63/658769863.db2.gz YUVNNAPJAMVBTA-GHMZBOCLSA-N 0 2 305.382 0.781 20 0 DCADLN CC(C)[N@H+]1CCCC[C@@H]1C(=O)NC[C@@H]1CCCC[NH+]1CC(N)=O ZINC001024692423 658773488 /nfs/dbraw/zinc/77/34/88/658773488.db2.gz KMYLKVKCLYNPMX-LSDHHAIUSA-N 0 2 324.469 0.705 20 0 DCADLN CC(F)(F)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001022905913 658788910 /nfs/dbraw/zinc/78/89/10/658788910.db2.gz IOJYMMHJLWEERI-PBXRRBTRSA-N 0 2 322.205 0.230 20 0 DCADLN CN(Cc1nncn1C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971576279 658823165 /nfs/dbraw/zinc/82/31/65/658823165.db2.gz PFMCFOQIUOYMOD-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1nncn1C)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000971576279 658823172 /nfs/dbraw/zinc/82/31/72/658823172.db2.gz PFMCFOQIUOYMOD-WPRPVWTQSA-N 0 2 323.294 0.748 20 0 DCADLN CC(C)(CN=c1nn[n-]n1Cc1ccccc1)[NH+]1CCOCC1 ZINC000891492533 658889607 /nfs/dbraw/zinc/88/96/07/658889607.db2.gz WLLFLCDSMBBRCS-UHFFFAOYSA-N 0 2 316.409 0.666 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccc(F)nc1 ZINC001006939488 659024998 /nfs/dbraw/zinc/02/49/98/659024998.db2.gz OBIHFKSQDJZVQC-JTQLQIEISA-N 0 2 320.328 0.439 20 0 DCADLN Cc1ncc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC000939742433 665638003 /nfs/dbraw/zinc/63/80/03/665638003.db2.gz BDEOPTJVOKRDIZ-APPZFPTMSA-N 0 2 322.262 0.949 20 0 DCADLN O=C([O-])C1(NC(=O)[C@H]2CCn3cc[nH+]c3C2)CCSCC1 ZINC000909347895 659070892 /nfs/dbraw/zinc/07/08/92/659070892.db2.gz SZRASDIVINWKOO-JTQLQIEISA-N 0 2 309.391 0.912 20 0 DCADLN Cc1occc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006688999 659104036 /nfs/dbraw/zinc/10/40/36/659104036.db2.gz BLHRAVKUFWJARH-SNVBAGLBSA-N 0 2 305.338 0.806 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)C(F)F ZINC000976014831 659146859 /nfs/dbraw/zinc/14/68/59/659146859.db2.gz AJHCBGHJOZPVCV-DPYQTVNSSA-N 0 2 304.190 0.725 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]21)C(F)F ZINC000976014831 659146861 /nfs/dbraw/zinc/14/68/61/659146861.db2.gz AJHCBGHJOZPVCV-DPYQTVNSSA-N 0 2 304.190 0.725 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000973526816 659343660 /nfs/dbraw/zinc/34/36/60/659343660.db2.gz BEBVXZGNFSNZJF-JHJVBQTASA-N 0 2 319.409 0.057 20 0 DCADLN CC(C)(C)OC(=O)CCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000744801277 659404289 /nfs/dbraw/zinc/40/42/89/659404289.db2.gz CKXZCDXHHQHFTC-QMMMGPOBSA-N 0 2 315.395 0.781 20 0 DCADLN CCN(C(=O)[C@H]1CCCO[C@@H]1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949965795 659416816 /nfs/dbraw/zinc/41/68/16/659416816.db2.gz CJSFHNGIMIRKSW-PWSUYJOCSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@@H]3C[C@@H]3C)C[C@H]21 ZINC000976923342 659493276 /nfs/dbraw/zinc/49/32/76/659493276.db2.gz QVRYWJIXWFFJKA-ZGWNKZGNSA-N 0 2 302.378 0.490 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)[C@@H]1CC1(F)F ZINC000974555485 659570802 /nfs/dbraw/zinc/57/08/02/659570802.db2.gz JSWBHEBVURYTGO-YUMQZZPRSA-N 0 2 315.324 0.492 20 0 DCADLN COC1CC(C(=O)N[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)C1 ZINC000974714677 659642632 /nfs/dbraw/zinc/64/26/32/659642632.db2.gz HFPYZLZDKXTANY-VQXHTEKXSA-N 0 2 323.397 0.262 20 0 DCADLN COC1CC(C(=O)N[C@@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2(C)C)C1 ZINC000974714677 659642637 /nfs/dbraw/zinc/64/26/37/659642637.db2.gz HFPYZLZDKXTANY-VQXHTEKXSA-N 0 2 323.397 0.262 20 0 DCADLN CC1(C)C[N@H+](CCCO)C[C@H]1NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000974755741 659659393 /nfs/dbraw/zinc/65/93/93/659659393.db2.gz WZXMLKHJLOAPEE-DZGCQCFKSA-N 0 2 320.437 0.655 20 0 DCADLN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)c2cnc[nH]2)C1=O ZINC000725878171 659699577 /nfs/dbraw/zinc/69/95/77/659699577.db2.gz DQNZZKXCCZWOII-HNNXBMFYSA-N 0 2 313.317 0.912 20 0 DCADLN CC[C@@]1(c2ccccc2)NC(=O)N([N-]C(=O)c2c[nH+]c[nH]2)C1=O ZINC000725878171 659699581 /nfs/dbraw/zinc/69/95/81/659699581.db2.gz DQNZZKXCCZWOII-HNNXBMFYSA-N 0 2 313.317 0.912 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(CC[S@@](=O)C(F)(F)F)C2)[nH]1 ZINC000930499066 659786995 /nfs/dbraw/zinc/78/69/95/659786995.db2.gz UIEMDLKCMQXKRZ-SVWIBVJCSA-N 0 2 312.317 0.958 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000940317758 665738175 /nfs/dbraw/zinc/73/81/75/665738175.db2.gz WGQIXAVOYLVPSP-RNFRBKRXSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000940317758 665738176 /nfs/dbraw/zinc/73/81/76/665738176.db2.gz WGQIXAVOYLVPSP-RNFRBKRXSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(c1ncccc1F)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000982705799 661631745 /nfs/dbraw/zinc/63/17/45/661631745.db2.gz JNFONPJJGRSOTM-UHFFFAOYSA-N 0 2 320.328 0.393 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000982847653 661697679 /nfs/dbraw/zinc/69/76/79/661697679.db2.gz JZPUWHAAKXGGOA-APPZFPTMSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC000982847653 661697683 /nfs/dbraw/zinc/69/76/83/661697683.db2.gz JZPUWHAAKXGGOA-APPZFPTMSA-N 0 2 322.262 0.888 20 0 DCADLN CC(C)(C)C(=O)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029246451 662066338 /nfs/dbraw/zinc/06/63/38/662066338.db2.gz HOWSHNGSDCENMF-AOOOYVTPSA-N 0 2 321.381 0.301 20 0 DCADLN C[C@H]1CCC[NH+]1CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001029473695 662266304 /nfs/dbraw/zinc/26/63/04/662266304.db2.gz RTUGMGDEDNULKZ-SOUVJXGZSA-N 0 2 322.453 0.234 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)[C@@H]1CCCOC1 ZINC000909538732 662329662 /nfs/dbraw/zinc/32/96/62/662329662.db2.gz MXDWEMJYJGKQRC-LOWVWBTDSA-N 0 2 307.350 0.442 20 0 DCADLN Cn1ncnc1C[NH+](C)C[C@@H]1CCN(C(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001029672750 662447080 /nfs/dbraw/zinc/44/70/80/662447080.db2.gz NYWSUWFSLNLNKT-KBPBESRZSA-N 0 2 320.441 0.190 20 0 DCADLN C[N@@H+](C[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1)[C@@H]1CCNC1=O ZINC001029752208 662465854 /nfs/dbraw/zinc/46/58/54/662465854.db2.gz BCUVULNKIOWOLJ-GXTWGEPZSA-N 0 2 319.409 0.011 20 0 DCADLN C[C@H](C(N)=O)[N@H+](C)C1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC000985485895 662465938 /nfs/dbraw/zinc/46/59/38/662465938.db2.gz VXEWQQWEKNFPKI-RAIGVLPGSA-N 0 2 319.409 0.310 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[NH2+][C@@H](C(=O)[O-])c1ccccc1 ZINC000321077885 662592556 /nfs/dbraw/zinc/59/25/56/662592556.db2.gz BDOOOXXNVCVBOT-OAHLLOKOSA-N 0 2 321.377 0.387 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[N@@H+](C2CC2)C[C@@H]1C ZINC000866953251 662662118 /nfs/dbraw/zinc/66/21/18/662662118.db2.gz IUAMBBWJLXSBBM-DNTLEWNLSA-N 0 2 309.457 0.421 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[N@H+](C2CC2)C[C@@H]1C ZINC000866953251 662662119 /nfs/dbraw/zinc/66/21/19/662662119.db2.gz IUAMBBWJLXSBBM-DNTLEWNLSA-N 0 2 309.457 0.421 20 0 DCADLN O=C([O-])[C@@H](CC(F)(F)F)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000909673101 662720676 /nfs/dbraw/zinc/72/06/76/662720676.db2.gz ZNWFEGYIFWKJOZ-VXNVDRBHSA-N 0 2 305.256 0.967 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccncc1 ZINC000990069885 663000077 /nfs/dbraw/zinc/00/00/77/663000077.db2.gz RNAVQANYUJGIDX-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ccncc1 ZINC000990069885 663000078 /nfs/dbraw/zinc/00/00/78/663000078.db2.gz RNAVQANYUJGIDX-VIFPVBQESA-N 0 2 305.231 0.923 20 0 DCADLN C[N@H+](CC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1)C1CCC1 ZINC000938194055 663158243 /nfs/dbraw/zinc/15/82/43/663158243.db2.gz JTNSQHYUZZVIET-ZDUSSCGKSA-N 0 2 319.409 0.085 20 0 DCADLN C[N@@H+]1CCCC[C@H]1C(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000938195891 663194400 /nfs/dbraw/zinc/19/44/00/663194400.db2.gz MJWMVPOCHWKGLQ-KBPBESRZSA-N 0 2 319.409 0.085 20 0 DCADLN COCc1cccc(CNC(=O)CON=C(N)CN(C)C)c1 ZINC000902614058 663422359 /nfs/dbraw/zinc/42/23/59/663422359.db2.gz WBKPHIFLBPZHJB-UHFFFAOYSA-N 0 2 308.382 0.509 20 0 DCADLN CCc1noc(C(=O)N2CCN(Cc3ccncc3)CC2)n1 ZINC000902612634 663422391 /nfs/dbraw/zinc/42/23/91/663422391.db2.gz LIIWFYCMKNXSCE-UHFFFAOYSA-N 0 2 301.350 0.985 20 0 DCADLN Cc1cccc(OCCCNC(=O)CON=C(N)CN(C)C)c1 ZINC000902613553 663422406 /nfs/dbraw/zinc/42/24/06/663422406.db2.gz RQEODNJUJXADSU-UHFFFAOYSA-N 0 2 322.409 0.940 20 0 DCADLN COCCS(=O)(=O)Nc1cc(N(C)C)n2nc(C)cc2n1 ZINC000903645323 663472337 /nfs/dbraw/zinc/47/23/37/663472337.db2.gz PYGOXBCHNLSGHM-UHFFFAOYSA-N 0 2 313.383 0.492 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001001017723 665976071 /nfs/dbraw/zinc/97/60/71/665976071.db2.gz BAXFFLBFJASNCZ-MRVPVSSYSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001001017723 665976074 /nfs/dbraw/zinc/97/60/74/665976074.db2.gz BAXFFLBFJASNCZ-MRVPVSSYSA-N 0 2 308.235 0.498 20 0 DCADLN O=C([O-])[C@@]1(C(=O)N2CC[NH+](CCO)CC2)C[C@H]1c1ccccc1 ZINC000907736904 663715185 /nfs/dbraw/zinc/71/51/85/663715185.db2.gz UPCYEFRHOAVZHL-YOEHRIQHSA-N 0 2 318.373 0.381 20 0 DCADLN C[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C1(C(=O)[O-])CCOCC1 ZINC000907919552 663724028 /nfs/dbraw/zinc/72/40/28/663724028.db2.gz UJPTUNRCPGDTQN-RYUDHWBXSA-N 0 2 321.377 0.832 20 0 DCADLN C/C=C/C[C@@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000908747446 663767977 /nfs/dbraw/zinc/76/79/77/663767977.db2.gz LXZUHRCQMSJAOG-ZYOFXKKJSA-N 0 2 313.398 0.816 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-] ZINC000908937652 663775434 /nfs/dbraw/zinc/77/54/34/663775434.db2.gz WHJQHYXNRNKVQP-QWRGUYRKSA-N 0 2 317.361 0.304 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-] ZINC000908937652 663775435 /nfs/dbraw/zinc/77/54/35/663775435.db2.gz WHJQHYXNRNKVQP-QWRGUYRKSA-N 0 2 317.361 0.304 20 0 DCADLN CCOC[C@@H](C(=O)[O-])N(C)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000909039859 663778934 /nfs/dbraw/zinc/77/89/34/663778934.db2.gz FLJVZJIEMFOFGF-LBPRGKRZSA-N 0 2 320.349 0.965 20 0 DCADLN O=C([O-])[C@@H](CCF)NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000909082976 663780609 /nfs/dbraw/zinc/78/06/09/663780609.db2.gz SBILDIFJOSDYHQ-GHMZBOCLSA-N 0 2 310.329 0.772 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](F)CN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000910441131 663883453 /nfs/dbraw/zinc/88/34/53/663883453.db2.gz IWIOEMGXIXVANJ-CABZTGNLSA-N 0 2 304.281 0.905 20 0 DCADLN CC1(O)CCN(c2cc(N3CC[C@](F)(C(=O)[O-])C3)nc[nH+]2)CC1 ZINC000910449886 663885015 /nfs/dbraw/zinc/88/50/15/663885015.db2.gz AZHBPIKFYUQEIN-OAHLLOKOSA-N 0 2 324.356 0.831 20 0 DCADLN CC1(O)CCN(c2cc(N3CC[C@](F)(C(=O)[O-])C3)[nH+]cn2)CC1 ZINC000910449886 663885018 /nfs/dbraw/zinc/88/50/18/663885018.db2.gz AZHBPIKFYUQEIN-OAHLLOKOSA-N 0 2 324.356 0.831 20 0 DCADLN O=C([O-])[C@]1(F)CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000910457132 663886070 /nfs/dbraw/zinc/88/60/70/663886070.db2.gz UMOOOYJFKIPZJF-NHYWBVRUSA-N 0 2 324.356 0.831 20 0 DCADLN O=C([O-])[C@]1(F)CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000910457132 663886072 /nfs/dbraw/zinc/88/60/72/663886072.db2.gz UMOOOYJFKIPZJF-NHYWBVRUSA-N 0 2 324.356 0.831 20 0 DCADLN O=C([O-])[C@@H](CC1CCOCC1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000910454053 663886229 /nfs/dbraw/zinc/88/62/29/663886229.db2.gz QCNFRMBIXFPWBA-QWHCGFSZSA-N 0 2 321.377 0.832 20 0 DCADLN CN(c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000910474970 663889367 /nfs/dbraw/zinc/88/93/67/663889367.db2.gz GWQRDQAPLSIAAW-UPJWGTAASA-N 0 2 320.393 0.985 20 0 DCADLN CN(c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000910474970 663889369 /nfs/dbraw/zinc/88/93/69/663889369.db2.gz GWQRDQAPLSIAAW-UPJWGTAASA-N 0 2 320.393 0.985 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)c1cccnc1 ZINC000910981456 663964650 /nfs/dbraw/zinc/96/46/50/663964650.db2.gz DBRXYYAQSVAUHD-DGCLKSJQSA-N 0 2 300.318 0.783 20 0 DCADLN Cc1ncc(C(=O)[O-])cc1C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000911351646 664034101 /nfs/dbraw/zinc/03/41/01/664034101.db2.gz KTFSYDXNNNLOBO-JQWIXIFHSA-N 0 2 321.377 0.927 20 0 DCADLN C[C@@H](NC(=O)c1csc(C(=O)[O-])n1)[C@@H](C)[NH+]1CCOCC1 ZINC000911351727 664034537 /nfs/dbraw/zinc/03/45/37/664034537.db2.gz SQSVYPPQVHAPBD-RKDXNWHRSA-N 0 2 313.379 0.680 20 0 DCADLN Cc1cc(N(C)C)cc(C)c1CC(=O)NCc1nnc(CO)[nH]1 ZINC000912942998 664247789 /nfs/dbraw/zinc/24/77/89/664247789.db2.gz WUXRTBNKUNHKGK-UHFFFAOYSA-N 0 2 317.393 0.839 20 0 DCADLN CC1(C)CC[C@@H](C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1 ZINC000950360715 664409696 /nfs/dbraw/zinc/40/96/96/664409696.db2.gz RFQXXSOVRZZURX-LLVKDONJSA-N 0 2 307.398 0.981 20 0 DCADLN C[C@@H](C(=O)NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1)C1CC1 ZINC001030194713 664469441 /nfs/dbraw/zinc/46/94/41/664469441.db2.gz ORBFVVRDJGKDOF-SNVBAGLBSA-N 0 2 315.377 0.369 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cnc2cc[nH]c2c1 ZINC001030499900 664569250 /nfs/dbraw/zinc/56/92/50/664569250.db2.gz CLBBURRMISZXAT-UHFFFAOYSA-N 0 2 313.321 0.001 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CCCC12CC2 ZINC001014928262 664586319 /nfs/dbraw/zinc/58/63/19/664586319.db2.gz SEKCWMIASUWPPC-WDEREUQCSA-N 0 2 305.382 0.781 20 0 DCADLN Cc1cccc(C[NH+]2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)c1 ZINC001030618690 664606735 /nfs/dbraw/zinc/60/67/35/664606735.db2.gz RGUHLHUOGXFEKW-UHFFFAOYSA-N 0 2 315.333 0.205 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1Cc2ccc(F)cc21 ZINC001030847597 664685747 /nfs/dbraw/zinc/68/57/47/664685747.db2.gz KVVACMOUNKWHKB-GFCCVEGCSA-N 0 2 317.324 0.290 20 0 DCADLN CC(=O)NC1CC(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC000992419046 664709563 /nfs/dbraw/zinc/70/95/63/664709563.db2.gz YJAYEFYROIYNEL-UHFFFAOYSA-N 0 2 316.365 0.455 20 0 DCADLN C[C@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccs1 ZINC001030941722 664724650 /nfs/dbraw/zinc/72/46/50/664724650.db2.gz BHVUUEXTTZOSTE-QMMMGPOBSA-N 0 2 307.379 0.676 20 0 DCADLN CC(C)c1ccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)nc1 ZINC001030955357 664734322 /nfs/dbraw/zinc/73/43/22/664734322.db2.gz BAEJBNUZFQOYSN-UHFFFAOYSA-N 0 2 316.365 0.643 20 0 DCADLN C[C@@H](C1CC1)N(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC000730525236 664785268 /nfs/dbraw/zinc/78/52/68/664785268.db2.gz LMNSBMRPTGGFHO-VIFPVBQESA-N 0 2 301.350 0.861 20 0 DCADLN Cc1occc1CN(C)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730536914 664790668 /nfs/dbraw/zinc/79/06/68/664790668.db2.gz XFCPOXHKUWTNQP-UHFFFAOYSA-N 0 2 301.306 0.631 20 0 DCADLN CN(CCc1ccncc1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000730538421 664791185 /nfs/dbraw/zinc/79/11/85/664791185.db2.gz RBWZDDLGCUHBRH-UHFFFAOYSA-N 0 2 312.333 0.167 20 0 DCADLN CN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccccc1F ZINC000730542599 664791533 /nfs/dbraw/zinc/79/15/33/664791533.db2.gz YSGHLAWBFCKEOJ-UHFFFAOYSA-N 0 2 301.281 0.873 20 0 DCADLN CC(C)c1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)no1 ZINC001038573300 664792380 /nfs/dbraw/zinc/79/23/80/664792380.db2.gz RFSITWCYTRYXKI-SECBINFHSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cn[nH]c2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993122496 664819075 /nfs/dbraw/zinc/81/90/75/664819075.db2.gz YEBMORGDSVEBFY-SCZZXKLOSA-N 0 2 305.342 0.016 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@@H]1C1CCCC1 ZINC001031169388 664822986 /nfs/dbraw/zinc/82/29/86/664822986.db2.gz BXYBDBDLJWBHGT-VXGBXAGGSA-N 0 2 305.382 0.637 20 0 DCADLN Cc1cc2cn[nH]c2c(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)c1 ZINC000732090870 664902076 /nfs/dbraw/zinc/90/20/76/664902076.db2.gz OUPBFBLWOUNCEK-NSHDSACASA-N 0 2 313.321 0.598 20 0 DCADLN Cc1cc2c[nH]nc2c(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)c1 ZINC000732090870 664902077 /nfs/dbraw/zinc/90/20/77/664902077.db2.gz OUPBFBLWOUNCEK-NSHDSACASA-N 0 2 313.321 0.598 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2ccns2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993388419 664952978 /nfs/dbraw/zinc/95/29/78/664952978.db2.gz CEYOLFDNYTYJHE-BDAKNGLRSA-N 0 2 322.394 0.750 20 0 DCADLN C[C@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1 ZINC001031269578 664961039 /nfs/dbraw/zinc/96/10/39/664961039.db2.gz LMZWQPPMOKRIRN-JTQLQIEISA-N 0 2 301.350 0.614 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1ccccc1O ZINC001031554449 665196443 /nfs/dbraw/zinc/19/64/43/665196443.db2.gz WNAIRPOSSCOTIU-UHFFFAOYSA-N 0 2 303.322 0.078 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)NC[C@H]1COCO1 ZINC000923850376 665263693 /nfs/dbraw/zinc/26/36/93/665263693.db2.gz AWRBVJUFQJGCCT-QMMMGPOBSA-N 0 2 324.362 0.911 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001031708771 665318119 /nfs/dbraw/zinc/31/81/19/665318119.db2.gz RIYUCFBXWMHBQG-QWRGUYRKSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1(C2CC2)CCC1 ZINC001032543369 665328334 /nfs/dbraw/zinc/32/83/34/665328334.db2.gz UTNVEWMGBAEAGD-RYUDHWBXSA-N 0 2 317.393 0.876 20 0 DCADLN O=C(NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1C[C@H]1C1CC1 ZINC001001252438 666023328 /nfs/dbraw/zinc/02/33/28/666023328.db2.gz KKQKZQNXHPZOPE-QWHCGFSZSA-N 0 2 317.393 0.805 20 0 DCADLN O=C(NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1C[C@H]1C1CC1 ZINC001001252438 666023330 /nfs/dbraw/zinc/02/33/30/666023330.db2.gz KKQKZQNXHPZOPE-QWHCGFSZSA-N 0 2 317.393 0.805 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@]12C[C@@H]1CCCC2 ZINC001031964013 666031884 /nfs/dbraw/zinc/03/18/84/666031884.db2.gz TWKOVVUMWHBJHK-NHYWBVRUSA-N 0 2 305.382 0.639 20 0 DCADLN Cc1cc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)[nH]1 ZINC001032012652 666159792 /nfs/dbraw/zinc/15/97/92/666159792.db2.gz AMAZAAAEVMSRED-UHFFFAOYSA-N 0 2 304.354 0.317 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1cncs1 ZINC001002420328 666170078 /nfs/dbraw/zinc/17/00/78/666170078.db2.gz RLYFZMYIRFJBSR-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN Cn1ccc(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001002596064 666194052 /nfs/dbraw/zinc/19/40/52/666194052.db2.gz ASAUAPGUMOQZIR-UHFFFAOYSA-N 0 2 304.354 0.243 20 0 DCADLN Cc1n[nH]c(C(=O)NC2CC[NH+](Cc3nccn3C)CC2)c1[O-] ZINC001002609032 666194369 /nfs/dbraw/zinc/19/43/69/666194369.db2.gz WCNVNBKDCDXWNQ-UHFFFAOYSA-N 0 2 318.381 0.552 20 0 DCADLN CCn1ccnc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002712965 666208447 /nfs/dbraw/zinc/20/84/47/666208447.db2.gz BVBLEQMHDBCFTP-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN Cc1cccc(OCC[NH+]2CC(CNC(=O)c3nc[nH]n3)C2)c1 ZINC001032060839 666240060 /nfs/dbraw/zinc/24/00/60/666240060.db2.gz IEFUHWITWIUHQT-UHFFFAOYSA-N 0 2 315.377 0.854 20 0 DCADLN CCc1n[nH]cc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003229507 666285545 /nfs/dbraw/zinc/28/55/45/666285545.db2.gz HODSABLMOSREKD-UHFFFAOYSA-N 0 2 319.369 0.190 20 0 DCADLN CCCc1noc(CN2CCC(NC(=O)c3cnn[nH]3)CC2)n1 ZINC001003231775 666286135 /nfs/dbraw/zinc/28/61/35/666286135.db2.gz POYYYCAGOIEXRY-UHFFFAOYSA-N 0 2 319.369 0.535 20 0 DCADLN CCc1nocc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003577567 666331105 /nfs/dbraw/zinc/33/11/05/666331105.db2.gz PLTMJAPNZZEJJE-UHFFFAOYSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1ccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cc1 ZINC001032146471 666373095 /nfs/dbraw/zinc/37/30/95/666373095.db2.gz UAXUJKMMBSPYOD-UHFFFAOYSA-N 0 2 301.350 0.681 20 0 DCADLN C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003845246 666381765 /nfs/dbraw/zinc/38/17/65/666381765.db2.gz IQVJUZASNMAEGK-GXSJLCMTSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@]1(C(=O)NC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CCCOC1 ZINC001003856422 666385918 /nfs/dbraw/zinc/38/59/18/666385918.db2.gz JCOVWOWHYYWDRS-HNNXBMFYSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC=CCC1 ZINC001032192120 666403447 /nfs/dbraw/zinc/40/34/47/666403447.db2.gz ZKCRUJMCPDQWJP-UHFFFAOYSA-N 0 2 305.382 0.805 20 0 DCADLN CCc1ocnc1C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032970485 666413669 /nfs/dbraw/zinc/41/36/69/666413669.db2.gz QIVNBHYTMJFWPL-SECBINFHSA-N 0 2 320.353 0.407 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032996880 666420703 /nfs/dbraw/zinc/42/07/03/666420703.db2.gz NLIOIBLGFCQYQS-DCQANWLSSA-N 0 2 305.382 0.589 20 0 DCADLN CN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032996880 666420704 /nfs/dbraw/zinc/42/07/04/666420704.db2.gz NLIOIBLGFCQYQS-DCQANWLSSA-N 0 2 305.382 0.589 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1)C1CC1 ZINC000944433077 666428088 /nfs/dbraw/zinc/42/80/88/666428088.db2.gz LDUDUKMRKRUMAH-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1)C1CC1 ZINC000944433077 666428089 /nfs/dbraw/zinc/42/80/89/666428089.db2.gz LDUDUKMRKRUMAH-IUCAKERBSA-N 0 2 312.263 0.640 20 0 DCADLN Cc1cc[nH]c1C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033055972 666472933 /nfs/dbraw/zinc/47/29/33/666472933.db2.gz BPTREJJNKYCMEO-SNVBAGLBSA-N 0 2 304.354 0.493 20 0 DCADLN Cc1cc[nH]c1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033055972 666472936 /nfs/dbraw/zinc/47/29/36/666472936.db2.gz BPTREJJNKYCMEO-SNVBAGLBSA-N 0 2 304.354 0.493 20 0 DCADLN Cc1ncc(C(=O)N2C[C@H]([NH2+]Cc3n[nH]c(=O)[n-]3)C(C)(C)C2)[nH]1 ZINC000995548968 666486033 /nfs/dbraw/zinc/48/60/33/666486033.db2.gz VIWBPNZSYKYXGH-JTQLQIEISA-N 0 2 319.369 0.182 20 0 DCADLN CN(C(=O)C(C)(C)C1CC1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033341501 666684841 /nfs/dbraw/zinc/68/48/41/666684841.db2.gz AKFRNOCQMWZZDP-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)C(C)(C)C1CC1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033341501 666684843 /nfs/dbraw/zinc/68/48/43/666684843.db2.gz AKFRNOCQMWZZDP-NSHDSACASA-N 0 2 307.398 0.979 20 0 DCADLN Cc1ccoc1CC(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033406334 666708890 /nfs/dbraw/zinc/70/88/90/666708890.db2.gz VSDCTOXVTOSQDP-LLVKDONJSA-N 0 2 319.365 0.687 20 0 DCADLN Cc1ccoc1CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033406334 666708892 /nfs/dbraw/zinc/70/88/92/666708892.db2.gz VSDCTOXVTOSQDP-LLVKDONJSA-N 0 2 319.365 0.687 20 0 DCADLN C[C@@H]1CC[N@H+](CC(=O)N(C)[C@H]2CC[NH+](Cc3cncn3C)C2)C1 ZINC001033420666 666710973 /nfs/dbraw/zinc/71/09/73/666710973.db2.gz RMQSXJLTDXSWAZ-CABCVRRESA-N 0 2 319.453 0.795 20 0 DCADLN CN(C(=O)[C@H]1CC12CCC2)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033458419 666719170 /nfs/dbraw/zinc/71/91/70/666719170.db2.gz CHAZNIFBUOCVPP-GHMZBOCLSA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)[C@H]1CC12CCC2)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033458419 666719171 /nfs/dbraw/zinc/71/91/71/666719171.db2.gz CHAZNIFBUOCVPP-GHMZBOCLSA-N 0 2 305.382 0.733 20 0 DCADLN Cc1nc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)o1 ZINC001033525144 666743147 /nfs/dbraw/zinc/74/31/47/666743147.db2.gz LNWKLQZJAQCRJN-SNVBAGLBSA-N 0 2 320.353 0.462 20 0 DCADLN CN(C(=O)c1ccn(C)c1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005247728 666776095 /nfs/dbraw/zinc/77/60/95/666776095.db2.gz XYMQUZRBQWDQHA-UHFFFAOYSA-N 0 2 318.381 0.585 20 0 DCADLN CCN(C(=O)c1ccc[nH]1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033748573 666815796 /nfs/dbraw/zinc/81/57/96/666815796.db2.gz AJQARUHVYNPGJE-SNVBAGLBSA-N 0 2 304.354 0.575 20 0 DCADLN CCN(C(=O)c1ccc[nH]1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033748573 666815799 /nfs/dbraw/zinc/81/57/99/666815799.db2.gz AJQARUHVYNPGJE-SNVBAGLBSA-N 0 2 304.354 0.575 20 0 DCADLN CCN(C(=O)[C@H]1CC1(C)C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033800370 666829677 /nfs/dbraw/zinc/82/96/77/666829677.db2.gz UXMWDHBBBJNQNO-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@H]1CC1(C)C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033800370 666829679 /nfs/dbraw/zinc/82/96/79/666829679.db2.gz UXMWDHBBBJNQNO-GHMZBOCLSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@H]1CCCCO1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033806647 666831902 /nfs/dbraw/zinc/83/19/02/666831902.db2.gz NMRXSFCRZSMLJG-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)[C@H]1CCCCO1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033806647 666831904 /nfs/dbraw/zinc/83/19/04/666831904.db2.gz NMRXSFCRZSMLJG-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN CCN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033942025 666879604 /nfs/dbraw/zinc/87/96/04/666879604.db2.gz ULQIAECFYXQHLY-LOWDOPEQSA-N 0 2 319.409 0.979 20 0 DCADLN CCN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033942025 666879607 /nfs/dbraw/zinc/87/96/07/666879607.db2.gz ULQIAECFYXQHLY-LOWDOPEQSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccn[nH]1 ZINC001034118898 666926993 /nfs/dbraw/zinc/92/69/93/666926993.db2.gz ZCECQYUAWSJRCD-SECBINFHSA-N 0 2 305.342 0.018 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)c1ccn[nH]1 ZINC001034118898 666926994 /nfs/dbraw/zinc/92/69/94/666926994.db2.gz ZCECQYUAWSJRCD-SECBINFHSA-N 0 2 305.342 0.018 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1cncs1 ZINC001034123601 666929224 /nfs/dbraw/zinc/92/92/24/666929224.db2.gz NMEPKASHZOQRCD-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN O=C(N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCCO1 ZINC001034170554 666943530 /nfs/dbraw/zinc/94/35/30/666943530.db2.gz VUIKLHVFJNTKES-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCCO1 ZINC001034170554 666943533 /nfs/dbraw/zinc/94/35/33/666943533.db2.gz VUIKLHVFJNTKES-VXGBXAGGSA-N 0 2 323.397 0.550 20 0 DCADLN CC(C)n1ccc(COC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000735142748 666960711 /nfs/dbraw/zinc/96/07/11/666960711.db2.gz VJGGVOSISVFTLS-UHFFFAOYSA-N 0 2 316.321 0.592 20 0 DCADLN O=C(N[C@@H]1CCCC[N@@H+](CCO)C1)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001034391927 667005755 /nfs/dbraw/zinc/00/57/55/667005755.db2.gz RHMJERBQNQMFLN-OLZOCXBDSA-N 0 2 306.410 0.793 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2CCCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001034525639 667055265 /nfs/dbraw/zinc/05/52/65/667055265.db2.gz NPIBSOCKKIOHOX-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN Cn1ccc(C(=O)N[C@H]2CCCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001034525639 667055270 /nfs/dbraw/zinc/05/52/70/667055270.db2.gz NPIBSOCKKIOHOX-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN CC(=O)NC[C@@H]1CCCCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC000863815210 667185493 /nfs/dbraw/zinc/18/54/93/667185493.db2.gz GHQNZIGNMPMRMF-UWVGGRQHSA-N 0 2 312.395 0.060 20 0 DCADLN CON(C)C(=O)[C@H](C)NC(=O)c1c[nH]c2nc(C)ccc2c1=O ZINC000863943806 667196313 /nfs/dbraw/zinc/19/63/13/667196313.db2.gz NTDAMLFURHBZIW-VIFPVBQESA-N 0 2 318.333 0.782 20 0 DCADLN CCn1nncc1C[NH2+]C[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001035142101 667254591 /nfs/dbraw/zinc/25/45/91/667254591.db2.gz QHXFCEPPDGQIKJ-CYBMUJFWSA-N 0 2 317.397 0.275 20 0 DCADLN C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C1CCC1 ZINC001032471342 667295852 /nfs/dbraw/zinc/29/58/52/667295852.db2.gz SSKQVTWXHWTUOY-USWWRNFRSA-N 0 2 305.382 0.732 20 0 DCADLN Cc1cccnc1CN1CCO[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001035429743 667333143 /nfs/dbraw/zinc/33/31/43/667333143.db2.gz IROCLNIBBFHSKJ-GFCCVEGCSA-N 0 2 316.365 0.139 20 0 DCADLN CC1(C)CC[C@@H]1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001035487992 667356368 /nfs/dbraw/zinc/35/63/68/667356368.db2.gz MUNXPZDTPBFYQR-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(c1ccon1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035661440 667395544 /nfs/dbraw/zinc/39/55/44/667395544.db2.gz PLNCUVGIZRMLGB-UHFFFAOYSA-N 0 2 318.337 0.237 20 0 DCADLN CC1(C)C[C@@H]1C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035688825 667398993 /nfs/dbraw/zinc/39/89/93/667398993.db2.gz PGBZZBWTPYPKMJ-LLVKDONJSA-N 0 2 319.409 0.981 20 0 DCADLN Cc1c[nH]c(C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001006108502 667542473 /nfs/dbraw/zinc/54/24/73/667542473.db2.gz LDXZKUDWARLUNR-UHFFFAOYSA-N 0 2 319.369 0.278 20 0 DCADLN O=C(N[C@H]1C[C@@H](CO)C1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000869871785 667687866 /nfs/dbraw/zinc/68/78/66/667687866.db2.gz ZTZRVHTUTHNIJA-OCAPTIKFSA-N 0 2 320.267 0.959 20 0 DCADLN Cc1conc1C(=O)OCCOCCNC(=O)C(F)(F)F ZINC000870274188 667726531 /nfs/dbraw/zinc/72/65/31/667726531.db2.gz HXHUGDYVOMTUDR-UHFFFAOYSA-N 0 2 310.228 0.835 20 0 DCADLN CC(=O)CCC(=O)[N-]S(=O)(=O)c1ccccc1C[NH+](C)C ZINC000870645374 667749577 /nfs/dbraw/zinc/74/95/77/667749577.db2.gz ZHVHACVCUYNKBW-UHFFFAOYSA-N 0 2 312.391 0.922 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc(OCC(N)=O)cc2)S1 ZINC000742518930 667792848 /nfs/dbraw/zinc/79/28/48/667792848.db2.gz KZDJGDRBVRVSSR-VIFPVBQESA-N 0 2 322.346 0.046 20 0 DCADLN CCOC(=O)CN(CC(C)C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742589689 667794428 /nfs/dbraw/zinc/79/44/28/667794428.db2.gz DQRZHQNETAJNFI-VIFPVBQESA-N 0 2 315.395 0.591 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC[C@@H]2OCCc3ccccc32)S1 ZINC000742673289 667796730 /nfs/dbraw/zinc/79/67/30/667796730.db2.gz XJAMUMLBGVZNPZ-NWDGAFQWSA-N 0 2 319.386 0.973 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cnn(CC(F)F)c2)S1 ZINC000742685411 667797307 /nfs/dbraw/zinc/79/73/07/667797307.db2.gz ORGAPTBHSIMTSA-LURJTMIESA-N 0 2 303.294 0.643 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cccnc1SC(F)(F)F ZINC000743289952 667805858 /nfs/dbraw/zinc/80/58/58/667805858.db2.gz GXEGOFMOWJOAKH-UHFFFAOYSA-N 0 2 320.252 0.890 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cn(C)nc2C(C)(C)C)nn1C ZINC000872005110 667866280 /nfs/dbraw/zinc/86/62/80/667866280.db2.gz SDJAPIIZLHXOKC-UHFFFAOYSA-N 0 2 312.399 0.955 20 0 DCADLN O=C(Cn1cccc(C(F)(F)F)c1=O)NCc1n[nH]c(=O)[nH]1 ZINC000748006177 667916302 /nfs/dbraw/zinc/91/63/02/667916302.db2.gz FBNWBEYQHPYIKM-UHFFFAOYSA-N 0 2 317.227 0.007 20 0 DCADLN CN(CCCC(=O)NCc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000748057616 667917741 /nfs/dbraw/zinc/91/77/41/667917741.db2.gz PCPMXULANQRXCI-UHFFFAOYSA-N 0 2 313.358 0.774 20 0 DCADLN CC(C)C[C@H](CCO)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000748399681 667926271 /nfs/dbraw/zinc/92/62/71/667926271.db2.gz VAKLBFMKKOVDPN-NSHDSACASA-N 0 2 321.381 0.232 20 0 DCADLN Cc1noc(C)c1CCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000753666553 668033810 /nfs/dbraw/zinc/03/38/10/668033810.db2.gz GJQNQJAQAATBOP-JTQLQIEISA-N 0 2 310.379 0.897 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCCCn1cncn1 ZINC000760129046 668258942 /nfs/dbraw/zinc/25/89/42/668258942.db2.gz VXDGLJVRSCQORQ-UHFFFAOYSA-N 0 2 307.276 0.242 20 0 DCADLN CCOc1cccc(CCNC(=O)C[C@H]2SC(=N)NC2=O)n1 ZINC000760804130 668287824 /nfs/dbraw/zinc/28/78/24/668287824.db2.gz BIJFYIWZFJZBII-SNVBAGLBSA-N 0 2 322.390 0.695 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000760917921 668294759 /nfs/dbraw/zinc/29/47/59/668294759.db2.gz BVIVVHOFBCFPJA-WCQGTBRESA-N 0 2 317.349 0.145 20 0 DCADLN CN1CCC(=O)Nc2cc(C(=O)OCc3n[nH]c(=O)[nH]3)ccc21 ZINC000762904289 668385612 /nfs/dbraw/zinc/38/56/12/668385612.db2.gz OFZMGSNOCQMYJB-UHFFFAOYSA-N 0 2 317.305 0.646 20 0 DCADLN C[C@@H](Cc1cccc(O)c1)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000769430710 668648079 /nfs/dbraw/zinc/64/80/79/668648079.db2.gz LYKSKXOBBHWLMZ-GZMMTYOYSA-N 0 2 307.375 0.996 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](CO)c2ccc(F)cc2)S1 ZINC000771123234 668731594 /nfs/dbraw/zinc/73/15/94/668731594.db2.gz ZTTVFQMGYJQTSB-ZJUUUORDSA-N 0 2 311.338 0.532 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CC(=O)N(C2CCCC2)C1 ZINC000773101101 668792996 /nfs/dbraw/zinc/79/29/96/668792996.db2.gz LZWVNNBYFVCOLX-VIFPVBQESA-N 0 2 324.406 0.808 20 0 DCADLN CCC(=O)[C@@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000773536712 668806332 /nfs/dbraw/zinc/80/63/32/668806332.db2.gz CQBQBCAHSARDLQ-NSHDSACASA-N 0 2 317.349 0.431 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N(Cc2ccco2)C1 ZINC000774888568 668849664 /nfs/dbraw/zinc/84/96/64/668849664.db2.gz IFUSNSUPVQDXIS-QMMMGPOBSA-N 0 2 306.278 0.195 20 0 DCADLN NC(=O)N[C@@H](CC(=O)OCc1n[nH]c(=O)[nH]1)c1ccccc1 ZINC000774899562 668850254 /nfs/dbraw/zinc/85/02/54/668850254.db2.gz ZNFPIAZYQAZATM-VIFPVBQESA-N 0 2 305.294 0.353 20 0 DCADLN CC(C)C[C@@H](C)S(=O)(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000776471063 668876614 /nfs/dbraw/zinc/87/66/14/668876614.db2.gz MZFAEKVGQUMRLE-MWLCHTKSSA-N 0 2 303.384 0.759 20 0 DCADLN CC(C)C[C@@H](C)S(=O)(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000776471063 668876616 /nfs/dbraw/zinc/87/66/16/668876616.db2.gz MZFAEKVGQUMRLE-MWLCHTKSSA-N 0 2 303.384 0.759 20 0 DCADLN CC(=O)N[C@H](C(=O)NCCc1n[nH]c(=S)o1)C1CCCC1 ZINC000776535523 668877434 /nfs/dbraw/zinc/87/74/34/668877434.db2.gz FSMGSUHJKRBDDA-NSHDSACASA-N 0 2 312.395 0.712 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cccc3ncccc32)[nH]n1 ZINC000778085121 668894055 /nfs/dbraw/zinc/89/40/55/668894055.db2.gz UJKYXXHWUSPMJA-UHFFFAOYSA-N 0 2 317.330 0.858 20 0 DCADLN CC1(C)CC[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)O1 ZINC000778209408 668895120 /nfs/dbraw/zinc/89/51/20/668895120.db2.gz PRXVWQPQOYNGQI-VIFPVBQESA-N 0 2 305.338 0.145 20 0 DCADLN CCN(C[C@@H](O)C(F)(F)F)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000779190073 668908388 /nfs/dbraw/zinc/90/83/88/668908388.db2.gz BTGJCSUYRUFFKI-NTSWFWBYSA-N 0 2 313.301 0.315 20 0 DCADLN CC[C@]1(CO)CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000779315996 668909594 /nfs/dbraw/zinc/90/95/94/668909594.db2.gz ZKJGXPPPHRKBRS-HNNXBMFYSA-N 0 2 319.365 0.082 20 0 DCADLN COCCN(CC(=O)[O-])C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000780386700 668983887 /nfs/dbraw/zinc/98/38/87/668983887.db2.gz XOPBCCGESYPAJF-UHFFFAOYSA-N 0 2 324.381 0.505 20 0 DCADLN CC(C)C[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543322 668992772 /nfs/dbraw/zinc/99/27/72/668992772.db2.gz LAWKMLVQDLDULA-LBPRGKRZSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)C[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(=O)[O-] ZINC000780543322 668992776 /nfs/dbraw/zinc/99/27/76/668992776.db2.gz LAWKMLVQDLDULA-LBPRGKRZSA-N 0 2 315.414 0.896 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)NCCCNc1cccc[nH+]1 ZINC000780580062 668996831 /nfs/dbraw/zinc/99/68/31/668996831.db2.gz UMVMLYVYVADIBR-LLVKDONJSA-N 0 2 308.338 0.379 20 0 DCADLN COC(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cn1 ZINC000782808351 669123779 /nfs/dbraw/zinc/12/37/79/669123779.db2.gz DIAYQJHSLPDDML-QMMMGPOBSA-N 0 2 308.319 0.363 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCO[C@@H](CF)C1 ZINC000839805640 669144909 /nfs/dbraw/zinc/14/49/09/669144909.db2.gz XJFWNCNEMGBUFC-ZETCQYMHSA-N 0 2 300.252 0.640 20 0 DCADLN C[C@H]1CN(C)C(=O)CN1C(=O)C1=NN(c2ccccc2)CC1=O ZINC000783763508 669172900 /nfs/dbraw/zinc/17/29/00/669172900.db2.gz NPWIHZSIURMEQB-NSHDSACASA-N 0 2 314.345 0.881 20 0 DCADLN Cc1nnc(N2CCN(C(=O)C(F)C(F)(F)F)CC2)n1C ZINC000880574855 669241815 /nfs/dbraw/zinc/24/18/15/669241815.db2.gz PGDPFWNQBKCWJC-QMMMGPOBSA-N 0 2 309.267 0.673 20 0 DCADLN Cc1nnc(N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)n1C ZINC000880574855 669241820 /nfs/dbraw/zinc/24/18/20/669241820.db2.gz PGDPFWNQBKCWJC-QMMMGPOBSA-N 0 2 309.267 0.673 20 0 DCADLN CN1C[C@@H](C(=O)OCc2n[nH]c(=O)[nH]2)c2ccccc2C1=O ZINC000787098208 669350438 /nfs/dbraw/zinc/35/04/38/669350438.db2.gz GHBHPCSLMCUWAF-SNVBAGLBSA-N 0 2 302.290 0.423 20 0 DCADLN Cc1cc(NC(=O)[C@H](C)SCC(=O)NOCCO)no1 ZINC000787295263 669365300 /nfs/dbraw/zinc/36/53/00/669365300.db2.gz ONIXTCNQNJCGDZ-QMMMGPOBSA-N 0 2 303.340 0.083 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)Nc3cn[nH]n3)cc2[nH]c1=S ZINC000789926181 669521123 /nfs/dbraw/zinc/52/11/23/669521123.db2.gz NUQMMNIXZRWSIH-UHFFFAOYSA-N 0 2 302.319 0.593 20 0 DCADLN Cn1c(=O)c2ccc(C(=O)Nc3c[nH]nn3)cc2[nH]c1=S ZINC000789926181 669521124 /nfs/dbraw/zinc/52/11/24/669521124.db2.gz NUQMMNIXZRWSIH-UHFFFAOYSA-N 0 2 302.319 0.593 20 0 DCADLN CC(C)(O)CONC(=O)CSc1nnnn1C1CCCC1 ZINC000791264637 669600129 /nfs/dbraw/zinc/60/01/29/669600129.db2.gz VRBWCXCESIFAPB-UHFFFAOYSA-N 0 2 315.399 0.699 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H]1CCc2ccccc2NC1=O ZINC000883413206 669681627 /nfs/dbraw/zinc/68/16/27/669681627.db2.gz FMYKKFVWJXLMDW-CYBMUJFWSA-N 0 2 320.345 0.890 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H]1CCc2ccccc2NC1=O ZINC000883413206 669681629 /nfs/dbraw/zinc/68/16/29/669681629.db2.gz FMYKKFVWJXLMDW-CYBMUJFWSA-N 0 2 320.345 0.890 20 0 DCADLN O=C(O[C@@H]1CCNC1=O)c1nn(-c2ccccc2F)cc1O ZINC000801382181 670126709 /nfs/dbraw/zinc/12/67/09/670126709.db2.gz SRUSQENOPVZVLK-LLVKDONJSA-N 0 2 305.265 0.762 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cocn1 ZINC000949123040 670264468 /nfs/dbraw/zinc/26/44/68/670264468.db2.gz JYTYYLXACLWDOQ-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1cocn1 ZINC000949123040 670264470 /nfs/dbraw/zinc/26/44/70/670264470.db2.gz JYTYYLXACLWDOQ-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000951426113 670270123 /nfs/dbraw/zinc/27/01/23/670270123.db2.gz GRFOVQPAMUHZBI-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000951426113 670270127 /nfs/dbraw/zinc/27/01/27/670270127.db2.gz GRFOVQPAMUHZBI-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN CC(C)Nc1nc(N2CCC[C@H]2CCO)nc(NCCO)[nH+]1 ZINC000804625474 670302069 /nfs/dbraw/zinc/30/20/69/670302069.db2.gz REAMFOSAJUKWBF-NSHDSACASA-N 0 2 310.402 0.447 20 0 DCADLN CCN(C(=O)c1ccn(C)c1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951701541 670343267 /nfs/dbraw/zinc/34/32/67/670343267.db2.gz ZHCMNNFJQPCKNX-UHFFFAOYSA-N 0 2 318.381 0.504 20 0 DCADLN CCN(C(=O)[C@H]1CCOC[C@H]1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951703996 670343907 /nfs/dbraw/zinc/34/39/07/670343907.db2.gz FDBHOTNTFMYBCR-PWSUYJOCSA-N 0 2 323.397 0.216 20 0 DCADLN CCN(C(=O)c1cc(C)on1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949636092 670390935 /nfs/dbraw/zinc/39/09/35/670390935.db2.gz BZVPUUPBDCFXDA-UHFFFAOYSA-N 0 2 306.326 0.153 20 0 DCADLN CCN(C(=O)[C@@H]1CCCOCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949985525 670476645 /nfs/dbraw/zinc/47/66/45/670476645.db2.gz IXJCJGPZVMMDJS-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)C(F)C(F)(F)F ZINC000810467864 670485867 /nfs/dbraw/zinc/48/58/67/670485867.db2.gz FYNCNLCTKDPLDQ-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)[C@@H](F)C(F)(F)F ZINC000810467864 670485870 /nfs/dbraw/zinc/48/58/70/670485870.db2.gz FYNCNLCTKDPLDQ-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN CS(=O)(=O)N1CC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC000810718288 670495036 /nfs/dbraw/zinc/49/50/36/670495036.db2.gz PJZVFBDODOATCU-RQJHMYQMSA-N 0 2 306.281 0.285 20 0 DCADLN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC000810718288 670495038 /nfs/dbraw/zinc/49/50/38/670495038.db2.gz PJZVFBDODOATCU-RQJHMYQMSA-N 0 2 306.281 0.285 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2cc(O)ccc2OC)C1=O ZINC000813546796 670635069 /nfs/dbraw/zinc/63/50/69/670635069.db2.gz KFXPQGXZTMGVPQ-CQSZACIVSA-N 0 2 307.306 0.766 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cnc(Cl)cn1)[C@@H]1CCOC1 ZINC000849338092 670778603 /nfs/dbraw/zinc/77/86/03/670778603.db2.gz WEXYWCRNPWFRKE-RKDXNWHRSA-N 0 2 321.786 0.923 20 0 DCADLN CNC(=O)[C@@H](C)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858674063 671029438 /nfs/dbraw/zinc/02/94/38/671029438.db2.gz SDEBFWHSGUQHMG-ZCFIWIBFSA-N 0 2 321.255 0.322 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2C[C@]3(CCCO3)[C@@H]2C2CC2)S1 ZINC000819259744 671106672 /nfs/dbraw/zinc/10/66/72/671106672.db2.gz AXZNGWVXNOAQCQ-NURSFMCSSA-N 0 2 309.391 0.713 20 0 DCADLN Cc1oc(S(C)(=O)=O)cc1C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000859874400 671151991 /nfs/dbraw/zinc/15/19/91/671151991.db2.gz RRVRXPKCLGATJC-UHFFFAOYSA-N 0 2 301.280 0.172 20 0 DCADLN CNC(=O)COC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860308575 671198074 /nfs/dbraw/zinc/19/80/74/671198074.db2.gz TXIBYJXOKWJMSS-UHFFFAOYSA-N 0 2 308.212 0.361 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)[N-]Cc1[nH+]ccn1C(F)F ZINC000820792729 671228244 /nfs/dbraw/zinc/22/82/44/671228244.db2.gz GLPIPMAKUPCUMX-UHFFFAOYSA-N 0 2 302.328 0.340 20 0 DCADLN CCc1noc(NS(=O)(=O)c2ccc3c(c2)oc(=O)n3C)n1 ZINC000820800821 671229279 /nfs/dbraw/zinc/22/92/79/671229279.db2.gz HZMDAUWLCVMTNZ-UHFFFAOYSA-N 0 2 324.318 0.878 20 0 DCADLN Cn1c(Cl)ncc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000822736382 671340523 /nfs/dbraw/zinc/34/05/23/671340523.db2.gz OHSMDTHJPHSJCX-ZETCQYMHSA-N 0 2 310.745 0.917 20 0 DCADLN C[NH+]1Cc2ccccc2[C@H]1CNC(=O)[C@H]1C[N@@H+]2CCN1CCC2 ZINC000826710908 671497639 /nfs/dbraw/zinc/49/76/39/671497639.db2.gz VUQFEBNXPIJPGR-IAGOWNOFSA-N 0 2 314.433 0.679 20 0 DCADLN O=C(c1cc2nc[nH]c2cc1F)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000826833857 671502249 /nfs/dbraw/zinc/50/22/49/671502249.db2.gz JQNGRLLARAUEHH-NSHDSACASA-N 0 2 317.284 0.429 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@H](CO)[C@H](CO)C1 ZINC000829179068 671569513 /nfs/dbraw/zinc/56/95/13/671569513.db2.gz KWDFAOIXYYCTLW-TXEJJXNPSA-N 0 2 317.345 0.251 20 0 DCADLN C[C@@H](CN(C)C(=O)c1[nH]cnc1C(F)(F)F)c1nn[nH]n1 ZINC000832126355 671701953 /nfs/dbraw/zinc/70/19/53/671701953.db2.gz MDOWILYYBZCVSU-YFKPBYRVSA-N 0 2 303.248 0.817 20 0 DCADLN C[C@@H](CN(C)C(=O)c1nc[nH]c1C(F)(F)F)c1nn[nH]n1 ZINC000832126355 671701954 /nfs/dbraw/zinc/70/19/54/671701954.db2.gz MDOWILYYBZCVSU-YFKPBYRVSA-N 0 2 303.248 0.817 20 0 DCADLN CC(=O)NC[C@H]1C[N@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC000833397736 671747388 /nfs/dbraw/zinc/74/73/88/671747388.db2.gz XTPWJOICWVNKAT-HNNXBMFYSA-N 0 2 322.361 0.487 20 0 DCADLN CC(=O)NC[C@H]1C[N@@H+](Cc2ccc(OCC(=O)[O-])cc2)CCO1 ZINC000833397736 671747391 /nfs/dbraw/zinc/74/73/91/671747391.db2.gz XTPWJOICWVNKAT-HNNXBMFYSA-N 0 2 322.361 0.487 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@@H](CO)CC(F)F ZINC000867192471 671831419 /nfs/dbraw/zinc/83/14/19/671831419.db2.gz YYHQHLZVNMIRJK-SSDOTTSWSA-N 0 2 308.372 0.345 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NC[C@]1(O)CCCC1(C)C ZINC000867308180 672044156 /nfs/dbraw/zinc/04/41/56/672044156.db2.gz GGGWQRYXXZXWKP-ADLMAVQZSA-N 0 2 312.457 0.880 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CC[C@@H]2CCCC[C@@H]21 ZINC000843621551 672114617 /nfs/dbraw/zinc/11/46/17/672114617.db2.gz VKZROLZZHQCBIE-JQWIXIFHSA-N 0 2 301.350 0.862 20 0 DCADLN Cn1nc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1Cl ZINC000843878622 672127506 /nfs/dbraw/zinc/12/75/06/672127506.db2.gz OPAGDGRWPWBHDO-ZETCQYMHSA-N 0 2 310.745 0.917 20 0 DCADLN COC(=O)[C@@H](CC(C)(C)C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000844008361 672136763 /nfs/dbraw/zinc/13/67/63/672136763.db2.gz XGPQZBRLIXFLCR-SFYZADRCSA-N 0 2 315.395 0.637 20 0 DCADLN CCN(C(=O)Cn1[nH]cc2c(=O)ncnc1-2)[C@@H]1CCCOC1 ZINC000844857751 672206823 /nfs/dbraw/zinc/20/68/23/672206823.db2.gz CJAMNKGHVCQEKL-SNVBAGLBSA-N 0 2 305.338 0.099 20 0 DCADLN C[C@@H](CC(=O)[N-]S(=O)(=O)C[C@H]1CCCO1)n1cc[nH+]c1 ZINC000845510114 672254630 /nfs/dbraw/zinc/25/46/30/672254630.db2.gz LOGIJFQUPZZWDY-WDEREUQCSA-N 0 2 301.368 0.459 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)C[C@@H]1CCCOC1)n1cc[nH+]c1 ZINC000845566826 672263387 /nfs/dbraw/zinc/26/33/87/672263387.db2.gz PUWZNHZGUCMYHM-VXGBXAGGSA-N 0 2 315.395 0.707 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)[N-]C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845576700 672264649 /nfs/dbraw/zinc/26/46/49/672264649.db2.gz PSDJOVOOKBUQIF-SNVBAGLBSA-N 0 2 311.367 0.381 20 0 DCADLN CS(=O)(=O)C1(CNc2nc(C(F)(F)F)ns2)CC1 ZINC000393695735 685264692 /nfs/dbraw/zinc/26/46/92/685264692.db2.gz FFNWPHYTZVDMFK-UHFFFAOYSA-N 0 2 301.315 0.968 20 0 DCADLN Cc1sc2ncn(NC(=O)c3cnnn3C)c(=O)c2c1C ZINC000798848891 685347639 /nfs/dbraw/zinc/34/76/39/685347639.db2.gz JNXVPWNKRZXYCU-UHFFFAOYSA-N 0 2 304.335 0.587 20 0 DCADLN Cc1nc[nH]c1C(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024832767 693839703 /nfs/dbraw/zinc/83/97/03/693839703.db2.gz MDDHRPMBMDYPMV-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cnc(Cc2ccccc2)s1 ZINC000088280270 685092684 /nfs/dbraw/zinc/09/26/84/685092684.db2.gz INRVNIOQLFQPPH-UHFFFAOYSA-N 0 2 316.342 0.931 20 0 DCADLN CCN(C(=O)c1nccs1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000956997408 686931947 /nfs/dbraw/zinc/93/19/47/686931947.db2.gz YWHRQUQWFAVVHA-UHFFFAOYSA-N 0 2 308.367 0.313 20 0 DCADLN O=C(c1cc2c([nH]1)CCC2)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957295699 687019827 /nfs/dbraw/zinc/01/98/27/687019827.db2.gz MDWMKSAFDWIMDC-UHFFFAOYSA-N 0 2 316.365 0.285 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1occc1Cl ZINC000961695529 688702723 /nfs/dbraw/zinc/70/27/23/688702723.db2.gz GUPMGGFHXZGGHI-MTBHXBHISA-N 0 2 323.740 0.617 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1occc1Cl ZINC000961695529 688702726 /nfs/dbraw/zinc/70/27/26/688702726.db2.gz GUPMGGFHXZGGHI-MTBHXBHISA-N 0 2 323.740 0.617 20 0 DCADLN C[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1ccsn1 ZINC000966899184 689898430 /nfs/dbraw/zinc/89/84/30/689898430.db2.gz CLZDQDDBTNHRNS-WCBMZHEXSA-N 0 2 322.394 0.607 20 0 DCADLN CCN(C(=O)c1cn[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000968131319 690178107 /nfs/dbraw/zinc/17/81/07/690178107.db2.gz QWQPGCZSVOJTLZ-QMMMGPOBSA-N 0 2 323.250 0.378 20 0 DCADLN CCN(C(=O)c1cn[nH]n1)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000968131319 690178110 /nfs/dbraw/zinc/17/81/10/690178110.db2.gz QWQPGCZSVOJTLZ-QMMMGPOBSA-N 0 2 323.250 0.378 20 0 DCADLN Cc1conc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000968513674 690298383 /nfs/dbraw/zinc/29/83/83/690298383.db2.gz OJHDHGRSQLQQDO-SCZZXKLOSA-N 0 2 320.353 0.447 20 0 DCADLN O=C(c1cn[nH]c1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039520224 694376682 /nfs/dbraw/zinc/37/66/82/694376682.db2.gz SFVNTVQSHSDILW-PHIMTYICSA-N 0 2 317.353 0.113 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCOC1 ZINC000972980397 690499898 /nfs/dbraw/zinc/49/98/98/690499898.db2.gz OYPWLXOUIOOKBS-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCOC1 ZINC000972980397 690499901 /nfs/dbraw/zinc/49/99/01/690499901.db2.gz OYPWLXOUIOOKBS-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@H]2C[NH+](CCCF)CC2(C)C)C1 ZINC000972992761 690502670 /nfs/dbraw/zinc/50/26/70/690502670.db2.gz TXWSSMNZLYWVSJ-OLZOCXBDSA-N 0 2 301.406 0.503 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCOCC1 ZINC001006545447 690552705 /nfs/dbraw/zinc/55/27/05/690552705.db2.gz NKBHPRBJZFPRDA-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1 ZINC001006578864 690558347 /nfs/dbraw/zinc/55/83/47/690558347.db2.gz KUCKJRHLBUVEIP-NSHDSACASA-N 0 2 302.338 0.300 20 0 DCADLN O=C(c1cc[nH]c1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039602473 694393286 /nfs/dbraw/zinc/39/32/86/694393286.db2.gz WLEULDMGCUEEOW-TXEJJXNPSA-N 0 2 316.365 0.718 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1(F)CCCC1 ZINC001007719309 690733295 /nfs/dbraw/zinc/73/32/95/690733295.db2.gz NOXBGMVSWVHZKW-SNVBAGLBSA-N 0 2 311.361 0.873 20 0 DCADLN Cc1cocc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008211564 690821255 /nfs/dbraw/zinc/82/12/55/690821255.db2.gz VYHKESGQGZQRLF-JTQLQIEISA-N 0 2 305.338 0.806 20 0 DCADLN CCn1cnc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001009231666 691012654 /nfs/dbraw/zinc/01/26/54/691012654.db2.gz MSVZUFWDNJGNNV-JTQLQIEISA-N 0 2 319.369 0.121 20 0 DCADLN Cc1nc(C)c(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001010430755 691277173 /nfs/dbraw/zinc/27/71/73/691277173.db2.gz ATDLSJRRQPSPJM-VIFPVBQESA-N 0 2 306.326 0.119 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(C(=O)[C@@H]2CCOC2)CC1 ZINC001010615404 691326796 /nfs/dbraw/zinc/32/67/96/691326796.db2.gz VEHBJGLDJKDSDQ-RKDXNWHRSA-N 0 2 312.263 0.594 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCN(C(=O)[C@@H]2CCOC2)CC1 ZINC001010615404 691326800 /nfs/dbraw/zinc/32/68/00/691326800.db2.gz VEHBJGLDJKDSDQ-RKDXNWHRSA-N 0 2 312.263 0.594 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001011083654 691460893 /nfs/dbraw/zinc/46/08/93/691460893.db2.gz WBKBPTDRXKXEFE-BDAKNGLRSA-N 0 2 314.279 0.575 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001011083654 691460894 /nfs/dbraw/zinc/46/08/94/691460894.db2.gz WBKBPTDRXKXEFE-BDAKNGLRSA-N 0 2 314.279 0.575 20 0 DCADLN CCc1ccnc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001014533766 692039024 /nfs/dbraw/zinc/03/90/24/692039024.db2.gz IMEBBOGQGMXSAF-LLVKDONJSA-N 0 2 316.365 0.472 20 0 DCADLN CCCc1cc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001015471583 692308969 /nfs/dbraw/zinc/30/89/69/692308969.db2.gz RQASWRMKSLKKHA-SNVBAGLBSA-N 0 2 319.369 0.190 20 0 DCADLN O=C(C[C@@H]1CC=CCC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015536911 692336219 /nfs/dbraw/zinc/33/62/19/692336219.db2.gz PWYFMXZUAMQCEG-NEPJUHHUSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H](F)c1ccccc1 ZINC001015768791 692435345 /nfs/dbraw/zinc/43/53/45/692435345.db2.gz HFUDENDRHSTEJX-DGCLKSJQSA-N 0 2 319.340 0.912 20 0 DCADLN C[C@]1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCCOC1 ZINC001015912336 692501890 /nfs/dbraw/zinc/50/18/90/692501890.db2.gz BNMKFSJWLXMLJK-YGRLFVJLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1c(F)cccc1F ZINC001016084900 692562729 /nfs/dbraw/zinc/56/27/29/692562729.db2.gz CNQVHXFGFHDYJK-QMMMGPOBSA-N 0 2 323.303 0.793 20 0 DCADLN CNC(=O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001017572066 692941192 /nfs/dbraw/zinc/94/11/92/692941192.db2.gz AXJMTKMURKJSHD-XKSSXDPKSA-N 0 2 311.279 0.308 20 0 DCADLN CNC(=O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](F)C(F)(F)F ZINC001017572066 692941196 /nfs/dbraw/zinc/94/11/96/692941196.db2.gz AXJMTKMURKJSHD-XKSSXDPKSA-N 0 2 311.279 0.308 20 0 DCADLN O=C(c1ccns1)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072791321 694665236 /nfs/dbraw/zinc/66/52/36/694665236.db2.gz KBCXEPUMICHAHE-UHFFFAOYSA-N 0 2 320.378 0.315 20 0 DCADLN O=C(c1ccns1)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072791321 694665239 /nfs/dbraw/zinc/66/52/39/694665239.db2.gz KBCXEPUMICHAHE-UHFFFAOYSA-N 0 2 320.378 0.315 20 0 DCADLN O=C([C@@H]1C[C@H]1C1CC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076346473 695015945 /nfs/dbraw/zinc/01/59/45/695015945.db2.gz JUKAGAPQCCOQCQ-WUHRBBMRSA-N 0 2 317.393 0.589 20 0 DCADLN O=C([C@@H]1C[C@H]1C1CC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076346473 695015946 /nfs/dbraw/zinc/01/59/46/695015946.db2.gz JUKAGAPQCCOQCQ-WUHRBBMRSA-N 0 2 317.393 0.589 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)C(F)C(F)(F)F ZINC001076856586 695077509 /nfs/dbraw/zinc/07/75/09/695077509.db2.gz AJEUDVXBUDCMLF-FXQIFTODSA-N 0 2 302.268 0.933 20 0 DCADLN CO[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)[C@H](F)C(F)(F)F ZINC001076856586 695077513 /nfs/dbraw/zinc/07/75/13/695077513.db2.gz AJEUDVXBUDCMLF-FXQIFTODSA-N 0 2 302.268 0.933 20 0 DCADLN COCC1(C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001571690745 1162905268 /nfs/dbraw/zinc/90/52/68/1162905268.db2.gz MGMNGYDGYQBPLY-UHFFFAOYSA-N 0 2 321.381 0.185 20 0 DCADLN COCC1(C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001571690745 1162905270 /nfs/dbraw/zinc/90/52/70/1162905270.db2.gz MGMNGYDGYQBPLY-UHFFFAOYSA-N 0 2 321.381 0.185 20 0 DCADLN O=C(CCn1cc[nH]c(=O)c1=O)Nc1ccc(F)c(F)c1O ZINC001625987894 1158334062 /nfs/dbraw/zinc/33/40/62/1158334062.db2.gz HQYFLENIPDODBP-UHFFFAOYSA-N 0 2 311.244 0.549 20 0 DCADLN Cn1cc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001446034413 1159329592 /nfs/dbraw/zinc/32/95/92/1159329592.db2.gz YPGNHICJDGVGPX-DTWKUNHWSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1cc(CN2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001446034413 1159329600 /nfs/dbraw/zinc/32/96/00/1159329600.db2.gz YPGNHICJDGVGPX-DTWKUNHWSA-N 0 2 309.267 0.406 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001446374358 1159396151 /nfs/dbraw/zinc/39/61/51/1159396151.db2.gz QIROQIYQDQXLNS-NSHDSACASA-N 0 2 311.386 0.214 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446531417 1159459150 /nfs/dbraw/zinc/45/91/50/1159459150.db2.gz SGZUXGXZEUOKAA-HZMBPMFUSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446531417 1159459157 /nfs/dbraw/zinc/45/91/57/1159459157.db2.gz SGZUXGXZEUOKAA-HZMBPMFUSA-N 0 2 311.386 0.406 20 0 DCADLN C[N@H+](CCNC(=O)c1cc2ncccc2o1)Cc1n[nH]c(=O)[n-]1 ZINC001567293094 1160300384 /nfs/dbraw/zinc/30/03/84/1160300384.db2.gz IOVBEVCPRTWVTN-UHFFFAOYSA-N 0 2 316.321 0.513 20 0 DCADLN C[N@@H+](CCNC(=O)c1cc2ncccc2o1)Cc1n[nH]c(=O)[n-]1 ZINC001567293094 1160300393 /nfs/dbraw/zinc/30/03/93/1160300393.db2.gz IOVBEVCPRTWVTN-UHFFFAOYSA-N 0 2 316.321 0.513 20 0 DCADLN CN(CCNCc1csnn1)C(=O)C(F)C(F)(F)F ZINC001567498639 1160396114 /nfs/dbraw/zinc/39/61/14/1160396114.db2.gz PVGKWYPOYKJWQM-ZETCQYMHSA-N 0 2 300.281 0.987 20 0 DCADLN CCCOCC(=O)N(CC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567932167 1160543620 /nfs/dbraw/zinc/54/36/20/1160543620.db2.gz NHJYBXRAIRWKEB-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN CCCOCC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001567932167 1160543625 /nfs/dbraw/zinc/54/36/25/1160543625.db2.gz NHJYBXRAIRWKEB-LLVKDONJSA-N 0 2 311.386 0.360 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)c1nc(C(=O)[O-])n[nH]1)[N@H+]1[C@H](C)CC[C@@H]1C ZINC001573553900 1163492137 /nfs/dbraw/zinc/49/21/37/1163492137.db2.gz MTVHEQGTJPKLRA-QCLAVDOMSA-N 0 2 309.370 0.941 20 0 DCADLN C[C@H](C(=O)N[C@@H](C)c1nc(C(=O)[O-])n[nH]1)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC001573553900 1163492154 /nfs/dbraw/zinc/49/21/54/1163492154.db2.gz MTVHEQGTJPKLRA-QCLAVDOMSA-N 0 2 309.370 0.941 20 0 DCADLN Cc1nc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)ccc1C(=O)[O-] ZINC001574183004 1163655727 /nfs/dbraw/zinc/65/57/27/1163655727.db2.gz WDWACJHCTXKHHC-CYBMUJFWSA-N 0 2 315.333 0.598 20 0 DCADLN Cc1onc(C[N@@H+]2CC[C@@H](CNS(C)(=O)=O)C2)c1C(=O)[O-] ZINC001574208270 1163664567 /nfs/dbraw/zinc/66/45/67/1163664567.db2.gz YLWXHDDIFGUSQR-VIFPVBQESA-N 0 2 317.367 0.052 20 0 DCADLN Cc1onc(C[N@H+]2CC[C@@H](CNS(C)(=O)=O)C2)c1C(=O)[O-] ZINC001574208270 1163664570 /nfs/dbraw/zinc/66/45/70/1163664570.db2.gz YLWXHDDIFGUSQR-VIFPVBQESA-N 0 2 317.367 0.052 20 0 DCADLN O=C([O-])[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](O)c1cccnc1 ZINC001574299300 1163689695 /nfs/dbraw/zinc/68/96/95/1163689695.db2.gz CRVALJNKUHNKDG-STQMWFEESA-N 0 2 304.306 0.040 20 0 DCADLN O=C([O-])[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@@H](O)c1cccnc1 ZINC001574299300 1163689700 /nfs/dbraw/zinc/68/97/00/1163689700.db2.gz CRVALJNKUHNKDG-STQMWFEESA-N 0 2 304.306 0.040 20 0 DCADLN O=C([O-])N1C[C@H](NC(=O)Nc2ccc3[nH+]ccn3c2)[C@@H](CO)C1 ZINC001574341661 1163698931 /nfs/dbraw/zinc/69/89/31/1163698931.db2.gz GCZJTFRAQTYGOO-KOLCDFICSA-N 0 2 319.321 0.427 20 0 DCADLN O=C(C[C@H]1COC(=O)C1)NCCNC(=O)C(F)C(F)(F)F ZINC001575028180 1163855415 /nfs/dbraw/zinc/85/54/15/1163855415.db2.gz HCBPQYGMTAQRSI-HZGVNTEJSA-N 0 2 314.235 0.072 20 0 DCADLN O=C(C[C@H]1COC(=O)C1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001575028180 1163855421 /nfs/dbraw/zinc/85/54/21/1163855421.db2.gz HCBPQYGMTAQRSI-HZGVNTEJSA-N 0 2 314.235 0.072 20 0 DCADLN CC[C@@H](NC(C)=O)C(=O)N[C@@](C)(C[NH2+]Cc1c[nH]nn1)C1CC1 ZINC001576279613 1164288902 /nfs/dbraw/zinc/28/89/02/1164288902.db2.gz YBBDBTQQTOFDBH-HIFRSBDPSA-N 0 2 322.413 0.094 20 0 DCADLN COCC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-c2cn[nH]n2)cc1 ZINC001577006907 1164581841 /nfs/dbraw/zinc/58/18/41/1164581841.db2.gz BZUTYPBJBPAKOP-AWEZNQCLSA-N 0 2 315.377 0.922 20 0 DCADLN CCOC(=O)N1C[C@@H](CO)[C@@H](NC(=O)c2cccc(NC)c2)C1 ZINC001577966967 1164865624 /nfs/dbraw/zinc/86/56/24/1164865624.db2.gz CQPQZRSKMPICBH-JSGCOSHPSA-N 0 2 321.377 0.907 20 0 DCADLN CO[C@@H](C)C(=O)Nc1nc(-c2cccc(-c3nn[nH]n3)c2)n[nH]1 ZINC001579551759 1165347612 /nfs/dbraw/zinc/34/76/12/1165347612.db2.gz FFFXSOLFWJYNPG-ZETCQYMHSA-N 0 2 314.309 0.625 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC[C@H](C)CCO ZINC001580605741 1165653846 /nfs/dbraw/zinc/65/38/46/1165653846.db2.gz HCLBXKFIFDPUNC-QMMMGPOBSA-N 0 2 320.353 0.414 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H](C)C1=NN(C)CC1=O ZINC001581727122 1165860941 /nfs/dbraw/zinc/86/09/41/1165860941.db2.gz KBMVKMCCFZDADF-ZCFIWIBFSA-N 0 2 317.309 0.698 20 0 DCADLN Cn1c(=O)[nH]c(NCC=C(Cl)Cl)c(-c2nn[nH]n2)c1=O ZINC001581747343 1165863498 /nfs/dbraw/zinc/86/34/98/1165863498.db2.gz JYEQENWAYZBMMK-UHFFFAOYSA-N 0 2 318.124 0.397 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2C[C@H]2CC(C)(C)C)c(-c2nn[nH]n2)c1=O ZINC001581747296 1165864612 /nfs/dbraw/zinc/86/46/12/1165864612.db2.gz HOTMETGOTBXVQD-JGVFFNPUSA-N 0 2 319.369 0.903 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1noc(-c2ccccc2)n1 ZINC001582806480 1166086803 /nfs/dbraw/zinc/08/68/03/1166086803.db2.gz DVDIMOPQMUACJZ-UHFFFAOYSA-N 0 2 322.288 0.522 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CC[C@H](O)c1ccccc1 ZINC001582807163 1166088225 /nfs/dbraw/zinc/08/82/25/1166088225.db2.gz WYFZSVGPSMUVAC-NSHDSACASA-N 0 2 314.305 0.253 20 0 DCADLN COC[C@H](C)C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001583148959 1166214063 /nfs/dbraw/zinc/21/40/63/1166214063.db2.gz YWTOJIGTHMGMCN-HIYAPSCVSA-N 0 2 314.279 0.958 20 0 DCADLN COC[C@H](C)C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001583148959 1166214070 /nfs/dbraw/zinc/21/40/70/1166214070.db2.gz YWTOJIGTHMGMCN-HIYAPSCVSA-N 0 2 314.279 0.958 20 0 DCADLN CC(C)(C)N1CC[C@@H](NCc2ccc(-c3nn[nH]n3)o2)C1=O ZINC001589136617 1166636757 /nfs/dbraw/zinc/63/67/57/1166636757.db2.gz TXIDKPUDFIIUQS-SNVBAGLBSA-N 0 2 304.354 0.949 20 0 DCADLN CC(C)(CO)ONC(=O)C1(c2cccc(-c3nn[nH]n3)c2)CC1 ZINC001589299932 1166644369 /nfs/dbraw/zinc/64/43/69/1166644369.db2.gz VMUFBAYGTUVSIZ-UHFFFAOYSA-N 0 2 317.349 0.717 20 0 DCADLN CC(C)CCc1noc(Cn2cncc(-c3nn[nH]n3)c2=O)n1 ZINC001589610018 1166667645 /nfs/dbraw/zinc/66/76/45/1166667645.db2.gz SIQXAUXFZILGPD-UHFFFAOYSA-N 0 2 316.325 0.443 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nn[nH]n2)c(O)c1)c1nc[nH]n1 ZINC001589944367 1166725260 /nfs/dbraw/zinc/72/52/60/1166725260.db2.gz GYWDVVVLKJJMRB-LURJTMIESA-N 0 2 300.282 0.181 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nn[nH]n2)c(O)c1)c1nnc[nH]1 ZINC001589944367 1166725265 /nfs/dbraw/zinc/72/52/65/1166725265.db2.gz GYWDVVVLKJJMRB-LURJTMIESA-N 0 2 300.282 0.181 20 0 DCADLN CC1CCC(NC(=O)Cn2cnc(-c3nn[nH]n3)cc2=O)CC1 ZINC001590234044 1166820731 /nfs/dbraw/zinc/82/07/31/1166820731.db2.gz QQOYTCCFGYZSAT-UHFFFAOYSA-N 0 2 317.353 0.118 20 0 DCADLN C[C@H]1CCC[C@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001590256197 1166828809 /nfs/dbraw/zinc/82/88/09/1166828809.db2.gz XSXTUPIVUHGXBK-YUMQZZPRSA-N 0 2 305.342 0.657 20 0 DCADLN C[C@@H]1CCC[C@H](Nc2c(-c3nn[nH]n3)c(=O)n(C)c(=O)n2C)C1 ZINC001590256394 1166829318 /nfs/dbraw/zinc/82/93/18/1166829318.db2.gz ZTXDMKWJICDDQX-BDAKNGLRSA-N 0 2 319.369 0.255 20 0 DCADLN C[C@H]1CCc2n[nH]c(C(=O)n3ncc(-c4nn[nH]n4)c3N)c2C1 ZINC001590280706 1166840648 /nfs/dbraw/zinc/84/06/48/1166840648.db2.gz DLZJVYGATBSDQS-LURJTMIESA-N 0 2 313.325 0.182 20 0 DCADLN CC[C@@]1(O)CCN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001590499985 1166925112 /nfs/dbraw/zinc/92/51/12/1166925112.db2.gz IZZCIPJZOQGMAZ-CQSZACIVSA-N 0 2 318.337 0.263 20 0 DCADLN CC[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@@H](CC)CO1 ZINC001590524251 1166933463 /nfs/dbraw/zinc/93/34/63/1166933463.db2.gz RAOBQQJIUIWLGP-RYUDHWBXSA-N 0 2 318.381 0.875 20 0 DCADLN CCN(CCCO)C(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001590702084 1166976140 /nfs/dbraw/zinc/97/61/40/1166976140.db2.gz ZCZFMUPYOFVAFY-UHFFFAOYSA-N 0 2 306.326 0.120 20 0 DCADLN CCc1nc([C@@H](C)NC(=O)c2cccc(-c3nn[nH]n3)n2)n[nH]1 ZINC001590910223 1167048587 /nfs/dbraw/zinc/04/85/87/1167048587.db2.gz IKGWJHHGQKPMAA-SSDOTTSWSA-N 0 2 313.325 0.433 20 0 DCADLN CCc1nocc1CN(C)Cn1cccc(-c2nn[nH]n2)c1=O ZINC001590936142 1167055617 /nfs/dbraw/zinc/05/56/17/1167055617.db2.gz UVZFZNNOGGGBHM-UHFFFAOYSA-N 0 2 315.337 0.668 20 0 DCADLN COCCC1CN(Cc2cnc3c(-c4nn[nH]n4)cnn3c2)C1 ZINC001591404033 1167273723 /nfs/dbraw/zinc/27/37/23/1167273723.db2.gz VRYZSYMOEWEKEL-UHFFFAOYSA-N 0 2 314.353 0.378 20 0 DCADLN Cc1cc(Cn2cnc(-c3nn[nH]n3)cc2=O)cc(Cl)n1 ZINC001591977883 1167427836 /nfs/dbraw/zinc/42/78/36/1167427836.db2.gz NKXKTSBKVBRLDM-UHFFFAOYSA-N 0 2 303.713 0.829 20 0 DCADLN Cc1ccc(C)c(C(=O)Cn2cncc(-c3nn[nH]n3)c2=O)c1 ZINC001592136906 1167462387 /nfs/dbraw/zinc/46/23/87/1167462387.db2.gz JOYBRVKUMBKUFK-UHFFFAOYSA-N 0 2 310.317 0.923 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)Nc1ccccc1-c1nn[nH]n1 ZINC001592302840 1167486568 /nfs/dbraw/zinc/48/65/68/1167486568.db2.gz QTLOKZWCXHQZLF-UHFFFAOYSA-N 0 2 319.350 0.709 20 0 DCADLN Cc1nn(CC(=O)C2(Cl)CC2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592435755 1167522944 /nfs/dbraw/zinc/52/29/44/1167522944.db2.gz BDBYNPXOUNMKPP-UHFFFAOYSA-N 0 2 308.729 0.381 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)[C@H](C)C[S@@](C)=O ZINC001592495997 1167539591 /nfs/dbraw/zinc/53/95/91/1167539591.db2.gz SCFWNPNOHHRBGR-WODJRTHDSA-N 0 2 311.367 0.607 20 0 DCADLN Cn1c(=O)[nH]c(N2CCC[C@@H]3CCC[C@@H]32)c(-c2nn[nH]n2)c1=O ZINC001592542916 1167551315 /nfs/dbraw/zinc/55/13/15/1167551315.db2.gz CNVFWNBOFSQWKF-IUCAKERBSA-N 0 2 317.353 0.023 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CCC(C)(C)C2CC2)c1=O ZINC001592557655 1167557635 /nfs/dbraw/zinc/55/76/35/1167557635.db2.gz AOORFPOQJXSBLE-UHFFFAOYSA-N 0 2 304.354 0.553 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(-c3nn[nH]n3)c2)cn1 ZINC001592574338 1167566494 /nfs/dbraw/zinc/56/64/94/1167566494.db2.gz CIYAEWGJSGOSDW-UHFFFAOYSA-N 0 2 305.323 0.401 20 0 DCADLN O=S(=O)(CCOCC1CC1)Nc1cccc(-c2nn[nH]n2)n1 ZINC001593420555 1167833026 /nfs/dbraw/zinc/83/30/26/1167833026.db2.gz LPBKBHNIBZMILV-UHFFFAOYSA-N 0 2 324.366 0.430 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1Cc1ccc(C(F)(F)F)cn1 ZINC001593495673 1167880952 /nfs/dbraw/zinc/88/09/52/1167880952.db2.gz PJGAWGUIPGJGTL-UHFFFAOYSA-N 0 2 323.238 0.886 20 0 DCADLN c1nnc([C@H](Nc2cc(-c3nn[nH]n3)ncn2)c2ccccc2)[nH]1 ZINC001593571156 1167944498 /nfs/dbraw/zinc/94/44/98/1167944498.db2.gz QJLAQKFXGKDCKX-GFCCVEGCSA-N 0 2 320.320 0.976 20 0 DCADLN COC(=O)C1([NH2+]CCc2cn(CC(=O)[O-])nn2)CCCCC1 ZINC001598726241 1168032985 /nfs/dbraw/zinc/03/29/85/1168032985.db2.gz YQCMPGYCLQUYHN-UHFFFAOYSA-N 0 2 310.354 0.371 20 0 DCADLN COC(=O)CNC(=O)[C@@H](C)[NH2+][C@@H](C)c1ncc(C(=O)[O-])s1 ZINC001598865031 1168050421 /nfs/dbraw/zinc/05/04/21/1168050421.db2.gz OCWJADSUFWUXEY-RQJHMYQMSA-N 0 2 315.351 0.170 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1occc1C(=O)[O-] ZINC001598864293 1168050767 /nfs/dbraw/zinc/05/07/67/1168050767.db2.gz FLDYCFJSNDPZOG-JTQLQIEISA-N 0 2 310.306 0.231 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1occc1C(=O)[O-] ZINC001598864293 1168050906 /nfs/dbraw/zinc/05/09/06/1168050906.db2.gz FLDYCFJSNDPZOG-JTQLQIEISA-N 0 2 310.306 0.231 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@H+](CCO)C3CC3)nc(=O)c12 ZINC001600003697 1168089249 /nfs/dbraw/zinc/08/92/49/1168089249.db2.gz YHMRSFQFTAXRDQ-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@@H+](CCO)C3CC3)nc(=O)c12 ZINC001600003697 1168089258 /nfs/dbraw/zinc/08/92/58/1168089258.db2.gz YHMRSFQFTAXRDQ-UHFFFAOYSA-N 0 2 323.374 0.948 20 0 DCADLN Cc1cc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)ncc1C(=O)[O-] ZINC001600264404 1168152735 /nfs/dbraw/zinc/15/27/35/1168152735.db2.gz LAOAYKLOZFIGKO-NSHDSACASA-N 0 2 322.365 0.930 20 0 DCADLN Cc1ccc([C@@H]([NH2+]CC(=O)N2CCNC2=O)C(=O)[O-])cc1C ZINC001600381578 1168177529 /nfs/dbraw/zinc/17/75/29/1168177529.db2.gz LECKCZPHPMEGGO-CYBMUJFWSA-N 0 2 305.334 0.571 20 0 DCADLN Cc1cnc(C[NH2+]C/C=C\CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])nc1 ZINC001600621141 1168217071 /nfs/dbraw/zinc/21/70/71/1168217071.db2.gz JDVIWIGIELHFPS-JDEDCZITSA-N 0 2 304.350 0.268 20 0 DCADLN Cc1cnc2c(C(=O)N[C@@H](Cn3cc[nH+]c3)C(=O)[O-])cnn2c1 ZINC001600624894 1168217406 /nfs/dbraw/zinc/21/74/06/1168217406.db2.gz KJDDPALZAYCNJI-NSHDSACASA-N 0 2 314.305 0.117 20 0 DCADLN Cc1n[nH]c(C)c1[C@@H](C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001600668225 1168238164 /nfs/dbraw/zinc/23/81/64/1168238164.db2.gz AJLSTZFBOCUAEC-RDDDGLTNSA-N 0 2 305.338 0.665 20 0 DCADLN Cc1n[nH]c(C)c1[C@@H](C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001600668225 1168238183 /nfs/dbraw/zinc/23/81/83/1168238183.db2.gz AJLSTZFBOCUAEC-RDDDGLTNSA-N 0 2 305.338 0.665 20 0 DCADLN Cc1nc(NCCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])c2c([nH+]1)CCCC2 ZINC001600723855 1168262792 /nfs/dbraw/zinc/26/27/92/1168262792.db2.gz UXMRDCQMMAYOKE-VXGBXAGGSA-N 0 2 318.377 0.913 20 0 DCADLN Cc1nc(NCCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])c2c([nH+]1)CCCC2 ZINC001600723854 1168263217 /nfs/dbraw/zinc/26/32/17/1168263217.db2.gz UXMRDCQMMAYOKE-RYUDHWBXSA-N 0 2 318.377 0.913 20 0 DCADLN Cn1ccn2c(C[NH2+]Cc3csc(C(=O)[O-])c3)nnc2c1=O ZINC001600981032 1168543415 /nfs/dbraw/zinc/54/34/15/1168543415.db2.gz OYJGNAKCUBKPRZ-UHFFFAOYSA-N 0 2 319.346 0.478 20 0 DCADLN Cn1c[nH+]cc1CCNS(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC001600992672 1168550432 /nfs/dbraw/zinc/55/04/32/1168550432.db2.gz BMEHAPKDRCJNHQ-UHFFFAOYSA-N 0 2 310.335 0.034 20 0 DCADLN Cn1nc(C(=O)[O-])cc1NC(=O)NCCCCn1cc[nH+]c1 ZINC001601006381 1168559603 /nfs/dbraw/zinc/55/96/03/1168559603.db2.gz SLNPLUIWDILOIT-UHFFFAOYSA-N 0 2 306.326 0.917 20 0 DCADLN Cn1nc(NC(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1C(=O)[O-] ZINC001601015224 1168563982 /nfs/dbraw/zinc/56/39/82/1168563982.db2.gz FCMIQTWIUHRVEJ-QMMMGPOBSA-N 0 2 304.310 0.451 20 0 DCADLN NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)/C=C/c2cc(C(=O)[O-])co2)C1 ZINC001601150640 1168603239 /nfs/dbraw/zinc/60/32/39/1168603239.db2.gz CLSMQRHAUWUJIK-PGLGOXFNSA-N 0 2 321.333 0.057 20 0 DCADLN NC(=O)C[N@H+]1CCC[C@@H](NC(=O)/C=C/c2cc(C(=O)[O-])co2)C1 ZINC001601150640 1168603247 /nfs/dbraw/zinc/60/32/47/1168603247.db2.gz CLSMQRHAUWUJIK-PGLGOXFNSA-N 0 2 321.333 0.057 20 0 DCADLN [NH3+][C@H](CC(=O)N(CCC(=O)[O-])Cc1ccncc1)C(F)F ZINC001601171493 1168620075 /nfs/dbraw/zinc/62/00/75/1168620075.db2.gz BUBUCEGOWLMVKP-SNVBAGLBSA-N 0 2 301.293 0.867 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)CCCOc1cccnc1 ZINC001601269883 1168685556 /nfs/dbraw/zinc/68/55/56/1168685556.db2.gz OFHHAUZXFWCQHW-ZDUSSCGKSA-N 0 2 318.333 0.707 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCc2ncncc2C1 ZINC001601426022 1168742930 /nfs/dbraw/zinc/74/29/30/1168742930.db2.gz PNSUMDHDAREPBW-GWCFXTLKSA-N 0 2 315.333 0.048 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCc2cccc(O)c21 ZINC001601566054 1168783855 /nfs/dbraw/zinc/78/38/55/1168783855.db2.gz SBVHPLVWNQPHPY-RYUDHWBXSA-N 0 2 315.329 0.957 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCc2cccc(O)c21 ZINC001601566054 1168783861 /nfs/dbraw/zinc/78/38/61/1168783861.db2.gz SBVHPLVWNQPHPY-RYUDHWBXSA-N 0 2 315.329 0.957 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1C[C@H]1c1cccc(O)c1 ZINC001601663240 1168809559 /nfs/dbraw/zinc/80/95/59/1168809559.db2.gz RFYWLVIHGJQKIM-BFHYXJOUSA-N 0 2 315.329 0.962 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](Cc2cnn(-c3ccccc3)n2)C1 ZINC001601769218 1168866709 /nfs/dbraw/zinc/86/67/09/1168866709.db2.gz MYPGKDDYURSFBU-FZMZJTMJSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](Cc2cnn(-c3ccccc3)n2)C1 ZINC001601769218 1168866718 /nfs/dbraw/zinc/86/67/18/1168866718.db2.gz MYPGKDDYURSFBU-FZMZJTMJSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+]1CCOCC(F)(F)C(F)(F)F ZINC001601774123 1168872857 /nfs/dbraw/zinc/87/28/57/1168872857.db2.gz XCBZKSYWGWTABZ-BQBZGAKWSA-N 0 2 307.215 0.720 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+]1CCOCC(F)(F)C(F)(F)F ZINC001601774123 1168872868 /nfs/dbraw/zinc/87/28/68/1168872868.db2.gz XCBZKSYWGWTABZ-BQBZGAKWSA-N 0 2 307.215 0.720 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+]1Cc1cc(=O)[nH]c(-c2ccccc2)n1 ZINC001601772556 1168873392 /nfs/dbraw/zinc/87/33/92/1168873392.db2.gz LLIJPDQBTXBQJO-QWHCGFSZSA-N 0 2 315.329 0.869 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+]1Cc1cc(=O)[nH]c(-c2ccccc2)n1 ZINC001601772556 1168873406 /nfs/dbraw/zinc/87/34/06/1168873406.db2.gz LLIJPDQBTXBQJO-QWHCGFSZSA-N 0 2 315.329 0.869 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H]2C(=O)N(CCCCn3cc[nH+]c3)C(=O)N2C1 ZINC001601797113 1168900352 /nfs/dbraw/zinc/90/03/52/1168900352.db2.gz DPZQFEZDADIGDQ-NEPJUHHUSA-N 0 2 320.349 0.791 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001601910660 1168930820 /nfs/dbraw/zinc/93/08/20/1168930820.db2.gz QPWHTJFZIGIVEO-ZIAGYGMSSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])C[C@@H](O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001601910660 1168930825 /nfs/dbraw/zinc/93/08/25/1168930825.db2.gz QPWHTJFZIGIVEO-ZIAGYGMSSA-N 0 2 321.377 0.388 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)Cc1ccnn1CC1CC1 ZINC001602054984 1168978097 /nfs/dbraw/zinc/97/80/97/1168978097.db2.gz BNSYFHPNIOIEGZ-UHFFFAOYSA-N 0 2 322.409 0.512 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccnn1CC1CCC1 ZINC001602068749 1168985897 /nfs/dbraw/zinc/98/58/97/1168985897.db2.gz VGCPTMKWMDYHRE-AWEZNQCLSA-N 0 2 320.393 0.848 20 0 DCADLN O=C([O-])CNC(=O)[C@@H]1CCC[N@H+]1Cc1ccnn1CC1CCC1 ZINC001602068749 1168985901 /nfs/dbraw/zinc/98/59/01/1168985901.db2.gz VGCPTMKWMDYHRE-AWEZNQCLSA-N 0 2 320.393 0.848 20 0 DCADLN O=C([O-])CSCCNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC001602100435 1168995505 /nfs/dbraw/zinc/99/55/05/1168995505.db2.gz UPZLFUXTMIILHJ-LLVKDONJSA-N 0 2 324.406 0.777 20 0 DCADLN O=C([O-])Cc1occc1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001602154882 1169004614 /nfs/dbraw/zinc/00/46/14/1169004614.db2.gz ZFFZHMKDAWAKPO-LLVKDONJSA-N 0 2 308.334 0.453 20 0 DCADLN O=C([O-])c1ccc(OC[C@H](O)C[NH+]2CC3(C2)CCCO3)cc1 ZINC001602387429 1169066522 /nfs/dbraw/zinc/06/65/22/1169066522.db2.gz JXQFLPKMGOXNED-CYBMUJFWSA-N 0 2 307.346 0.989 20 0 DCADLN O=C([O-])c1cncc(S(=O)(=O)N2CC[NH2+]C[C@@H]2C(F)F)c1 ZINC001602541850 1169111964 /nfs/dbraw/zinc/11/19/64/1169111964.db2.gz JPZFUKYYQVPWIL-SECBINFHSA-N 0 2 321.305 0.007 20 0 DCADLN C[C@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-])C(=O)OC(C)(C)C ZINC001603154962 1169258707 /nfs/dbraw/zinc/25/87/07/1169258707.db2.gz MZGKKTCPXHYNCO-ZJUUUORDSA-N 0 2 311.338 0.430 20 0 DCADLN CC(C)=CCn1c(=O)c(-c2nn[nH]n2)c(C)n(C2CC2)c1=O ZINC001603186450 1169270326 /nfs/dbraw/zinc/27/03/26/1169270326.db2.gz QUGBQIPEJPMTCL-UHFFFAOYSA-N 0 2 302.338 0.800 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CCC[N@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001603499209 1169314781 /nfs/dbraw/zinc/31/47/81/1169314781.db2.gz DLKRBXYWJRJFCY-NSHDSACASA-N 0 2 324.381 0.916 20 0 DCADLN CC(C)(C)OC(=O)[C@H]1CCC[N@@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001603499209 1169314786 /nfs/dbraw/zinc/31/47/86/1169314786.db2.gz DLKRBXYWJRJFCY-NSHDSACASA-N 0 2 324.381 0.916 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+](C)[C@@H](C)C[S@@](C)=O)C(=O)[O-] ZINC001603775019 1169385162 /nfs/dbraw/zinc/38/51/62/1169385162.db2.gz CWCBDVYUQIRQEL-SULUTDIPSA-N 0 2 306.428 0.301 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+](C)[C@@H](C)C[S@@](C)=O)C(=O)[O-] ZINC001603775019 1169385173 /nfs/dbraw/zinc/38/51/73/1169385173.db2.gz CWCBDVYUQIRQEL-SULUTDIPSA-N 0 2 306.428 0.301 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])[N@H+](C)C[C@@H]1CN(C2CC2)C(=O)O1 ZINC001603918059 1169435331 /nfs/dbraw/zinc/43/53/31/1169435331.db2.gz WHKBDXNDZDCLCV-VXGBXAGGSA-N 0 2 300.355 0.780 20 0 DCADLN CC(C)OC[C@H](C(=O)[O-])[N@@H+](C)C[C@@H]1CN(C2CC2)C(=O)O1 ZINC001603918059 1169435335 /nfs/dbraw/zinc/43/53/35/1169435335.db2.gz WHKBDXNDZDCLCV-VXGBXAGGSA-N 0 2 300.355 0.780 20 0 DCADLN CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001603917055 1169435707 /nfs/dbraw/zinc/43/57/07/1169435707.db2.gz UPBNWEIONPVSQU-RYUDHWBXSA-N 0 2 309.366 0.782 20 0 DCADLN C[C@@H]1OCC[C@H]1Cn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001603954192 1169450794 /nfs/dbraw/zinc/45/07/94/1169450794.db2.gz DMHZCRQWXHJUNO-ONGXEEELSA-N 0 2 301.350 0.942 20 0 DCADLN CCC(CC)CN(CC)c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001604025752 1169474882 /nfs/dbraw/zinc/47/48/82/1169474882.db2.gz XRHHEZWLHJCTQW-UHFFFAOYSA-N 0 2 321.385 0.516 20 0 DCADLN C[C@@H](CNC(=O)N[C@@H]1CC=C(C(=O)[O-])C1)[NH+]1CCN(C)CC1 ZINC001604177860 1169514766 /nfs/dbraw/zinc/51/47/66/1169514766.db2.gz GWVKQARHBHXFLF-WCQYABFASA-N 0 2 310.398 0.095 20 0 DCADLN CCOC(=O)[C@@H]1C[C@H]1Cn1ccc(C)c(-c2nn[nH]n2)c1=O ZINC001604382973 1169578164 /nfs/dbraw/zinc/57/81/64/1169578164.db2.gz TZEPWRAXPFCNSC-VHSXEESVSA-N 0 2 303.322 0.536 20 0 DCADLN C[C@]1(C(N)=O)CCCC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001604506314 1169606297 /nfs/dbraw/zinc/60/62/97/1169606297.db2.gz TUGUWABCLLQFRT-OAHLLOKOSA-N 0 2 311.382 0.040 20 0 DCADLN C[C@]1(C(N)=O)CCCC[N@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001604506314 1169606303 /nfs/dbraw/zinc/60/63/03/1169606303.db2.gz TUGUWABCLLQFRT-OAHLLOKOSA-N 0 2 311.382 0.040 20 0 DCADLN C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2csnc2C(=O)[O-])C1 ZINC001604586237 1169624364 /nfs/dbraw/zinc/62/43/64/1169624364.db2.gz WGNVWFVLBLTKBS-JTQLQIEISA-N 0 2 323.371 0.163 20 0 DCADLN C[C@@H]1[C@H](C(=O)[O-])CCN1C(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC001604627238 1169637181 /nfs/dbraw/zinc/63/71/81/1169637181.db2.gz PTXRSMGTEKMYDN-VXGBXAGGSA-N 0 2 322.365 0.101 20 0 DCADLN C[C@@H]1CC[C@H](C(N)=O)[C@@H](C)[N@@H+]1Cc1noc(CCCC(=O)[O-])n1 ZINC001604693532 1169657021 /nfs/dbraw/zinc/65/70/21/1169657021.db2.gz JBUVNGXEYLFSBN-MXWKQRLJSA-N 0 2 324.381 0.951 20 0 DCADLN C[C@@H]1CC[C@H](C(N)=O)[C@@H](C)[N@H+]1Cc1noc(CCCC(=O)[O-])n1 ZINC001604693532 1169657027 /nfs/dbraw/zinc/65/70/27/1169657027.db2.gz JBUVNGXEYLFSBN-MXWKQRLJSA-N 0 2 324.381 0.951 20 0 DCADLN C[C@@H]1CCOCC[N@@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001604758402 1169669821 /nfs/dbraw/zinc/66/98/21/1169669821.db2.gz AJWCMMDUDNLSHC-QMTHXVAHSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@@H]1CCOCC[N@H+]1CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001604758402 1169669828 /nfs/dbraw/zinc/66/98/28/1169669828.db2.gz AJWCMMDUDNLSHC-QMTHXVAHSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@H]1COCC[N@@H+]1CCNC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001604820572 1169696580 /nfs/dbraw/zinc/69/65/80/1169696580.db2.gz SRQWSNJGFBBKTC-RWMBFGLXSA-N 0 2 314.382 0.093 20 0 DCADLN C[C@H]1COCC[N@H+]1CCNC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001604820572 1169696582 /nfs/dbraw/zinc/69/65/82/1169696582.db2.gz SRQWSNJGFBBKTC-RWMBFGLXSA-N 0 2 314.382 0.093 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCC(F)(F)[C@H](CO)C1)C(=O)[O-] ZINC001604929343 1169750139 /nfs/dbraw/zinc/75/01/39/1169750139.db2.gz VXUDXJDIZHSXRC-JBLDHEPKSA-N 0 2 322.352 0.551 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCC(F)(F)[C@H](CO)C1)C(=O)[O-] ZINC001604929343 1169750142 /nfs/dbraw/zinc/75/01/42/1169750142.db2.gz VXUDXJDIZHSXRC-JBLDHEPKSA-N 0 2 322.352 0.551 20 0 DCADLN CO[C@@H](Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O)[C@H]1CCOC1 ZINC001605012732 1169777909 /nfs/dbraw/zinc/77/79/09/1169777909.db2.gz RASGUQSCCQDKDZ-QWRGUYRKSA-N 0 2 320.353 0.092 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001605498722 1169939906 /nfs/dbraw/zinc/93/99/06/1169939906.db2.gz CLXXECNNOZZZKS-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(C(=O)[O-])cn2)C1 ZINC001605498722 1169939910 /nfs/dbraw/zinc/93/99/10/1169939910.db2.gz CLXXECNNOZZZKS-LBPRGKRZSA-N 0 2 313.379 0.293 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N=[S@@](C)(=O)C(C)C ZINC001605506796 1169941599 /nfs/dbraw/zinc/94/15/99/1169941599.db2.gz QQGBDSWEPPBZPI-QFIPXVFZSA-N 0 2 324.366 0.922 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC2CC1(C)C2 ZINC001605506545 1169942175 /nfs/dbraw/zinc/94/21/75/1169942175.db2.gz DFUIYVBODRLQNA-UHFFFAOYSA-N 0 2 300.322 0.900 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NOCCC1CC1 ZINC001605509164 1169943687 /nfs/dbraw/zinc/94/36/87/1169943687.db2.gz BSPYYCIDYYTAHE-UHFFFAOYSA-N 0 2 304.310 0.737 20 0 DCADLN CCOC(=O)[C@H]1C[NH2+]CCN1C(=O)c1cc(C(=O)[O-])oc1C ZINC001605531454 1169949505 /nfs/dbraw/zinc/94/95/05/1169949505.db2.gz MFVZMDVAWDSKDY-SNVBAGLBSA-N 0 2 310.306 0.263 20 0 DCADLN Cc1ccc(CCNc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)o1 ZINC001605843064 1170009494 /nfs/dbraw/zinc/00/94/94/1170009494.db2.gz JJMDGGGDGIVEDL-UHFFFAOYSA-N 0 2 317.309 0.222 20 0 DCADLN CCn1c(N2CC[C@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001605912465 1170025859 /nfs/dbraw/zinc/02/58/59/1170025859.db2.gz UIDRRLVPJLUMPC-ZIBATOQPSA-N 0 2 323.397 0.121 20 0 DCADLN CCn1c(N2CC[C@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001605912465 1170025865 /nfs/dbraw/zinc/02/58/65/1170025865.db2.gz UIDRRLVPJLUMPC-ZIBATOQPSA-N 0 2 323.397 0.121 20 0 DCADLN Cc1ccccc1Cn1c(=O)c(-c2nn[nH]n2)c(C)n(C)c1=O ZINC001605942527 1170030281 /nfs/dbraw/zinc/03/02/81/1170030281.db2.gz VQLSGLYXGPQWJC-UHFFFAOYSA-N 0 2 312.333 0.392 20 0 DCADLN CN(C(=O)[C@H]1C[C@@H]1C(=O)[O-])C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001605955775 1170035012 /nfs/dbraw/zinc/03/50/12/1170035012.db2.gz COGFBSGZQHQDBT-KBPBESRZSA-N 0 2 320.393 0.564 20 0 DCADLN CN(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001605971860 1170039205 /nfs/dbraw/zinc/03/92/05/1170039205.db2.gz VDGFCMHQRCOMDF-TXEJJXNPSA-N 0 2 313.398 0.602 20 0 DCADLN CN(C(=O)NCC[N@H+]1CCOC(C)(C)C1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001605971860 1170039209 /nfs/dbraw/zinc/03/92/09/1170039209.db2.gz VDGFCMHQRCOMDF-TXEJJXNPSA-N 0 2 313.398 0.602 20 0 DCADLN Cc1nn(CC(=O)N[C@@H](C)C(C)C)c(=O)c(-c2nn[nH]n2)c1C ZINC001606115378 1170081998 /nfs/dbraw/zinc/08/19/98/1170081998.db2.gz YLQPZNPXCVHATJ-VIFPVBQESA-N 0 2 319.369 0.201 20 0 DCADLN Cc1nn(CC(=O)c2ccn(C)c2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114619 1170082055 /nfs/dbraw/zinc/08/20/55/1170082055.db2.gz ZCCGEYGDHBSCBL-UHFFFAOYSA-N 0 2 313.321 0.262 20 0 DCADLN Cc1nn(Cn2cc(Cl)cn2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606116127 1170082084 /nfs/dbraw/zinc/08/20/84/1170082084.db2.gz ONOVNGMAQYHEBX-UHFFFAOYSA-N 0 2 306.717 0.396 20 0 DCADLN Cc1noc(CN(C)Cn2ccc(C)c(-c3nn[nH]n3)c2=O)n1 ZINC001606133273 1170089886 /nfs/dbraw/zinc/08/98/86/1170089886.db2.gz CQDCAQCEAUMLNM-UHFFFAOYSA-N 0 2 316.325 0.118 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CC2CCCCCC2)c1=O ZINC001606221753 1170120317 /nfs/dbraw/zinc/12/03/17/1170120317.db2.gz OBTYVESTMPYICX-UHFFFAOYSA-N 0 2 304.354 0.698 20 0 DCADLN Cn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@H](C(=O)[O-])C1 ZINC001606224477 1170123548 /nfs/dbraw/zinc/12/35/48/1170123548.db2.gz JNBRWOFRHNETOR-MNOVXSKESA-N 0 2 309.370 0.262 20 0 DCADLN Cn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCO[C@H](C(=O)[O-])C1 ZINC001606224477 1170123551 /nfs/dbraw/zinc/12/35/51/1170123551.db2.gz JNBRWOFRHNETOR-MNOVXSKESA-N 0 2 309.370 0.262 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1CC[C@H](OC(=O)N(C)C)C1 ZINC001606332159 1170160582 /nfs/dbraw/zinc/16/05/82/1170160582.db2.gz PZDKMJDFNCPXTP-VHSXEESVSA-N 0 2 302.327 0.165 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1CC[C@H](OC(=O)N(C)C)C1 ZINC001606332159 1170160585 /nfs/dbraw/zinc/16/05/85/1170160585.db2.gz PZDKMJDFNCPXTP-VHSXEESVSA-N 0 2 302.327 0.165 20 0 DCADLN COC(=O)[C@]1(C)C[C@@H](OC)C[N@@H+]1C[C@@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606347640 1170166561 /nfs/dbraw/zinc/16/65/61/1170166561.db2.gz JIPMUABUHNKQNR-RWSFTLGLSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@]1(C)C[C@@H](OC)C[N@H+]1C[C@@H]1CC(C(=O)[O-])=C(C)O1 ZINC001606347640 1170166564 /nfs/dbraw/zinc/16/65/64/1170166564.db2.gz JIPMUABUHNKQNR-RWSFTLGLSA-N 0 2 313.350 0.786 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@@H+]1CCOC(=O)N(C)C ZINC001606388083 1170178134 /nfs/dbraw/zinc/17/81/34/1170178134.db2.gz YNFUXCTWEOJMDT-UWVGGRQHSA-N 0 2 302.327 0.023 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1CCOC(=O)N(C)C ZINC001606388083 1170178139 /nfs/dbraw/zinc/17/81/39/1170178139.db2.gz YNFUXCTWEOJMDT-UWVGGRQHSA-N 0 2 302.327 0.023 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@@H]1C(=O)[O-] ZINC001606417801 1170193022 /nfs/dbraw/zinc/19/30/22/1170193022.db2.gz SOJTXJFBKHKFHJ-DTWKUNHWSA-N 0 2 309.322 0.020 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)Nc1ccccc1F ZINC001606623573 1170246422 /nfs/dbraw/zinc/24/64/22/1170246422.db2.gz QCEYHPJNCXVEQW-UHFFFAOYSA-N 0 2 315.268 0.201 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@@H+]2CCC[C@H](C3N=NC(=O)O3)C2)CCC1 ZINC001606709127 1170271324 /nfs/dbraw/zinc/27/13/24/1170271324.db2.gz VOOGAFSPKATQDF-VHSXEESVSA-N 0 2 311.338 0.977 20 0 DCADLN COC1([C@@H](C(=O)[O-])[N@H+]2CCC[C@H](C3N=NC(=O)O3)C2)CCC1 ZINC001606709127 1170271326 /nfs/dbraw/zinc/27/13/26/1170271326.db2.gz VOOGAFSPKATQDF-VHSXEESVSA-N 0 2 311.338 0.977 20 0 DCADLN COC[C@@H](NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccn1)C(=O)[O-] ZINC001606805831 1170297198 /nfs/dbraw/zinc/29/71/98/1170297198.db2.gz CJYYREIRNYCAMZ-OLZOCXBDSA-N 0 2 307.350 0.262 20 0 DCADLN COC[C@@H](NC(=O)[C@@H]1CCC[N@H+]1Cc1ccccn1)C(=O)[O-] ZINC001606805831 1170297204 /nfs/dbraw/zinc/29/72/04/1170297204.db2.gz CJYYREIRNYCAMZ-OLZOCXBDSA-N 0 2 307.350 0.262 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)Cn2ccc(C(=O)[O-])n2)c1C ZINC001607020700 1170358969 /nfs/dbraw/zinc/35/89/69/1170358969.db2.gz BFOJSMBGWIUUGZ-UHFFFAOYSA-N 0 2 318.333 0.918 20 0 DCADLN c1cc(=[NH+]C[C@@H]2COC3(CCCC3)O2)cc(-c2nn[n-]n2)[nH]1 ZINC001607121016 1170404531 /nfs/dbraw/zinc/40/45/31/1170404531.db2.gz KVYVXYLWQKMARW-LLVKDONJSA-N 0 2 302.338 0.781 20 0 DCADLN COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N2CC[C@@H]2C(=O)[O-])cc1 ZINC001607253832 1170419390 /nfs/dbraw/zinc/41/93/90/1170419390.db2.gz LZEHWUIAIMQKLR-UONOGXRCSA-N 0 2 304.346 0.955 20 0 DCADLN COc1ccc(C[N@H+]2CC[C@H]2C(=O)N2CC[C@@H]2C(=O)[O-])cc1 ZINC001607253832 1170419396 /nfs/dbraw/zinc/41/93/96/1170419396.db2.gz LZEHWUIAIMQKLR-UONOGXRCSA-N 0 2 304.346 0.955 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N(C)CCNc1ncc(C(=O)[O-])cn1 ZINC001607500251 1170468693 /nfs/dbraw/zinc/46/86/93/1170468693.db2.gz YCGGQNPXRANTPF-UHFFFAOYSA-N 0 2 318.337 0.319 20 0 DCADLN Cc1c(-c2cc(C[N@@H+]3C[C@@H](O)C[C@@H]3C(=O)[O-])on2)cnn1C ZINC001607500662 1170468812 /nfs/dbraw/zinc/46/88/12/1170468812.db2.gz XSDZDQQEOCTYOO-TVQRCGJNSA-N 0 2 306.322 0.403 20 0 DCADLN Cc1c(-c2cc(C[N@H+]3C[C@@H](O)C[C@@H]3C(=O)[O-])on2)cnn1C ZINC001607500662 1170468815 /nfs/dbraw/zinc/46/88/15/1170468815.db2.gz XSDZDQQEOCTYOO-TVQRCGJNSA-N 0 2 306.322 0.403 20 0 DCADLN Cc1ccc([C@@H]([NH2+]CC(=O)N2CCOCC2)C(=O)[O-])cc1C ZINC001607756045 1170504047 /nfs/dbraw/zinc/50/40/47/1170504047.db2.gz FFKWHCDYKFEQBC-OAHLLOKOSA-N 0 2 306.362 0.878 20 0 DCADLN Cn1c(=O)oc2ccc(C[N@@H+]3CCOC[C@@H]3CC(=O)[O-])cc21 ZINC001608077469 1170565861 /nfs/dbraw/zinc/56/58/61/1170565861.db2.gz XENKMQNBMYPVSB-NSHDSACASA-N 0 2 306.318 0.807 20 0 DCADLN Cn1c(=O)oc2ccc(C[N@H+]3CCOC[C@@H]3CC(=O)[O-])cc21 ZINC001608077469 1170565869 /nfs/dbraw/zinc/56/58/69/1170565869.db2.gz XENKMQNBMYPVSB-NSHDSACASA-N 0 2 306.318 0.807 20 0 DCADLN NC(=O)c1ccc(NC(=O)CC[N@@H+]2C[C@@H](F)C[C@H]2C(=O)[O-])cc1 ZINC001608244441 1170627792 /nfs/dbraw/zinc/62/77/92/1170627792.db2.gz CFVWCWSTKGSQAO-JQWIXIFHSA-N 0 2 323.324 0.611 20 0 DCADLN NC(=O)c1ccc(NC(=O)CC[N@H+]2C[C@@H](F)C[C@H]2C(=O)[O-])cc1 ZINC001608244441 1170627796 /nfs/dbraw/zinc/62/77/96/1170627796.db2.gz CFVWCWSTKGSQAO-JQWIXIFHSA-N 0 2 323.324 0.611 20 0 DCADLN O=C([O-])c1ncoc1COCC(=O)N[C@@H]1CCc2c[nH+]cn2C1 ZINC001608348583 1170656614 /nfs/dbraw/zinc/65/66/14/1170656614.db2.gz VTFWENLPIDVCOT-SECBINFHSA-N 0 2 320.305 0.217 20 0 DCADLN O=C(Cc1csc2nccn12)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001608372675 1170662512 /nfs/dbraw/zinc/66/25/12/1170662512.db2.gz UCZHDHWVAITXND-SNVBAGLBSA-N 0 2 319.346 0.474 20 0 DCADLN O=C(Cc1csc2nccn12)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001608372675 1170662514 /nfs/dbraw/zinc/66/25/14/1170662514.db2.gz UCZHDHWVAITXND-SNVBAGLBSA-N 0 2 319.346 0.474 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001608543226 1170699414 /nfs/dbraw/zinc/69/94/14/1170699414.db2.gz JNDKFRNKZXZMBC-AAEUAGOBSA-N 0 2 319.292 0.595 20 0 DCADLN O=C([O-])[C@@H]1CCN2C(=O)N(CCCn3cc[nH+]c3)C(=O)[C@H]2C1 ZINC001608640727 1170728445 /nfs/dbraw/zinc/72/84/45/1170728445.db2.gz YWDOFYMURXPDLC-GHMZBOCLSA-N 0 2 306.322 0.401 20 0 DCADLN O=C([O-])CNC(=O)[C@H]1CCCN1c1nc(N2CCCC2)cc[nH+]1 ZINC001608752439 1170749545 /nfs/dbraw/zinc/74/95/45/1170749545.db2.gz SJUJGGBLZCNXSM-LLVKDONJSA-N 0 2 319.365 0.246 20 0 DCADLN O=C([O-])Cn1cc(C[N@H+]2CCC[C@@](O)(C(F)(F)F)CC2)nn1 ZINC001608797267 1170756275 /nfs/dbraw/zinc/75/62/75/1170756275.db2.gz YKCUWRJEFWCMNI-NSHDSACASA-N 0 2 322.287 0.642 20 0 DCADLN O=C([O-])Cn1cc(C[N@@H+]2CCC[C@@](O)(C(F)(F)F)CC2)nn1 ZINC001608797267 1170756283 /nfs/dbraw/zinc/75/62/83/1170756283.db2.gz YKCUWRJEFWCMNI-NSHDSACASA-N 0 2 322.287 0.642 20 0 DCADLN O=C([O-])c1cc(CN2CC[NH+](CCc3cnccn3)CC2)on1 ZINC001608842329 1170764902 /nfs/dbraw/zinc/76/49/02/1170764902.db2.gz JUBUIDBIYHAFCP-UHFFFAOYSA-N 0 2 317.349 0.523 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCC[N@H+]2CC=CCC2)cn1 ZINC001608912703 1170771145 /nfs/dbraw/zinc/77/11/45/1170771145.db2.gz ZZTWZCRDSQGABE-UHFFFAOYSA-N 0 2 311.363 0.320 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)cn1 ZINC001608912703 1170771148 /nfs/dbraw/zinc/77/11/48/1170771148.db2.gz ZZTWZCRDSQGABE-UHFFFAOYSA-N 0 2 311.363 0.320 20 0 DCADLN O=C([O-])c1ccnc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC001608951202 1170774722 /nfs/dbraw/zinc/77/47/22/1170774722.db2.gz KSIBKQYTCCRRSE-CYBMUJFWSA-N 0 2 319.361 0.717 20 0 DCADLN O=C([O-])c1coc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)c1 ZINC001608990363 1170781828 /nfs/dbraw/zinc/78/18/28/1170781828.db2.gz UNAPDAGCSAOWJO-UHFFFAOYSA-N 0 2 314.363 0.694 20 0 DCADLN CCC(C)(C)NC(=O)Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001609182933 1170853717 /nfs/dbraw/zinc/85/37/17/1170853717.db2.gz PYFATGPRAVUYJJ-UHFFFAOYSA-N 0 2 319.369 0.345 20 0 DCADLN COC(=O)CC1(NCc2nc(-c3nn[nH]n3)cs2)CCC1 ZINC001609297834 1170922990 /nfs/dbraw/zinc/92/29/90/1170922990.db2.gz AAVYRPKCFICJGM-UHFFFAOYSA-N 0 2 308.367 0.899 20 0 DCADLN CSc1n[nH]c(NC(=O)c2cc(O)cc(-c3nn[nH]n3)c2)n1 ZINC001609374195 1170951268 /nfs/dbraw/zinc/95/12/68/1170951268.db2.gz MFGCCYHBTTZIOX-UHFFFAOYSA-N 0 2 318.322 0.665 20 0 DCADLN Cn1nccc1[C@H]([NH2+]Cc1cc(-c2nn[nH]n2)cs1)C(=O)[O-] ZINC001609482897 1170994759 /nfs/dbraw/zinc/99/47/59/1170994759.db2.gz YMMYAKIEAFJAAH-JTQLQIEISA-N 0 2 319.350 0.577 20 0 DCADLN O=C(CC[C@@H]1CCCCO1)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001609521648 1171006165 /nfs/dbraw/zinc/00/61/65/1171006165.db2.gz DIYJIYVLDIPEFW-NSHDSACASA-N 0 2 318.337 0.342 20 0 DCADLN O=C1[C@H](NCc2ccc(-c3nn[nH]n3)o2)C[C@H]2CCCCN12 ZINC001609586869 1171033004 /nfs/dbraw/zinc/03/30/04/1171033004.db2.gz WBRGIARKAQFKIU-MWLCHTKSSA-N 0 2 302.338 0.703 20 0 DCADLN CC(C)(C)n1cc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])cn1 ZINC001609672402 1171063135 /nfs/dbraw/zinc/06/31/35/1171063135.db2.gz LMGFNKYAYXMLCY-GFCCVEGCSA-N 0 2 308.382 0.803 20 0 DCADLN CC(C)(C)n1cc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])cn1 ZINC001609672402 1171063141 /nfs/dbraw/zinc/06/31/41/1171063141.db2.gz LMGFNKYAYXMLCY-GFCCVEGCSA-N 0 2 308.382 0.803 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC001609750892 1171077754 /nfs/dbraw/zinc/07/77/54/1171077754.db2.gz GYQCHWLOXYLQME-XHDPSFHLSA-N 0 2 322.365 0.101 20 0 DCADLN CCc1noc(CCCC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001610077862 1171150595 /nfs/dbraw/zinc/15/05/95/1171150595.db2.gz PKRIANOOSXOQOS-JTQLQIEISA-N 0 2 321.337 0.490 20 0 DCADLN CCc1noc(CCCC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001610077862 1171150599 /nfs/dbraw/zinc/15/05/99/1171150599.db2.gz PKRIANOOSXOQOS-JTQLQIEISA-N 0 2 321.337 0.490 20 0 DCADLN C[N@H+](CCc1cnccn1)CC(=O)Nc1cccc(C(=O)[O-])n1 ZINC001610119412 1171163675 /nfs/dbraw/zinc/16/36/75/1171163675.db2.gz UGWWSQBRYKXSCX-UHFFFAOYSA-N 0 2 315.333 0.683 20 0 DCADLN C[N@@H+](CCc1cnccn1)CC(=O)Nc1cccc(C(=O)[O-])n1 ZINC001610119412 1171163680 /nfs/dbraw/zinc/16/36/80/1171163680.db2.gz UGWWSQBRYKXSCX-UHFFFAOYSA-N 0 2 315.333 0.683 20 0 DCADLN CNS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(C(=O)[O-])cc2F)C1 ZINC001610146791 1171175715 /nfs/dbraw/zinc/17/57/15/1171175715.db2.gz UVUNPCXBWAGXNU-NSHDSACASA-N 0 2 316.354 0.647 20 0 DCADLN COC(=O)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@H](C(=O)[O-])C1 ZINC001610165655 1171184001 /nfs/dbraw/zinc/18/40/01/1171184001.db2.gz HGUDFOGZENKUTP-QWRGUYRKSA-N 0 2 309.322 0.138 20 0 DCADLN COc1cccc(CC(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001610355816 1171223154 /nfs/dbraw/zinc/22/31/54/1171223154.db2.gz RULFUKDSFAAPJF-CYBMUJFWSA-N 0 2 303.318 0.704 20 0 DCADLN COc1ccccc1CC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001610364412 1171223833 /nfs/dbraw/zinc/22/38/33/1171223833.db2.gz DATKOEDUMLLHLW-GFCCVEGCSA-N 0 2 303.318 0.704 20 0 DCADLN COc1nc(C)cc(C)c1C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001610369855 1171225066 /nfs/dbraw/zinc/22/50/66/1171225066.db2.gz XJFVNMBGFDXFFB-CYBMUJFWSA-N 0 2 321.377 0.872 20 0 DCADLN COc1nc(C)cc(C)c1C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001610369855 1171225071 /nfs/dbraw/zinc/22/50/71/1171225071.db2.gz XJFVNMBGFDXFFB-CYBMUJFWSA-N 0 2 321.377 0.872 20 0 DCADLN Cn1ncc2c1nc(C[NH+]1CCC(CC(=O)[O-])CC1)[nH]c2=O ZINC001610615797 1171269369 /nfs/dbraw/zinc/26/93/69/1171269369.db2.gz XXAXNCSNSCZVGC-UHFFFAOYSA-N 0 2 305.338 0.756 20 0 DCADLN O=C(CCOC[C@H]1CCCO1)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001610658080 1171284167 /nfs/dbraw/zinc/28/41/67/1171284167.db2.gz NBPXKPVFTICCAF-VXGBXAGGSA-N 0 2 311.338 0.038 20 0 DCADLN O=C([O-])c1ccc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)cc1F ZINC001610689829 1171292415 /nfs/dbraw/zinc/29/24/15/1171292415.db2.gz HFMRYQDLMWHOOX-LLVKDONJSA-N 0 2 307.325 0.254 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001610717135 1171297984 /nfs/dbraw/zinc/29/79/84/1171297984.db2.gz DHVAQAVLVRSJQA-QWHCGFSZSA-N 0 2 303.293 0.932 20 0 DCADLN O=C([O-])/C=C1/SCC(=O)N1CCC[N@@H+]1CCOCC12CC2 ZINC001610749722 1171309468 /nfs/dbraw/zinc/30/94/68/1171309468.db2.gz SYUHCGAKPHIWMY-XYOKQWHBSA-N 0 2 312.391 0.743 20 0 DCADLN O=C([O-])/C=C1/SCC(=O)N1CCC[N@H+]1CCOCC12CC2 ZINC001610749722 1171309475 /nfs/dbraw/zinc/30/94/75/1171309475.db2.gz SYUHCGAKPHIWMY-XYOKQWHBSA-N 0 2 312.391 0.743 20 0 DCADLN O=C([O-])c1cn(C2C[NH+](C[C@@H](O)COCc3ccco3)C2)nn1 ZINC001610860329 1171343364 /nfs/dbraw/zinc/34/33/64/1171343364.db2.gz JSHLUJBQXUKWLS-LLVKDONJSA-N 0 2 322.321 0.004 20 0 DCADLN NC(=O)NCCCCCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001611315168 1171353803 /nfs/dbraw/zinc/35/38/03/1171353803.db2.gz AMVBGBFXIOGQMD-JTQLQIEISA-N 0 2 324.385 0.445 20 0 DCADLN O=C(C=Cc1ccc2c(c1)NC(=O)CO2)NCc1n[nH]c(=O)[nH]1 ZINC001621869118 1171415795 /nfs/dbraw/zinc/41/57/95/1171415795.db2.gz OFOLWHPLFIGQAT-RQOWECAXSA-N 0 2 315.289 0.171 20 0 DCADLN C[C@@]1(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)CCNC1=O ZINC001627165263 1171498864 /nfs/dbraw/zinc/49/88/64/1171498864.db2.gz XUAUPSXPSGYPRJ-CQSZACIVSA-N 0 2 301.306 0.642 20 0 DCADLN COCCCN(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001627208333 1171500464 /nfs/dbraw/zinc/50/04/64/1171500464.db2.gz FMGYJTVVNBLFEY-UHFFFAOYSA-N 0 2 306.322 0.375 20 0 DCADLN C[C@H]1C(=O)N(NC(=O)c2cnc3ccccc3c2O)C(=O)N1C ZINC001633409989 1171640754 /nfs/dbraw/zinc/64/07/54/1171640754.db2.gz SJDXNENVWGHPPZ-QMMMGPOBSA-N 0 2 314.301 0.455 20 0 DCADLN Cn1cc(CCC(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)nn1 ZINC001635089081 1171692927 /nfs/dbraw/zinc/69/29/27/1171692927.db2.gz PNJWTNJJWIOHQZ-UHFFFAOYSA-N 0 2 313.321 0.877 20 0 DCADLN COCc1nnc(CNC(=O)c2ccc3oc(=O)[nH]c3c2)[nH]1 ZINC001640926262 1171897646 /nfs/dbraw/zinc/89/76/46/1171897646.db2.gz BBKHOIFCIZCGEQ-UHFFFAOYSA-N 0 2 303.278 0.728 20 0 DCADLN O=C1NC(=O)N2CCN(Cc3nc(=O)c4sccc4[nH]3)C[C@@H]12 ZINC001642566991 1171949336 /nfs/dbraw/zinc/94/93/36/1171949336.db2.gz GVVLLLCIHNLEGA-QMMMGPOBSA-N 0 2 319.346 0.133 20 0 DCADLN O=c1ncnc2n(Cc3nc(C[C@H]4CCCO4)no3)[nH]cc1-2 ZINC001645681131 1172099623 /nfs/dbraw/zinc/09/96/23/1172099623.db2.gz LKOYJSHMRBQNFP-MRVPVSSYSA-N 0 2 302.294 0.224 20 0 DCADLN Cn1nccc1[C@@H]1CCCC[N@@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC001645953813 1172196057 /nfs/dbraw/zinc/19/60/57/1172196057.db2.gz QLFREPLXZWLDNA-STQMWFEESA-N 0 2 306.366 0.633 20 0 DCADLN Cn1nccc1[C@@H]1CCCC[N@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC001645953813 1172196062 /nfs/dbraw/zinc/19/60/62/1172196062.db2.gz QLFREPLXZWLDNA-STQMWFEESA-N 0 2 306.366 0.633 20 0 DCADLN Cc1n[nH]c([C@H]2CC[N@@H+]([C@@H](C)c3nc(-c4nc[nH]n4)no3)C2)n1 ZINC001646221785 1172293210 /nfs/dbraw/zinc/29/32/10/1172293210.db2.gz TWJMPRKFXJSKOG-CBAPKCEASA-N 0 2 315.341 0.832 20 0 DCADLN Cc1n[nH]c([C@H]2CC[N@H+]([C@@H](C)c3nc(-c4nc[nH]n4)no3)C2)n1 ZINC001646221785 1172293217 /nfs/dbraw/zinc/29/32/17/1172293217.db2.gz TWJMPRKFXJSKOG-CBAPKCEASA-N 0 2 315.341 0.832 20 0 DCADLN CN(Cc1nnc2c(=O)n(C)ccn12)C(=O)C(F)C(F)(F)F ZINC001646993987 1172631921 /nfs/dbraw/zinc/63/19/21/1172631921.db2.gz RBWPAAHFIVQEIF-SSDOTTSWSA-N 0 2 321.234 0.287 20 0 DCADLN CN(Cc1nnc2c(=O)n(C)ccn12)C(=O)[C@@H](F)C(F)(F)F ZINC001646993987 1172631927 /nfs/dbraw/zinc/63/19/27/1172631927.db2.gz RBWPAAHFIVQEIF-SSDOTTSWSA-N 0 2 321.234 0.287 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(CC[C@@H]3CCS(=O)(=O)C3)C2)[nH]1 ZINC001647197219 1172734591 /nfs/dbraw/zinc/73/45/91/1172734591.db2.gz NAKUWFUJITTYEI-GHMZBOCLSA-N 0 2 314.411 0.515 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@H+](CC[C@@H]3CCS(=O)(=O)C3)C2)n[nH]1 ZINC001647197219 1172734595 /nfs/dbraw/zinc/73/45/95/1172734595.db2.gz NAKUWFUJITTYEI-GHMZBOCLSA-N 0 2 314.411 0.515 20 0 DCADLN O=c1[n-]c([C@@H]2CCC[N@@H+](CC[C@@H]3CCS(=O)(=O)C3)C2)n[nH]1 ZINC001647197219 1172734602 /nfs/dbraw/zinc/73/46/02/1172734602.db2.gz NAKUWFUJITTYEI-GHMZBOCLSA-N 0 2 314.411 0.515 20 0 DCADLN C[N@H+](CC(=O)[N-]S(=O)(=O)CC(F)F)Cc1ccccc1 ZINC001647275870 1172778597 /nfs/dbraw/zinc/77/85/97/1172778597.db2.gz NHTFDFGBAMHYGL-UHFFFAOYSA-N 0 2 306.334 0.830 20 0 DCADLN C[N@@H+](CC(=O)[N-]S(=O)(=O)CC(F)F)Cc1ccccc1 ZINC001647275870 1172778601 /nfs/dbraw/zinc/77/86/01/1172778601.db2.gz NHTFDFGBAMHYGL-UHFFFAOYSA-N 0 2 306.334 0.830 20 0 DCADLN COCC[C@@H](NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)C(=O)[O-] ZINC001647326821 1172814365 /nfs/dbraw/zinc/81/43/65/1172814365.db2.gz KCVYGVSREHKVTB-CHWSQXEVSA-N 0 2 314.382 0.236 20 0 DCADLN COCC[C@@H](NC(=O)[C@H]1COCC[N@H+]1C1CCCC1)C(=O)[O-] ZINC001647326821 1172814370 /nfs/dbraw/zinc/81/43/70/1172814370.db2.gz KCVYGVSREHKVTB-CHWSQXEVSA-N 0 2 314.382 0.236 20 0 DCADLN C[C@H]1C[C@H]([NH+]2CCOCC2)CN1Cc1cc(C(=O)[O-])nn1C ZINC001647344928 1172828525 /nfs/dbraw/zinc/82/85/25/1172828525.db2.gz XYEICUUZEMTFFP-AAEUAGOBSA-N 0 2 308.382 0.413 20 0 DCADLN O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001647422597 1172879271 /nfs/dbraw/zinc/87/92/71/1172879271.db2.gz OUSFKLRPQZCQME-GRYCIOLGSA-N 0 2 307.350 0.584 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]1CCNC(=O)C1 ZINC001649719126 1173182502 /nfs/dbraw/zinc/18/25/02/1173182502.db2.gz AWKNPPVELSUSAA-MRVPVSSYSA-N 0 2 320.374 0.882 20 0 DCADLN CC(C)c1ocnc1COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001649741444 1173194819 /nfs/dbraw/zinc/19/48/19/1173194819.db2.gz QABGBOYYWYTZKJ-UHFFFAOYSA-N 0 2 317.305 0.926 20 0 DCADLN CCc1oc(C(=O)[O-])cc1C[N@H+](C)CCNS(C)(=O)=O ZINC001649862373 1173268724 /nfs/dbraw/zinc/26/87/24/1173268724.db2.gz XNGMEJFHRNJTCP-UHFFFAOYSA-N 0 2 304.368 0.521 20 0 DCADLN CCc1oc(C(=O)[O-])cc1C[N@@H+](C)CCNS(C)(=O)=O ZINC001649862373 1173268727 /nfs/dbraw/zinc/26/87/27/1173268727.db2.gz XNGMEJFHRNJTCP-UHFFFAOYSA-N 0 2 304.368 0.521 20 0 DCADLN CO[C@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)[C@H]1CCOC1 ZINC001649909959 1173300146 /nfs/dbraw/zinc/30/01/46/1173300146.db2.gz RPTYCEKVYKXNPN-DCAQKATOSA-N 0 2 310.354 0.268 20 0 DCADLN CO[C@H]1C[C@H](CNC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC001649975602 1173354130 /nfs/dbraw/zinc/35/41/30/1173354130.db2.gz WNCAZPGXWXAWQN-XYPYZODXSA-N 0 2 304.350 0.415 20 0 DCADLN CC(C)C[C@@]1(C(=O)N[C@H](C)c2nn(C)cc2O)CCNC1=O ZINC001653247107 1173784115 /nfs/dbraw/zinc/78/41/15/1173784115.db2.gz BRXDZEATFFMLCT-BMIGLBTASA-N 0 2 308.382 0.855 20 0 DCADLN C[C@@H](NC(=O)Cn1nnc2ccccc21)c1nn(C)cc1O ZINC001653247099 1173784134 /nfs/dbraw/zinc/78/41/34/1173784134.db2.gz BMRQUBUAGRPGND-SECBINFHSA-N 0 2 300.322 0.748 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CCOCC1CC1 ZINC001657259799 1173909855 /nfs/dbraw/zinc/90/98/55/1173909855.db2.gz RYWRYUBGCYPKMA-UHFFFAOYSA-N 0 2 301.311 0.141 20 0 DCADLN C/C(=C\C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001666683464 1174622643 /nfs/dbraw/zinc/62/26/43/1174622643.db2.gz DHJPDTXZCKYYSR-GCZGVDRJSA-N 0 2 321.381 0.184 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001673369029 1175197091 /nfs/dbraw/zinc/19/70/91/1175197091.db2.gz ILSBSBNMXYHYBR-SNVBAGLBSA-N 0 2 323.397 0.596 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001673369029 1175197096 /nfs/dbraw/zinc/19/70/96/1175197096.db2.gz ILSBSBNMXYHYBR-SNVBAGLBSA-N 0 2 323.397 0.596 20 0 DCADLN O=C(c1ccnc(F)c1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001681639221 1175908326 /nfs/dbraw/zinc/90/83/26/1175908326.db2.gz APPOFKFNRSLZPK-UHFFFAOYSA-N 0 2 306.301 0.002 20 0 DCADLN CC(C)[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CC(N)=O ZINC001689016469 1176675226 /nfs/dbraw/zinc/67/52/26/1176675226.db2.gz NWBHJMREPGVUDS-IMTBSYHQSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CC(N)=O ZINC001689016469 1176675230 /nfs/dbraw/zinc/67/52/30/1176675230.db2.gz NWBHJMREPGVUDS-IMTBSYHQSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)Cc2c[nH+]cn2C)CC[N@@H+]1CCOCC1CC1 ZINC001689328083 1176827829 /nfs/dbraw/zinc/82/78/29/1176827829.db2.gz NCRGJWSRWCCWFU-CJNGLKHVSA-N 0 2 320.437 0.968 20 0 DCADLN CCn1cnc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1 ZINC001720130351 1178681468 /nfs/dbraw/zinc/68/14/68/1178681468.db2.gz QOIPHCMDZYEPNH-QMMMGPOBSA-N 0 2 310.251 0.649 20 0 DCADLN CCn1cnc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001720130351 1178681472 /nfs/dbraw/zinc/68/14/72/1178681472.db2.gz QOIPHCMDZYEPNH-QMMMGPOBSA-N 0 2 310.251 0.649 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cc2n(n1)CCO2 ZINC001703219385 1179401742 /nfs/dbraw/zinc/40/17/42/1179401742.db2.gz WGPBCFBMUDQPHX-MRVPVSSYSA-N 0 2 324.234 0.022 20 0 DCADLN O=C(NCCNC(=O)[C@@H](F)C(F)(F)F)c1cc2n(n1)CCO2 ZINC001703219385 1179401744 /nfs/dbraw/zinc/40/17/44/1179401744.db2.gz WGPBCFBMUDQPHX-MRVPVSSYSA-N 0 2 324.234 0.022 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)c1cncnc1 ZINC001703219410 1179401770 /nfs/dbraw/zinc/40/17/70/1179401770.db2.gz WIUHRLWQJRIGHH-APPZFPTMSA-N 0 2 322.262 0.713 20 0 DCADLN C[C@@H](C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1cncnc1 ZINC001703219410 1179401773 /nfs/dbraw/zinc/40/17/73/1179401773.db2.gz WIUHRLWQJRIGHH-APPZFPTMSA-N 0 2 322.262 0.713 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccc(Br)nc1 ZINC000234535645 1180563721 /nfs/dbraw/zinc/56/37/21/1180563721.db2.gz YFBHAXDSPFCTII-UHFFFAOYSA-N 0 2 309.141 0.759 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCOCC2CC2)c1[O-] ZINC001713930620 1181156342 /nfs/dbraw/zinc/15/63/42/1181156342.db2.gz HFOUTPPJRSPFAH-STQMWFEESA-N 0 2 320.393 0.749 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCOCC2CC2)c1[O-] ZINC001713930620 1181156347 /nfs/dbraw/zinc/15/63/47/1181156347.db2.gz HFOUTPPJRSPFAH-STQMWFEESA-N 0 2 320.393 0.749 20 0 DCADLN O=C(C=Cc1ccco1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001714955286 1181616025 /nfs/dbraw/zinc/61/60/25/1181616025.db2.gz OJZFSMSKGGJAHJ-ONEGZZNKSA-N 0 2 303.322 0.365 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)nc1 ZINC001716015687 1182145389 /nfs/dbraw/zinc/14/53/89/1182145389.db2.gz LIDXCRJJRZUDPW-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(F)nc1 ZINC001716015687 1182145394 /nfs/dbraw/zinc/14/53/94/1182145394.db2.gz LIDXCRJJRZUDPW-MRVPVSSYSA-N 0 2 308.317 0.295 20 0 DCADLN CCOCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716836059 1182539521 /nfs/dbraw/zinc/53/95/21/1182539521.db2.gz WLOISSOVOODWKJ-AWEZNQCLSA-N 0 2 311.386 0.408 20 0 DCADLN CCOCCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001716836059 1182539525 /nfs/dbraw/zinc/53/95/25/1182539525.db2.gz WLOISSOVOODWKJ-AWEZNQCLSA-N 0 2 311.386 0.408 20 0 DCADLN CCOCCN1CCOC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001717134720 1182689261 /nfs/dbraw/zinc/68/92/61/1182689261.db2.gz GFJVPZJKWXNAPT-NXEZZACHSA-N 0 2 316.295 0.740 20 0 DCADLN CCOCCN1CCOC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001717134720 1182689267 /nfs/dbraw/zinc/68/92/67/1182689267.db2.gz GFJVPZJKWXNAPT-NXEZZACHSA-N 0 2 316.295 0.740 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001724139725 1184383401 /nfs/dbraw/zinc/38/34/01/1184383401.db2.gz DUODRWNKUDWKAO-CQSZACIVSA-N 0 2 309.370 0.206 20 0 DCADLN C[C@@H](CNC(=O)C=Cc1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001734026808 1186523774 /nfs/dbraw/zinc/52/37/74/1186523774.db2.gz YFMAXBRHKCDKBH-PORFMDCZSA-N 0 2 305.338 0.753 20 0 DCADLN C[C@@H](CNC(=O)C=Cc1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001734026808 1186523779 /nfs/dbraw/zinc/52/37/79/1186523779.db2.gz YFMAXBRHKCDKBH-PORFMDCZSA-N 0 2 305.338 0.753 20 0 DCADLN O=C(NCCNC(=O)C1CCC(O)CC1)C(F)C(F)(F)F ZINC001736730943 1187072042 /nfs/dbraw/zinc/07/20/42/1187072042.db2.gz MTWKUIYNGCFGJT-HACHORDNSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NCCNC(=O)C1CCC(O)CC1)[C@H](F)C(F)(F)F ZINC001736730943 1187072048 /nfs/dbraw/zinc/07/20/48/1187072048.db2.gz MTWKUIYNGCFGJT-HACHORDNSA-N 0 2 314.279 0.670 20 0 DCADLN CC(C)OCC(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001742771488 1188047822 /nfs/dbraw/zinc/04/78/22/1188047822.db2.gz UYYRBIPHOWELMV-SECBINFHSA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)OCC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F ZINC001742771488 1188047827 /nfs/dbraw/zinc/04/78/27/1188047827.db2.gz UYYRBIPHOWELMV-SECBINFHSA-N 0 2 302.268 0.934 20 0 DCADLN C[C@H](C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001755885862 1189249321 /nfs/dbraw/zinc/24/93/21/1189249321.db2.gz OQRZVRGMRJZLNP-JTQLQIEISA-N 0 2 305.382 0.805 20 0 DCADLN C[C@H](C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001755885862 1189249326 /nfs/dbraw/zinc/24/93/26/1189249326.db2.gz OQRZVRGMRJZLNP-JTQLQIEISA-N 0 2 305.382 0.805 20 0 DCADLN CC(C)=CC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O ZINC001756976241 1189482285 /nfs/dbraw/zinc/48/22/85/1189482285.db2.gz COACEDGBMHLRJP-NQMVMOMDSA-N 0 2 312.263 0.541 20 0 DCADLN CC(C)=CC(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1O ZINC001756976241 1189482289 /nfs/dbraw/zinc/48/22/89/1189482289.db2.gz COACEDGBMHLRJP-NQMVMOMDSA-N 0 2 312.263 0.541 20 0 DCADLN CCn1cc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001758027344 1189685913 /nfs/dbraw/zinc/68/59/13/1189685913.db2.gz DZSWCLDFCHOCOY-SNVBAGLBSA-N 0 2 324.278 0.578 20 0 DCADLN CCn1cc(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)cn1 ZINC001758027344 1189685924 /nfs/dbraw/zinc/68/59/24/1189685924.db2.gz DZSWCLDFCHOCOY-SNVBAGLBSA-N 0 2 324.278 0.578 20 0 DCADLN C[C@H]1CC[N@H+](CC(=O)N(C)CCCNC(=O)Cn2cc[nH+]c2)C1 ZINC001758400222 1189869933 /nfs/dbraw/zinc/86/99/33/1189869933.db2.gz BKWXOLIXLRDENF-AWEZNQCLSA-N 0 2 321.425 0.190 20 0 DCADLN C[C@H](NC(=O)c1cc(Br)c(F)cc1O)C(N)=O ZINC001362859099 960100766 /nfs/dbraw/zinc/10/07/66/960100766.db2.gz OPLRTJUUHPGXAL-BYPYZUCNSA-N 0 2 305.103 0.897 20 0 DCADLN CN(C(=O)CC1CC1)C1CN(Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC001042408317 751628246 /nfs/dbraw/zinc/62/82/46/751628246.db2.gz CWCRADVSNGNUPZ-UHFFFAOYSA-N 0 2 315.377 0.465 20 0 DCADLN C[NH+](C)Cc1ccoc1C(=O)N[C@@H]1C[N@H+](CCCF)C[C@H]1O ZINC001077581993 751818375 /nfs/dbraw/zinc/81/83/75/751818375.db2.gz AJVUWYJEVSVPDS-CHWSQXEVSA-N 0 2 313.373 0.476 20 0 DCADLN O=C(N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O)c1n[nH]cc1F ZINC001077633680 752142010 /nfs/dbraw/zinc/14/20/10/752142010.db2.gz BCIOZRVJVTXNMA-CHWSQXEVSA-N 0 2 322.315 0.663 20 0 DCADLN COC(=O)C=Cc1ccc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)o1 ZINC001167404709 747161720 /nfs/dbraw/zinc/16/17/20/747161720.db2.gz DWNLGYLHWPGFIL-WVXIBAHESA-N 0 2 321.289 0.393 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[NH2+]Cc2nc3c(o2)CCCC3)c1[O-] ZINC001125734500 747543594 /nfs/dbraw/zinc/54/35/94/747543594.db2.gz SCZSKGUQLFHRBE-UHFFFAOYSA-N 0 2 319.365 0.810 20 0 DCADLN CN(C(=O)c1occ2c1CCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043475720 752247770 /nfs/dbraw/zinc/24/77/70/752247770.db2.gz QAIYHVZFFCYIEM-UHFFFAOYSA-N 0 2 317.349 0.548 20 0 DCADLN CC(C)(C)C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058792451 748254733 /nfs/dbraw/zinc/25/47/33/748254733.db2.gz VOLNLKVZMLRASJ-MRVPVSSYSA-N 0 2 316.295 0.870 20 0 DCADLN CC(C)(C)C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001058792451 748254739 /nfs/dbraw/zinc/25/47/39/748254739.db2.gz VOLNLKVZMLRASJ-MRVPVSSYSA-N 0 2 316.295 0.870 20 0 DCADLN COc1ccnc(N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001100366666 748455871 /nfs/dbraw/zinc/45/58/71/748455871.db2.gz DQHFITFJFMESBF-MRVPVSSYSA-N 0 2 310.251 0.938 20 0 DCADLN COc1ccnc(N(C)CCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001100366666 748455876 /nfs/dbraw/zinc/45/58/76/748455876.db2.gz DQHFITFJFMESBF-MRVPVSSYSA-N 0 2 310.251 0.938 20 0 DCADLN CCCCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149188784 748996638 /nfs/dbraw/zinc/99/66/38/748996638.db2.gz KPQFUGVAIWIHJF-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cc[nH]c2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071525611 761927983 /nfs/dbraw/zinc/92/79/83/761927983.db2.gz NZFPZSQQJDLGPL-GXSJLCMTSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cc[nH]c2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071525611 761927989 /nfs/dbraw/zinc/92/79/89/761927989.db2.gz NZFPZSQQJDLGPL-GXSJLCMTSA-N 0 2 304.354 0.621 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cncc(F)c2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088520106 749542760 /nfs/dbraw/zinc/54/27/60/749542760.db2.gz WTQQNGXKCIYAIK-KCJUWKMLSA-N 0 2 320.328 0.437 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(C3CC3)CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088644451 749825871 /nfs/dbraw/zinc/82/58/71/749825871.db2.gz CTLWVUIFNYHVHX-KOLCDFICSA-N 0 2 305.382 0.780 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(C3CC3)CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088644451 749825878 /nfs/dbraw/zinc/82/58/78/749825878.db2.gz CTLWVUIFNYHVHX-KOLCDFICSA-N 0 2 305.382 0.780 20 0 DCADLN CCCNC(=O)CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001112867382 761983784 /nfs/dbraw/zinc/98/37/84/761983784.db2.gz OEUULFVGUNDVDF-JTQLQIEISA-N 0 2 313.295 0.557 20 0 DCADLN CCCNC(=O)CN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001112867382 761983791 /nfs/dbraw/zinc/98/37/91/761983791.db2.gz OEUULFVGUNDVDF-JTQLQIEISA-N 0 2 313.295 0.557 20 0 DCADLN Cc1cocc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088798935 750038272 /nfs/dbraw/zinc/03/82/72/750038272.db2.gz PEYNVQJSVMEDFY-KOLCDFICSA-N 0 2 305.338 0.805 20 0 DCADLN Cc1nc(CC(=O)NCC[NH2+]Cc2ccn(C(C)C)n2)n[nH]1 ZINC001126921023 750129032 /nfs/dbraw/zinc/12/90/32/750129032.db2.gz FGYXOGOHGGTAHG-UHFFFAOYSA-N 0 2 305.386 0.339 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cncn2C)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071655482 762030450 /nfs/dbraw/zinc/03/04/50/762030450.db2.gz NCBIYQYKIILDPW-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1ccc(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001106142775 750980219 /nfs/dbraw/zinc/98/02/19/750980219.db2.gz YFTLFQJPHXAHBB-CBAPKCEASA-N 0 2 310.251 0.574 20 0 DCADLN Cc1ccc(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)nn1 ZINC001106142775 750980222 /nfs/dbraw/zinc/98/02/22/750980222.db2.gz YFTLFQJPHXAHBB-CBAPKCEASA-N 0 2 310.251 0.574 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cnco2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071717311 762085558 /nfs/dbraw/zinc/08/55/58/762085558.db2.gz CPDLLHRGILNWQN-BDAKNGLRSA-N 0 2 306.326 0.281 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cnco2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071717312 762085591 /nfs/dbraw/zinc/08/55/91/762085591.db2.gz CPDLLHRGILNWQN-DTWKUNHWSA-N 0 2 306.326 0.281 20 0 DCADLN CC[C@@H]1CCC[C@@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043781929 752387598 /nfs/dbraw/zinc/38/75/98/752387598.db2.gz UNLJNZRMILXUTC-PWSUYJOCSA-N 0 2 307.398 0.979 20 0 DCADLN CC1(C)C[C@H]1C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107877088 752467350 /nfs/dbraw/zinc/46/73/50/752467350.db2.gz PNFBOHUZNPTECR-BONVTDFDSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H]1CC[C@H](C(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001044209130 752630624 /nfs/dbraw/zinc/63/06/24/752630624.db2.gz LLXXHTTVUWANST-XYPYZODXSA-N 0 2 307.398 0.979 20 0 DCADLN CN(C(=O)c1cc(Cl)no1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044216377 752632723 /nfs/dbraw/zinc/63/27/23/752632723.db2.gz BBLMWWKKVXNMSE-UHFFFAOYSA-N 0 2 312.717 0.108 20 0 DCADLN O=P([O-])([O-])OCCNc1[nH+]cccc1N1CC[NH2+]CC1 ZINC001168586482 752658096 /nfs/dbraw/zinc/65/80/96/752658096.db2.gz KHRZJGJPMLYQOR-UHFFFAOYSA-N 0 2 302.271 0.012 20 0 DCADLN O=C(C[NH+]1CCCC1)N[C@@H]1C[N@@H+](Cc2ccccc2)C[C@H]1O ZINC001078178805 753083918 /nfs/dbraw/zinc/08/39/18/753083918.db2.gz DYVQCFAMJQMWCX-HZPDHXFCSA-N 0 2 303.406 0.444 20 0 DCADLN CC1(NC(=O)c2ccco2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001045347215 753154938 /nfs/dbraw/zinc/15/49/38/753154938.db2.gz VJFLMDYYJCEMDB-UHFFFAOYSA-N 0 2 305.338 0.888 20 0 DCADLN O=C(N[C@@H]1C[C@@H]([NH2+]Cc2csnn2)C12CCC2)c1cnn[nH]1 ZINC001078667613 753335417 /nfs/dbraw/zinc/33/54/17/753335417.db2.gz GUAKZZNFGZTYFZ-GHMZBOCLSA-N 0 2 319.394 0.487 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@H]1CC12CC2 ZINC001096063754 753400812 /nfs/dbraw/zinc/40/08/12/753400812.db2.gz RUZOOJCYOWAALV-ZNSHCXBVSA-N 0 2 303.366 0.532 20 0 DCADLN C[C@]1(NC(=O)c2ccccn2)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046100300 753480564 /nfs/dbraw/zinc/48/05/64/753480564.db2.gz DFUVTQJTDOFJOQ-AWEZNQCLSA-N 0 2 302.338 0.300 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001046127637 753496378 /nfs/dbraw/zinc/49/63/78/753496378.db2.gz BSDYOGPUOJVIHY-CYBMUJFWSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@]1(NC(=O)c2ccccc2O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046150447 753510204 /nfs/dbraw/zinc/51/02/04/753510204.db2.gz WHNLBPOULRDVQT-HNNXBMFYSA-N 0 2 317.349 0.610 20 0 DCADLN C[C@]1(NC(=O)c2ccccc2O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046150447 753510207 /nfs/dbraw/zinc/51/02/07/753510207.db2.gz WHNLBPOULRDVQT-HNNXBMFYSA-N 0 2 317.349 0.610 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C(F)C(F)(F)F)C(F)F ZINC001061173750 753541987 /nfs/dbraw/zinc/54/19/87/753541987.db2.gz YYDKRZBHCXUMCP-WDSKDSINSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)[C@H](F)C(F)(F)F)C(F)F ZINC001061173750 753541993 /nfs/dbraw/zinc/54/19/93/753541993.db2.gz YYDKRZBHCXUMCP-WDSKDSINSA-N 0 2 322.205 0.496 20 0 DCADLN CCC[N@H+]1C[C@@H](NC(=O)CCn2cc[nH+]c2)[C@@H](n2ccnn2)C1 ZINC001129139857 754526776 /nfs/dbraw/zinc/52/67/76/754526776.db2.gz XMLBBWGELOZSOT-KGLIPLIRSA-N 0 2 317.397 0.316 20 0 DCADLN C[C@H](CNc1nccn2nnnc12)NC(=O)C(F)C(F)(F)F ZINC001108170551 754868710 /nfs/dbraw/zinc/86/87/10/754868710.db2.gz IBRPVXOHALLZCI-PHDIDXHHSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@H](CNc1nccn2nnnc12)NC(=O)[C@@H](F)C(F)(F)F ZINC001108170551 754868715 /nfs/dbraw/zinc/86/87/15/754868715.db2.gz IBRPVXOHALLZCI-PHDIDXHHSA-N 0 2 321.238 0.336 20 0 DCADLN Cc1ocnc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096859204 755537396 /nfs/dbraw/zinc/53/73/96/755537396.db2.gz IUAJWMDTQLUEQP-BBBLOLIVSA-N 0 2 318.337 0.342 20 0 DCADLN CCN(C(=O)CCOC)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001079184244 755740246 /nfs/dbraw/zinc/74/02/46/755740246.db2.gz ORFDRTDFKZETBD-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN CCN(C(=O)CCOC)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001079184244 755740251 /nfs/dbraw/zinc/74/02/51/755740251.db2.gz ORFDRTDFKZETBD-SNVBAGLBSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccsc1 ZINC001079381760 755801831 /nfs/dbraw/zinc/80/18/31/755801831.db2.gz VWYDMWNWTYOGAP-MWLCHTKSSA-N 0 2 321.406 0.751 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccsc1 ZINC001079381760 755801836 /nfs/dbraw/zinc/80/18/36/755801836.db2.gz VWYDMWNWTYOGAP-MWLCHTKSSA-N 0 2 321.406 0.751 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccccc1O ZINC001079433167 755842023 /nfs/dbraw/zinc/84/20/23/755842023.db2.gz MWJWIIFXJZEKBT-MWLCHTKSSA-N 0 2 317.349 0.466 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1ccccc1O ZINC001079433167 755842029 /nfs/dbraw/zinc/84/20/29/755842029.db2.gz MWJWIIFXJZEKBT-MWLCHTKSSA-N 0 2 317.349 0.466 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC(F)(F)C1 ZINC001079550602 755905501 /nfs/dbraw/zinc/90/55/01/755905501.db2.gz SYBHZPHIGXLXPZ-VXNVDRBHSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1CC(F)(F)C1 ZINC001079550602 755905503 /nfs/dbraw/zinc/90/55/03/755905503.db2.gz SYBHZPHIGXLXPZ-VXNVDRBHSA-N 0 2 315.324 0.492 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCC1(C)C ZINC001080295251 756164229 /nfs/dbraw/zinc/16/42/29/756164229.db2.gz MOCQYIHMUOXNGU-GMTAPVOTSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCC1(C)C ZINC001080295251 756164233 /nfs/dbraw/zinc/16/42/33/756164233.db2.gz MOCQYIHMUOXNGU-GMTAPVOTSA-N 0 2 307.398 0.883 20 0 DCADLN CC1(C(=O)N[C@@H]2COC3(CN(Cc4n[nH]c(=O)[nH]4)C3)C2)CCC1 ZINC001053896131 756265066 /nfs/dbraw/zinc/26/50/66/756265066.db2.gz RKNRVVNOTPSUHH-JTQLQIEISA-N 0 2 321.381 0.160 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccco1 ZINC001080651386 756276768 /nfs/dbraw/zinc/27/67/68/756276768.db2.gz KHVJPAKPNTUVDB-MWLCHTKSSA-N 0 2 305.338 0.283 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccco1 ZINC001080651386 756276771 /nfs/dbraw/zinc/27/67/71/756276771.db2.gz KHVJPAKPNTUVDB-MWLCHTKSSA-N 0 2 305.338 0.283 20 0 DCADLN CC(=O)NC1(CO)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001080657921 756283137 /nfs/dbraw/zinc/28/31/37/756283137.db2.gz WDRXKMRGTZGGTO-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)NC1(CO)CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001080657921 756283138 /nfs/dbraw/zinc/28/31/38/756283138.db2.gz WDRXKMRGTZGGTO-MRVPVSSYSA-N 0 2 300.252 0.376 20 0 DCADLN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)[C@@H]1CC12CC2 ZINC001097923818 756321354 /nfs/dbraw/zinc/32/13/54/756321354.db2.gz RUZOOJCYOWAALV-YTWAJWBKSA-N 0 2 303.366 0.532 20 0 DCADLN CCC(CC)C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001083006896 757410990 /nfs/dbraw/zinc/41/09/90/757410990.db2.gz AJCILUYDVSXQDO-NWDGAFQWSA-N 0 2 323.397 0.358 20 0 DCADLN O=c1[nH]c2nc(=O)[nH]c(Nc3ccc(-c4nn[nH]n4)nc3)c2[nH]1 ZINC001170219445 762570743 /nfs/dbraw/zinc/57/07/43/762570743.db2.gz QXYXDELZNCPQIV-UHFFFAOYSA-N 0 2 312.253 0.034 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001084642731 757944843 /nfs/dbraw/zinc/94/48/43/757944843.db2.gz ACZJFWNRKCZVKY-FDYHWXHSSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1)C1CCCCC1 ZINC001050797129 758076239 /nfs/dbraw/zinc/07/62/39/758076239.db2.gz HIPQGILXONHYOZ-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001065237147 758301751 /nfs/dbraw/zinc/30/17/51/758301751.db2.gz UWHIACUKKYZINM-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)C(=O)NC[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001065237147 758301765 /nfs/dbraw/zinc/30/17/65/758301765.db2.gz UWHIACUKKYZINM-RKDXNWHRSA-N 0 2 314.279 0.886 20 0 DCADLN CC1(C)CC[C@H]1C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001051067214 758410872 /nfs/dbraw/zinc/41/08/72/758410872.db2.gz DYZIXMUKOJLPLB-MNOVXSKESA-N 0 2 323.397 0.264 20 0 DCADLN Cc1coc(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001051077387 758419398 /nfs/dbraw/zinc/41/93/98/758419398.db2.gz DKHGTTNKDGRBJZ-SNVBAGLBSA-N 0 2 321.337 0.043 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccnc(F)c1 ZINC001085510649 758974281 /nfs/dbraw/zinc/97/42/81/758974281.db2.gz AEYGHFWTGLQSES-SNVBAGLBSA-N 0 2 320.328 0.391 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001085536083 759015450 /nfs/dbraw/zinc/01/54/50/759015450.db2.gz VGLLXGACSOFIJT-DCQANWLSSA-N 0 2 305.382 0.589 20 0 DCADLN Cc1ccn(C)c1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085568207 759062276 /nfs/dbraw/zinc/06/22/76/759062276.db2.gz CKNVGHJZIPZLMR-NSHDSACASA-N 0 2 318.381 0.504 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)[C@@H]1CC12CCC2 ZINC001085848174 759373493 /nfs/dbraw/zinc/37/34/93/759373493.db2.gz SQBWUKXYMDISAV-QWRGUYRKSA-N 0 2 305.382 0.733 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cncc(Br)n1 ZINC001147874359 767867332 /nfs/dbraw/zinc/86/73/32/767867332.db2.gz IIIUNHUFZYLFBQ-ZETCQYMHSA-N 0 2 317.124 0.278 20 0 DCADLN C[C@@H](CNc1nccn2nnnc12)NC(=O)C(F)C(F)(F)F ZINC001108739570 761024838 /nfs/dbraw/zinc/02/48/38/761024838.db2.gz IBRPVXOHALLZCI-WDSKDSINSA-N 0 2 321.238 0.336 20 0 DCADLN C[C@@H](CNc1nccn2nnnc12)NC(=O)[C@H](F)C(F)(F)F ZINC001108739570 761024850 /nfs/dbraw/zinc/02/48/50/761024850.db2.gz IBRPVXOHALLZCI-WDSKDSINSA-N 0 2 321.238 0.336 20 0 DCADLN COc1c(F)c(F)cc(C(=O)NCc2n[nH]c(=O)[nH]2)c1F ZINC001148789337 768034588 /nfs/dbraw/zinc/03/45/88/768034588.db2.gz XVIWRYGZWONDHK-UHFFFAOYSA-N 0 2 302.212 0.866 20 0 DCADLN CC(C)C(=O)N1C[C@@H](C)O[C@@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071138417 761646607 /nfs/dbraw/zinc/64/66/07/761646607.db2.gz DMKUSMUCLCGSDG-IAQYHMDHSA-N 0 2 323.397 0.358 20 0 DCADLN CC(C)C(=O)N1C[C@@H](C)O[C@@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071138417 761646611 /nfs/dbraw/zinc/64/66/11/761646611.db2.gz DMKUSMUCLCGSDG-IAQYHMDHSA-N 0 2 323.397 0.358 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C2CCOCC2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071305715 761770909 /nfs/dbraw/zinc/77/09/09/761770909.db2.gz YILHZTGJAABSCU-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)C2CCOCC2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071305715 761770916 /nfs/dbraw/zinc/77/09/16/761770916.db2.gz YILHZTGJAABSCU-CMPLNLGQSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccncc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071314977 761773566 /nfs/dbraw/zinc/77/35/66/761773566.db2.gz PLNDLWOTCANZTQ-PWSUYJOCSA-N 0 2 316.365 0.688 20 0 DCADLN CCCCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099676030 762957337 /nfs/dbraw/zinc/95/73/37/762957337.db2.gz ULVMFRZVJHWBSF-GHMZBOCLSA-N 0 2 311.386 0.142 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)CC(F)(F)F)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131892154 764104989 /nfs/dbraw/zinc/10/49/89/764104989.db2.gz LCGXCVYBAFTXGP-YUMQZZPRSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)CC(F)(F)F)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001131892154 764104995 /nfs/dbraw/zinc/10/49/95/764104995.db2.gz LCGXCVYBAFTXGP-YUMQZZPRSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)CC(F)(F)F)CN1Cc1n[nH]c(=O)[nH]1 ZINC001131892154 764105008 /nfs/dbraw/zinc/10/50/08/764105008.db2.gz LCGXCVYBAFTXGP-YUMQZZPRSA-N 0 2 321.303 0.932 20 0 DCADLN C[C@H](CN(C)C(=O)C[C@H]1CCCO1)Nc1ccc2nnnn2n1 ZINC001115616027 765768576 /nfs/dbraw/zinc/76/85/76/765768576.db2.gz CPCRXOBFDKMVAY-GHMZBOCLSA-N 0 2 319.369 0.347 20 0 DCADLN COc1c[nH]c(C(=O)Nc2nc(-c3ccncc3)n[nH]2)cc1=O ZINC001136507649 766313847 /nfs/dbraw/zinc/31/38/47/766313847.db2.gz SZYBKRIKYQSGDJ-UHFFFAOYSA-N 0 2 312.289 0.816 20 0 DCADLN CO[C@H]1CCn2cc(C(=O)NCCc3n[nH]c(=S)o3)nc2C1 ZINC001119006640 766500943 /nfs/dbraw/zinc/50/09/43/766500943.db2.gz MOTKQQCZKBQWSQ-QMMMGPOBSA-N 0 2 323.378 0.489 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC001119586296 766740415 /nfs/dbraw/zinc/74/04/15/766740415.db2.gz DKLAIRUNBWTTLJ-HYXAFXHYSA-N 0 2 308.334 0.782 20 0 DCADLN CO[C@@H]1CN(c2ncccn2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001090946900 766758070 /nfs/dbraw/zinc/75/80/70/766758070.db2.gz UUKORAGKWABSBT-IWSPIJDZSA-N 0 2 322.262 0.697 20 0 DCADLN CO[C@@H]1CN(c2ncccn2)C[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001090946900 766758076 /nfs/dbraw/zinc/75/80/76/766758076.db2.gz UUKORAGKWABSBT-IWSPIJDZSA-N 0 2 322.262 0.697 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cncc(OC)c2C)C1=O ZINC001139683936 768485468 /nfs/dbraw/zinc/48/54/68/768485468.db2.gz LSUSEBPISIVSCL-AWEZNQCLSA-N 0 2 306.322 0.764 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)C[C@H]1CC(=O)N1 ZINC001141314408 768574223 /nfs/dbraw/zinc/57/42/23/768574223.db2.gz OLTHHNXDGSNEAE-SSDOTTSWSA-N 0 2 306.347 0.634 20 0 DCADLN CC(C)/C=C/C(=O)NCCC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001142664523 768656720 /nfs/dbraw/zinc/65/67/20/768656720.db2.gz VFGSZKQJQBVJQN-PCAWENJQSA-N 0 2 322.365 0.240 20 0 DCADLN CC(C)/C=C/C(=O)NCCC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001142664523 768656725 /nfs/dbraw/zinc/65/67/25/768656725.db2.gz VFGSZKQJQBVJQN-PCAWENJQSA-N 0 2 322.365 0.240 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1ncn(-c2ccccc2)n1 ZINC001149283926 768723574 /nfs/dbraw/zinc/72/35/74/768723574.db2.gz ILTOSSCODIUYTH-UHFFFAOYSA-N 0 2 312.289 0.399 20 0 DCADLN CC/C=C(\C)C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149294489 768726624 /nfs/dbraw/zinc/72/66/24/768726624.db2.gz UTUFRGUNBINEEO-JVOXIWMLSA-N 0 2 323.397 0.574 20 0 DCADLN C[C@H](OCC1CC1)C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001231086741 769168204 /nfs/dbraw/zinc/16/82/04/769168204.db2.gz PXYOVBLPEXPFCV-JQWIXIFHSA-N 0 2 323.397 0.358 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CC1CCOCC1 ZINC001231387850 769245580 /nfs/dbraw/zinc/24/55/80/769245580.db2.gz LFTUQNIJLZNFNU-LBPRGKRZSA-N 0 2 323.397 0.360 20 0 DCADLN CCCCNC(=O)[C@H](C)[NH2+]CCNC(=O)CCCn1cc[nH+]c1 ZINC001153356561 769796057 /nfs/dbraw/zinc/79/60/57/769796057.db2.gz RICWPRXMIQJNDW-AWEZNQCLSA-N 0 2 323.441 0.674 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc2cccnc2[nH]c1=O ZINC001153750584 769914804 /nfs/dbraw/zinc/91/48/04/769914804.db2.gz LOJSVJZXNHMQBT-LLVKDONJSA-N 0 2 304.287 0.374 20 0 DCADLN CC(=O)N1C[C@@H]2C[C@]2(NC(=O)c2c[nH]c3cccnc3c2=O)C1 ZINC001153860636 769967296 /nfs/dbraw/zinc/96/72/96/769967296.db2.gz QANUOVSALAEORW-QFYYESIMSA-N 0 2 312.329 0.274 20 0 DCADLN CC(=O)NC[C@H](C)CNC(=O)c1c[nH]c2cccnc2c1=O ZINC001153861437 769969417 /nfs/dbraw/zinc/96/94/17/769969417.db2.gz CMESUHBRGXVSTJ-VIFPVBQESA-N 0 2 302.334 0.425 20 0 DCADLN CCc1nnc(CN(CC)[C@H](C)CNC(=O)c2cnn[nH]2)o1 ZINC001154134705 770087520 /nfs/dbraw/zinc/08/75/20/770087520.db2.gz UFEOKWGKWJTEEW-SECBINFHSA-N 0 2 307.358 0.391 20 0 DCADLN CCCCC(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001176916947 770250760 /nfs/dbraw/zinc/25/07/60/770250760.db2.gz ABBJFWVUGGUSBE-VXGBXAGGSA-N 0 2 323.397 0.502 20 0 DCADLN CN1CCN(c2cc(-c3ccc4[nH]nnc4c3)ncn2)CC1=O ZINC001241939786 770276070 /nfs/dbraw/zinc/27/60/70/770276070.db2.gz KKVXSYZXUAJPJX-UHFFFAOYSA-N 0 2 309.333 0.693 20 0 DCADLN CC(C)c1cnn2cc(C(=O)NCc3n[nH]c(=O)[nH]3)cnc12 ZINC001156238234 770758842 /nfs/dbraw/zinc/75/88/42/770758842.db2.gz JDFWHZCJAKOOAJ-UHFFFAOYSA-N 0 2 301.310 0.606 20 0 DCADLN CCc1[nH]c(CNC(=O)c2cccc(-n3[n-]nnc3=N)c2)c[nH+]1 ZINC001178669553 770824535 /nfs/dbraw/zinc/82/45/35/770824535.db2.gz JOWBMGVBICILRK-UHFFFAOYSA-N 0 2 312.337 0.290 20 0 DCADLN CCc1[nH]cc(CNC(=O)c2cccc(-n3[n-]nnc3=N)c2)[nH+]1 ZINC001178669553 770824545 /nfs/dbraw/zinc/82/45/45/770824545.db2.gz JOWBMGVBICILRK-UHFFFAOYSA-N 0 2 312.337 0.290 20 0 DCADLN CNC(=O)C1(C(=O)N(C)CCC2=NC(=O)C(C)C(=O)N2)CCC1 ZINC001156858485 770947473 /nfs/dbraw/zinc/94/74/73/770947473.db2.gz IZBXLFVHEIQWCD-UHFFFAOYSA-N 0 2 322.365 0.113 20 0 DCADLN O=S(=O)(Nc1cncc(O)c1)c1ccc(-c2nn[nH]n2)cc1 ZINC001185567279 771929517 /nfs/dbraw/zinc/92/95/17/771929517.db2.gz YTHWSPFHJBNYIP-UHFFFAOYSA-N 0 2 318.318 0.768 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cc(C(N)=O)ccc1OC ZINC001188388551 772295908 /nfs/dbraw/zinc/29/59/08/772295908.db2.gz JVKFSIJLPLUPBP-UHFFFAOYSA-N 0 2 316.335 0.099 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1nnc(C(F)(F)F)n1C ZINC001188350419 772297772 /nfs/dbraw/zinc/29/77/72/772297772.db2.gz QLLDMZIVYKYNDO-UHFFFAOYSA-N 0 2 316.261 0.139 20 0 DCADLN CN(C)c1nc(NC(=O)c2ccncc2O)c(N=O)c(=O)[nH]1 ZINC001188628984 772336691 /nfs/dbraw/zinc/33/66/91/772336691.db2.gz SQIDGMCMLGYAEZ-UHFFFAOYSA-N 0 2 304.266 0.999 20 0 DCADLN C[C@@H](O)Cn1cnc2c1ncnc2NS(=O)(=O)CC1CC1 ZINC001188937715 772385167 /nfs/dbraw/zinc/38/51/67/772385167.db2.gz SYNMKAJRGULDGW-MRVPVSSYSA-N 0 2 311.367 0.359 20 0 DCADLN CC(C)OC(=O)Nc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)n(C)c1 ZINC001190873587 772690371 /nfs/dbraw/zinc/69/03/71/772690371.db2.gz IJWJFRNNZAKXPN-UHFFFAOYSA-N 0 2 322.325 0.736 20 0 DCADLN C[C@@H]1CCN1C(=O)c1cc(S(N)(=O)=O)c(Cl)cc1O ZINC001191017619 772708629 /nfs/dbraw/zinc/70/86/29/772708629.db2.gz LCRTURCXEIOKNN-ZCFIWIBFSA-N 0 2 304.755 0.927 20 0 DCADLN O=C(c1cc(F)c(O)cc1F)N1CCN2C(=O)COC[C@H]2C1 ZINC001192868155 772966789 /nfs/dbraw/zinc/96/67/89/772966789.db2.gz VTKLLZXPFHIUIY-MRVPVSSYSA-N 0 2 312.272 0.354 20 0 DCADLN CCOC(=O)C(C)(C)S(=O)(=O)Nc1cnc2nccn2c1 ZINC001193025703 772997789 /nfs/dbraw/zinc/99/77/89/772997789.db2.gz DJZJOBXBPRBIJC-UHFFFAOYSA-N 0 2 312.351 0.813 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)C[C@H]2CCCO2)cn1C ZINC001193214340 773026098 /nfs/dbraw/zinc/02/60/98/773026098.db2.gz YGWYMGKDYLPWAT-SECBINFHSA-N 0 2 317.367 0.518 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cc(Br)n[nH]1 ZINC001193297161 773042105 /nfs/dbraw/zinc/04/21/05/773042105.db2.gz PBTGVAMFBOFJGY-LURJTMIESA-N 0 2 305.113 0.211 20 0 DCADLN CCSCCC(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206280351 773061639 /nfs/dbraw/zinc/06/16/39/773061639.db2.gz JSHAIBGBZPXASA-NXEZZACHSA-N 0 2 313.427 0.590 20 0 DCADLN CCSCCC(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206280351 773061640 /nfs/dbraw/zinc/06/16/40/773061640.db2.gz JSHAIBGBZPXASA-NXEZZACHSA-N 0 2 313.427 0.590 20 0 DCADLN CCCSCC(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001206306575 773064344 /nfs/dbraw/zinc/06/43/44/773064344.db2.gz ANQVXOFOPGBHJX-NXEZZACHSA-N 0 2 313.427 0.590 20 0 DCADLN CCOC(=O)c1c[nH]c(NC(=O)c2nccc(OC)c2O)n1 ZINC001193520813 773077224 /nfs/dbraw/zinc/07/72/24/773077224.db2.gz TWUONAZZDROMAE-UHFFFAOYSA-N 0 2 306.278 0.948 20 0 DCADLN NC(=O)c1cccnc1C(=O)Nc1nc(-c2ccncc2)n[nH]1 ZINC001193599403 773094732 /nfs/dbraw/zinc/09/47/32/773094732.db2.gz QGAHZDNQVQDGCH-UHFFFAOYSA-N 0 2 309.289 0.613 20 0 DCADLN Cc1noc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(F)C(F)(F)F)n1 ZINC001114308675 773317931 /nfs/dbraw/zinc/31/79/31/773317931.db2.gz KQRKYVZWHJLEBZ-GOZTYBTRSA-N 0 2 322.262 0.825 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2ncccc2CCO)cn1 ZINC001195693086 773464227 /nfs/dbraw/zinc/46/42/27/773464227.db2.gz FNDBPAROCIXSJH-UHFFFAOYSA-N 0 2 309.347 0.821 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(Cc3cnon3)C[C@H]21)C(F)C(F)(F)F ZINC001114311273 773656159 /nfs/dbraw/zinc/65/61/59/773656159.db2.gz KNIHRDNIVUILIX-KDXUFGMBSA-N 0 2 308.235 0.516 20 0 DCADLN COC(=O)c1ncc(NS(=O)(=O)c2cc(N)ccc2C)cn1 ZINC001211157782 773775738 /nfs/dbraw/zinc/77/57/38/773775738.db2.gz YUHVZZZWFBTCOY-UHFFFAOYSA-N 0 2 322.346 0.955 20 0 DCADLN Cn1nnnc1NS(=O)(=O)Cc1ccc(Cl)c(F)c1 ZINC001197636271 773780911 /nfs/dbraw/zinc/78/09/11/773780911.db2.gz DZGMMHAUILBNGY-UHFFFAOYSA-N 0 2 305.722 0.945 20 0 DCADLN O=C1NC(=O)[C@@H](NS(=O)(=O)c2cccc(Cl)n2)S1 ZINC001198246047 773885822 /nfs/dbraw/zinc/88/58/22/773885822.db2.gz XBHLYQZTZNIRJC-ZETCQYMHSA-N 0 2 307.740 0.322 20 0 DCADLN O=S(=O)(N[C@H](CO)C(F)(F)F)c1cccc(Cl)n1 ZINC001198255117 773888319 /nfs/dbraw/zinc/88/83/19/773888319.db2.gz RDKDTKFGOADUPP-RXMQYKEDSA-N 0 2 304.677 0.937 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cnc3c(cnn3C)c2)n1C ZINC001198356700 773915085 /nfs/dbraw/zinc/91/50/85/773915085.db2.gz YIAPPZKUPFXFCU-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN Cc1ncc(S(=O)(=O)Nc2cccc3c2CNC3=O)n1C ZINC001198395834 773924060 /nfs/dbraw/zinc/92/40/60/773924060.db2.gz GMKGKFVCEWFROR-UHFFFAOYSA-N 0 2 306.347 0.773 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1ccc(=O)n(CC2CC2)c1 ZINC001198914742 774002504 /nfs/dbraw/zinc/00/25/04/774002504.db2.gz QHUJAPNROBXYBH-GFCCVEGCSA-N 0 2 307.331 0.297 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnc(=O)n(C2CCC2)c1 ZINC001198977452 774011572 /nfs/dbraw/zinc/01/15/72/774011572.db2.gz CWJMRJMMNAOJGI-SNVBAGLBSA-N 0 2 308.319 0.007 20 0 DCADLN [NH3+][C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cnc2ccc(Cl)nn12 ZINC001218567797 774249588 /nfs/dbraw/zinc/24/95/88/774249588.db2.gz LERONRLLXFMKFT-MRVPVSSYSA-N 0 2 305.729 0.614 20 0 DCADLN CCC[N@@H+](C)CC(=O)N[C@@H]1[C@H]2C[NH+](Cc3nnc(C)o3)C[C@H]21 ZINC001115159055 774308750 /nfs/dbraw/zinc/30/87/50/774308750.db2.gz CJMTUXDBMDKWSX-JYAVWHMHSA-N 0 2 307.398 0.266 20 0 DCADLN O=C(C[NH2+]C1CC[NH2+]CC1)Nc1ccccc1-c1nn[nH]n1 ZINC001218854841 774315323 /nfs/dbraw/zinc/31/53/23/774315323.db2.gz CGEPCPVMYYMXIO-UHFFFAOYSA-N 0 2 301.354 0.147 20 0 DCADLN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1)C1(C2CC2)CC1 ZINC001095584383 775243470 /nfs/dbraw/zinc/24/34/70/775243470.db2.gz RZGFLGJLGLRHKO-WOPDTQHZSA-N 0 2 317.393 0.922 20 0 DCADLN Cc1nnc([C@@H](C)N2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnn[nH]2)C3)[nH]1 ZINC001095675813 775296355 /nfs/dbraw/zinc/29/63/55/775296355.db2.gz PJRRNOXPUQIIFB-BXSSEVMYSA-N 0 2 316.369 0.328 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OC[C@@H]1CCCOC1 ZINC001224650365 775591622 /nfs/dbraw/zinc/59/16/22/775591622.db2.gz SRZJTLIDJYZKJU-OLZOCXBDSA-N 0 2 323.411 0.018 20 0 DCADLN CC[C@H](C(N)=O)[NH+]1CCC(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001224672073 775595570 /nfs/dbraw/zinc/59/55/70/775595570.db2.gz BKQOAMLVUJTDQC-CYBMUJFWSA-N 0 2 307.398 0.044 20 0 DCADLN O=c1c([O-])c(O[C@@H]2CC[N@H+](Cc3ccccc3)C2)c(=O)c1=O ZINC001225834117 775728004 /nfs/dbraw/zinc/72/80/04/775728004.db2.gz PRKQLSODYGZBJE-LLVKDONJSA-N 0 2 301.298 0.002 20 0 DCADLN O=c1c([O-])c(O[C@@H]2CC[N@@H+](Cc3ccccc3)C2)c(=O)c1=O ZINC001225834117 775728010 /nfs/dbraw/zinc/72/80/10/775728010.db2.gz PRKQLSODYGZBJE-LLVKDONJSA-N 0 2 301.298 0.002 20 0 DCADLN Cn1cnnc1CN1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001227474721 775978579 /nfs/dbraw/zinc/97/85/79/775978579.db2.gz ZHFJHQDFUYOTLA-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CCC(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001227474721 775978584 /nfs/dbraw/zinc/97/85/84/775978584.db2.gz ZHFJHQDFUYOTLA-SNVBAGLBSA-N 0 2 323.294 0.796 20 0 DCADLN CCCCC(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041524733 777335479 /nfs/dbraw/zinc/33/54/79/777335479.db2.gz UPSQTSDNVYXFNQ-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CCCCC(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001041524733 777335491 /nfs/dbraw/zinc/33/54/91/777335491.db2.gz UPSQTSDNVYXFNQ-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN OCc1nc(CNc2nc(Cl)c(-c3nn[nH]n3)s2)n[nH]1 ZINC001582813546 1166093329 /nfs/dbraw/zinc/09/33/29/1166093329.db2.gz NJRJSJBRYJKTMS-UHFFFAOYSA-N 0 2 313.734 0.199 20 0 DCADLN CCc1nn(CC(OC)OC)c(=O)c(-c2nn[nH]n2)c1CC ZINC001590929381 1167052919 /nfs/dbraw/zinc/05/29/19/1167052919.db2.gz MQDHNIIANNMIAV-UHFFFAOYSA-N 0 2 308.342 0.167 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@H+](CC(=O)Nc2nc3c(s2)CCC3)C1 ZINC001601304916 1168697192 /nfs/dbraw/zinc/69/71/92/1168697192.db2.gz XOAYASSTZXNXTR-CYBMUJFWSA-N 0 2 311.363 0.092 20 0 DCADLN O=C([O-])[C@@]1(O)CC[N@@H+](CC(=O)Nc2nc3c(s2)CCC3)C1 ZINC001601304916 1168697195 /nfs/dbraw/zinc/69/71/95/1168697195.db2.gz XOAYASSTZXNXTR-CYBMUJFWSA-N 0 2 311.363 0.092 20 0 DCADLN Cc1cnc(C(=O)NCCCNC(=O)C(F)C(F)(F)F)cn1 ZINC001283171694 939238073 /nfs/dbraw/zinc/23/80/73/939238073.db2.gz DQOPZJKDACXCNL-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN Cc1cnc(C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001283171694 939238076 /nfs/dbraw/zinc/23/80/76/939238076.db2.gz DQOPZJKDACXCNL-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN Cc1nc(C)c(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001480944654 939465471 /nfs/dbraw/zinc/46/54/71/939465471.db2.gz OJYBQJZNLIYMJA-UHFFFAOYSA-N 0 2 310.383 0.445 20 0 DCADLN Cc1nc(C)c(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)s1 ZINC001480944654 939465472 /nfs/dbraw/zinc/46/54/72/939465472.db2.gz OJYBQJZNLIYMJA-UHFFFAOYSA-N 0 2 310.383 0.445 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccnc(OC)c1)Cc1n[nH]c(=O)[n-]1 ZINC001480984993 939511061 /nfs/dbraw/zinc/51/10/61/939511061.db2.gz FUONNRGQFLSFJK-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccnc(OC)c1)Cc1n[nH]c(=O)[n-]1 ZINC001480984993 939511064 /nfs/dbraw/zinc/51/10/64/939511064.db2.gz FUONNRGQFLSFJK-UHFFFAOYSA-N 0 2 320.353 0.166 20 0 DCADLN COCC1(CC(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001481040519 939537896 /nfs/dbraw/zinc/53/78/96/939537896.db2.gz RHSDWVQNVJLNSC-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(CC(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001481040519 939537897 /nfs/dbraw/zinc/53/78/97/939537897.db2.gz RHSDWVQNVJLNSC-LLVKDONJSA-N 0 2 323.397 0.408 20 0 DCADLN CN1CC(C(=O)NCC[N@H+](Cc2ccccn2)C2CC2)=NC1=O ZINC001481184860 939600267 /nfs/dbraw/zinc/60/02/67/939600267.db2.gz PISUGIMYGFVLKR-UHFFFAOYSA-N 0 2 315.377 0.915 20 0 DCADLN O=C(C[C@H]1CC=CCC1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481638883 939990083 /nfs/dbraw/zinc/99/00/83/939990083.db2.gz MRFOENIYQJPZEC-NSHDSACASA-N 0 2 305.382 0.805 20 0 DCADLN CCO[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001481672273 940027502 /nfs/dbraw/zinc/02/75/02/940027502.db2.gz YFOGDMOFJYHTRW-GMXVVIOVSA-N 0 2 323.397 0.357 20 0 DCADLN C[N@H+]1CCC[C@@H]1C(=O)NCCN(C(=O)Cc1c[nH+]c[nH]1)C1CC1 ZINC001408932561 940274118 /nfs/dbraw/zinc/27/41/18/940274118.db2.gz VYEIHHBMGDRUFV-CQSZACIVSA-N 0 2 319.409 0.154 20 0 DCADLN COC1CC(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)C1 ZINC001530485970 945331747 /nfs/dbraw/zinc/33/17/47/945331747.db2.gz VPWYLBWGQDSOKI-KPIFQKDSSA-N 0 2 312.263 0.639 20 0 DCADLN COC1CC(C(=O)N2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001530485970 945331757 /nfs/dbraw/zinc/33/17/57/945331757.db2.gz VPWYLBWGQDSOKI-KPIFQKDSSA-N 0 2 312.263 0.639 20 0 DCADLN O=C(C=Cc1ccc[nH]1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001269221656 940652131 /nfs/dbraw/zinc/65/21/31/940652131.db2.gz ASTIPBJPIVHRNC-BYCRGOAPSA-N 0 2 302.338 0.242 20 0 DCADLN CCCCO[C@@H](C)C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001269759916 940771438 /nfs/dbraw/zinc/77/14/38/940771438.db2.gz RPFODPJVSFMGDN-JTQLQIEISA-N 0 2 311.386 0.358 20 0 DCADLN O=C(CCn1cccn1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001409028669 941271649 /nfs/dbraw/zinc/27/16/49/941271649.db2.gz BSZYWLKFPRKGRN-JTQLQIEISA-N 0 2 322.262 0.501 20 0 DCADLN O=C(CCn1cccn1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001409028669 941271653 /nfs/dbraw/zinc/27/16/53/941271653.db2.gz BSZYWLKFPRKGRN-JTQLQIEISA-N 0 2 322.262 0.501 20 0 DCADLN CN1C(=O)COCC12CN(C(=O)c1cc(F)c(O)c(F)c1)C2 ZINC001271994452 941503634 /nfs/dbraw/zinc/50/36/34/941503634.db2.gz FTTFPMSJAUKJGB-UHFFFAOYSA-N 0 2 312.272 0.354 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1cn(C)nn1 ZINC001409565367 942057299 /nfs/dbraw/zinc/05/72/99/942057299.db2.gz HEUHMXHBEBDBRU-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1cn(C)nn1 ZINC001409565367 942057295 /nfs/dbraw/zinc/05/72/95/942057295.db2.gz HEUHMXHBEBDBRU-IONNQARKSA-N 0 2 311.283 0.652 20 0 DCADLN COc1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001409623834 942097008 /nfs/dbraw/zinc/09/70/08/942097008.db2.gz CGSHQNKECMYJPI-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN COc1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001409623834 942097013 /nfs/dbraw/zinc/09/70/13/942097013.db2.gz CGSHQNKECMYJPI-JTQLQIEISA-N 0 2 319.365 0.769 20 0 DCADLN C[C@H](CNC(=O)c1cc(C2CC2)n[nH]1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409628375 942101129 /nfs/dbraw/zinc/10/11/29/942101129.db2.gz MXGSNGQCLKWPEL-MRVPVSSYSA-N 0 2 319.369 0.361 20 0 DCADLN C[C@H](CNC(=O)c1cc(C2CC2)n[nH]1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409628375 942101134 /nfs/dbraw/zinc/10/11/34/942101134.db2.gz MXGSNGQCLKWPEL-MRVPVSSYSA-N 0 2 319.369 0.361 20 0 DCADLN CC(C)c1oncc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409641651 942113775 /nfs/dbraw/zinc/11/37/75/942113775.db2.gz GNUOPBZDSZGDGZ-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN CC(C)c1oncc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409641651 942113779 /nfs/dbraw/zinc/11/37/79/942113779.db2.gz GNUOPBZDSZGDGZ-SECBINFHSA-N 0 2 322.369 0.872 20 0 DCADLN Cc1ccc(S(=O)(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nn1 ZINC001413350122 942917757 /nfs/dbraw/zinc/91/77/57/942917757.db2.gz FKEIUYQXWHIZCQ-SECBINFHSA-N 0 2 324.366 0.177 20 0 DCADLN CO[C@@H](C)CCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409904492 942919747 /nfs/dbraw/zinc/91/97/47/942919747.db2.gz FOFVGJNYZJSJLI-UWVGGRQHSA-N 0 2 311.386 0.262 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CC[C@H]1CCCO1 ZINC001413480172 943009722 /nfs/dbraw/zinc/00/97/22/943009722.db2.gz OIVIYJVZWVIKHE-MRVPVSSYSA-N 0 2 301.311 0.283 20 0 DCADLN Cn1cc(CN2CC[C@@](C)(NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001484045485 943109301 /nfs/dbraw/zinc/10/93/01/943109301.db2.gz SPRYZLMFNKSZLQ-MWLCHTKSSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cc(CN2CC[C@@](C)(NC(=O)[C@@H](F)C(F)(F)F)C2)nn1 ZINC001484045485 943109305 /nfs/dbraw/zinc/10/93/05/943109305.db2.gz SPRYZLMFNKSZLQ-MWLCHTKSSA-N 0 2 323.294 0.796 20 0 DCADLN O=C(CC(F)(F)F)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001491201417 943827881 /nfs/dbraw/zinc/82/78/81/943827881.db2.gz UKTPVVSRHHUOII-MRVPVSSYSA-N 0 2 321.303 0.933 20 0 DCADLN O=C(CC(F)(F)F)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001491201417 943827886 /nfs/dbraw/zinc/82/78/86/943827886.db2.gz UKTPVVSRHHUOII-MRVPVSSYSA-N 0 2 321.303 0.933 20 0 DCADLN O=C(CC(F)(F)F)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001491201417 943827892 /nfs/dbraw/zinc/82/78/92/943827892.db2.gz UKTPVVSRHHUOII-MRVPVSSYSA-N 0 2 321.303 0.933 20 0 DCADLN CC(C)(O)CC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001491205786 943832719 /nfs/dbraw/zinc/83/27/19/943832719.db2.gz FSJYATCDKCTPCQ-JTQLQIEISA-N 0 2 311.386 0.142 20 0 DCADLN CC(C)(O)CC(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001491205786 943832726 /nfs/dbraw/zinc/83/27/26/943832726.db2.gz FSJYATCDKCTPCQ-JTQLQIEISA-N 0 2 311.386 0.142 20 0 DCADLN O=C(CC[C@H]1CCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001491218133 943839532 /nfs/dbraw/zinc/83/95/32/943839532.db2.gz ZULUYBGKRFGWFN-WCBMZHEXSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(CC[C@H]1CCOC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001491218133 943839536 /nfs/dbraw/zinc/83/95/36/943839536.db2.gz ZULUYBGKRFGWFN-WCBMZHEXSA-N 0 2 314.279 0.936 20 0 DCADLN C[C@@H](CNC(=O)CCc1ccccn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485336266 943841778 /nfs/dbraw/zinc/84/17/78/943841778.db2.gz IJJNMUFWCNSCPM-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN C[C@@H](CNC(=O)CCc1ccccn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485336266 943841781 /nfs/dbraw/zinc/84/17/81/943841781.db2.gz IJJNMUFWCNSCPM-NSHDSACASA-N 0 2 318.381 0.475 20 0 DCADLN C=C/C(C)=C/CC(=O)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001485413308 943930165 /nfs/dbraw/zinc/93/01/65/943930165.db2.gz JRUWMVUKYIVASN-NYYWCZLTSA-N 0 2 321.381 0.086 20 0 DCADLN O=C(Cc1ccc(=O)[nH]c1)NCCNC(=O)C(F)C(F)(F)F ZINC001491354266 943935741 /nfs/dbraw/zinc/93/57/41/943935741.db2.gz YKVNDUPBEMDVBQ-JTQLQIEISA-N 0 2 323.246 0.463 20 0 DCADLN O=C(Cc1ccc(=O)[nH]c1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491354266 943935746 /nfs/dbraw/zinc/93/57/46/943935746.db2.gz YKVNDUPBEMDVBQ-JTQLQIEISA-N 0 2 323.246 0.463 20 0 DCADLN COC[C@H](C)CC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001491352950 943935956 /nfs/dbraw/zinc/93/59/56/943935956.db2.gz JTPXEOLWHUYOTR-APPZFPTMSA-N 0 2 302.268 0.792 20 0 DCADLN COC[C@H](C)CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001491352950 943935960 /nfs/dbraw/zinc/93/59/60/943935960.db2.gz JTPXEOLWHUYOTR-APPZFPTMSA-N 0 2 302.268 0.792 20 0 DCADLN NC(=O)c1nnc2n1CCN(C(=O)c1ccc(F)c(F)c1O)C2 ZINC001276858568 944330713 /nfs/dbraw/zinc/33/07/13/944330713.db2.gz KKTRFSGBJSPBAA-UHFFFAOYSA-N 0 2 323.259 0.017 20 0 DCADLN NC(=O)[C@H]1CCC2(CN(C(=O)c3ccc(F)c(F)c3O)C2)O1 ZINC001276859198 944332063 /nfs/dbraw/zinc/33/20/63/944332063.db2.gz ZIWCXTXUYOIEPA-SECBINFHSA-N 0 2 312.272 0.529 20 0 DCADLN CN(C[C@H](O)C[N@H+](C)Cc1ccsc1)C(=O)Cc1ncn[nH]1 ZINC001486356812 944815924 /nfs/dbraw/zinc/81/59/24/944815924.db2.gz SSOXGOCNECISMK-GFCCVEGCSA-N 0 2 323.422 0.360 20 0 DCADLN CN(C[C@H](O)C[N@@H+](C)Cc1ccsc1)C(=O)Cc1ncn[nH]1 ZINC001486356812 944815927 /nfs/dbraw/zinc/81/59/27/944815927.db2.gz SSOXGOCNECISMK-GFCCVEGCSA-N 0 2 323.422 0.360 20 0 DCADLN Cc1n[nH]cc1C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001486372657 944844531 /nfs/dbraw/zinc/84/45/31/944844531.db2.gz GMLVHWQGAXWTDJ-UHFFFAOYSA-N 0 2 321.385 0.524 20 0 DCADLN Cc1n[nH]cc1C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001486372657 944844536 /nfs/dbraw/zinc/84/45/36/944844536.db2.gz GMLVHWQGAXWTDJ-UHFFFAOYSA-N 0 2 321.385 0.524 20 0 DCADLN C[C@@H]([NH2+]C[C@H](NC(=O)c1nnc[nH]1)C(C)(C)C)c1nncn1C ZINC001486417608 944879204 /nfs/dbraw/zinc/87/92/04/944879204.db2.gz QXMDEYSRUFIHHI-ZJUUUORDSA-N 0 2 320.401 0.429 20 0 DCADLN C[C@@H]([NH2+]C[C@H](NC(=O)c1ncn[nH]1)C(C)(C)C)c1nncn1C ZINC001486417608 944879209 /nfs/dbraw/zinc/87/92/09/944879209.db2.gz QXMDEYSRUFIHHI-ZJUUUORDSA-N 0 2 320.401 0.429 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)N1CC(n2cc[nH+]c2)C1 ZINC001318553404 945398366 /nfs/dbraw/zinc/39/83/66/945398366.db2.gz XJSKCMBFWWDUDH-UHFFFAOYSA-N 0 2 312.289 0.330 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)[N-]OCCC(F)(F)F ZINC001321631781 946404868 /nfs/dbraw/zinc/40/48/68/946404868.db2.gz XREZAGYXOUVTIZ-MRVPVSSYSA-N 0 2 308.260 0.388 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001410581521 946602487 /nfs/dbraw/zinc/60/24/87/946602487.db2.gz WKQWNPGZOZBRGD-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN O=C(Cc1ccon1)N1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001410581521 946602494 /nfs/dbraw/zinc/60/24/94/946602494.db2.gz WKQWNPGZOZBRGD-PSASIEDQSA-N 0 2 323.246 0.835 20 0 DCADLN Nc1nc2nc(Cn3sc4ccccc4c3=O)cc(=O)n2[nH]1 ZINC001322546176 946715966 /nfs/dbraw/zinc/71/59/66/946715966.db2.gz BRCVOPQUNUZZMH-UHFFFAOYSA-N 0 2 314.330 0.425 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC001259024544 946898200 /nfs/dbraw/zinc/89/82/00/946898200.db2.gz WTTVRANICFRGBG-UHFFFAOYSA-N 0 2 307.349 0.005 20 0 DCADLN NC(=O)c1cnc(NS(=O)(=O)CCc2ccc(F)cc2)cn1 ZINC001259754402 946981813 /nfs/dbraw/zinc/98/18/13/946981813.db2.gz JZKSHWJNTYTBCJ-UHFFFAOYSA-N 0 2 324.337 0.699 20 0 DCADLN COCCOc1cc(NS(=O)(=O)c2cnn(C)c2)ccn1 ZINC001259821765 946989275 /nfs/dbraw/zinc/98/92/75/946989275.db2.gz PFTZJMNBTMAYQG-UHFFFAOYSA-N 0 2 312.351 0.641 20 0 DCADLN Cc1ccc2c(c1NS(=O)(=O)c1cnn(C)c1)CNC2=O ZINC001259826102 946991190 /nfs/dbraw/zinc/99/11/90/946991190.db2.gz PMOORKUHANUSKM-UHFFFAOYSA-N 0 2 306.347 0.773 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3c2CNC(=O)N3C)cn1 ZINC001259827644 946991708 /nfs/dbraw/zinc/99/17/08/946991708.db2.gz KEWJVQFEUFREAX-UHFFFAOYSA-N 0 2 321.362 0.880 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccnc(C(=O)OC)c2)cn1 ZINC001259832251 946993657 /nfs/dbraw/zinc/99/36/57/946993657.db2.gz PIFSRQYCWUBHDH-UHFFFAOYSA-N 0 2 310.335 0.307 20 0 DCADLN COc1cnc(Cl)c(NS(=O)(=O)c2ccn(C)n2)n1 ZINC001259938532 947027190 /nfs/dbraw/zinc/02/71/90/947027190.db2.gz SZSBJWGHZKNQQE-UHFFFAOYSA-N 0 2 303.731 0.673 20 0 DCADLN Cc1ncc(NS(=O)(=O)c2c(F)cc(F)cc2F)c(=O)[nH]1 ZINC001260357493 947096608 /nfs/dbraw/zinc/09/66/08/947096608.db2.gz GWNDKJLBOFQUOP-SECBINFHSA-N 0 2 319.264 0.780 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001410900167 947107155 /nfs/dbraw/zinc/10/71/55/947107155.db2.gz GJYOHSBCEJVUOZ-UHFFFAOYSA-N 0 2 321.425 0.283 20 0 DCADLN COc1ccc(F)c(F)c1NS(=O)(=O)CS(C)(=O)=O ZINC001260591722 947119155 /nfs/dbraw/zinc/11/91/55/947119155.db2.gz IVPKRFHEISPGNK-UHFFFAOYSA-N 0 2 315.319 0.717 20 0 DCADLN CN1C(=O)NCc2cc(NS(=O)(=O)c3cn[nH]c3)ccc21 ZINC001260961798 947160362 /nfs/dbraw/zinc/16/03/62/947160362.db2.gz TUKRIWHZMAQQFK-UHFFFAOYSA-N 0 2 307.335 0.870 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)C[C@@H](O)C[N@H+](C)C/C=C/Cl ZINC001411183715 947450824 /nfs/dbraw/zinc/45/08/24/947450824.db2.gz GHECZRDTLSINJC-IHVVCDCBSA-N 0 2 314.817 0.695 20 0 DCADLN COC(=O)CCCCS(=O)(=O)Nc1nccn2ccnc12 ZINC001196921969 948054457 /nfs/dbraw/zinc/05/44/57/948054457.db2.gz DPDSPCUVJOLOBT-UHFFFAOYSA-N 0 2 312.351 0.814 20 0 DCADLN O=C(NCCCOCCNC(=O)C1CC1)C(F)C(F)(F)F ZINC001487616290 948148328 /nfs/dbraw/zinc/14/83/28/948148328.db2.gz YGQKAXOLMZWVGO-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(NCCCOCCNC(=O)C1CC1)[C@@H](F)C(F)(F)F ZINC001487616290 948148333 /nfs/dbraw/zinc/14/83/33/948148333.db2.gz YGQKAXOLMZWVGO-SECBINFHSA-N 0 2 314.279 0.936 20 0 DCADLN CC(C)=C(C)CC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001326647186 948231626 /nfs/dbraw/zinc/23/16/26/948231626.db2.gz IFXZCNJDIMEPJZ-GFCCVEGCSA-N 0 2 323.397 0.574 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](C)C(F)(F)F ZINC001570917665 948563205 /nfs/dbraw/zinc/56/32/05/948563205.db2.gz CBRQIVMQQNYLQW-RXMQYKEDSA-N 0 2 316.243 0.956 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCCCN(CC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001602788632 971538857 /nfs/dbraw/zinc/53/88/57/971538857.db2.gz HJFWWHGSZWZAJC-MNOVXSKESA-N 0 2 308.338 0.026 20 0 DCADLN NC(=O)CN(Cc1nc(=O)c2sccc2[nH]1)C1CCOCC1 ZINC001364512454 949458763 /nfs/dbraw/zinc/45/87/63/949458763.db2.gz DVXRVRXWZLVHFI-UHFFFAOYSA-N 0 2 322.390 0.863 20 0 DCADLN CC[C@@H](SC)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001489256407 949637835 /nfs/dbraw/zinc/63/78/35/949637835.db2.gz RAROPWMQRAPHBS-KXUCPTDWSA-N 0 2 313.427 0.731 20 0 DCADLN COCC[C@@H](NC(=O)C[C@@H]1SC(=N)NC1=O)c1cccnc1 ZINC001364669599 949730577 /nfs/dbraw/zinc/73/05/77/949730577.db2.gz PCYGWIGIGSQFQF-MNOVXSKESA-N 0 2 322.390 0.832 20 0 DCADLN C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC001604585757 1169623996 /nfs/dbraw/zinc/62/39/96/1169623996.db2.gz JRLJILYCNRROME-CYBMUJFWSA-N 0 2 316.354 0.707 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NC2CCCCC2)[nH]1 ZINC001364981680 950295484 /nfs/dbraw/zinc/29/54/84/950295484.db2.gz HCLDIMGFTLKKLQ-UHFFFAOYSA-N 0 2 315.399 0.481 20 0 DCADLN COc1cc(NC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)nn1C ZINC001365049512 950417424 /nfs/dbraw/zinc/41/74/24/950417424.db2.gz HDTFAJLKUNSYFQ-QMMMGPOBSA-N 0 2 321.341 0.664 20 0 DCADLN COc1cncnc1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001365062549 950441258 /nfs/dbraw/zinc/44/12/58/950441258.db2.gz VEGODARTRWAJMI-MRVPVSSYSA-N 0 2 319.325 0.720 20 0 DCADLN C[C@H](NC(=O)[C@H]1CS[C@]2(C)CCC(=O)N12)c1nn(C)cc1O ZINC001365119575 950551406 /nfs/dbraw/zinc/55/14/06/950551406.db2.gz JWVMANYHYOSPSN-ATEUNZGCSA-N 0 2 324.406 0.757 20 0 DCADLN CC(C)CS(=O)(=O)CCC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001365121834 950557288 /nfs/dbraw/zinc/55/72/88/950557288.db2.gz VRWVOQSCVMNMML-JTQLQIEISA-N 0 2 317.411 0.764 20 0 DCADLN CC[N@H+](CCCNC(=O)Cc1c[nH+]cn1C)[C@@H]1CCCNC1=O ZINC001490356034 950647828 /nfs/dbraw/zinc/64/78/28/950647828.db2.gz YOUPYYHCVMRIDQ-CQSZACIVSA-N 0 2 321.425 0.069 20 0 DCADLN CCOC(=O)CC[N@H+](CC(=O)NCC(=O)[O-])Cc1cccnc1 ZINC001590414144 950952799 /nfs/dbraw/zinc/95/27/99/950952799.db2.gz SFEVFDVTICWXEG-UHFFFAOYSA-N 0 2 323.349 0.038 20 0 DCADLN CCOC(=O)CC[N@@H+](CC(=O)NCC(=O)[O-])Cc1cccnc1 ZINC001590414144 950952818 /nfs/dbraw/zinc/95/28/18/950952818.db2.gz SFEVFDVTICWXEG-UHFFFAOYSA-N 0 2 323.349 0.038 20 0 DCADLN COCC(=O)NCCC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001280829667 951110002 /nfs/dbraw/zinc/11/00/02/951110002.db2.gz AJIKVBBTCWMJDX-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN COCC(=O)NCCC[C@@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001280829667 951110022 /nfs/dbraw/zinc/11/00/22/951110022.db2.gz AJIKVBBTCWMJDX-APPZFPTMSA-N 0 2 302.268 0.934 20 0 DCADLN O=C([O-])CC[C@@H]1C[C@@H](C(=O)N[C@@H]2CCn3c[nH+]cc3C2)CO1 ZINC001595000469 951114403 /nfs/dbraw/zinc/11/44/03/951114403.db2.gz BOXQIFCYKQKRCH-NQBHXWOUSA-N 0 2 307.350 0.584 20 0 DCADLN O=S(=O)(NCc1n[nH]c([C@H]2CCOC2)n1)c1ccns1 ZINC001365454114 951146534 /nfs/dbraw/zinc/14/65/34/951146534.db2.gz LAGLRDCATIMFLX-ZETCQYMHSA-N 0 2 315.380 0.244 20 0 DCADLN CC1CCN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CC1 ZINC001365464375 951156355 /nfs/dbraw/zinc/15/63/55/951156355.db2.gz UHYRSBGHIIZGGY-UHFFFAOYSA-N 0 2 315.399 0.291 20 0 DCADLN CC(C)(CNC(=O)c1c[n-]n2c1nccc2=O)n1cc[nH+]c1 ZINC001331907639 951386972 /nfs/dbraw/zinc/38/69/72/951386972.db2.gz SNENEHOLEXEAHH-UHFFFAOYSA-N 0 2 300.322 0.384 20 0 DCADLN CCc1ccnc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)c1 ZINC001365693603 951441859 /nfs/dbraw/zinc/44/18/59/951441859.db2.gz UGELEBBFZNQBCG-UHFFFAOYSA-N 0 2 316.365 0.426 20 0 DCADLN CCc1nnc(CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)[nH]1 ZINC001365709673 951463822 /nfs/dbraw/zinc/46/38/22/951463822.db2.gz ZWIXTLOXEUDMHU-IBGZPJMESA-N 0 2 323.444 0.598 20 0 DCADLN CC(C)n1nnc(NS(=O)(=O)c2ccc(C(N)=O)cc2)n1 ZINC001365739304 951493866 /nfs/dbraw/zinc/49/38/66/951493866.db2.gz HTUJDBFILVUEDC-UHFFFAOYSA-N 0 2 310.339 0.154 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)c1ncn(C)c1Cl ZINC001365745484 951497392 /nfs/dbraw/zinc/49/73/92/951497392.db2.gz QRKJFHSEVYJOGC-UHFFFAOYSA-N 0 2 317.701 0.160 20 0 DCADLN CO[C@@H](C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCc1c[nH+]cn1C ZINC001365804649 951561677 /nfs/dbraw/zinc/56/16/77/951561677.db2.gz MYOYKEVGPRLDPK-DZGCQCFKSA-N 0 2 308.426 0.920 20 0 DCADLN Cc1ncsc1C[NH+]1CC2(CN(C(=O)c3cc(=O)[nH][n-]3)C2)C1 ZINC001274429284 951628100 /nfs/dbraw/zinc/62/81/00/951628100.db2.gz XKMOCOFBCJCARO-UHFFFAOYSA-N 0 2 319.390 0.838 20 0 DCADLN C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1ccccc1[N-]S(C)(=O)=O ZINC001275370186 952094578 /nfs/dbraw/zinc/09/45/78/952094578.db2.gz OLOHBMYQVIUZQA-PHIMTYICSA-N 0 2 309.391 0.587 20 0 DCADLN C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1ccccc1[N-]S(C)(=O)=O ZINC001275370186 952094588 /nfs/dbraw/zinc/09/45/88/952094588.db2.gz OLOHBMYQVIUZQA-PHIMTYICSA-N 0 2 309.391 0.587 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccnnc1 ZINC001411573232 952284999 /nfs/dbraw/zinc/28/49/99/952284999.db2.gz QQYGJOFQULQUAA-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ccnnc1 ZINC001411573232 952285004 /nfs/dbraw/zinc/28/50/04/952285004.db2.gz QQYGJOFQULQUAA-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN O=C([O-])[C@H](Cc1ccccc1)[NH2+]Cc1nnc2n1CCOC2 ZINC001595192711 952293321 /nfs/dbraw/zinc/29/33/21/952293321.db2.gz RARSSYHBSSGIME-LBPRGKRZSA-N 0 2 302.334 0.594 20 0 DCADLN Cc1ncc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c(C2CC2)n1 ZINC001335076252 952453131 /nfs/dbraw/zinc/45/31/31/952453131.db2.gz HBGOFILKPCXPSL-SSDOTTSWSA-N 0 2 303.322 0.590 20 0 DCADLN CO[C@@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001412206364 952695292 /nfs/dbraw/zinc/69/52/92/952695292.db2.gz AWBPUYCJNXZRLN-JBDRJPRFSA-N 0 2 314.279 0.933 20 0 DCADLN CO[C@@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001412206364 952695289 /nfs/dbraw/zinc/69/52/89/952695289.db2.gz AWBPUYCJNXZRLN-JBDRJPRFSA-N 0 2 314.279 0.933 20 0 DCADLN COCC(=O)NC[C@H](C1CC1)N(C)C(=O)C(F)C(F)(F)F ZINC001412364030 952764816 /nfs/dbraw/zinc/76/48/16/952764816.db2.gz LSUVGNQTCJHOGU-PSASIEDQSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)NC[C@H](C1CC1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001412364030 952764818 /nfs/dbraw/zinc/76/48/18/952764818.db2.gz LSUVGNQTCJHOGU-PSASIEDQSA-N 0 2 314.279 0.886 20 0 DCADLN COC(=O)c1cc(C(=O)NCc2nc(O)cc(=O)[nH]2)ccc1C ZINC001412374795 952767741 /nfs/dbraw/zinc/76/77/41/952767741.db2.gz JHPAQKMVULYTBS-UHFFFAOYSA-N 0 2 317.301 0.913 20 0 DCADLN C[C@H]1CCc2n[nH]cc2[C@H]1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001412376214 952769069 /nfs/dbraw/zinc/76/90/69/952769069.db2.gz OKDQKYXSWKKLEZ-CPFSXVBKSA-N 0 2 303.322 0.593 20 0 DCADLN COC[C@H](C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001412471275 952826864 /nfs/dbraw/zinc/82/68/64/952826864.db2.gz LJMLDTPBYVDYNF-SECBINFHSA-N 0 2 306.322 0.279 20 0 DCADLN COC[C@H](NC(=O)C(F)(F)c1nccs1)c1nn[nH]n1 ZINC001412612909 952938136 /nfs/dbraw/zinc/93/81/36/952938136.db2.gz UBCUTAILYVXUFF-YFKPBYRVSA-N 0 2 304.282 0.252 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCCc1ccsc1 ZINC001338433976 953011626 /nfs/dbraw/zinc/01/16/26/953011626.db2.gz ZFTXAVVYOUPFNH-UHFFFAOYSA-N 0 2 317.374 0.882 20 0 DCADLN C[C@@H](NC(=O)C1(S(C)(=O)=O)CCCC1)c1nn(C)cc1O ZINC001412760646 953076859 /nfs/dbraw/zinc/07/68/59/953076859.db2.gz ZDPTVULSFGGFBD-SECBINFHSA-N 0 2 315.395 0.660 20 0 DCADLN CC(C)[NH+](C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)N2CCC2)C1 ZINC001365953896 953463815 /nfs/dbraw/zinc/46/38/15/953463815.db2.gz XQYBGANNWBMECR-AWEZNQCLSA-N 0 2 310.442 0.140 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC001589289299 953586887 /nfs/dbraw/zinc/58/68/87/953586887.db2.gz IEWNVSFVLCMVED-FDYHWXHSSA-N 0 2 311.382 0.354 20 0 DCADLN Cc1noc(C[NH2+][C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C2CC2)n1 ZINC001366029512 953601448 /nfs/dbraw/zinc/60/14/48/953601448.db2.gz GCXQYKPCNJPBQX-JTQLQIEISA-N 0 2 320.353 0.413 20 0 DCADLN CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC(C(=O)[O-])CC1 ZINC001593660263 953790677 /nfs/dbraw/zinc/79/06/77/953790677.db2.gz MVYSYYUSNVTFDY-UHFFFAOYSA-N 0 2 318.381 0.923 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)Cc1cnsn1 ZINC001366532382 954359465 /nfs/dbraw/zinc/35/94/65/954359465.db2.gz UJUIQLRKWVEWHY-ZETCQYMHSA-N 0 2 300.281 0.987 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)Cc1cnsn1 ZINC001366532382 954359475 /nfs/dbraw/zinc/35/94/75/954359475.db2.gz UJUIQLRKWVEWHY-ZETCQYMHSA-N 0 2 300.281 0.987 20 0 DCADLN C[N@H+](CCNC(=O)C1CC2(C1)CCOCC2)Cc1n[nH]c(=O)[n-]1 ZINC001366586613 954469920 /nfs/dbraw/zinc/46/99/20/954469920.db2.gz UFOCAXVYTBRNRX-UHFFFAOYSA-N 0 2 323.397 0.265 20 0 DCADLN C[N@@H+](CCNC(=O)C1CC2(C1)CCOCC2)Cc1n[nH]c(=O)[n-]1 ZINC001366586613 954469925 /nfs/dbraw/zinc/46/99/25/954469925.db2.gz UFOCAXVYTBRNRX-UHFFFAOYSA-N 0 2 323.397 0.265 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc2c(c1)CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001366588770 954471293 /nfs/dbraw/zinc/47/12/93/954471293.db2.gz BZYTWSZZBAFUDJ-UHFFFAOYSA-N 0 2 315.377 0.861 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc2c(c1)CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001366588770 954471299 /nfs/dbraw/zinc/47/12/99/954471299.db2.gz BZYTWSZZBAFUDJ-UHFFFAOYSA-N 0 2 315.377 0.861 20 0 DCADLN CC[N@@H+]1CCCC[C@@H]1C(=O)NCC[NH+](C)[C@H]1CCN(C)C1=O ZINC001366597805 954487865 /nfs/dbraw/zinc/48/78/65/954487865.db2.gz UZQADGDAXXMERC-KGLIPLIRSA-N 0 2 310.442 0.140 20 0 DCADLN Cn1c(N2CCC[C@@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001593774046 954574723 /nfs/dbraw/zinc/57/47/23/954574723.db2.gz MGEXLYASXPTPRP-JRPNMDOOSA-N 0 2 323.397 0.028 20 0 DCADLN Cn1c(N2CCC[C@@H](C(=O)[O-])C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001593774046 954574734 /nfs/dbraw/zinc/57/47/34/954574734.db2.gz MGEXLYASXPTPRP-JRPNMDOOSA-N 0 2 323.397 0.028 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCNC(=O)c1[nH]nc2c1CCC2 ZINC001349173590 954631761 /nfs/dbraw/zinc/63/17/61/954631761.db2.gz IAEFVXPCHNNSNZ-UHFFFAOYSA-N 0 2 307.398 0.085 20 0 DCADLN Cc1n[nH]c(C(=O)N(C)CCN2CC[NH+](CC(C)C)CC2)c1[O-] ZINC001366853379 954938187 /nfs/dbraw/zinc/93/81/87/954938187.db2.gz VFARUOFAFPSRKT-UHFFFAOYSA-N 0 2 323.441 0.769 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)N(C)C[C@H](C)C(=O)[O-] ZINC001589514999 955062131 /nfs/dbraw/zinc/06/21/31/955062131.db2.gz QVPAJYZOMKIKPE-NWDGAFQWSA-N 0 2 301.387 0.459 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H](F)Cc1ccccc1 ZINC001366950475 955106733 /nfs/dbraw/zinc/10/67/33/955106733.db2.gz IQFKHWAXLGKWFG-LBPRGKRZSA-N 0 2 319.340 0.392 20 0 DCADLN C[C@@H]1CC[C@@H](CCC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001366954448 955111757 /nfs/dbraw/zinc/11/17/57/955111757.db2.gz TVDYOJJXIAIUNA-KOLCDFICSA-N 0 2 309.370 0.158 20 0 DCADLN CC[C@H](OC)C(=O)N(CC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367142908 955394600 /nfs/dbraw/zinc/39/46/00/955394600.db2.gz RACWPJPXLPBZLD-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@H](OC)C(=O)N(CC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001367142908 955394620 /nfs/dbraw/zinc/39/46/20/955394620.db2.gz RACWPJPXLPBZLD-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN Cn1cnc(C(=O)NC/C=C/CNC(=O)C(F)C(F)(F)F)n1 ZINC001285538677 955781428 /nfs/dbraw/zinc/78/14/28/955781428.db2.gz OASYTIVAMSBIPP-TYWZFMJISA-N 0 2 323.250 0.118 20 0 DCADLN Cn1cnc(C(=O)NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001285538677 955781431 /nfs/dbraw/zinc/78/14/31/955781431.db2.gz OASYTIVAMSBIPP-TYWZFMJISA-N 0 2 323.250 0.118 20 0 DCADLN O=C(NC/C=C/CNC(=O)C(F)C(F)(F)F)c1cccnn1 ZINC001285565342 955797965 /nfs/dbraw/zinc/79/79/65/955797965.db2.gz SDZWAMRHQNKCQN-GPYPMJJRSA-N 0 2 320.246 0.779 20 0 DCADLN O=C(NC/C=C/CNC(=O)[C@@H](F)C(F)(F)F)c1cccnn1 ZINC001285565342 955797968 /nfs/dbraw/zinc/79/79/68/955797968.db2.gz SDZWAMRHQNKCQN-GPYPMJJRSA-N 0 2 320.246 0.779 20 0 DCADLN C[C@@H](CNC(=O)c1ccc2nccn2c1)NC(=O)c1cnn[nH]1 ZINC001354556603 956428735 /nfs/dbraw/zinc/42/87/35/956428735.db2.gz PDHQHXDZWNKNLG-VIFPVBQESA-N 0 2 313.321 0.001 20 0 DCADLN CCn1nncc1CN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001472959464 956631194 /nfs/dbraw/zinc/63/11/94/956631194.db2.gz CBWOZDVZGFDOMR-SNVBAGLBSA-N 0 2 323.294 0.843 20 0 DCADLN CCn1nncc1CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001472959464 956631205 /nfs/dbraw/zinc/63/12/05/956631205.db2.gz CBWOZDVZGFDOMR-SNVBAGLBSA-N 0 2 323.294 0.843 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](Cc2cnn(CCF)c2)CCO1 ZINC001593985327 956766945 /nfs/dbraw/zinc/76/69/45/956766945.db2.gz WVFKNRAYSYGIRJ-ZDUSSCGKSA-N 0 2 301.318 0.155 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2cnn(CCF)c2)CCO1 ZINC001593985327 956766953 /nfs/dbraw/zinc/76/69/53/956766953.db2.gz WVFKNRAYSYGIRJ-ZDUSSCGKSA-N 0 2 301.318 0.155 20 0 DCADLN O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001594719114 956951382 /nfs/dbraw/zinc/95/13/82/956951382.db2.gz ODIIKEXDNYBYAG-STQMWFEESA-N 0 2 314.345 0.653 20 0 DCADLN O=C([O-])Cn1cc(C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)nn1 ZINC001574738287 957676109 /nfs/dbraw/zinc/67/61/09/957676109.db2.gz PNJKGIITOJIMHH-SECBINFHSA-N 0 2 304.310 0.106 20 0 DCADLN COCCn1c(C[NH+]2CCCC2)nnc1N1CC[C@H](C(=O)[O-])C1 ZINC001594024484 957696343 /nfs/dbraw/zinc/69/63/43/957696343.db2.gz OFGAUBWUXSNMFE-LBPRGKRZSA-N 0 2 323.397 0.431 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCSC[C@@H]1C1CC1 ZINC001361390993 957919422 /nfs/dbraw/zinc/91/94/22/957919422.db2.gz NBWVTVSNKYDGBO-LLVKDONJSA-N 0 2 319.390 0.425 20 0 DCADLN COc1c(C)c[nH+]c(CNC(=O)[C@@H]2CC(C(=O)[O-])=NO2)c1C ZINC001594046501 957934865 /nfs/dbraw/zinc/93/48/65/957934865.db2.gz OTOVLVWOIDYRBW-NSHDSACASA-N 0 2 307.306 0.553 20 0 DCADLN C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC001603207300 972315996 /nfs/dbraw/zinc/31/59/96/972315996.db2.gz OJOVWHMFHXFZSQ-CMPLNLGQSA-N 0 2 322.365 0.170 20 0 DCADLN Cc1cccnc1CCNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001361567619 958090890 /nfs/dbraw/zinc/09/08/90/958090890.db2.gz AAUDKWYHCAMWMK-UHFFFAOYSA-N 0 2 312.333 0.134 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CC[C@H](C2CC2)O1 ZINC001361663406 958189172 /nfs/dbraw/zinc/18/91/72/958189172.db2.gz RWVRHULLYUHNHF-CMPLNLGQSA-N 0 2 317.349 0.145 20 0 DCADLN C[C@H]1CC(=O)NN1C(=O)c1ncc(Br)cc1O ZINC001361765905 958304115 /nfs/dbraw/zinc/30/41/15/958304115.db2.gz NMPGXLUPGIMLKH-YFKPBYRVSA-N 0 2 300.112 0.815 20 0 DCADLN C[C@@H]1C[C@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H](C)O1 ZINC001361874740 958436401 /nfs/dbraw/zinc/43/64/01/958436401.db2.gz YTUNEOVCXQDDQP-OPRDCNLKSA-N 0 2 305.338 0.001 20 0 DCADLN Cc1ccc(N2CC[NH+]([C@@H]3CCN(CC(=O)[O-])C3=O)CC2)cc1 ZINC001594368529 958514312 /nfs/dbraw/zinc/51/43/12/958514312.db2.gz ANFGNOWKQJGXMV-OAHLLOKOSA-N 0 2 317.389 0.803 20 0 DCADLN COc1cccc([C@@H]2CN(C(=O)[C@@H](OC)C(=O)[O-])CC[NH2+]2)c1 ZINC001594125438 958634944 /nfs/dbraw/zinc/63/49/44/958634944.db2.gz VMYHZRRVHVJMPL-QWHCGFSZSA-N 0 2 308.334 0.268 20 0 DCADLN O=C(Cc1ccccc1O[C@@H]1CCOC1)NCc1n[nH]c(=O)[nH]1 ZINC001362076414 958697201 /nfs/dbraw/zinc/69/72/01/958697201.db2.gz VCDDJHAAZUMHQP-LLVKDONJSA-N 0 2 318.333 0.537 20 0 DCADLN CC(=O)NCC[N@H+](Cc1cn2ccnc2s1)[C@H](C)C(=O)[O-] ZINC001588514769 958783380 /nfs/dbraw/zinc/78/33/80/958783380.db2.gz CLUMLQBAEIYTPK-SECBINFHSA-N 0 2 310.379 0.807 20 0 DCADLN CC(=O)NCC[N@@H+](Cc1cn2ccnc2s1)[C@H](C)C(=O)[O-] ZINC001588514769 958783397 /nfs/dbraw/zinc/78/33/97/958783397.db2.gz CLUMLQBAEIYTPK-SECBINFHSA-N 0 2 310.379 0.807 20 0 DCADLN Cc1cc(C[NH2+][C@@H](C)CNC(=O)CCc2cn[nH]n2)nn1C ZINC001491584391 958884907 /nfs/dbraw/zinc/88/49/07/958884907.db2.gz URZPXGUTIIBQFF-JTQLQIEISA-N 0 2 305.386 0.074 20 0 DCADLN Cc1cc(C[NH2+][C@@H](C)CNC(=O)CCc2c[nH]nn2)nn1C ZINC001491584391 958884921 /nfs/dbraw/zinc/88/49/21/958884921.db2.gz URZPXGUTIIBQFF-JTQLQIEISA-N 0 2 305.386 0.074 20 0 DCADLN O=C(NN1CCCC1=O)c1ccc(Cn2ccccc2=O)o1 ZINC001362211137 958936185 /nfs/dbraw/zinc/93/61/85/958936185.db2.gz SWYMBGVUMQJAMZ-UHFFFAOYSA-N 0 2 301.302 0.757 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H](F)c1ccccc1 ZINC001367968727 958980780 /nfs/dbraw/zinc/98/07/80/958980780.db2.gz HQZSLGIDCCUXHD-AAEUAGOBSA-N 0 2 319.340 0.912 20 0 DCADLN O=C(CCc1cscn1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001367985335 959013935 /nfs/dbraw/zinc/01/39/35/959013935.db2.gz FYQBODFBFSBXMY-SNVBAGLBSA-N 0 2 322.394 0.290 20 0 DCADLN Cc1cccnc1OC1CC[NH+](CC(=O)NCC(=O)[O-])CC1 ZINC001594421301 959074996 /nfs/dbraw/zinc/07/49/96/959074996.db2.gz RMSJOSORWXAGRP-UHFFFAOYSA-N 0 2 307.350 0.434 20 0 DCADLN O=C(NC1(CO)CCCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362307009 959111212 /nfs/dbraw/zinc/11/12/12/959111212.db2.gz GYLWOMCAYMLJPW-UHFFFAOYSA-N 0 2 318.333 0.301 20 0 DCADLN C[C@@H](CC(N)=O)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001491725874 959150276 /nfs/dbraw/zinc/15/02/76/959150276.db2.gz MUOSRKCBBWQMMX-XPUUQOCRSA-N 0 2 315.267 0.021 20 0 DCADLN C[C@@H](CC(N)=O)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001491725874 959150283 /nfs/dbraw/zinc/15/02/83/959150283.db2.gz MUOSRKCBBWQMMX-XPUUQOCRSA-N 0 2 315.267 0.021 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])[N@@H+]1CC(=O)NCC(=O)OC(C)(C)C ZINC001594219354 959356833 /nfs/dbraw/zinc/35/68/33/959356833.db2.gz NTKNKFJOIVYENI-NXEZZACHSA-N 0 2 300.355 0.382 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])[N@H+]1CC(=O)NCC(=O)OC(C)(C)C ZINC001594219354 959356847 /nfs/dbraw/zinc/35/68/47/959356847.db2.gz NTKNKFJOIVYENI-NXEZZACHSA-N 0 2 300.355 0.382 20 0 DCADLN CC[C@](C)(CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)OC ZINC001362606127 959686085 /nfs/dbraw/zinc/68/60/85/959686085.db2.gz YSGUJRGUIYRSHC-OAHLLOKOSA-N 0 2 320.349 0.811 20 0 DCADLN CC[C@@H](C)[C@H](C)NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001368381371 959779120 /nfs/dbraw/zinc/77/91/20/959779120.db2.gz BFKUDKLAMAFZLW-BDAKNGLRSA-N 0 2 317.415 0.583 20 0 DCADLN C[C@H](CNC(=O)C[N@@H+]1CCC[C@H]1C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001377251819 959794895 /nfs/dbraw/zinc/79/48/95/959794895.db2.gz ASTAMHPADHSLIF-VXGBXAGGSA-N 0 2 307.398 0.057 20 0 DCADLN CC[N@H+](CCNC(=O)CCc1ccon1)Cc1n[nH]c(=O)[n-]1 ZINC001377322567 959922596 /nfs/dbraw/zinc/92/25/96/959922596.db2.gz JNHPLDYUCNIMNW-UHFFFAOYSA-N 0 2 308.342 0.069 20 0 DCADLN CC[N@@H+](CCNC(=O)CCc1ccon1)Cc1n[nH]c(=O)[n-]1 ZINC001377322567 959922601 /nfs/dbraw/zinc/92/26/01/959922601.db2.gz JNHPLDYUCNIMNW-UHFFFAOYSA-N 0 2 308.342 0.069 20 0 DCADLN C[C@@](O)(CC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377379145 959998556 /nfs/dbraw/zinc/99/85/56/959998556.db2.gz GYUOXFXQMLHZCK-IAQYHMDHSA-N 0 2 323.397 0.142 20 0 DCADLN C[C@@](O)(CC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001377379145 959998562 /nfs/dbraw/zinc/99/85/62/959998562.db2.gz GYUOXFXQMLHZCK-IAQYHMDHSA-N 0 2 323.397 0.142 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H](c3nnc[nH]3)C2)S1 ZINC001362853723 960090512 /nfs/dbraw/zinc/09/05/12/960090512.db2.gz VURKBWQROZTYMD-YUMQZZPRSA-N 0 2 308.367 0.067 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2CCC[C@H](c3nnc[nH]3)C2)S1 ZINC001362853723 960090521 /nfs/dbraw/zinc/09/05/21/960090521.db2.gz VURKBWQROZTYMD-YUMQZZPRSA-N 0 2 308.367 0.067 20 0 DCADLN Cn1ncnc1C1(O)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001362859786 960099472 /nfs/dbraw/zinc/09/94/72/960099472.db2.gz OQQKPJZQBXUBDW-SSDOTTSWSA-N 0 2 310.251 0.526 20 0 DCADLN Cn1ncnc1C1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001362859786 960099481 /nfs/dbraw/zinc/09/94/81/960099481.db2.gz OQQKPJZQBXUBDW-SSDOTTSWSA-N 0 2 310.251 0.526 20 0 DCADLN C[C@H]1COCC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362901160 960151544 /nfs/dbraw/zinc/15/15/44/960151544.db2.gz ODSKUQIOMCEZNA-JOYOIKCWSA-N 0 2 318.333 0.421 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N[C@@H]1CC[NH+](Cc2cn(C)nn2)[C@H](C)C1 ZINC001368696239 960166394 /nfs/dbraw/zinc/16/63/94/960166394.db2.gz NJMCGQJEQAMDTG-ZIAGYGMSSA-N 0 2 322.457 0.624 20 0 DCADLN Cn1cc([C@@H]2CCCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)nn1 ZINC001363072600 960369998 /nfs/dbraw/zinc/36/99/98/960369998.db2.gz NMNLZZJEEIDXNH-SCZZXKLOSA-N 0 2 322.394 0.078 20 0 DCADLN CCCN(C(=O)C(C)(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001377646468 960387254 /nfs/dbraw/zinc/38/72/54/960387254.db2.gz XNAPSQQKEOEFGB-SECBINFHSA-N 0 2 317.340 0.978 20 0 DCADLN CC[C@H](CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)OC ZINC001363177377 960541003 /nfs/dbraw/zinc/54/10/03/960541003.db2.gz WBHZXHRJCWYPCN-LLVKDONJSA-N 0 2 306.322 0.421 20 0 DCADLN CC1(C)C[C@@H](O)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001363232857 960660574 /nfs/dbraw/zinc/66/05/74/960660574.db2.gz LFWBGDAJHNODHG-JTQLQIEISA-N 0 2 319.365 0.081 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@@H](CO)Cc2ccoc2)S1 ZINC001363242141 960684751 /nfs/dbraw/zinc/68/47/51/960684751.db2.gz FNTDYGMUUFYHPI-UWVGGRQHSA-N 0 2 311.363 0.103 20 0 DCADLN O=C([O-])c1cnoc1C[N@@H+](CCC(F)(F)F)C[C@H](O)CO ZINC001571112660 961357359 /nfs/dbraw/zinc/35/73/59/961357359.db2.gz IEESKJMOPXICHT-ZETCQYMHSA-N 0 2 312.244 0.480 20 0 DCADLN O=C([O-])c1cnoc1C[N@H+](CCC(F)(F)F)C[C@H](O)CO ZINC001571112660 961357374 /nfs/dbraw/zinc/35/73/74/961357374.db2.gz IEESKJMOPXICHT-ZETCQYMHSA-N 0 2 312.244 0.480 20 0 DCADLN COC[C@@H](O)C[N@H+](C)[C@@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001378085223 961408650 /nfs/dbraw/zinc/40/86/50/961408650.db2.gz RWNAIUOACYQLIC-STQMWFEESA-N 0 2 320.393 0.392 20 0 DCADLN C[C@@H]1COC[C@@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363574951 961474846 /nfs/dbraw/zinc/47/48/46/961474846.db2.gz FEKDEBWJCATRTR-KCJUWKMLSA-N 0 2 304.306 0.031 20 0 DCADLN CCc1ccc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)cc1 ZINC001363635795 961593612 /nfs/dbraw/zinc/59/36/12/961593612.db2.gz SRSQHXUFTYYDTN-UHFFFAOYSA-N 0 2 322.390 0.842 20 0 DCADLN O=C(CCC1CCC1)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001447119674 1013270739 /nfs/dbraw/zinc/27/07/39/1013270739.db2.gz DKOUIFYKSJWMJD-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1[nH]c(=O)[nH]c1C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001363650036 961627888 /nfs/dbraw/zinc/62/78/88/961627888.db2.gz GYROXLHWAUCCCT-UHFFFAOYSA-N 0 2 307.310 0.248 20 0 DCADLN CC(C)[C@H](CNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1)C(=O)[O-] ZINC001588823244 961645136 /nfs/dbraw/zinc/64/51/36/961645136.db2.gz RSPDCJUBEVKFHK-STQMWFEESA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H](NC(=O)c1ccc2c(c1)OCC(=O)N2)c1nn(C)cc1O ZINC001363667108 961660399 /nfs/dbraw/zinc/66/03/99/961660399.db2.gz PCKLETNNRDCFPN-QMMMGPOBSA-N 0 2 316.317 0.948 20 0 DCADLN Cn1c[nH+]cc1C1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001363699886 961717556 /nfs/dbraw/zinc/71/75/56/961717556.db2.gz BXWSVFACEIFTEI-UHFFFAOYSA-N 0 2 304.310 0.036 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ncccc1F ZINC001379722658 961854608 /nfs/dbraw/zinc/85/46/08/961854608.db2.gz INZVDZAAHSQVDB-SECBINFHSA-N 0 2 322.344 0.224 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1ncccc1F ZINC001379722658 961854627 /nfs/dbraw/zinc/85/46/27/961854627.db2.gz INZVDZAAHSQVDB-SECBINFHSA-N 0 2 322.344 0.224 20 0 DCADLN Cc1ncc(C[N@@H+](C)CCN(C)C(=O)c2n[nH]c(C)c2[O-])o1 ZINC001379040948 961949756 /nfs/dbraw/zinc/94/97/56/961949756.db2.gz PXKDUPUCLYGARL-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN Cc1ncc(C[N@H+](C)CCN(C)C(=O)c2n[nH]c(C)c2[O-])o1 ZINC001379040948 961949769 /nfs/dbraw/zinc/94/97/69/961949769.db2.gz PXKDUPUCLYGARL-UHFFFAOYSA-N 0 2 307.354 0.924 20 0 DCADLN C[C@H](NC(=O)c1cccc(OCC(N)=O)c1)c1nn(C)cc1O ZINC001363853294 962025699 /nfs/dbraw/zinc/02/56/99/962025699.db2.gz QLIWNBOUNMHWJQ-VIFPVBQESA-N 0 2 318.333 0.481 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)NC(=O)NCCCCC(=O)[O-] ZINC001339925237 962086716 /nfs/dbraw/zinc/08/67/16/962086716.db2.gz GGJOZVGJEXSSLV-LBPRGKRZSA-N 0 2 301.387 0.651 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@H](C)c1csnn1 ZINC001363998100 962267266 /nfs/dbraw/zinc/26/72/66/962267266.db2.gz UALJLMYESNJHIE-SSDOTTSWSA-N 0 2 312.442 0.941 20 0 DCADLN CCCn1cc(CNS(=O)(=O)N=S(=O)(CC)CC)nn1 ZINC001364032998 962335298 /nfs/dbraw/zinc/33/52/98/962335298.db2.gz XIFLDYUJZGGMIZ-UHFFFAOYSA-N 0 2 323.444 0.530 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@H]1CCN1CCF ZINC001380053729 962568747 /nfs/dbraw/zinc/56/87/47/962568747.db2.gz HNEQQKBVYITYRS-MRVPVSSYSA-N 0 2 313.295 0.604 20 0 DCADLN COCCN(CCNC(=O)c1coc(C)c1)Cc1n[nH]c(=O)[nH]1 ZINC001380105178 962681645 /nfs/dbraw/zinc/68/16/45/962681645.db2.gz URVDOQBIXOTPKZ-UHFFFAOYSA-N 0 2 323.353 0.290 20 0 DCADLN CC(C)C[C@@H]1C[NH+](C)CCN1C(=O)[C@H]1C[N@H+]2CCN1C[C@@H]2C ZINC001364235211 962725846 /nfs/dbraw/zinc/72/58/46/962725846.db2.gz LMHOGHANJCDJIP-ARFHVFGLSA-N 0 2 308.470 0.563 20 0 DCADLN COC[C@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001379365099 962739489 /nfs/dbraw/zinc/73/94/89/962739489.db2.gz JPZWIFQJVCTTFD-IONNQARKSA-N 0 2 314.279 0.839 20 0 DCADLN COC[C@H](C)C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001379365099 962739496 /nfs/dbraw/zinc/73/94/96/962739496.db2.gz JPZWIFQJVCTTFD-IONNQARKSA-N 0 2 314.279 0.839 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001379368152 962744109 /nfs/dbraw/zinc/74/41/09/962744109.db2.gz OYQUHGYKUVRZGK-QMMMGPOBSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cn[nH]c2)C1 ZINC001379368152 962744117 /nfs/dbraw/zinc/74/41/17/962744117.db2.gz OYQUHGYKUVRZGK-QMMMGPOBSA-N 0 2 308.235 0.593 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001379369547 962751115 /nfs/dbraw/zinc/75/11/15/962751115.db2.gz QIJGSAMDJXQYNJ-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1nc[nH]c1C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001379369547 962751132 /nfs/dbraw/zinc/75/11/32/962751132.db2.gz QIJGSAMDJXQYNJ-SECBINFHSA-N 0 2 322.262 0.901 20 0 DCADLN Cc1coc(C)c1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364282225 962812772 /nfs/dbraw/zinc/81/27/72/962812772.db2.gz GAWZAMRZFRLCQU-UHFFFAOYSA-N 0 2 312.351 0.489 20 0 DCADLN O=C(NC[C@@]1(O)CC[N@H+](CCF)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC001380563198 963364180 /nfs/dbraw/zinc/36/41/80/963364180.db2.gz FDCMGEYBMMPPIC-AWEZNQCLSA-N 0 2 324.312 0.065 20 0 DCADLN O=C(NC[C@@]1(O)CC[N@@H+](CCF)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC001380563198 963364190 /nfs/dbraw/zinc/36/41/90/963364190.db2.gz FDCMGEYBMMPPIC-AWEZNQCLSA-N 0 2 324.312 0.065 20 0 DCADLN C[C@]1(NC(=O)C(F)C(F)(F)F)CCN(C(=O)c2cn[nH]n2)C1 ZINC001379700651 963401796 /nfs/dbraw/zinc/40/17/96/963401796.db2.gz YODLXQSOMIFBNA-XCBNKYQSSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@]1(NC(=O)[C@@H](F)C(F)(F)F)CCN(C(=O)c2cn[nH]n2)C1 ZINC001379700651 963401803 /nfs/dbraw/zinc/40/18/03/963401803.db2.gz YODLXQSOMIFBNA-XCBNKYQSSA-N 0 2 323.250 0.426 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccon1 ZINC001379702752 963404669 /nfs/dbraw/zinc/40/46/69/963404669.db2.gz APMWPTWDEZYCJV-VIFPVBQESA-N 0 2 308.342 0.068 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCc1ccon1 ZINC001379702752 963404670 /nfs/dbraw/zinc/40/46/70/963404670.db2.gz APMWPTWDEZYCJV-VIFPVBQESA-N 0 2 308.342 0.068 20 0 DCADLN Cn1c[nH+]c(CCNS(=O)(=O)c2cccc(C(=O)[O-])c2)c1 ZINC000313201422 963622685 /nfs/dbraw/zinc/62/26/85/963622685.db2.gz WUTNKONILIFJJT-UHFFFAOYSA-N 0 2 309.347 0.639 20 0 DCADLN CCCC(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001380996679 963752989 /nfs/dbraw/zinc/75/29/89/963752989.db2.gz VBULGIABRNGUET-JTQLQIEISA-N 0 2 309.370 0.160 20 0 DCADLN CCO[C@@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001368941353 964164283 /nfs/dbraw/zinc/16/42/83/964164283.db2.gz QACLCNSMXBYPJY-JOYOIKCWSA-N 0 2 311.386 0.262 20 0 DCADLN CCO[C@@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001368941353 964164293 /nfs/dbraw/zinc/16/42/93/964164293.db2.gz QACLCNSMXBYPJY-JOYOIKCWSA-N 0 2 311.386 0.262 20 0 DCADLN COCc1noc([C@@H](C)N(C)[C@@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001368966904 964207735 /nfs/dbraw/zinc/20/77/35/964207735.db2.gz UXBWNENPXNGLMB-DTWKUNHWSA-N 0 2 323.357 0.145 20 0 DCADLN CC(F)(F)C1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001375352680 964297130 /nfs/dbraw/zinc/29/71/30/964297130.db2.gz YVVNBLKLDLWOEH-QMMMGPOBSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@H](CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001373646945 964418587 /nfs/dbraw/zinc/41/85/87/964418587.db2.gz YYJPPBBOAVEKCJ-NWDGAFQWSA-N 0 2 318.381 0.654 20 0 DCADLN Cc1nn(C)cc1C[N@H+]1CC[C@@H]1CN(C)C(=O)C[NH+]1CCCC1 ZINC001375477047 964454649 /nfs/dbraw/zinc/45/46/49/964454649.db2.gz QHUBPDQIDDRYAD-MRXNPFEDSA-N 0 2 319.453 0.857 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1=CCOCC1 ZINC001369520425 965129979 /nfs/dbraw/zinc/12/99/79/965129979.db2.gz NAXJJBZRGBRPDU-UHFFFAOYSA-N 0 2 323.397 0.526 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1=CCOCC1 ZINC001369520425 965129980 /nfs/dbraw/zinc/12/99/80/965129980.db2.gz NAXJJBZRGBRPDU-UHFFFAOYSA-N 0 2 323.397 0.526 20 0 DCADLN O=C(COCC(F)F)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001374665254 965706318 /nfs/dbraw/zinc/70/63/18/965706318.db2.gz KPZIMAJGSUAMJQ-MRVPVSSYSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(COCC(F)F)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001374665254 965706338 /nfs/dbraw/zinc/70/63/38/965706338.db2.gz KPZIMAJGSUAMJQ-MRVPVSSYSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(COCC1CC1)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001376567938 965839449 /nfs/dbraw/zinc/83/94/49/965839449.db2.gz PPIPBVMNNQHSLG-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(COCC1CC1)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001376567938 965839456 /nfs/dbraw/zinc/83/94/56/965839456.db2.gz PPIPBVMNNQHSLG-LBPRGKRZSA-N 0 2 323.397 0.408 20 0 DCADLN CCc1nnc([C@@H](C)[NH2+][C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001376717084 966054279 /nfs/dbraw/zinc/05/42/79/966054279.db2.gz WWRMRIXUNRIULX-IONNQARKSA-N 0 2 321.385 0.573 20 0 DCADLN Cc1noc([C@H](C)[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001374875161 966069241 /nfs/dbraw/zinc/06/92/41/966069241.db2.gz DZBAJGHGBDKLDB-XPUUQOCRSA-N 0 2 308.342 0.584 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001370509673 966196985 /nfs/dbraw/zinc/19/69/85/966196985.db2.gz BMSMSDHCCWEFKB-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncnc1 ZINC001370509673 966196988 /nfs/dbraw/zinc/19/69/88/966196988.db2.gz BMSMSDHCCWEFKB-APPZFPTMSA-N 0 2 322.262 0.954 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])[nH]1 ZINC001382053648 966895127 /nfs/dbraw/zinc/89/51/27/966895127.db2.gz HFWQINLWWDRGGZ-IONNQARKSA-N 0 2 321.385 0.662 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cccnn1)NC(=O)C(F)C(F)(F)F ZINC001382173598 967007726 /nfs/dbraw/zinc/00/77/26/967007726.db2.gz IHUMPHCVOIQGIM-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)c1cccnn1)NC(=O)[C@H](F)C(F)(F)F ZINC001382173598 967007732 /nfs/dbraw/zinc/00/77/32/967007732.db2.gz IHUMPHCVOIQGIM-CBAPKCEASA-N 0 2 322.262 0.954 20 0 DCADLN Cc1cncc(CC(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001448056262 1013737126 /nfs/dbraw/zinc/73/71/26/1013737126.db2.gz BRWFRUWOWWOUQB-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN Cc1cncc(CC(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001448056262 1013737130 /nfs/dbraw/zinc/73/71/30/1013737130.db2.gz BRWFRUWOWWOUQB-LLVKDONJSA-N 0 2 318.381 0.393 20 0 DCADLN CCOCC(=O)NC[C@H](C)N(C)C(=O)C(F)C(F)(F)F ZINC001382897991 967731495 /nfs/dbraw/zinc/73/14/95/967731495.db2.gz WTFFJISVWMQUFO-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN CCOCC(=O)NC[C@H](C)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001382897991 967731502 /nfs/dbraw/zinc/73/15/02/967731502.db2.gz WTFFJISVWMQUFO-CBAPKCEASA-N 0 2 302.268 0.886 20 0 DCADLN CCc1c(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001492983211 967746239 /nfs/dbraw/zinc/74/62/39/967746239.db2.gz WXGVDMFVFKYIBZ-UHFFFAOYSA-N 0 2 321.385 0.058 20 0 DCADLN CCc1c(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)cnn1C ZINC001492983211 967746248 /nfs/dbraw/zinc/74/62/48/967746248.db2.gz WXGVDMFVFKYIBZ-UHFFFAOYSA-N 0 2 321.385 0.058 20 0 DCADLN CN(CC(C)(C)C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001372758677 968331372 /nfs/dbraw/zinc/33/13/72/968331372.db2.gz JBUGIWSXJNOFNN-UHFFFAOYSA-N 0 2 317.415 0.537 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)nn1 ZINC001372907056 968519595 /nfs/dbraw/zinc/51/95/95/968519595.db2.gz LNHTUUHDTLYBQK-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccc(C(=O)N[C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001372907056 968519599 /nfs/dbraw/zinc/51/95/99/968519599.db2.gz LNHTUUHDTLYBQK-IONNQARKSA-N 0 2 322.262 0.920 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnc[nH]c1=O ZINC001372911386 968522673 /nfs/dbraw/zinc/52/26/73/968522673.db2.gz VJADKFZLPHDFPZ-VDTYLAMSSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnc[nH]c1=O ZINC001372911386 968522681 /nfs/dbraw/zinc/52/26/81/968522681.db2.gz VJADKFZLPHDFPZ-VDTYLAMSSA-N 0 2 324.234 0.317 20 0 DCADLN CC(F)(F)CC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001373400449 969042587 /nfs/dbraw/zinc/04/25/87/969042587.db2.gz WLQNHCPXXSOUII-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN CN(CCNC(=O)CC1(O)CCC1)C(=O)C(F)C(F)(F)F ZINC001373430402 969088187 /nfs/dbraw/zinc/08/81/87/969088187.db2.gz WVXDBFOWGLMJKE-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CN(CCNC(=O)CC1(O)CCC1)C(=O)[C@@H](F)C(F)(F)F ZINC001373430402 969088201 /nfs/dbraw/zinc/08/82/01/969088201.db2.gz WVXDBFOWGLMJKE-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CC(C)(CC(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)CC(F)F ZINC001373447377 969106147 /nfs/dbraw/zinc/10/61/47/969106147.db2.gz ZJRLBRGWRNNOBF-UHFFFAOYSA-N 0 2 317.340 0.882 20 0 DCADLN NC(=O)Nc1ccc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cc1 ZINC000320412370 970660856 /nfs/dbraw/zinc/66/08/56/970660856.db2.gz BFBCPYPVSVBQPH-LLVKDONJSA-N 0 2 317.305 0.326 20 0 DCADLN NC(=O)Nc1ccc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC000320412370 970660863 /nfs/dbraw/zinc/66/08/63/970660863.db2.gz BFBCPYPVSVBQPH-LLVKDONJSA-N 0 2 317.305 0.326 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC001610390105 970736634 /nfs/dbraw/zinc/73/66/34/970736634.db2.gz SELIKYIEGQOTGT-CABZTGNLSA-N 0 2 318.333 0.888 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N2CC[C@H](C(=O)[O-])c3ccccc32)C1 ZINC001604227787 972728094 /nfs/dbraw/zinc/72/80/94/972728094.db2.gz NKLSYMZXEKYLAQ-GXTWGEPZSA-N 0 2 304.346 0.922 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2CC[C@H](C(=O)[O-])c3ccccc32)C1 ZINC001604227787 972728103 /nfs/dbraw/zinc/72/81/03/972728103.db2.gz NKLSYMZXEKYLAQ-GXTWGEPZSA-N 0 2 304.346 0.922 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)[C@]1(C(=O)[O-])CC1(C)C ZINC001603375867 972928682 /nfs/dbraw/zinc/92/86/82/972928682.db2.gz WGBXNIFYPMJYAW-WQGACYEGSA-N 0 2 310.394 0.809 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)CSCC(=O)[O-] ZINC001603375916 972931176 /nfs/dbraw/zinc/93/11/76/972931176.db2.gz XOQLREGEHJARHA-GHMZBOCLSA-N 0 2 302.396 0.126 20 0 DCADLN CS(=O)(=O)c1ccc(C[N@@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)cc1 ZINC000401903686 973510541 /nfs/dbraw/zinc/51/05/41/973510541.db2.gz PVUYQTODULNWKJ-RYUDHWBXSA-N 0 2 313.375 0.358 20 0 DCADLN CS(=O)(=O)c1ccc(C[N@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)cc1 ZINC000401903686 973510552 /nfs/dbraw/zinc/51/05/52/973510552.db2.gz PVUYQTODULNWKJ-RYUDHWBXSA-N 0 2 313.375 0.358 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+]([C@@H]2CCN(C(C)(C)C)C2=O)CCO1 ZINC001604558057 973602956 /nfs/dbraw/zinc/60/29/56/973602956.db2.gz LLEGRQPKXBGJKK-IAQYHMDHSA-N 0 2 314.382 0.188 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+]([C@@H]2CCN(C(C)(C)C)C2=O)CCO1 ZINC001604558057 973602967 /nfs/dbraw/zinc/60/29/67/973602967.db2.gz LLEGRQPKXBGJKK-IAQYHMDHSA-N 0 2 314.382 0.188 20 0 DCADLN COCCOc1cccc(CNC(=O)C[N@H+](C)CC(=O)[O-])c1 ZINC001604626602 973748742 /nfs/dbraw/zinc/74/87/42/973748742.db2.gz XGEIAGZGKAAISX-UHFFFAOYSA-N 0 2 310.350 0.344 20 0 DCADLN COCCOc1cccc(CNC(=O)C[N@@H+](C)CC(=O)[O-])c1 ZINC001604626602 973748749 /nfs/dbraw/zinc/74/87/49/973748749.db2.gz XGEIAGZGKAAISX-UHFFFAOYSA-N 0 2 310.350 0.344 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC001606395691 973845206 /nfs/dbraw/zinc/84/52/06/973845206.db2.gz JAPYAGJFOJGHQL-AGIUHOORSA-N 0 2 311.382 0.356 20 0 DCADLN CCC[N@H+]([C@@H](C)C(=O)OCC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001603655292 974030335 /nfs/dbraw/zinc/03/03/35/974030335.db2.gz ZSKKZIRXIJKVDF-QWRGUYRKSA-N 0 2 300.355 0.336 20 0 DCADLN CCC[N@@H+]([C@@H](C)C(=O)OCC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001603655292 974030345 /nfs/dbraw/zinc/03/03/45/974030345.db2.gz ZSKKZIRXIJKVDF-QWRGUYRKSA-N 0 2 300.355 0.336 20 0 DCADLN CCNc1cc(CNC(=O)N2CCO[C@H](C(=O)[O-])C2)cc[nH+]1 ZINC001603778295 974229508 /nfs/dbraw/zinc/22/95/08/974229508.db2.gz LGYNDRAXYOKSPX-NSHDSACASA-N 0 2 308.338 0.508 20 0 DCADLN CN(CCNC(=O)C1=CCOCC1)C(=O)C(F)C(F)(F)F ZINC001528063822 1014189684 /nfs/dbraw/zinc/18/96/84/1014189684.db2.gz LYGIMFKWFUVFPC-VIFPVBQESA-N 0 2 312.263 0.808 20 0 DCADLN CN(CCNC(=O)C1=CCOCC1)C(=O)[C@H](F)C(F)(F)F ZINC001528063822 1014189689 /nfs/dbraw/zinc/18/96/89/1014189689.db2.gz LYGIMFKWFUVFPC-VIFPVBQESA-N 0 2 312.263 0.808 20 0 DCADLN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@@H+]1CC2CC1(C(=O)[O-])C2 ZINC001592180559 977092753 /nfs/dbraw/zinc/09/27/53/977092753.db2.gz GJKWHPWNFCGPIF-SGWRBKMISA-N 0 2 318.377 0.764 20 0 DCADLN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)C[N@H+]1CC2CC1(C(=O)[O-])C2 ZINC001592180559 977092763 /nfs/dbraw/zinc/09/27/63/977092763.db2.gz GJKWHPWNFCGPIF-SGWRBKMISA-N 0 2 318.377 0.764 20 0 DCADLN C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(C(=O)[O-])c(F)c1 ZINC000710142999 977486555 /nfs/dbraw/zinc/48/65/55/977486555.db2.gz IWZVBZVSMUJVBS-MRVPVSSYSA-N 0 2 302.327 0.506 20 0 DCADLN C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(C(=O)[O-])c(F)c1 ZINC000710142999 977486558 /nfs/dbraw/zinc/48/65/58/977486558.db2.gz IWZVBZVSMUJVBS-MRVPVSSYSA-N 0 2 302.327 0.506 20 0 DCADLN CCCNC(=O)C[NH+]1CCC([C@H](C)NC(=O)c2ncn[nH]2)CC1 ZINC001449371102 1014441871 /nfs/dbraw/zinc/44/18/71/1014441871.db2.gz XKABXLLODFMASD-NSHDSACASA-N 0 2 322.413 0.161 20 0 DCADLN C[C@H](CC(=O)O[C@@H]1CCCN(CCC(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001592428718 978052141 /nfs/dbraw/zinc/05/21/41/978052141.db2.gz GHGMKCNKQUQGJH-VXGBXAGGSA-N 0 2 323.349 0.843 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CC[NH+]3CCC2CC3)s1 ZINC000722782377 978166962 /nfs/dbraw/zinc/16/69/62/978166962.db2.gz CHAHXIFPPCENDT-UHFFFAOYSA-N 0 2 316.404 0.915 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)N(C)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001592616265 978579004 /nfs/dbraw/zinc/57/90/04/978579004.db2.gz SFCBXXREVMULSP-RWMBFGLXSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@H](CNC(=O)Cn1cc[nH+]c1)N(C)c1ccnc(C(=O)[O-])n1 ZINC001592655558 978638966 /nfs/dbraw/zinc/63/89/66/978638966.db2.gz SMNJUOOWADPLLH-SNVBAGLBSA-N 0 2 318.337 0.012 20 0 DCADLN C[C@@](Cn1cccn1)([NH2+]Cc1cc(C(=O)[O-])ccc1F)C(N)=O ZINC001592922989 979919241 /nfs/dbraw/zinc/91/92/41/979919241.db2.gz GEYZQQPQQSSNPA-HNNXBMFYSA-N 0 2 320.324 0.754 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N2C[C@H]3COC[C@]3(C(=O)[O-])C2)c[nH+]1 ZINC001550346574 1014675192 /nfs/dbraw/zinc/67/51/92/1014675192.db2.gz QQZGOGWSCGKUSB-XHDPSFHLSA-N 0 2 307.350 0.486 20 0 DCADLN CC[C@@H]1COCC[N@@H+]1CCNc1ncnc(C(=O)[O-])c1OC ZINC001595499690 981266322 /nfs/dbraw/zinc/26/63/22/981266322.db2.gz ATZMZALDXIEYQJ-SNVBAGLBSA-N 0 2 310.354 0.706 20 0 DCADLN CC[C@@H]1COCC[N@H+]1CCNc1ncnc(C(=O)[O-])c1OC ZINC001595499690 981266326 /nfs/dbraw/zinc/26/63/26/981266326.db2.gz ATZMZALDXIEYQJ-SNVBAGLBSA-N 0 2 310.354 0.706 20 0 DCADLN O=C(NC1CN(C(=O)c2ccc(=O)[nH]c2)C1)C(F)C(F)(F)F ZINC001530485811 1014769746 /nfs/dbraw/zinc/76/97/46/1014769746.db2.gz UAWRTVLPMZVYKJ-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN O=C(NC1CN(C(=O)c2ccc(=O)[nH]c2)C1)[C@H](F)C(F)(F)F ZINC001530485811 1014769758 /nfs/dbraw/zinc/76/97/58/1014769758.db2.gz UAWRTVLPMZVYKJ-VIFPVBQESA-N 0 2 321.230 0.628 20 0 DCADLN CCO[C@H](C)C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001530697271 1014886426 /nfs/dbraw/zinc/88/64/26/1014886426.db2.gz PIBVPESWFLOCJR-APPZFPTMSA-N 0 2 314.279 0.886 20 0 DCADLN CCO[C@H](C)C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001530697271 1014886441 /nfs/dbraw/zinc/88/64/41/1014886441.db2.gz PIBVPESWFLOCJR-APPZFPTMSA-N 0 2 314.279 0.886 20 0 DCADLN CCOC(=O)[C@H](C)[N@H+](CCc1cn(CC(=O)[O-])nn1)C1CC1 ZINC001596520043 984315756 /nfs/dbraw/zinc/31/57/56/984315756.db2.gz SZQCEQHBIMVZGQ-JTQLQIEISA-N 0 2 310.354 0.321 20 0 DCADLN CCOC(=O)[C@H](C)[N@@H+](CCc1cn(CC(=O)[O-])nn1)C1CC1 ZINC001596520043 984315760 /nfs/dbraw/zinc/31/57/60/984315760.db2.gz SZQCEQHBIMVZGQ-JTQLQIEISA-N 0 2 310.354 0.321 20 0 DCADLN C/C(=C/C(=O)Nc1ccn(CC(=O)[O-])n1)C[NH+]1CCOCC1 ZINC001588945914 984655456 /nfs/dbraw/zinc/65/54/56/984655456.db2.gz OHVXVCDSGNVGBR-FLIBITNWSA-N 0 2 308.338 0.185 20 0 DCADLN C[C@@H](NC(=O)C(F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001450363504 1015087287 /nfs/dbraw/zinc/08/72/87/1015087287.db2.gz QFJDKYXXLPUYAY-VDTYLAMSSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2nc[nH]n2)C1 ZINC001450363504 1015087293 /nfs/dbraw/zinc/08/72/93/1015087293.db2.gz QFJDKYXXLPUYAY-VDTYLAMSSA-N 0 2 323.250 0.282 20 0 DCADLN C[C@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001383725765 985046967 /nfs/dbraw/zinc/04/69/67/985046967.db2.gz DNNOLFNFXIYFHL-SFYZADRCSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1ncccn1 ZINC001383725765 985046979 /nfs/dbraw/zinc/04/69/79/985046979.db2.gz DNNOLFNFXIYFHL-SFYZADRCSA-N 0 2 322.262 0.954 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CC(NC(=O)c2cn[nH]n2)C1 ZINC001384450266 985590378 /nfs/dbraw/zinc/59/03/78/985590378.db2.gz OFSWCBZGJCDJRZ-QIECLKSESA-N 0 2 323.250 0.424 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@@H+](C)[C@H](C)CN1CCOCC1 ZINC001599957364 985712008 /nfs/dbraw/zinc/71/20/08/985712008.db2.gz KUWXQZVZNISJSI-LLVKDONJSA-N 0 2 323.393 0.857 20 0 DCADLN Cc1[nH]c(C(=O)[O-])cc1C(=O)C[N@H+](C)[C@H](C)CN1CCOCC1 ZINC001599957364 985712017 /nfs/dbraw/zinc/71/20/17/985712017.db2.gz KUWXQZVZNISJSI-LLVKDONJSA-N 0 2 323.393 0.857 20 0 DCADLN CC(=O)OC1CC[NH+](CC(=O)Nc2cccc(C(=O)[O-])n2)CC1 ZINC001589224838 985748746 /nfs/dbraw/zinc/74/87/46/985748746.db2.gz NPHFWTYMMSEDLE-UHFFFAOYSA-N 0 2 321.333 0.746 20 0 DCADLN Cc1[nH]c(C[N@@H+]2CCC[C@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001599962246 985807128 /nfs/dbraw/zinc/80/71/28/985807128.db2.gz FDFLJNRWYYJEBW-JTQLQIEISA-N 0 2 301.368 0.274 20 0 DCADLN Cc1[nH]c(C[N@H+]2CCC[C@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001599962246 985807146 /nfs/dbraw/zinc/80/71/46/985807146.db2.gz FDFLJNRWYYJEBW-JTQLQIEISA-N 0 2 301.368 0.274 20 0 DCADLN CCn1ccc(C(=O)N(C)C[C@@H]2OCC[C@@H]2c2n[nH]c(C)n2)n1 ZINC001551660023 1015166079 /nfs/dbraw/zinc/16/60/79/1015166079.db2.gz NRGWGZOOFKPYOP-AAEUAGOBSA-N 0 2 318.381 0.974 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)Cc2conc2C)n[nH]1 ZINC001551663586 1015169031 /nfs/dbraw/zinc/16/90/31/1015169031.db2.gz HSACCPGBIINKFF-OLZOCXBDSA-N 0 2 319.365 0.983 20 0 DCADLN Cc1nc([C@H]2CCO[C@H]2CN(C)C(=O)Cc2cnn(C)c2)n[nH]1 ZINC001551666856 1015171668 /nfs/dbraw/zinc/17/16/68/1015171668.db2.gz OKNHGQSHZVPPEW-STQMWFEESA-N 0 2 318.381 0.420 20 0 DCADLN Cc1[nH]nc2ncc(C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C(=O)[O-])cc12 ZINC001599968657 985963060 /nfs/dbraw/zinc/96/30/60/985963060.db2.gz JRMNRMLVGCKTRU-NSHDSACASA-N 0 2 314.305 0.415 20 0 DCADLN Cc1[nH]nc2ncc(C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C(=O)[O-])cc12 ZINC001599968657 985963065 /nfs/dbraw/zinc/96/30/65/985963065.db2.gz JRMNRMLVGCKTRU-NSHDSACASA-N 0 2 314.305 0.415 20 0 DCADLN C[C@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)c1ccc(C=O)cn1 ZINC001594520045 985972897 /nfs/dbraw/zinc/97/28/97/985972897.db2.gz KITSRFUFWITLDB-NSHDSACASA-N 0 2 305.334 0.515 20 0 DCADLN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1ccc(C=O)cn1 ZINC001594520045 985972903 /nfs/dbraw/zinc/97/29/03/985972903.db2.gz KITSRFUFWITLDB-NSHDSACASA-N 0 2 305.334 0.515 20 0 DCADLN CCOCC[N@H+](C)CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001596923262 985992973 /nfs/dbraw/zinc/99/29/73/985992973.db2.gz NJEXWWAFSOTESQ-CYBMUJFWSA-N 0 2 301.343 0.088 20 0 DCADLN CCOCC[N@@H+](C)CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O ZINC001596923262 985992982 /nfs/dbraw/zinc/99/29/82/985992982.db2.gz NJEXWWAFSOTESQ-CYBMUJFWSA-N 0 2 301.343 0.088 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NC1CC(CC(=O)[O-])C1 ZINC001594527552 986080985 /nfs/dbraw/zinc/08/09/85/986080985.db2.gz MDGHZAHLYMOCEY-IALDZJHCSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NC1CC(CC(=O)[O-])C1 ZINC001594527552 986080998 /nfs/dbraw/zinc/08/09/98/986080998.db2.gz MDGHZAHLYMOCEY-IALDZJHCSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)/C=C/c2cc(C(=O)[O-])co2)CCO1 ZINC001594527634 986083124 /nfs/dbraw/zinc/08/31/24/986083124.db2.gz NGXDAMFULFAROE-VUDBWIFFSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)/C=C/c2cc(C(=O)[O-])co2)CCO1 ZINC001594527634 986083137 /nfs/dbraw/zinc/08/31/37/986083137.db2.gz NGXDAMFULFAROE-VUDBWIFFSA-N 0 2 308.334 0.828 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)N[C@@H]2CCC[C@H]2CC(=O)[O-])CCO1 ZINC001594528714 986103613 /nfs/dbraw/zinc/10/36/13/986103613.db2.gz YFHNSFVFUCNUNS-RWMBFGLXSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)N[C@@H]2CCC[C@H]2CC(=O)[O-])CCO1 ZINC001594528714 986103623 /nfs/dbraw/zinc/10/36/23/986103623.db2.gz YFHNSFVFUCNUNS-RWMBFGLXSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cscn1 ZINC001594529073 986109077 /nfs/dbraw/zinc/10/90/77/986109077.db2.gz VLPCFDXUTUSWGJ-SECBINFHSA-N 0 2 319.408 0.313 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1cscn1 ZINC001594529073 986109082 /nfs/dbraw/zinc/10/90/82/986109082.db2.gz VLPCFDXUTUSWGJ-SECBINFHSA-N 0 2 319.408 0.313 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cc[nH]n2)C1)C(F)C(F)(F)F ZINC001385294887 986222269 /nfs/dbraw/zinc/22/22/69/986222269.db2.gz LCUPAVHOHBTVGJ-SVRRBLITSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1)C(F)C(F)(F)F ZINC001385294887 986222281 /nfs/dbraw/zinc/22/22/81/986222281.db2.gz LCUPAVHOHBTVGJ-SVRRBLITSA-N 0 2 308.235 0.641 20 0 DCADLN CCOCC(=O)N1CC[C@H](N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001385357580 986289798 /nfs/dbraw/zinc/28/97/98/986289798.db2.gz SIJSJGXSJCBHIK-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N1CC[C@H](N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001385357580 986289806 /nfs/dbraw/zinc/28/98/06/986289806.db2.gz SIJSJGXSJCBHIK-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@H](C(=O)N[C@H](C(=O)[O-])C1CCOCC1)[NH+]1CCSCC1 ZINC001589376328 986436107 /nfs/dbraw/zinc/43/61/07/986436107.db2.gz YHXBNRBFGPYWAA-PWSUYJOCSA-N 0 2 316.423 0.420 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnccn2)C1)C(F)C(F)(F)F ZINC001385582220 986445869 /nfs/dbraw/zinc/44/58/69/986445869.db2.gz RNURNCAGXRGERQ-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnccn2)C1)[C@@H](F)C(F)(F)F ZINC001385582220 986445872 /nfs/dbraw/zinc/44/58/72/986445872.db2.gz RNURNCAGXRGERQ-IONNQARKSA-N 0 2 320.246 0.708 20 0 DCADLN CC(C)OCC(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001450578023 1015222493 /nfs/dbraw/zinc/22/24/93/1015222493.db2.gz LNPILGCMLWMKGJ-SNVBAGLBSA-N 0 2 314.279 0.886 20 0 DCADLN CC(C)OCC(=O)NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001450578023 1015222501 /nfs/dbraw/zinc/22/25/01/1015222501.db2.gz LNPILGCMLWMKGJ-SNVBAGLBSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H](C(=O)NCc1ncc(C(=O)[O-])s1)[NH+]1CCSCC1 ZINC001589415800 986648709 /nfs/dbraw/zinc/64/87/09/986648709.db2.gz QMFVYZJQRUTIBY-QMMMGPOBSA-N 0 2 315.420 0.895 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2CCOC2)C1)C(F)C(F)(F)F ZINC001450625943 1015257619 /nfs/dbraw/zinc/25/76/19/1015257619.db2.gz JDDCKGJOIJKPKK-DTWKUNHWSA-N 0 2 312.263 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@H]2CCOC2)C1)[C@@H](F)C(F)(F)F ZINC001450625943 1015257626 /nfs/dbraw/zinc/25/76/26/1015257626.db2.gz JDDCKGJOIJKPKK-DTWKUNHWSA-N 0 2 312.263 0.498 20 0 DCADLN CCOc1cccc(CC(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])n1 ZINC001597150090 986924153 /nfs/dbraw/zinc/92/41/53/986924153.db2.gz NYNMCHPAVPPTOS-GFCCVEGCSA-N 0 2 318.333 0.558 20 0 DCADLN CCOc1cccc(CC(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])n1 ZINC001597150090 986924167 /nfs/dbraw/zinc/92/41/67/986924167.db2.gz NYNMCHPAVPPTOS-GFCCVEGCSA-N 0 2 318.333 0.558 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386282187 987032679 /nfs/dbraw/zinc/03/26/79/987032679.db2.gz MWSWUESKAVUHTJ-NGJRWZKOSA-N 0 2 300.252 0.685 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001386282187 987032691 /nfs/dbraw/zinc/03/26/91/987032691.db2.gz MWSWUESKAVUHTJ-NGJRWZKOSA-N 0 2 300.252 0.685 20 0 DCADLN C[C@@H](CNC(=O)Cc1cnoc1)NC(=O)C(F)C(F)(F)F ZINC001386435023 987147117 /nfs/dbraw/zinc/14/71/17/987147117.db2.gz VOLSHWNJXHXPEK-RCOVLWMOSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@@H](CNC(=O)Cc1cnoc1)NC(=O)[C@H](F)C(F)(F)F ZINC001386435023 987147118 /nfs/dbraw/zinc/14/71/18/987147118.db2.gz VOLSHWNJXHXPEK-RCOVLWMOSA-N 0 2 311.235 0.738 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001387049729 987594290 /nfs/dbraw/zinc/59/42/90/987594290.db2.gz USBGMXAVEXBIQX-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1cnn(C)c1C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001387049729 987594292 /nfs/dbraw/zinc/59/42/92/987594292.db2.gz USBGMXAVEXBIQX-VIFPVBQESA-N 0 2 324.278 0.817 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001387752352 988117928 /nfs/dbraw/zinc/11/79/28/988117928.db2.gz XXFRMUYQJCDUII-ZETCQYMHSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001387752352 988117931 /nfs/dbraw/zinc/11/79/31/988117931.db2.gz XXFRMUYQJCDUII-ZETCQYMHSA-N 0 2 308.235 0.559 20 0 DCADLN COCC1(C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001387797801 988161826 /nfs/dbraw/zinc/16/18/26/988161826.db2.gz XUONGMOTJQZNMN-MRVPVSSYSA-N 0 2 314.279 0.888 20 0 DCADLN COCC1(C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001387797801 988161830 /nfs/dbraw/zinc/16/18/30/988161830.db2.gz XUONGMOTJQZNMN-MRVPVSSYSA-N 0 2 314.279 0.888 20 0 DCADLN CCCN(CCNC(=O)Cc1c[nH]c[nH+]1)C(=O)[C@@H]1CCC[N@@H+]1C ZINC001388606220 988435939 /nfs/dbraw/zinc/43/59/39/988435939.db2.gz YNYQAQJGKLDTLE-AWEZNQCLSA-N 0 2 321.425 0.401 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccco1 ZINC001389062291 988777611 /nfs/dbraw/zinc/77/76/11/988777611.db2.gz PQUMZVGHKWGNOE-POYBYMJQSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccco1 ZINC001389062291 988777622 /nfs/dbraw/zinc/77/76/22/988777622.db2.gz PQUMZVGHKWGNOE-POYBYMJQSA-N 0 2 312.219 0.387 20 0 DCADLN C[C@H](CNC(=O)Cc1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001389199780 988975515 /nfs/dbraw/zinc/97/55/15/988975515.db2.gz ZQIONQPDJRJJDQ-GMSGAONNSA-N 0 2 324.278 0.484 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)ncn1 ZINC001389380158 989178996 /nfs/dbraw/zinc/17/89/96/989178996.db2.gz FUBDPCHDLFUYLC-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cc(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)ncn1 ZINC001389380158 989179002 /nfs/dbraw/zinc/17/90/02/989179002.db2.gz FUBDPCHDLFUYLC-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN CC[C@H](C)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)C[NH+](C)C2CCC2)C1 ZINC001389452746 989268203 /nfs/dbraw/zinc/26/82/03/989268203.db2.gz CEBUKAAQRFZPPX-UONOGXRCSA-N 0 2 324.469 0.576 20 0 DCADLN CCc1oc(C(=O)[O-])cc1S(=O)(=O)NCC[NH+]1CCC1 ZINC001597784720 989297067 /nfs/dbraw/zinc/29/70/67/989297067.db2.gz ZPLDLTFWMUYZCZ-UHFFFAOYSA-N 0 2 302.352 0.524 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnon1 ZINC001450955717 1015480845 /nfs/dbraw/zinc/48/08/45/1015480845.db2.gz GUQGJSQUJOBJBW-VDTYLAMSSA-N 0 2 312.223 0.595 20 0 DCADLN CC[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnon1 ZINC001450955717 1015480850 /nfs/dbraw/zinc/48/08/50/1015480850.db2.gz GUQGJSQUJOBJBW-VDTYLAMSSA-N 0 2 312.223 0.595 20 0 DCADLN CC[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001450961733 1015486734 /nfs/dbraw/zinc/48/67/34/1015486734.db2.gz OSUJLOHPVHSXPW-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001450961733 1015486740 /nfs/dbraw/zinc/48/67/40/1015486740.db2.gz OSUJLOHPVHSXPW-VXNVDRBHSA-N 0 2 324.278 0.945 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001390068347 989791995 /nfs/dbraw/zinc/79/19/95/989791995.db2.gz AVMVEVGDFYPBPS-XFWSIPNHSA-N 0 2 324.274 0.448 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)C1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001390068347 989791997 /nfs/dbraw/zinc/79/19/97/989791997.db2.gz AVMVEVGDFYPBPS-XFWSIPNHSA-N 0 2 324.274 0.448 20 0 DCADLN CCn1ncc(C[N@H+](C)CCCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001597957204 989968903 /nfs/dbraw/zinc/96/89/03/989968903.db2.gz OPUZUYCJIYSVGB-STQMWFEESA-N 0 2 323.397 0.299 20 0 DCADLN CCn1ncc(C[N@@H+](C)CCCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001597957204 989968908 /nfs/dbraw/zinc/96/89/08/989968908.db2.gz OPUZUYCJIYSVGB-STQMWFEESA-N 0 2 323.397 0.299 20 0 DCADLN CC(C)(C)c1noc(C[NH2+]CCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001590583361 990229125 /nfs/dbraw/zinc/22/91/25/990229125.db2.gz KXSQLGVYTOPOTP-IUCAKERBSA-N 0 2 310.354 0.294 20 0 DCADLN Cc1cncc(CCC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001390783431 990382242 /nfs/dbraw/zinc/38/22/42/990382242.db2.gz CLOLAXIVQTZBRW-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN Cc1cncc(CCC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001390783431 990382246 /nfs/dbraw/zinc/38/22/46/990382246.db2.gz CLOLAXIVQTZBRW-UHFFFAOYSA-N 0 2 318.381 0.395 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccns1 ZINC001391166870 990635412 /nfs/dbraw/zinc/63/54/12/990635412.db2.gz IKTRKCQFBSZFNJ-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)c1ccns1 ZINC001391166870 990635416 /nfs/dbraw/zinc/63/54/16/990635416.db2.gz IKTRKCQFBSZFNJ-UHFFFAOYSA-N 0 2 308.367 0.361 20 0 DCADLN C[NH+](C)[C@H](CNS(=O)(=O)C1(C(=O)[O-])CCC1)c1ccco1 ZINC001598173652 990713330 /nfs/dbraw/zinc/71/33/30/990713330.db2.gz HGNXSIJNLKHDIG-SNVBAGLBSA-N 0 2 316.379 0.809 20 0 DCADLN CC(C)(NC(=O)NCCn1cc[nH+]c1)c1cc(C(=O)[O-])on1 ZINC001590848751 990723997 /nfs/dbraw/zinc/72/39/97/990723997.db2.gz HCFSSGOEOZOVPJ-UHFFFAOYSA-N 0 2 307.310 0.804 20 0 DCADLN CC(=O)CCCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001391370636 990785625 /nfs/dbraw/zinc/78/56/25/990785625.db2.gz DHOMMAQYBMFCCI-LLVKDONJSA-N 0 2 309.370 0.350 20 0 DCADLN C[C@H](C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C(C)(F)F ZINC001391400157 990805815 /nfs/dbraw/zinc/80/58/15/990805815.db2.gz RWVIEYRRHIKWEQ-BDAKNGLRSA-N 0 2 317.340 0.882 20 0 DCADLN CS(=O)(=O)CCN1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001391578680 990975353 /nfs/dbraw/zinc/97/53/53/990975353.db2.gz RVZCVFQIYGMXPX-HTQZYQBOSA-N 0 2 320.308 0.122 20 0 DCADLN CS(=O)(=O)CCN1CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001391578680 990975361 /nfs/dbraw/zinc/97/53/61/990975361.db2.gz RVZCVFQIYGMXPX-HTQZYQBOSA-N 0 2 320.308 0.122 20 0 DCADLN CC[C@H](CNC(=O)C[N@@H+]1CC[C@@H](C)C1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001451138676 1015609159 /nfs/dbraw/zinc/60/91/59/1015609159.db2.gz MJUAAAFWRJVOLD-CHWSQXEVSA-N 0 2 321.425 0.305 20 0 DCADLN CN(C)c1cc(Cn2cc(CCC(=O)CC(=O)[O-])nn2)cc[nH+]1 ZINC001598306141 991263510 /nfs/dbraw/zinc/26/35/10/991263510.db2.gz SPBBHWCDSXACEV-UHFFFAOYSA-N 0 2 317.349 0.764 20 0 DCADLN COc1nsc(C[N@@H+]2CCC3(C2)OCCO3)c1C(=O)[O-] ZINC001599740198 991838352 /nfs/dbraw/zinc/83/83/52/991838352.db2.gz JTIBTHCEIFTBOS-UHFFFAOYSA-N 0 2 300.336 0.799 20 0 DCADLN COc1nsc(C[N@H+]2CCC3(C2)OCCO3)c1C(=O)[O-] ZINC001599740198 991838361 /nfs/dbraw/zinc/83/83/61/991838361.db2.gz JTIBTHCEIFTBOS-UHFFFAOYSA-N 0 2 300.336 0.799 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@@H+]1CC[C@](O)(C(F)F)C1)C(=O)[O-] ZINC001591167780 991940200 /nfs/dbraw/zinc/94/02/00/991940200.db2.gz MXISSYDIXKKFLW-TVQRCGJNSA-N 0 2 308.325 0.304 20 0 DCADLN CC(C)C[C@H](NC(=O)C[N@H+]1CC[C@](O)(C(F)F)C1)C(=O)[O-] ZINC001591167780 991940208 /nfs/dbraw/zinc/94/02/08/991940208.db2.gz MXISSYDIXKKFLW-TVQRCGJNSA-N 0 2 308.325 0.304 20 0 DCADLN CC(C)CCC(=O)NCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001591213780 992066643 /nfs/dbraw/zinc/06/66/43/992066643.db2.gz CZVIHRPRFLHRFN-LLVKDONJSA-N 0 2 310.354 0.074 20 0 DCADLN CC(C)CCC(=O)NCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001591213780 992066649 /nfs/dbraw/zinc/06/66/49/992066649.db2.gz CZVIHRPRFLHRFN-LLVKDONJSA-N 0 2 310.354 0.074 20 0 DCADLN CN(CCN1CCCS1(=O)=O)c1cc(CC(=O)[O-])cc[nH+]1 ZINC001598488365 992243803 /nfs/dbraw/zinc/24/38/03/992243803.db2.gz WOKKERWHWIHPIC-UHFFFAOYSA-N 0 2 313.379 0.180 20 0 DCADLN Cn1ncnc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001392829938 992438092 /nfs/dbraw/zinc/43/80/92/992438092.db2.gz CWGPCSUUWZAQGH-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncnc1CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001392829938 992438095 /nfs/dbraw/zinc/43/80/95/992438095.db2.gz CWGPCSUUWZAQGH-IONNQARKSA-N 0 2 309.267 0.406 20 0 DCADLN CCOCC1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001392841620 992449768 /nfs/dbraw/zinc/44/97/68/992449768.db2.gz NMXHADBLJXROSW-JTQLQIEISA-N 0 2 309.370 0.018 20 0 DCADLN C[N@@H+](Cc1ccc(C(N)=O)cc1)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001598518399 992647452 /nfs/dbraw/zinc/64/74/52/992647452.db2.gz YXSXQMALMHNAGT-LBPRGKRZSA-N 0 2 305.334 0.108 20 0 DCADLN C[N@H+](Cc1ccc(C(N)=O)cc1)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001598518399 992647455 /nfs/dbraw/zinc/64/74/55/992647455.db2.gz YXSXQMALMHNAGT-LBPRGKRZSA-N 0 2 305.334 0.108 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001598518669 992651365 /nfs/dbraw/zinc/65/13/65/992651365.db2.gz SAUJBBBLDFJMDS-HIFRSBDPSA-N 0 2 324.352 0.694 20 0 DCADLN CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001598518669 992651368 /nfs/dbraw/zinc/65/13/68/992651368.db2.gz SAUJBBBLDFJMDS-HIFRSBDPSA-N 0 2 324.352 0.694 20 0 DCADLN C[N@H+](Cc1csnn1)C1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001598536815 992849990 /nfs/dbraw/zinc/84/99/90/992849990.db2.gz NBNVWMJGTWZEEU-VXGBXAGGSA-N 0 2 324.406 0.682 20 0 DCADLN C[N@@H+](Cc1csnn1)C1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001598536815 992849999 /nfs/dbraw/zinc/84/99/99/992849999.db2.gz NBNVWMJGTWZEEU-VXGBXAGGSA-N 0 2 324.406 0.682 20 0 DCADLN Cn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@@](C)(C(=O)[O-])C1 ZINC001598570061 993185558 /nfs/dbraw/zinc/18/55/58/993185558.db2.gz PUIVPVMVJVFUEG-JRPNMDOOSA-N 0 2 323.397 0.244 20 0 DCADLN Cn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@@](C)(C(=O)[O-])C1 ZINC001598570061 993185561 /nfs/dbraw/zinc/18/55/61/993185561.db2.gz PUIVPVMVJVFUEG-JRPNMDOOSA-N 0 2 323.397 0.244 20 0 DCADLN C[C@H](CNC(=O)CCc1c[nH]nn1)[N@H+](C)Cc1cncs1 ZINC001394108022 993483309 /nfs/dbraw/zinc/48/33/09/993483309.db2.gz WVKFAFULIFLPPG-SNVBAGLBSA-N 0 2 308.411 0.831 20 0 DCADLN C[N@H+](CCNC(=O)c1cncc(Cl)c1)Cc1n[nH]c(=O)[n-]1 ZINC001399594020 993595699 /nfs/dbraw/zinc/59/56/99/993595699.db2.gz IVXDGUPTOJUBKJ-UHFFFAOYSA-N 0 2 310.745 0.421 20 0 DCADLN C[N@@H+](CCNC(=O)c1cncc(Cl)c1)Cc1n[nH]c(=O)[n-]1 ZINC001399594020 993595705 /nfs/dbraw/zinc/59/57/05/993595705.db2.gz IVXDGUPTOJUBKJ-UHFFFAOYSA-N 0 2 310.745 0.421 20 0 DCADLN COc1ccc(NC(=O)[C@H](C)N2CC[NH2+]CC2=O)c(C(=O)[O-])c1 ZINC001599589667 993661872 /nfs/dbraw/zinc/66/18/72/993661872.db2.gz DWIQTYBCZBZKJK-VIFPVBQESA-N 0 2 321.333 0.152 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001399816247 993807878 /nfs/dbraw/zinc/80/78/78/993807878.db2.gz AZYCSXSTGXOWTA-QWRGUYRKSA-N 0 2 319.369 0.049 20 0 DCADLN C[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)n1cccn1 ZINC001399816247 993807882 /nfs/dbraw/zinc/80/78/82/993807882.db2.gz AZYCSXSTGXOWTA-QWRGUYRKSA-N 0 2 319.369 0.049 20 0 DCADLN CN1CC[N@H+](CC[S@](=O)C(F)(F)F)C[C@@H](C(=O)[O-])C1 ZINC001598618359 993939304 /nfs/dbraw/zinc/93/93/04/993939304.db2.gz BSDWWXPYFOZBTK-RLBGWGEZSA-N 0 2 302.318 0.203 20 0 DCADLN CN1CC[N@@H+](CC[S@](=O)C(F)(F)F)C[C@@H](C(=O)[O-])C1 ZINC001598618359 993939314 /nfs/dbraw/zinc/93/93/14/993939314.db2.gz BSDWWXPYFOZBTK-RLBGWGEZSA-N 0 2 302.318 0.203 20 0 DCADLN CC(C)N(CCN(C)Cc1n[nH]c(=O)[nH]1)C(=O)[C@H]1CC1(F)F ZINC001394798649 994167124 /nfs/dbraw/zinc/16/71/24/994167124.db2.gz HCWZKRFFBSIZHQ-SECBINFHSA-N 0 2 317.340 0.834 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC1(F)F ZINC001394798649 994167130 /nfs/dbraw/zinc/16/71/30/994167130.db2.gz HCWZKRFFBSIZHQ-SECBINFHSA-N 0 2 317.340 0.834 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC1(F)F ZINC001394798649 994167137 /nfs/dbraw/zinc/16/71/37/994167137.db2.gz HCWZKRFFBSIZHQ-SECBINFHSA-N 0 2 317.340 0.834 20 0 DCADLN C[C@@H](CNC(=O)CCc1cn[nH]c1)NC(=O)C(F)C(F)(F)F ZINC001400213988 994290959 /nfs/dbraw/zinc/29/09/59/994290959.db2.gz MDMCIJIBNYUKRH-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CNC(=O)CCc1cn[nH]c1)NC(=O)[C@H](F)C(F)(F)F ZINC001400213988 994290966 /nfs/dbraw/zinc/29/09/66/994290966.db2.gz MDMCIJIBNYUKRH-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN CCn1ncc(CN2CC(NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001400346189 994429879 /nfs/dbraw/zinc/42/98/79/994429879.db2.gz FSYCVCCRNHGEIO-SECBINFHSA-N 0 2 309.267 0.499 20 0 DCADLN CCn1ncc(CN2CC(NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001400346189 994429956 /nfs/dbraw/zinc/42/99/56/994429956.db2.gz FSYCVCCRNHGEIO-SECBINFHSA-N 0 2 309.267 0.499 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ccn(C)n1 ZINC001395099726 994431553 /nfs/dbraw/zinc/43/15/53/994431553.db2.gz RIIAZYAFAWUUSO-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ccn(C)n1 ZINC001395099726 994431560 /nfs/dbraw/zinc/43/15/60/994431560.db2.gz RIIAZYAFAWUUSO-VXNVDRBHSA-N 0 2 324.278 0.897 20 0 DCADLN CSCC(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001400439666 994516772 /nfs/dbraw/zinc/51/67/72/994516772.db2.gz ZOSHUHZKEQYBES-SVRRBLITSA-N 0 2 302.293 0.967 20 0 DCADLN CSCC(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001400439666 994516786 /nfs/dbraw/zinc/51/67/86/994516786.db2.gz ZOSHUHZKEQYBES-SVRRBLITSA-N 0 2 302.293 0.967 20 0 DCADLN COc1cccc(C[C@@H]([NH2+][C@@H](C)c2nnnn2C)C(=O)[O-])c1 ZINC001599668973 994778837 /nfs/dbraw/zinc/77/88/37/994778837.db2.gz BTPKUBUCFCWRIU-JOYOIKCWSA-N 0 2 305.338 0.565 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001400746425 994875759 /nfs/dbraw/zinc/87/57/59/994875759.db2.gz IIBBYBKBENYQMT-BDAKNGLRSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001400746425 994875762 /nfs/dbraw/zinc/87/57/62/994875762.db2.gz IIBBYBKBENYQMT-BDAKNGLRSA-N 0 2 314.279 0.981 20 0 DCADLN CC(C)(C)C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F ZINC001395811730 995026236 /nfs/dbraw/zinc/02/62/36/995026236.db2.gz WQQBKIJIFPNVRM-RQJHMYQMSA-N 0 2 302.268 0.526 20 0 DCADLN CC(C)(C)C(=O)NC[C@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001395811730 995026240 /nfs/dbraw/zinc/02/62/40/995026240.db2.gz WQQBKIJIFPNVRM-RQJHMYQMSA-N 0 2 302.268 0.526 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@@H+]1CCC[C@@H](c2nc(C)no2)C1 ZINC001598698093 995175229 /nfs/dbraw/zinc/17/52/29/995175229.db2.gz JBBNXYJNZDPDIK-GHMZBOCLSA-N 0 2 311.338 0.964 20 0 DCADLN COC(=O)[C@@H](CCC(=O)[O-])[N@H+]1CCC[C@@H](c2nc(C)no2)C1 ZINC001598698093 995175236 /nfs/dbraw/zinc/17/52/36/995175236.db2.gz JBBNXYJNZDPDIK-GHMZBOCLSA-N 0 2 311.338 0.964 20 0 DCADLN CO[C@@H](C)CC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001396723414 995562628 /nfs/dbraw/zinc/56/26/28/995562628.db2.gz QPYOLOFYWKNYIP-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN CO[C@@H](C)CC(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001396723414 995562636 /nfs/dbraw/zinc/56/26/36/995562636.db2.gz QPYOLOFYWKNYIP-WPRPVWTQSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001397183037 995847691 /nfs/dbraw/zinc/84/76/91/995847691.db2.gz IFOFRWVBJBBNIS-ATRFCDNQSA-N 0 2 308.235 0.687 20 0 DCADLN O=C(N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1ccn[nH]1 ZINC001397183037 995847697 /nfs/dbraw/zinc/84/76/97/995847697.db2.gz IFOFRWVBJBBNIS-ATRFCDNQSA-N 0 2 308.235 0.687 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])[N@H+](Cc2cccc(OC)n2)C1 ZINC001598782316 995998886 /nfs/dbraw/zinc/99/88/86/995998886.db2.gz ZTGVDZDYJHTIBO-ZYHUDNBSSA-N 0 2 308.334 0.928 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])[N@@H+](Cc2cccc(OC)n2)C1 ZINC001598782316 995998890 /nfs/dbraw/zinc/99/88/90/995998890.db2.gz ZTGVDZDYJHTIBO-ZYHUDNBSSA-N 0 2 308.334 0.928 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(C)CCOCC1 ZINC001397581585 996080779 /nfs/dbraw/zinc/08/07/79/996080779.db2.gz QUCLCSWDNOUEGE-NSHDSACASA-N 0 2 323.397 0.360 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)Cc1cncn1C ZINC001397944266 996270827 /nfs/dbraw/zinc/27/08/27/996270827.db2.gz XTAUTODKRWETFK-JTQLQIEISA-N 0 2 324.278 0.438 20 0 DCADLN C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)n1ccc(C(F)(F)F)n1 ZINC001465724945 1016022208 /nfs/dbraw/zinc/02/22/08/1016022208.db2.gz GLCMIRZAJZUNBI-RXMQYKEDSA-N 0 2 304.232 0.603 20 0 DCADLN CCN(CCNC(=O)c1cn(C)cn1)C(=O)C(F)C(F)(F)F ZINC001398089611 996359843 /nfs/dbraw/zinc/35/98/43/996359843.db2.gz RNTZXDYCNFCBPS-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)c1cn(C)cn1)C(=O)[C@@H](F)C(F)(F)F ZINC001398089611 996359847 /nfs/dbraw/zinc/35/98/47/996359847.db2.gz RNTZXDYCNFCBPS-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN Cn1cnnc1CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001398233197 996424337 /nfs/dbraw/zinc/42/43/37/996424337.db2.gz PXLBZPTVBVJNID-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CCC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001398233197 996424342 /nfs/dbraw/zinc/42/43/42/996424342.db2.gz PXLBZPTVBVJNID-WCBMZHEXSA-N 0 2 323.294 0.796 20 0 DCADLN O=C(Cc1cncnc1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001398610812 996603159 /nfs/dbraw/zinc/60/31/59/996603159.db2.gz ZBZNXYYPIXZAJA-JTQLQIEISA-N 0 2 320.246 0.247 20 0 DCADLN O=C(Cc1cncnc1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001398610812 996603164 /nfs/dbraw/zinc/60/31/64/996603164.db2.gz ZBZNXYYPIXZAJA-JTQLQIEISA-N 0 2 320.246 0.247 20 0 DCADLN O=C(CCc1ccon1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001398633325 996614722 /nfs/dbraw/zinc/61/47/22/996614722.db2.gz QLEUBGVFGCYYSN-JTQLQIEISA-N 0 2 323.246 0.835 20 0 DCADLN O=C(CCc1ccon1)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001398633325 996614723 /nfs/dbraw/zinc/61/47/23/996614723.db2.gz QLEUBGVFGCYYSN-JTQLQIEISA-N 0 2 323.246 0.835 20 0 DCADLN COC(=O)CCNC(=O)C[NH2+][C@H](C(=O)[O-])c1cc(C)cc(C)c1 ZINC001598854639 996627251 /nfs/dbraw/zinc/62/72/51/996627251.db2.gz MAICFNIQUQCBLG-HNNXBMFYSA-N 0 2 322.361 0.698 20 0 DCADLN CCCN(C(=O)C(F)F)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404406838 997077202 /nfs/dbraw/zinc/07/72/02/997077202.db2.gz SGCMJXOUGRBZHX-MRVPVSSYSA-N 0 2 303.313 0.588 20 0 DCADLN COCc1ccc(C[NH+]2CC(NC(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)cc1 ZINC001599294276 997111357 /nfs/dbraw/zinc/11/13/57/997111357.db2.gz PBPBWFZUCKGQJY-GJZGRUSLSA-N 0 2 318.373 0.854 20 0 DCADLN COCc1ccc(C[NH+]2CC(NC(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)cc1 ZINC001599294278 997111879 /nfs/dbraw/zinc/11/18/79/997111879.db2.gz PBPBWFZUCKGQJY-HUUCEWRRSA-N 0 2 318.373 0.854 20 0 DCADLN CC[C@H](C(N)=O)[N@@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001404493957 997167063 /nfs/dbraw/zinc/16/70/63/997167063.db2.gz ZPSICJLZJLTYBM-WDEREUQCSA-N 0 2 323.397 0.129 20 0 DCADLN CC[C@H](C(N)=O)[N@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001404493957 997167067 /nfs/dbraw/zinc/16/70/67/997167067.db2.gz ZPSICJLZJLTYBM-WDEREUQCSA-N 0 2 323.397 0.129 20 0 DCADLN Cc1ccnc(CN[C@H](CO)CNC(=O)C(F)C(F)(F)F)n1 ZINC001507197912 1016113940 /nfs/dbraw/zinc/11/39/40/1016113940.db2.gz JSWVTPYDHVUERJ-WPRPVWTQSA-N 0 2 324.278 0.252 20 0 DCADLN Cc1ccnc(CN[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001507197912 1016113946 /nfs/dbraw/zinc/11/39/46/1016113946.db2.gz JSWVTPYDHVUERJ-WPRPVWTQSA-N 0 2 324.278 0.252 20 0 DCADLN C[C@@H](C(=O)NC1CC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404762334 997442164 /nfs/dbraw/zinc/44/21/64/997442164.db2.gz BOAMJTRROVRMTF-RCOVLWMOSA-N 0 2 311.279 0.354 20 0 DCADLN C[C@@H](C(=O)NC1CC1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404762334 997442171 /nfs/dbraw/zinc/44/21/71/997442171.db2.gz BOAMJTRROVRMTF-RCOVLWMOSA-N 0 2 311.279 0.354 20 0 DCADLN C[C@H](C(=O)NC1CC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404762328 997442822 /nfs/dbraw/zinc/44/28/22/997442822.db2.gz BOAMJTRROVRMTF-MUWHJKNJSA-N 0 2 311.279 0.354 20 0 DCADLN C[C@H](C(=O)NC1CC1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404762328 997442827 /nfs/dbraw/zinc/44/28/27/997442827.db2.gz BOAMJTRROVRMTF-MUWHJKNJSA-N 0 2 311.279 0.354 20 0 DCADLN O=C(CCc1ccsc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001404891076 997570466 /nfs/dbraw/zinc/57/04/66/997570466.db2.gz MHEXCMZYPYEESX-UHFFFAOYSA-N 0 2 321.406 0.753 20 0 DCADLN COc1ccc([C@H]([NH2+]CC(=O)NC(N)=O)C(=O)[O-])cc1Cl ZINC001599528484 997606263 /nfs/dbraw/zinc/60/62/63/997606263.db2.gz WCAXUPAHOQVMLR-JTQLQIEISA-N 0 2 315.713 0.259 20 0 DCADLN CCCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2([NH+](C)C)CCC2)C1 ZINC001404998513 997664845 /nfs/dbraw/zinc/66/48/45/997664845.db2.gz MHYUVOCXGQENMW-ZDUSSCGKSA-N 0 2 310.442 0.187 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccn(C)n1)NC(=O)C(F)C(F)(F)F ZINC001405063352 997746388 /nfs/dbraw/zinc/74/63/88/997746388.db2.gz YUKQMQHFUPTPGB-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccn(C)n1)NC(=O)[C@H](F)C(F)(F)F ZINC001405063352 997746402 /nfs/dbraw/zinc/74/64/02/997746402.db2.gz YUKQMQHFUPTPGB-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN CSC[C@@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405096334 997785524 /nfs/dbraw/zinc/78/55/24/997785524.db2.gz HJFJJDNVNLGBHB-ZJUUUORDSA-N 0 2 313.427 0.542 20 0 DCADLN CSC[C@@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405096334 997785535 /nfs/dbraw/zinc/78/55/35/997785535.db2.gz HJFJJDNVNLGBHB-ZJUUUORDSA-N 0 2 313.427 0.542 20 0 DCADLN Cc1nc2c(nccc2C(=O)NCCc2n[nH]c(=S)o2)[nH]1 ZINC001467312294 1016156424 /nfs/dbraw/zinc/15/64/24/1016156424.db2.gz CAGHFBDOBVXLQS-UHFFFAOYSA-N 0 2 304.335 0.911 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C(F)(F)F ZINC001405127924 997833833 /nfs/dbraw/zinc/83/38/33/997833833.db2.gz MKWPNSIGSLXPSB-YUMQZZPRSA-N 0 2 321.303 0.741 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001405127924 997833843 /nfs/dbraw/zinc/83/38/43/997833843.db2.gz MKWPNSIGSLXPSB-YUMQZZPRSA-N 0 2 321.303 0.741 20 0 DCADLN C[C@@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C(F)(F)F ZINC001405127924 997833854 /nfs/dbraw/zinc/83/38/54/997833854.db2.gz MKWPNSIGSLXPSB-YUMQZZPRSA-N 0 2 321.303 0.741 20 0 DCADLN O=C(NCCC1(O)CCC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001467914718 1016206998 /nfs/dbraw/zinc/20/69/98/1016206998.db2.gz HIEWMALMPSNMGQ-UHFFFAOYSA-N 0 2 318.333 0.301 20 0 DCADLN COC(=O)c1ccc(C(=O)O[C@H]2C[N@H+](C)[C@@](C)(C(=O)[O-])C2)o1 ZINC001598967812 998455727 /nfs/dbraw/zinc/45/57/27/998455727.db2.gz NJHUBKCTQGHQMO-XLKFXECMSA-N 0 2 311.290 0.770 20 0 DCADLN COC(=O)c1ccc(C(=O)O[C@H]2C[N@@H+](C)[C@@](C)(C(=O)[O-])C2)o1 ZINC001598967812 998455735 /nfs/dbraw/zinc/45/57/35/998455735.db2.gz NJHUBKCTQGHQMO-XLKFXECMSA-N 0 2 311.290 0.770 20 0 DCADLN C[N@H+](CCNC(=O)CCCc1ccccn1)Cc1n[nH]c(=O)[n-]1 ZINC001418517506 1000303727 /nfs/dbraw/zinc/30/37/27/1000303727.db2.gz WZRHBGRXRISUAJ-UHFFFAOYSA-N 0 2 318.381 0.476 20 0 DCADLN C[N@@H+](CCNC(=O)CCCc1ccccn1)Cc1n[nH]c(=O)[n-]1 ZINC001418517506 1000303732 /nfs/dbraw/zinc/30/37/32/1000303732.db2.gz WZRHBGRXRISUAJ-UHFFFAOYSA-N 0 2 318.381 0.476 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)NC[C@@H](O)[C@@H](C)O)=N1 ZINC001418669597 1000437463 /nfs/dbraw/zinc/43/74/63/1000437463.db2.gz AFYQBGLEPIVXAK-BXKDBHETSA-N 0 2 323.324 0.497 20 0 DCADLN CC(C)C[C@H](C(=O)N1CCOC[C@H]1c1nn[nH]n1)n1ccnc1 ZINC001418863600 1000576642 /nfs/dbraw/zinc/57/66/42/1000576642.db2.gz MKJLZSBSDREXAE-NEPJUHHUSA-N 0 2 319.369 0.584 20 0 DCADLN CCCN(C(=O)[C@H]1C[C@@H]1C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001418869669 1000577897 /nfs/dbraw/zinc/57/78/97/1000577897.db2.gz QRLJRXDBJPACGS-TUAOUCFPSA-N 0 2 307.398 0.979 20 0 DCADLN CCCN(C(=O)[C@H]1C[C@@H]1C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001418869669 1000577903 /nfs/dbraw/zinc/57/79/03/1000577903.db2.gz QRLJRXDBJPACGS-TUAOUCFPSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(COc1ccc(F)cc1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419001853 1000679141 /nfs/dbraw/zinc/67/91/41/1000679141.db2.gz UZCIHBOGBAWMGH-UHFFFAOYSA-N 0 2 321.312 0.029 20 0 DCADLN O=C(NCC1CN(Cc2ncccn2)C1)C(F)C(F)(F)F ZINC001419023689 1000697962 /nfs/dbraw/zinc/69/79/62/1000697962.db2.gz WHZHTWBECLAZIC-JTQLQIEISA-N 0 2 306.263 0.925 20 0 DCADLN O=C(NCC1CN(Cc2ncccn2)C1)[C@H](F)C(F)(F)F ZINC001419023689 1000697972 /nfs/dbraw/zinc/69/79/72/1000697972.db2.gz WHZHTWBECLAZIC-JTQLQIEISA-N 0 2 306.263 0.925 20 0 DCADLN C[C@H](CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001419071200 1000742977 /nfs/dbraw/zinc/74/29/77/1000742977.db2.gz YYJPPBBOAVEKCJ-NEPJUHHUSA-N 0 2 318.381 0.654 20 0 DCADLN CCO[C@H](C)C(=O)N(CC)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419139835 1000811135 /nfs/dbraw/zinc/81/11/35/1000811135.db2.gz SVILLGQHUVRCMH-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CCO[C@H](C)C(=O)N(CC)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419139835 1000811138 /nfs/dbraw/zinc/81/11/38/1000811138.db2.gz SVILLGQHUVRCMH-MNOVXSKESA-N 0 2 311.386 0.358 20 0 DCADLN CCO[C@@H](C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)C ZINC001401890831 1000825134 /nfs/dbraw/zinc/82/51/34/1000825134.db2.gz GRIGTPMJHAVXJM-ZYHUDNBSSA-N 0 2 311.386 0.262 20 0 DCADLN CC[C@@H](C)OCC(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001402080859 1000998717 /nfs/dbraw/zinc/99/87/17/1000998717.db2.gz LZSNLGHBUULFPO-MNOVXSKESA-N 0 2 311.386 0.406 20 0 DCADLN Cn1cncc1C[N@@H+]1CC[C@@](C)(NC(=O)CCc2nnc[nH]2)C1 ZINC001402146628 1001060321 /nfs/dbraw/zinc/06/03/21/1001060321.db2.gz XOWXDGDZNGMSCS-OAHLLOKOSA-N 0 2 317.397 0.252 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)[C@@H](C)C[NH2+]Cc2ncccn2)c1[O-] ZINC001419664708 1001207657 /nfs/dbraw/zinc/20/76/57/1001207657.db2.gz WQNNWSKQCYPIBO-VIFPVBQESA-N 0 2 304.354 0.464 20 0 DCADLN CC(C)[C@H](F)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001403381968 1001910330 /nfs/dbraw/zinc/91/03/30/1001910330.db2.gz MDKOSNUVRHEVBS-KOLCDFICSA-N 0 2 313.377 0.831 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@H]2CCCCS2)[nH]1 ZINC001420152839 1001949190 /nfs/dbraw/zinc/94/91/90/1001949190.db2.gz ZQVGZQZSXMMQDZ-MRVPVSSYSA-N 0 2 318.424 0.251 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001420145595 1001938318 /nfs/dbraw/zinc/93/83/18/1001938318.db2.gz LRBDFTQTSZCKBQ-RKDXNWHRSA-N 0 2 300.384 0.402 20 0 DCADLN C[NH+](CCNC(=O)[C@@H]1CCC[N@@H+]1C)CC(=O)NCc1ccco1 ZINC001403508102 1002048744 /nfs/dbraw/zinc/04/87/44/1002048744.db2.gz OIXHBIQFCAPUIN-AWEZNQCLSA-N 0 2 322.409 0.038 20 0 DCADLN C[C@@H]1CCCN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001471449127 1016576982 /nfs/dbraw/zinc/57/69/82/1016576982.db2.gz RZFQHVDEMAPOEU-SECBINFHSA-N 0 2 315.399 0.291 20 0 DCADLN CCO[C@@H](CC)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463984 1002454157 /nfs/dbraw/zinc/45/41/57/1002454157.db2.gz HWEAYRJCARMBGC-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CCO[C@@H](CC)C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001420463984 1002454164 /nfs/dbraw/zinc/45/41/64/1002454164.db2.gz HWEAYRJCARMBGC-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@@H](SC)C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001420478688 1002477020 /nfs/dbraw/zinc/47/70/20/1002477020.db2.gz ULDLAVSVMGJSQL-NXEZZACHSA-N 0 2 313.427 0.733 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001425281758 1002511457 /nfs/dbraw/zinc/51/14/57/1002511457.db2.gz GGYKZZJBPFXRRP-POYBYMJQSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(NC[C@@H](CO)NC(=O)[C@@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001425281758 1002511463 /nfs/dbraw/zinc/51/14/63/1002511463.db2.gz GGYKZZJBPFXRRP-POYBYMJQSA-N 0 2 311.235 0.122 20 0 DCADLN CCOC(=O)[C@@H](C)C1CN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC001471732657 1016644797 /nfs/dbraw/zinc/64/47/97/1016644797.db2.gz HEGMBMKWLFTVFH-IONNQARKSA-N 0 2 313.379 0.200 20 0 DCADLN C[S@@](=O)Cc1ccccc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001425480428 1002720383 /nfs/dbraw/zinc/72/03/83/1002720383.db2.gz ITUIIESPZBQBFY-OAQYLSRUSA-N 0 2 309.351 0.711 20 0 DCADLN COCC(=O)NC1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001404077352 1002978560 /nfs/dbraw/zinc/97/85/60/1002978560.db2.gz YQKCWKNNZOKPJO-QXUHLLMWSA-N 0 2 300.252 0.544 20 0 DCADLN COCC(=O)NC1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404077352 1002978565 /nfs/dbraw/zinc/97/85/65/1002978565.db2.gz YQKCWKNNZOKPJO-QXUHLLMWSA-N 0 2 300.252 0.544 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(F)F ZINC001425721348 1003002111 /nfs/dbraw/zinc/00/21/11/1003002111.db2.gz GCAUCVOCZABTEI-UHNVWZDZSA-N 0 2 310.194 0.087 20 0 DCADLN CN(C[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)C(=O)C(F)F ZINC001425721348 1003002122 /nfs/dbraw/zinc/00/21/22/1003002122.db2.gz GCAUCVOCZABTEI-UHNVWZDZSA-N 0 2 310.194 0.087 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@H]2CCC(F)(F)C2)[nH]1 ZINC001472081567 1016719809 /nfs/dbraw/zinc/71/98/09/1016719809.db2.gz DEBNKPSBAJFFDG-ZETCQYMHSA-N 0 2 322.337 0.401 20 0 DCADLN Cc1cnc2c(C(=O)N[C@H](C)c3nn(C)cc3O)cnn2c1 ZINC001472237597 1016766905 /nfs/dbraw/zinc/76/69/05/1016766905.db2.gz WMTBTPAWMDAXLA-SECBINFHSA-N 0 2 300.322 0.968 20 0 DCADLN CCC(=O)N1CCC[C@@H](C(=O)N[C@@H](C)c2nn(C)cc2O)C1 ZINC001472237494 1016767400 /nfs/dbraw/zinc/76/74/00/1016767400.db2.gz SSKNAYUVUPGOLV-WDEREUQCSA-N 0 2 308.382 0.952 20 0 DCADLN C[C@@H](NC(=O)c1ccc2c(n1)OCCO2)c1nn(C)cc1O ZINC001472237837 1016767563 /nfs/dbraw/zinc/76/75/63/1016767563.db2.gz XOTRPWLRQQZGOC-MRVPVSSYSA-N 0 2 304.306 0.783 20 0 DCADLN C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C1CC1)c1nn(C)cc1O ZINC001472237893 1016768210 /nfs/dbraw/zinc/76/82/10/1016768210.db2.gz YUZAJTWHIJZYDX-ONGXEEELSA-N 0 2 306.366 0.704 20 0 DCADLN CCN(C(=O)Cc1ccoc1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421339648 1003562814 /nfs/dbraw/zinc/56/28/14/1003562814.db2.gz PFCPDAIAPWGVAS-GFCCVEGCSA-N 0 2 319.365 0.769 20 0 DCADLN CCN(C(=O)Cc1ccoc1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421339648 1003562822 /nfs/dbraw/zinc/56/28/22/1003562822.db2.gz PFCPDAIAPWGVAS-GFCCVEGCSA-N 0 2 319.365 0.769 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC1(CNC(=O)c2cscn2)CC1 ZINC001505983310 1016819696 /nfs/dbraw/zinc/81/96/96/1016819696.db2.gz YDGWSAGNUWGAGH-UHFFFAOYSA-N 0 2 319.390 0.796 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+]C[C@H](C)NC(=O)CCc2cn[nH]n2)s1 ZINC001406531974 1003793664 /nfs/dbraw/zinc/79/36/64/1003793664.db2.gz WCAICSDQOSXVIH-DTWKUNHWSA-N 0 2 323.426 0.753 20 0 DCADLN CC(C)(NC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)C1CC1 ZINC001428334799 1003873274 /nfs/dbraw/zinc/87/32/74/1003873274.db2.gz SVOZLAKDDFDTNS-UHFFFAOYSA-N 0 2 315.399 0.337 20 0 DCADLN C[C@H](CNC(=O)CCCC(N)=O)NC(=O)C(F)C(F)(F)F ZINC001428687011 1004112389 /nfs/dbraw/zinc/11/23/89/1004112389.db2.gz NTJPNCWTZDLFMT-HZGVNTEJSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CNC(=O)CCCC(N)=O)NC(=O)[C@@H](F)C(F)(F)F ZINC001428687011 1004112394 /nfs/dbraw/zinc/11/23/94/1004112394.db2.gz NTJPNCWTZDLFMT-HZGVNTEJSA-N 0 2 315.267 0.163 20 0 DCADLN CCn1cc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)nn1 ZINC001406828003 1004127689 /nfs/dbraw/zinc/12/76/89/1004127689.db2.gz LFHJOARMOWRVLS-VHSXEESVSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1cc(CN2CC[C@H]2CNC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001406828003 1004127697 /nfs/dbraw/zinc/12/76/97/1004127697.db2.gz LFHJOARMOWRVLS-VHSXEESVSA-N 0 2 323.294 0.889 20 0 DCADLN O=C(CC[C@H]1CCCCO1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001406886860 1004188477 /nfs/dbraw/zinc/18/84/77/1004188477.db2.gz YODBYDZJXKMMIJ-NWDGAFQWSA-N 0 2 323.397 0.550 20 0 DCADLN CCN(C)C(=O)[C@H](C)NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001427427319 1004378631 /nfs/dbraw/zinc/37/86/31/1004378631.db2.gz VSDHEVCBAZNTJW-VHSXEESVSA-N 0 2 324.385 0.266 20 0 DCADLN CCN1C[C@H](NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC1=O ZINC001427598084 1004482881 /nfs/dbraw/zinc/48/28/81/1004482881.db2.gz AUKFTLAOYVVVQP-NXEZZACHSA-N 0 2 322.369 0.020 20 0 DCADLN CC(C)[N@H+](C)CC(=O)N(C)CCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001429272935 1004497092 /nfs/dbraw/zinc/49/70/92/1004497092.db2.gz VDLFFVIDEVHPGQ-CQSZACIVSA-N 0 2 323.441 0.749 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001407763999 1004845261 /nfs/dbraw/zinc/84/52/61/1004845261.db2.gz UFXOJODRLWYKMR-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1ccc(C(=O)N2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001407763999 1004845265 /nfs/dbraw/zinc/84/52/65/1004845265.db2.gz UFXOJODRLWYKMR-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cnoc1 ZINC001408194846 1005102386 /nfs/dbraw/zinc/10/23/86/1005102386.db2.gz LSNFFBTTZTYOTN-RCOVLWMOSA-N 0 2 311.235 0.738 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cnoc1 ZINC001408194846 1005102389 /nfs/dbraw/zinc/10/23/89/1005102389.db2.gz LSNFFBTTZTYOTN-RCOVLWMOSA-N 0 2 311.235 0.738 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2nc[nH]n2)C1)C(F)C(F)(F)F ZINC001408403033 1005192389 /nfs/dbraw/zinc/19/23/89/1005192389.db2.gz GTQWEVMJADUDLN-RQJHMYQMSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(NC[C@H]1CCN(C(=O)c2nc[nH]n2)C1)[C@H](F)C(F)(F)F ZINC001408403033 1005192393 /nfs/dbraw/zinc/19/23/93/1005192393.db2.gz GTQWEVMJADUDLN-RQJHMYQMSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001414195978 1005253696 /nfs/dbraw/zinc/25/36/96/1005253696.db2.gz ZRFUMQRKMRNXKJ-GKROBHDKSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(N[C@H]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC001414195978 1005253702 /nfs/dbraw/zinc/25/37/02/1005253702.db2.gz ZRFUMQRKMRNXKJ-GKROBHDKSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ncc[nH]2)C1)C(F)C(F)(F)F ZINC001414784643 1005401969 /nfs/dbraw/zinc/40/19/69/1005401969.db2.gz XEGUZPONQBZJKX-NKWVEPMBSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2ncc[nH]2)C1)[C@@H](F)C(F)(F)F ZINC001414784643 1005401970 /nfs/dbraw/zinc/40/19/70/1005401970.db2.gz XEGUZPONQBZJKX-NKWVEPMBSA-N 0 2 308.235 0.641 20 0 DCADLN CN1CC[C@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1=O ZINC001415129353 1005465280 /nfs/dbraw/zinc/46/52/80/1005465280.db2.gz ZPFBDXMWOCKMBN-IUCAKERBSA-N 0 2 311.279 0.262 20 0 DCADLN CN1CC[C@H](N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)C1=O ZINC001415129353 1005465282 /nfs/dbraw/zinc/46/52/82/1005465282.db2.gz ZPFBDXMWOCKMBN-IUCAKERBSA-N 0 2 311.279 0.262 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001416234167 1005675375 /nfs/dbraw/zinc/67/53/75/1005675375.db2.gz LDKIULLJAAUZAD-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001416234167 1005675377 /nfs/dbraw/zinc/67/53/77/1005675377.db2.gz LDKIULLJAAUZAD-OIBJUYFYSA-N 0 2 324.278 0.864 20 0 DCADLN CO[C@@H](C)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001417257483 1005811732 /nfs/dbraw/zinc/81/17/32/1005811732.db2.gz WQAOAVJOMTXOSB-XPUUQOCRSA-N 0 2 300.252 0.591 20 0 DCADLN CO[C@@H](C)C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001417257483 1005811735 /nfs/dbraw/zinc/81/17/35/1005811735.db2.gz WQAOAVJOMTXOSB-XPUUQOCRSA-N 0 2 300.252 0.591 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cccnn2)C1 ZINC001417257340 1005811807 /nfs/dbraw/zinc/81/18/07/1005811807.db2.gz VBVPKPTXKFWJEQ-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cccnn2)C1 ZINC001417257340 1005811810 /nfs/dbraw/zinc/81/18/10/1005811810.db2.gz VBVPKPTXKFWJEQ-VIFPVBQESA-N 0 2 320.246 0.660 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001417577594 1005846070 /nfs/dbraw/zinc/84/60/70/1005846070.db2.gz PBQKNQOEFQELNH-JBLDHEPKSA-N 0 2 317.349 0.145 20 0 DCADLN O=C(NC[C@H]1CCOC1)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001417609463 1005850842 /nfs/dbraw/zinc/85/08/42/1005850842.db2.gz FDZPLQNDYOVRKV-SECBINFHSA-N 0 2 304.306 0.033 20 0 DCADLN COC[C@@H](C)CC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001417745484 1005881537 /nfs/dbraw/zinc/88/15/37/1005881537.db2.gz PPFKQFZJLHDOSF-QWRGUYRKSA-N 0 2 311.386 0.216 20 0 DCADLN COC[C@H](C)CC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001417745482 1005881795 /nfs/dbraw/zinc/88/17/95/1005881795.db2.gz PPFKQFZJLHDOSF-MNOVXSKESA-N 0 2 311.386 0.216 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC[C@H]2CCCO2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001417839903 1005901372 /nfs/dbraw/zinc/90/13/72/1005901372.db2.gz UAQQODQAZIZWQP-UTUOFQBUSA-N 0 2 323.397 0.549 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)CC[C@H]2CCCO2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001417839903 1005901375 /nfs/dbraw/zinc/90/13/75/1005901375.db2.gz UAQQODQAZIZWQP-UTUOFQBUSA-N 0 2 323.397 0.549 20 0 DCADLN CC(F)(F)CCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001417936594 1005931962 /nfs/dbraw/zinc/93/19/62/1005931962.db2.gz SGLXTSSFBNTONA-QMMMGPOBSA-N 0 2 303.313 0.636 20 0 DCADLN O=C(N[C@H]1CCn2ccnc21)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434808221 1005994921 /nfs/dbraw/zinc/99/49/21/1005994921.db2.gz WVCCXKIZKCZMGA-ZJUUUORDSA-N 0 2 317.353 0.741 20 0 DCADLN Cc1c(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001438912848 1006219265 /nfs/dbraw/zinc/21/92/65/1006219265.db2.gz KMWZYZSPIVJVAC-UHFFFAOYSA-N 0 2 306.370 0.361 20 0 DCADLN Cc1c(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001438912848 1006219271 /nfs/dbraw/zinc/21/92/71/1006219271.db2.gz KMWZYZSPIVJVAC-UHFFFAOYSA-N 0 2 306.370 0.361 20 0 DCADLN CCc1nnc([C@H](C)[NH2+][C@@H](C)CNC(=O)Cn2c[nH+]cc2C)[nH]1 ZINC001418257536 1006260292 /nfs/dbraw/zinc/26/02/92/1006260292.db2.gz JHYOCWISNDTBON-JQWIXIFHSA-N 0 2 319.413 0.728 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)CNC(=O)C(F)C(F)(F)F ZINC001451566047 1006276573 /nfs/dbraw/zinc/27/65/73/1006276573.db2.gz UHWUBTKDBQCINW-GMSGAONNSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001451566047 1006276580 /nfs/dbraw/zinc/27/65/80/1006276580.db2.gz UHWUBTKDBQCINW-GMSGAONNSA-N 0 2 324.278 0.721 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@H](C(N)=O)C(C)(C)C ZINC001475066332 1017057729 /nfs/dbraw/zinc/05/77/29/1017057729.db2.gz GQSLHXLMCJVPES-MRVPVSSYSA-N 0 2 313.445 0.229 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cnon1 ZINC001452158289 1006633908 /nfs/dbraw/zinc/63/39/08/1006633908.db2.gz ITDJUXFCPOEFSZ-ZETCQYMHSA-N 0 2 312.223 0.500 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)c1cnon1 ZINC001452158289 1006633913 /nfs/dbraw/zinc/63/39/13/1006633913.db2.gz ITDJUXFCPOEFSZ-ZETCQYMHSA-N 0 2 312.223 0.500 20 0 DCADLN Cc1cccc(CC(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001439365242 1006757727 /nfs/dbraw/zinc/75/77/27/1006757727.db2.gz PSUTWJMCQIJICL-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN Cc1cccc(CC(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001439365242 1006757737 /nfs/dbraw/zinc/75/77/37/1006757737.db2.gz PSUTWJMCQIJICL-LBPRGKRZSA-N 0 2 317.393 0.998 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CCOCC1 ZINC001439381489 1006786708 /nfs/dbraw/zinc/78/67/08/1006786708.db2.gz BQPWMUWBYUTFOR-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CCOCC1 ZINC001439381489 1006786717 /nfs/dbraw/zinc/78/67/17/1006786717.db2.gz BQPWMUWBYUTFOR-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnc2ccccn12 ZINC001437443337 1006958943 /nfs/dbraw/zinc/95/89/43/1006958943.db2.gz FDNBUDVEWWDSGC-UHFFFAOYSA-N 0 2 309.289 0.358 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001439831430 1007226776 /nfs/dbraw/zinc/22/67/76/1007226776.db2.gz KCUUEJDBABPBPH-RNCFNFMXSA-N 0 2 313.427 0.590 20 0 DCADLN CSC[C@@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001439831430 1007226788 /nfs/dbraw/zinc/22/67/88/1007226788.db2.gz KCUUEJDBABPBPH-RNCFNFMXSA-N 0 2 313.427 0.590 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@H]1CC[N@H+](Cc2nc(C)no2)C1 ZINC001437743014 1007341515 /nfs/dbraw/zinc/34/15/15/1007341515.db2.gz SSGDNPKJQDGQGF-CYBMUJFWSA-N 0 2 309.414 0.658 20 0 DCADLN CCn1ncc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001438184825 1007693897 /nfs/dbraw/zinc/69/38/97/1007693897.db2.gz UCYLPTQITWLPRT-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncc(CN2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001438184825 1007693904 /nfs/dbraw/zinc/69/39/04/1007693904.db2.gz UCYLPTQITWLPRT-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN C[C@@H](CNC(=O)c1conc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440964821 1008147990 /nfs/dbraw/zinc/14/79/90/1008147990.db2.gz APZHMGFFIPKBSK-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CNC(=O)c1conc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440964821 1008147992 /nfs/dbraw/zinc/14/79/92/1008147992.db2.gz APZHMGFFIPKBSK-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CNC(=O)c1cnoc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440979734 1008156036 /nfs/dbraw/zinc/15/60/36/1008156036.db2.gz CKKHTPDVKKXUBE-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN C[C@@H](CNC(=O)c1cnoc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001440979734 1008156042 /nfs/dbraw/zinc/15/60/42/1008156042.db2.gz CKKHTPDVKKXUBE-QMMMGPOBSA-N 0 2 320.353 0.626 20 0 DCADLN CSCC[C@H](NC(=O)c1cncc2[nH]cnc21)c1nn[nH]n1 ZINC001453766471 1008709360 /nfs/dbraw/zinc/70/93/60/1008709360.db2.gz BDCKXRBLKIYUQY-QMMMGPOBSA-N 0 2 318.366 0.695 20 0 DCADLN COC[C@H]1CCCCN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001433498813 1008860022 /nfs/dbraw/zinc/86/00/22/1008860022.db2.gz BWXKMAMCYLXDSS-SNVBAGLBSA-N 0 2 305.338 0.099 20 0 DCADLN CS[C@@H](C)C(=O)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001442097167 1008967535 /nfs/dbraw/zinc/96/75/35/1008967535.db2.gz UIZRRZMGMOBHSV-JTQLQIEISA-N 0 2 315.443 0.931 20 0 DCADLN CS[C@@H](C)C(=O)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001442097167 1008967544 /nfs/dbraw/zinc/96/75/44/1008967544.db2.gz UIZRRZMGMOBHSV-JTQLQIEISA-N 0 2 315.443 0.931 20 0 DCADLN C[NH+](C)[C@H](CNC(=O)CCCn1c(=O)[n-][nH]c1=O)c1ccco1 ZINC001433590468 1008972733 /nfs/dbraw/zinc/97/27/33/1008972733.db2.gz REGVLEVOBILHBB-SNVBAGLBSA-N 0 2 323.353 0.482 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1ocnc1C(F)(F)F ZINC001454189433 1008987404 /nfs/dbraw/zinc/98/74/04/1008987404.db2.gz JHEXKJOAJJNPAW-UHFFFAOYSA-N 0 2 304.184 0.825 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001454700502 1009273193 /nfs/dbraw/zinc/27/31/93/1009273193.db2.gz KSIMXTSPNYENSY-CLZZGJSISA-N 0 2 311.239 0.248 20 0 DCADLN Cc1nn[nH]c1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001454700502 1009273201 /nfs/dbraw/zinc/27/32/01/1009273201.db2.gz KSIMXTSPNYENSY-CLZZGJSISA-N 0 2 311.239 0.248 20 0 DCADLN O=C(Cc1cnoc1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001433902934 1009331307 /nfs/dbraw/zinc/33/13/07/1009331307.db2.gz HZKBMCQIWKREKW-SECBINFHSA-N 0 2 323.246 0.883 20 0 DCADLN O=C(Cc1cnoc1)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001433902934 1009331321 /nfs/dbraw/zinc/33/13/21/1009331321.db2.gz HZKBMCQIWKREKW-SECBINFHSA-N 0 2 323.246 0.883 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1CCCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001442529695 1009446962 /nfs/dbraw/zinc/44/69/62/1009446962.db2.gz UZSMXZWRRBEBJH-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1CCCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001442529695 1009446965 /nfs/dbraw/zinc/44/69/65/1009446965.db2.gz UZSMXZWRRBEBJH-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2CCN(CC(F)F)C2)S1 ZINC001455156274 1009529318 /nfs/dbraw/zinc/52/93/18/1009529318.db2.gz POSRYLQLCCDGSI-SFYZADRCSA-N 0 2 320.365 0.246 20 0 DCADLN CC[N@H+]1CCCC[C@H]1C(=O)NCC[NH+](C)[C@@H](C)C(=O)NC1CC1 ZINC001442620545 1009530287 /nfs/dbraw/zinc/53/02/87/1009530287.db2.gz OLJVULBCJDPNSV-ZFWWWQNUSA-N 0 2 324.469 0.576 20 0 DCADLN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)[NH2+]Cc1ncnn1C(C)(C)C ZINC001422370576 1009967836 /nfs/dbraw/zinc/96/78/36/1009967836.db2.gz CVMJHZOVAQKSNG-VHSXEESVSA-N 0 2 320.401 0.448 20 0 DCADLN O=C(NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCOC1 ZINC001442992944 1010022625 /nfs/dbraw/zinc/02/26/25/1010022625.db2.gz HLQLJETZSNBOFT-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCOC1 ZINC001442992944 1010022639 /nfs/dbraw/zinc/02/26/39/1010022639.db2.gz HLQLJETZSNBOFT-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)[C@H]1C[C@@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443044957 1010087698 /nfs/dbraw/zinc/08/76/98/1010087698.db2.gz KRAMAEXSVSVREA-NEPJUHHUSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)[C@H]1C[C@@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001443044957 1010087711 /nfs/dbraw/zinc/08/77/11/1010087711.db2.gz KRAMAEXSVSVREA-NEPJUHHUSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(c1cc(C(F)(F)F)n[nH]1)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001443450115 1010416011 /nfs/dbraw/zinc/41/60/11/1010416011.db2.gz MQKQSVFYNUIDJD-LURJTMIESA-N 0 2 317.231 0.155 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1ncc[nH]1 ZINC001508898918 1017093308 /nfs/dbraw/zinc/09/33/08/1017093308.db2.gz IHGUOWXOQQFIRN-RNFRBKRXSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)C(=O)c1ncc[nH]1 ZINC001508898918 1017093322 /nfs/dbraw/zinc/09/33/22/1017093322.db2.gz IHGUOWXOQQFIRN-RNFRBKRXSA-N 0 2 310.251 0.887 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(C)(F)F)CC1 ZINC001422874376 1010692310 /nfs/dbraw/zinc/69/23/10/1010692310.db2.gz FHHOSNNLDMYUOC-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)C1(C(C)(F)F)CC1 ZINC001422874376 1010692315 /nfs/dbraw/zinc/69/23/15/1010692315.db2.gz FHHOSNNLDMYUOC-MRVPVSSYSA-N 0 2 317.340 0.882 20 0 DCADLN CN(CCN(C)C(=O)c1sccc1F)Cc1n[nH]c(=O)[nH]1 ZINC001444979160 1011280716 /nfs/dbraw/zinc/28/07/16/1011280716.db2.gz JOTSSJXGHMXUKC-UHFFFAOYSA-N 0 2 313.358 0.915 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1sccc1F ZINC001444979160 1011280731 /nfs/dbraw/zinc/28/07/31/1011280731.db2.gz JOTSSJXGHMXUKC-UHFFFAOYSA-N 0 2 313.358 0.915 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1sccc1F ZINC001444979160 1011280743 /nfs/dbraw/zinc/28/07/43/1011280743.db2.gz JOTSSJXGHMXUKC-UHFFFAOYSA-N 0 2 313.358 0.915 20 0 DCADLN C[C@@]1(NC(=O)Cc2cccnc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423578142 1011298493 /nfs/dbraw/zinc/29/84/93/1011298493.db2.gz GMXGMDBHTCBFNH-OAHLLOKOSA-N 0 2 316.365 0.229 20 0 DCADLN C[C@@]1(NC(=O)Cc2cccnc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423578142 1011298506 /nfs/dbraw/zinc/29/85/06/1011298506.db2.gz GMXGMDBHTCBFNH-OAHLLOKOSA-N 0 2 316.365 0.229 20 0 DCADLN CCOC(=O)[C@H](CC(=O)N[C@H](C)c1nn(C)cc1O)C(C)=O ZINC001456788080 1011460409 /nfs/dbraw/zinc/46/04/09/1011460409.db2.gz OAIGJNFFHPKYDO-PSASIEDQSA-N 0 2 311.338 0.461 20 0 DCADLN C[C@H](NC(=O)CNC(=O)c1cccc(F)c1)c1nn(C)cc1O ZINC001456792929 1011465136 /nfs/dbraw/zinc/46/51/36/1011465136.db2.gz RSEOFVSFAFUYHN-VIFPVBQESA-N 0 2 320.324 0.872 20 0 DCADLN Cc1cc[nH]c1C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001535696487 1011963364 /nfs/dbraw/zinc/96/33/64/1011963364.db2.gz JDQGDDSOHOGFNQ-UHFFFAOYSA-N 0 2 315.377 0.976 20 0 DCADLN CCc1nc(CNS(=O)(=O)c2occc2C(=O)OC)n[nH]1 ZINC001424116910 1012028057 /nfs/dbraw/zinc/02/80/57/1012028057.db2.gz VHQAHVCPUAQPOE-UHFFFAOYSA-N 0 2 314.323 0.225 20 0 DCADLN COc1ncc(Br)c(NCc2n[nH]c(=O)[nH]2)n1 ZINC001458238300 1012113889 /nfs/dbraw/zinc/11/38/89/1012113889.db2.gz RXDWNRWDZLGFPT-UHFFFAOYSA-N 0 2 301.104 0.684 20 0 DCADLN CC(=O)Nc1cccnc1C(=O)NCCc1n[nH]c(=S)o1 ZINC001556283831 1012194986 /nfs/dbraw/zinc/19/49/86/1012194986.db2.gz HCCXWOYGAYCUOV-UHFFFAOYSA-N 0 2 307.335 0.684 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001432258950 1012216899 /nfs/dbraw/zinc/21/68/99/1012216899.db2.gz DUWQQZRHINTWOM-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1n[nH]cc1C(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001432258950 1012216916 /nfs/dbraw/zinc/21/69/16/1012216916.db2.gz DUWQQZRHINTWOM-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1n[nH]c(NC(=O)Cn2cnc(-c3ccccc3)cc2=O)n1 ZINC001538230157 1012413209 /nfs/dbraw/zinc/41/32/09/1012413209.db2.gz XFLBVEINXKNTHV-UHFFFAOYSA-N 0 2 310.317 0.976 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)Cc2cc[nH]n2)C1 ZINC001432408548 1012449705 /nfs/dbraw/zinc/44/97/05/1012449705.db2.gz OXCZUFQHHSPNTF-JTQLQIEISA-N 0 2 322.262 0.522 20 0 DCADLN CCOCC(=O)N[C@@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001424461034 1012520873 /nfs/dbraw/zinc/52/08/73/1012520873.db2.gz IEFMWTAQVXBHBL-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N[C@@H](C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001424461034 1012520887 /nfs/dbraw/zinc/52/08/87/1012520887.db2.gz IEFMWTAQVXBHBL-OIBJUYFYSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N[C@H](C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001424461032 1012521392 /nfs/dbraw/zinc/52/13/92/1012521392.db2.gz IEFMWTAQVXBHBL-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN CCOCC(=O)N[C@H](C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001424461032 1012521411 /nfs/dbraw/zinc/52/14/11/1012521411.db2.gz IEFMWTAQVXBHBL-GMSGAONNSA-N 0 2 314.279 0.886 20 0 DCADLN CC(=O)c1ccsc1NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001460377766 1012900610 /nfs/dbraw/zinc/90/06/10/1012900610.db2.gz IRZJUMUQIUODFC-UHFFFAOYSA-N 0 2 317.330 0.974 20 0 DCADLN CC1(C)OCC[C@H]1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478670968 1017372527 /nfs/dbraw/zinc/37/25/27/1017372527.db2.gz YEEKEWJOKFYKAU-NXEZZACHSA-N 0 2 309.370 0.967 20 0 DCADLN C[C@H](CCCNC(=O)C(F)C(F)(F)F)NC(=O)CC(N)=O ZINC001509990668 1017498795 /nfs/dbraw/zinc/49/87/95/1017498795.db2.gz ZFSBPUZLIGXJMI-HZGVNTEJSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CCCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)CC(N)=O ZINC001509990668 1017498802 /nfs/dbraw/zinc/49/88/02/1017498802.db2.gz ZFSBPUZLIGXJMI-HZGVNTEJSA-N 0 2 315.267 0.163 20 0 DCADLN C[C@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001495560379 1018576372 /nfs/dbraw/zinc/57/63/72/1018576372.db2.gz UYUFEIABUNFHIP-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn[nH]1 ZINC001495560379 1018576380 /nfs/dbraw/zinc/57/63/80/1018576380.db2.gz UYUFEIABUNFHIP-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(C2CC2)on1 ZINC001496753670 1019608423 /nfs/dbraw/zinc/60/84/23/1019608423.db2.gz BRJYMPDPFWIOEU-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(C2CC2)on1 ZINC001496753670 1019608431 /nfs/dbraw/zinc/60/84/31/1019608431.db2.gz BRJYMPDPFWIOEU-UHFFFAOYSA-N 0 2 320.353 0.580 20 0 DCADLN C[C@H](CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)n1cccn1 ZINC001499095929 1019736027 /nfs/dbraw/zinc/73/60/27/1019736027.db2.gz QNEQXDXSUMYWQK-GHMZBOCLSA-N 0 2 319.369 0.049 20 0 DCADLN Cc1cc(CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001502362353 1019831461 /nfs/dbraw/zinc/83/14/61/1019831461.db2.gz ZYQUQCRWOKSTCD-GMSGAONNSA-N 0 2 324.278 0.782 20 0 DCADLN Cc1cc(CC(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001502362353 1019831482 /nfs/dbraw/zinc/83/14/82/1019831482.db2.gz ZYQUQCRWOKSTCD-GMSGAONNSA-N 0 2 324.278 0.782 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(C)(C)C(N)=O ZINC001502376573 1019850190 /nfs/dbraw/zinc/85/01/90/1019850190.db2.gz SQSNYMKVQJTOOL-PHDIDXHHSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)C(C)(C)C(N)=O ZINC001502376573 1019850211 /nfs/dbraw/zinc/85/02/11/1019850211.db2.gz SQSNYMKVQJTOOL-PHDIDXHHSA-N 0 2 315.267 0.019 20 0 DCADLN NS(=O)(=O)c1ccc(C[N@H+]2CC[C@](F)(C(=O)[O-])C2)cc1 ZINC001608262713 1170636454 /nfs/dbraw/zinc/63/64/54/1170636454.db2.gz BGJWQEIIEFULIP-GFCCVEGCSA-N 0 2 302.327 0.333 20 0 DCADLN O=C([O-])CCCc1nc(C[NH2+][C@H](CO)C2CCOCC2)no1 ZINC001608719459 1170742891 /nfs/dbraw/zinc/74/28/91/1170742891.db2.gz VBCIHSGKPZXMRM-LLVKDONJSA-N 0 2 313.354 0.354 20 0 DCADLN CC[N@H+]1CCCC[C@H]1C(=O)OCC(=O)N[C@H](C(=O)[O-])C(C)C ZINC001610007891 1171136733 /nfs/dbraw/zinc/13/67/33/1171136733.db2.gz SGPODQYYJYBSTP-AAEUAGOBSA-N 0 2 314.382 0.629 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)N[C@H](C(=O)[O-])C(C)C ZINC001610007891 1171136730 /nfs/dbraw/zinc/13/67/30/1171136730.db2.gz SGPODQYYJYBSTP-AAEUAGOBSA-N 0 2 314.382 0.629 20 0 DCADLN CCc1nnsc1C(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC001610074487 1171149648 /nfs/dbraw/zinc/14/96/48/1171149648.db2.gz HVGAAKMYYVXXMA-VIFPVBQESA-N 0 2 312.395 0.722 20 0 DCADLN CCc1nnsc1C(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001610074487 1171149650 /nfs/dbraw/zinc/14/96/50/1171149650.db2.gz HVGAAKMYYVXXMA-VIFPVBQESA-N 0 2 312.395 0.722 20 0 DCADLN O=C(N[C@H]1CCCS(=O)(=O)C1)c1cc(F)c(O)c(F)c1 ZINC000408405697 303962381 /nfs/dbraw/zinc/96/23/81/303962381.db2.gz FQFDDNMWRANBLL-QMMMGPOBSA-N 0 2 305.302 0.977 20 0 DCADLN COc1ccc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cc1 ZINC000092616775 185329248 /nfs/dbraw/zinc/32/92/48/185329248.db2.gz OCKWOYVBXBRFRD-UHFFFAOYSA-N 0 2 317.349 0.065 20 0 DCADLN CC(C)[C@H](CNC(=O)N[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000087491828 545708458 /nfs/dbraw/zinc/70/84/58/545708458.db2.gz USJUXIOZGOFYAS-RYUDHWBXSA-N 0 2 301.387 0.363 20 0 DCADLN CCc1nc(CCNC(=O)NCC(=O)NCC(F)F)cs1 ZINC000280280689 215507547 /nfs/dbraw/zinc/50/75/47/215507547.db2.gz DMSZSLBQTDRFQR-UHFFFAOYSA-N 0 2 320.365 0.929 20 0 DCADLN CCCNC(=O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000073490930 191321104 /nfs/dbraw/zinc/32/11/04/191321104.db2.gz FQJIOAXPRRNAOI-UHFFFAOYSA-N 0 2 302.334 0.834 20 0 DCADLN COc1ccc(-n2cc([O-])c(C(=O)N3CC[NH+](C)CC3)n2)cc1 ZINC000180580714 199179874 /nfs/dbraw/zinc/17/98/74/199179874.db2.gz SCPFECLIXJOICA-UHFFFAOYSA-N 0 2 316.361 0.974 20 0 DCADLN Cc1nc(C)c(S(=O)(=O)Nc2nc3ncccn3n2)s1 ZINC000176423049 237197717 /nfs/dbraw/zinc/19/77/17/237197717.db2.gz VKMGYNRAPWHZGG-UHFFFAOYSA-N 0 2 310.364 0.998 20 0 DCADLN O=C([O-])[C@@H]1CCCN1c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000262171318 304115076 /nfs/dbraw/zinc/11/50/76/304115076.db2.gz VBXOUPIQHBBXNM-NEPJUHHUSA-N 0 2 306.366 0.739 20 0 DCADLN O=C([O-])[C@@H]1CCCN1c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000262171318 304115078 /nfs/dbraw/zinc/11/50/78/304115078.db2.gz VBXOUPIQHBBXNM-NEPJUHHUSA-N 0 2 306.366 0.739 20 0 DCADLN Cn1nccc1COCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000566739222 291320827 /nfs/dbraw/zinc/32/08/27/291320827.db2.gz AIBBQHKIIFKEGE-JTQLQIEISA-N 0 2 320.353 0.167 20 0 DCADLN CCc1[nH][nH]c(=O)c1C(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000421810512 240106676 /nfs/dbraw/zinc/10/66/76/240106676.db2.gz FUJDQFKVSSYHMF-NSHDSACASA-N 0 2 309.347 0.541 20 0 DCADLN C[C@@H]1CCN(C(=O)C(=O)NCCCC(=O)[O-])C[C@@H]1n1cc[nH+]c1 ZINC000567048976 291334294 /nfs/dbraw/zinc/33/42/94/291334294.db2.gz YAAHQNGSBXCSER-NEPJUHHUSA-N 0 2 322.365 0.274 20 0 DCADLN O=C(CSc1nc(-c2ccccc2O)n[nH]1)Nc1nn[nH]n1 ZINC000436925612 240458973 /nfs/dbraw/zinc/45/89/73/240458973.db2.gz HKMBYOQKSNPDID-UHFFFAOYSA-N 0 2 318.322 0.421 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C\c1ccncn1 ZINC000493789906 241157670 /nfs/dbraw/zinc/15/76/70/241157670.db2.gz MMFOAIFWQFTFRG-ARJAWSKDSA-N 0 2 307.335 0.037 20 0 DCADLN CC(C)CONC(=O)CNC(=O)CNC(=O)OC(C)(C)C ZINC000494053449 241197800 /nfs/dbraw/zinc/19/78/00/241197800.db2.gz YOFIXBFYEWCFGO-UHFFFAOYSA-N 0 2 303.359 0.331 20 0 DCADLN Cc1cc(C(=O)N[C@H]2C[C@H](O)C2(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000275316361 212268505 /nfs/dbraw/zinc/26/85/05/212268505.db2.gz ZIKVNOMZEYTMNL-IUCAKERBSA-N 0 2 318.333 0.634 20 0 DCADLN CC(C)[C@H]1OCC[C@H]1CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000275106580 212124413 /nfs/dbraw/zinc/12/44/13/212124413.db2.gz JOGXZAINBGVPGF-WCBMZHEXSA-N 0 2 300.384 0.367 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)NCCCn2cc[nH+]c2)cc1 ZINC000020303343 182204465 /nfs/dbraw/zinc/20/44/65/182204465.db2.gz BKZACVSVOURDOJ-UHFFFAOYSA-N 0 2 309.347 0.950 20 0 DCADLN NC(=O)[C@@H]1CC[C@H](CNC(=O)c2cc(F)c(O)c(F)c2)O1 ZINC000273887599 211146407 /nfs/dbraw/zinc/14/64/07/211146407.db2.gz UQLNRCXWCLDZOA-XCBNKYQSSA-N 0 2 300.261 0.433 20 0 DCADLN CC(=O)N[C@H](C)C1CCN(C(=O)Cn2ccccc2=O)CC1 ZINC000331043239 252648951 /nfs/dbraw/zinc/64/89/51/252648951.db2.gz DKDDPLKOZSOACT-GFCCVEGCSA-N 0 2 305.378 0.612 20 0 DCADLN CCNC(=O)CCCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000339292050 253054359 /nfs/dbraw/zinc/05/43/59/253054359.db2.gz ZEQZAEYEOVTVGC-JTQLQIEISA-N 0 2 309.370 0.523 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cc(C)nn2C)cc1C(N)=O ZINC000340039092 253189476 /nfs/dbraw/zinc/18/94/76/253189476.db2.gz KGTHAXGWMJSOMC-UHFFFAOYSA-N 0 2 324.362 0.637 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(-n2cccn2)nc1 ZINC000340079905 253197516 /nfs/dbraw/zinc/19/75/16/253197516.db2.gz JUFHAQQGFGZOOZ-UHFFFAOYSA-N 0 2 304.335 0.802 20 0 DCADLN C[C@H]1C[C@H](NC(=O)c2cn(Cc3cccs3)nn2)C(=O)O1 ZINC000288649267 220322172 /nfs/dbraw/zinc/32/21/72/220322172.db2.gz QUGFORJJKBZVLL-WPRPVWTQSA-N 0 2 306.347 0.822 20 0 DCADLN Cc1nn(C)c(C)c1[C@H](C)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000343560852 253667093 /nfs/dbraw/zinc/66/70/93/253667093.db2.gz WZLATZCEMGGBFU-QMMMGPOBSA-N 0 2 306.370 0.713 20 0 DCADLN COC(=O)Cn1ccc(=NC(=O)N=c2ccc(C3CC3)n[nH]2)[nH]1 ZINC000347579647 254169182 /nfs/dbraw/zinc/16/91/82/254169182.db2.gz WJEFLDPSPQBGSP-UHFFFAOYSA-N 0 2 316.321 0.211 20 0 DCADLN CCCNC(=O)CCNC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000348876239 254262946 /nfs/dbraw/zinc/26/29/46/254262946.db2.gz BIBMQZVQKNWAKF-UHFFFAOYSA-N 0 2 318.333 0.676 20 0 DCADLN CNC(=O)Cn1nc(NS(=O)(=O)CCOC(C)C)cc1C ZINC000348909840 254264255 /nfs/dbraw/zinc/26/42/55/254264255.db2.gz TWIHXPJXHKVJQH-UHFFFAOYSA-N 0 2 318.399 0.104 20 0 DCADLN C[C@@H]1C[C@H](O)CN1C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000350866432 254327990 /nfs/dbraw/zinc/32/79/90/254327990.db2.gz QVIJGMAQRWBMBI-SFYZADRCSA-N 0 2 312.395 0.178 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cccc(-c3nnnn3C)c2)no1 ZINC000351509336 254340959 /nfs/dbraw/zinc/34/09/59/254340959.db2.gz XYNGBJAQSAJNOK-UHFFFAOYSA-N 0 2 321.322 0.369 20 0 DCADLN COC(=O)c1ccc(S(=O)(=O)Nc2nccc(C)n2)cn1 ZINC000351727738 254362704 /nfs/dbraw/zinc/36/27/04/254362704.db2.gz QKXASMDVHMIHEB-UHFFFAOYSA-N 0 2 308.319 0.767 20 0 DCADLN Cn1cnc(CNC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)n1 ZINC000351882704 254377886 /nfs/dbraw/zinc/37/78/86/254377886.db2.gz AKHJIZAKKSWABX-UHFFFAOYSA-N 0 2 300.278 0.088 20 0 DCADLN CSCC[C@H](NC(N)=O)C(=O)Nc1ccc2nn[nH]c2c1 ZINC000267523971 533654219 /nfs/dbraw/zinc/65/42/19/533654219.db2.gz NGUULEGBBGHGKE-VIFPVBQESA-N 0 2 308.367 0.686 20 0 DCADLN CCONC(=O)CNC(=O)c1cc(OC)c(OC)c(OC)c1 ZINC000022874964 395692149 /nfs/dbraw/zinc/69/21/49/395692149.db2.gz AYNVHYQVKMBKHM-UHFFFAOYSA-N 0 2 312.322 0.510 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc3c(c2)oc(=O)n3C)cn1 ZINC000032630775 395711656 /nfs/dbraw/zinc/71/16/56/395711656.db2.gz SLHUJKOCISSNDN-UHFFFAOYSA-N 0 2 308.319 0.666 20 0 DCADLN O=C(c1cc(F)c(F)c([O-])c1F)N1CC[NH+](CCO)CC1 ZINC000035609653 395721396 /nfs/dbraw/zinc/72/13/96/395721396.db2.gz NLMCBWSHEJXNIL-UHFFFAOYSA-N 0 2 304.268 0.560 20 0 DCADLN Cn1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)c(C(C)(C)C)n1 ZINC000092245882 395729532 /nfs/dbraw/zinc/72/95/32/395729532.db2.gz QOZWEHGWRANKGI-UHFFFAOYSA-N 0 2 306.370 0.492 20 0 DCADLN C[C@H]1Oc2ccc(NS(=O)(=O)c3cn[nH]c3)cc2NC1=O ZINC000040443283 395733805 /nfs/dbraw/zinc/73/38/05/395733805.db2.gz MVIVSVZVGYBJOS-SSDOTTSWSA-N 0 2 308.319 0.930 20 0 DCADLN O=C(CSCC(=O)N1CCOCC1)NOCc1ccccc1 ZINC000043544577 395753379 /nfs/dbraw/zinc/75/33/79/395753379.db2.gz VLPMCENRFJPZID-UHFFFAOYSA-N 0 2 324.402 0.826 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2c3c(nn2C)CCC3)c[nH]1 ZINC000270489439 395776151 /nfs/dbraw/zinc/77/61/51/395776151.db2.gz SMNJIOLLQDXOTK-UHFFFAOYSA-N 0 2 324.362 0.824 20 0 DCADLN O=C(CN1CCOC[C@]12CCOC2)NOCc1ccccc1 ZINC000193726528 395777238 /nfs/dbraw/zinc/77/72/38/395777238.db2.gz VZSBYBSUFYFMTL-MRXNPFEDSA-N 0 2 306.362 0.726 20 0 DCADLN NC(=O)CS(=O)(=O)c1n[nH]c(-c2ccc3c(c2)CCC3)n1 ZINC000195210484 395820712 /nfs/dbraw/zinc/82/07/12/395820712.db2.gz SDCISLWEYCDRAG-UHFFFAOYSA-N 0 2 306.347 0.219 20 0 DCADLN CNC(=O)[C@@H]1CN(C(=O)c2cc(F)c(F)c(O)c2F)CCO1 ZINC000273504745 395926313 /nfs/dbraw/zinc/92/63/13/395926313.db2.gz RNPZSOATBOZCRK-QMMMGPOBSA-N 0 2 318.251 0.397 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cnn(CCOC)c2)no1 ZINC000272132263 395872211 /nfs/dbraw/zinc/87/22/11/395872211.db2.gz ARPPPBGETLXTRH-UHFFFAOYSA-N 0 2 301.328 0.276 20 0 DCADLN CC[C@](C)(CCO)NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000272180531 395875621 /nfs/dbraw/zinc/87/56/21/395875621.db2.gz LKVCQMFIKGNYBI-CQSZACIVSA-N 0 2 306.322 0.717 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2nc(C)c(C)o2)cn1C ZINC000272190469 395876472 /nfs/dbraw/zinc/87/64/72/395876472.db2.gz VNFKXCNYVDCMEK-UHFFFAOYSA-N 0 2 312.351 0.790 20 0 DCADLN CCC[C@@H]1SCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000135031857 395937299 /nfs/dbraw/zinc/93/72/99/395937299.db2.gz NQPWFSOFEXWINN-JTQLQIEISA-N 0 2 320.374 0.927 20 0 DCADLN COc1cccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)c1OC ZINC000274863533 395982604 /nfs/dbraw/zinc/98/26/04/395982604.db2.gz BJCPQOQTMWTUNR-UHFFFAOYSA-N 0 2 324.362 0.524 20 0 DCADLN Cc1ccc([C@H](O)CNC(=O)CSc2n[nH]c(=O)[nH]2)cc1 ZINC000274859253 395983119 /nfs/dbraw/zinc/98/31/19/395983119.db2.gz ACBJTLFZOHJWBP-SNVBAGLBSA-N 0 2 308.363 0.348 20 0 DCADLN C[N@H+](CC(=O)NCC(=O)[O-])CC(=O)Nc1cc(F)cc(F)c1 ZINC000262205118 396110713 /nfs/dbraw/zinc/11/07/13/396110713.db2.gz IZAPNCTZYLSOSP-UHFFFAOYSA-N 0 2 315.276 0.036 20 0 DCADLN C[N@@H+](CC(=O)NCC(=O)[O-])CC(=O)Nc1cc(F)cc(F)c1 ZINC000262205118 396110714 /nfs/dbraw/zinc/11/07/14/396110714.db2.gz IZAPNCTZYLSOSP-UHFFFAOYSA-N 0 2 315.276 0.036 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000262679137 396172687 /nfs/dbraw/zinc/17/26/87/396172687.db2.gz VEXNRBYUSWYKKX-SNVBAGLBSA-N 0 2 316.379 0.969 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000262679137 396172690 /nfs/dbraw/zinc/17/26/90/396172690.db2.gz VEXNRBYUSWYKKX-SNVBAGLBSA-N 0 2 316.379 0.969 20 0 DCADLN Cc1cc(C(=O)NCC(F)(F)C[NH3+])[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000262724766 396175614 /nfs/dbraw/zinc/17/56/14/396175614.db2.gz YEOQULDUDMMLOX-UHFFFAOYSA-N 0 2 313.264 0.068 20 0 DCADLN CN(CCC(=O)[O-])C(=O)[C@H](c1ccccc1)[NH+]1CCN(C)CC1 ZINC000262875016 396189179 /nfs/dbraw/zinc/18/91/79/396189179.db2.gz JZACEZNCPHHXHJ-INIZCTEOSA-N 0 2 319.405 0.908 20 0 DCADLN CCN(C(=O)C1=NN(c2ccccc2)CC1=O)[C@@H]1CCNC1=O ZINC000282324781 396281284 /nfs/dbraw/zinc/28/12/84/396281284.db2.gz WHPMRSIVSNNQDF-GFCCVEGCSA-N 0 2 314.345 0.929 20 0 DCADLN Cc1nc2ccc(NS(=O)(=O)c3cn(C)c(C)n3)cn2n1 ZINC000281989702 396254507 /nfs/dbraw/zinc/25/45/07/396254507.db2.gz NGSQDYIOGDEDDB-UHFFFAOYSA-N 0 2 306.351 0.880 20 0 DCADLN CO[C@H](C)CS(=O)(=O)Nc1ccccc1S(N)(=O)=O ZINC000282194256 396270995 /nfs/dbraw/zinc/27/09/95/396270995.db2.gz LAFPXFCELCHPEO-MRVPVSSYSA-N 0 2 308.381 0.111 20 0 DCADLN C[N@H+](CC(=O)[O-])CC(=O)NCCc1nc2ccccc2s1 ZINC000178914639 396214691 /nfs/dbraw/zinc/21/46/91/396214691.db2.gz JJPZRIWWWYOVJB-UHFFFAOYSA-N 0 2 307.375 0.971 20 0 DCADLN C[N@@H+](CC(=O)[O-])CC(=O)NCCc1nc2ccccc2s1 ZINC000178914639 396214695 /nfs/dbraw/zinc/21/46/95/396214695.db2.gz JJPZRIWWWYOVJB-UHFFFAOYSA-N 0 2 307.375 0.971 20 0 DCADLN COc1cc2nc(NC[C@@](C)(O)C(=O)[O-])[nH+]c(N)c2cc1OC ZINC000263548527 396220888 /nfs/dbraw/zinc/22/08/88/396220888.db2.gz IXVJDEYWFUBKIS-CQSZACIVSA-N 0 2 322.321 0.477 20 0 DCADLN COCCC(C)(C)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000185024341 396314941 /nfs/dbraw/zinc/31/49/41/396314941.db2.gz JKPLNCWOPQZHJC-UHFFFAOYSA-N 0 2 320.349 0.404 20 0 DCADLN C[C@H](CN(C)C(=O)c1cc(F)cc2n[nH]nc21)c1nn[nH]n1 ZINC000282425773 396289712 /nfs/dbraw/zinc/28/97/12/396289712.db2.gz FBBHDVOYCCVYGA-ZCFIWIBFSA-N 0 2 304.289 0.486 20 0 DCADLN C[C@H](CN(C)C(=O)c1cc(F)cc2nn[nH]c21)c1nn[nH]n1 ZINC000282425773 396289714 /nfs/dbraw/zinc/28/97/14/396289714.db2.gz FBBHDVOYCCVYGA-ZCFIWIBFSA-N 0 2 304.289 0.486 20 0 DCADLN C[C@@H](OCc1ccccc1)C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000080974783 396290906 /nfs/dbraw/zinc/29/09/06/396290906.db2.gz HQXYRTXRDPTLMM-LLVKDONJSA-N 0 2 304.350 0.752 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@@H]1CC[S@](=O)C1)c2=O ZINC000081790642 396308441 /nfs/dbraw/zinc/30/84/41/396308441.db2.gz MUCWIBCRSIWYIC-AOUSDQRYSA-N 0 2 305.359 0.895 20 0 DCADLN O=C(N[C@]1(CO)CCOC1)C1=NN(c2ccc(F)cc2)CC1=O ZINC000185241643 396323257 /nfs/dbraw/zinc/32/32/57/396323257.db2.gz IHVIPSAGAGXHFQ-HNNXBMFYSA-N 0 2 321.308 0.598 20 0 DCADLN CCO[C@H]1C[C@@H](NC(=O)CSc2n[nH]c(=O)[nH]2)C12CCC2 ZINC000187129673 396363770 /nfs/dbraw/zinc/36/37/70/396363770.db2.gz YQGKTQXFUCHTHV-BDAKNGLRSA-N 0 2 312.395 0.654 20 0 DCADLN CC(C)C(=O)NCCNC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000188931585 396388624 /nfs/dbraw/zinc/38/86/24/396388624.db2.gz RFEASOSBHCHSAB-CQSZACIVSA-N 0 2 311.426 0.518 20 0 DCADLN CCOc1ccc(C(=O)NCC(=O)NOCCCOC)cc1 ZINC000287672691 396415725 /nfs/dbraw/zinc/41/57/25/396415725.db2.gz DIQNYDUPXBGEHU-UHFFFAOYSA-N 0 2 310.350 0.899 20 0 DCADLN COCC[N@H+](C)CCCNC(=O)N(C)CCCn1cc[nH+]c1 ZINC000269001738 396380067 /nfs/dbraw/zinc/38/00/67/396380067.db2.gz HVXFIJANOQTGLQ-UHFFFAOYSA-N 0 2 311.430 0.883 20 0 DCADLN COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)[C@@]2(C)CCCC[NH2+]2)C1 ZINC000580832465 396502961 /nfs/dbraw/zinc/50/29/61/396502961.db2.gz CZRJPHFCLDOXNG-XJKSGUPXSA-N 0 2 311.426 0.520 20 0 DCADLN COC(=O)[C@H]1C[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289017955 396453165 /nfs/dbraw/zinc/45/31/65/396453165.db2.gz JVVYUQQTBFVTFM-ZJUUUORDSA-N 0 2 302.290 0.513 20 0 DCADLN COC(=O)C[C@@H](C)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000289047502 396453882 /nfs/dbraw/zinc/45/38/82/396453882.db2.gz MPXBJBSBTNGQEA-MRVPVSSYSA-N 0 2 304.306 0.903 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H]1CCc2c1cccc2O ZINC000289120160 396455145 /nfs/dbraw/zinc/45/51/45/396455145.db2.gz NWWZHKHFLMAARO-SECBINFHSA-N 0 2 306.347 0.699 20 0 DCADLN COCC[NH+](C)C[C@@H](O)C[N@@H+]1CCC[C@@H](N2CCCC2=O)C1 ZINC000519176729 396518608 /nfs/dbraw/zinc/51/86/08/396518608.db2.gz HRULQJUJGURMGQ-HUUCEWRRSA-N 0 2 313.442 0.012 20 0 DCADLN Cc1nn(C)c2ncc(NS(=O)(=O)c3ccnn3C)cc12 ZINC000589428636 396714328 /nfs/dbraw/zinc/71/43/28/396714328.db2.gz JNWPFGCRARZJOF-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)C(=O)N=c2nc(C(C)(C)C)[nH]s2)CO1 ZINC000563886371 396789591 /nfs/dbraw/zinc/78/95/91/396789591.db2.gz UHCUQLGZFUYZPY-HTQZYQBOSA-N 0 2 312.395 0.490 20 0 DCADLN COc1cncc(C(=O)N=c2nc(C(C)(C)OC)[nH]s2)n1 ZINC000634148436 396796044 /nfs/dbraw/zinc/79/60/44/396796044.db2.gz BLONKRRFMWULJH-UHFFFAOYSA-N 0 2 309.351 0.892 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2n[nH]c(-c3ccncc3)n2)on1 ZINC000590120993 396741044 /nfs/dbraw/zinc/74/10/44/396741044.db2.gz IMQQMFHZLHBRJG-UHFFFAOYSA-N 0 2 314.261 0.894 20 0 DCADLN C[N@H+]1CCN(C(=O)N[C@@H]2C[C@@H]2c2cccc(F)c2)[C@@H](C[NH3+])C1 ZINC000563593030 396755962 /nfs/dbraw/zinc/75/59/62/396755962.db2.gz IPHHQMWRAOSYEA-RRFJBIMHSA-N 0 2 306.385 0.966 20 0 DCADLN C[N@H+]1CCN(C(=O)N[C@@H]2Cc3cccc(O)c3C2)[C@H](C[NH3+])C1 ZINC000563593549 396757139 /nfs/dbraw/zinc/75/71/39/396757139.db2.gz HFZNHABYCCIQTQ-CHWSQXEVSA-N 0 2 304.394 0.144 20 0 DCADLN O=C([O-])CN1CCCC[C@H]([N@@H+]2CCO[C@H](CCF)C2)C1=O ZINC000629500591 396771941 /nfs/dbraw/zinc/77/19/41/396771941.db2.gz FDXRHGWGOPNENN-NEPJUHHUSA-N 0 2 302.346 0.513 20 0 DCADLN O=C([O-])CN1CCCC[C@H]([N@H+]2CCO[C@H](CCF)C2)C1=O ZINC000629500591 396771947 /nfs/dbraw/zinc/77/19/47/396771947.db2.gz FDXRHGWGOPNENN-NEPJUHHUSA-N 0 2 302.346 0.513 20 0 DCADLN CC(C)C[C@@H](C(N)=O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000564658782 396853184 /nfs/dbraw/zinc/85/31/84/396853184.db2.gz LYMPPFAVQWJKTC-ZJUUUORDSA-N 0 2 309.370 0.364 20 0 DCADLN CC(=O)N[C@H]1C(C)=NN(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000634588048 396888100 /nfs/dbraw/zinc/88/81/00/396888100.db2.gz HZMJDVRCWIIPEO-LBPRGKRZSA-N 0 2 309.347 0.317 20 0 DCADLN CC(=O)N[C@H]1C(C)=NN(c2nnc(-c3ccccc3)n2C)C1=O ZINC000634590558 396889632 /nfs/dbraw/zinc/88/96/32/396889632.db2.gz CTBWUVGSKPBNNU-LBPRGKRZSA-N 0 2 312.333 0.709 20 0 DCADLN CC(=O)Nc1c(C)[nH]n(-c2nnc(-c3ccccc3)n2C)c1=O ZINC000634590558 396889637 /nfs/dbraw/zinc/88/96/37/396889637.db2.gz CTBWUVGSKPBNNU-LBPRGKRZSA-N 0 2 312.333 0.709 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1nnc([C@H]2CCCO2)s1 ZINC000634664378 396896326 /nfs/dbraw/zinc/89/63/26/396896326.db2.gz AMHMVKIFDQVWBF-SSDOTTSWSA-N 0 2 315.380 0.924 20 0 DCADLN Cn1cnc2cccc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c21 ZINC000629966853 396898623 /nfs/dbraw/zinc/89/86/23/396898623.db2.gz AVZFSIJMCGKWIE-UHFFFAOYSA-N 0 2 300.322 0.760 20 0 DCADLN C[C@@H]1CCN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)[C@@H]1CO ZINC000275988371 296544149 /nfs/dbraw/zinc/54/41/49/296544149.db2.gz LUVSAISPESRCEZ-GMSGAONNSA-N 0 2 304.306 0.279 20 0 DCADLN COC(=O)[C@H](C)CN(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597228469 396917328 /nfs/dbraw/zinc/91/73/28/396917328.db2.gz WPMXKKVACXDGAS-SECBINFHSA-N 0 2 319.317 0.911 20 0 DCADLN COC(=O)c1ccc(NS(=O)(=O)CCOCC2CC2)nn1 ZINC000591649348 396964686 /nfs/dbraw/zinc/96/46/86/396964686.db2.gz QODUKDDZZTXWKS-UHFFFAOYSA-N 0 2 315.351 0.432 20 0 DCADLN C[C@H](C[S@](C)=O)NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597499035 396992435 /nfs/dbraw/zinc/99/24/35/396992435.db2.gz LGWTWKHASPYHCQ-ZEDNOMKYSA-N 0 2 309.347 0.527 20 0 DCADLN C[C@@H](C[S@@](C)=O)N(C)C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000597499280 396992530 /nfs/dbraw/zinc/99/25/30/396992530.db2.gz YBBHAOILUPNNNK-GTUYJWLHSA-N 0 2 323.374 0.869 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCNC(=O)C[C@H]2C)c1 ZINC000591767320 397000589 /nfs/dbraw/zinc/00/05/89/397000589.db2.gz KLFNLEBPVFAVQU-RKFFSXRUSA-N 0 2 324.402 0.540 20 0 DCADLN COC(=O)[C@@H](Cc1ccncc1)NC(=O)Cc1[nH]c[nH+]c1C ZINC000572134257 397025584 /nfs/dbraw/zinc/02/55/84/397025584.db2.gz YITASRAOWCTYJE-CYBMUJFWSA-N 0 2 302.334 0.556 20 0 DCADLN CCc1ccccc1N1CC(=O)C(C(=O)NC2(C(N)=O)CC2)=N1 ZINC000612334308 397031783 /nfs/dbraw/zinc/03/17/83/397031783.db2.gz UYEUTBXXXNFQOW-UHFFFAOYSA-N 0 2 314.345 0.888 20 0 DCADLN CCNc1nc(NC(C)(C)C)[nH+]c(N2CC[C@H](CC(N)=O)C2)n1 ZINC000377135602 397035546 /nfs/dbraw/zinc/03/55/46/397035546.db2.gz FCDFZAJXJOBXDI-SNVBAGLBSA-N 0 2 321.429 0.637 20 0 DCADLN CC1(C)[C@H](NC(=O)CSc2n[nH]c(=O)[nH]2)[C@H]2CCCO[C@@H]21 ZINC000376731886 396970335 /nfs/dbraw/zinc/97/03/35/396970335.db2.gz AVMQZUSCWWAWCA-QNSHHTMESA-N 0 2 312.395 0.922 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(OC)c(COC)c2)c1O ZINC000600957426 396972417 /nfs/dbraw/zinc/97/24/17/396972417.db2.gz GWSXJHBTKYRFQV-LBPRGKRZSA-N 0 2 322.317 0.830 20 0 DCADLN C[C@@H]1CC(=O)N[C@H](C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)C1 ZINC000573449440 397169785 /nfs/dbraw/zinc/16/97/85/397169785.db2.gz SMLLGGRHHBSTTI-GUBZILKMSA-N 0 2 307.354 0.131 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1cc(=O)n(C)[n-]1 ZINC000612667721 397114432 /nfs/dbraw/zinc/11/44/32/397114432.db2.gz BKFZPNQUUKZSTO-UHFFFAOYSA-N 0 2 317.349 0.918 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc3c2OCC(=O)N3)cn1 ZINC000378025376 397182840 /nfs/dbraw/zinc/18/28/40/397182840.db2.gz LWLNPEJGBNFEIT-UHFFFAOYSA-N 0 2 308.319 0.552 20 0 DCADLN O=C(N[C@@H](CO)CC1CC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613207207 397217588 /nfs/dbraw/zinc/21/75/88/397217588.db2.gz SBTPKMUANYSLCG-GFCCVEGCSA-N 0 2 303.318 0.921 20 0 DCADLN C[C@@H](c1ccccc1)[C@@H](O)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000620670242 397295398 /nfs/dbraw/zinc/29/53/98/397295398.db2.gz VKWNUOOEVRTKLY-ONGXEEELSA-N 0 2 322.390 0.883 20 0 DCADLN COC(=O)[C@H]1C[C@H](O)CN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000592940356 397297642 /nfs/dbraw/zinc/29/76/42/397297642.db2.gz USZSHNWNZLDFSM-IUCAKERBSA-N 0 2 315.272 0.666 20 0 DCADLN O=C(NC[C@H]1CC[C@@H](O)C1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000613891284 397343214 /nfs/dbraw/zinc/34/32/14/397343214.db2.gz ZZPZOJXTQKUKAE-JOYOIKCWSA-N 0 2 303.318 0.921 20 0 DCADLN Cn1cnnc1-c1cncc(NC(=O)C(N)C(F)(F)F)c1 ZINC000577753653 397359453 /nfs/dbraw/zinc/35/94/53/397359453.db2.gz YOUWUTWLVKMMSU-QMMMGPOBSA-N 0 2 300.244 0.705 20 0 DCADLN Cn1cnnc1-c1cncc(NC(=O)[C@H](N)C(F)(F)F)c1 ZINC000577753653 397359456 /nfs/dbraw/zinc/35/94/56/397359456.db2.gz YOUWUTWLVKMMSU-QMMMGPOBSA-N 0 2 300.244 0.705 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CC(CO)(c2ccccc2)C1 ZINC000578379454 397429440 /nfs/dbraw/zinc/42/94/40/397429440.db2.gz AGRXTEVYPUUVJW-UHFFFAOYSA-N 0 2 324.324 0.629 20 0 DCADLN CCn1nccc1NS(=O)(=O)c1cncc(C(=O)OC)c1 ZINC000578205794 397406377 /nfs/dbraw/zinc/40/63/77/397406377.db2.gz BBGPTQXENLGNJY-UHFFFAOYSA-N 0 2 310.335 0.885 20 0 DCADLN CCO[C@@H]1C[C@@H](N(C)C(=O)CSc2n[nH]c(=O)[nH]2)C1(C)C ZINC000185716637 286235362 /nfs/dbraw/zinc/23/53/62/286235362.db2.gz NWURYFLVEMBVLI-RKDXNWHRSA-N 0 2 314.411 0.852 20 0 DCADLN Cc1ccc2c(n1)[nH]cc(C(=O)N[C@H]1CCC(=O)NC1)c2=O ZINC000078521560 158073324 /nfs/dbraw/zinc/07/33/24/158073324.db2.gz YDPXDDJRIAMHDI-VIFPVBQESA-N 0 2 300.318 0.652 20 0 DCADLN CN(C[C@@H](O)C(F)(F)F)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000119479850 158187064 /nfs/dbraw/zinc/18/70/64/158187064.db2.gz BGXGSEUIUABIBY-MRVPVSSYSA-N 0 2 318.255 0.669 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)C(=O)CCC2 ZINC000119463697 158187390 /nfs/dbraw/zinc/18/73/90/158187390.db2.gz VNTUFNXUSDGUTI-UHFFFAOYSA-N 0 2 301.306 0.939 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)C[C@H]3CCOC3)CC2)[nH]1 ZINC000328876165 159037043 /nfs/dbraw/zinc/03/70/43/159037043.db2.gz ZPLSZWRCRUHDLF-VIFPVBQESA-N 0 2 316.383 0.056 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCCN(CC(F)(F)F)C1 ZINC000330531787 159187669 /nfs/dbraw/zinc/18/76/69/159187669.db2.gz PFVWLSISUVRUTA-SSDOTTSWSA-N 0 2 307.276 0.401 20 0 DCADLN CN(C)C(=O)CN1CCCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000368261559 159384120 /nfs/dbraw/zinc/38/41/20/159384120.db2.gz SUPWYAOZOYAUDN-JTQLQIEISA-N 0 2 313.295 0.509 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)N2CCC[C@H](O)C2)s[nH]1 ZINC000408196791 160057624 /nfs/dbraw/zinc/05/76/24/160057624.db2.gz FRASAQRMZXQLMM-QMMMGPOBSA-N 0 2 312.395 0.179 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)[C@H]2CCO[C@@H](C)C2)cn1 ZINC000408485505 160118472 /nfs/dbraw/zinc/11/84/72/160118472.db2.gz QNEBUEUUDARHGG-UWVGGRQHSA-N 0 2 314.363 0.710 20 0 DCADLN Cc1ccncc1S(=O)(=O)NC(=O)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC000408494670 160119750 /nfs/dbraw/zinc/11/97/50/160119750.db2.gz DUEBUDMGFYMYAU-HBNTYKKESA-N 0 2 308.359 0.810 20 0 DCADLN C[C@@H]1CN(C(=O)CN2CCCC[C@H]2c2n[nH]c(=O)[nH]2)C[C@@H](C)O1 ZINC000329585719 296811134 /nfs/dbraw/zinc/81/11/34/296811134.db2.gz MGQOQPCVQXDDME-UTUOFQBUSA-N 0 2 323.397 0.673 20 0 DCADLN CSC1(CNS(=O)(=O)NCC(F)(F)F)CCOCC1 ZINC000195307387 287062413 /nfs/dbraw/zinc/06/24/13/287062413.db2.gz ZTDINUKOWYWSTH-UHFFFAOYSA-N 0 2 322.374 0.885 20 0 DCADLN COC[C@@H]1CN(S(=O)(=O)NCC(F)(F)F)C[C@H](C)O1 ZINC000249405325 287110028 /nfs/dbraw/zinc/11/00/28/287110028.db2.gz CLOACOIISXUIAD-YUMQZZPRSA-N 0 2 306.306 0.119 20 0 DCADLN CN(C[C@H]1CCCC[C@H]1O)S(=O)(=O)NCC(F)(F)F ZINC000249531678 287113132 /nfs/dbraw/zinc/11/31/32/287113132.db2.gz JNLKSTCNENKAIT-RKDXNWHRSA-N 0 2 304.334 0.866 20 0 DCADLN Cc1cc(C(=O)NCCC[S@](C)=O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000345322168 287157809 /nfs/dbraw/zinc/15/78/09/287157809.db2.gz BDWHLFXHBMQOQG-QFIPXVFZSA-N 0 2 324.362 0.243 20 0 DCADLN COCc1nc(S(=O)(=O)CC(=O)N(C)c2ccccc2)n[nH]1 ZINC000353907547 287164462 /nfs/dbraw/zinc/16/44/62/287164462.db2.gz WYYBVKPUVANGDC-UHFFFAOYSA-N 0 2 324.362 0.388 20 0 DCADLN COC(=O)Cc1occ(C)c1C(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000354962781 287172730 /nfs/dbraw/zinc/17/27/30/287172730.db2.gz XAAQYLBKPINRCD-UHFFFAOYSA-N 0 2 322.321 0.490 20 0 DCADLN C[C@H](CS(C)(=O)=O)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000355995269 287191332 /nfs/dbraw/zinc/19/13/32/287191332.db2.gz GEPUICPNCDTTAO-MRVPVSSYSA-N 0 2 324.362 0.797 20 0 DCADLN O=C(CNC(=O)CSc1n[nH]c(=O)[nH]1)NC1CCCCC1 ZINC000352684364 415279223 /nfs/dbraw/zinc/27/92/23/415279223.db2.gz LFHXNQPALMUKKE-UHFFFAOYSA-N 0 2 313.383 0.168 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cc(C3CC3)n[nH]2)cc1C(N)=O ZINC000268477011 415223168 /nfs/dbraw/zinc/22/31/68/415223168.db2.gz JYSXNMIWJAEZIX-UHFFFAOYSA-N 0 2 309.351 0.525 20 0 DCADLN Cc1ccc2c(=O)c(C(=O)NC[C@@]3(O)CCO[C@@H]3C)c[nH]c2n1 ZINC000332191992 415290314 /nfs/dbraw/zinc/29/03/14/415290314.db2.gz WZGGSXALTAFXMC-HWPZZCPQSA-N 0 2 317.345 0.914 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2cccc(O)c2)cn1 ZINC000342789097 415298076 /nfs/dbraw/zinc/29/80/76/415298076.db2.gz XHBXSZDBGOENEN-UHFFFAOYSA-N 0 2 311.319 0.563 20 0 DCADLN COc1cc(NS(=O)(=O)N2CCC2)c(C(N)=O)cc1OC ZINC000332437036 415399875 /nfs/dbraw/zinc/39/98/75/415399875.db2.gz IVRTZTUTLXIKGG-UHFFFAOYSA-N 0 2 315.351 0.950 20 0 DCADLN Cc1ccccc1[C@H](O)CNC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274937799 415363920 /nfs/dbraw/zinc/36/39/20/415363920.db2.gz DLIJUZWSQSSPIV-SNVBAGLBSA-N 0 2 308.363 0.348 20 0 DCADLN CCOc1ccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000080973558 415478251 /nfs/dbraw/zinc/47/82/51/415478251.db2.gz VUDPBAUGLAEOFV-UHFFFAOYSA-N 0 2 304.350 0.788 20 0 DCADLN COc1cc(C)c(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1OC ZINC000088392951 415516533 /nfs/dbraw/zinc/51/65/33/415516533.db2.gz TYKBVGQRJPIWQN-UHFFFAOYSA-N 0 2 320.349 0.786 20 0 DCADLN O=C(N[C@@H]1CCN(c2ccccc2Cl)C1=O)c1nc(=O)[nH][nH]1 ZINC000111630218 415696846 /nfs/dbraw/zinc/69/68/46/415696846.db2.gz FAZDVVWIRWEYDA-MRVPVSSYSA-N 0 2 321.724 0.287 20 0 DCADLN CN(C)[C@@H](CNC(=O)c1nc(=O)[nH][nH]1)c1c(F)cccc1F ZINC000115715935 415729451 /nfs/dbraw/zinc/72/94/51/415729451.db2.gz GKFGXQXVUSXUAJ-VIFPVBQESA-N 0 2 311.292 0.409 20 0 DCADLN CO[C@@H](C)c1noc(CN2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC000344074705 415835576 /nfs/dbraw/zinc/83/55/76/415835576.db2.gz UOLDLVFSJXYKKS-IUCAKERBSA-N 0 2 308.342 0.980 20 0 DCADLN O=C1COc2c(NS(=O)(=O)C[C@H]3CCCO3)cccc2N1 ZINC000290429417 415802972 /nfs/dbraw/zinc/80/29/72/415802972.db2.gz AOHFWNIYUDWSFX-SECBINFHSA-N 0 2 312.347 0.938 20 0 DCADLN COC(=O)CCCONC(=O)CN1CCc2ccccc2C1=O ZINC000290445751 415805068 /nfs/dbraw/zinc/80/50/68/415805068.db2.gz BPBDCKNZTMQQCQ-UHFFFAOYSA-N 0 2 320.345 0.686 20 0 DCADLN CCOC(=O)C(C)(C)ONC(=O)CNC(=O)c1cccc(O)c1 ZINC000295463203 415895962 /nfs/dbraw/zinc/89/59/62/415895962.db2.gz CGUJBNQCTXQWIE-UHFFFAOYSA-N 0 2 324.333 0.512 20 0 DCADLN Cc1n[nH]cc1S(=O)(=O)Nc1cccc(-n2cn[nH]c2=O)c1 ZINC000130645237 415920548 /nfs/dbraw/zinc/92/05/48/415920548.db2.gz YVMFTMGLSXPFNX-UHFFFAOYSA-N 0 2 320.334 0.393 20 0 DCADLN COCCOCCN(C)C(=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000356603336 415960629 /nfs/dbraw/zinc/96/06/29/415960629.db2.gz JNYRWANPCMEGNH-UHFFFAOYSA-N 0 2 321.333 0.765 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc(NCC2CC2)nc1 ZINC000337638623 415988817 /nfs/dbraw/zinc/98/88/17/415988817.db2.gz VNQUEVNKMHQKDT-UHFFFAOYSA-N 0 2 316.365 1.090 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000356813761 415992569 /nfs/dbraw/zinc/99/25/69/415992569.db2.gz NLVFSCUDAKMIMU-UHFFFAOYSA-N 0 2 316.364 0.019 20 0 DCADLN COC(=O)Cn1cc(S(=O)(=O)Nc2cncc(F)c2)cn1 ZINC000337287146 415939311 /nfs/dbraw/zinc/93/93/11/415939311.db2.gz MUMBPZOZLPQSIZ-UHFFFAOYSA-N 0 2 314.298 0.391 20 0 DCADLN NC(=O)c1cccc(S(O)=CC(=O)NC[C@H]2CCCO2)c1 ZINC000357178349 416041505 /nfs/dbraw/zinc/04/15/05/416041505.db2.gz WWZJPVYLJXWQND-WSVYEEACSA-N 0 2 310.375 0.188 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@@H](CO)CC1CCCC1 ZINC000338248231 416065966 /nfs/dbraw/zinc/06/59/66/416065966.db2.gz NEMNKMVOXOQTOO-SECBINFHSA-N 0 2 300.384 0.660 20 0 DCADLN CO[C@@H](C)c1nsc(NCCNC(=O)c2cnccn2)n1 ZINC000337907215 416020081 /nfs/dbraw/zinc/02/00/81/416020081.db2.gz DLOCWWGDXRVGGU-QMMMGPOBSA-N 0 2 308.367 0.299 20 0 DCADLN CC(C)OCCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000298934539 416040050 /nfs/dbraw/zinc/04/00/50/416040050.db2.gz NJVXLGVTAOVZLN-UHFFFAOYSA-N 0 2 308.385 0.663 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)[C@@H]2C[C@@H]2C2CC2)s1 ZINC000175846560 416115407 /nfs/dbraw/zinc/11/54/07/416115407.db2.gz RYDQDPRGOSGFGK-RNFRBKRXSA-N 0 2 302.381 0.894 20 0 DCADLN Cc1cc(CC(=O)Nc2nnc(NS(C)(=O)=O)s2)no1 ZINC000357811933 416135436 /nfs/dbraw/zinc/13/54/36/416135436.db2.gz UJRJPWXGXONOOC-UHFFFAOYSA-N 0 2 317.352 0.387 20 0 DCADLN C[C@@]1(NC(=O)c2cc(F)c(O)c(F)c2)CCS(=O)(=O)C1 ZINC000177015317 416140807 /nfs/dbraw/zinc/14/08/07/416140807.db2.gz WCJZUAAETWGWAO-GFCCVEGCSA-N 0 2 305.302 0.977 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCCC[C@H]1C(N)=O)c2=O ZINC000179536884 416189761 /nfs/dbraw/zinc/18/97/61/416189761.db2.gz IEYVXEDZZITCON-LDYMZIIASA-N 0 2 317.349 0.448 20 0 DCADLN Cc1nnc(NC(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)s1 ZINC000346581786 416199096 /nfs/dbraw/zinc/19/90/96/416199096.db2.gz BHGLUUZVPCMXAG-UHFFFAOYSA-N 0 2 303.303 1.442 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NC[C@@H](C)CO)=N2)cc1 ZINC000180869373 416201698 /nfs/dbraw/zinc/20/16/98/416201698.db2.gz XBGSEEVYTJHLSB-SNVBAGLBSA-N 0 2 305.334 0.945 20 0 DCADLN CN(C[C@@H]1CCC[C@H]1O)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000182305429 416216760 /nfs/dbraw/zinc/21/67/60/416216760.db2.gz WHLYZBNYPFUTNI-JOYOIKCWSA-N 0 2 304.350 0.907 20 0 DCADLN O=C(CC[C@H]1Cc2ccccc2NC1=O)NCc1n[nH]c(=O)[nH]1 ZINC000358353353 416225924 /nfs/dbraw/zinc/22/59/24/416225924.db2.gz IRVNLUIGUOLKPQ-JTQLQIEISA-N 0 2 315.333 0.718 20 0 DCADLN Cn1cc(S(=O)(=O)N2CCc3c[nH+]ccc32)cc1C(=O)[O-] ZINC000185177867 416253558 /nfs/dbraw/zinc/25/35/58/416253558.db2.gz JBVLHINHMYYOMO-UHFFFAOYSA-N 0 2 307.331 0.870 20 0 DCADLN CCN(CC)C(=O)CCCC(=O)NCCCc1n[nH]c(=O)[nH]1 ZINC000358589562 416257782 /nfs/dbraw/zinc/25/77/82/416257782.db2.gz XNRAWMZKCPCARB-UHFFFAOYSA-N 0 2 311.386 0.598 20 0 DCADLN CCn1cc(NS(=O)(=O)c2ccc3c(c2)C(=O)NC3=O)cn1 ZINC000358626914 416263065 /nfs/dbraw/zinc/26/30/65/416263065.db2.gz UWCNVKYMUWMXDY-UHFFFAOYSA-N 0 2 320.330 0.587 20 0 DCADLN CC(C)C(=O)Nc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)ccn1 ZINC000341801320 416236629 /nfs/dbraw/zinc/23/66/29/416236629.db2.gz VKXSDLFWFFTONW-UHFFFAOYSA-N 0 2 304.310 0.430 20 0 DCADLN Cc1[nH]nc2ncc(NC(=O)CSc3n[nH]c(=O)[nH]3)cc12 ZINC000352171553 416299012 /nfs/dbraw/zinc/29/90/12/416299012.db2.gz RBNLDPCKVAMVCB-UHFFFAOYSA-N 0 2 305.323 0.821 20 0 DCADLN COC(=O)c1nscc1NS(=O)(=O)c1cnn(C)c1 ZINC000359505430 416348667 /nfs/dbraw/zinc/34/86/67/416348667.db2.gz UKVQEQGBYRANLL-UHFFFAOYSA-N 0 2 302.337 0.464 20 0 DCADLN CCOC(=O)[C@H](NS(=O)(=O)NCC(F)(F)F)[C@H](C)CC ZINC000195318019 416326368 /nfs/dbraw/zinc/32/63/68/416326368.db2.gz YAVRSFLXGLEOOU-HTQZYQBOSA-N 0 2 320.333 0.951 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)c1cnn(-c2ccccc2)c1 ZINC000359833089 416361252 /nfs/dbraw/zinc/36/12/52/416361252.db2.gz QJQXTTICTIPSTO-UHFFFAOYSA-N 0 2 312.289 0.195 20 0 DCADLN CC(C)c1cc(NC[C@H]2CN(C)CC[N@H+]2C)nc(N(C)C)[nH+]1 ZINC000361025876 416419052 /nfs/dbraw/zinc/41/90/52/416419052.db2.gz PJUPEPFTPMPBCV-ZDUSSCGKSA-N 0 2 306.458 1.324 20 0 DCADLN Cc1noc(CCCNC(=O)c2cn[nH]c2-c2cnn(C)c2)n1 ZINC000436361241 416555989 /nfs/dbraw/zinc/55/59/89/416555989.db2.gz GSSCDTMQLOFBTE-UHFFFAOYSA-N 0 2 315.337 0.864 20 0 DCADLN O=C([O-])CSCC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000584053158 416495194 /nfs/dbraw/zinc/49/51/94/416495194.db2.gz ZRMYGYSDYABBAH-UHFFFAOYSA-N 0 2 311.285 0.879 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cnn(CC(F)(F)F)c1 ZINC000361904112 416519629 /nfs/dbraw/zinc/51/96/29/416519629.db2.gz CCCCSRRBKOVHII-UHFFFAOYSA-N 0 2 322.272 1.000 20 0 DCADLN COC(=O)c1cccc(NS(=O)(=O)c2cnn(C)c2)c1O ZINC000436823121 416572531 /nfs/dbraw/zinc/57/25/31/416572531.db2.gz ZIFZCYXDGSFKGZ-UHFFFAOYSA-N 0 2 311.319 0.713 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)C(=O)NCCn2cccn2)s[nH]1 ZINC000441701965 416679196 /nfs/dbraw/zinc/67/91/96/416679196.db2.gz PKAWBTOKPDUFMO-UHFFFAOYSA-N 0 2 322.394 0.209 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C(=O)Nc1ccc(F)c(C2CC2)c1 ZINC000439849196 416622230 /nfs/dbraw/zinc/62/22/30/416622230.db2.gz KZLPEGKRQQQHRJ-UHFFFAOYSA-N 0 2 319.296 0.782 20 0 DCADLN C[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)[C@H]1CCCOC1 ZINC000542816182 416632285 /nfs/dbraw/zinc/63/22/85/416632285.db2.gz NLXLOWPIRLNFFC-BDAKNGLRSA-N 0 2 318.333 0.981 20 0 DCADLN CC[C@](C)(CO)NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000542922169 416636012 /nfs/dbraw/zinc/63/60/12/416636012.db2.gz CXIYBOKSOKTLAR-CQSZACIVSA-N 0 2 306.322 0.635 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NCCC(C)(C)C(=O)[O-] ZINC000424418480 416643309 /nfs/dbraw/zinc/64/33/09/416643309.db2.gz WORJHNKWTKFCGC-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NCCC(C)(C)C(=O)[O-] ZINC000424418480 416643312 /nfs/dbraw/zinc/64/33/12/416643312.db2.gz WORJHNKWTKFCGC-LLVKDONJSA-N 0 2 301.387 0.507 20 0 DCADLN COc1cccc(S(O)=CC(=O)NCC(=O)NC2CC2)c1 ZINC000424545735 416663489 /nfs/dbraw/zinc/66/34/89/416663489.db2.gz RFISJDZUZVBQMN-OAQYLSRUSA-N 0 2 310.375 0.198 20 0 DCADLN Cn1cc(NS(=O)(=O)c2cnn(C3CCOCC3)c2)cn1 ZINC000441319306 416668318 /nfs/dbraw/zinc/66/83/18/416668318.db2.gz VSFWAZAXSWCUPC-UHFFFAOYSA-N 0 2 311.367 0.769 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC(O)(C3CC3)C2)c1 ZINC000424801318 416669063 /nfs/dbraw/zinc/66/90/63/416669063.db2.gz LQZHCITWOCXVMK-NRFANRHFSA-N 0 2 309.387 0.786 20 0 DCADLN CCOCCOCCN(C)S(=O)(=O)NCC(F)(F)F ZINC000443229562 416735619 /nfs/dbraw/zinc/73/56/19/416735619.db2.gz YAZHBNNXCLKSOR-UHFFFAOYSA-N 0 2 308.322 0.368 20 0 DCADLN C[C@@H](CN1CCOCC1)N(C)S(=O)(=O)NCC(F)(F)F ZINC000443207208 416737892 /nfs/dbraw/zinc/73/78/92/416737892.db2.gz YPWUYGUHPZXQHW-VIFPVBQESA-N 0 2 319.349 0.036 20 0 DCADLN COC(=O)[C@H](NC(=O)c1cccc2[nH]nnc21)c1ccccn1 ZINC000615135038 416768656 /nfs/dbraw/zinc/76/86/56/416768656.db2.gz YVQFDRODIQEVET-CYBMUJFWSA-N 0 2 311.301 0.997 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@H](C(=O)[O-])[C@@H]3C)nc[nH+]2)C1 ZINC000517154939 416783576 /nfs/dbraw/zinc/78/35/76/416783576.db2.gz UBGDUDBAVUFCNL-RVMXOQNASA-N 0 2 320.393 0.983 20 0 DCADLN C[C@H](O)[C@@H]1CCN(c2cc(N3CC[C@H](C(=O)[O-])[C@@H]3C)[nH+]cn2)C1 ZINC000517154939 416783581 /nfs/dbraw/zinc/78/35/81/416783581.db2.gz UBGDUDBAVUFCNL-RVMXOQNASA-N 0 2 320.393 0.983 20 0 DCADLN COCC[NH2+]CC(=O)Nc1ccc(C[NH+]2CCN(C)CC2)cc1 ZINC000517365739 416798278 /nfs/dbraw/zinc/79/82/78/416798278.db2.gz KRSAZVPIWRARQP-UHFFFAOYSA-N 0 2 320.437 0.609 20 0 DCADLN CCNC(=O)C(C)(C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000444238036 416819735 /nfs/dbraw/zinc/81/97/35/416819735.db2.gz MQMPRGGJULSNJD-UHFFFAOYSA-N 0 2 318.381 0.702 20 0 DCADLN C[C@@H]1CC[C@H](NS(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C1 ZINC000444226290 416821457 /nfs/dbraw/zinc/82/14/57/416821457.db2.gz QYFRKEMSRSBSNS-SFYZADRCSA-N 0 2 324.362 0.903 20 0 DCADLN O=C(Nc1nc2ccc(F)cc2[nH]1)c1cc(=O)n2[nH]cnc2n1 ZINC000428035215 416867837 /nfs/dbraw/zinc/86/78/37/416867837.db2.gz PFQCAHKAWJORND-UHFFFAOYSA-N 0 2 313.252 0.685 20 0 DCADLN Cc1ncc(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)c(=O)[nH]1 ZINC000444300561 416829998 /nfs/dbraw/zinc/82/99/98/416829998.db2.gz IAHOJUYABPSENK-UHFFFAOYSA-N 0 2 313.321 0.544 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccc(-n3cccn3)cc2)c1O ZINC000445912167 416905409 /nfs/dbraw/zinc/90/54/09/416905409.db2.gz JCBJZQWNXWPODF-GFCCVEGCSA-N 0 2 314.301 0.860 20 0 DCADLN Cc1cccc(S(=O)(=O)NCc2n[nH]c(=O)n2C)c1F ZINC000641654365 416967191 /nfs/dbraw/zinc/96/71/91/416967191.db2.gz YWOGEFVQSZFCSN-UHFFFAOYSA-N 0 2 300.315 0.447 20 0 DCADLN Cn1cc([C@H](CO)Nc2cc(C(C)(C)C)[nH+]c(C(=O)[O-])n2)cn1 ZINC000520818472 416979649 /nfs/dbraw/zinc/97/96/49/416979649.db2.gz OSFHVXSIIAVRJK-JTQLQIEISA-N 0 2 319.365 0.773 20 0 DCADLN CCC(=O)N1CCN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000521428932 417008273 /nfs/dbraw/zinc/00/82/73/417008273.db2.gz JKFVYVSJZZFCGW-UHFFFAOYSA-N 0 2 316.317 0.682 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1c[nH]nc1-c1ccoc1 ZINC000448315435 417069819 /nfs/dbraw/zinc/06/98/19/417069819.db2.gz CDRHVLRPCHXHSP-UHFFFAOYSA-N 0 2 302.294 0.856 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nnc(C)s2)cn1C ZINC000437197994 287361231 /nfs/dbraw/zinc/36/12/31/287361231.db2.gz YNPYEUOCCUBIFR-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN O=C(NCCN1C(=O)CCCC1=O)c1cccc2[nH]nnc21 ZINC000525865843 417156561 /nfs/dbraw/zinc/15/65/61/417156561.db2.gz FRWCUEPBIPZKFV-UHFFFAOYSA-N 0 2 301.306 0.227 20 0 DCADLN CCNC(=O)[C@@H](NS(=O)(=O)NCC(F)(F)F)C(C)C ZINC000432284920 417168667 /nfs/dbraw/zinc/16/86/67/417168667.db2.gz JZEWHIPJWHQLEC-ZETCQYMHSA-N 0 2 305.322 0.133 20 0 DCADLN COC[C@@](C)(CC(=O)OC)NC(=O)c1cccc2[nH]nnc21 ZINC000526115401 417185840 /nfs/dbraw/zinc/18/58/40/417185840.db2.gz CVDMYJDURVWNSD-CQSZACIVSA-N 0 2 306.322 0.656 20 0 DCADLN CC[C@@H]1C[C@@H](C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CC(=O)N1 ZINC000568867327 417186530 /nfs/dbraw/zinc/18/65/30/417186530.db2.gz ILKMZCVWQSGYRA-GMTAPVOTSA-N 0 2 321.381 0.521 20 0 DCADLN COc1nc(C)cc(C)c1C[N@H+](C)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000621774583 417293967 /nfs/dbraw/zinc/29/39/67/417293967.db2.gz XYAUCNCRJPUHJP-CYBMUJFWSA-N 0 2 321.377 0.824 20 0 DCADLN COc1nc(C)cc(C)c1C[N@@H+](C)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000621774583 417293969 /nfs/dbraw/zinc/29/39/69/417293969.db2.gz XYAUCNCRJPUHJP-CYBMUJFWSA-N 0 2 321.377 0.824 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000621894243 417337329 /nfs/dbraw/zinc/33/73/29/417337329.db2.gz ITMBPPVOPCUAJK-VWYCJHECSA-N 0 2 321.381 0.254 20 0 DCADLN C[C@@H]1[C@@H](CO)CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000622108280 417398413 /nfs/dbraw/zinc/39/84/13/417398413.db2.gz QTZGCYQCHOXKHB-RKDXNWHRSA-N 0 2 318.333 0.669 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)Nc1cc(C)nn1C ZINC000643878328 417409619 /nfs/dbraw/zinc/40/96/19/417409619.db2.gz PSCIPLHNGBCFNC-CQSZACIVSA-N 0 2 310.354 0.233 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCC[N@H+]1CC(=O)Nc1cc(C)nn1C ZINC000643878328 417409624 /nfs/dbraw/zinc/40/96/24/417409624.db2.gz PSCIPLHNGBCFNC-CQSZACIVSA-N 0 2 310.354 0.233 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)NCCCc3n[nH]c(=O)[n-]3)ccn12 ZINC000570581712 417371448 /nfs/dbraw/zinc/37/14/48/417371448.db2.gz TXMKURWEOOGPRT-UHFFFAOYSA-N 0 2 300.322 0.829 20 0 DCADLN CN(C)c1nc(C(=O)N=c2nc(C3(C)CC3)[nH]s2)cc(=O)[nH]1 ZINC000529698467 417487777 /nfs/dbraw/zinc/48/77/77/417487777.db2.gz MGHWFDBGQDEMDU-UHFFFAOYSA-N 0 2 320.378 0.825 20 0 DCADLN O=C(c1cccc(-c2nc(=O)o[nH]2)c1)N1CCc2c[nH]nc2C1 ZINC000622457926 417447037 /nfs/dbraw/zinc/44/70/37/417447037.db2.gz SLZMDXDWUQCKFA-UHFFFAOYSA-N 0 2 311.301 0.952 20 0 DCADLN COCc1ncc(CNC(=O)c2cc(F)c(O)c(F)c2)c(N)n1 ZINC000572287493 417459163 /nfs/dbraw/zinc/45/91/63/417459163.db2.gz SZYVUIORXDALOM-UHFFFAOYSA-N 0 2 324.287 1.119 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1cc(C(F)(F)F)nn1C ZINC000530150935 417543532 /nfs/dbraw/zinc/54/35/32/417543532.db2.gz AWHHKSKYRUYYOO-UHFFFAOYSA-N 0 2 309.273 0.973 20 0 DCADLN COc1cccc2c1[nH+]cc(C(=O)[O-])c2N1C[C@H](CO)[C@H](O)C1 ZINC000574991889 417498729 /nfs/dbraw/zinc/49/87/29/417498729.db2.gz NPGOQCMUSDDESG-BXKDBHETSA-N 0 2 318.329 0.731 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@@H](CO)O1 ZINC000530356299 417572624 /nfs/dbraw/zinc/57/26/24/417572624.db2.gz RRVSRWQGPJOOTD-CABZTGNLSA-N 0 2 319.317 0.252 20 0 DCADLN Cc1[nH][nH]c(=O)c1CCNC(=O)c1[nH]nc2c1CCCCC2 ZINC000530455513 417576629 /nfs/dbraw/zinc/57/66/29/417576629.db2.gz WAZRZEZYLGISLC-JTQLQIEISA-N 0 2 303.366 0.920 20 0 DCADLN C[C@H]1CCN(C(=O)CCCc2nn[nH]n2)C[C@@H]1n1ccnc1 ZINC000635682141 417737819 /nfs/dbraw/zinc/73/78/19/417737819.db2.gz QYKOIAJAWKEFHF-RYUDHWBXSA-N 0 2 303.370 0.829 20 0 DCADLN CC[C@@H]1CN(C(=O)C(=O)N=c2cc(C)c(C)n[nH]2)[C@H](C)CO1 ZINC000652005361 417830072 /nfs/dbraw/zinc/83/00/72/417830072.db2.gz YPMFWRNDFUJOHS-ZYHUDNBSSA-N 0 2 306.366 0.480 20 0 DCADLN O=C(CCCc1nn[nH]n1)N1CCN[C@@H](c2ccccc2)C1 ZINC000636010521 417833472 /nfs/dbraw/zinc/83/34/72/417833472.db2.gz UBYREEKKDNBVQL-CYBMUJFWSA-N 0 2 300.366 0.696 20 0 DCADLN COCCOc1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000629290064 417772730 /nfs/dbraw/zinc/77/27/30/417772730.db2.gz BMCCTXDQDAQMSS-UHFFFAOYSA-N 0 2 312.351 0.641 20 0 DCADLN CC(=O)N1CCc2c1cccc2NS(=O)(=O)c1cnnn1C ZINC000629293985 417773089 /nfs/dbraw/zinc/77/30/89/417773089.db2.gz SCAYQIBETABHLF-UHFFFAOYSA-N 0 2 321.362 0.525 20 0 DCADLN C[C@H](Cc1cnc2ccccc2n1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000645692412 417778018 /nfs/dbraw/zinc/77/80/18/417778018.db2.gz NNGOCGFXDROXQY-SECBINFHSA-N 0 2 312.333 0.949 20 0 DCADLN COc1cc(NS(=O)(=O)c2cnn([C@H]3CCOC3)c2)[nH]n1 ZINC000629314890 417778564 /nfs/dbraw/zinc/77/85/64/417778564.db2.gz SLQJLBPSMFNVSO-QMMMGPOBSA-N 0 2 313.339 0.377 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CC(C)(C)[C@H]2C2CC2)[nH]1 ZINC000651813288 417788542 /nfs/dbraw/zinc/78/85/42/417788542.db2.gz DSEMTUQXQARGGO-LLVKDONJSA-N 0 2 305.382 0.866 20 0 DCADLN COC[C@]1(C)CCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)C1 ZINC000651831635 417790005 /nfs/dbraw/zinc/79/00/05/417790005.db2.gz ATEBJGNSBJKSGB-CQSZACIVSA-N 0 2 309.370 0.104 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCC[C@@H](CCO)CC2)[nH]1 ZINC000651855596 417800540 /nfs/dbraw/zinc/80/05/40/417800540.db2.gz ICGMOXAPBCTVSP-GFCCVEGCSA-N 0 2 323.397 0.231 20 0 DCADLN COCc1nnc(CNS(=O)(=O)N(C)[C@@H](C)C2CC2)[nH]1 ZINC000657103576 417813141 /nfs/dbraw/zinc/81/31/41/417813141.db2.gz HKSJENULJZBWMR-QMMMGPOBSA-N 0 2 303.388 0.016 20 0 DCADLN CN1CC[N@@H+](C)C[C@H]1CNCc1ccnn1-c1cc[nH+]cc1 ZINC000657357976 417852549 /nfs/dbraw/zinc/85/25/49/417852549.db2.gz SDXIIMXDRRARKP-MRXNPFEDSA-N 0 2 300.410 0.603 20 0 DCADLN COc1cc(-c2noc(C[N@@H+]3CCCC[C@H]3C(=O)[O-])n2)ncn1 ZINC000652351246 417890739 /nfs/dbraw/zinc/89/07/39/417890739.db2.gz QGNKYISRCPUCLU-JTQLQIEISA-N 0 2 319.321 0.974 20 0 DCADLN COc1cc(-c2noc(C[N@H+]3CCCC[C@H]3C(=O)[O-])n2)ncn1 ZINC000652351246 417890746 /nfs/dbraw/zinc/89/07/46/417890746.db2.gz QGNKYISRCPUCLU-JTQLQIEISA-N 0 2 319.321 0.974 20 0 DCADLN Cc1nn(C)c2sc(C(=O)NCc3nnc(O)n3C)cc12 ZINC000640653785 417895083 /nfs/dbraw/zinc/89/50/83/417895083.db2.gz GUGDKOKXJZLFKT-UHFFFAOYSA-N 0 2 306.351 0.707 20 0 DCADLN O=C([O-])C[C@@H]1COCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000652068576 417841815 /nfs/dbraw/zinc/84/18/15/417841815.db2.gz HORIIZBXHBGSRF-GFCCVEGCSA-N 0 2 307.350 0.464 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)c2cc(=O)n(C)cn2)s[nH]1 ZINC000636315045 417933942 /nfs/dbraw/zinc/93/39/42/417933942.db2.gz RAOZLPXPPQMOKQ-UHFFFAOYSA-N 0 2 309.351 0.188 20 0 DCADLN Cn1cc(N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2=O)cn1 ZINC000647451263 418010521 /nfs/dbraw/zinc/01/05/21/418010521.db2.gz SBHFLKSJZCFGIA-UHFFFAOYSA-N 0 2 301.306 0.367 20 0 DCADLN CNC(=O)CCOC1CCN(c2[nH+]cccc2C(=O)[O-])CC1 ZINC000647451337 418011277 /nfs/dbraw/zinc/01/12/77/418011277.db2.gz VFBXIZDJYGOHJT-UHFFFAOYSA-N 0 2 307.350 0.901 20 0 DCADLN CCS(=O)(=O)N(C)CCCNc1ccc(C(=O)[O-])c[nH+]1 ZINC000647448566 418011289 /nfs/dbraw/zinc/01/12/89/418011289.db2.gz PVIGCYDLYOXSKR-UHFFFAOYSA-N 0 2 301.368 0.285 20 0 DCADLN O=C([O-])c1ccc[nH+]c1N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000647455729 418011568 /nfs/dbraw/zinc/01/15/68/418011568.db2.gz LTBSFVFLHYCMNR-NSHDSACASA-N 0 2 303.322 0.705 20 0 DCADLN O=C([O-])c1ccc(N2CCC[C@H](n3cc(CO)nn3)C2)[nH+]c1 ZINC000647456044 418012449 /nfs/dbraw/zinc/01/24/49/418012449.db2.gz ZPCAHGMWUQSPKO-LBPRGKRZSA-N 0 2 303.322 0.705 20 0 DCADLN CC(C)c1nc(N(C)C)nc(NCC2(C(=O)[O-])CCOCC2)[nH+]1 ZINC000647458099 418012469 /nfs/dbraw/zinc/01/24/69/418012469.db2.gz RSXFFWUCJDEPAG-UHFFFAOYSA-N 0 2 323.397 0.776 20 0 DCADLN CC(C)c1nc(NCC2(C(=O)[O-])CCOCC2)nc(N(C)C)[nH+]1 ZINC000647458099 418012471 /nfs/dbraw/zinc/01/24/71/418012471.db2.gz RSXFFWUCJDEPAG-UHFFFAOYSA-N 0 2 323.397 0.776 20 0 DCADLN CC(C)(C)[C@H](C(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000663101131 417989565 /nfs/dbraw/zinc/98/95/65/417989565.db2.gz IBODPSYKIIVACG-NSHDSACASA-N 0 2 300.399 0.960 20 0 DCADLN CC(C)(C)[C@H](C(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000663101131 417989568 /nfs/dbraw/zinc/98/95/68/417989568.db2.gz IBODPSYKIIVACG-NSHDSACASA-N 0 2 300.399 0.960 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)[O-])N1C(=O)[C@@H]1OCC[C@@H]1Cn1cc[nH+]c1 ZINC000663116808 417992229 /nfs/dbraw/zinc/99/22/29/417992229.db2.gz JPIMXKWNFSMYGF-FDYHWXHSSA-N 0 2 307.350 0.752 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CCO1 ZINC000647219880 418000047 /nfs/dbraw/zinc/00/00/47/418000047.db2.gz NACFRKMYLGLTQH-GFCCVEGCSA-N 0 2 302.290 0.193 20 0 DCADLN CN1CCN(c2cc(NC[C@H]3CCOC3(C)C)[nH+]cn2)CC1=O ZINC000664602096 418138054 /nfs/dbraw/zinc/13/80/54/418138054.db2.gz AUCFQAPBKYGBIJ-GFCCVEGCSA-N 0 2 319.409 0.982 20 0 DCADLN CCN(C(=O)C[NH+]1CCN(c2ccccn2)CC1)[C@@H](C)C(=O)[O-] ZINC000659771808 418180622 /nfs/dbraw/zinc/18/06/22/418180622.db2.gz OBZFEJAFDATNJP-ZDUSSCGKSA-N 0 2 320.393 0.525 20 0 DCADLN CC(C)O[C@@]1(CS(=O)(=O)NCC(F)(F)CO)CCOC1 ZINC000659951877 418205965 /nfs/dbraw/zinc/20/59/65/418205965.db2.gz NVTYKOIQISXYMK-JTQLQIEISA-N 0 2 317.354 0.118 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1CCCO)[C@@H]1CCc2c[nH+]cn2C1 ZINC000649225740 418212732 /nfs/dbraw/zinc/21/27/32/418212732.db2.gz FQPUOJUVROQXSO-KGLIPLIRSA-N 0 2 306.410 0.409 20 0 DCADLN Cc1nc(=NC(=O)N[C@@H]2CCO[C@H](c3ccncc3)C2)[nH]n1C ZINC000650214669 418298510 /nfs/dbraw/zinc/29/85/10/418298510.db2.gz CGTWVNBKDYSPPK-OLZOCXBDSA-N 0 2 316.365 0.982 20 0 DCADLN Cc1cn2cc(NC(=O)C(=O)NC[C@@H](C)C(=O)[O-])ccc2[nH+]1 ZINC000655940813 418304528 /nfs/dbraw/zinc/30/45/28/418304528.db2.gz YJIIGSLLXDYQHC-MRVPVSSYSA-N 0 2 304.306 0.418 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000650515638 418313394 /nfs/dbraw/zinc/31/33/94/418313394.db2.gz CLJOCYVAEGYVRL-RYUDHWBXSA-N 0 2 313.398 0.299 20 0 DCADLN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000650515638 418313396 /nfs/dbraw/zinc/31/33/96/418313396.db2.gz CLJOCYVAEGYVRL-RYUDHWBXSA-N 0 2 313.398 0.299 20 0 DCADLN O=C([O-])c1cc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc[nH+]1 ZINC000650764643 418323373 /nfs/dbraw/zinc/32/33/73/418323373.db2.gz HJQXUXHQHVCYFK-ZDUSSCGKSA-N 0 2 305.334 0.607 20 0 DCADLN COc1cc(N2CCN(c3cc[nH+]c(C(=O)[O-])c3)CC2)ncn1 ZINC000650768147 418323510 /nfs/dbraw/zinc/32/35/10/418323510.db2.gz PBMDFUAVOGLNKX-UHFFFAOYSA-N 0 2 315.333 0.905 20 0 DCADLN CO[C@@H]1C[C@@H](c2nncn2C)N(c2cc[nH+]c(C(=O)[O-])c2)C1 ZINC000650770000 418323541 /nfs/dbraw/zinc/32/35/41/418323541.db2.gz MAMAHXCDZXWGIL-PWSUYJOCSA-N 0 2 303.322 0.875 20 0 DCADLN C[C@@H](CNC(=O)c1cnc(C(=O)[O-])cn1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000655556083 418274624 /nfs/dbraw/zinc/27/46/24/418274624.db2.gz FOVLQDWEMKNDKC-GARJFASQSA-N 0 2 322.365 0.402 20 0 DCADLN C[C@@H](CNC(=O)c1cnc(C(=O)[O-])cn1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000655556083 418274625 /nfs/dbraw/zinc/27/46/25/418274625.db2.gz FOVLQDWEMKNDKC-GARJFASQSA-N 0 2 322.365 0.402 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cc(C)n(C)n1)[C@H]1CCOC1 ZINC000656626950 418366922 /nfs/dbraw/zinc/36/69/22/418366922.db2.gz XGNNFENRSFGWIY-QWRGUYRKSA-N 0 2 303.384 0.522 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ccsc2C(=O)[O-])CCN1S(C)(=O)=O ZINC000656764563 418375459 /nfs/dbraw/zinc/37/54/59/418375459.db2.gz ZEXRNCAXXCLGHW-SECBINFHSA-N 0 2 318.420 0.912 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2ccsc2C(=O)[O-])CCN1S(C)(=O)=O ZINC000656764563 418375462 /nfs/dbraw/zinc/37/54/62/418375462.db2.gz ZEXRNCAXXCLGHW-SECBINFHSA-N 0 2 318.420 0.912 20 0 DCADLN O=C(Cc1ccc2c(c1)OC(F)(F)O2)NCc1n[nH]c(=O)[nH]1 ZINC000651431667 418376607 /nfs/dbraw/zinc/37/66/07/418376607.db2.gz QFHIYQHOTAVVPM-UHFFFAOYSA-N 0 2 312.232 0.691 20 0 DCADLN COc1cccc(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)c1 ZINC000651493527 418384590 /nfs/dbraw/zinc/38/45/90/418384590.db2.gz BWIDEAFENKJZPJ-UHFFFAOYSA-N 0 2 317.349 0.544 20 0 DCADLN Cc1ccc(CNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)s1 ZINC000651501364 418385474 /nfs/dbraw/zinc/38/54/74/418385474.db2.gz QNMDEIGUUBEWJE-UHFFFAOYSA-N 0 2 307.379 0.906 20 0 DCADLN Cc1ccc(CCNC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)o1 ZINC000651503748 418385837 /nfs/dbraw/zinc/38/58/37/418385837.db2.gz NCQDUUWHVDKILO-UHFFFAOYSA-N 0 2 305.338 0.480 20 0 DCADLN C[C@@H]1C[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C[C@](C)(C(F)F)O1 ZINC000662238184 418393039 /nfs/dbraw/zinc/39/30/39/418393039.db2.gz ZWUXBRQWPBQWPP-VYUIOLGVSA-N 0 2 306.309 0.416 20 0 DCADLN C[C@@H]1C[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C[C@](C)(C(F)F)O1 ZINC000662238184 418393040 /nfs/dbraw/zinc/39/30/40/418393040.db2.gz ZWUXBRQWPBQWPP-VYUIOLGVSA-N 0 2 306.309 0.416 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCS[C@H](C)CC2)[nH]1 ZINC000651752189 418408741 /nfs/dbraw/zinc/40/87/41/418408741.db2.gz XLAYINVTMBEACU-SNVBAGLBSA-N 0 2 311.411 0.573 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H](CO)CC(C)(C)C)[nH]1 ZINC000651630872 418399377 /nfs/dbraw/zinc/39/93/77/418399377.db2.gz SXSBOVWLCVRFRI-JTQLQIEISA-N 0 2 311.386 0.133 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)N[C@@H](C)C(N)=O)=N2)cc1 ZINC000354348554 261207337 /nfs/dbraw/zinc/20/73/37/261207337.db2.gz NPEIVMNJBWOHEL-QMMMGPOBSA-N 0 2 304.306 0.190 20 0 DCADLN CNC(=O)CCNC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354414529 261216457 /nfs/dbraw/zinc/21/64/57/261216457.db2.gz UWKKXHYUTCRUIL-UHFFFAOYSA-N 0 2 306.297 0.583 20 0 DCADLN NC(=O)C[C@H]1COCCN1C(=O)c1cc(F)cc(Cl)c1O ZINC000412415511 262187543 /nfs/dbraw/zinc/18/75/43/262187543.db2.gz WLKCLQVEJKREKN-QMMMGPOBSA-N 0 2 316.716 0.901 20 0 DCADLN C[C@H](CN(C)C(=O)C(=O)NCCCNc1cccc[nH+]1)C(=O)[O-] ZINC000420529811 262375490 /nfs/dbraw/zinc/37/54/90/262375490.db2.gz DCNDFMLUCYKGEP-LLVKDONJSA-N 0 2 322.365 0.179 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2C(=O)NCC[C@@H]2C)c1 ZINC000424829424 262508404 /nfs/dbraw/zinc/50/84/04/262508404.db2.gz RWYISNYPVSCTBE-IEMGUGKBSA-N 0 2 324.402 0.444 20 0 DCADLN COC(=O)[C@H](C)CN(C)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000355856551 271027250 /nfs/dbraw/zinc/02/72/50/271027250.db2.gz KZHGQUZOVLLQGI-MRVPVSSYSA-N 0 2 306.322 0.555 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC[C@](C)(O)C(F)(F)F)c2=O ZINC000358323278 271049266 /nfs/dbraw/zinc/04/92/66/271049266.db2.gz ALCLOSBGVAOTTO-NSHDSACASA-N 0 2 318.255 0.717 20 0 DCADLN C[C@H](NS(=O)(=O)NCC(F)(F)F)c1nnc2n1CCC2 ZINC000442943663 271691636 /nfs/dbraw/zinc/69/16/36/271691636.db2.gz NTUAAIAHZAKBOY-LURJTMIESA-N 0 2 313.305 0.272 20 0 DCADLN CCCN(C)S(=O)(=O)[N-]C(=O)/C=C/c1[nH+]ccn1CC ZINC000492479537 272132259 /nfs/dbraw/zinc/13/22/59/272132259.db2.gz YUHKPDFCFZWJIS-VOTSOKGWSA-N 0 2 300.384 0.619 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)c1ccnn1C ZINC000492629975 272138575 /nfs/dbraw/zinc/13/85/75/272138575.db2.gz HCFRINGBPHOWFF-SNAWJCMRSA-N 0 2 309.351 0.155 20 0 DCADLN O=C(CNC(=O)OCc1ccccc1)NOC[C@H]1CCOC1 ZINC000492741775 272146302 /nfs/dbraw/zinc/14/63/02/272146302.db2.gz AKNWQWAXXSYKQU-ZDUSSCGKSA-N 0 2 308.334 0.997 20 0 DCADLN Cc1c(S(=O)(=O)NC(=O)/C=C/c2ccncn2)cnn1C ZINC000493379920 272185407 /nfs/dbraw/zinc/18/54/07/272185407.db2.gz FUHSNFQQGRBJCO-ONEGZZNKSA-N 0 2 307.335 0.037 20 0 DCADLN C[C@@H](CONC(=O)CNC(=O)C1CC1)NC(=O)OC(C)(C)C ZINC000495235880 272242057 /nfs/dbraw/zinc/24/20/57/272242057.db2.gz DPGVVSHTLOLDOG-VIFPVBQESA-N 0 2 315.370 0.474 20 0 DCADLN COCc1nsc(NCC2CCN(C(=O)OC)CC2)n1 ZINC000495697954 272267255 /nfs/dbraw/zinc/26/72/55/272267255.db2.gz BDGPSTRJPQZPNH-UHFFFAOYSA-N 0 2 300.384 0.997 20 0 DCADLN CC[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C[C@@H]1O ZINC000535571090 287834933 /nfs/dbraw/zinc/83/49/33/287834933.db2.gz WWXXPBPSMRJODY-CABZTGNLSA-N 0 2 303.318 0.873 20 0 DCADLN CC(=O)NCC[N@H+](CC(=O)NCCC(C)(C)C)[C@@H](C)C(=O)[O-] ZINC000263351672 278109823 /nfs/dbraw/zinc/10/98/23/278109823.db2.gz PYHKFQZZANLGCG-NSHDSACASA-N 0 2 315.414 0.450 20 0 DCADLN CC(=O)NCC[N@@H+](CC(=O)NCCC(C)(C)C)[C@@H](C)C(=O)[O-] ZINC000263351672 278109826 /nfs/dbraw/zinc/10/98/26/278109826.db2.gz PYHKFQZZANLGCG-NSHDSACASA-N 0 2 315.414 0.450 20 0 DCADLN CC(C)N(CC(N)=O)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000264877527 279418436 /nfs/dbraw/zinc/41/84/36/279418436.db2.gz CBPFKJIMNYDCPF-UHFFFAOYSA-N 0 2 302.334 0.914 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCn2nccc21 ZINC000367497571 280292098 /nfs/dbraw/zinc/29/20/98/280292098.db2.gz MMFIXJHKAXKBJT-VIFPVBQESA-N 0 2 304.272 0.933 20 0 DCADLN CC1(C)[C@H](NS(=O)(=O)NCC(F)(F)F)[C@H]2CCO[C@H]21 ZINC000249362214 281522175 /nfs/dbraw/zinc/52/21/75/281522175.db2.gz AHNZRONLOZTQIW-BWZBUEFSSA-N 0 2 302.318 0.786 20 0 DCADLN CC[C@H]1[C@H](C)CCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000187400552 288377071 /nfs/dbraw/zinc/37/70/71/288377071.db2.gz RUHHNUAQPOFNKI-KCJUWKMLSA-N 0 2 302.334 0.872 20 0 DCADLN CC(=O)NCCN(C(=O)C[C@H](C)n1cc[nH+]c1)[C@H](C)C(=O)[O-] ZINC000563350333 288661058 /nfs/dbraw/zinc/66/10/58/288661058.db2.gz NDJVOFZYQCFGBN-WDEREUQCSA-N 0 2 310.354 0.272 20 0 DCADLN CC(C)C[C@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000564917766 288787630 /nfs/dbraw/zinc/78/76/30/288787630.db2.gz CYJDVRMFETVPNK-LBPRGKRZSA-N 0 2 322.427 0.127 20 0 DCADLN C[C@H](CCc1cccn1C)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274970684 298469532 /nfs/dbraw/zinc/46/95/32/298469532.db2.gz NLJGSQDTCLGVMW-SECBINFHSA-N 0 2 309.395 0.666 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](C)O[C@@H](C)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000271991809 301119492 /nfs/dbraw/zinc/11/94/92/301119492.db2.gz QVSDAQRWWOLAEE-DTORHVGOSA-N 0 2 318.333 0.994 20 0 DCADLN NC(=O)C[C@H]1CCN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000377181412 303261539 /nfs/dbraw/zinc/26/15/39/303261539.db2.gz BTFAGTMRLRLZMV-LLVKDONJSA-N 0 2 314.345 0.915 20 0 DCADLN O=C(NN1CN=NC1=O)c1cc(Br)cn2ccnc12 ZINC001650906509 1173736141 /nfs/dbraw/zinc/73/61/41/1173736141.db2.gz CUFIMNZAHKBCCS-UHFFFAOYSA-N 0 2 323.110 0.778 20 0 DCADLN C[N@H+](CC1=Nc2ccccc2S(=O)(=O)N1)C(C)(C)C(=O)[O-] ZINC000580201777 333002412 /nfs/dbraw/zinc/00/24/12/333002412.db2.gz DQFHDHRRSVSSOP-UHFFFAOYSA-N 0 2 311.363 0.804 20 0 DCADLN C[N@@H+](CC1=Nc2ccccc2S(=O)(=O)N1)C(C)(C)C(=O)[O-] ZINC000580201777 333002414 /nfs/dbraw/zinc/00/24/14/333002414.db2.gz DQFHDHRRSVSSOP-UHFFFAOYSA-N 0 2 311.363 0.804 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CC(=O)N(C3CC3)C2)c1 ZINC000582660339 337117187 /nfs/dbraw/zinc/11/71/87/337117187.db2.gz APWBWCJDJHKDDD-JOCHJYFZSA-N 0 2 322.386 0.594 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)C[C@H](C)O)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266889247 524086925 /nfs/dbraw/zinc/08/69/25/524086925.db2.gz LVISPAMTLPOMIC-CBAPKCEASA-N 0 2 320.349 0.881 20 0 DCADLN C[C@@H]1CCC[C@@H]1NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000267658099 524336708 /nfs/dbraw/zinc/33/67/08/524336708.db2.gz WISSWOIGOPIEFY-XCBNKYQSSA-N 0 2 324.362 0.903 20 0 DCADLN Cc1ccccc1S(=O)(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000266324098 525336380 /nfs/dbraw/zinc/33/63/80/525336380.db2.gz IFSRTSQVOHNKFI-UHFFFAOYSA-N 0 2 323.378 0.476 20 0 DCADLN O=C(CNC(=O)c1ccc(F)c(F)c1)NOC[C@H]1CCOC1 ZINC000187920021 526856518 /nfs/dbraw/zinc/85/65/18/526856518.db2.gz VBFOWDGPTLRQTQ-VIFPVBQESA-N 0 2 314.288 0.779 20 0 DCADLN CC(C)(CO)ONC(=O)CNC(=O)c1ccc(F)c(F)c1 ZINC000296803508 527208828 /nfs/dbraw/zinc/20/88/28/527208828.db2.gz FHBHMXLNJDBZTR-UHFFFAOYSA-N 0 2 302.277 0.513 20 0 DCADLN CC1CCC(N2C[C@H](C(=O)NCc3n[nH]c(=O)[nH]3)CC2=O)CC1 ZINC000331736320 528196598 /nfs/dbraw/zinc/19/65/98/528196598.db2.gz VCIWPNAXTXXADL-HSOILSAZSA-N 0 2 321.381 0.554 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)Cc1cnn(C)c1 ZINC000493783004 536104941 /nfs/dbraw/zinc/10/49/41/536104941.db2.gz FNMWBSALIHWPJU-SNAWJCMRSA-N 0 2 323.378 0.296 20 0 DCADLN C[C@@H](c1ccccc1)N(C)C(=O)C[N@@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000386746323 546217825 /nfs/dbraw/zinc/21/78/25/546217825.db2.gz AQVPSRSSFIBNQS-JSGCOSHPSA-N 0 2 306.362 0.991 20 0 DCADLN C[C@@H](c1ccccc1)N(C)C(=O)C[N@H+]1CCO[C@H](C(=O)[O-])C1 ZINC000386746323 546217829 /nfs/dbraw/zinc/21/78/29/546217829.db2.gz AQVPSRSSFIBNQS-JSGCOSHPSA-N 0 2 306.362 0.991 20 0 DCADLN NC(=O)[C@@H]1CCCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000666228283 546440826 /nfs/dbraw/zinc/44/08/26/546440826.db2.gz OFEMPNQSROKFDJ-NSHDSACASA-N 0 2 316.317 0.510 20 0 DCADLN C[C@H](NC(=O)NC[C@@H]1CCC[N@@H+]1CCCO)c1[nH+]ccn1C ZINC000667248614 546576280 /nfs/dbraw/zinc/57/62/80/546576280.db2.gz BEJVMFNJEROKGQ-STQMWFEESA-N 0 2 309.414 0.627 20 0 DCADLN C[C@@H](NC(=O)NC[C@H]1CCC[N@@H+]1CCCO)c1[nH+]ccn1C ZINC000667248611 546576693 /nfs/dbraw/zinc/57/66/93/546576693.db2.gz BEJVMFNJEROKGQ-CHWSQXEVSA-N 0 2 309.414 0.627 20 0 DCADLN C[C@H](NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1)C(=O)N(C)C ZINC000668683916 546823349 /nfs/dbraw/zinc/82/33/49/546823349.db2.gz VWLIZEQIPCTFEM-QMMMGPOBSA-N 0 2 304.306 0.236 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CC[C@]2(CCOC2)C1 ZINC000674952728 547652679 /nfs/dbraw/zinc/65/26/79/547652679.db2.gz SGZQKMOZTPKWLZ-HNNXBMFYSA-N 0 2 316.317 0.689 20 0 DCADLN C[C@@H]1COCCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000676537395 547785901 /nfs/dbraw/zinc/78/59/01/547785901.db2.gz RNSQEENRYMNDKH-MRVPVSSYSA-N 0 2 304.306 0.687 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCN(C(=O)c3cccn3C)CC2)c1O ZINC000676694856 547804170 /nfs/dbraw/zinc/80/41/70/547804170.db2.gz PZWGQLNSFDDZNG-UHFFFAOYSA-N 0 2 317.349 0.360 20 0 DCADLN Cc1n[nH]c(C(=O)N2CC[NH+](CC3CCOCC3)CC2)c1[O-] ZINC000676698286 547804686 /nfs/dbraw/zinc/80/46/86/547804686.db2.gz TVGBOOQLRYESSY-UHFFFAOYSA-N 0 2 308.382 0.608 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)[C@@]1(O)CCSC1 ZINC000677963487 547929637 /nfs/dbraw/zinc/92/96/37/547929637.db2.gz CLAVFUFMLFIUTQ-CYBMUJFWSA-N 0 2 307.331 0.836 20 0 DCADLN Cc1nc(CN2CCN(C(=O)c3ccc(O)cc3F)CC2)n[nH]1 ZINC000679173665 548030745 /nfs/dbraw/zinc/03/07/45/548030745.db2.gz VAHNMYPRELZRRN-UHFFFAOYSA-N 0 2 319.340 0.916 20 0 DCADLN COCc1ccccc1S(=O)(=O)Nc1cc(C(N)=O)n[nH]1 ZINC000679291772 548039726 /nfs/dbraw/zinc/03/97/26/548039726.db2.gz DWLHPLHXVYNJFG-UHFFFAOYSA-N 0 2 310.335 0.456 20 0 DCADLN Cn1cc(CNC(=O)C2=NN(c3ccc(F)cc3)CC2=O)nn1 ZINC000680004399 548111574 /nfs/dbraw/zinc/11/15/74/548111574.db2.gz JAEXXQCXLUYZIC-UHFFFAOYSA-N 0 2 316.296 0.776 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCCn2cncn2)cn1 ZINC000681961698 548332074 /nfs/dbraw/zinc/33/20/74/548332074.db2.gz BPVPKVDWIRAEJB-UHFFFAOYSA-N 0 2 300.326 0.222 20 0 DCADLN CC(=O)N1CC[C@H](NC(=O)c2cccc3[nH]nnc32)[C@@H](C)C1 ZINC000682164029 548355618 /nfs/dbraw/zinc/35/56/18/548355618.db2.gz UJWCRGBQWIHOHB-CABZTGNLSA-N 0 2 301.350 0.945 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cnnn2C)nc2c1CCCC2 ZINC000683901811 548533839 /nfs/dbraw/zinc/53/38/39/548533839.db2.gz DYSLCGADMWXOOS-UHFFFAOYSA-N 0 2 308.367 0.593 20 0 DCADLN C[C@@H](CNc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C)C(C)(C)C ZINC000736026199 599058637 /nfs/dbraw/zinc/05/86/37/599058637.db2.gz MOCXANWTZCNWIC-QMMMGPOBSA-N 0 2 321.385 0.358 20 0 DCADLN CCc1nn(CCCCCO)c(=O)c(-c2nn[nH]n2)c1CC ZINC000821518552 599125362 /nfs/dbraw/zinc/12/53/62/599125362.db2.gz ZKGLTDSVDHSEQG-UHFFFAOYSA-N 0 2 306.370 0.711 20 0 DCADLN Cn1c(CO)nn(C[N@@H+]2CCC[C@H](CC(=O)[O-])C2)c1=S ZINC000819063782 597274242 /nfs/dbraw/zinc/27/42/42/597274242.db2.gz LXUWAHQIVDGMSV-SECBINFHSA-N 0 2 300.384 0.588 20 0 DCADLN Cn1c(CO)nn(C[N@H+]2CCC[C@H](CC(=O)[O-])C2)c1=S ZINC000819063782 597274244 /nfs/dbraw/zinc/27/42/44/597274244.db2.gz LXUWAHQIVDGMSV-SECBINFHSA-N 0 2 300.384 0.588 20 0 DCADLN NC(=O)c1ccc(C(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])s1 ZINC000821352921 598066973 /nfs/dbraw/zinc/06/69/73/598066973.db2.gz DBBIKKZOYDYTCY-QMMMGPOBSA-N 0 2 312.347 0.553 20 0 DCADLN NC(=O)c1ccc(C(=O)OC[C@@H]2CCC[N@H+]2CC(=O)[O-])s1 ZINC000821352921 598066976 /nfs/dbraw/zinc/06/69/76/598066976.db2.gz DBBIKKZOYDYTCY-QMMMGPOBSA-N 0 2 312.347 0.553 20 0 DCADLN COC(=O)C1(NC(=O)C[N@H+](CC(=O)[O-])C(C)C)CCCCC1 ZINC000820653207 598083243 /nfs/dbraw/zinc/08/32/43/598083243.db2.gz ATRPFSRETOIBRU-UHFFFAOYSA-N 0 2 314.382 0.774 20 0 DCADLN COC(=O)C1(NC(=O)C[N@@H+](CC(=O)[O-])C(C)C)CCCCC1 ZINC000820653207 598083245 /nfs/dbraw/zinc/08/32/45/598083245.db2.gz ATRPFSRETOIBRU-UHFFFAOYSA-N 0 2 314.382 0.774 20 0 DCADLN Cn1cc(C[N@@H+]2CCO[C@H](C(=O)[O-])C2)c(-c2cccnc2)n1 ZINC000821287833 598124132 /nfs/dbraw/zinc/12/41/32/598124132.db2.gz VKWVMJYUBLIZFM-ZDUSSCGKSA-N 0 2 302.334 0.768 20 0 DCADLN Cn1cc(C[N@H+]2CCO[C@H](C(=O)[O-])C2)c(-c2cccnc2)n1 ZINC000821287833 598124133 /nfs/dbraw/zinc/12/41/33/598124133.db2.gz VKWVMJYUBLIZFM-ZDUSSCGKSA-N 0 2 302.334 0.768 20 0 DCADLN Cn1cc(C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)c(-c2cccnc2)n1 ZINC000821287832 598124316 /nfs/dbraw/zinc/12/43/16/598124316.db2.gz VKWVMJYUBLIZFM-CYBMUJFWSA-N 0 2 302.334 0.768 20 0 DCADLN Cn1cc(C[N@H+]2CCO[C@@H](C(=O)[O-])C2)c(-c2cccnc2)n1 ZINC000821287832 598124317 /nfs/dbraw/zinc/12/43/17/598124317.db2.gz VKWVMJYUBLIZFM-CYBMUJFWSA-N 0 2 302.334 0.768 20 0 DCADLN Cn1nccc1NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC000737626368 598438737 /nfs/dbraw/zinc/43/87/37/598438737.db2.gz ASYFXTDZTMHAPR-UHFFFAOYSA-N 0 2 305.323 0.401 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)c2cccc(C(=O)[O-])n2)CCO1 ZINC000736735325 599701659 /nfs/dbraw/zinc/70/16/59/599701659.db2.gz HBMSFIQQDQEVRI-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)c2cccc(C(=O)[O-])n2)CCO1 ZINC000736735325 599701661 /nfs/dbraw/zinc/70/16/61/599701661.db2.gz HBMSFIQQDQEVRI-UHFFFAOYSA-N 0 2 307.350 0.620 20 0 DCADLN C[N@@H+](CC(=O)NCCC(=O)[O-])C[C@@H]1OCCc2ccccc21 ZINC000737395536 599719304 /nfs/dbraw/zinc/71/93/04/599719304.db2.gz YIZHKEZZLJUNLP-AWEZNQCLSA-N 0 2 306.362 0.823 20 0 DCADLN C[N@H+](CC(=O)NCCC(=O)[O-])C[C@@H]1OCCc2ccccc21 ZINC000737395536 599719305 /nfs/dbraw/zinc/71/93/05/599719305.db2.gz YIZHKEZZLJUNLP-AWEZNQCLSA-N 0 2 306.362 0.823 20 0 DCADLN COc1ccc([C@@H]([NH2+]CC(=O)N2CCO[C@@H](C)C2)C(=O)[O-])cc1 ZINC000737974291 599863165 /nfs/dbraw/zinc/86/31/65/599863165.db2.gz UKIUMHLNEOVGQX-XHDPSFHLSA-N 0 2 322.361 0.658 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)CN1CCc2c([nH+]cn2C)C1)C(=O)[O-] ZINC000736887938 599925379 /nfs/dbraw/zinc/92/53/79/599925379.db2.gz SNPZAPMLZBXNRE-QMTHXVAHSA-N 0 2 308.382 0.394 20 0 DCADLN COc1ccc(OCC[N@@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000315378443 599982840 /nfs/dbraw/zinc/98/28/40/599982840.db2.gz JZPIECCELNNBGX-CYBMUJFWSA-N 0 2 308.334 0.349 20 0 DCADLN COc1ccc(OCC[N@H+]2CCNC(=O)[C@H]2CC(=O)[O-])cc1 ZINC000315378443 599982842 /nfs/dbraw/zinc/98/28/42/599982842.db2.gz JZPIECCELNNBGX-CYBMUJFWSA-N 0 2 308.334 0.349 20 0 DCADLN C[C@H](C(=O)[O-])n1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000736227847 600120114 /nfs/dbraw/zinc/12/01/14/600120114.db2.gz ZKBNRJSYXWRUNY-YPMHNXCESA-N 0 2 317.349 0.892 20 0 DCADLN C[C@H](C(=O)[O-])n1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000736227847 600120115 /nfs/dbraw/zinc/12/01/15/600120115.db2.gz ZKBNRJSYXWRUNY-YPMHNXCESA-N 0 2 317.349 0.892 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@@H+]2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000737923622 600138099 /nfs/dbraw/zinc/13/80/99/600138099.db2.gz ZRJFBUOZQOMELD-GFCCVEGCSA-N 0 2 320.345 0.748 20 0 DCADLN COc1ccc(C(=O)NC(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000737923622 600138103 /nfs/dbraw/zinc/13/81/03/600138103.db2.gz ZRJFBUOZQOMELD-GFCCVEGCSA-N 0 2 320.345 0.748 20 0 DCADLN Cc1ccc([C@H]2COCC[N@H+]2CCC(=O)N(C)CC(=O)[O-])o1 ZINC000738581741 600263178 /nfs/dbraw/zinc/26/31/78/600263178.db2.gz DOHKNHVEBIQHEQ-GFCCVEGCSA-N 0 2 310.350 0.894 20 0 DCADLN Cc1ccc([C@H]2COCC[N@@H+]2CCC(=O)N(C)CC(=O)[O-])o1 ZINC000738581741 600263179 /nfs/dbraw/zinc/26/31/79/600263179.db2.gz DOHKNHVEBIQHEQ-GFCCVEGCSA-N 0 2 310.350 0.894 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[NH2+][C@H](C(=O)[O-])c1ccccc1 ZINC000737138116 600297642 /nfs/dbraw/zinc/29/76/42/600297642.db2.gz JKVQKUGDOLXWPM-AWEZNQCLSA-N 0 2 308.334 0.423 20 0 DCADLN C[NH+](C)[C@@H](CNS(=O)(=O)CCCC(=O)[O-])c1ccco1 ZINC000737359759 600400917 /nfs/dbraw/zinc/40/09/17/600400917.db2.gz VZNVEESXKAFUMN-JTQLQIEISA-N 0 2 304.368 0.667 20 0 DCADLN C[NH+](C)[C@H](CNS(=O)(=O)CCCC(=O)[O-])c1ccco1 ZINC000737359764 600401158 /nfs/dbraw/zinc/40/11/58/600401158.db2.gz VZNVEESXKAFUMN-SNVBAGLBSA-N 0 2 304.368 0.667 20 0 DCADLN CC[C@H]1C(=O)NCC[N@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000736942318 600436434 /nfs/dbraw/zinc/43/64/34/600436434.db2.gz VOKKYELMPMMKMG-JSGCOSHPSA-N 0 2 322.361 0.335 20 0 DCADLN CC[C@H]1C(=O)NCC[N@@H+]1C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000736942318 600436437 /nfs/dbraw/zinc/43/64/37/600436437.db2.gz VOKKYELMPMMKMG-JSGCOSHPSA-N 0 2 322.361 0.335 20 0 DCADLN C[C@@H](NC(=O)N1CCC(C(=O)[O-])CC1)[C@@H](C)[NH+]1CCOCC1 ZINC000736643443 600564205 /nfs/dbraw/zinc/56/42/05/600564205.db2.gz VLWWCJDHYUJJPQ-VXGBXAGGSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H](NC(=O)N1CCC(C(=O)[O-])CC1)[C@H](C)[NH+]1CCOCC1 ZINC000736643440 600564291 /nfs/dbraw/zinc/56/42/91/600564291.db2.gz VLWWCJDHYUJJPQ-NEPJUHHUSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H](CSCC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000736616045 600599523 /nfs/dbraw/zinc/59/95/23/600599523.db2.gz IRHYZCUDDYUIEZ-NSHDSACASA-N 0 2 318.439 0.667 20 0 DCADLN Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC000738912093 600618775 /nfs/dbraw/zinc/61/87/75/600618775.db2.gz SUXRBRPOSKQUBZ-QWHCGFSZSA-N 0 2 320.393 0.402 20 0 DCADLN CN(CCC(=O)[O-])S(=O)(=O)NC[C@@H](c1ccco1)[NH+](C)C ZINC000830584852 600991325 /nfs/dbraw/zinc/99/13/25/600991325.db2.gz DQVOZCDPTPFLSL-JTQLQIEISA-N 0 2 319.383 0.123 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@@H+]3CC[C@H](CO)C3)nc(=O)c12 ZINC000832853312 601014026 /nfs/dbraw/zinc/01/40/26/601014026.db2.gz VEMLGQNZTNWMJV-QMMMGPOBSA-N 0 2 323.374 0.805 20 0 DCADLN Cc1c(C(=O)[O-])sc2[nH]c(C[N@H+]3CC[C@H](CO)C3)nc(=O)c12 ZINC000832853312 601014029 /nfs/dbraw/zinc/01/40/29/601014029.db2.gz VEMLGQNZTNWMJV-QMMMGPOBSA-N 0 2 323.374 0.805 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CCC[C@H]2Cn2cc[nH+]c2)c[nH]1 ZINC000740086958 601108365 /nfs/dbraw/zinc/10/83/65/601108365.db2.gz DYMFDJIRNBZKNS-JTQLQIEISA-N 0 2 324.362 0.763 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(S(=O)(=O)c2cccs2)CC1 ZINC000827386280 601258954 /nfs/dbraw/zinc/25/89/54/601258954.db2.gz RZHJZOABKKRUMO-SNVBAGLBSA-N 0 2 318.420 0.918 20 0 DCADLN C[C@@H](NC(=O)c1ccnc(C(=O)[O-])c1)[C@@H](C)[NH+]1CCOCC1 ZINC000827710241 601284483 /nfs/dbraw/zinc/28/44/83/601284483.db2.gz PBGRFOFXWURQDK-GHMZBOCLSA-N 0 2 307.350 0.619 20 0 DCADLN CO[C@@H](C)c1noc(C[N@H+](C)CCC(=O)N(C)CC(=O)[O-])n1 ZINC000831505743 601380644 /nfs/dbraw/zinc/38/06/44/601380644.db2.gz UBQAVDATZJNPHE-VIFPVBQESA-N 0 2 314.342 0.142 20 0 DCADLN CO[C@@H](C)c1noc(C[N@@H+](C)CCC(=O)N(C)CC(=O)[O-])n1 ZINC000831505743 601380646 /nfs/dbraw/zinc/38/06/46/601380646.db2.gz UBQAVDATZJNPHE-VIFPVBQESA-N 0 2 314.342 0.142 20 0 DCADLN C/C(=C/CN1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1)C(=O)[O-] ZINC000825741754 601547615 /nfs/dbraw/zinc/54/76/15/601547615.db2.gz REBDJJMTZCTCME-MECSIWFOSA-N 0 2 309.410 0.646 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)C1CC1 ZINC000833247168 601580312 /nfs/dbraw/zinc/58/03/12/601580312.db2.gz DJGLCVXMYGQNGK-UHFFFAOYSA-N 0 2 306.318 0.581 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)C1CC1 ZINC000833247168 601580313 /nfs/dbraw/zinc/58/03/13/601580313.db2.gz DJGLCVXMYGQNGK-UHFFFAOYSA-N 0 2 306.318 0.581 20 0 DCADLN Cn1ccnc1C[NH+]1CCC(C(=O)N(CC(=O)[O-])C2CC2)CC1 ZINC000833059101 601634565 /nfs/dbraw/zinc/63/45/65/601634565.db2.gz VSZLHCQAKXKFTR-UHFFFAOYSA-N 0 2 320.393 0.708 20 0 DCADLN Cc1cc(CN2CC[NH+](CC[S@@](C)=O)CC2)oc1C(=O)[O-] ZINC000832883409 601830350 /nfs/dbraw/zinc/83/03/50/601830350.db2.gz SRALWVSXKCDGQK-OAQYLSRUSA-N 0 2 314.407 0.782 20 0 DCADLN C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)CCNC(=O)[O-])CC1 ZINC000736726394 603230775 /nfs/dbraw/zinc/23/07/75/603230775.db2.gz UILBPQKOJOEMOB-LBPRGKRZSA-N 0 2 306.366 0.945 20 0 DCADLN CC(C)(CNC(=O)[C@@H]1CC[C@H](NC(=O)[O-])C1)[NH+]1CCOCC1 ZINC000823900826 603424456 /nfs/dbraw/zinc/42/44/56/603424456.db2.gz XKDQXEKSWFPUDW-NEPJUHHUSA-N 0 2 313.398 0.650 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCc2cscn2)[C@H](CNC(=O)[O-])C1 ZINC000828500491 603516371 /nfs/dbraw/zinc/51/63/71/603516371.db2.gz LIIPDHKMBDCBAB-LLVKDONJSA-N 0 2 312.395 0.486 20 0 DCADLN C[N@H+]1CCN(C(=O)CCc2cscn2)[C@H](CNC(=O)[O-])C1 ZINC000828500491 603516373 /nfs/dbraw/zinc/51/63/73/603516373.db2.gz LIIPDHKMBDCBAB-LLVKDONJSA-N 0 2 312.395 0.486 20 0 DCADLN Cc1ccc(CC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000830288982 603517004 /nfs/dbraw/zinc/51/70/04/603517004.db2.gz PXZQHNXQAHJBFB-CYBMUJFWSA-N 0 2 306.366 0.343 20 0 DCADLN Cc1ccc(CC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])cn1 ZINC000830288982 603517006 /nfs/dbraw/zinc/51/70/06/603517006.db2.gz PXZQHNXQAHJBFB-CYBMUJFWSA-N 0 2 306.366 0.343 20 0 DCADLN CN(C[C@@H]1CCC[N@@H+]1Cc1cc(=O)n2[nH]ccc2n1)C(=O)[O-] ZINC000828230015 603581935 /nfs/dbraw/zinc/58/19/35/603581935.db2.gz LCLXXWQONQWHCM-NSHDSACASA-N 0 2 305.338 0.597 20 0 DCADLN CN(C[C@@H]1CCC[N@H+]1Cc1cc(=O)n2[nH]ccc2n1)C(=O)[O-] ZINC000828230015 603581936 /nfs/dbraw/zinc/58/19/36/603581936.db2.gz LCLXXWQONQWHCM-NSHDSACASA-N 0 2 305.338 0.597 20 0 DCADLN CN(C)c1nc(N)nc(C[N@H+](C)CC(C)(C)CNC(=O)[O-])n1 ZINC000828416944 603628629 /nfs/dbraw/zinc/62/86/29/603628629.db2.gz SMLXHLPIJMMPCX-UHFFFAOYSA-N 0 2 311.390 0.245 20 0 DCADLN CN(C)c1nc(N)nc(C[N@@H+](C)CC(C)(C)CNC(=O)[O-])n1 ZINC000828416944 603628630 /nfs/dbraw/zinc/62/86/30/603628630.db2.gz SMLXHLPIJMMPCX-UHFFFAOYSA-N 0 2 311.390 0.245 20 0 DCADLN Cc1[nH]c2ccc(NC(=O)[C@H]3C[C@@H](O)CN3C(=O)[O-])cc2[nH+]1 ZINC000830786289 603642378 /nfs/dbraw/zinc/64/23/78/603642378.db2.gz ZWZWWJIXLCIYRQ-BXKDBHETSA-N 0 2 304.306 0.923 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000831779039 603666340 /nfs/dbraw/zinc/66/63/40/603666340.db2.gz XXIBCSRGCZUIQU-QWHCGFSZSA-N 0 2 316.317 0.924 20 0 DCADLN COC[C@@H]1CCN(C(=O)C(C)(C)[NH+]2CCN(C(=O)[O-])CC2)C1 ZINC000828930079 603824359 /nfs/dbraw/zinc/82/43/59/603824359.db2.gz OBKRWPRKDYSJKJ-GFCCVEGCSA-N 0 2 313.398 0.556 20 0 DCADLN CCS(=O)(=O)N(C)C1CC[NH+](C2CN(C(=O)[O-])C2)CC1 ZINC000827475014 603922040 /nfs/dbraw/zinc/92/20/40/603922040.db2.gz CXUGPMPSLMKMET-UHFFFAOYSA-N 0 2 305.400 0.095 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[N@@H+]1[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000832567649 604086491 /nfs/dbraw/zinc/08/64/91/604086491.db2.gz GIBWTZIFONWKJL-DTWKUNHWSA-N 0 2 309.288 0.882 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[N@H+]1[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000832567649 604086494 /nfs/dbraw/zinc/08/64/94/604086494.db2.gz GIBWTZIFONWKJL-DTWKUNHWSA-N 0 2 309.288 0.882 20 0 DCADLN C[N@H+](CC(=O)N1CCN(C(=O)[O-])CC1)Cc1cccc(O)c1 ZINC000828095832 604094127 /nfs/dbraw/zinc/09/41/27/604094127.db2.gz OVOXHGIRPZVGSS-UHFFFAOYSA-N 0 2 307.350 0.646 20 0 DCADLN C[N@@H+](CC(=O)N1CCN(C(=O)[O-])CC1)Cc1cccc(O)c1 ZINC000828095832 604094133 /nfs/dbraw/zinc/09/41/33/604094133.db2.gz OVOXHGIRPZVGSS-UHFFFAOYSA-N 0 2 307.350 0.646 20 0 DCADLN C[C@@H](CN(C)C(=O)[O-])C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000824830805 604195916 /nfs/dbraw/zinc/19/59/16/604195916.db2.gz FIGGEQHJNMHBIT-QWHCGFSZSA-N 0 2 313.398 0.556 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1CCC[N@H+](Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC000825262933 604258997 /nfs/dbraw/zinc/25/89/97/604258997.db2.gz DCZWAYXLKWLXOD-MNOVXSKESA-N 0 2 319.365 0.891 20 0 DCADLN C[C@@H](NC(=O)[O-])[C@H]1CCC[N@@H+](Cc2cc(=O)n3[nH]ccc3n2)C1 ZINC000825262933 604258998 /nfs/dbraw/zinc/25/89/98/604258998.db2.gz DCZWAYXLKWLXOD-MNOVXSKESA-N 0 2 319.365 0.891 20 0 DCADLN Cn1ncc2c1nc[nH+]c2N(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000833062395 604349242 /nfs/dbraw/zinc/34/92/42/604349242.db2.gz OPEFAIMJSLSHBT-SNVBAGLBSA-N 0 2 305.338 0.823 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(C(C)(C)C)on2)[C@@H](CNC(=O)[O-])C1 ZINC000828507330 604399821 /nfs/dbraw/zinc/39/98/21/604399821.db2.gz OSBRZYZAEZPYIZ-JTQLQIEISA-N 0 2 324.381 0.996 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(C(C)(C)C)on2)[C@@H](CNC(=O)[O-])C1 ZINC000828507330 604399824 /nfs/dbraw/zinc/39/98/24/604399824.db2.gz OSBRZYZAEZPYIZ-JTQLQIEISA-N 0 2 324.381 0.996 20 0 DCADLN CSCCCCC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000829737306 604402324 /nfs/dbraw/zinc/40/23/24/604402324.db2.gz HRVVITKHFPQIHO-LLVKDONJSA-N 0 2 303.428 0.930 20 0 DCADLN CSCCCCC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000829737306 604402326 /nfs/dbraw/zinc/40/23/26/604402326.db2.gz HRVVITKHFPQIHO-LLVKDONJSA-N 0 2 303.428 0.930 20 0 DCADLN CN(CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-])c1ccccc1 ZINC000828500797 604403035 /nfs/dbraw/zinc/40/30/35/604403035.db2.gz PHFMJPCFRXTDRL-CQSZACIVSA-N 0 2 320.393 0.533 20 0 DCADLN CN(CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-])c1ccccc1 ZINC000828500797 604403036 /nfs/dbraw/zinc/40/30/36/604403036.db2.gz PHFMJPCFRXTDRL-CQSZACIVSA-N 0 2 320.393 0.533 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2ccncc2Cl)[C@H](CNC(=O)[O-])C1 ZINC000828513757 604404810 /nfs/dbraw/zinc/40/48/10/604404810.db2.gz POQFDIXNWLWDNC-SECBINFHSA-N 0 2 312.757 0.759 20 0 DCADLN C[N@H+]1CCN(C(=O)c2ccncc2Cl)[C@H](CNC(=O)[O-])C1 ZINC000828513757 604404813 /nfs/dbraw/zinc/40/48/13/604404813.db2.gz POQFDIXNWLWDNC-SECBINFHSA-N 0 2 312.757 0.759 20 0 DCADLN Cn1nc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])cc1C1CC1 ZINC000828507327 604408992 /nfs/dbraw/zinc/40/89/92/604408992.db2.gz OODGBLJYYWQVGH-NSHDSACASA-N 0 2 321.381 0.321 20 0 DCADLN Cn1nc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])cc1C1CC1 ZINC000828507327 604408993 /nfs/dbraw/zinc/40/89/93/604408993.db2.gz OODGBLJYYWQVGH-NSHDSACASA-N 0 2 321.381 0.321 20 0 DCADLN C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833630499 604553502 /nfs/dbraw/zinc/55/35/02/604553502.db2.gz INVWWNAJMZMQPY-RKDXNWHRSA-N 0 2 302.352 0.691 20 0 DCADLN C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000833630499 604553506 /nfs/dbraw/zinc/55/35/06/604553506.db2.gz INVWWNAJMZMQPY-RKDXNWHRSA-N 0 2 302.352 0.691 20 0 DCADLN CNC(=O)c1ccc(C[N@@H+]2CCO[C@H]([C@@H](C)NC(=O)[O-])C2)cc1 ZINC000828562755 604632596 /nfs/dbraw/zinc/63/25/96/604632596.db2.gz XHDYVVGELXVMCT-RISCZKNCSA-N 0 2 321.377 0.903 20 0 DCADLN CNC(=O)c1ccc(C[N@H+]2CCO[C@H]([C@@H](C)NC(=O)[O-])C2)cc1 ZINC000828562755 604632598 /nfs/dbraw/zinc/63/25/98/604632598.db2.gz XHDYVVGELXVMCT-RISCZKNCSA-N 0 2 321.377 0.903 20 0 DCADLN O=C([O-])Cn1cc(CC[NH+]2CCN(CC(F)(F)F)CC2)nn1 ZINC000833270066 604807032 /nfs/dbraw/zinc/80/70/32/604807032.db2.gz JKPVCQWHOAWBAP-UHFFFAOYSA-N 0 2 321.303 0.085 20 0 DCADLN CCOc1ncccc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833664946 604897727 /nfs/dbraw/zinc/89/77/27/604897727.db2.gz HYBFGFIZBNMOMV-NSHDSACASA-N 0 2 304.306 0.629 20 0 DCADLN CCOc1ncccc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833664946 604897729 /nfs/dbraw/zinc/89/77/29/604897729.db2.gz HYBFGFIZBNMOMV-NSHDSACASA-N 0 2 304.306 0.629 20 0 DCADLN Cc1[nH+]c2n(c1C)CCN(C(=O)[C@H]1CN(C(=O)[O-])CCO1)C2 ZINC000830800389 604945113 /nfs/dbraw/zinc/94/51/13/604945113.db2.gz YQUWMKIXDNQZJN-LLVKDONJSA-N 0 2 308.338 0.221 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N[C@H]2CCc3[nH+]ccn3C2)c[nH]1 ZINC000833780441 604946676 /nfs/dbraw/zinc/94/66/76/604946676.db2.gz SKUPMRZWZXPISI-QMMMGPOBSA-N 0 2 310.335 0.203 20 0 DCADLN CN(C(=O)C[N@@H+]1CC[C@H](NC(N)=O)C1)c1ccccc1C(=O)[O-] ZINC000833684911 605019106 /nfs/dbraw/zinc/01/91/06/605019106.db2.gz YCYRTAJHIYWLOW-JTQLQIEISA-N 0 2 320.349 0.090 20 0 DCADLN CN(C(=O)C[N@H+]1CC[C@H](NC(N)=O)C1)c1ccccc1C(=O)[O-] ZINC000833684911 605019108 /nfs/dbraw/zinc/01/91/08/605019108.db2.gz YCYRTAJHIYWLOW-JTQLQIEISA-N 0 2 320.349 0.090 20 0 DCADLN CCc1cc(N(CCN2CCOCC2)CC(=O)[O-])nc(C)[nH+]1 ZINC000833670892 605143264 /nfs/dbraw/zinc/14/32/64/605143264.db2.gz FJQNEURTHNDYKU-UHFFFAOYSA-N 0 2 308.382 0.571 20 0 DCADLN Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CCC[C@@H](NC(=O)[O-])C2)C1 ZINC000833958708 605318332 /nfs/dbraw/zinc/31/83/32/605318332.db2.gz IHFFFOATXLFUBM-NWDGAFQWSA-N 0 2 321.381 0.426 20 0 DCADLN O=C([O-])N1CCC[N@H+](CC(=O)N2CCOC[C@H]2C2CC2)CC1 ZINC000834103768 605330339 /nfs/dbraw/zinc/33/03/39/605330339.db2.gz LWCGOPLGXONMBJ-ZDUSSCGKSA-N 0 2 311.382 0.310 20 0 DCADLN O=C([O-])N1CCC[N@@H+](CC(=O)N2CCOC[C@H]2C2CC2)CC1 ZINC000834103768 605330346 /nfs/dbraw/zinc/33/03/46/605330346.db2.gz LWCGOPLGXONMBJ-ZDUSSCGKSA-N 0 2 311.382 0.310 20 0 DCADLN CC1=C(C(=O)[O-])C[C@H](CN2CC[NH+](CC[S@@](C)=O)CC2)O1 ZINC000833580866 605364432 /nfs/dbraw/zinc/36/44/32/605364432.db2.gz SSGKSQRQAVKLLE-XUSGNXJCSA-N 0 2 316.423 0.130 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[N@@H+]1CCCC[C@H]1C(=O)[O-] ZINC000819915345 605369461 /nfs/dbraw/zinc/36/94/61/605369461.db2.gz ZWJRNUYQMYMRMF-JTQLQIEISA-N 0 2 300.355 0.383 20 0 DCADLN CC(C)(C)OC(=O)CNC(=O)C[N@H+]1CCCC[C@H]1C(=O)[O-] ZINC000819915345 605369468 /nfs/dbraw/zinc/36/94/68/605369468.db2.gz ZWJRNUYQMYMRMF-JTQLQIEISA-N 0 2 300.355 0.383 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)O[C@@H](C)C[NH+]1CCOCC1 ZINC000833485068 605488629 /nfs/dbraw/zinc/48/86/29/605488629.db2.gz YAHZJPALKANJRU-NWDGAFQWSA-N 0 2 302.371 0.933 20 0 DCADLN O=C([O-])NCC1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC000834225459 605670055 /nfs/dbraw/zinc/67/00/55/605670055.db2.gz LDVZWGMWXRCEPG-GFCCVEGCSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])N1CC(CNc2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000834069194 605731241 /nfs/dbraw/zinc/73/12/41/605731241.db2.gz RQDSWCZMVSAXIK-LLVKDONJSA-N 0 2 321.381 0.707 20 0 DCADLN O=C([O-])N1CC(CNc2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000834069194 605731244 /nfs/dbraw/zinc/73/12/44/605731244.db2.gz RQDSWCZMVSAXIK-LLVKDONJSA-N 0 2 321.381 0.707 20 0 DCADLN O=C([O-])N1CCC[C@@H](CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000834026020 605757127 /nfs/dbraw/zinc/75/71/27/605757127.db2.gz HFGMXLIZJAXPFP-NWDGAFQWSA-N 0 2 306.366 0.952 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000834212335 605760956 /nfs/dbraw/zinc/76/09/56/605760956.db2.gz TVJGRJRXDDUZFP-SRVKXCTJSA-N 0 2 306.366 0.998 20 0 DCADLN Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@H](CNC(=O)[O-])C1CC1)C2 ZINC000833917541 605856446 /nfs/dbraw/zinc/85/64/46/605856446.db2.gz ZWTPKTBVLOHTHF-GXFFZTMASA-N 0 2 306.366 0.985 20 0 DCADLN Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@H](CNC(=O)[O-])C1CC1)CC2 ZINC000833917541 605856449 /nfs/dbraw/zinc/85/64/49/605856449.db2.gz ZWTPKTBVLOHTHF-GXFFZTMASA-N 0 2 306.366 0.985 20 0 DCADLN O=C([O-])N[C@H](CNc1cc(N2CCC[C@@H]2CO)nc[nH+]1)C1CC1 ZINC000834150812 605923933 /nfs/dbraw/zinc/92/39/33/605923933.db2.gz PDGLMFBGVLHNNC-VXGBXAGGSA-N 0 2 321.381 0.896 20 0 DCADLN O=C([O-])N[C@H](CNc1cc(N2CCC[C@@H]2CO)[nH+]cn1)C1CC1 ZINC000834150812 605923934 /nfs/dbraw/zinc/92/39/34/605923934.db2.gz PDGLMFBGVLHNNC-VXGBXAGGSA-N 0 2 321.381 0.896 20 0 DCADLN O=C([O-])N1CC(NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000834020734 605986766 /nfs/dbraw/zinc/98/67/66/605986766.db2.gz XKRIAEHMEGOZSF-SNVBAGLBSA-N 0 2 305.338 0.322 20 0 DCADLN O=C([O-])N1CCC[C@@H]1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000834016605 605988006 /nfs/dbraw/zinc/98/80/06/605988006.db2.gz FEBOTOCQCKRACH-VXGBXAGGSA-N 0 2 304.350 0.919 20 0 DCADLN O=C([O-])Nc1ccccc1CC(=O)NC[C@H]1C[NH+]2CCN1CC2 ZINC000834274526 606073689 /nfs/dbraw/zinc/07/36/89/606073689.db2.gz UWYJQGGOBROFHX-ZDUSSCGKSA-N 0 2 318.377 0.435 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccc(-c2nn[nH]n2)cc1 ZINC000823266041 606659983 /nfs/dbraw/zinc/65/99/83/606659983.db2.gz KTADBMJDUGJKEE-UHFFFAOYSA-N 0 2 301.270 0.012 20 0 DCADLN CCc1nn(CCCCOC)c(=O)c(-c2nn[nH]n2)c1CC ZINC000821518175 606703635 /nfs/dbraw/zinc/70/36/35/606703635.db2.gz QFHABEPCSCANDC-UHFFFAOYSA-N 0 2 306.370 0.975 20 0 DCADLN CCc1nn(C[C@H]2CCCOC2)c(=O)c(-c2nn[nH]n2)c1CC ZINC000821517127 606770357 /nfs/dbraw/zinc/77/03/57/606770357.db2.gz GLOXJQWBMBBUSW-SNVBAGLBSA-N 0 2 318.381 0.975 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1c(F)cc(-c2nn[nH]n2)cc1F ZINC000826467815 608452239 /nfs/dbraw/zinc/45/22/39/608452239.db2.gz MZAJNIQBDIEXAG-UHFFFAOYSA-N 0 2 323.219 0.326 20 0 DCADLN CN(C(=O)c1cc[nH]n1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646820 665406264 /nfs/dbraw/zinc/40/62/64/665406264.db2.gz LZVXFZZGYKIZNP-IONNQARKSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)c1ccn[nH]1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646820 665406265 /nfs/dbraw/zinc/40/62/65/665406265.db2.gz LZVXFZZGYKIZNP-IONNQARKSA-N 0 2 322.262 0.983 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cc(Cl)c[nH]1 ZINC001027752606 660693813 /nfs/dbraw/zinc/69/38/13/660693813.db2.gz CPVLKMRHBGQXIQ-SECBINFHSA-N 0 2 324.772 0.886 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cc(Cl)c[nH]1 ZINC001027752606 660693815 /nfs/dbraw/zinc/69/38/15/660693815.db2.gz CPVLKMRHBGQXIQ-SECBINFHSA-N 0 2 324.772 0.886 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CC[C@H]3C[N@H+](CCCO)C[C@@H]3C2)c1[O-] ZINC000979667117 660798034 /nfs/dbraw/zinc/79/80/34/660798034.db2.gz IIZBFUUOWBRHLV-RWMBFGLXSA-N 0 2 322.409 0.636 20 0 DCADLN Cc1[nH]nc(C(=O)N[C@@H]2CC[C@H]3C[N@@H+](CCCO)C[C@@H]3C2)c1[O-] ZINC000979667117 660798037 /nfs/dbraw/zinc/79/80/37/660798037.db2.gz IIZBFUUOWBRHLV-RWMBFGLXSA-N 0 2 322.409 0.636 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CCC[N@H+]2Cc2ccn(C)n2)c1[O-] ZINC001027833893 660810716 /nfs/dbraw/zinc/81/07/16/660810716.db2.gz UOKPNMOAUPLOGR-GFCCVEGCSA-N 0 2 318.381 0.552 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ccn(C)n2)c1[O-] ZINC001027833893 660810719 /nfs/dbraw/zinc/81/07/19/660810719.db2.gz UOKPNMOAUPLOGR-GFCCVEGCSA-N 0 2 318.381 0.552 20 0 DCADLN CCOC1CC(C(=O)NC[C@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001027866179 660856359 /nfs/dbraw/zinc/85/63/59/660856359.db2.gz VNSQUERRVMTBHZ-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN CCOC1CC(C(=O)NC[C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)C1 ZINC001027866179 660856360 /nfs/dbraw/zinc/85/63/60/660856360.db2.gz VNSQUERRVMTBHZ-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cnccc1C(=O)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981121607 661085210 /nfs/dbraw/zinc/08/52/10/661085210.db2.gz YLNHWBZFWUGMFV-UHFFFAOYSA-N 0 2 316.365 0.562 20 0 DCADLN O=C(N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1)C1(F)CCCC1 ZINC000981510327 661163042 /nfs/dbraw/zinc/16/30/42/661163042.db2.gz PLEDUFFEAPMIDM-UHFFFAOYSA-N 0 2 311.361 0.827 20 0 DCADLN CC(C)(O)CC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981739831 661207655 /nfs/dbraw/zinc/20/76/55/661207655.db2.gz URAUGRCLHWILHT-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN CC(C)(O)CC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981739831 661207657 /nfs/dbraw/zinc/20/76/57/661207657.db2.gz URAUGRCLHWILHT-UHFFFAOYSA-N 0 2 323.397 0.096 20 0 DCADLN CC1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)CC=CC1 ZINC000981879825 661240653 /nfs/dbraw/zinc/24/06/53/661240653.db2.gz NMCMRGDRJFIZEB-UHFFFAOYSA-N 0 2 305.382 0.901 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C(C)C)[NH+](C)C)CC1 ZINC000981898229 661243206 /nfs/dbraw/zinc/24/32/06/661243206.db2.gz IKDCATYJTZDABV-UONOGXRCSA-N 0 2 312.458 0.241 20 0 DCADLN CC(C)[C@H](C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCCNC1=O)[NH+](C)C ZINC001032611253 665420960 /nfs/dbraw/zinc/42/09/60/665420960.db2.gz FTQCNVDYJGBNPZ-BYNSBNAKSA-N 0 2 322.453 0.136 20 0 DCADLN CCCNC(=O)NNC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000068931128 665453194 /nfs/dbraw/zinc/45/31/94/665453194.db2.gz IGJUFOVLVBXELB-UHFFFAOYSA-N 0 2 306.282 0.825 20 0 DCADLN Cc1nnc(C[NH2+]C2CC(N(C)C(=O)c3n[nH]c(C)c3[O-])C2)o1 ZINC000998637828 665494683 /nfs/dbraw/zinc/49/46/83/665494683.db2.gz NXOPFAQLWFLIGZ-UHFFFAOYSA-N 0 2 320.353 0.508 20 0 DCADLN Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c(C)[nH]1 ZINC000970511207 657588672 /nfs/dbraw/zinc/58/86/72/657588672.db2.gz YRTMPLIIJLPNHY-VIFPVBQESA-N 0 2 318.381 0.706 20 0 DCADLN Cc1ccncc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038138717 657644708 /nfs/dbraw/zinc/64/47/08/657644708.db2.gz VVBWMWWDEUJEEL-JTQLQIEISA-N 0 2 302.338 0.218 20 0 DCADLN C[C@@H](NC(=O)C1CC(C)(C)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969615566 658024189 /nfs/dbraw/zinc/02/41/89/658024189.db2.gz YXAXBXIQADEGIW-SECBINFHSA-N 0 2 307.398 0.883 20 0 DCADLN CCN(C(=O)c1n[nH]c(C)c1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949799833 658212898 /nfs/dbraw/zinc/21/28/98/658212898.db2.gz BUEPSFPXARSYBG-UHFFFAOYSA-N 0 2 319.369 0.197 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CC[C@@H](O)[C@H](F)C1 ZINC000890690685 658286396 /nfs/dbraw/zinc/28/63/96/658286396.db2.gz KNPAYWDSLQKGCU-HLTSFMKQSA-N 0 2 314.279 0.621 20 0 DCADLN Cn1cnc(C(=O)NC[C@@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)c1 ZINC001024392603 658606116 /nfs/dbraw/zinc/60/61/16/658606116.db2.gz UBNPRKIENAEBRN-JTQLQIEISA-N 0 2 319.369 0.028 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC1(F)F ZINC001024432485 658617912 /nfs/dbraw/zinc/61/79/12/658617912.db2.gz YHVBUDACTFHNMP-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC1(F)F ZINC001024432485 658617915 /nfs/dbraw/zinc/61/79/15/658617915.db2.gz YHVBUDACTFHNMP-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC1(F)F ZINC001024432485 658617917 /nfs/dbraw/zinc/61/79/17/658617917.db2.gz YHVBUDACTFHNMP-RKDXNWHRSA-N 0 2 315.324 0.636 20 0 DCADLN C[C@@H](NC(=O)c1cc(F)c[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970175166 658693691 /nfs/dbraw/zinc/69/36/91/658693691.db2.gz KYLZBBOMETXKAZ-SSDOTTSWSA-N 0 2 308.317 0.228 20 0 DCADLN Cc1nnc(C[NH2+][C@H]2C[C@@H](NC(=O)c3ncn[nH]3)C2)n1C1CC1 ZINC001022869217 658709952 /nfs/dbraw/zinc/70/99/52/658709952.db2.gz RMCSIQJSOPUBAE-AOOOYVTPSA-N 0 2 316.369 0.090 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001024654613 658764308 /nfs/dbraw/zinc/76/43/08/658764308.db2.gz PIWDACNGKHSVSF-WZRBSPASSA-N 0 2 323.397 0.406 20 0 DCADLN CN(Cc1ncnn1C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000971575740 658823871 /nfs/dbraw/zinc/82/38/71/658823871.db2.gz IORCOPBUARMEHM-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN CN(Cc1ncnn1C)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000971575740 658823883 /nfs/dbraw/zinc/82/38/83/658823883.db2.gz IORCOPBUARMEHM-WCBMZHEXSA-N 0 2 323.294 0.748 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1O)c1ccco1 ZINC001022933601 658838922 /nfs/dbraw/zinc/83/89/22/658838922.db2.gz OYLQYLMMBWXQBH-BHNWBGBOSA-N 0 2 324.230 0.482 20 0 DCADLN O=C(N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1O)c1ccco1 ZINC001022933601 658838927 /nfs/dbraw/zinc/83/89/27/658838927.db2.gz OYLQYLMMBWXQBH-BHNWBGBOSA-N 0 2 324.230 0.482 20 0 DCADLN Cc1nocc1C(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970360449 658896112 /nfs/dbraw/zinc/89/61/12/658896112.db2.gz FPJCOSLZRVEKGA-SSDOTTSWSA-N 0 2 306.326 0.057 20 0 DCADLN Cn1ccnc1C[N@H+](C)[C@@H]1CCCN(C(=O)[C@H]2CCC[NH+]2C)C1 ZINC001027333154 659269128 /nfs/dbraw/zinc/26/91/28/659269128.db2.gz VMJLKFJFLQADCG-HUUCEWRRSA-N 0 2 319.453 0.937 20 0 DCADLN C[C@H]1CCC[N@H+]1CC(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000973550012 659361183 /nfs/dbraw/zinc/36/11/83/659361183.db2.gz PSWFNRKDMIGHCT-AVGNSLFASA-N 0 2 319.409 0.200 20 0 DCADLN CC[C@@H](F)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1[nH]c[nH+]c1C ZINC000976927918 659498366 /nfs/dbraw/zinc/49/83/66/659498366.db2.gz RUIYZJIKUARBEY-DEKYYXRVSA-N 0 2 308.357 0.582 20 0 DCADLN CC[C@@H](C(=O)N1CC[C@@H](NC(=O)c2n[nH]c(C)c2[O-])C1)[NH+](C)C ZINC000940047728 665691686 /nfs/dbraw/zinc/69/16/86/665691686.db2.gz PBYNTKYEXPSANO-MNOVXSKESA-N 0 2 323.397 0.095 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccco1 ZINC000977371504 659663677 /nfs/dbraw/zinc/66/36/77/659663677.db2.gz OEVYWQWKRGQDGF-NSHDSACASA-N 0 2 319.365 0.673 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccco1 ZINC000977371504 659663681 /nfs/dbraw/zinc/66/36/81/659663681.db2.gz OEVYWQWKRGQDGF-NSHDSACASA-N 0 2 319.365 0.673 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cc(F)c[nH]1 ZINC000974835503 659687904 /nfs/dbraw/zinc/68/79/04/659687904.db2.gz MHXUZKFFHPRIAQ-SNVBAGLBSA-N 0 2 322.344 0.618 20 0 DCADLN COCC[N@H+]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C(C)(C)C1 ZINC000975043632 659772429 /nfs/dbraw/zinc/77/24/29/659772429.db2.gz FCLRTGNLCHWOIB-NFAWXSAZSA-N 0 2 320.437 0.997 20 0 DCADLN CCC(CC)(CNC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000752266597 668015011 /nfs/dbraw/zinc/01/50/11/668015011.db2.gz ZIFZXCLVFAGPRM-QMMMGPOBSA-N 0 2 315.395 0.638 20 0 DCADLN Cn1cc(-c2noc(C(C)(C)NC(=O)C(F)(F)F)n2)nn1 ZINC000843323397 661352376 /nfs/dbraw/zinc/35/23/76/661352376.db2.gz SHAQTRCURAPVDB-UHFFFAOYSA-N 0 2 304.232 0.779 20 0 DCADLN CN(C(=O)Cn1cc[nH+]c1)[C@@H]1CCN(C(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC000936905585 661409238 /nfs/dbraw/zinc/40/92/38/661409238.db2.gz MEKXLOKOYQPKSQ-ZIAGYGMSSA-N 0 2 319.409 0.037 20 0 DCADLN Cc1nocc1C[N@H+]1CC[C@@H](CNC(=O)[C@@H]2C[NH+](C)CCO2)C1 ZINC001028460851 661533433 /nfs/dbraw/zinc/53/34/33/661533433.db2.gz DFRABVWIGODNPV-ZFWWWQNUSA-N 0 2 322.409 0.252 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000982846656 661697322 /nfs/dbraw/zinc/69/73/22/661697322.db2.gz DCDPAYGPLKINCO-IONNQARKSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000982846656 661697324 /nfs/dbraw/zinc/69/73/24/661697324.db2.gz DCDPAYGPLKINCO-IONNQARKSA-N 0 2 322.262 0.888 20 0 DCADLN O=C(NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000982851422 661700232 /nfs/dbraw/zinc/70/02/32/661700232.db2.gz ZDGFAPAWLXBGSQ-POYBYMJQSA-N 0 2 323.250 0.283 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCC1(F)F ZINC001038399935 661715726 /nfs/dbraw/zinc/71/57/26/661715726.db2.gz VYVHDRQZJDMOQG-JGVFFNPUSA-N 0 2 301.297 0.246 20 0 DCADLN CC[NH+]1CCCC[C@@H]1C(=O)NC[C@@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001029003247 661930097 /nfs/dbraw/zinc/93/00/97/661930097.db2.gz JRKOSGATMFSWJL-DZGCQCFKSA-N 0 2 321.425 0.882 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029253372 662068784 /nfs/dbraw/zinc/06/87/84/662068784.db2.gz KRIQFATVCHMBQN-KHQOXSAWSA-N 0 2 303.366 0.652 20 0 DCADLN O=C([C@@H]1CC[C@@H](F)C1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029443215 662194314 /nfs/dbraw/zinc/19/43/14/662194314.db2.gz GYCVQFMTDHYYJD-KKOKHZNYSA-N 0 2 323.372 0.824 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)[C@@H]3CCc4c[nH+]cn4C3)C[C@H]1COCC2 ZINC000909561894 662365852 /nfs/dbraw/zinc/36/58/52/662365852.db2.gz DEDFYZQYKUVGIY-BFQNTYOBSA-N 0 2 319.361 0.395 20 0 DCADLN CCO[C@H]1C[C@](NC(=O)Cc2c[nH+]cn2C)(C(=O)[O-])C1(C)C ZINC000909603668 662475875 /nfs/dbraw/zinc/47/58/75/662475875.db2.gz PTYOQBAYZVVCOX-NHYWBVRUSA-N 0 2 309.366 0.737 20 0 DCADLN O=C([O-])C[C@H]1COCCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000909617770 662481653 /nfs/dbraw/zinc/48/16/53/662481653.db2.gz XLAPXTMUWWXMOZ-LBPRGKRZSA-N 0 2 316.317 0.583 20 0 DCADLN C[C@H]1[C@@H]([NH2+]Cc2nccs2)CCN1C(=O)CCc1nc[nH]n1 ZINC000986114475 662556632 /nfs/dbraw/zinc/55/66/32/662556632.db2.gz JRVPMIZCSQHLSD-QWRGUYRKSA-N 0 2 320.422 0.973 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([NH2+]Cc3nncs3)[C@@H]2C)c1[O-] ZINC000986157874 662562553 /nfs/dbraw/zinc/56/25/53/662562553.db2.gz JLYHTSYNEVDZIC-DTWKUNHWSA-N 0 2 322.394 0.668 20 0 DCADLN C[C@H]1[C@@H]([NH2+]Cc2ncccn2)CCN1C(=O)c1ncccc1O ZINC000986174748 662564875 /nfs/dbraw/zinc/56/48/75/662564875.db2.gz ZDUHJOBHVIKLFH-RYUDHWBXSA-N 0 2 313.361 0.970 20 0 DCADLN C[C@@H]1C[C@@H]([NH2+]Cc2nc(=O)n(C)[nH]2)CN1C(=O)/C=C/C1CC1 ZINC000988465861 662788508 /nfs/dbraw/zinc/78/85/08/662788508.db2.gz YWJKUOPIDJHGTL-PLWSPRCSSA-N 0 2 305.382 0.154 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cncnc1 ZINC000937862358 662833552 /nfs/dbraw/zinc/83/35/52/662833552.db2.gz DROWQEWGQCRIIZ-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1cncnc1 ZINC000937862358 662833553 /nfs/dbraw/zinc/83/35/53/662833553.db2.gz DROWQEWGQCRIIZ-DTWKUNHWSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1n[nH]cc1C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC000989202666 662890850 /nfs/dbraw/zinc/89/08/50/662890850.db2.gz KBVDJUHHNQCZJU-MFKMUULPSA-N 0 2 316.365 0.403 20 0 DCADLN CO[C@]1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCSC1 ZINC000897677501 662920146 /nfs/dbraw/zinc/92/01/46/662920146.db2.gz QGGZVTNKAMSNQL-NOZJJQNGSA-N 0 2 312.395 0.738 20 0 DCADLN Cc1nn(C)cc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000990526209 663062782 /nfs/dbraw/zinc/06/27/82/663062782.db2.gz OFOUCFOKYJUXQP-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1nn(C)cc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000990526209 663062783 /nfs/dbraw/zinc/06/27/83/663062783.db2.gz OFOUCFOKYJUXQP-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN CCCCn1ncc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)c1C ZINC000899031851 663119556 /nfs/dbraw/zinc/11/95/56/663119556.db2.gz BZFUVVSGRLMQPH-SNVBAGLBSA-N 0 2 307.354 0.919 20 0 DCADLN O=C([O-])[C@@H]1COCCN1c1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000900946756 663294311 /nfs/dbraw/zinc/29/43/11/663294311.db2.gz ZMEMBTGDPODOMF-NEPJUHHUSA-N 0 2 322.365 0.118 20 0 DCADLN O=C([O-])[C@@H]1COCCN1c1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000900946756 663294312 /nfs/dbraw/zinc/29/43/12/663294312.db2.gz ZMEMBTGDPODOMF-NEPJUHHUSA-N 0 2 322.365 0.118 20 0 DCADLN CCc1noc(C(=O)NCCc2nnc3n2CCCCC3)n1 ZINC000902612908 663422195 /nfs/dbraw/zinc/42/21/95/663422195.db2.gz OAXBPFFSGLROHD-UHFFFAOYSA-N 0 2 304.354 0.922 20 0 DCADLN CCc1noc(C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1 ZINC000902612244 663422320 /nfs/dbraw/zinc/42/23/20/663422320.db2.gz JRLGKVIBWLVYDT-SNVBAGLBSA-N 0 2 321.381 0.057 20 0 DCADLN Cc1ccc(-c2nc(C(=O)NN3CC(=O)NC3=O)cs2)o1 ZINC000031282838 663446493 /nfs/dbraw/zinc/44/64/93/663446493.db2.gz SLSDTFBAOAUSMB-UHFFFAOYSA-N 0 2 306.303 0.908 20 0 DCADLN C[C@H]1CCC[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)C1 ZINC001031939626 665965518 /nfs/dbraw/zinc/96/55/18/665965518.db2.gz UYYQQPFXJLFIRD-JQWIXIFHSA-N 0 2 307.398 0.885 20 0 DCADLN CCc1cnc(NS(=O)(=O)N=[S@@](C)(=O)N(C)C)s1 ZINC000903605524 663469271 /nfs/dbraw/zinc/46/92/71/663469271.db2.gz PFYQHLULMAJGKU-QGZVFWFLSA-N 0 2 312.442 0.937 20 0 DCADLN Cn1nc(C2CC2)cc1S(=O)(=O)Nc1ncc2c(n1)COC2 ZINC000903644349 663472042 /nfs/dbraw/zinc/47/20/42/663472042.db2.gz DYTIMBRIEUWFSH-UHFFFAOYSA-N 0 2 321.362 0.919 20 0 DCADLN Cc1cc(C(=O)[O-])c(F)c(S(=O)(=O)NCC[NH+]2CCC2)c1 ZINC000906903587 663650070 /nfs/dbraw/zinc/65/00/70/663650070.db2.gz OBASNTQXMLPTOG-UHFFFAOYSA-N 0 2 316.354 0.816 20 0 DCADLN O=C(c1cc(F)ccc1O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907473382 663697142 /nfs/dbraw/zinc/69/71/42/663697142.db2.gz GUZQFZXIFSRUIK-SECBINFHSA-N 0 2 309.326 0.975 20 0 DCADLN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)NCCCc1cc(=O)[nH][nH]1 ZINC000908233113 663745077 /nfs/dbraw/zinc/74/50/77/663745077.db2.gz UHQFWZCLUFUCBW-OTYXRUKQSA-N 0 2 303.366 0.848 20 0 DCADLN CO[C@@H]1CN(C(=O)NCCCn2cc[nH+]c2)[C@](C)(C(=O)[O-])C1 ZINC000908738451 663767563 /nfs/dbraw/zinc/76/75/63/663767563.db2.gz YTXGVHUIUVULOE-FZMZJTMJSA-N 0 2 310.354 0.547 20 0 DCADLN CCOC[C@@H](C(=O)[O-])N(C)C(=O)NCCCCn1cc[nH+]c1 ZINC000908862151 663772548 /nfs/dbraw/zinc/77/25/48/663772548.db2.gz MFYYMYDAKHMOIV-LBPRGKRZSA-N 0 2 312.370 0.794 20 0 DCADLN CC[C@@H](C(=O)N1CCOC[C@H]1C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000909059702 663780132 /nfs/dbraw/zinc/78/01/32/663780132.db2.gz NYSPVSOFTMLCIL-AGIUHOORSA-N 0 2 314.382 0.188 20 0 DCADLN CC[C@@H](C(=O)N1CCOC[C@H]1C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000909059702 663780133 /nfs/dbraw/zinc/78/01/33/663780133.db2.gz NYSPVSOFTMLCIL-AGIUHOORSA-N 0 2 314.382 0.188 20 0 DCADLN O=C([O-])C[C@@]1(NC(=O)[C@H]2CCc3c[nH+]cn3C2)CCCOC1 ZINC000909693649 663796983 /nfs/dbraw/zinc/79/69/83/663796983.db2.gz RRUBQEVRZQEJHT-NHYWBVRUSA-N 0 2 307.350 0.586 20 0 DCADLN O=C([O-])c1csc(CCNC(=O)[C@@H]2CCn3c[nH+]cc3C2)n1 ZINC000909796807 663802228 /nfs/dbraw/zinc/80/22/28/663802228.db2.gz SYGCKHXMKJOROF-SECBINFHSA-N 0 2 320.374 0.959 20 0 DCADLN Cc1c(C(=O)[O-])cnn1C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000909821739 663809161 /nfs/dbraw/zinc/80/91/61/663809161.db2.gz WPBKFEWSKOBKJS-UHFFFAOYSA-N 0 2 317.349 0.950 20 0 DCADLN CC[C@H](C(=O)N1CC2CC1(C(=O)[O-])C2)[N@@H+]1CCO[C@@H](CC)C1 ZINC000909948765 663823975 /nfs/dbraw/zinc/82/39/75/663823975.db2.gz MBCQAQHVMSIPNL-BWAOXVRWSA-N 0 2 310.394 0.951 20 0 DCADLN CC[C@H](C(=O)N1CC2CC1(C(=O)[O-])C2)[N@H+]1CCO[C@@H](CC)C1 ZINC000909948765 663823977 /nfs/dbraw/zinc/82/39/77/663823977.db2.gz MBCQAQHVMSIPNL-BWAOXVRWSA-N 0 2 310.394 0.951 20 0 DCADLN CCn1nncc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000991216187 663825756 /nfs/dbraw/zinc/82/57/56/663825756.db2.gz ZMGXMMASFYOMMU-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1nncc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000991216187 663825760 /nfs/dbraw/zinc/82/57/60/663825760.db2.gz ZMGXMMASFYOMMU-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN CC[C@@H](C(=O)N1CC([C@@H](F)C(=O)[O-])C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000910123094 663848036 /nfs/dbraw/zinc/84/80/36/663848036.db2.gz FKHOITNPGYLPJJ-FRRDWIJNSA-N 0 2 316.373 0.757 20 0 DCADLN CC[C@@H](C(=O)N1CC([C@@H](F)C(=O)[O-])C1)[N@H+]1CCO[C@H](CC)C1 ZINC000910123094 663848040 /nfs/dbraw/zinc/84/80/40/663848040.db2.gz FKHOITNPGYLPJJ-FRRDWIJNSA-N 0 2 316.373 0.757 20 0 DCADLN O=C([O-])[C@@H]1CSCCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC000910146963 663850191 /nfs/dbraw/zinc/85/01/91/663850191.db2.gz NUUNPCAQVYWBJH-QWRGUYRKSA-N 0 2 309.391 0.722 20 0 DCADLN CO[C@@H]1CN(C(=O)[C@H]2CCc3[nH+]ccn3C2)[C@](C)(C(=O)[O-])C1 ZINC000910267870 663862300 /nfs/dbraw/zinc/86/23/00/663862300.db2.gz YLGYDGSHHLEOQG-PGUXBMHVSA-N 0 2 307.350 0.536 20 0 DCADLN O=C([O-])CS[C@H](CNC(=O)Cn1cc[nH+]c1)C(F)(F)F ZINC000910350243 663876470 /nfs/dbraw/zinc/87/64/70/663876470.db2.gz OQEFHSNCLMXCLM-SSDOTTSWSA-N 0 2 311.285 0.748 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)[C@@H]3COCC[N@@H+]3C3CCCC3)C[C@@H]21 ZINC000910850754 663944722 /nfs/dbraw/zinc/94/47/22/663944722.db2.gz IYAWZONCAROCBQ-CBBWQLFWSA-N 0 2 322.405 0.809 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)[C@@H]3COCC[N@H+]3C3CCCC3)C[C@@H]21 ZINC000910850754 663944723 /nfs/dbraw/zinc/94/47/23/663944723.db2.gz IYAWZONCAROCBQ-CBBWQLFWSA-N 0 2 322.405 0.809 20 0 DCADLN O=C([O-])c1cnn(CC(=O)N2CCN(c3cccc[nH+]3)CC2)c1 ZINC000911093029 663981289 /nfs/dbraw/zinc/98/12/89/663981289.db2.gz IPPPYEPISVOCAU-UHFFFAOYSA-N 0 2 315.333 0.325 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC2(CCC2)C1 ZINC000911435278 664051618 /nfs/dbraw/zinc/05/16/18/664051618.db2.gz KECPIWKITKHMHY-CYBMUJFWSA-N 0 2 322.405 0.955 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)O[C@H]1C(=O)[O-] ZINC000911469411 664056314 /nfs/dbraw/zinc/05/63/14/664056314.db2.gz OROSONSGHWAYDP-HKUMRIAESA-N 0 2 319.361 0.655 20 0 DCADLN O=C([O-])CC[C@@H]1CC[C@H](C(=O)N[C@H]2CCc3c[nH+]cn3C2)O1 ZINC000911773240 664107113 /nfs/dbraw/zinc/10/71/13/664107113.db2.gz OBVGSAHAHPLFJI-WCFLWFBJSA-N 0 2 307.350 0.727 20 0 DCADLN CCn1ccc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991434260 664291158 /nfs/dbraw/zinc/29/11/58/664291158.db2.gz OIWZKJSXMBBRHR-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CCn1ccc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000991434260 664291161 /nfs/dbraw/zinc/29/11/61/664291161.db2.gz OIWZKJSXMBBRHR-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN C[C@H]1CCC[C@]1(C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950332104 664364415 /nfs/dbraw/zinc/36/44/15/664364415.db2.gz FNOAHWHOZJXLSU-NHYWBVRUSA-N 0 2 307.398 0.981 20 0 DCADLN Cc1n[nH]c(C(=O)NC2C[NH+](C[C@@H]3CC(C)(C)CO3)C2)c1[O-] ZINC001030222028 664479240 /nfs/dbraw/zinc/47/92/40/664479240.db2.gz CQCBCASGMSXSSZ-NSHDSACASA-N 0 2 308.382 0.653 20 0 DCADLN CC(C)(C)C[C@@H]1C[C@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030338653 664516043 /nfs/dbraw/zinc/51/60/43/664516043.db2.gz QJZFVMMBQYTYQZ-GXSJLCMTSA-N 0 2 307.398 0.883 20 0 DCADLN CC(C)c1nocc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030435672 664544304 /nfs/dbraw/zinc/54/43/04/664544304.db2.gz PESNADMOQXRTBN-UHFFFAOYSA-N 0 2 306.326 0.236 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCC=CCCC1 ZINC001030512364 664573341 /nfs/dbraw/zinc/57/33/41/664573341.db2.gz UICAWCNWJBLPNZ-LLVKDONJSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1c[nH]c(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)n1 ZINC000991987944 664644426 /nfs/dbraw/zinc/64/44/26/664644426.db2.gz NPPTVJYUUNAHGY-ZETCQYMHSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1c[nH]c(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC000991987944 664644427 /nfs/dbraw/zinc/64/44/27/664644427.db2.gz NPPTVJYUUNAHGY-ZETCQYMHSA-N 0 2 308.235 0.559 20 0 DCADLN CC(C)c1ccoc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030832214 664680817 /nfs/dbraw/zinc/68/08/17/664680817.db2.gz XUHWAGRMSAZFAW-UHFFFAOYSA-N 0 2 305.338 0.841 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc[nH]c1C1CCC1 ZINC001030954728 664733776 /nfs/dbraw/zinc/73/37/76/664733776.db2.gz FHRZMVWNZIAFED-UHFFFAOYSA-N 0 2 316.365 0.720 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1c1cccnc1 ZINC001030992437 664750663 /nfs/dbraw/zinc/75/06/63/664750663.db2.gz QLBHHELEBLIHMT-NWDGAFQWSA-N 0 2 314.349 0.009 20 0 DCADLN O=C(NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1)[C@@H]1CC12CC2 ZINC001031005643 664754215 /nfs/dbraw/zinc/75/42/15/664754215.db2.gz DMVILMNZBYHUHT-LBPRGKRZSA-N 0 2 313.361 0.123 20 0 DCADLN CCO[C@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000730533582 664789174 /nfs/dbraw/zinc/78/91/74/664789174.db2.gz NFBAMJXVHQTCCA-JTQLQIEISA-N 0 2 305.338 0.099 20 0 DCADLN CC(C)c1oncc1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031194018 664858023 /nfs/dbraw/zinc/85/80/23/664858023.db2.gz SASJAURSBCJILZ-UHFFFAOYSA-N 0 2 306.326 0.236 20 0 DCADLN CC[C@H](NC(=O)C(F)C(F)(F)F)C(=O)N1CCOCC1 ZINC000764616118 664874895 /nfs/dbraw/zinc/87/48/95/664874895.db2.gz ZUQCCGXMQLHAFO-JGVFFNPUSA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C(=O)N1CCOCC1 ZINC000764616118 664874898 /nfs/dbraw/zinc/87/48/98/664874898.db2.gz ZUQCCGXMQLHAFO-JGVFFNPUSA-N 0 2 300.252 0.640 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Oc1cccc(F)c1F ZINC000731987960 664896512 /nfs/dbraw/zinc/89/65/12/664896512.db2.gz XYHSUPVARDSCKW-UHFFFAOYSA-N 0 2 306.228 0.955 20 0 DCADLN Cc1ncsc1COC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000732500750 664939056 /nfs/dbraw/zinc/93/90/56/664939056.db2.gz KPFYLLLCUSAPLV-UHFFFAOYSA-N 0 2 305.319 0.580 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000993339177 664944315 /nfs/dbraw/zinc/94/43/15/664944315.db2.gz DFVUCGKZZQGMFU-ZJUUUORDSA-N 0 2 319.369 0.325 20 0 DCADLN CCCc1nc(C)c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001031262274 664958780 /nfs/dbraw/zinc/95/87/80/664958780.db2.gz WQPGRKZOBNVTTA-UHFFFAOYSA-N 0 2 320.353 0.373 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cncn2C)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993572928 664980171 /nfs/dbraw/zinc/98/01/71/664980171.db2.gz SAQYOTZHVOIKQJ-VHSXEESVSA-N 0 2 319.369 0.027 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cc(F)c[nH]2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993600371 664983486 /nfs/dbraw/zinc/98/34/86/664983486.db2.gz HLQZYBVAJYSBOQ-PSASIEDQSA-N 0 2 322.344 0.760 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@H]2C)n1 ZINC000993964678 665020673 /nfs/dbraw/zinc/02/06/73/665020673.db2.gz PYSGUXVFNDNUGE-UWVGGRQHSA-N 0 2 319.369 0.325 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001031461689 665161222 /nfs/dbraw/zinc/16/12/22/665161222.db2.gz LAVHSOXQXPBWBQ-QZERSUKASA-N 0 2 303.366 0.103 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1[nH]ccc1C1CC1 ZINC001031724739 665331298 /nfs/dbraw/zinc/33/12/98/665331298.db2.gz OSAJPFDTQPEEHA-UHFFFAOYSA-N 0 2 316.365 0.578 20 0 DCADLN O=C(NCC1CN(C(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001001776835 666097787 /nfs/dbraw/zinc/09/77/87/666097787.db2.gz AMLCMZRLMNPMAP-SSDOTTSWSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC001001776835 666097788 /nfs/dbraw/zinc/09/77/88/666097788.db2.gz AMLCMZRLMNPMAP-SSDOTTSWSA-N 0 2 308.235 0.498 20 0 DCADLN O=C(NCC1CC[NH+](Cc2ccc(F)cn2)CC1)c1ncn[nH]1 ZINC001001952439 666114615 /nfs/dbraw/zinc/11/46/15/666114615.db2.gz CCADALJXYZLCLX-UHFFFAOYSA-N 0 2 318.356 0.981 20 0 DCADLN O=C(NCC1CC[NH+](Cc2ccc(F)cn2)CC1)c1nc[nH]n1 ZINC001001952439 666114616 /nfs/dbraw/zinc/11/46/16/666114616.db2.gz CCADALJXYZLCLX-UHFFFAOYSA-N 0 2 318.356 0.981 20 0 DCADLN O=C(C[C@H]1CCOC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002498549 666182486 /nfs/dbraw/zinc/18/24/86/666182486.db2.gz IQWZYVQWFVNCBY-SNVBAGLBSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(CC1CCOCC1)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002492449 666183165 /nfs/dbraw/zinc/18/31/65/666183165.db2.gz PKZKYVOJZVNYKW-UHFFFAOYSA-N 0 2 323.397 0.408 20 0 DCADLN Cc1ccoc1CC(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003594007 666332684 /nfs/dbraw/zinc/33/26/84/666332684.db2.gz HXJUGKLYWBZSDA-UHFFFAOYSA-N 0 2 319.365 0.735 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001003666403 666341581 /nfs/dbraw/zinc/34/15/81/666341581.db2.gz SZMZCDJFVAIXNH-UTUOFQBUSA-N 0 2 321.381 0.158 20 0 DCADLN CN(C(=O)c1cccs1)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032880135 666368933 /nfs/dbraw/zinc/36/89/33/666368933.db2.gz MXOKGLXNLMBALJ-SECBINFHSA-N 0 2 307.379 0.918 20 0 DCADLN COc1ccsc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032143676 666372730 /nfs/dbraw/zinc/37/27/30/666372730.db2.gz DQAVQKGXCDXBFM-UHFFFAOYSA-N 0 2 323.378 0.442 20 0 DCADLN CN(C(=O)Cc1cncs1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033168585 666588078 /nfs/dbraw/zinc/58/80/78/666588078.db2.gz WFKYGHYVSPXGFE-SECBINFHSA-N 0 2 322.394 0.242 20 0 DCADLN CN(C(=O)Cc1cncs1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033168585 666588079 /nfs/dbraw/zinc/58/80/79/666588079.db2.gz WFKYGHYVSPXGFE-SECBINFHSA-N 0 2 322.394 0.242 20 0 DCADLN Cc1coc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001033351358 666686827 /nfs/dbraw/zinc/68/68/27/666686827.db2.gz ZUEBJBJIMNWTLM-SNVBAGLBSA-N 0 2 305.338 0.758 20 0 DCADLN CN(C(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)C[NH+]2CCCC2)C1)C1CC1 ZINC001033657605 666782913 /nfs/dbraw/zinc/78/29/13/666782913.db2.gz NGEIGMGELUGZDV-OAHLLOKOSA-N 0 2 322.453 0.236 20 0 DCADLN Cc1nnsc1C[N@H+]1CC[C@@H](N(C)C(=O)C[NH+]2CCCC2)C1 ZINC001033657313 666783312 /nfs/dbraw/zinc/78/33/12/666783312.db2.gz JRWWTUUGKVDONR-CYBMUJFWSA-N 0 2 323.466 0.975 20 0 DCADLN Cc1[nH+]ccn1CC(=O)N(C)[C@H]1CC[N@@H+]([C@@H](C)C(=O)N(C)C)C1 ZINC001033668110 666788170 /nfs/dbraw/zinc/78/81/70/666788170.db2.gz LAYIJPDNTWVEFQ-JSGCOSHPSA-N 0 2 321.425 0.201 20 0 DCADLN CCN(C(=O)c1cccn1C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033757604 666819621 /nfs/dbraw/zinc/81/96/21/666819621.db2.gz SIPXMXLMGGGLKR-LLVKDONJSA-N 0 2 318.381 0.585 20 0 DCADLN CCN(C(=O)c1cccn1C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033757604 666819625 /nfs/dbraw/zinc/81/96/25/666819625.db2.gz SIPXMXLMGGGLKR-LLVKDONJSA-N 0 2 318.381 0.585 20 0 DCADLN CCN(C(=O)c1[nH]ccc1C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033820998 666839179 /nfs/dbraw/zinc/83/91/79/666839179.db2.gz NVIYKIRHYIKOQM-LLVKDONJSA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)c1[nH]ccc1C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033820998 666839181 /nfs/dbraw/zinc/83/91/81/666839181.db2.gz NVIYKIRHYIKOQM-LLVKDONJSA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)[C@H]1CCn2cc[nH+]c2C1)[C@@H]1CC[N@H+](CCCO)C1 ZINC001033876585 666849575 /nfs/dbraw/zinc/84/95/75/666849575.db2.gz CLYDZRIMTPPFSF-LSDHHAIUSA-N 0 2 320.437 0.751 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCCN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001034095398 666918407 /nfs/dbraw/zinc/91/84/07/666918407.db2.gz MPWXDHOCDBZBAF-SNVBAGLBSA-N 0 2 320.353 0.591 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034407524 667011876 /nfs/dbraw/zinc/01/18/76/667011876.db2.gz SYCJNGZYBIIOJK-WZRBSPASSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034407524 667011880 /nfs/dbraw/zinc/01/18/80/667011880.db2.gz SYCJNGZYBIIOJK-WZRBSPASSA-N 0 2 323.397 0.406 20 0 DCADLN CNC(=O)C[NH+]1CCCC[C@H](NC(=O)C[N@@H+]2CCC[C@H]2C)C1 ZINC001034398168 667013727 /nfs/dbraw/zinc/01/37/27/667013727.db2.gz MZBVYKJRYWEEPY-KGLIPLIRSA-N 0 2 310.442 0.187 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CCCC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034553448 667069222 /nfs/dbraw/zinc/06/92/22/667069222.db2.gz LOTCBOHSLQKFOJ-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CCCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001034553448 667069226 /nfs/dbraw/zinc/06/92/26/667069226.db2.gz LOTCBOHSLQKFOJ-JTQLQIEISA-N 0 2 319.369 0.326 20 0 DCADLN C[C@@H]1C[C@H](C(=O)N(C)C2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)CO1 ZINC001005611609 667105988 /nfs/dbraw/zinc/10/59/88/667105988.db2.gz MVWFRPJBVCODDR-MNOVXSKESA-N 0 2 323.397 0.358 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2Cc3ccccc3NC2=O)S1 ZINC000862997461 667107778 /nfs/dbraw/zinc/10/77/78/667107778.db2.gz UZLJIFYPXVJGMQ-VHSXEESVSA-N 0 2 318.358 0.222 20 0 DCADLN O=C(C(F)C(F)(F)F)N1C[C@@H]2C[C@H]1CN2Cc1cnon1 ZINC001032415553 667218603 /nfs/dbraw/zinc/21/86/03/667218603.db2.gz AYWYWVDLAFUVQT-XHNCKOQMSA-N 0 2 308.235 0.755 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1C[C@@H]2C[C@H]1CN2Cc1cnon1 ZINC001032415553 667218605 /nfs/dbraw/zinc/21/86/05/667218605.db2.gz AYWYWVDLAFUVQT-XHNCKOQMSA-N 0 2 308.235 0.755 20 0 DCADLN Cc1n[nH]cc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000997287197 667236404 /nfs/dbraw/zinc/23/64/04/667236404.db2.gz QZCAHNNQHVQLSO-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN Cc1n[nH]cc1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000997287197 667236408 /nfs/dbraw/zinc/23/64/08/667236408.db2.gz QZCAHNNQHVQLSO-QMMMGPOBSA-N 0 2 308.235 0.559 20 0 DCADLN O=C([C@@H]1CCC1(F)F)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032467841 667281983 /nfs/dbraw/zinc/28/19/83/667281983.db2.gz YVWVUNKAOXBMES-CIUDSAMLSA-N 0 2 313.308 0.341 20 0 DCADLN O=C(c1ccsn1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032469040 667294383 /nfs/dbraw/zinc/29/43/83/667294383.db2.gz SIDSCOWCWUSFPY-YUMQZZPRSA-N 0 2 306.351 0.066 20 0 DCADLN CCn1[n-]nnc1=NC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000888328189 667295447 /nfs/dbraw/zinc/29/54/47/667295447.db2.gz GDERUASOQCSJED-SNVBAGLBSA-N 0 2 304.358 0.260 20 0 DCADLN COCC[N@@H+]1CCO[C@H](CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001035427761 667331545 /nfs/dbraw/zinc/33/15/45/667331545.db2.gz RYBLBGHVHFHQKQ-GFCCVEGCSA-N 0 2 322.409 0.366 20 0 DCADLN O=C1OCC[C@@H]1NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC000866393267 667374148 /nfs/dbraw/zinc/37/41/48/667374148.db2.gz CWXMQMNMGPEWPK-LURJTMIESA-N 0 2 306.727 0.799 20 0 DCADLN O=C(c1cnco1)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035760528 667407059 /nfs/dbraw/zinc/40/70/59/667407059.db2.gz CNLAQNYYVWFUGU-UHFFFAOYSA-N 0 2 318.337 0.237 20 0 DCADLN O=C(Cc1ncn[nH]1)N1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000868444120 667572586 /nfs/dbraw/zinc/57/25/86/667572586.db2.gz AQNGCJBWICHEDY-QMMMGPOBSA-N 0 2 319.287 0.264 20 0 DCADLN CN(C(=O)c1ncccn1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006173173 667574602 /nfs/dbraw/zinc/57/46/02/667574602.db2.gz KBCLPLVEEVXRJN-UHFFFAOYSA-N 0 2 317.353 0.037 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cc(-n2ccnc2)ccn1 ZINC000788146963 667749072 /nfs/dbraw/zinc/74/90/72/667749072.db2.gz HTVSITMBFCCIKU-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN CC[C@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccncc1 ZINC000741585456 667773105 /nfs/dbraw/zinc/77/31/05/667773105.db2.gz LCFCBOWIPLLVON-LBPRGKRZSA-N 0 2 312.333 0.734 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NC2(c3ccccc3F)COC2)S1 ZINC000886638963 667785696 /nfs/dbraw/zinc/78/56/96/667785696.db2.gz MIADSHDFLGMDLG-SNVBAGLBSA-N 0 2 323.349 0.724 20 0 DCADLN CN(CCNC(=O)C[C@H]1SC(=N)NC1=O)c1ccccc1 ZINC000742500174 667792729 /nfs/dbraw/zinc/79/27/29/667792729.db2.gz JQAKRHJKPGDRHP-LLVKDONJSA-N 0 2 306.391 0.795 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCC(=O)Nc2ccccc2)S1 ZINC000742560237 667793527 /nfs/dbraw/zinc/79/35/27/667793527.db2.gz CYIFRHFJHBNVHG-VIFPVBQESA-N 0 2 306.347 0.298 20 0 DCADLN CCC[C@](C)(NC(=O)C[C@@H]1SC(=N)NC1=O)C(=O)OC ZINC000742571488 667794740 /nfs/dbraw/zinc/79/47/40/667794740.db2.gz OETMASVLNPYZTQ-MADCSZMMSA-N 0 2 301.368 0.391 20 0 DCADLN CCc1noc([C@H](C)OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000744986130 667838012 /nfs/dbraw/zinc/83/80/12/667838012.db2.gz BYQPUSPWGLEQQG-ZETCQYMHSA-N 0 2 318.293 0.321 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)S1 ZINC000745422203 667847517 /nfs/dbraw/zinc/84/75/17/667847517.db2.gz CMZDUIPJIPPSOY-MRVPVSSYSA-N 0 2 305.319 0.763 20 0 DCADLN Cn1cc(C[C@H]2CCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)cn1 ZINC000746623709 667884348 /nfs/dbraw/zinc/88/43/48/667884348.db2.gz GJPURYYDCSRGJL-KOLCDFICSA-N 0 2 321.406 0.368 20 0 DCADLN CO[C@@H](CS(=O)(=O)Nc1cc2n(n1)CCN(C)C2)C(C)C ZINC000872411261 667893701 /nfs/dbraw/zinc/89/37/01/667893701.db2.gz VRVKAHVSIGNURB-LBPRGKRZSA-N 0 2 316.427 0.741 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1cc2c([nH]c1=O)CCC2 ZINC000747994225 667916273 /nfs/dbraw/zinc/91/62/73/667916273.db2.gz DIXNWBPOPWVRRD-UHFFFAOYSA-N 0 2 303.322 0.462 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCC[C@H]1CCCC1=O ZINC000748189293 667921091 /nfs/dbraw/zinc/92/10/91/667921091.db2.gz TVPBQYONZCEVJL-SECBINFHSA-N 0 2 304.306 0.374 20 0 DCADLN COc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)cc2c1O[C@@H](C)C2 ZINC000748418347 667927754 /nfs/dbraw/zinc/92/77/54/667927754.db2.gz JARAQYNJYYYEFF-ZETCQYMHSA-N 0 2 304.306 0.772 20 0 DCADLN CCNC(=O)NC(=O)COC(=O)c1cc(F)c(O)c(F)c1 ZINC000748482968 667930123 /nfs/dbraw/zinc/93/01/23/667930123.db2.gz OALDFSRSYRRNPT-UHFFFAOYSA-N 0 2 302.233 0.673 20 0 DCADLN COC(=O)Cc1ccccc1NC(=O)CC1SC(=N)NC1=O ZINC000752700594 668020928 /nfs/dbraw/zinc/02/09/28/668020928.db2.gz CGWSOAJYSVPCPE-SNVBAGLBSA-N 0 2 321.358 0.897 20 0 DCADLN Cc1ncoc1C(=O)OCCOCCNC(=O)C(F)(F)F ZINC000755848550 668067390 /nfs/dbraw/zinc/06/73/90/668067390.db2.gz MQJIEUOONCUAEU-UHFFFAOYSA-N 0 2 310.228 0.835 20 0 DCADLN Cc1sc(NC(=O)C[NH+](CCO)CCO)c(C(=O)[O-])c1C ZINC000020341882 668164652 /nfs/dbraw/zinc/16/46/52/668164652.db2.gz ZAWFQDNLAKBWRQ-UHFFFAOYSA-N 0 2 316.379 0.288 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1ccccc1C(=O)[O-] ZINC000874387121 668178542 /nfs/dbraw/zinc/17/85/42/668178542.db2.gz MWTGPURPWCDBIA-GFCCVEGCSA-N 0 2 321.377 0.905 20 0 DCADLN Cn1cc([C@@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F)cn1 ZINC000760474513 668273806 /nfs/dbraw/zinc/27/38/06/668273806.db2.gz ZGCOEGRDYPHNLW-MRVPVSSYSA-N 0 2 322.287 0.027 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCn2ncnc2C1 ZINC000760518581 668276911 /nfs/dbraw/zinc/27/69/11/668276911.db2.gz ZEOROLWWSSGBBB-UHFFFAOYSA-N 0 2 305.260 0.077 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N[C@H](CCO)c2cccs2)S1 ZINC000761940487 668341720 /nfs/dbraw/zinc/34/17/20/668341720.db2.gz AIBIUWKGBXEYMW-APPZFPTMSA-N 0 2 313.404 0.844 20 0 DCADLN CO[N-]C(=O)CNC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000927208156 668453319 /nfs/dbraw/zinc/45/33/19/668453319.db2.gz XZVVVHYWNAZXTB-UHFFFAOYSA-N 0 2 312.395 0.253 20 0 DCADLN COc1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)nc1Cl ZINC000768717699 668618937 /nfs/dbraw/zinc/61/89/37/668618937.db2.gz WYNVTAISXGFVPI-UHFFFAOYSA-N 0 2 311.729 0.930 20 0 DCADLN COc1cc(CN(C)C(=O)C[C@H]2SC(=N)NC2=O)ccc1O ZINC000769280423 668641917 /nfs/dbraw/zinc/64/19/17/668641917.db2.gz JVUZMBKMFDKIKO-LLVKDONJSA-N 0 2 323.374 0.916 20 0 DCADLN COCCc1cc(NC(=O)CC2SC(=N)NC2=O)n(C)n1 ZINC000769322318 668643560 /nfs/dbraw/zinc/64/35/60/668643560.db2.gz RWUUPFUCSOEQMV-QMMMGPOBSA-N 0 2 311.367 0.104 20 0 DCADLN Cn1c(CO)nnc1SCC(=O)NOCc1ccccc1 ZINC000769878174 668667970 /nfs/dbraw/zinc/66/79/70/668667970.db2.gz WBKPLERKVDIRPJ-UHFFFAOYSA-N 0 2 308.363 0.648 20 0 DCADLN Cn1[nH]c(COC(=O)c2ccc(-c3nnc[nH]3)cc2)nc1=O ZINC000770572577 668701224 /nfs/dbraw/zinc/70/12/24/668701224.db2.gz DDBFODHVNOURKU-UHFFFAOYSA-N 0 2 300.278 0.251 20 0 DCADLN CC(C)N(C)S(=O)(=O)[N-]C(=O)C[N@H+](C)[C@H]1CCSC1 ZINC000774070349 668821404 /nfs/dbraw/zinc/82/14/04/668821404.db2.gz ICUUFIRBIPIJCP-JTQLQIEISA-N 0 2 309.457 0.125 20 0 DCADLN CC(C)N(C)S(=O)(=O)[N-]C(=O)C[N@@H+](C)[C@H]1CCSC1 ZINC000774070349 668821405 /nfs/dbraw/zinc/82/14/05/668821405.db2.gz ICUUFIRBIPIJCP-JTQLQIEISA-N 0 2 309.457 0.125 20 0 DCADLN Cc1nn(C)c2nc(C)c(C(=O)OCc3n[nH]c(=O)[nH]3)cc12 ZINC000774919159 668851606 /nfs/dbraw/zinc/85/16/06/668851606.db2.gz JTPWZYRKKCLDBC-UHFFFAOYSA-N 0 2 302.294 0.766 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccccc1N1CCOCC1 ZINC000774932063 668852129 /nfs/dbraw/zinc/85/21/29/668852129.db2.gz FHYPLQCLTXUHFB-UHFFFAOYSA-N 0 2 304.306 0.704 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)NC(=O)CCN2 ZINC000774945634 668852234 /nfs/dbraw/zinc/85/22/34/668852234.db2.gz HXWFAXFKPCWBRP-UHFFFAOYSA-N 0 2 303.278 0.621 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cccnc1OCC(F)F ZINC000774990493 668853840 /nfs/dbraw/zinc/85/38/40/668853840.db2.gz BZMLMFNITSNREF-UHFFFAOYSA-N 0 2 300.221 0.906 20 0 DCADLN Cc1nn(C)c(C)c1CCCNC(=O)C[C@@H]1SC(=N)NC1=O ZINC000775572874 668865269 /nfs/dbraw/zinc/86/52/69/668865269.db2.gz FPEUSNYGSCOLFE-NSHDSACASA-N 0 2 323.422 0.642 20 0 DCADLN C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)C[C@H]1SC(=N)NC1=O ZINC000777396565 668886987 /nfs/dbraw/zinc/88/69/87/668886987.db2.gz CPBGGSZLPCJNHS-HTQZYQBOSA-N 0 2 314.411 0.304 20 0 DCADLN O=C(NC[C@@H]1C[N@@H+]2CCC[C@@H]2CO1)N[C@H]1CCn2cc[nH+]c2C1 ZINC000777508742 668887994 /nfs/dbraw/zinc/88/79/94/668887994.db2.gz QWLRQMCJHFRMDX-BFHYXJOUSA-N 0 2 319.409 0.360 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCCc2ccnn2C)cn1 ZINC000779094814 668907425 /nfs/dbraw/zinc/90/74/25/668907425.db2.gz DVTNFMMINLRINV-UHFFFAOYSA-N 0 2 313.365 0.999 20 0 DCADLN O=C([O-])[C@H]1COCCN1C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000780673315 669004305 /nfs/dbraw/zinc/00/43/05/669004305.db2.gz QMIPRCFNVWLZDK-LLVKDONJSA-N 0 2 304.306 0.329 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@@H](OC(F)F)C2)S1 ZINC000781412558 669042625 /nfs/dbraw/zinc/04/26/25/669042625.db2.gz FXIXDZHUWPVSDC-RQJHMYQMSA-N 0 2 307.322 0.773 20 0 DCADLN CCn1cc(CCOC(=O)Cn2[nH]cc3c(=O)ncnc2-3)cn1 ZINC000781658272 669053755 /nfs/dbraw/zinc/05/37/55/669053755.db2.gz DBOXVAKWXJGHMT-UHFFFAOYSA-N 0 2 316.321 0.074 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC([C@H]3CCCO3)CC2)S1 ZINC000784617315 669215310 /nfs/dbraw/zinc/21/53/10/669215310.db2.gz PUVVUOQYDARSJK-GHMZBOCLSA-N 0 2 311.407 0.960 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCC[C@H]1CCCCO1 ZINC000784954745 669232546 /nfs/dbraw/zinc/23/25/46/669232546.db2.gz IWODANMYVBWNJJ-SNVBAGLBSA-N 0 2 306.322 0.574 20 0 DCADLN COC(=O)CCCCn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881205307 669305599 /nfs/dbraw/zinc/30/55/99/669305599.db2.gz ZINAXCSNWQCYQA-UHFFFAOYSA-N 0 2 308.260 0.800 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cnnn1-c1cccc(F)c1 ZINC000789106182 669471316 /nfs/dbraw/zinc/47/13/16/669471316.db2.gz ZZTVSIYYNLQRHS-UHFFFAOYSA-N 0 2 304.241 0.587 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@H]1CC[S@@](=O)C1 ZINC000789964836 669524727 /nfs/dbraw/zinc/52/47/27/669524727.db2.gz LFQUBQIWYNNRPC-DDIDRBJESA-N 0 2 314.329 0.327 20 0 DCADLN COC(=O)[C@@]1(O)CCN(C(=O)c2cc(F)c(O)c(F)c2)C1 ZINC000882851468 669604278 /nfs/dbraw/zinc/60/42/78/669604278.db2.gz KGRIHARTYWRSIW-CYBMUJFWSA-N 0 2 301.245 0.420 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCCO[C@H]1CCCCO1 ZINC000791870517 669636062 /nfs/dbraw/zinc/63/60/62/669636062.db2.gz NXOKWALABCTZSN-LBPRGKRZSA-N 0 2 322.321 0.158 20 0 DCADLN C[C@H](OC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccnn1C ZINC000791924516 669639325 /nfs/dbraw/zinc/63/93/25/669639325.db2.gz KIIKDJNJRKWGST-QMMMGPOBSA-N 0 2 302.294 0.109 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc([C@H]3CCCOC3)[nH]n2)S1 ZINC000792535424 669673097 /nfs/dbraw/zinc/67/30/97/669673097.db2.gz SOEFFQHGNLDIQR-IONNQARKSA-N 0 2 323.378 0.799 20 0 DCADLN O=C(NN1CCCC1=O)c1cc(Br)cn2ncnc12 ZINC000935291113 669703923 /nfs/dbraw/zinc/70/39/23/669703923.db2.gz KMLWJRVZDFYYOQ-UHFFFAOYSA-N 0 2 324.138 0.759 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OC[C@H](F)C(F)(F)F ZINC000800165583 669832715 /nfs/dbraw/zinc/83/27/15/669832715.db2.gz VBWIMZUCIMFBPW-LURJTMIESA-N 0 2 308.191 0.515 20 0 DCADLN Cn1nc2c(c1CNC(=O)C[C@H]1SC(=N)NC1=O)CCCC2 ZINC000794435350 669835528 /nfs/dbraw/zinc/83/55/28/669835528.db2.gz TYVMOAKRIQTSRA-LLVKDONJSA-N 0 2 321.406 0.472 20 0 DCADLN NC(=S)c1ccc(C(=O)NNC(=O)c2ccccn2)cc1 ZINC000047299110 669926536 /nfs/dbraw/zinc/92/65/36/669926536.db2.gz BPDPTFGDHDUOFX-UHFFFAOYSA-N 0 2 300.343 0.791 20 0 DCADLN C[C@H](CO)N(CC1CCC1)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000797754207 669974782 /nfs/dbraw/zinc/97/47/82/669974782.db2.gz ZWIIXOHGAPSXMR-SNVBAGLBSA-N 0 2 319.365 0.081 20 0 DCADLN Cc1nocc1C[N@H+]1CC[C@@H](NC(=O)c2ncn[nH]2)C[C@H]1C ZINC000947819495 670017169 /nfs/dbraw/zinc/01/71/69/670017169.db2.gz DYBMSUWYOCBICQ-BXKDBHETSA-N 0 2 304.354 0.884 20 0 DCADLN CC(C)C[C@@H]1C[C@H]1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950597338 670070076 /nfs/dbraw/zinc/07/00/76/670070076.db2.gz MWTDKXIYKQDPBP-VXGBXAGGSA-N 0 2 307.398 0.837 20 0 DCADLN O=C(c1cc(=O)[nH][n-]1)N1CCC[C@H](c2[nH+]cc3n2CCCC3)C1 ZINC000800696020 670099171 /nfs/dbraw/zinc/09/91/71/670099171.db2.gz UTLZFEQFLFSCLD-NSHDSACASA-N 0 2 315.377 0.801 20 0 DCADLN Cn1cncc1C(=O)NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F ZINC000950892189 670149606 /nfs/dbraw/zinc/14/96/06/670149606.db2.gz RVTQXGMOURSODZ-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F ZINC000950892189 670149608 /nfs/dbraw/zinc/14/96/08/670149608.db2.gz RVTQXGMOURSODZ-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1cn[nH]c1 ZINC000948930140 670218182 /nfs/dbraw/zinc/21/81/82/670218182.db2.gz PMHWBVNNSONDNW-HTQZYQBOSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cn[nH]c1 ZINC000948930140 670218184 /nfs/dbraw/zinc/21/81/84/670218184.db2.gz PMHWBVNNSONDNW-HTQZYQBOSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccon1 ZINC000948970940 670228862 /nfs/dbraw/zinc/22/88/62/670228862.db2.gz HDGRZXXXWXRZKX-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ccon1 ZINC000948970940 670228863 /nfs/dbraw/zinc/22/88/63/670228863.db2.gz HDGRZXXXWXRZKX-HTRCEHHLSA-N 0 2 309.219 0.906 20 0 DCADLN CCN(C(=O)[C@@H]1CC[C@H](F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951387157 670260067 /nfs/dbraw/zinc/26/00/67/670260067.db2.gz PSCLLGLVNATDHX-ZJUUUORDSA-N 0 2 311.361 0.681 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ncccn1 ZINC000951790097 670370799 /nfs/dbraw/zinc/37/07/99/670370799.db2.gz DCPISGZEDNCAEM-YUMQZZPRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@H](F)C(F)(F)F)c1ncccn1 ZINC000951790097 670370800 /nfs/dbraw/zinc/37/08/00/670370800.db2.gz DCPISGZEDNCAEM-YUMQZZPRSA-N 0 2 320.246 0.708 20 0 DCADLN Cn1cnc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)n1 ZINC000949764227 670425585 /nfs/dbraw/zinc/42/55/85/670425585.db2.gz QJSWIIRQTYUEKW-RQJHMYQMSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cnc(C(=O)NC[C@H]2CCN2C(=O)[C@H](F)C(F)(F)F)n1 ZINC000949764227 670425589 /nfs/dbraw/zinc/42/55/89/670425589.db2.gz QJSWIIRQTYUEKW-RQJHMYQMSA-N 0 2 323.250 0.046 20 0 DCADLN O=C([N-]OC1CCCCC1)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000809460772 670452955 /nfs/dbraw/zinc/45/29/55/670452955.db2.gz QYXWOSZBUBAGAN-LLVKDONJSA-N 0 2 306.366 0.695 20 0 DCADLN CCN(C(=O)[C@@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000949896371 670457600 /nfs/dbraw/zinc/45/76/00/670457600.db2.gz CEXKIYKDMYVWHD-NSHDSACASA-N 0 2 309.370 0.112 20 0 DCADLN C[S@](=O)CCN1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000810431774 670485675 /nfs/dbraw/zinc/48/56/75/670485675.db2.gz SQRUJKSMLRDYGJ-RLBGWGEZSA-N 0 2 304.309 0.410 20 0 DCADLN C[S@](=O)CCN1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC000810431774 670485679 /nfs/dbraw/zinc/48/56/79/670485679.db2.gz SQRUJKSMLRDYGJ-RLBGWGEZSA-N 0 2 304.309 0.410 20 0 DCADLN COc1ccc(C(=O)COC(=O)c2cn[nH]n2)c2c1C(=O)CO2 ZINC000811224228 670517674 /nfs/dbraw/zinc/51/76/74/670517674.db2.gz ZRRAEBZRJLVKFS-UHFFFAOYSA-N 0 2 317.257 0.428 20 0 DCADLN CC1(C)CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H]1C1CC1 ZINC000812270047 670570249 /nfs/dbraw/zinc/57/02/49/670570249.db2.gz ZRQNDHVGYDNWOF-GFCCVEGCSA-N 0 2 301.350 0.718 20 0 DCADLN CCn1ncn(NC(=O)c2[nH]nc(C)c2Br)c1=O ZINC000854631035 670602317 /nfs/dbraw/zinc/60/23/17/670602317.db2.gz PRWSWHICOVUHNN-UHFFFAOYSA-N 0 2 315.131 0.243 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N(CCO)C2CCSCC2)S1 ZINC000848022728 670621981 /nfs/dbraw/zinc/62/19/81/670621981.db2.gz GITSIJYSRYBTJX-VIFPVBQESA-N 0 2 317.436 0.259 20 0 DCADLN CC(C)[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C1(CO)CCC1 ZINC000848120961 670636607 /nfs/dbraw/zinc/63/66/07/670636607.db2.gz SVFZGXYNRRCSJU-MWLCHTKSSA-N 0 2 313.423 0.846 20 0 DCADLN CCOC(=O)CCN(C(=O)C[C@@H]1SC(=N)NC1=O)C(C)C ZINC000848350964 670671923 /nfs/dbraw/zinc/67/19/23/670671923.db2.gz XABYNRZJRYOYPT-VIFPVBQESA-N 0 2 315.395 0.733 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@H](CCF)C1 ZINC000855827228 670758978 /nfs/dbraw/zinc/75/89/78/670758978.db2.gz NXCMCJPJDKVBGC-SNVBAGLBSA-N 0 2 307.329 0.669 20 0 DCADLN NC(=O)C[C@@H](NC(=O)C(F)C(F)(F)F)C1CCOCC1 ZINC000815746955 670759867 /nfs/dbraw/zinc/75/98/67/670759867.db2.gz AYHVPFADVYVKNF-APPZFPTMSA-N 0 2 300.252 0.674 20 0 DCADLN NC(=O)C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CCOCC1 ZINC000815746955 670759874 /nfs/dbraw/zinc/75/98/74/670759874.db2.gz AYHVPFADVYVKNF-APPZFPTMSA-N 0 2 300.252 0.674 20 0 DCADLN O=C(CSC[C@@H]1CCCCO1)NOCCN1CCCC1=O ZINC000856039473 670779580 /nfs/dbraw/zinc/77/95/80/670779580.db2.gz VYBNFRUWBDPPBH-LBPRGKRZSA-N 0 2 316.423 0.959 20 0 DCADLN CCn1ncn(NC(=O)c2oc3c(c2C)C(=O)CCC3)c1=O ZINC000816736439 670853833 /nfs/dbraw/zinc/85/38/33/670853833.db2.gz XGVABKOEUQSRRU-UHFFFAOYSA-N 0 2 304.306 0.869 20 0 DCADLN C[C@@]12CCN(C(=O)Cn3[nH]cc4c(=O)ncnc3-4)C[C@@H]1C2(F)F ZINC000816786298 670865130 /nfs/dbraw/zinc/86/51/30/670865130.db2.gz GIIOUQWIDNBSQB-TVQRCGJNSA-N 0 2 323.303 0.575 20 0 DCADLN CO[C@](C)(C(=O)OCc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000850126802 670883472 /nfs/dbraw/zinc/88/34/72/670883472.db2.gz QEFSLBJDCFQMFX-GFCCVEGCSA-N 0 2 301.299 0.300 20 0 DCADLN C[C@]1(C(=O)NCc2n[nH]c(=O)[nH]2)CC(c2ccccc2)=NO1 ZINC000857144247 670888314 /nfs/dbraw/zinc/88/83/14/670888314.db2.gz IUTHKNDROKMAKD-CQSZACIVSA-N 0 2 301.306 0.710 20 0 DCADLN CCOC(=O)C(CC)(CC)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000817083993 670893781 /nfs/dbraw/zinc/89/37/81/670893781.db2.gz JOLZUVOTWLBJSK-MRVPVSSYSA-N 0 2 315.395 0.781 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)N2CCC[C@H](c3nn[nH]n3)C2)C1 ZINC000857860855 670955518 /nfs/dbraw/zinc/95/55/18/670955518.db2.gz MOOXVZNJMBXVOI-SCVCMEIPSA-N 0 2 315.381 0.995 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H]2CCc3cccnc32)S1 ZINC000857970019 670965235 /nfs/dbraw/zinc/96/52/35/670965235.db2.gz CCUYVVVYTYSDCN-ZJUUUORDSA-N 0 2 304.375 0.784 20 0 DCADLN CC(C)C[C@]1(C(=O)NCCc2n[nH]c(=S)o2)CCNC1=O ZINC000867484608 670969624 /nfs/dbraw/zinc/96/96/24/670969624.db2.gz XIVUHQLCUPYJQV-ZDUSSCGKSA-N 0 2 312.395 0.569 20 0 DCADLN O=c1[nH]nc(CCCNc2ccc(-c3nn[nH]n3)cc2F)[nH]1 ZINC000823665815 671404536 /nfs/dbraw/zinc/40/45/36/671404536.db2.gz XSZQWCGVSCYBQZ-UHFFFAOYSA-N 0 2 304.289 0.874 20 0 DCADLN Cn1cc(C2=CCCN(C(=O)C[C@@H]3SC(=N)NC3=O)C2)cn1 ZINC000824412856 671430032 /nfs/dbraw/zinc/43/00/32/671430032.db2.gz CEPDDRPJRFZJFH-NSHDSACASA-N 0 2 319.390 0.592 20 0 DCADLN COCCONC(=O)[C@H](CS(C)(=O)=O)c1ccccc1 ZINC000829682921 671584026 /nfs/dbraw/zinc/58/40/26/671584026.db2.gz OSGHSLUOSGPYMH-GFCCVEGCSA-N 0 2 301.364 0.509 20 0 DCADLN CN1CC(C(=O)N2CC[C@@](C)(NC(=O)C(F)(F)F)C2)=NC1=O ZINC000830794695 671641074 /nfs/dbraw/zinc/64/10/74/671641074.db2.gz AAJBHVUTNHDXCM-LLVKDONJSA-N 0 2 320.271 0.409 20 0 DCADLN Cc1nccn1C[C@H]1CCC[N@@H+]1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833918877 671750451 /nfs/dbraw/zinc/75/04/51/671750451.db2.gz QYQULVVOUDMKHG-CHWSQXEVSA-N 0 2 321.381 0.482 20 0 DCADLN Cc1nccn1C[C@H]1CCC[N@H+]1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833918877 671750453 /nfs/dbraw/zinc/75/04/53/671750453.db2.gz QYQULVVOUDMKHG-CHWSQXEVSA-N 0 2 321.381 0.482 20 0 DCADLN Cc1[nH+]ccn1C[C@H]1CCCN1CN1C[C@H](NC(=O)[O-])CC1=O ZINC000833918877 671750454 /nfs/dbraw/zinc/75/04/54/671750454.db2.gz QYQULVVOUDMKHG-CHWSQXEVSA-N 0 2 321.381 0.482 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCCO[C@@H]2CCCCO2)S1 ZINC000834831265 671783591 /nfs/dbraw/zinc/78/35/91/671783591.db2.gz JDANQNVAWBSKMD-GXSJLCMTSA-N 0 2 315.395 0.592 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@@H](CO)c1ccsc1 ZINC000867119656 671793500 /nfs/dbraw/zinc/79/35/00/671793500.db2.gz POYAIHXGJRZUDK-XYZCENFISA-N 0 2 312.438 0.734 20 0 DCADLN CCN(C)C(=O)[C@@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(C)C ZINC000836793855 671832213 /nfs/dbraw/zinc/83/22/13/671832213.db2.gz GHVHYQOWGZIWAF-SCZZXKLOSA-N 0 2 314.411 0.162 20 0 DCADLN CC(C)[C@H](CO)O[N-]C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000836897866 671838042 /nfs/dbraw/zinc/83/80/42/671838042.db2.gz YRTQCLVYDYTBDH-AWEZNQCLSA-N 0 2 322.409 0.268 20 0 DCADLN CC(C)[C@@H](CO)ONC(=O)[C@H]1CN(C)C(=O)c2ccccc21 ZINC000836901351 671838477 /nfs/dbraw/zinc/83/84/77/671838477.db2.gz WZYMWRCLTQPUHL-UONOGXRCSA-N 0 2 306.362 0.921 20 0 DCADLN CC(C)CN(Cc1cnn(C)c1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000837268892 671854264 /nfs/dbraw/zinc/85/42/64/671854264.db2.gz MVVWNVXEISDUHM-LLVKDONJSA-N 0 2 323.422 0.961 20 0 DCADLN O=C([O-])c1ccc[nH+]c1NCCS(=O)(=O)C1CCOCC1 ZINC000843037051 672055230 /nfs/dbraw/zinc/05/52/30/672055230.db2.gz USFYXGGGNGYWNP-UHFFFAOYSA-N 0 2 314.363 0.786 20 0 DCADLN Cn1cc(C=CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC000843878070 672126652 /nfs/dbraw/zinc/12/66/52/672126652.db2.gz KVAHFMBNWWXIMH-WYGGZMRJSA-N 0 2 302.338 0.663 20 0 DCADLN CC(C)n1ncc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1N ZINC000843876857 672126959 /nfs/dbraw/zinc/12/69/59/672126959.db2.gz AJKJEOFHOKTYTR-SECBINFHSA-N 0 2 319.369 0.890 20 0 DCADLN O=C(NC[C@H]1CS(=O)(=O)c2ccccc2O1)C(F)(F)F ZINC000843945966 672130520 /nfs/dbraw/zinc/13/05/20/672130520.db2.gz BIESMSSMIZLZHW-ZETCQYMHSA-N 0 2 309.265 0.900 20 0 DCADLN O=C([O-])COCC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000844251973 672168845 /nfs/dbraw/zinc/16/88/45/672168845.db2.gz CMJXHHVRFSDIHP-UHFFFAOYSA-N 0 2 303.318 0.649 20 0 DCADLN CCc1cccc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000844944175 672210577 /nfs/dbraw/zinc/21/05/77/672210577.db2.gz IBVFMVQJMDANII-UHFFFAOYSA-N 0 2 311.345 0.950 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)[N-]C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845571442 672263699 /nfs/dbraw/zinc/26/36/99/672263699.db2.gz XSEVYWDNEVTKJW-SECBINFHSA-N 0 2 311.367 0.381 20 0 DCADLN Cc1c[nH]c(C(=O)NC[C@H]2CCCCN2Cc2n[nH]c(=O)[nH]2)n1 ZINC001024700315 693819047 /nfs/dbraw/zinc/81/90/47/693819047.db2.gz XKGCAUNYPGXVCP-SNVBAGLBSA-N 0 2 319.369 0.326 20 0 DCADLN CN(C(=O)[C@H]1CCCO1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953673514 685777136 /nfs/dbraw/zinc/77/71/36/685777136.db2.gz NWZWGNWXTFXRMP-RKDXNWHRSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)[C@H]1CCCO1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000953673514 685777140 /nfs/dbraw/zinc/77/71/40/685777140.db2.gz NWZWGNWXTFXRMP-RKDXNWHRSA-N 0 2 312.263 0.735 20 0 DCADLN CN(C(=O)c1ccnnc1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000955434449 686414024 /nfs/dbraw/zinc/41/40/24/686414024.db2.gz WEKRZDYEMDVEIA-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN CN(C(=O)c1ccnnc1)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000955434449 686414029 /nfs/dbraw/zinc/41/40/29/686414029.db2.gz WEKRZDYEMDVEIA-SECBINFHSA-N 0 2 320.246 0.660 20 0 DCADLN C[C@@H](C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)c1ccccc1 ZINC000957387258 687047775 /nfs/dbraw/zinc/04/77/75/687047775.db2.gz NNSYERILVDULAA-GFCCVEGCSA-N 0 2 315.377 0.958 20 0 DCADLN C[C@@H]1CCCCN1C(=O)CN1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001038433971 694078995 /nfs/dbraw/zinc/07/89/95/694078995.db2.gz HGFCZKMPLITUPU-VXGBXAGGSA-N 0 2 320.397 0.010 20 0 DCADLN C[C@@H]1CCCCN1C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001038433971 694079003 /nfs/dbraw/zinc/07/90/03/694079003.db2.gz HGFCZKMPLITUPU-VXGBXAGGSA-N 0 2 320.397 0.010 20 0 DCADLN C[C@@H]1CCCCN1C(=O)C[N@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001038433971 694079009 /nfs/dbraw/zinc/07/90/09/694079009.db2.gz HGFCZKMPLITUPU-VXGBXAGGSA-N 0 2 320.397 0.010 20 0 DCADLN Cc1nsc(C)c1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000957817483 687204034 /nfs/dbraw/zinc/20/40/34/687204034.db2.gz JGYJQKHDIFFRRH-UHFFFAOYSA-N 0 2 322.394 0.542 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccoc1Cl ZINC000958711109 687869096 /nfs/dbraw/zinc/86/90/96/687869096.db2.gz VNOVWGGSQTUYCE-MBTKJCJQSA-N 0 2 323.740 0.617 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)c1ccoc1Cl ZINC000958711109 687869104 /nfs/dbraw/zinc/86/91/04/687869104.db2.gz VNOVWGGSQTUYCE-MBTKJCJQSA-N 0 2 323.740 0.617 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)ccc1F ZINC001038658462 694151729 /nfs/dbraw/zinc/15/17/29/694151729.db2.gz KLHAJCSJRSCKHY-LLVKDONJSA-N 0 2 319.340 0.962 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@@H]1C[C@H]1c1ccco1 ZINC001038716260 694173087 /nfs/dbraw/zinc/17/30/87/694173087.db2.gz CEWYPOACJKJTSE-HBNTYKKESA-N 0 2 317.349 0.598 20 0 DCADLN CCn1cc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)n1 ZINC001038755768 694182283 /nfs/dbraw/zinc/18/22/83/694182283.db2.gz ZHVFIYVYYIYAND-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN CCc1oncc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038763322 694184298 /nfs/dbraw/zinc/18/42/98/694184298.db2.gz DXKSWNLWAASCLB-QMMMGPOBSA-N 0 2 306.326 0.065 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1c[nH]cc1C1CC1 ZINC001038862711 694221283 /nfs/dbraw/zinc/22/12/83/694221283.db2.gz BQBDYRVYLJPADT-JTQLQIEISA-N 0 2 316.365 0.720 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1 ZINC001038950151 694251524 /nfs/dbraw/zinc/25/15/24/694251524.db2.gz SKXGZZFRHFHYAZ-GFCCVEGCSA-N 0 2 301.350 0.823 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(F)cc(F)c1 ZINC001038999390 694265155 /nfs/dbraw/zinc/26/51/55/694265155.db2.gz ICJHPPYAAFYIBS-NSHDSACASA-N 0 2 323.303 0.793 20 0 DCADLN CC(=O)NC[C@@H]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)O1 ZINC000965510535 689462842 /nfs/dbraw/zinc/46/28/42/689462842.db2.gz VBEIDZJUVAGWJQ-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN CC(=O)NC[C@@H]1CCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)O1 ZINC000965510535 689462844 /nfs/dbraw/zinc/46/28/44/689462844.db2.gz VBEIDZJUVAGWJQ-DTWKUNHWSA-N 0 2 312.263 0.783 20 0 DCADLN Cc1c[nH]nc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@H]1C ZINC000966009574 689606874 /nfs/dbraw/zinc/60/68/74/689606874.db2.gz HULAHDBKONUIBK-SCZZXKLOSA-N 0 2 319.369 0.182 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@@H]([NH2+]Cc3cscn3)C2)c1[O-] ZINC000968419327 690260126 /nfs/dbraw/zinc/26/01/26/690260126.db2.gz JNONHUWKFJVWCC-SECBINFHSA-N 0 2 307.379 0.885 20 0 DCADLN C[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccc[nH]1 ZINC000968672635 690362628 /nfs/dbraw/zinc/36/26/28/690362628.db2.gz FGBIVUMKMFCGRG-JQWIXIFHSA-N 0 2 318.381 0.408 20 0 DCADLN C[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccc[nH]1 ZINC000968672635 690362629 /nfs/dbraw/zinc/36/26/29/690362629.db2.gz FGBIVUMKMFCGRG-JQWIXIFHSA-N 0 2 318.381 0.408 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cocn1 ZINC000968777381 690389952 /nfs/dbraw/zinc/38/99/52/690389952.db2.gz DIOXCOHRPBWARD-SNVBAGLBSA-N 0 2 320.353 0.673 20 0 DCADLN O=C(NC[C@H]1CCCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cocn1 ZINC000968777381 690389953 /nfs/dbraw/zinc/38/99/53/690389953.db2.gz DIOXCOHRPBWARD-SNVBAGLBSA-N 0 2 320.353 0.673 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccncc1 ZINC001006578856 690558172 /nfs/dbraw/zinc/55/81/72/690558172.db2.gz KUCKJRHLBUVEIP-LLVKDONJSA-N 0 2 302.338 0.300 20 0 DCADLN O=C(Cc1ccsc1)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001006654298 690569075 /nfs/dbraw/zinc/56/90/75/690569075.db2.gz ZCCJREFEOBMGPE-LLVKDONJSA-N 0 2 321.406 0.895 20 0 DCADLN Cc1cccnc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007033679 690621951 /nfs/dbraw/zinc/62/19/51/690621951.db2.gz HGPHWCAUKOKHIE-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC(O)CC1 ZINC001007228083 690645633 /nfs/dbraw/zinc/64/56/33/690645633.db2.gz RFJGNECJSFMUJT-MOENNCHZSA-N 0 2 323.397 0.142 20 0 DCADLN O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1C[C@@H]1C(F)F ZINC001007243235 690648027 /nfs/dbraw/zinc/64/80/27/690648027.db2.gz FNZKSMUKJNRBKP-VGMNWLOBSA-N 0 2 315.324 0.492 20 0 DCADLN CCn1cccc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001009527637 691056313 /nfs/dbraw/zinc/05/63/13/691056313.db2.gz GCPBXNCAKPDXPF-NSHDSACASA-N 0 2 318.381 0.726 20 0 DCADLN O=C(Cn1cccn1)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001010347864 691233562 /nfs/dbraw/zinc/23/35/62/691233562.db2.gz QZQDEMPFPKGLRH-JTQLQIEISA-N 0 2 322.262 0.454 20 0 DCADLN O=C(Cn1cccn1)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001010347864 691233565 /nfs/dbraw/zinc/23/35/65/691233565.db2.gz QZQDEMPFPKGLRH-JTQLQIEISA-N 0 2 322.262 0.454 20 0 DCADLN Cc1cc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)[nH]n1 ZINC001010533002 691303694 /nfs/dbraw/zinc/30/36/94/691303694.db2.gz QBQWYWRGIUGKMA-VIFPVBQESA-N 0 2 322.262 0.903 20 0 DCADLN Cc1cc(C(=O)N2CCN(C(=O)C(F)C(F)(F)F)CC2)n[nH]1 ZINC001010533002 691303697 /nfs/dbraw/zinc/30/36/97/691303697.db2.gz QBQWYWRGIUGKMA-VIFPVBQESA-N 0 2 322.262 0.903 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N1CCN(C(=O)[C@H]2CCC[N@H+]2C)CC1 ZINC001010720683 691360741 /nfs/dbraw/zinc/36/07/41/691360741.db2.gz IQVHOAZFWCAOLS-CQSZACIVSA-N 0 2 319.409 0.026 20 0 DCADLN CCc1cc(C(=O)N2CC[C@@H](NC(=O)c3ncn[nH]3)[C@H]2C)[nH]n1 ZINC001011523568 691558489 /nfs/dbraw/zinc/55/84/89/691558489.db2.gz VFRMAYBMSZQIJT-PSASIEDQSA-N 0 2 317.353 0.123 20 0 DCADLN O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C12CCC(CC1)C2 ZINC001014956286 692142561 /nfs/dbraw/zinc/14/25/61/692142561.db2.gz ULGDBTJICHECHE-LOIXOFCESA-N 0 2 305.382 0.781 20 0 DCADLN Cc1cc(C(=O)N2CCC[C@H](NC(=O)c3cn[nH]n3)[C@@H]2C)n[nH]1 ZINC001015053762 692171771 /nfs/dbraw/zinc/17/17/71/692171771.db2.gz QHSVJZWQQAKOCM-UWVGGRQHSA-N 0 2 317.353 0.259 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1C[C@H]1c1ccco1 ZINC001015737545 692423662 /nfs/dbraw/zinc/42/36/62/692423662.db2.gz MQTOJTSTXYWJRF-HBNTYKKESA-N 0 2 317.349 0.598 20 0 DCADLN C[C@@]1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CCCOC1 ZINC001015912335 692501880 /nfs/dbraw/zinc/50/18/80/692501880.db2.gz BNMKFSJWLXMLJK-QMTHXVAHSA-N 0 2 309.370 0.018 20 0 DCADLN CC(C)c1oncc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015933091 692512009 /nfs/dbraw/zinc/51/20/09/692512009.db2.gz BEOFXSFTEUYRNY-VIFPVBQESA-N 0 2 320.353 0.626 20 0 DCADLN CC(C)n1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001015980515 692532601 /nfs/dbraw/zinc/53/26/01/692532601.db2.gz FFECXFLGTXQWBC-NSHDSACASA-N 0 2 319.369 0.292 20 0 DCADLN Cc1ccc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1 ZINC001016324533 692651188 /nfs/dbraw/zinc/65/11/88/692651188.db2.gz NVWBGTUFTWMPPD-NSHDSACASA-N 0 2 316.365 0.526 20 0 DCADLN Cc1cc(C(=O)N2C[C@@H](NC(=O)c3cn[nH]n3)CC[C@@H]2C)n[nH]1 ZINC001018250063 693070103 /nfs/dbraw/zinc/07/01/03/693070103.db2.gz JRMYSRGZEZCBCV-UWVGGRQHSA-N 0 2 317.353 0.259 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC[C@H]([NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001018878152 693272906 /nfs/dbraw/zinc/27/29/06/693272906.db2.gz JWQXJHCJCZJZCQ-CAHLUQPWSA-N 0 2 311.239 0.101 20 0 DCADLN Cc1nocc1C(=O)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072938652 694686507 /nfs/dbraw/zinc/68/65/07/694686507.db2.gz FWZKYVMHPLYBSM-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN Cc1nocc1C(=O)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001072938652 694686508 /nfs/dbraw/zinc/68/65/08/694686508.db2.gz FWZKYVMHPLYBSM-UHFFFAOYSA-N 0 2 318.337 0.155 20 0 DCADLN CC1(C)C[C@@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001073576056 694745279 /nfs/dbraw/zinc/74/52/79/694745279.db2.gz WJQYFXFSSNOVPX-GHMZBOCLSA-N 0 2 323.397 0.264 20 0 DCADLN CCC(=O)N1CCC(O)(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001074375206 694879494 /nfs/dbraw/zinc/87/94/94/694879494.db2.gz AOSURMPWXOHPOC-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)N1CCC(O)(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001074375206 694879495 /nfs/dbraw/zinc/87/94/95/694879495.db2.gz AOSURMPWXOHPOC-SECBINFHSA-N 0 2 314.279 0.767 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076219499 695006485 /nfs/dbraw/zinc/00/64/85/695006485.db2.gz NBDYHKPVIIUYDU-YVECIDJPSA-N 0 2 319.409 0.979 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076219499 695006486 /nfs/dbraw/zinc/00/64/86/695006486.db2.gz NBDYHKPVIIUYDU-YVECIDJPSA-N 0 2 319.409 0.979 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001076857842 695077604 /nfs/dbraw/zinc/07/76/04/695077604.db2.gz XXQREIJSTAQKRN-NKWVEPMBSA-N 0 2 310.251 0.935 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1ncc[nH]1 ZINC001076857842 695077606 /nfs/dbraw/zinc/07/76/06/695077606.db2.gz XXQREIJSTAQKRN-NKWVEPMBSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnc3nccn3c2)C1=O ZINC001626596902 1158003737 /nfs/dbraw/zinc/00/37/37/1158003737.db2.gz AYHDKTNPWLHLFA-ZDUSSCGKSA-N 0 2 302.294 0.095 20 0 DCADLN CCN(C)C(=O)CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001446033326 1159327418 /nfs/dbraw/zinc/32/74/18/1159327418.db2.gz WRILNZCTHUQJAH-PSASIEDQSA-N 0 2 313.295 0.556 20 0 DCADLN CCN(C)C(=O)CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001446033326 1159327426 /nfs/dbraw/zinc/32/74/26/1159327426.db2.gz WRILNZCTHUQJAH-PSASIEDQSA-N 0 2 313.295 0.556 20 0 DCADLN O=C(Cc1c[nH]cn1)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC001446204374 1159365164 /nfs/dbraw/zinc/36/51/64/1159365164.db2.gz NHSAXLAJAHETEC-LBPRGKRZSA-N 0 2 313.317 0.341 20 0 DCADLN O=C(Cc1cnc[nH]1)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC001446204374 1159365169 /nfs/dbraw/zinc/36/51/69/1159365169.db2.gz NHSAXLAJAHETEC-LBPRGKRZSA-N 0 2 313.317 0.341 20 0 DCADLN C[C@@H](CNC(=O)c1nnc[nH]1)[N@@H+](C)CC(=O)NCC(C)(C)C ZINC001448109103 1159719448 /nfs/dbraw/zinc/71/94/48/1159719448.db2.gz YHFKOADFTVFXNH-JTQLQIEISA-N 0 2 310.402 0.017 20 0 DCADLN C/C(=C\C(=O)N(C)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001567904372 1160527113 /nfs/dbraw/zinc/52/71/13/1160527113.db2.gz HQHPHLFUKCWTCY-OFFHKIPUSA-N 0 2 305.382 0.899 20 0 DCADLN C/C(=C\C(=O)N(C)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001567904372 1160527115 /nfs/dbraw/zinc/52/71/15/1160527115.db2.gz HQHPHLFUKCWTCY-OFFHKIPUSA-N 0 2 305.382 0.899 20 0 DCADLN CN(C(=O)Cc1c[nH+]c[nH]1)[C@@H]1CC[N@H+](CCOCC2CC2)C1 ZINC001567922095 1160536084 /nfs/dbraw/zinc/53/60/84/1160536084.db2.gz MBVNHEKQSMSBBT-OAHLLOKOSA-N 0 2 306.410 0.912 20 0 DCADLN C/C=C(/C)C(=O)N(C)C[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC001572469273 1163187580 /nfs/dbraw/zinc/18/75/80/1163187580.db2.gz CRXPGLDYTWZUNW-QNVIJMGISA-N 0 2 314.279 0.789 20 0 DCADLN C/C=C(/C)C(=O)N(C)C[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001572469273 1163187582 /nfs/dbraw/zinc/18/75/82/1163187582.db2.gz CRXPGLDYTWZUNW-QNVIJMGISA-N 0 2 314.279 0.789 20 0 DCADLN CC(C)c1[nH]cc(CNS(=O)(=O)C2(C(=O)[O-])CCC2)[nH+]1 ZINC001573532849 1163458714 /nfs/dbraw/zinc/45/87/14/1163458714.db2.gz DJKUIBZFBIYOCK-UHFFFAOYSA-N 0 2 301.368 0.960 20 0 DCADLN CC(C)c1[nH]c(CNS(=O)(=O)C2(C(=O)[O-])CCC2)c[nH+]1 ZINC001573532849 1163458732 /nfs/dbraw/zinc/45/87/32/1163458732.db2.gz DJKUIBZFBIYOCK-UHFFFAOYSA-N 0 2 301.368 0.960 20 0 DCADLN C[C@H](CC(=O)N[C@@H](C(=O)[O-])[C@@H](O)c1ccncc1)n1cc[nH+]c1 ZINC001573534574 1163464504 /nfs/dbraw/zinc/46/45/04/1163464504.db2.gz AIYINIFBBCURQU-HONMWMINSA-N 0 2 318.333 0.532 20 0 DCADLN CC[C@H]1CN(S(=O)(=O)CCn2cc[nH+]c2)C[C@]1(F)C(=O)[O-] ZINC001573825789 1163561255 /nfs/dbraw/zinc/56/12/55/1163561255.db2.gz HALHPGCNJQWZTH-CMPLNLGQSA-N 0 2 319.358 0.348 20 0 DCADLN C[N@H+](Cc1cnc2c(C(=O)[O-])cnn2c1)[C@H]1CCCCNC1=O ZINC001573877416 1163575662 /nfs/dbraw/zinc/57/56/62/1163575662.db2.gz PQPQARWNCJZJHV-LBPRGKRZSA-N 0 2 317.349 0.528 20 0 DCADLN C[N@@H+](Cc1cnc2c(C(=O)[O-])cnn2c1)[C@H]1CCCCNC1=O ZINC001573877416 1163575669 /nfs/dbraw/zinc/57/56/69/1163575669.db2.gz PQPQARWNCJZJHV-LBPRGKRZSA-N 0 2 317.349 0.528 20 0 DCADLN C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCc2cc(C(=O)[O-])no2)[nH]n1 ZINC001573884370 1163577420 /nfs/dbraw/zinc/57/74/20/1163577420.db2.gz FCECXZVLQXFSTB-GFCCVEGCSA-N 0 2 319.321 0.793 20 0 DCADLN C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCc2cc(C(=O)[O-])no2)[nH]n1 ZINC001573884370 1163577428 /nfs/dbraw/zinc/57/74/28/1163577428.db2.gz FCECXZVLQXFSTB-GFCCVEGCSA-N 0 2 319.321 0.793 20 0 DCADLN Cc1onc(C[NH+]2CCC(O)(c3nccn3C)CC2)c1C(=O)[O-] ZINC001574207928 1163663273 /nfs/dbraw/zinc/66/32/73/1163663273.db2.gz HSVBYVXSHJOQAT-UHFFFAOYSA-N 0 2 320.349 0.898 20 0 DCADLN O=C([O-])N1C[C@H](NC(=O)Nc2ccn3cc[nH+]c3c2)[C@@H](CO)C1 ZINC001574341913 1163698934 /nfs/dbraw/zinc/69/89/34/1163698934.db2.gz RKNSRQVUJCEHEL-KOLCDFICSA-N 0 2 319.321 0.427 20 0 DCADLN Cc1cc(C(=O)N[C@@H](CO)c2c[nH+]cn2C)ccc1C(=O)[O-] ZINC001574678674 1163740446 /nfs/dbraw/zinc/74/04/46/1163740446.db2.gz LYRYXPLWHHCAFY-LBPRGKRZSA-N 0 2 303.318 0.890 20 0 DCADLN C[C@H]([NH2+]Cc1c[nH]nn1)[C@H]1CCN(C(=O)c2ncccn2)C1 ZINC001575703898 1164112402 /nfs/dbraw/zinc/11/24/02/1164112402.db2.gz UUZVKCPCGYEWCI-QWRGUYRKSA-N 0 2 301.354 0.235 20 0 DCADLN CN(C[C@@H](O)C[N@@H+](Cc1cnn[nH]1)CC1CC1)CC(F)(F)F ZINC001576764395 1164456237 /nfs/dbraw/zinc/45/62/37/1164456237.db2.gz KWLRXQRICDDTQA-GFCCVEGCSA-N 0 2 321.347 0.872 20 0 DCADLN COCC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2cn[nH]n2)cc1 ZINC001577006908 1164580934 /nfs/dbraw/zinc/58/09/34/1164580934.db2.gz BZUTYPBJBPAKOP-CQSZACIVSA-N 0 2 315.377 0.922 20 0 DCADLN Cn1nccc1C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)[N@H+](Cc1c[nH]nn1)C2 ZINC001577689115 1164766388 /nfs/dbraw/zinc/76/63/88/1164766388.db2.gz RORGRWYGZWUCRY-LOWVWBTDSA-N 0 2 315.381 0.321 20 0 DCADLN O=C(Cc1cccs1)NC[C@H]1CN(Cc2cn[nH]n2)CCO1 ZINC001577964194 1164865902 /nfs/dbraw/zinc/86/59/02/1164865902.db2.gz JKZZZJABTQHDES-LBPRGKRZSA-N 0 2 321.406 0.426 20 0 DCADLN O=C(Cc1cccs1)NC[C@H]1CN(Cc2c[nH]nn2)CCO1 ZINC001577964194 1164865910 /nfs/dbraw/zinc/86/59/10/1164865910.db2.gz JKZZZJABTQHDES-LBPRGKRZSA-N 0 2 321.406 0.426 20 0 DCADLN CCOC(=O)N1CC[C@@H](NC(=O)c2cc3n(n2)C[C@@H](C)NC3)C1 ZINC001577967043 1164867018 /nfs/dbraw/zinc/86/70/18/1164867018.db2.gz GGCPJYVZJPFUAT-GHMZBOCLSA-N 0 2 321.381 0.335 20 0 DCADLN COc1ccc(NC(=O)Cc2n[nH]c(C)n2)cc1-c1nn[nH]n1 ZINC001580036691 1165480074 /nfs/dbraw/zinc/48/00/74/1165480074.db2.gz FIUIIFNBKQIOTM-UHFFFAOYSA-N 0 2 314.309 0.483 20 0 DCADLN CSc1ccc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cc1 ZINC001580234974 1165542483 /nfs/dbraw/zinc/54/24/83/1165542483.db2.gz KOQAHMIOQSCYNF-UHFFFAOYSA-N 0 2 316.346 0.899 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(CC[C@@H]2C[C@H]2C2CC2)c(=O)n1C ZINC001580522362 1165629871 /nfs/dbraw/zinc/62/98/71/1165629871.db2.gz OIVNDGGDVQEMMT-MNOVXSKESA-N 0 2 316.365 0.472 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCO[C@H](C)C1 ZINC001580602701 1165652774 /nfs/dbraw/zinc/65/27/74/1165652774.db2.gz RIYFAVHLKGTGCB-RKDXNWHRSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CSC1(C)C ZINC001580603382 1165653440 /nfs/dbraw/zinc/65/34/40/1165653440.db2.gz LOQOUWKHPMLGNN-MRVPVSSYSA-N 0 2 320.378 0.900 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@H](CO)C(C)(C)C ZINC001580607251 1165654457 /nfs/dbraw/zinc/65/44/57/1165654457.db2.gz TTXOXQWVYQBPPN-SECBINFHSA-N 0 2 320.353 0.413 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NOC1CCCC1 ZINC001580605958 1165654944 /nfs/dbraw/zinc/65/49/44/1165654944.db2.gz AAGTWVCXCIWFRL-UHFFFAOYSA-N 0 2 304.310 0.880 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)Nc1ccc[nH]c1=O ZINC001580608498 1165655839 /nfs/dbraw/zinc/65/58/39/1165655839.db2.gz CWFZOVYVPCSDQC-UHFFFAOYSA-N 0 2 313.277 0.629 20 0 DCADLN O=C(Nc1sccc1-c1nn[nH]n1)N[C@H]1CC[C@@H](O)[C@@H](O)C1 ZINC001582655164 1166063152 /nfs/dbraw/zinc/06/31/52/1166063152.db2.gz YFRKAPSASLPWKY-ZQARSLAVSA-N 0 2 324.366 0.324 20 0 DCADLN C[C@@H]1CCCC[C@@]1(CNC(=O)C(N)=O)NC(=O)C(C)(F)F ZINC001582666136 1166063494 /nfs/dbraw/zinc/06/34/94/1166063494.db2.gz BYBRVTOKMWRVLI-OQPBUACISA-N 0 2 305.325 0.308 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1C[C@@H]1CCC[C@]2(CCOC2)O1 ZINC001582806809 1166085224 /nfs/dbraw/zinc/08/52/24/1166085224.db2.gz UKGLDRHSAJDWSI-IINYFYTJSA-N 0 2 318.337 0.152 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001587584793 1166508522 /nfs/dbraw/zinc/50/85/22/1166508522.db2.gz OXJRFKQIDFAMGD-UHFFFAOYSA-N 0 2 312.333 0.766 20 0 DCADLN O=C(c1c[n-]n2c1nccc2=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001587584793 1166508527 /nfs/dbraw/zinc/50/85/27/1166508527.db2.gz OXJRFKQIDFAMGD-UHFFFAOYSA-N 0 2 312.333 0.766 20 0 DCADLN CC(C)[C@@]1(C)C[C@@H]1Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001589489850 1166661192 /nfs/dbraw/zinc/66/11/92/1166661192.db2.gz DDJZUEXFBOZHSN-WPPNPWJKSA-N 0 2 305.342 0.513 20 0 DCADLN CC(C)CCc1noc(Cn2cnc(-c3nn[nH]n3)cc2=O)n1 ZINC001589609286 1166666612 /nfs/dbraw/zinc/66/66/12/1166666612.db2.gz FJIGUPZMVIRAKK-UHFFFAOYSA-N 0 2 316.325 0.443 20 0 DCADLN CC(C)C[C@@H]1COCCN1Cn1cccc(-c2nn[nH]n2)c1=O ZINC001589574466 1166667756 /nfs/dbraw/zinc/66/77/56/1166667756.db2.gz VZLXHKHQSZOYIC-GFCCVEGCSA-N 0 2 318.381 0.733 20 0 DCADLN C[C@H](CNc1cncc(-c2nn[nH]n2)n1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589879431 1166704515 /nfs/dbraw/zinc/70/45/15/1166704515.db2.gz OWYWPBFGNLBAGE-MXWKQRLJSA-N 0 2 318.385 0.566 20 0 DCADLN CC1(C)CCC[C@H](n2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)C1=O ZINC001590098518 1166774182 /nfs/dbraw/zinc/77/41/82/1166774182.db2.gz TUNYSWRRPZNVKE-QMMMGPOBSA-N 0 2 304.310 0.449 20 0 DCADLN C[C@H]1CCCC[C@@H]1Cn1c(=O)c(-c2nn[nH]n2)cn(C)c1=O ZINC001590252178 1166826501 /nfs/dbraw/zinc/82/65/01/1166826501.db2.gz LDTDOCLVAVMLGH-VHSXEESVSA-N 0 2 304.354 0.553 20 0 DCADLN CC[C@@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCCO1 ZINC001590521983 1166933275 /nfs/dbraw/zinc/93/32/75/1166933275.db2.gz FSAOGRZQFUDNFP-LLVKDONJSA-N 0 2 304.354 0.487 20 0 DCADLN CC[C@@H]1CO[C@@H](CC)CN1Cn1cccc(-c2nn[nH]n2)c1=O ZINC001590524249 1166934555 /nfs/dbraw/zinc/93/45/55/1166934555.db2.gz RAOBQQJIUIWLGP-NEPJUHHUSA-N 0 2 318.381 0.875 20 0 DCADLN COC(=O)c1coc(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)c1 ZINC001591307992 1167205308 /nfs/dbraw/zinc/20/53/08/1167205308.db2.gz UMNQSAJITAUHET-UHFFFAOYSA-N 0 2 315.289 0.765 20 0 DCADLN COCc1nc(CNC(=O)c2csc(-c3nn[nH]n3)c2)n[nH]1 ZINC001591461304 1167297363 /nfs/dbraw/zinc/29/73/63/1167297363.db2.gz DYHZJNZUQRSATD-UHFFFAOYSA-N 0 2 320.338 0.123 20 0 DCADLN Cc1[nH]c(-c2nn[nH]n2)cc1C(=O)N[C@H](C)c1nn(C)cc1O ZINC001591782075 1167377193 /nfs/dbraw/zinc/37/71/93/1167377193.db2.gz ABSVBQLINNFSPT-SSDOTTSWSA-N 0 2 316.325 0.433 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2ccc3nonc3c2)c1 ZINC001591837209 1167390124 /nfs/dbraw/zinc/39/01/24/1167390124.db2.gz VPXRWMFJJWXJKW-UHFFFAOYSA-N 0 2 309.289 0.921 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(Cc2cc3n(n2)CCCC3)c1 ZINC001591836574 1167390835 /nfs/dbraw/zinc/39/08/35/1167390835.db2.gz BKJUNCOIOKAOOB-UHFFFAOYSA-N 0 2 311.349 0.918 20 0 DCADLN Cc1cc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)cc(Cl)n1 ZINC001591977771 1167427872 /nfs/dbraw/zinc/42/78/72/1167427872.db2.gz WBOMUUNQAGQIAQ-UHFFFAOYSA-N 0 2 319.712 0.534 20 0 DCADLN Cc1ccn(CC[C@@H]2COC(C)(C)O2)c(=O)c1-c1nn[nH]n1 ZINC001592265602 1167480753 /nfs/dbraw/zinc/48/07/53/1167480753.db2.gz BXNRCXNQPUUWMR-SNVBAGLBSA-N 0 2 305.338 0.878 20 0 DCADLN Cc1nc(CNC(=O)c2[nH]c3ccccc3c2-c2nn[nH]n2)n[nH]1 ZINC001592326497 1167488806 /nfs/dbraw/zinc/48/88/06/1167488806.db2.gz MOGWDLXOEIYZQR-UHFFFAOYSA-N 0 2 323.320 0.705 20 0 DCADLN Cc1noc(C)c1Cn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001592437286 1167523139 /nfs/dbraw/zinc/52/31/39/1167523139.db2.gz QWLVSMGBWJMMKD-UHFFFAOYSA-N 0 2 301.310 0.693 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@@H](C)C(=O)NC(C)C ZINC001592498688 1167539392 /nfs/dbraw/zinc/53/93/92/1167539392.db2.gz DAXJTZWJCHFTCV-ZETCQYMHSA-N 0 2 306.326 0.411 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1C[C@H](C)Cn2ncnc21 ZINC001592496814 1167539772 /nfs/dbraw/zinc/53/97/72/1167539772.db2.gz LMTRWHMEBKYOSN-ZETCQYMHSA-N 0 2 314.309 0.656 20 0 DCADLN O=C(Cn1cncc(-c2nn[nH]n2)c1=O)[C@H]1Cc2ccccc21 ZINC001592941788 1167669485 /nfs/dbraw/zinc/66/94/85/1167669485.db2.gz WINZPIHGTBFWFH-NSHDSACASA-N 0 2 308.301 0.332 20 0 DCADLN O=C(NCc1n[nH]c(C2CC2)n1)c1ccc(-c2nn[nH]n2)o1 ZINC001593152702 1167730216 /nfs/dbraw/zinc/73/02/16/1167730216.db2.gz JFXOUVSADBDCLN-UHFFFAOYSA-N 0 2 300.282 0.385 20 0 DCADLN O=C(c1ccc(-c2nn[nH]n2)o1)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001593341335 1167781691 /nfs/dbraw/zinc/78/16/91/1167781691.db2.gz TZJPTYVMEXSCJM-SSDOTTSWSA-N 0 2 300.282 0.208 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ccn1Cc1nccn1CC(F)F ZINC001593494848 1167879830 /nfs/dbraw/zinc/87/98/30/1167879830.db2.gz YGIJKBLRVHTDSL-UHFFFAOYSA-N 0 2 307.264 0.538 20 0 DCADLN CCc1cc(=O)n2nc(NC(=O)c3ncc(Cl)cn3)[nH]c2n1 ZINC001595391848 1167970981 /nfs/dbraw/zinc/97/09/81/1167970981.db2.gz GXNZNBBKDACPGM-UHFFFAOYSA-N 0 2 319.712 0.676 20 0 DCADLN Cc1nc(CN2CC[NH+](Cc3cc(C(=O)[O-])n(C)c3)CC2)no1 ZINC001600701090 1168256531 /nfs/dbraw/zinc/25/65/31/1168256531.db2.gz JPCJWBYLKFKRDI-UHFFFAOYSA-N 0 2 319.365 0.733 20 0 DCADLN Cc1ncccc1C[NH+]1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001600752742 1168276492 /nfs/dbraw/zinc/27/64/92/1168276492.db2.gz RSRZAOLBVFWVJK-KGLIPLIRSA-N 0 2 303.362 0.755 20 0 DCADLN Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)[C@H]2C[C@H]2C(=O)[O-])cc[nH+]1 ZINC001600752106 1168276523 /nfs/dbraw/zinc/27/65/23/1168276523.db2.gz FFKHWMQPWFIFPC-WHOHXGKFSA-N 0 2 320.349 0.191 20 0 DCADLN Cc1nn(C(C)C)cc1C[N@@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001600767782 1168282894 /nfs/dbraw/zinc/28/28/94/1168282894.db2.gz WZNYEKIWWSRBCE-CYBMUJFWSA-N 0 2 308.382 0.938 20 0 DCADLN Cc1nn(C(C)C)cc1C[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001600767782 1168282903 /nfs/dbraw/zinc/28/29/03/1168282903.db2.gz WZNYEKIWWSRBCE-CYBMUJFWSA-N 0 2 308.382 0.938 20 0 DCADLN Cc1nnc(C[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1C1CC1 ZINC001600795659 1168298352 /nfs/dbraw/zinc/29/83/52/1168298352.db2.gz CYKHOWVADUHXDO-LLVKDONJSA-N 0 2 317.353 0.658 20 0 DCADLN Cc1nnc(C[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1C1CC1 ZINC001600795659 1168298353 /nfs/dbraw/zinc/29/83/53/1168298353.db2.gz CYKHOWVADUHXDO-LLVKDONJSA-N 0 2 317.353 0.658 20 0 DCADLN Cn1c(C[N@@H+]2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)ccc1C(=O)[O-] ZINC001600913993 1168506256 /nfs/dbraw/zinc/50/62/56/1168506256.db2.gz WJMARBPPDKGTIN-MNOVXSKESA-N 0 2 312.391 0.590 20 0 DCADLN Cn1c(C[N@H+]2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)ccc1C(=O)[O-] ZINC001600913993 1168506268 /nfs/dbraw/zinc/50/62/68/1168506268.db2.gz WJMARBPPDKGTIN-MNOVXSKESA-N 0 2 312.391 0.590 20 0 DCADLN Cn1cc(Cl)c(C[NH2+]CCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])n1 ZINC001600956896 1168531496 /nfs/dbraw/zinc/53/14/96/1168531496.db2.gz KTMURBAKXGRMJC-YUMQZZPRSA-N 0 2 300.746 0.000 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)Nc2cnn(C(C)(C)C(=O)[O-])c2)c1 ZINC001600988827 1168547060 /nfs/dbraw/zinc/54/70/60/1168547060.db2.gz IFUSBGYZZPOEOK-UHFFFAOYSA-N 0 2 320.353 0.801 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N[C@@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001600993449 1168550250 /nfs/dbraw/zinc/55/02/50/1168550250.db2.gz OTVAJSMYDBSFEJ-LOIXOFCESA-N 0 2 307.350 0.491 20 0 DCADLN NC(=O)C[C@H]1C[N@H+](Cc2[nH]cc(C(=O)[O-])c2C2CC2)CCO1 ZINC001601147785 1168601629 /nfs/dbraw/zinc/60/16/29/1168601629.db2.gz FGRBMRMAOVEKIE-JTQLQIEISA-N 0 2 307.350 0.667 20 0 DCADLN NC(=O)C[C@H]1C[N@@H+](Cc2[nH]cc(C(=O)[O-])c2C2CC2)CCO1 ZINC001601147785 1168601633 /nfs/dbraw/zinc/60/16/33/1168601633.db2.gz FGRBMRMAOVEKIE-JTQLQIEISA-N 0 2 307.350 0.667 20 0 DCADLN NC(=O)c1ccc(NC(=O)[C@H]2C[C@H]2C(=O)[O-])c(-n2cc[nH+]c2)c1 ZINC001601166938 1168617564 /nfs/dbraw/zinc/61/75/64/1168617564.db2.gz DLPFHQBVQFYZCB-VHSXEESVSA-N 0 2 314.301 0.630 20 0 DCADLN Nc1nc(C2CC2)ncc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601220015 1168663475 /nfs/dbraw/zinc/66/34/75/1168663475.db2.gz RGZYSBVGNDRJOU-JTQLQIEISA-N 0 2 316.321 0.085 20 0 DCADLN Nc1nc(C2CC2)ncc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601220015 1168663480 /nfs/dbraw/zinc/66/34/80/1168663480.db2.gz RGZYSBVGNDRJOU-JTQLQIEISA-N 0 2 316.321 0.085 20 0 DCADLN O=C([O-])c1c(NC(=O)/C=C\C[NH+]2CCOCC2)nc2n1CCC2 ZINC001601230688 1168670668 /nfs/dbraw/zinc/67/06/68/1168670668.db2.gz FOUNEMBRLMSTKY-RQOWECAXSA-N 0 2 320.349 0.354 20 0 DCADLN O=C(CNC(=O)CC1CCCC1)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601252671 1168677041 /nfs/dbraw/zinc/67/70/41/1168677041.db2.gz GPRVSIXUZHSHGY-LBPRGKRZSA-N 0 2 322.365 0.218 20 0 DCADLN O=C(CNC(=O)CC1CCCC1)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601252671 1168677054 /nfs/dbraw/zinc/67/70/54/1168677054.db2.gz GPRVSIXUZHSHGY-LBPRGKRZSA-N 0 2 322.365 0.218 20 0 DCADLN O=C([O-])c1ccn(CCCC(=O)N[C@@H]2CCn3cc[nH+]c3C2)n1 ZINC001601272530 1168685060 /nfs/dbraw/zinc/68/50/60/1168685060.db2.gz GBEXZASWZCJZLX-LLVKDONJSA-N 0 2 317.349 0.689 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2ccc(Cl)cn2)C1 ZINC001601304677 1168697222 /nfs/dbraw/zinc/69/72/22/1168697222.db2.gz FNCHGKJXLXFPQM-SCZZXKLOSA-N 0 2 313.741 0.441 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)C[N@H+](CC(=O)Nc2ccc(Cl)cn2)C1 ZINC001601304677 1168697225 /nfs/dbraw/zinc/69/72/25/1168697225.db2.gz FNCHGKJXLXFPQM-SCZZXKLOSA-N 0 2 313.741 0.441 20 0 DCADLN O=C([O-])c1c(NC(=O)C[N@H+]2C[C@@H]3C[C@]3(O)C2)sc2c1CCC2 ZINC001601306450 1168698587 /nfs/dbraw/zinc/69/85/87/1168698587.db2.gz KJZJVVAJBUSYOU-AYVTZFPOSA-N 0 2 322.386 0.940 20 0 DCADLN O=C([O-])c1c(NC(=O)C[N@@H+]2C[C@@H]3C[C@]3(O)C2)sc2c1CCC2 ZINC001601306450 1168698595 /nfs/dbraw/zinc/69/85/95/1168698595.db2.gz KJZJVVAJBUSYOU-AYVTZFPOSA-N 0 2 322.386 0.940 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)CN1CCc2ccccc21 ZINC001601319956 1168708086 /nfs/dbraw/zinc/70/80/86/1168708086.db2.gz KDORTRYGNOGHKV-ZDUSSCGKSA-N 0 2 314.345 0.515 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1cnccc1Cl ZINC001601372331 1168722336 /nfs/dbraw/zinc/72/23/36/1168722336.db2.gz NEZIUAAIDZSQBJ-NSHDSACASA-N 0 2 308.725 0.813 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1cnccc1Cl ZINC001601372331 1168722345 /nfs/dbraw/zinc/72/23/45/1168722345.db2.gz NEZIUAAIDZSQBJ-NSHDSACASA-N 0 2 308.725 0.813 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)[C@@H]1CCn2cc[nH+]c2C1)[C@@H]1CCOC1 ZINC001601451495 1168752904 /nfs/dbraw/zinc/75/29/04/1168752904.db2.gz HMCGHOMUVXXMOO-UTUOFQBUSA-N 0 2 307.350 0.299 20 0 DCADLN O=C([O-])C1CC2(C1)C[C@@H](NC(=O)NCCn1cc[nH+]c1)CCO2 ZINC001601517591 1168771715 /nfs/dbraw/zinc/77/17/15/1168771715.db2.gz DKPMUAZAWVKZNC-AVERBVTBSA-N 0 2 322.365 0.595 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)N[C@H]2Cc3c[nH+]cn3C2)s1 ZINC001601548133 1168779605 /nfs/dbraw/zinc/77/96/05/1168779605.db2.gz KTSCFWLXYKJZTH-ZETCQYMHSA-N 0 2 307.335 0.462 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cnc(NC2CC2)s1 ZINC001601565148 1168782523 /nfs/dbraw/zinc/78/25/23/1168782523.db2.gz QRQYOMCZLGGTBY-VIFPVBQESA-N 0 2 321.362 0.866 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cnc(NC2CC2)s1 ZINC001601565148 1168782533 /nfs/dbraw/zinc/78/25/33/1168782533.db2.gz QRQYOMCZLGGTBY-VIFPVBQESA-N 0 2 321.362 0.866 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1CCc2ncncc2C1 ZINC001601567926 1168784322 /nfs/dbraw/zinc/78/43/22/1168784322.db2.gz AHVMEGIKWAISNO-TVQRCGJNSA-N 0 2 315.333 0.117 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1CCc2ncncc2C1 ZINC001601567926 1168784328 /nfs/dbraw/zinc/78/43/28/1168784328.db2.gz AHVMEGIKWAISNO-TVQRCGJNSA-N 0 2 315.333 0.117 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H](O)CCc1ccccc1 ZINC001601568149 1168784932 /nfs/dbraw/zinc/78/49/32/1168784932.db2.gz ICAZDNFKUPJLPG-KGLIPLIRSA-N 0 2 317.345 0.515 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H](O)CCc1ccccc1 ZINC001601568149 1168784936 /nfs/dbraw/zinc/78/49/36/1168784936.db2.gz ICAZDNFKUPJLPG-KGLIPLIRSA-N 0 2 317.345 0.515 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@@H+](Cc2ncnn2-c2ccccc2)C1 ZINC001601767382 1168865525 /nfs/dbraw/zinc/86/55/25/1168865525.db2.gz DOVLVXWYEFNZOS-AAEUAGOBSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)C[N@H+](Cc2ncnn2-c2ccccc2)C1 ZINC001601767382 1168865536 /nfs/dbraw/zinc/86/55/36/1168865536.db2.gz DOVLVXWYEFNZOS-AAEUAGOBSA-N 0 2 302.334 0.535 20 0 DCADLN O=C([O-])[C@@H]1C[C@H]1C(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001601780904 1168887906 /nfs/dbraw/zinc/88/79/06/1168887906.db2.gz NKVCGYHWQWEABM-GHMZBOCLSA-N 0 2 322.365 0.654 20 0 DCADLN O=C([O-])C1CCC(S(=O)(=O)NCCCn2cc[nH+]c2)CC1 ZINC001601796190 1168900431 /nfs/dbraw/zinc/90/04/31/1168900431.db2.gz YTHLVUKBCULAQJ-UHFFFAOYSA-N 0 2 315.395 0.836 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)Cn2cc[nH+]c2)c2ccc(F)cc2O1 ZINC001601844197 1168912639 /nfs/dbraw/zinc/91/26/39/1168912639.db2.gz OEVSATRKVICGJB-GFCCVEGCSA-N 0 2 305.265 0.901 20 0 DCADLN O=C([O-])[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)c2ccc(F)cc2O1 ZINC001601844031 1168912668 /nfs/dbraw/zinc/91/26/68/1168912668.db2.gz GCZLHKHCYQYMIA-LLVKDONJSA-N 0 2 305.265 0.970 20 0 DCADLN O=C([O-])[C@H]1CN(C[C@H](O)C[NH+]2CCOCC2)c2ccccc2O1 ZINC001601850709 1168914034 /nfs/dbraw/zinc/91/40/34/1168914034.db2.gz HIBSJYYVRWEKDL-IUODEOHRSA-N 0 2 322.361 0.032 20 0 DCADLN O=C([O-])CC1(C[NH+]2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001601915185 1168934489 /nfs/dbraw/zinc/93/44/89/1168934489.db2.gz FJFZRDGBCGVFTI-GFCCVEGCSA-N 0 2 316.423 0.046 20 0 DCADLN O=C([O-])CC1CCN(C(=O)C(=O)N2CC[NH+](C3CC3)CC2)CC1 ZINC001601953748 1168945596 /nfs/dbraw/zinc/94/55/96/1168945596.db2.gz IZTSHPFUPVPLGE-UHFFFAOYSA-N 0 2 323.393 0.006 20 0 DCADLN O=C([O-])CCc1ccccc1C(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001602045801 1168975586 /nfs/dbraw/zinc/97/55/86/1168975586.db2.gz UUSGVBNJALHVFO-ZDUSSCGKSA-N 0 2 317.345 0.760 20 0 DCADLN O=C([O-])Cn1cc(CN2CC[NH+](Cc3ccco3)CC2)nn1 ZINC001602162876 1169009464 /nfs/dbraw/zinc/00/94/64/1169009464.db2.gz MMHHZKPXHYLRMD-UHFFFAOYSA-N 0 2 305.338 0.274 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@]2(CO)CCc3ccccc32)nn1 ZINC001602162606 1169011103 /nfs/dbraw/zinc/01/11/03/1169011103.db2.gz FGFVSXASAFPSPT-HNNXBMFYSA-N 0 2 302.334 0.286 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)N2CC[NH2+][C@@H](c3ccc(F)cc3)C2)O1 ZINC001602176897 1169016674 /nfs/dbraw/zinc/01/66/74/1169016674.db2.gz UQQJALOIVJRTSP-MCIONIFRSA-N 0 2 322.336 0.931 20 0 DCADLN O=C([O-])c1cn(CCNC(=O)c2cc3c[nH+]ccc3[nH]2)nn1 ZINC001602503076 1169097679 /nfs/dbraw/zinc/09/76/79/1169097679.db2.gz MWAYSZAKJXHUJK-UHFFFAOYSA-N 0 2 300.278 0.283 20 0 DCADLN O=Cc1ccc(F)c(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c1 ZINC001602666563 1169154549 /nfs/dbraw/zinc/15/45/49/1169154549.db2.gz DQJROXDRRKTTAF-GFCCVEGCSA-N 0 2 305.265 0.787 20 0 DCADLN O=Cc1ccc(F)c(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001602666563 1169154552 /nfs/dbraw/zinc/15/45/52/1169154552.db2.gz DQJROXDRRKTTAF-GFCCVEGCSA-N 0 2 305.265 0.787 20 0 DCADLN C/C(=C/C(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001602955483 1169220494 /nfs/dbraw/zinc/22/04/94/1169220494.db2.gz RZKTZHZSZPDJRP-KPQIZHSUSA-N 0 2 308.378 0.634 20 0 DCADLN C/C(=C\C[N@@H+]1CCc2c(cccc2S(N)(=O)=O)C1)C(=O)[O-] ZINC001602963533 1169221464 /nfs/dbraw/zinc/22/14/64/1169221464.db2.gz FSXQHNNSEQJHNM-BJMVGYQFSA-N 0 2 310.375 0.723 20 0 DCADLN C/C(=C\C[N@H+]1CCc2c(cccc2S(N)(=O)=O)C1)C(=O)[O-] ZINC001602963533 1169221474 /nfs/dbraw/zinc/22/14/74/1169221474.db2.gz FSXQHNNSEQJHNM-BJMVGYQFSA-N 0 2 310.375 0.723 20 0 DCADLN CC(C)(CO)ONC(=O)CSc1ccc(-c2nn[nH]n2)cc1 ZINC001603152599 1169256366 /nfs/dbraw/zinc/25/63/66/1169256366.db2.gz QAWPNNGLRNTHPS-UHFFFAOYSA-N 0 2 323.378 0.778 20 0 DCADLN CC(C)CN(C(=O)Cn1cncc(-c2nn[nH]n2)c1=O)C1CC1 ZINC001603288053 1169286575 /nfs/dbraw/zinc/28/65/75/1169286575.db2.gz KHUJIMAJMNUFOT-UHFFFAOYSA-N 0 2 317.353 0.070 20 0 DCADLN CC(C)c1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])nn1C ZINC001603988414 1169465577 /nfs/dbraw/zinc/46/55/77/1169465577.db2.gz PNUAFFBKBCPBIP-NSHDSACASA-N 0 2 305.338 0.623 20 0 DCADLN C[C@H](CC(=O)[O-])CC(=O)N1CCO[C@@H](C[NH+]2CCOCC2)C1 ZINC001604105927 1169494082 /nfs/dbraw/zinc/49/40/82/1169494082.db2.gz VRPADDNCDHXGBB-STQMWFEESA-N 0 2 314.382 0.047 20 0 DCADLN CC[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)[C@@H](C)CO1 ZINC001604112196 1169495285 /nfs/dbraw/zinc/49/52/85/1169495285.db2.gz SCEQEXITFASXES-QWRGUYRKSA-N 0 2 304.354 0.485 20 0 DCADLN C[C@@H](CNC(=O)COCc1ocnc1C(=O)[O-])Cn1cc[nH+]c1 ZINC001604173830 1169514227 /nfs/dbraw/zinc/51/42/27/1169514227.db2.gz GAVMTIWGTWZXPI-JTQLQIEISA-N 0 2 322.321 0.538 20 0 DCADLN C[C@@H](CNc1ncc(C(=O)[O-])cn1)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001604191169 1169519308 /nfs/dbraw/zinc/51/93/08/1169519308.db2.gz SYFVBUWXJDGNIU-VIFPVBQESA-N 0 2 318.337 0.399 20 0 DCADLN C[C@@H](NC(=O)Cn1cc[nH+]c1)[C@@H](C)Nc1ncc(C(=O)[O-])cn1 ZINC001604277973 1169546215 /nfs/dbraw/zinc/54/62/15/1169546215.db2.gz UWCBIHJORPYWJV-NXEZZACHSA-N 0 2 318.337 0.377 20 0 DCADLN C[C@@H](O)c1ncc(C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001604389220 1169579752 /nfs/dbraw/zinc/57/97/52/1169579752.db2.gz OZNJGMKQPKZAII-HTRCEHHLSA-N 0 2 310.335 0.345 20 0 DCADLN C[C@@H](O)c1ncc(C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001604389220 1169579759 /nfs/dbraw/zinc/57/97/59/1169579759.db2.gz OZNJGMKQPKZAII-HTRCEHHLSA-N 0 2 310.335 0.345 20 0 DCADLN C[C@@H](Oc1ccccc1C[NH+]1CCN(CC(N)=O)CC1)C(=O)[O-] ZINC001604442986 1169594184 /nfs/dbraw/zinc/59/41/84/1169594184.db2.gz KSZLUJSNZIVEQC-GFCCVEGCSA-N 0 2 321.377 0.141 20 0 DCADLN CCc1nc([C@@H](C)Nc2cc(-c3nn[nH]n3)nc(C)n2)n[nH]1 ZINC001604552474 1169615567 /nfs/dbraw/zinc/61/55/67/1169615567.db2.gz OHSVAZGDNVCJNT-ZCFIWIBFSA-N 0 2 300.330 0.819 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C2CC(OC(C)C)C2)c1=O ZINC001604599510 1169626504 /nfs/dbraw/zinc/62/65/04/1169626504.db2.gz NGCIOTKMUPTUKX-UHFFFAOYSA-N 0 2 320.353 0.339 20 0 DCADLN C[C@H]1CCOCC[N@@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001604758398 1169670950 /nfs/dbraw/zinc/67/09/50/1169670950.db2.gz AJWCMMDUDNLSHC-HZMBPMFUSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@H]1CCOCC[N@H+]1CN1C(=O)N[C@@](C)(CCC(=O)[O-])C1=O ZINC001604758398 1169670955 /nfs/dbraw/zinc/67/09/55/1169670955.db2.gz AJWCMMDUDNLSHC-HZMBPMFUSA-N 0 2 313.354 0.230 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@@H+]2CCO[C@H](C)C2)C[C@]1(C)C(=O)[O-] ZINC001604795642 1169686819 /nfs/dbraw/zinc/68/68/19/1169686819.db2.gz CRHUSPKQAJVVQZ-ZOWXZIJZSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@H]1CN(C(=O)NCC[N@H+]2CCO[C@H](C)C2)C[C@]1(C)C(=O)[O-] ZINC001604795642 1169686821 /nfs/dbraw/zinc/68/68/21/1169686821.db2.gz CRHUSPKQAJVVQZ-ZOWXZIJZSA-N 0 2 313.398 0.459 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=S)NCCCC(=O)[O-])[C@@H](C)CO1 ZINC001604795995 1169688496 /nfs/dbraw/zinc/68/84/96/1169688496.db2.gz JDUKNALBXGTLKE-WDEREUQCSA-N 0 2 303.428 0.425 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=S)NCCCC(=O)[O-])[C@@H](C)CO1 ZINC001604795995 1169688497 /nfs/dbraw/zinc/68/84/97/1169688497.db2.gz JDUKNALBXGTLKE-WDEREUQCSA-N 0 2 303.428 0.425 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@H](C(=O)[O-])c1cc(C)cc(C)c1 ZINC001605494442 1169938980 /nfs/dbraw/zinc/93/89/80/1169938980.db2.gz DYBAPPDULAGRQC-ZDUSSCGKSA-N 0 2 307.350 0.864 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@@H](O)CC(C)C ZINC001605509349 1169942667 /nfs/dbraw/zinc/94/26/67/1169942667.db2.gz FABSWUPTWJOBCV-VIFPVBQESA-N 0 2 320.353 0.413 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCCc1ccoc1 ZINC001605510648 1169943433 /nfs/dbraw/zinc/94/34/33/1169943433.db2.gz UXOWFXRLIMYLEF-UHFFFAOYSA-N 0 2 314.305 0.841 20 0 DCADLN CCn1ncnc1C[NH2+]Cc1c(C)nn(CCC(=O)[O-])c1C ZINC001605945223 1170030640 /nfs/dbraw/zinc/03/06/40/1170030640.db2.gz FSLHFCGDMCDJCT-UHFFFAOYSA-N 0 2 306.370 0.876 20 0 DCADLN Cc1cnccc1NC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605986761 1170042207 /nfs/dbraw/zinc/04/22/07/1170042207.db2.gz UBJITMLIEPHKTC-UHFFFAOYSA-N 0 2 311.305 0.653 20 0 DCADLN Cc1nn(C[C@H]2CCC[C@H](O)C2)c(=O)c(-c2nn[nH]n2)c1C ZINC001606114519 1170079583 /nfs/dbraw/zinc/07/95/83/1170079583.db2.gz TWEJBHVOEMAJOY-QWRGUYRKSA-N 0 2 304.354 0.591 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CCCSC(C)(C)C)c1=O ZINC001606221980 1170120377 /nfs/dbraw/zinc/12/03/77/1170120377.db2.gz VAESKTUNXWNCOS-UHFFFAOYSA-N 0 2 324.410 0.649 20 0 DCADLN C[N@@H+]1CCc2nc(NC(=O)[C@H]3CC[C@@H](C(=O)[O-])O3)sc2C1 ZINC001606250578 1170137476 /nfs/dbraw/zinc/13/74/76/1170137476.db2.gz JVIUAMUDQWYNFL-BDAKNGLRSA-N 0 2 311.363 0.702 20 0 DCADLN C[N@H+]1CCc2nc(NC(=O)[C@H]3CC[C@@H](C(=O)[O-])O3)sc2C1 ZINC001606250578 1170137478 /nfs/dbraw/zinc/13/74/78/1170137478.db2.gz JVIUAMUDQWYNFL-BDAKNGLRSA-N 0 2 311.363 0.702 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])C[N@@H+]1CCOC(=O)N(C)C ZINC001606388085 1170177862 /nfs/dbraw/zinc/17/78/62/1170177862.db2.gz YNFUXCTWEOJMDT-VHSXEESVSA-N 0 2 302.327 0.023 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1CCOC(=O)N(C)C ZINC001606388085 1170177863 /nfs/dbraw/zinc/17/78/63/1170177863.db2.gz YNFUXCTWEOJMDT-VHSXEESVSA-N 0 2 302.327 0.023 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2nnc(C(C)C)s2)C[C@H]1C(=O)[O-] ZINC001606430945 1170198421 /nfs/dbraw/zinc/19/84/21/1170198421.db2.gz FTNIKAWJUODROM-RKDXNWHRSA-N 0 2 313.379 0.967 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2nnc(C(C)C)s2)C[C@H]1C(=O)[O-] ZINC001606430945 1170198424 /nfs/dbraw/zinc/19/84/24/1170198424.db2.gz FTNIKAWJUODROM-RKDXNWHRSA-N 0 2 313.379 0.967 20 0 DCADLN Nc1ccc(Cn2cc3c(c(-c4nn[nH]n4)c2=O)CCC3)cn1 ZINC001606452296 1170209753 /nfs/dbraw/zinc/20/97/53/1170209753.db2.gz MYSDMWZWPLYGMR-UHFFFAOYSA-N 0 2 309.333 0.543 20 0 DCADLN COC(=O)CN(CC(=O)[O-])C(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC001606463658 1170214292 /nfs/dbraw/zinc/21/42/92/1170214292.db2.gz CZYAEYKCONOGBY-NSHDSACASA-N 0 2 311.338 0.557 20 0 DCADLN COC(=O)c1cccc(C[N@H+]2CC[C@H](OC)C[C@@H]2C(=O)[O-])n1 ZINC001606615805 1170244163 /nfs/dbraw/zinc/24/41/63/1170244163.db2.gz ADHCUAJCXLSTRR-WCQYABFASA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc(C[N@@H+]2CC[C@H](OC)C[C@@H]2C(=O)[O-])n1 ZINC001606615805 1170244168 /nfs/dbraw/zinc/24/41/68/1170244168.db2.gz ADHCUAJCXLSTRR-WCQYABFASA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc(C[N@H+]2CC[C@H](OC)C[C@H]2C(=O)[O-])n1 ZINC001606615802 1170244383 /nfs/dbraw/zinc/24/43/83/1170244383.db2.gz ADHCUAJCXLSTRR-AAEUAGOBSA-N 0 2 308.334 0.932 20 0 DCADLN COC(=O)c1cccc(C[N@@H+]2CC[C@H](OC)C[C@H]2C(=O)[O-])n1 ZINC001606615802 1170244387 /nfs/dbraw/zinc/24/43/87/1170244387.db2.gz ADHCUAJCXLSTRR-AAEUAGOBSA-N 0 2 308.334 0.932 20 0 DCADLN COC[C@@H](NC(=O)[C@H]1CCC[N@@H+]1Cc1ccccn1)C(=O)[O-] ZINC001606805830 1170296708 /nfs/dbraw/zinc/29/67/08/1170296708.db2.gz CJYYREIRNYCAMZ-CHWSQXEVSA-N 0 2 307.350 0.262 20 0 DCADLN COC[C@@H](NC(=O)[C@H]1CCC[N@H+]1Cc1ccccn1)C(=O)[O-] ZINC001606805830 1170296714 /nfs/dbraw/zinc/29/67/14/1170296714.db2.gz CJYYREIRNYCAMZ-CHWSQXEVSA-N 0 2 307.350 0.262 20 0 DCADLN COCC[NH+]1CCN(C(=O)[C@@H]2CC[C@H](CCC(=O)[O-])O2)CC1 ZINC001606895757 1170325836 /nfs/dbraw/zinc/32/58/36/1170325836.db2.gz YHFOOZZWSUBGIX-OLZOCXBDSA-N 0 2 314.382 0.189 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1CCCc1ccsc1 ZINC001607088564 1170386577 /nfs/dbraw/zinc/38/65/77/1170386577.db2.gz MHOHFIBSBBAXOV-UHFFFAOYSA-N 0 2 304.335 0.823 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@H+]([C@@H]1CCN(OC)C1=O)CC2 ZINC001607214751 1170415185 /nfs/dbraw/zinc/41/51/85/1170415185.db2.gz JACJWKKNAVKKDM-CYBMUJFWSA-N 0 2 320.345 0.914 20 0 DCADLN COc1ccc(C(=O)[O-])c2c1C[N@@H+]([C@@H]1CCN(OC)C1=O)CC2 ZINC001607214751 1170415191 /nfs/dbraw/zinc/41/51/91/1170415191.db2.gz JACJWKKNAVKKDM-CYBMUJFWSA-N 0 2 320.345 0.914 20 0 DCADLN C[C@@H]1CN(C(=O)[C@@H]([NH3+])c2c(F)cccc2F)C[C@@H](C(=O)[O-])O1 ZINC001607430413 1170450845 /nfs/dbraw/zinc/45/08/45/1170450845.db2.gz WSZHQTWETXCVCX-VHRDEZTHSA-N 0 2 314.288 0.665 20 0 DCADLN C[C@@H]1CN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C[C@H]1C(=O)[O-] ZINC001607428386 1170451154 /nfs/dbraw/zinc/45/11/54/1170451154.db2.gz WRMKPRRRODEUSZ-MWLCHTKSSA-N 0 2 316.317 0.452 20 0 DCADLN Cc1ccc(C(=O)N2CCC[N@H+](CC(N)=O)CC2)cc1C(=O)[O-] ZINC001607729457 1170501598 /nfs/dbraw/zinc/50/15/98/1170501598.db2.gz WRMLAEYGAINPSF-UHFFFAOYSA-N 0 2 319.361 0.326 20 0 DCADLN Cc1ccc(C(=O)N2CCC[N@@H+](CC(N)=O)CC2)cc1C(=O)[O-] ZINC001607729457 1170501602 /nfs/dbraw/zinc/50/16/02/1170501602.db2.gz WRMLAEYGAINPSF-UHFFFAOYSA-N 0 2 319.361 0.326 20 0 DCADLN Cc1cccn2c(CN3CC(n4cc(C(=O)[O-])nn4)C3)c[nH+]c12 ZINC001607886819 1170515435 /nfs/dbraw/zinc/51/54/35/1170515435.db2.gz LIWBRDDZXJDAQE-UHFFFAOYSA-N 0 2 312.333 0.989 20 0 DCADLN Cc1cccn2c(CN3CCNC(=O)[C@H]3CC(=O)[O-])c[nH+]c12 ZINC001607887050 1170515701 /nfs/dbraw/zinc/51/57/01/1170515701.db2.gz RALSUMDNCDHDIB-GFCCVEGCSA-N 0 2 302.334 0.418 20 0 DCADLN Cc1nc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])c2ccccn12 ZINC001607945004 1170530516 /nfs/dbraw/zinc/53/05/16/1170530516.db2.gz HZCADUJLODGTPP-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cc1nc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c2ccccn12 ZINC001607945004 1170530524 /nfs/dbraw/zinc/53/05/24/1170530524.db2.gz HZCADUJLODGTPP-NSHDSACASA-N 0 2 313.317 0.792 20 0 DCADLN Cn1cc([C@@H]2C[C@H]2C(=O)N[C@H](Cc2c[nH]c[nH+]2)C(=O)[O-])cn1 ZINC001608122892 1170581847 /nfs/dbraw/zinc/58/18/47/1170581847.db2.gz YFUZICBPYUHCIB-QJPTWQEYSA-N 0 2 303.322 0.059 20 0 DCADLN Cn1cc([C@@H]2C[C@H]2C(=O)N[C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cn1 ZINC001608122892 1170581855 /nfs/dbraw/zinc/58/18/55/1170581855.db2.gz YFUZICBPYUHCIB-QJPTWQEYSA-N 0 2 303.322 0.059 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)CC(C)(C)C(=O)[O-])C1 ZINC001608134364 1170588434 /nfs/dbraw/zinc/58/84/34/1170588434.db2.gz QZVFTJYFAVZJDX-JTQLQIEISA-N 0 2 315.395 0.650 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CC[C@H](C(=O)[O-])C[C@H]1[C@@H]1CCCO1 ZINC001608136336 1170588909 /nfs/dbraw/zinc/58/89/09/1170588909.db2.gz LQDKWKJRWDYSQV-UBHSHLNASA-N 0 2 321.377 0.833 20 0 DCADLN Cn1nc(C2CC2)cc1C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001608145366 1170594441 /nfs/dbraw/zinc/59/44/41/1170594441.db2.gz DZMWHGCSCFCUKT-NSHDSACASA-N 0 2 303.322 0.377 20 0 DCADLN Cn1ncc2c1C[C@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])CC2 ZINC001608160272 1170602419 /nfs/dbraw/zinc/60/24/19/1170602419.db2.gz HHQPGQUCTIKLOR-BXKDBHETSA-N 0 2 317.349 0.060 20 0 DCADLN Cn1ncc2c1C[C@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])CC2 ZINC001608160272 1170602422 /nfs/dbraw/zinc/60/24/22/1170602422.db2.gz HHQPGQUCTIKLOR-BXKDBHETSA-N 0 2 317.349 0.060 20 0 DCADLN NS(=O)(=O)c1ccc(C[N@@H+]2CC[C@](F)(C(=O)[O-])C2)cc1 ZINC001608262713 1170636451 /nfs/dbraw/zinc/63/64/51/1170636451.db2.gz BGJWQEIIEFULIP-GFCCVEGCSA-N 0 2 302.327 0.333 20 0 DCADLN Nc1ccc(CCC(=O)OCc2cnc(C(=O)[O-])cn2)c[nH+]1 ZINC001608272861 1170638534 /nfs/dbraw/zinc/63/85/34/1170638534.db2.gz OFDDYQOADWTHQO-UHFFFAOYSA-N 0 2 302.290 0.828 20 0 DCADLN O=C(C[C@H]1CSCCS1)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001608299894 1170644515 /nfs/dbraw/zinc/64/45/15/1170644515.db2.gz QLFZCVNVMWJHIP-UWVGGRQHSA-N 0 2 315.420 0.760 20 0 DCADLN O=C(C[C@H]1CSCCS1)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001608299894 1170644522 /nfs/dbraw/zinc/64/45/22/1170644522.db2.gz QLFZCVNVMWJHIP-UWVGGRQHSA-N 0 2 315.420 0.760 20 0 DCADLN O=C([O-])[C@H]1CCCN(CC(=O)OCCCn2cc[nH+]c2)C1=O ZINC001608332897 1170653356 /nfs/dbraw/zinc/65/33/56/1170653356.db2.gz OSYIMPLBJYGAMR-NSHDSACASA-N 0 2 309.322 0.140 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)c1cccc2c1CCOC2 ZINC001608404402 1170670386 /nfs/dbraw/zinc/67/03/86/1170670386.db2.gz ZZVWDGZCJYLOBM-CQSZACIVSA-N 0 2 315.329 0.839 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCC[N@@H+]1CC(=O)N1CCc2ccccc2C1 ZINC001608594469 1170710702 /nfs/dbraw/zinc/71/07/02/1170710702.db2.gz GZEGEXGGGJODII-GDBMZVCRSA-N 0 2 318.373 0.481 20 0 DCADLN O=C([O-])[C@H]1[C@H](O)CCC[N@H+]1CC(=O)N1CCc2ccccc2C1 ZINC001608594469 1170710705 /nfs/dbraw/zinc/71/07/05/1170710705.db2.gz GZEGEXGGGJODII-GDBMZVCRSA-N 0 2 318.373 0.481 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+]CC(=O)Nc2cccc(F)c2)nn1 ZINC001608797360 1170756269 /nfs/dbraw/zinc/75/62/69/1170756269.db2.gz CKBIHQDZMHRKRK-UHFFFAOYSA-N 0 2 307.285 0.230 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001608818283 1170760924 /nfs/dbraw/zinc/76/09/24/1170760924.db2.gz ZLEOQOFBVASLKG-XQQFMLRXSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001608818283 1170760927 /nfs/dbraw/zinc/76/09/27/1170760927.db2.gz ZLEOQOFBVASLKG-XQQFMLRXSA-N 0 2 307.350 0.584 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1Cc1cncn1Cc1ccccc1 ZINC001608823858 1170761639 /nfs/dbraw/zinc/76/16/39/1170761639.db2.gz XAZPNARZMRTWII-HUUCEWRRSA-N 0 2 301.346 0.951 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1Cc1cncn1Cc1ccccc1 ZINC001608823858 1170761643 /nfs/dbraw/zinc/76/16/43/1170761643.db2.gz XAZPNARZMRTWII-HUUCEWRRSA-N 0 2 301.346 0.951 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1CC(=O)N1CCC[C@@H]2CCCC[C@@H]21 ZINC001608823633 1170761801 /nfs/dbraw/zinc/76/18/01/1170761801.db2.gz DYBUVADTVHALSY-RFQIPJPRSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)N1CCC[C@@H]2CCCC[C@@H]21 ZINC001608823633 1170761803 /nfs/dbraw/zinc/76/18/03/1170761803.db2.gz DYBUVADTVHALSY-RFQIPJPRSA-N 0 2 310.394 0.687 20 0 DCADLN O=C([O-])c1cn(CC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)nn1 ZINC001608963555 1170776917 /nfs/dbraw/zinc/77/69/17/1170776917.db2.gz WKRGWDRXNILOAB-LLVKDONJSA-N 0 2 317.305 0.051 20 0 DCADLN CC(C)OC[C@@H](O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001609100696 1170810128 /nfs/dbraw/zinc/81/01/28/1170810128.db2.gz BBVKIBUKKOOMTE-NSHDSACASA-N 0 2 319.365 0.303 20 0 DCADLN CC[C@@H](Cn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O)OC ZINC001609192164 1170860381 /nfs/dbraw/zinc/86/03/81/1170860381.db2.gz XLSTWDPXBNNYQT-VIFPVBQESA-N 0 2 307.310 0.240 20 0 DCADLN CC1(C(=O)[O-])C[NH+](C[C@H](O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC001609811600 1171092190 /nfs/dbraw/zinc/09/21/90/1171092190.db2.gz BHPIPQGANWNACK-LBPRGKRZSA-N 0 2 313.375 0.530 20 0 DCADLN CCOC(=O)[C@@H]1C[NH2+]CCN1C(=O)c1[nH]c(C)c(C(=O)[O-])c1C ZINC001610014270 1171140243 /nfs/dbraw/zinc/14/02/43/1171140243.db2.gz MGGWZFZWDLXPSS-JTQLQIEISA-N 0 2 323.349 0.307 20 0 DCADLN COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)CCCn1cc[nH+]c1 ZINC001610175275 1171186840 /nfs/dbraw/zinc/18/68/40/1171186840.db2.gz PKKRLZLBMXCNPR-NEPJUHHUSA-N 0 2 323.349 0.528 20 0 DCADLN CO[C@]1(C(F)(F)F)CCC[N@@H+]([C@@H](C)C(=O)NCC(=O)[O-])C1 ZINC001610231549 1171202491 /nfs/dbraw/zinc/20/24/91/1171202491.db2.gz OCNBWQLXVOGCGZ-GZMMTYOYSA-N 0 2 312.288 0.619 20 0 DCADLN CO[C@]1(C(F)(F)F)CCC[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])C1 ZINC001610231549 1171202495 /nfs/dbraw/zinc/20/24/95/1171202495.db2.gz OCNBWQLXVOGCGZ-GZMMTYOYSA-N 0 2 312.288 0.619 20 0 DCADLN COCCCNC(=O)C[NH2+][C@H](C)c1ncc(C(=O)[O-])s1 ZINC001610257375 1171209952 /nfs/dbraw/zinc/20/99/52/1171209952.db2.gz QKEBBIGCAXTBCA-MRVPVSSYSA-N 0 2 301.368 0.645 20 0 DCADLN Cc1ccc([C@@H](CC(=O)[O-])NC(=O)CN2CC[NH2+]CC2=O)cc1 ZINC001610493949 1171243614 /nfs/dbraw/zinc/24/36/14/1171243614.db2.gz UMKLUWYLQADWLF-CYBMUJFWSA-N 0 2 319.361 0.059 20 0 DCADLN NC(=O)C[N@@H+]1CCc2ccc(NC(=O)C3(C(=O)[O-])CC3)cc2C1 ZINC001610638010 1171275956 /nfs/dbraw/zinc/27/59/56/1171275956.db2.gz ZRWMWPRCURUVDD-UHFFFAOYSA-N 0 2 317.345 0.333 20 0 DCADLN NC(=O)C[N@H+]1CCc2ccc(NC(=O)C3(C(=O)[O-])CC3)cc2C1 ZINC001610638010 1171275958 /nfs/dbraw/zinc/27/59/58/1171275958.db2.gz ZRWMWPRCURUVDD-UHFFFAOYSA-N 0 2 317.345 0.333 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Cc1ccncc1 ZINC001610658503 1171283683 /nfs/dbraw/zinc/28/36/83/1171283683.db2.gz JYXZMEOHJNFVIL-GFCCVEGCSA-N 0 2 302.334 0.797 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Cc1ccncc1 ZINC001610658503 1171283686 /nfs/dbraw/zinc/28/36/86/1171283686.db2.gz JYXZMEOHJNFVIL-GFCCVEGCSA-N 0 2 302.334 0.797 20 0 DCADLN Cc1nnc2n1C[C@@H](C(=O)NCCc1n[nH]c(=S)o1)CC2 ZINC001617078969 1171383339 /nfs/dbraw/zinc/38/33/39/1171383339.db2.gz ANOZCJAVAAVZMS-QMMMGPOBSA-N 0 2 308.367 0.180 20 0 DCADLN O=C(Nn1ccc(=O)[nH]c1=O)c1n[nH]c2ccc(Cl)cc21 ZINC001630862357 1171584410 /nfs/dbraw/zinc/58/44/10/1171584410.db2.gz ICJDTMAJCCVXFE-UHFFFAOYSA-N 0 2 305.681 0.863 20 0 DCADLN COc1cccc2c(C(=O)NCCc3n[nH]c(=S)o3)cnn21 ZINC001634596477 1171677722 /nfs/dbraw/zinc/67/77/22/1171677722.db2.gz WUFCDFDHVNHWNA-UHFFFAOYSA-N 0 2 319.346 0.987 20 0 DCADLN Cn1nc2c(c1C(=O)NCCc1n[nH]c(=S)o1)CCCC2 ZINC001634591157 1171677967 /nfs/dbraw/zinc/67/79/67/1171677967.db2.gz SQPBZUYKIKSWMR-UHFFFAOYSA-N 0 2 307.379 0.943 20 0 DCADLN O=C(Cc1cnn2ccccc12)NCCc1n[nH]c(=S)o1 ZINC001634596213 1171678375 /nfs/dbraw/zinc/67/83/75/1171678375.db2.gz VCTUGFBKFRUSLU-UHFFFAOYSA-N 0 2 303.347 0.907 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2ccc(F)nc2)c1 ZINC001635580824 1171709040 /nfs/dbraw/zinc/70/90/40/1171709040.db2.gz AEYOVJUVMSZJFF-UHFFFAOYSA-N 0 2 311.294 0.826 20 0 DCADLN Cc1ccc(-c2cc(C(=O)NCc3nnc(CO)[nH]3)[nH]n2)cc1 ZINC001641941615 1171933780 /nfs/dbraw/zinc/93/37/80/1171933780.db2.gz SBVHSIJWXFLKME-UHFFFAOYSA-N 0 2 312.333 0.926 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])c(C)n2)CC1 ZINC001646260321 1172308718 /nfs/dbraw/zinc/30/87/18/1172308718.db2.gz XELUOQMVCDGRSH-UHFFFAOYSA-N 0 2 307.350 0.492 20 0 DCADLN CCc1nc([C@H](C)NC(=O)NC[C@@H](C)N2CC[NH+](C)CC2)n[nH]1 ZINC001646971264 1172611525 /nfs/dbraw/zinc/61/15/25/1172611525.db2.gz KQRNUWVGWYFOSM-NEPJUHHUSA-N 0 2 323.445 0.363 20 0 DCADLN CC[C@H](C(=O)N1CC[C@@H](c2nn[nH]n2)C1)N1CCO[C@H](CC)C1 ZINC001649332856 1173109521 /nfs/dbraw/zinc/10/95/21/1173109521.db2.gz HRXCOMCCKXBMQG-JHJVBQTASA-N 0 2 322.413 0.405 20 0 DCADLN Cn1nccc1S(=O)(=O)Nc1ccc(CCC(N)=O)cc1 ZINC001649696229 1173169742 /nfs/dbraw/zinc/16/97/42/1173169742.db2.gz BIZOAVYDRCLCKB-UHFFFAOYSA-N 0 2 308.363 0.639 20 0 DCADLN O=C(NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001649713179 1173178571 /nfs/dbraw/zinc/17/85/71/1173178571.db2.gz MYUACQRDRYFDNL-LBPRGKRZSA-N 0 2 316.365 0.576 20 0 DCADLN O=C(NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001649713179 1173178577 /nfs/dbraw/zinc/17/85/77/1173178577.db2.gz MYUACQRDRYFDNL-LBPRGKRZSA-N 0 2 316.365 0.576 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cnn(Cc3cccnc3)c2)cn1 ZINC000067890759 1173779821 /nfs/dbraw/zinc/77/98/21/1173779821.db2.gz LYAIEIBOIDOXFP-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccc2c(c1)nc[nH]c2=O ZINC001654366378 1173803098 /nfs/dbraw/zinc/80/30/98/1173803098.db2.gz USIVUILCDFGWDE-UHFFFAOYSA-N 0 2 314.305 0.522 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1ccn(CC(F)(F)F)n1 ZINC001654366558 1173805719 /nfs/dbraw/zinc/80/57/19/1173805719.db2.gz ZKZPCLRKLXGNEF-UHFFFAOYSA-N 0 2 318.259 0.632 20 0 DCADLN C[N@H+](CCNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)Cc1n[nH]c(=O)[n-]1 ZINC001663185174 1174273280 /nfs/dbraw/zinc/27/32/80/1174273280.db2.gz NECMRPVANGVTIR-GRYCIOLGSA-N 0 2 305.382 0.661 20 0 DCADLN C[N@@H+](CCNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)Cc1n[nH]c(=O)[n-]1 ZINC001663185174 1174273286 /nfs/dbraw/zinc/27/32/86/1174273286.db2.gz NECMRPVANGVTIR-GRYCIOLGSA-N 0 2 305.382 0.661 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccccc2-c2ccno2)n1 ZINC001665322748 1174505264 /nfs/dbraw/zinc/50/52/64/1174505264.db2.gz LXMBIIYEIGZRKG-UHFFFAOYSA-N 0 2 306.307 0.666 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)ncn1 ZINC001686649049 1176182649 /nfs/dbraw/zinc/18/26/49/1176182649.db2.gz AMJHFVJYMOLXHX-MRVPVSSYSA-N 0 2 324.234 0.232 20 0 DCADLN COc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)ncn1 ZINC001686649049 1176182651 /nfs/dbraw/zinc/18/26/51/1176182651.db2.gz AMJHFVJYMOLXHX-MRVPVSSYSA-N 0 2 324.234 0.232 20 0 DCADLN CCC(=CC(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)CC ZINC001698781033 1177649809 /nfs/dbraw/zinc/64/98/09/1177649809.db2.gz AXAAJGFRUNLMSD-LBPRGKRZSA-N 0 2 323.397 0.574 20 0 DCADLN COCCO[C@H](C)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720130769 1178721246 /nfs/dbraw/zinc/72/12/46/1178721246.db2.gz ZALKUSZDLZOVIT-HTQZYQBOSA-N 0 2 318.267 0.171 20 0 DCADLN COCCO[C@H](C)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720130769 1178721249 /nfs/dbraw/zinc/72/12/49/1178721249.db2.gz ZALKUSZDLZOVIT-HTQZYQBOSA-N 0 2 318.267 0.171 20 0 DCADLN C[C@H](c1nnnn1C)[N@H+](C)CCCNC(=O)C[NH+]1CC[C@H](C)C1 ZINC001707411489 1180493576 /nfs/dbraw/zinc/49/35/76/1180493576.db2.gz WNDKATBFJGUUAG-QWHCGFSZSA-N 0 2 323.445 0.051 20 0 DCADLN CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001717859263 1183036195 /nfs/dbraw/zinc/03/61/95/1183036195.db2.gz DVNMLLQSCKATAK-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001717859263 1183036199 /nfs/dbraw/zinc/03/61/99/1183036199.db2.gz DVNMLLQSCKATAK-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@H](C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001718020520 1183113810 /nfs/dbraw/zinc/11/38/10/1183113810.db2.gz GEVSXOXBNISWKU-ZDUSSCGKSA-N 0 2 321.381 0.184 20 0 DCADLN CO[C@H](C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001718020520 1183113813 /nfs/dbraw/zinc/11/38/13/1183113813.db2.gz GEVSXOXBNISWKU-ZDUSSCGKSA-N 0 2 321.381 0.184 20 0 DCADLN O=C(CCc1cn[nH]c1)NCCCNC(=O)C(F)C(F)(F)F ZINC001720286839 1183550777 /nfs/dbraw/zinc/55/07/77/1183550777.db2.gz NEIQAGXAZUOCFI-JTQLQIEISA-N 0 2 324.278 0.865 20 0 DCADLN O=C(CCc1cn[nH]c1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001720286839 1183550780 /nfs/dbraw/zinc/55/07/80/1183550780.db2.gz NEIQAGXAZUOCFI-JTQLQIEISA-N 0 2 324.278 0.865 20 0 DCADLN Cc1ccnc(CN(C)CCCNC(=O)c2cnn(C)n2)n1 ZINC001731271111 1185231996 /nfs/dbraw/zinc/23/19/96/1185231996.db2.gz BXTWXYJDJQLBQU-UHFFFAOYSA-N 0 2 303.370 0.165 20 0 DCADLN C[C@@H](NC(=O)CCOCC1CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001734113552 1186549169 /nfs/dbraw/zinc/54/91/69/1186549169.db2.gz QYNBOWYAISZLFL-SNVBAGLBSA-N 0 2 323.397 0.264 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cnns1 ZINC001736682337 1187035767 /nfs/dbraw/zinc/03/57/67/1187035767.db2.gz SLFSRTUUVYIGGD-YFKPBYRVSA-N 0 2 300.237 0.285 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cnns1 ZINC001736682337 1187035769 /nfs/dbraw/zinc/03/57/69/1187035769.db2.gz SLFSRTUUVYIGGD-YFKPBYRVSA-N 0 2 300.237 0.285 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001742375822 1187970279 /nfs/dbraw/zinc/97/02/79/1187970279.db2.gz KNYSXXTZEZJCMQ-JGVFFNPUSA-N 0 2 300.252 0.544 20 0 DCADLN CO[C@H](C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001742375822 1187970282 /nfs/dbraw/zinc/97/02/82/1187970282.db2.gz KNYSXXTZEZJCMQ-JGVFFNPUSA-N 0 2 300.252 0.544 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@@H+](C)[C@H](C)c2nncn2C)c1[O-] ZINC001751958899 1188366834 /nfs/dbraw/zinc/36/68/34/1188366834.db2.gz PALYQIGPTPBTPK-SNVBAGLBSA-N 0 2 321.385 0.365 20 0 DCADLN Cc1n[nH]c(C(=O)NCCC[N@H+](C)[C@H](C)c2nncn2C)c1[O-] ZINC001751958899 1188366837 /nfs/dbraw/zinc/36/68/37/1188366837.db2.gz PALYQIGPTPBTPK-SNVBAGLBSA-N 0 2 321.385 0.365 20 0 DCADLN O=C(NCC1C[NH+](CCOC[C@H]2CCCCO2)C1)c1ncn[nH]1 ZINC001753411923 1188586636 /nfs/dbraw/zinc/58/66/36/1188586636.db2.gz BTGQPEXFTWWJCI-CYBMUJFWSA-N 0 2 323.397 0.052 20 0 DCADLN C[C@]1(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC=CCC1 ZINC001754485471 1188863323 /nfs/dbraw/zinc/86/33/23/1188863323.db2.gz VZHHVWCSWCIXLT-NHYWBVRUSA-N 0 2 305.382 0.947 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)c1ccccn1 ZINC001771921594 1190557787 /nfs/dbraw/zinc/55/77/87/1190557787.db2.gz QAZZLCPCMCGGSO-MFKMUULPSA-N 0 2 300.318 0.783 20 0 DCADLN C[C@H](C[C@H](C)Nc1[nH+]cnc2nc[nH]c21)NC(=O)c1nnc[n-]1 ZINC001089374584 751366947 /nfs/dbraw/zinc/36/69/47/751366947.db2.gz KELDBKSGKRCONX-JGVFFNPUSA-N 0 2 315.341 0.480 20 0 DCADLN Cc1ccccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042429035 751638345 /nfs/dbraw/zinc/63/83/45/751638345.db2.gz PIIVEUMNJXNTEU-UHFFFAOYSA-N 0 2 301.350 0.775 20 0 DCADLN Cc1sccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042701119 751848395 /nfs/dbraw/zinc/84/83/95/751848395.db2.gz CKRFGZMXQDOKQJ-UHFFFAOYSA-N 0 2 307.379 0.837 20 0 DCADLN Cc1n[nH]c(C(=O)NCC=CCNc2cc[nH+]c(C)n2)c1[O-] ZINC001107125495 751875174 /nfs/dbraw/zinc/87/51/74/751875174.db2.gz AVKDJPQCGMAFBW-ARJAWSKDSA-N 0 2 302.338 0.920 20 0 DCADLN CCCn1nccc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042802870 751921196 /nfs/dbraw/zinc/92/11/96/751921196.db2.gz NJFVZCYHMDMQRX-UHFFFAOYSA-N 0 2 319.369 0.073 20 0 DCADLN CC[C@H]1OCCC[C@H]1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042883810 751964891 /nfs/dbraw/zinc/96/48/91/751964891.db2.gz CVIJTWFJODJMIC-VXGBXAGGSA-N 0 2 323.397 0.358 20 0 DCADLN CN(C)c1noc(CNCCNC(=O)C(F)C(F)(F)F)n1 ZINC001127780417 752069840 /nfs/dbraw/zinc/06/98/40/752069840.db2.gz YRWFGCRGMDHELX-SSDOTTSWSA-N 0 2 313.255 0.242 20 0 DCADLN COc1ccc(C[NH+]2CCN(C)CC2)cc1N[C@@H]1CO[N-]C1=O ZINC001167522436 747353139 /nfs/dbraw/zinc/35/31/39/747353139.db2.gz BQDCGRTVBKHEFH-CQSZACIVSA-N 0 2 320.393 0.285 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)N1CC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001058849919 748382699 /nfs/dbraw/zinc/38/26/99/748382699.db2.gz VCCJSOHYFXTEDS-WDEREUQCSA-N 0 2 315.381 0.460 20 0 DCADLN C[N@H+]1CCC[C@@H]1C(=O)N1CC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001058849919 748382709 /nfs/dbraw/zinc/38/27/09/748382709.db2.gz VCCJSOHYFXTEDS-WDEREUQCSA-N 0 2 315.381 0.460 20 0 DCADLN C[C@H]1[C@H](Nc2ccc(-n3cccc3)c[nH+]2)C(=O)N1S(=O)(=O)[O-] ZINC001167857501 748439871 /nfs/dbraw/zinc/43/98/71/748439871.db2.gz DOBNYTAJMVLUNF-CABZTGNLSA-N 0 2 322.346 0.686 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@]2(C)CCOC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087775344 749047031 /nfs/dbraw/zinc/04/70/31/749047031.db2.gz ISBQFZHPOZCWCR-FIXISWKDSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@]2(C)CCOC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001087775344 749047038 /nfs/dbraw/zinc/04/70/38/749047038.db2.gz ISBQFZHPOZCWCR-FIXISWKDSA-N 0 2 323.397 0.406 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)Cc2nnc[nH]2)CC[N@@H+]1Cc1ccnn1C ZINC001087788856 749050179 /nfs/dbraw/zinc/05/01/79/749050179.db2.gz MAUYILUSBMFAKF-QWHCGFSZSA-N 0 2 317.397 0.250 20 0 DCADLN CC[C@@H](C(=O)N1C[C@@H]2C[N@@H+](Cc3cnon3)CC[C@@H]2C1)[NH+](C)C ZINC001088109442 749242139 /nfs/dbraw/zinc/24/21/39/749242139.db2.gz FTZFQBQJERQRST-IPYPFGDCSA-N 0 2 321.425 0.690 20 0 DCADLN Cc1nnsc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088464606 749472478 /nfs/dbraw/zinc/47/24/78/749472478.db2.gz GUXVIFXTAFIWKU-SFYZADRCSA-N 0 2 323.382 0.063 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cscn2)CCN1Cc1n[nH]c(=O)[nH]1 ZINC001088492060 749507710 /nfs/dbraw/zinc/50/77/10/749507710.db2.gz CXVDYBUCIWQPLQ-SFYZADRCSA-N 0 2 308.367 0.360 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cccc(=O)[nH]2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088508603 749528683 /nfs/dbraw/zinc/52/86/83/749528683.db2.gz IRQFEWCAAKVQAZ-BDAKNGLRSA-N 0 2 318.337 0.004 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cccc(=O)[nH]2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088508603 749528684 /nfs/dbraw/zinc/52/86/84/749528684.db2.gz IRQFEWCAAKVQAZ-BDAKNGLRSA-N 0 2 318.337 0.004 20 0 DCADLN C[C@@H](O)C[N@H+]1CC[C@H](NC(=O)c2cc(C[NH+](C)C)on2)[C@H]1C ZINC001088687840 749844731 /nfs/dbraw/zinc/84/47/31/749844731.db2.gz VASVJHJFSKQNJJ-WZRBSPASSA-N 0 2 310.398 0.310 20 0 DCADLN CCc1n[nH]cc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC001088692273 749909068 /nfs/dbraw/zinc/90/90/68/749909068.db2.gz HWPPREWIDHLBSA-KCJUWKMLSA-N 0 2 319.369 0.189 20 0 DCADLN Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@@H]1C[N@@H+](CCCF)C[C@@H]1O)C2 ZINC001083584204 750417140 /nfs/dbraw/zinc/41/71/40/750417140.db2.gz MCQXXRBYYBOEEK-DFBGVHRSSA-N 0 2 324.400 0.344 20 0 DCADLN CN(C(=O)c1ccc(Cl)[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043799946 752394831 /nfs/dbraw/zinc/39/48/31/752394831.db2.gz TUPPCSQFJKQWPM-UHFFFAOYSA-N 0 2 310.745 0.448 20 0 DCADLN C[C@@]1(CNC(=O)C2CC=CC2)CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001107833597 752395441 /nfs/dbraw/zinc/39/54/41/752395441.db2.gz KPPPQCGGCSQLGU-OAHLLOKOSA-N 0 2 321.381 0.184 20 0 DCADLN CN(C(=O)[C@]12C[C@H]1CCCC2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043815221 752398390 /nfs/dbraw/zinc/39/83/90/752398390.db2.gz TTZRCBMVAFSELB-MEBBXXQBSA-N 0 2 305.382 0.733 20 0 DCADLN Cc1noc(C)c1CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001044286238 752664775 /nfs/dbraw/zinc/66/47/75/752664775.db2.gz NOTQQRRWFIWIGY-UHFFFAOYSA-N 0 2 320.353 0.000 20 0 DCADLN COc1ccc(N)cc1S(=O)(=O)Nc1ccc(CO)nc1 ZINC001212382160 752684901 /nfs/dbraw/zinc/68/49/01/752684901.db2.gz DGVZGGSYPTYINS-UHFFFAOYSA-N 0 2 309.347 0.966 20 0 DCADLN COCCC[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)[C@H](OC)C1 ZINC001212425945 752837316 /nfs/dbraw/zinc/83/73/16/752837316.db2.gz LHKMUDPVWXFRIT-QHSBEEBCSA-N 0 2 322.409 0.365 20 0 DCADLN Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001046152117 753511404 /nfs/dbraw/zinc/51/14/04/753511404.db2.gz LRUYDYXTTBDBDX-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001046152117 753511407 /nfs/dbraw/zinc/51/14/07/753511407.db2.gz LRUYDYXTTBDBDX-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN C[C@@]1(NC(=O)c2cc(F)c[nH]2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046494247 753750719 /nfs/dbraw/zinc/75/07/19/753750719.db2.gz QPZDZPAICLJLKZ-CYBMUJFWSA-N 0 2 308.317 0.372 20 0 DCADLN C[C@@]1(NC(=O)c2cc(F)c[nH]2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046494247 753750722 /nfs/dbraw/zinc/75/07/22/753750722.db2.gz QPZDZPAICLJLKZ-CYBMUJFWSA-N 0 2 308.317 0.372 20 0 DCADLN Cc1cocc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046545565 753783337 /nfs/dbraw/zinc/78/33/37/753783337.db2.gz MDPWFCGKQHVTJC-AWEZNQCLSA-N 0 2 305.338 0.806 20 0 DCADLN CCc1ncoc1C(=O)N[C@@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046605484 753824082 /nfs/dbraw/zinc/82/40/82/753824082.db2.gz RYCJIHJXTQTUCF-AWEZNQCLSA-N 0 2 320.353 0.455 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001046633950 753843129 /nfs/dbraw/zinc/84/31/29/753843129.db2.gz AZABCMWDICRHDZ-RULNRJAQSA-N 0 2 309.370 0.158 20 0 DCADLN C[C@@H]1CC[C@H](C(=O)N[C@@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001046633950 753843135 /nfs/dbraw/zinc/84/31/35/753843135.db2.gz AZABCMWDICRHDZ-RULNRJAQSA-N 0 2 309.370 0.158 20 0 DCADLN CCc1oncc1C(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001046667298 753860692 /nfs/dbraw/zinc/86/06/92/753860692.db2.gz SYJVUUROQNKCCX-CQSZACIVSA-N 0 2 320.353 0.455 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001046715549 753901690 /nfs/dbraw/zinc/90/16/90/753901690.db2.gz QUQDBEVVKFAHPS-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cc(C(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001046715549 753901695 /nfs/dbraw/zinc/90/16/95/753901695.db2.gz QUQDBEVVKFAHPS-OAHLLOKOSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C(F)F)C(F)C(F)(F)F ZINC001061639405 754168812 /nfs/dbraw/zinc/16/88/12/754168812.db2.gz QOLZAAXGPCLDBM-NTSWFWBYSA-N 0 2 322.205 0.496 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C(F)F)[C@@H](F)C(F)(F)F ZINC001061639405 754168818 /nfs/dbraw/zinc/16/88/18/754168818.db2.gz QOLZAAXGPCLDBM-NTSWFWBYSA-N 0 2 322.205 0.496 20 0 DCADLN CC(F)(F)C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049280596 755214998 /nfs/dbraw/zinc/21/49/98/755214998.db2.gz PAQSMGGERDLKRR-RKDXNWHRSA-N 0 2 315.324 0.731 20 0 DCADLN COC(=O)c1nc(Cl)c(F)c(N[C@@H]2SC(=O)NC2=O)n1 ZINC001163665256 755291298 /nfs/dbraw/zinc/29/12/98/755291298.db2.gz LWFNNRAQBQNOFQ-SSDOTTSWSA-N 0 2 320.689 0.199 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001096676665 755497321 /nfs/dbraw/zinc/49/73/21/755497321.db2.gz XOPKCPOIQRRRTK-UTLUCORTSA-N 0 2 317.353 0.077 20 0 DCADLN C[C@H](O)CN1CC2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC001053263087 755979288 /nfs/dbraw/zinc/97/92/88/755979288.db2.gz IGNIWDGMLJLIET-IUCAKERBSA-N 0 2 314.279 0.181 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC001080187176 756123399 /nfs/dbraw/zinc/12/33/99/756123399.db2.gz MUZOLJZLYQHAPY-KEBCXIEDSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC001080187176 756123403 /nfs/dbraw/zinc/12/34/03/756123403.db2.gz MUZOLJZLYQHAPY-KEBCXIEDSA-N 0 2 319.409 0.883 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)c1ccco1 ZINC001080429936 756195927 /nfs/dbraw/zinc/19/59/27/756195927.db2.gz NYKYHCADMSNJCH-GMTAPVOTSA-N 0 2 319.365 0.844 20 0 DCADLN C[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C)c1ccco1 ZINC001080429936 756195933 /nfs/dbraw/zinc/19/59/33/756195933.db2.gz NYKYHCADMSNJCH-GMTAPVOTSA-N 0 2 319.365 0.844 20 0 DCADLN CCc1ncoc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001080410045 756202710 /nfs/dbraw/zinc/20/27/10/756202710.db2.gz RPDMHTGFNALFDL-PSASIEDQSA-N 0 2 320.353 0.311 20 0 DCADLN CCC(=O)NC1(CO)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001080648054 756290167 /nfs/dbraw/zinc/29/01/67/756290167.db2.gz UPKIVBKPDPBGDI-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN CCC(=O)NC1(CO)CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001080648054 756290172 /nfs/dbraw/zinc/29/01/72/756290172.db2.gz UPKIVBKPDPBGDI-VIFPVBQESA-N 0 2 314.279 0.767 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@H]([NH2+]Cc3ncccn3)[C@@H](C)C2)c1[O-] ZINC001054569220 756545110 /nfs/dbraw/zinc/54/51/10/756545110.db2.gz GJOCGHNWLANZOX-ONGXEEELSA-N 0 2 316.365 0.464 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1coc(C)c1 ZINC001081588923 756705130 /nfs/dbraw/zinc/70/51/30/756705130.db2.gz RZWFUJBMKHODNN-GHMZBOCLSA-N 0 2 321.337 0.041 20 0 DCADLN CC(=O)N[C@@]1(CO)CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001055678195 756857135 /nfs/dbraw/zinc/85/71/35/756857135.db2.gz WEPAQOBVKFEIGP-WPRPVWTQSA-N 0 2 300.252 0.376 20 0 DCADLN CC(=O)N[C@@]1(CO)CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001055678195 756857140 /nfs/dbraw/zinc/85/71/40/756857140.db2.gz WEPAQOBVKFEIGP-WPRPVWTQSA-N 0 2 300.252 0.376 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2COCC[N@H+]2CC[C@H](C)F)c1[O-] ZINC001050876190 758199940 /nfs/dbraw/zinc/19/99/40/758199940.db2.gz JKXDXELAMPPBDA-ONGXEEELSA-N 0 2 314.361 0.602 20 0 DCADLN Cc1n[nH]c(C(=O)NC[C@H]2COCC[N@@H+]2CC[C@H](C)F)c1[O-] ZINC001050876190 758199945 /nfs/dbraw/zinc/19/99/45/758199945.db2.gz JKXDXELAMPPBDA-ONGXEEELSA-N 0 2 314.361 0.602 20 0 DCADLN Cc1cc(C(=O)NC[C@@H]2COCCN2Cc2n[nH]c(=O)[nH]2)co1 ZINC001050890411 758215926 /nfs/dbraw/zinc/21/59/26/758215926.db2.gz GOCNMKHJDBNQKS-LLVKDONJSA-N 0 2 321.337 0.043 20 0 DCADLN CCC[C@@H]1C[C@H]1C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1 ZINC001051107836 758438719 /nfs/dbraw/zinc/43/87/19/758438719.db2.gz ODXYZHXAZCHOLH-GRYCIOLGSA-N 0 2 323.397 0.264 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ccncc1 ZINC001085455692 758901124 /nfs/dbraw/zinc/90/11/24/758901124.db2.gz QAKGGIXHJMWLCH-NSHDSACASA-N 0 2 302.338 0.252 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cccs1 ZINC001085466782 758915496 /nfs/dbraw/zinc/91/54/96/758915496.db2.gz BOKPZHYZELPBPG-VIFPVBQESA-N 0 2 307.379 0.918 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1ncccc1F ZINC001085893908 759444879 /nfs/dbraw/zinc/44/48/79/759444879.db2.gz NDAQJACJKQKRQX-SECBINFHSA-N 0 2 320.328 0.391 20 0 DCADLN O=C(NCCN(CCO)C(=O)C(F)C(F)(F)F)C1CC1 ZINC001057830923 759574627 /nfs/dbraw/zinc/57/46/27/759574627.db2.gz XLCJMSIAUXWVEQ-QMMMGPOBSA-N 0 2 300.252 0.234 20 0 DCADLN O=C(NCCN(CCO)C(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001057830923 759574631 /nfs/dbraw/zinc/57/46/31/759574631.db2.gz XLCJMSIAUXWVEQ-QMMMGPOBSA-N 0 2 300.252 0.234 20 0 DCADLN CC(C)(C)C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057832588 759575188 /nfs/dbraw/zinc/57/51/88/759575188.db2.gz SJRYNCVIJUBQMY-QMMMGPOBSA-N 0 2 316.295 0.870 20 0 DCADLN CC(C)(C)C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057832588 759575191 /nfs/dbraw/zinc/57/51/91/759575191.db2.gz SJRYNCVIJUBQMY-QMMMGPOBSA-N 0 2 316.295 0.870 20 0 DCADLN CC1(C(=O)N(CCO)CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001057839778 759582975 /nfs/dbraw/zinc/58/29/75/759582975.db2.gz ARGOUJNPNNGDFT-MRVPVSSYSA-N 0 2 314.279 0.624 20 0 DCADLN CC1(C(=O)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001057839778 759582978 /nfs/dbraw/zinc/58/29/78/759582978.db2.gz ARGOUJNPNNGDFT-MRVPVSSYSA-N 0 2 314.279 0.624 20 0 DCADLN CO[C@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109052781 761225801 /nfs/dbraw/zinc/22/58/01/761225801.db2.gz FZWBJICJAAOOAL-ZNSHCXBVSA-N 0 2 309.370 0.157 20 0 DCADLN CO[C@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001109052781 761225807 /nfs/dbraw/zinc/22/58/07/761225807.db2.gz FZWBJICJAAOOAL-ZNSHCXBVSA-N 0 2 309.370 0.157 20 0 DCADLN COCC(=O)N[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001070840473 761466940 /nfs/dbraw/zinc/46/69/40/761466940.db2.gz AMOVQWLVCGWSKQ-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001070840473 761466945 /nfs/dbraw/zinc/46/69/45/761466945.db2.gz AMOVQWLVCGWSKQ-VXNVDRBHSA-N 0 2 300.252 0.640 20 0 DCADLN CC[NH+](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001109864760 761584164 /nfs/dbraw/zinc/58/41/64/761584164.db2.gz SWTXPPSDQFQXCA-ILXRZTDVSA-N 0 2 322.453 0.328 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cccn2C)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071359517 761794599 /nfs/dbraw/zinc/79/45/99/761794599.db2.gz VKEGQWLWGCRPPC-GHMZBOCLSA-N 0 2 318.381 0.632 20 0 DCADLN C[C@@H]1CC[C@@H](NC(=O)c2cccn2C)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071359517 761794604 /nfs/dbraw/zinc/79/46/04/761794604.db2.gz VKEGQWLWGCRPPC-GHMZBOCLSA-N 0 2 318.381 0.632 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[nH]3)C2)[nH]n1 ZINC001071366957 761798132 /nfs/dbraw/zinc/79/81/32/761798132.db2.gz WFJKGZLVYTZRGV-ZJUUUORDSA-N 0 2 319.369 0.325 20 0 DCADLN C[C@H]1CC[C@@H](NC(=O)c2cnsn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071371809 761801890 /nfs/dbraw/zinc/80/18/90/761801890.db2.gz UKJPRESTXVKXBX-JGVFFNPUSA-N 0 2 323.382 0.145 20 0 DCADLN CC(C)(C)/C=C/C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O ZINC001099750326 763005973 /nfs/dbraw/zinc/00/59/73/763005973.db2.gz OHOLINXEOYOMMG-JYBZGJHDSA-N 0 2 323.397 0.164 20 0 DCADLN CCC(=CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1O)CC ZINC001099781657 763034468 /nfs/dbraw/zinc/03/44/68/763034468.db2.gz ZSRRHGBVRQMFDK-VXGBXAGGSA-N 0 2 323.397 0.308 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131881518 764110447 /nfs/dbraw/zinc/11/04/47/764110447.db2.gz PQHIKFPDXHQTMS-WDEREUQCSA-N 0 2 311.386 0.404 20 0 DCADLN CC(C)OCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001131881518 764110455 /nfs/dbraw/zinc/11/04/55/764110455.db2.gz PQHIKFPDXHQTMS-WDEREUQCSA-N 0 2 311.386 0.404 20 0 DCADLN CN(C[C@@H](O)CNc1ncccn1)C(=O)C(F)C(F)(F)F ZINC001124809612 768308335 /nfs/dbraw/zinc/30/83/35/768308335.db2.gz YBNKNERMOGGARJ-YUMQZZPRSA-N 0 2 310.251 0.608 20 0 DCADLN CN(C[C@@H](O)CNc1ncccn1)C(=O)[C@H](F)C(F)(F)F ZINC001124809612 768308341 /nfs/dbraw/zinc/30/83/41/768308341.db2.gz YBNKNERMOGGARJ-YUMQZZPRSA-N 0 2 310.251 0.608 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnccn1)Nc1ncnc2nc[nH]c21 ZINC001115614822 765768597 /nfs/dbraw/zinc/76/85/97/765768597.db2.gz MUECOQOPFYFJOR-SECBINFHSA-N 0 2 312.337 0.716 20 0 DCADLN C[C@H](CN(C)C(=O)c1cnccn1)Nc1ncnc2[nH]cnc21 ZINC001115614822 765768602 /nfs/dbraw/zinc/76/86/02/765768602.db2.gz MUECOQOPFYFJOR-SECBINFHSA-N 0 2 312.337 0.716 20 0 DCADLN CO[C@@H]1CN(c2ncccn2)C[C@H]1NC(=O)C(F)C(F)(F)F ZINC001090946899 766757807 /nfs/dbraw/zinc/75/78/07/766757807.db2.gz UUKORAGKWABSBT-HLTSFMKQSA-N 0 2 322.262 0.697 20 0 DCADLN CO[C@@H]1CN(c2ncccn2)C[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001090946899 766757816 /nfs/dbraw/zinc/75/78/16/766757816.db2.gz UUKORAGKWABSBT-HLTSFMKQSA-N 0 2 322.262 0.697 20 0 DCADLN C/C(=C/C(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1)C[NH+]1CCOCC1 ZINC001119646037 766759493 /nfs/dbraw/zinc/75/94/93/766759493.db2.gz YSQGKHLMKODLAV-CUSVYIHLSA-N 0 2 310.394 0.834 20 0 DCADLN C[C@@H](CN(C)C(=O)Cc1c[nH+]c[nH]1)Nc1ncnc2nc[nH]c21 ZINC001120712426 767156677 /nfs/dbraw/zinc/15/66/77/767156677.db2.gz NCLAPHLUYIGFKG-VIFPVBQESA-N 0 2 314.353 0.578 20 0 DCADLN CN1CC[N@H+](Cc2cnc(N3CC[NH+](C)CC3)s2)CCC1=O ZINC001139324464 768468499 /nfs/dbraw/zinc/46/84/99/768468499.db2.gz BIEAOXLUFMXETR-UHFFFAOYSA-N 0 2 323.466 0.559 20 0 DCADLN CC(C)[N@H+](C)Cc1n[nH]c2c1CCN(C(=O)[C@H]1CCC(=O)N1)C2 ZINC001143119137 768687931 /nfs/dbraw/zinc/68/79/31/768687931.db2.gz NZPIHBLMNDSDHQ-GFCCVEGCSA-N 0 2 319.409 0.413 20 0 DCADLN COC(=O)c1nccc(NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001094183107 768890412 /nfs/dbraw/zinc/89/04/12/768890412.db2.gz YPLPAUBGGQBEKN-ZETCQYMHSA-N 0 2 324.234 0.114 20 0 DCADLN COC(=O)c1nccc(NCCNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001094183107 768890432 /nfs/dbraw/zinc/89/04/32/768890432.db2.gz YPLPAUBGGQBEKN-ZETCQYMHSA-N 0 2 324.234 0.114 20 0 DCADLN O=C([O-])COc1ccccc1C[N@@H+]1CCN2C(=O)COC[C@H]2C1 ZINC001231794549 769284987 /nfs/dbraw/zinc/28/49/87/769284987.db2.gz CPCLPAXWWWVDIB-CYBMUJFWSA-N 0 2 320.345 0.193 20 0 DCADLN O=C([O-])COc1ccccc1C[N@H+]1CCN2C(=O)COC[C@H]2C1 ZINC001231794549 769284995 /nfs/dbraw/zinc/28/49/95/769284995.db2.gz CPCLPAXWWWVDIB-CYBMUJFWSA-N 0 2 320.345 0.193 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc2c(ncnc2Cl)[nH]1 ZINC001151566256 769369193 /nfs/dbraw/zinc/36/91/93/769369193.db2.gz VCKNWWQJABEKTO-SECBINFHSA-N 0 2 311.710 0.650 20 0 DCADLN NC(=O)c1cccc2cccc(C(=O)NCc3n[nH]c(=O)[nH]3)c21 ZINC001152478855 769573250 /nfs/dbraw/zinc/57/32/50/769573250.db2.gz PFBHGHMGUMWBNX-UHFFFAOYSA-N 0 2 311.301 0.692 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)C1(C(F)F)CC1 ZINC001234305641 769622223 /nfs/dbraw/zinc/62/22/23/769622223.db2.gz UWMYFBLLXRLEMV-MRVPVSSYSA-N 0 2 315.324 0.588 20 0 DCADLN CN(C[C@H]1CCN1Cc1nnn(C)n1)C(=O)C(F)C(F)(F)F ZINC001234425366 769652809 /nfs/dbraw/zinc/65/28/09/769652809.db2.gz MPWONKYACVIWPV-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@H]1CCN1Cc1nnn(C)n1)C(=O)[C@H](F)C(F)(F)F ZINC001234425366 769652815 /nfs/dbraw/zinc/65/28/15/769652815.db2.gz MPWONKYACVIWPV-APPZFPTMSA-N 0 2 324.282 0.143 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cn(C)nn1)C(=O)C(F)C(F)(F)F ZINC001234424569 769653205 /nfs/dbraw/zinc/65/32/05/769653205.db2.gz ITHCEGXDMNGXSF-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C[C@@H]1CCN1Cc1cn(C)nn1)C(=O)[C@H](F)C(F)(F)F ZINC001234424569 769653208 /nfs/dbraw/zinc/65/32/08/769653208.db2.gz ITHCEGXDMNGXSF-UWVGGRQHSA-N 0 2 323.294 0.748 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001095220973 769670987 /nfs/dbraw/zinc/67/09/87/769670987.db2.gz IUAJWMDTQLUEQP-UTLUCORTSA-N 0 2 318.337 0.342 20 0 DCADLN CC1CC(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[nH]2)C1 ZINC001095296625 769752727 /nfs/dbraw/zinc/75/27/27/769752727.db2.gz GZBWCMKDIYWFKT-UFJRKFNTSA-N 0 2 305.382 0.778 20 0 DCADLN O=C(N[C@H]1CCCNC(=O)C1)c1c[nH]c2cccnc2c1=O ZINC001153864042 769971675 /nfs/dbraw/zinc/97/16/75/769971675.db2.gz YNOBOQNHTGTDEO-VIFPVBQESA-N 0 2 300.318 0.322 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)[C@H]1CCCN(c2ccccn2)C1 ZINC001176971727 770264068 /nfs/dbraw/zinc/26/40/68/770264068.db2.gz XNEKXYLANCEVTC-ZANVPECISA-N 0 2 320.374 0.723 20 0 DCADLN O=C(Cc1ccc(C(F)(F)F)cn1)NCc1n[nH]c(=O)[nH]1 ZINC001177159190 770366030 /nfs/dbraw/zinc/36/60/30/770366030.db2.gz ARMSGUBSOAERFQ-UHFFFAOYSA-N 0 2 301.228 0.783 20 0 DCADLN O=C(CSc1n[n-]c(=S)s1)N1CC[N@@H+]2CC[C@@H]2C1 ZINC001177343642 770415913 /nfs/dbraw/zinc/41/59/13/770415913.db2.gz DAJJWLFEPOJVKW-SSDOTTSWSA-N 0 2 302.450 0.835 20 0 DCADLN O=C(CSc1n[n-]c(=S)s1)N1CC[N@H+]2CC[C@@H]2C1 ZINC001177343642 770415917 /nfs/dbraw/zinc/41/59/17/770415917.db2.gz DAJJWLFEPOJVKW-SSDOTTSWSA-N 0 2 302.450 0.835 20 0 DCADLN CCOC(=O)c1c(C)[nH]cc1CCC(=O)NCc1n[nH]c(=O)[nH]1 ZINC001177835840 770559199 /nfs/dbraw/zinc/55/91/99/770559199.db2.gz GSTWCBPLXJJUKT-UHFFFAOYSA-N 0 2 321.337 0.573 20 0 DCADLN CC(=O)[N-]S(=O)(=O)c1ccc(NCc2cc[nH+]c(N)c2)nc1 ZINC001156760649 770915231 /nfs/dbraw/zinc/91/52/31/770915231.db2.gz MHRFOOHDYZRZMK-UHFFFAOYSA-N 0 2 321.362 0.496 20 0 DCADLN C[C@@]1(C(=O)NCc2n[nH]c(=O)[nH]2)CC(c2ccc(F)cc2)=NO1 ZINC001180457680 771123314 /nfs/dbraw/zinc/12/33/14/771123314.db2.gz GRLWFKWZEKSRJD-AWEZNQCLSA-N 0 2 319.296 0.849 20 0 DCADLN Nc1c2c(=O)nccc-2[n-]n1C(=O)Cc1c[nH+]c2ccccn12 ZINC001182840225 771564987 /nfs/dbraw/zinc/56/49/87/771564987.db2.gz JFJYATIQQXUHJI-UHFFFAOYSA-N 0 2 308.301 0.789 20 0 DCADLN CCN(C(=O)COc1ccc(OCC(=O)[O-])cc1)C1C[NH+](C)C1 ZINC001183904528 771712324 /nfs/dbraw/zinc/71/23/24/771712324.db2.gz BYJPEQZNANAFGG-UHFFFAOYSA-N 0 2 322.361 0.691 20 0 DCADLN Cc1nnc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)o1 ZINC001185555562 771927850 /nfs/dbraw/zinc/92/78/50/771927850.db2.gz AMSBPWGQOGUNBN-UHFFFAOYSA-N 0 2 307.295 0.359 20 0 DCADLN CSc1nc(Cl)nc(Nc2c(O)[nH]c(=O)[nH]c2=S)n1 ZINC001160849797 772123861 /nfs/dbraw/zinc/12/38/61/772123861.db2.gz SRCTXKKEPFTERM-REOHCLBHSA-N 0 2 318.771 0.194 20 0 DCADLN CSc1nc(Cl)nc(Nc2c(O)[nH]c(=O)[nH]c2=S)n1 ZINC001160849798 772124427 /nfs/dbraw/zinc/12/44/27/772124427.db2.gz SRCTXKKEPFTERM-UWTATZPHSA-N 0 2 318.771 0.194 20 0 DCADLN COc1ccc(CC(=O)NCCCc2n[nH]c(=O)[nH]2)cc1O ZINC001160878059 772131686 /nfs/dbraw/zinc/13/16/86/772131686.db2.gz AKHKSQPLPSXUAO-UHFFFAOYSA-N 0 2 306.322 0.516 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cnc(C(F)(F)F)cn1 ZINC001188352610 772297817 /nfs/dbraw/zinc/29/78/17/772297817.db2.gz IARZBLHORXNIKH-UHFFFAOYSA-N 0 2 313.257 0.800 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1cncnc1C(F)(F)F ZINC001188359924 772299106 /nfs/dbraw/zinc/29/91/06/772299106.db2.gz FACIBLHFKJPQTK-UHFFFAOYSA-N 0 2 313.257 0.800 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cc(-c2ccncc2)n[nH]1 ZINC001189328711 772454508 /nfs/dbraw/zinc/45/45/08/772454508.db2.gz IAYAWPYLBBALON-LLVKDONJSA-N 0 2 303.303 0.511 20 0 DCADLN O=S(=O)(Nc1cncnc1Br)c1ncc[nH]1 ZINC001190690262 772665491 /nfs/dbraw/zinc/66/54/91/772665491.db2.gz YFQPVQHNGFRJNE-UHFFFAOYSA-N 0 2 304.129 0.763 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)N2CCCC2)c(O)cc1Cl ZINC001191008178 772707533 /nfs/dbraw/zinc/70/75/33/772707533.db2.gz RYUUXZZCPMXCDJ-UHFFFAOYSA-N 0 2 304.755 0.929 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N1CC[C@H]([NH+](C)Cc2cnnn2C)C1 ZINC001191018942 772708729 /nfs/dbraw/zinc/70/87/29/772708729.db2.gz HGSOSYMKCCBOCW-ZDUSSCGKSA-N 0 2 322.457 0.578 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1nc(Cc2ccccc2)no1 ZINC001192277568 772898231 /nfs/dbraw/zinc/89/82/31/772898231.db2.gz WTBPSGKWHYIVDC-GFCCVEGCSA-N 0 2 318.314 0.699 20 0 DCADLN C[C@@]1(CO)CN(C(=O)c2c(F)ccc(F)c2O)CC[C@H]1O ZINC001192693496 772948934 /nfs/dbraw/zinc/94/89/34/772948934.db2.gz KCUJLEQMKTVGIS-YGRLFVJLSA-N 0 2 301.289 0.876 20 0 DCADLN COC(=O)CC[C@H](NC(=O)c1ccc(O)c(F)c1F)C(N)=O ZINC001192849213 772969600 /nfs/dbraw/zinc/96/96/00/772969600.db2.gz KZQZRLMSKBJQNY-ZETCQYMHSA-N 0 2 316.260 0.207 20 0 DCADLN O=S(=O)(C[C@H]1CCCO1)Nc1cc2n(n1)CC1(CC1)CO2 ZINC001193214271 773026010 /nfs/dbraw/zinc/02/60/10/773026010.db2.gz WIEPOISKSNMWLS-SNVBAGLBSA-N 0 2 313.379 0.976 20 0 DCADLN COCCOC(=O)N1CC[NH+](CCCCS(=O)(=O)[O-])CC1 ZINC001193397649 773058021 /nfs/dbraw/zinc/05/80/21/773058021.db2.gz ITCCHRRKNADIFV-UHFFFAOYSA-N 0 2 324.399 0.055 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1ccc(=O)n(-c2ccccc2)c1 ZINC001194581238 773209961 /nfs/dbraw/zinc/20/99/61/773209961.db2.gz AFANDMXIJSVKBA-UHFFFAOYSA-N 0 2 311.301 0.591 20 0 DCADLN COc1ncccc1S(=O)(=O)Nc1cccc(CC(N)=O)c1 ZINC001194718632 773247873 /nfs/dbraw/zinc/24/78/73/773247873.db2.gz INTKQWAMPQNKMO-UHFFFAOYSA-N 0 2 321.358 0.919 20 0 DCADLN CCCC1(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]2O)CCC1 ZINC001195501123 773420487 /nfs/dbraw/zinc/42/04/87/773420487.db2.gz GHCYCUPSTLDTCD-GHMZBOCLSA-N 0 2 323.397 0.142 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cnc3nccn3c2)cn1 ZINC001195693255 773464008 /nfs/dbraw/zinc/46/40/08/773464008.db2.gz JITRYLBNXVEHGG-UHFFFAOYSA-N 0 2 305.319 0.934 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc2nc(CC)nn2c1 ZINC001195942265 773500155 /nfs/dbraw/zinc/50/01/55/773500155.db2.gz SNBGVHSDQDJYFZ-UHFFFAOYSA-N 0 2 312.351 0.597 20 0 DCADLN CCOC(=O)CS(=O)(=O)Nc1ccc(C2=NCCO2)cc1 ZINC001195975156 773510215 /nfs/dbraw/zinc/51/02/15/773510215.db2.gz UUTRZLHTNUBYEY-UHFFFAOYSA-N 0 2 312.347 0.768 20 0 DCADLN COC(=O)C(NC(=S)Nc1cccc(OC)c1)C(=O)OC ZINC001196065743 773529225 /nfs/dbraw/zinc/52/92/25/773529225.db2.gz GSGORLVLOFSNKV-UHFFFAOYSA-N 0 2 312.347 0.696 20 0 DCADLN COCCC[N@@H+]1Cc2nccn2C[C@H](CN2CC[NH+](C)CC2)C1 ZINC001209049732 773557225 /nfs/dbraw/zinc/55/72/25/773557225.db2.gz GZAPRTKUZAPNRW-MRXNPFEDSA-N 0 2 321.469 0.599 20 0 DCADLN O=S(=O)(N[C@@H](CO)C(F)(F)F)c1cccc(Cl)n1 ZINC001198255120 773887867 /nfs/dbraw/zinc/88/78/67/773887867.db2.gz RDKDTKFGOADUPP-YFKPBYRVSA-N 0 2 304.677 0.937 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)c2cnc(C)n2C)cc1 ZINC001198343520 773904253 /nfs/dbraw/zinc/90/42/53/773904253.db2.gz JMCPORQPYBRYAF-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN CC(=O)Nc1cncc(NS(=O)(=O)c2cnc(C)n2C)c1 ZINC001198361575 773915792 /nfs/dbraw/zinc/91/57/92/773915792.db2.gz QYRCZYCLZBBYQA-UHFFFAOYSA-N 0 2 309.351 0.883 20 0 DCADLN COc1ccccc1[C@@H](CNC(C)=O)NCc1n[nH]c(=O)[nH]1 ZINC001198453345 773925491 /nfs/dbraw/zinc/92/54/91/773925491.db2.gz FWDMAEADOVYIBI-LLVKDONJSA-N 0 2 305.338 0.486 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(OCC2CCC2)ncn1 ZINC001198823112 773991262 /nfs/dbraw/zinc/99/12/62/773991262.db2.gz YXJQPDGUOGZRLK-UHFFFAOYSA-N 0 2 304.310 0.409 20 0 DCADLN COc1ccc2nc(NC(=O)[C@H]([NH3+])Cc3c[nH+]c[nH]3)nnc2c1 ZINC001218512678 774233139 /nfs/dbraw/zinc/23/31/39/774233139.db2.gz PACDWVFODIRWHW-SNVBAGLBSA-N 0 2 313.321 0.265 20 0 DCADLN COC(=O)CCCS(=O)(=O)Nc1ncc(Cl)nc1OC ZINC001201905299 774575642 /nfs/dbraw/zinc/57/56/42/774575642.db2.gz ORGADKHRZZDJJJ-UHFFFAOYSA-N 0 2 323.758 0.834 20 0 DCADLN CNC(=O)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001110579835 774637214 /nfs/dbraw/zinc/63/72/14/774637214.db2.gz LVEYIJGAOJRSJS-ODXREFDESA-N 0 2 311.279 0.354 20 0 DCADLN O=C(NOCc1ccccc1)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001220381837 774789837 /nfs/dbraw/zinc/78/98/37/774789837.db2.gz RYUGMZCTWBGPDR-UHFFFAOYSA-N 0 2 316.321 0.950 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnn(-c2ccc(F)cc2)c1 ZINC001137240185 775016622 /nfs/dbraw/zinc/01/66/22/775016622.db2.gz URFAIZICJUFVLK-UHFFFAOYSA-N 0 2 302.269 0.765 20 0 DCADLN COCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC001095645712 775282741 /nfs/dbraw/zinc/28/27/41/775282741.db2.gz DJJHNXMGDYHCCL-KBXIAJHMSA-N 0 2 318.421 0.813 20 0 DCADLN C[C@H](Oc1[nH]c(=O)nc2c(=O)[nH]c(=O)[nH]c21)c1cnccn1 ZINC001226887081 775891044 /nfs/dbraw/zinc/89/10/44/775891044.db2.gz YIGKTEKFPXQVLB-YFKPBYRVSA-N 0 2 302.250 0.467 20 0 DCADLN CCO[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001227429780 775973526 /nfs/dbraw/zinc/97/35/26/775973526.db2.gz GGPCGBLZYPCALG-CYBMUJFWSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)C1(CCF)CC1 ZINC001228422772 776081219 /nfs/dbraw/zinc/08/12/19/776081219.db2.gz VMMSFSZENXUTAB-UHFFFAOYSA-N 0 2 311.361 0.731 20 0 DCADLN CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1ccsc1 ZINC001230671707 776379377 /nfs/dbraw/zinc/37/93/77/776379377.db2.gz VDPDSRIWCJEIIB-NSHDSACASA-N 0 2 321.406 0.847 20 0 DCADLN COC[C@@H](C)C(=O)N(C)CCNC(=O)C(F)C(F)(F)F ZINC001408590612 939397224 /nfs/dbraw/zinc/39/72/24/939397224.db2.gz ZZVIZCBGVZAJHV-HTQZYQBOSA-N 0 2 302.268 0.744 20 0 DCADLN COC[C@@H](C)C(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001408590612 939397229 /nfs/dbraw/zinc/39/72/29/939397229.db2.gz ZZVIZCBGVZAJHV-HTQZYQBOSA-N 0 2 302.268 0.744 20 0 DCADLN Cc1[nH]nc(C(=O)N(C)CC[NH2+]Cc2ncnn2C(C)C)c1[O-] ZINC001267365164 939436059 /nfs/dbraw/zinc/43/60/59/939436059.db2.gz XMRVMZTWPFZZEJ-UHFFFAOYSA-N 0 2 321.385 0.458 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001480981742 939510805 /nfs/dbraw/zinc/51/08/05/939510805.db2.gz BVMNLUMAPHVXMQ-QMMMGPOBSA-N 0 2 303.313 0.494 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001480981742 939510806 /nfs/dbraw/zinc/51/08/06/939510806.db2.gz BVMNLUMAPHVXMQ-QMMMGPOBSA-N 0 2 303.313 0.494 20 0 DCADLN CC[C@@H](C(=O)NCCN(C)C(=O)c1cc(C2CC2)[nH]n1)[NH+](C)C ZINC001408694355 939578980 /nfs/dbraw/zinc/57/89/80/939578980.db2.gz IUXIIKMCMNFOPS-AWEZNQCLSA-N 0 2 321.425 0.816 20 0 DCADLN CCN(CCNC(=O)[C@H]1CCOC1)C(=O)C(F)C(F)(F)F ZINC001408723527 939609026 /nfs/dbraw/zinc/60/90/26/939609026.db2.gz VMOXANPRDJNWLP-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN CCN(CCNC(=O)[C@H]1CCOC1)C(=O)[C@H](F)C(F)(F)F ZINC001408723527 939609027 /nfs/dbraw/zinc/60/90/27/939609027.db2.gz VMOXANPRDJNWLP-IUCAKERBSA-N 0 2 314.279 0.888 20 0 DCADLN CCN(CCNC(=O)c1cccnn1)C(=O)C(F)C(F)(F)F ZINC001408786152 939647540 /nfs/dbraw/zinc/64/75/40/939647540.db2.gz YVWGCFAKBWFXMQ-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)c1cccnn1)C(=O)[C@@H](F)C(F)(F)F ZINC001408786152 939647542 /nfs/dbraw/zinc/64/75/42/939647542.db2.gz YVWGCFAKBWFXMQ-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCn1ncnc1C[NH2+]C1(CNC(=O)c2ncn[nH]2)CCCC1 ZINC001482235305 940747144 /nfs/dbraw/zinc/74/71/44/940747144.db2.gz ZVMQHVDEWHMXAN-UHFFFAOYSA-N 0 2 318.385 0.249 20 0 DCADLN CCn1ncnc1C[NH2+]C1(CNC(=O)c2nc[nH]n2)CCCC1 ZINC001482235305 940747145 /nfs/dbraw/zinc/74/71/45/940747145.db2.gz ZVMQHVDEWHMXAN-UHFFFAOYSA-N 0 2 318.385 0.249 20 0 DCADLN CN(C)C(=O)c1[nH]nc2c1CCN(C(=O)c1ccc(O)cn1)C2 ZINC001270138604 940842370 /nfs/dbraw/zinc/84/23/70/940842370.db2.gz GDGZEESKVVJSRV-UHFFFAOYSA-N 0 2 315.333 0.411 20 0 DCADLN CC(C)OCC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001409036048 941277317 /nfs/dbraw/zinc/27/73/17/941277317.db2.gz RUINMNMJARYELM-SECBINFHSA-N 0 2 300.252 0.639 20 0 DCADLN CC(C)OCC(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001409036048 941277319 /nfs/dbraw/zinc/27/73/19/941277319.db2.gz RUINMNMJARYELM-SECBINFHSA-N 0 2 300.252 0.639 20 0 DCADLN CC(C)OCC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001409036049 941277501 /nfs/dbraw/zinc/27/75/01/941277501.db2.gz RUINMNMJARYELM-VIFPVBQESA-N 0 2 300.252 0.639 20 0 DCADLN CC(C)OCC(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001409036049 941277502 /nfs/dbraw/zinc/27/75/02/941277502.db2.gz RUINMNMJARYELM-VIFPVBQESA-N 0 2 300.252 0.639 20 0 DCADLN Cn1cnc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)c1 ZINC001409060253 941300058 /nfs/dbraw/zinc/30/00/58/941300058.db2.gz GQIQRUNCZSUWDF-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN Cn1cnc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)c1 ZINC001409060253 941300061 /nfs/dbraw/zinc/30/00/61/941300061.db2.gz GQIQRUNCZSUWDF-QMMMGPOBSA-N 0 2 308.235 0.261 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001409147047 941551855 /nfs/dbraw/zinc/55/18/55/941551855.db2.gz MCAGMXZLEMPIBS-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001409147047 941551857 /nfs/dbraw/zinc/55/18/57/941551857.db2.gz MCAGMXZLEMPIBS-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@H](C(=O)N(C)CCN(C)Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001482620573 941599081 /nfs/dbraw/zinc/59/90/81/941599081.db2.gz UQYYMWFLBVJTDT-SSDOTTSWSA-N 0 2 309.292 0.599 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001482620573 941599085 /nfs/dbraw/zinc/59/90/85/941599085.db2.gz UQYYMWFLBVJTDT-SSDOTTSWSA-N 0 2 309.292 0.599 20 0 DCADLN C[C@H](C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001482620573 941599087 /nfs/dbraw/zinc/59/90/87/941599087.db2.gz UQYYMWFLBVJTDT-SSDOTTSWSA-N 0 2 309.292 0.599 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@]1(C)CCCOC1 ZINC001482637980 941605837 /nfs/dbraw/zinc/60/58/37/941605837.db2.gz IJHIEVQCFUJDCR-CQSZACIVSA-N 0 2 311.386 0.217 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)[C@]1(C)CCCOC1 ZINC001482637980 941605840 /nfs/dbraw/zinc/60/58/40/941605840.db2.gz IJHIEVQCFUJDCR-CQSZACIVSA-N 0 2 311.386 0.217 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)CNC(C)=O ZINC001409335193 941943891 /nfs/dbraw/zinc/94/38/91/941943891.db2.gz TZJDAQQXAIPRDH-CBAPKCEASA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)CNC(C)=O ZINC001409335193 941943895 /nfs/dbraw/zinc/94/38/95/941943895.db2.gz TZJDAQQXAIPRDH-CBAPKCEASA-N 0 2 315.267 0.034 20 0 DCADLN CSc1ncc(C(=O)NC2(c3nnc[nH]3)CCC2)c(=O)[nH]1 ZINC001412853943 942107807 /nfs/dbraw/zinc/10/78/07/942107807.db2.gz RWIBSEASAZKJRG-UHFFFAOYSA-N 0 2 306.351 0.831 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001412882879 942122651 /nfs/dbraw/zinc/12/26/51/942122651.db2.gz WRRIWKJFAMBBQQ-XPUUQOCRSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001412882879 942122652 /nfs/dbraw/zinc/12/26/52/942122652.db2.gz WRRIWKJFAMBBQQ-XPUUQOCRSA-N 0 2 311.235 0.122 20 0 DCADLN CC1CCC(C[NH+]2CC(CCO)(NC(=O)c3ncn[nH]3)C2)CC1 ZINC001409749986 942183319 /nfs/dbraw/zinc/18/33/19/942183319.db2.gz SKBNVFCPCHRACK-UHFFFAOYSA-N 0 2 321.425 0.798 20 0 DCADLN CCn1ncc(CN2CCN(C(=O)C(F)C(F)(F)F)CC2)n1 ZINC001415837118 942206286 /nfs/dbraw/zinc/20/62/86/942206286.db2.gz UAJVGFWEYQTANU-SNVBAGLBSA-N 0 2 323.294 0.843 20 0 DCADLN CCn1ncc(CN2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)n1 ZINC001415837118 942206292 /nfs/dbraw/zinc/20/62/92/942206292.db2.gz UAJVGFWEYQTANU-SNVBAGLBSA-N 0 2 323.294 0.843 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1Cc2ccccc21 ZINC001483379051 942315933 /nfs/dbraw/zinc/31/59/33/942315933.db2.gz QLQQTZKFVRFVMR-ZWNOBZJWSA-N 0 2 315.377 0.787 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1Cc2ccccc21 ZINC001483379051 942315939 /nfs/dbraw/zinc/31/59/39/942315939.db2.gz QLQQTZKFVRFVMR-ZWNOBZJWSA-N 0 2 315.377 0.787 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001483386875 942320108 /nfs/dbraw/zinc/32/01/08/942320108.db2.gz JFXQVVRGVKSOJF-GMTAPVOTSA-N 0 2 319.365 0.844 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001483386875 942320114 /nfs/dbraw/zinc/32/01/14/942320114.db2.gz JFXQVVRGVKSOJF-GMTAPVOTSA-N 0 2 319.365 0.844 20 0 DCADLN Cc1nonc1CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001483651165 942625502 /nfs/dbraw/zinc/62/55/02/942625502.db2.gz MJTUBRGSNWGSSV-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1nonc1CN1CC[C@@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001483651165 942625506 /nfs/dbraw/zinc/62/55/06/942625506.db2.gz MJTUBRGSNWGSSV-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN Cn1nc(C(F)(F)F)cc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001413342551 942909753 /nfs/dbraw/zinc/90/97/53/942909753.db2.gz NNQCXROOPWWLNJ-UHFFFAOYSA-N 0 2 317.227 0.570 20 0 DCADLN CO[C@H](C)CCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409904511 942919992 /nfs/dbraw/zinc/91/99/92/942919992.db2.gz FOFVGJNYZJSJLI-ZJUUUORDSA-N 0 2 311.386 0.262 20 0 DCADLN O=C(CCCc1nn[n-]n1)N1CC[NH2+][C@H](Cc2ccccc2)C1 ZINC001413389295 942944565 /nfs/dbraw/zinc/94/45/65/942944565.db2.gz GBKPEMWSRCVODQ-CQSZACIVSA-N 0 2 314.393 0.566 20 0 DCADLN CO[C@@H](C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001484054085 943122807 /nfs/dbraw/zinc/12/28/07/943122807.db2.gz IHAIZKQHIVKPNG-IUODEOHRSA-N 0 2 323.397 0.406 20 0 DCADLN CO[C@@H](C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001484054085 943122813 /nfs/dbraw/zinc/12/28/13/943122813.db2.gz IHAIZKQHIVKPNG-IUODEOHRSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[nH]1)=C1CCC1 ZINC001484593554 943470218 /nfs/dbraw/zinc/47/02/18/943470218.db2.gz YARAVYOJMBSDGY-LBPRGKRZSA-N 0 2 321.381 0.328 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCc2cnn(C)c2C1)c1nn(C)cc1O ZINC001413758238 943668364 /nfs/dbraw/zinc/66/83/64/943668364.db2.gz HRGXTQSOUVCXDD-NXEZZACHSA-N 0 2 303.366 0.842 20 0 DCADLN C[C@H](CNC(=O)COC1CCCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485282737 943787036 /nfs/dbraw/zinc/78/70/36/943787036.db2.gz WYWRRGFJXAFTJD-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](CNC(=O)COC1CCCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485282737 943787041 /nfs/dbraw/zinc/78/70/41/943787041.db2.gz WYWRRGFJXAFTJD-SNVBAGLBSA-N 0 2 311.386 0.406 20 0 DCADLN CC[C@H](OC)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001485563249 944007059 /nfs/dbraw/zinc/00/70/59/944007059.db2.gz JXFVDIIBNJWYGY-VHSXEESVSA-N 0 2 311.386 0.262 20 0 DCADLN CN(C(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1)C1CC1 ZINC001366923677 944185828 /nfs/dbraw/zinc/18/58/28/944185828.db2.gz WLNRVYAOMRKHRU-SNVBAGLBSA-N 0 2 311.279 0.308 20 0 DCADLN CN(C(=O)CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1)C1CC1 ZINC001366923677 944185836 /nfs/dbraw/zinc/18/58/36/944185836.db2.gz WLNRVYAOMRKHRU-SNVBAGLBSA-N 0 2 311.279 0.308 20 0 DCADLN C[C@H](NC(=O)[C@@H](C)C1CCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001409928238 944192187 /nfs/dbraw/zinc/19/21/87/944192187.db2.gz TZYHEIPDPYVITC-UWVGGRQHSA-N 0 2 307.398 0.883 20 0 DCADLN C[C@@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001409928300 944193443 /nfs/dbraw/zinc/19/34/43/944193443.db2.gz TZYHEIPDPYVITC-ZJUUUORDSA-N 0 2 307.398 0.883 20 0 DCADLN O=C(NCC1COC1)[C@@H]1CCC[C@]12CC[N@H+](Cc1cn[nH]n1)C2 ZINC001277378091 944793862 /nfs/dbraw/zinc/79/38/62/944793862.db2.gz ASSQWFBVCOXROF-GOEBONIOSA-N 0 2 319.409 0.560 20 0 DCADLN Cc1nc(C)c(CCC(=O)NCCCc2n[nH]c(=O)[nH]2)c(=O)[nH]1 ZINC001319054293 945487664 /nfs/dbraw/zinc/48/76/64/945487664.db2.gz HIUGGMOLCICCNE-UHFFFAOYSA-N 0 2 320.353 0.304 20 0 DCADLN Nc1cnnc(NNS(=O)(=O)C[C@H]2CCCCO2)c1Cl ZINC001319378818 945574084 /nfs/dbraw/zinc/57/40/84/945574084.db2.gz FFFNSCNPRPTTKY-SSDOTTSWSA-N 0 2 321.790 0.528 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccnc(F)c1 ZINC001319980741 945708064 /nfs/dbraw/zinc/70/80/64/945708064.db2.gz DPRCPPASGWKSLE-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccnc(F)c1 ZINC001319980741 945708066 /nfs/dbraw/zinc/70/80/66/945708066.db2.gz DPRCPPASGWKSLE-UHFFFAOYSA-N 0 2 308.317 0.248 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NC2(C3CC3)CC2)[nH]1 ZINC001413941072 945902178 /nfs/dbraw/zinc/90/21/78/945902178.db2.gz BRZNODKXBPBVMV-UHFFFAOYSA-N 0 2 313.383 0.091 20 0 DCADLN CCOC(=O)c1snc(C(N)=O)c1NS(=O)(=O)C(C)C ZINC001252421457 945915756 /nfs/dbraw/zinc/91/57/56/945915756.db2.gz PQHRVIUQJAPDBI-UHFFFAOYSA-N 0 2 321.380 0.569 20 0 DCADLN O=C(Nn1cnc2ccccc2c1=O)c1cnn2ccncc12 ZINC001320978594 946097802 /nfs/dbraw/zinc/09/78/02/946097802.db2.gz OCXBFYXMTZXTAV-UHFFFAOYSA-N 0 2 306.285 0.823 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H](CCNC(=O)c2nc[nH]n2)C(C)C)o1 ZINC001410265146 946114373 /nfs/dbraw/zinc/11/43/73/946114373.db2.gz GXPFLRLESVIEGL-MWLCHTKSSA-N 0 2 321.385 0.991 20 0 DCADLN CCc1ccc(S(=O)(=O)Nc2cnn(C)c2C(N)=O)cc1 ZINC001255017841 946458982 /nfs/dbraw/zinc/45/89/82/946458982.db2.gz PIDMGCWXECWGHD-UHFFFAOYSA-N 0 2 308.363 0.882 20 0 DCADLN CCc1ccc(S(=O)(=O)Nc2cnc(C(N)=O)nc2)cc1 ZINC001255029199 946460840 /nfs/dbraw/zinc/46/08/40/946460840.db2.gz YBUYWFPPQFHKLB-UHFFFAOYSA-N 0 2 306.347 0.939 20 0 DCADLN C[C@@H](CS(=O)(=O)c1ccccc1)[NH2+]CC(=O)CCC(=O)[O-] ZINC001255804016 946549326 /nfs/dbraw/zinc/54/93/26/946549326.db2.gz HXTDHRXGCIZYGW-NSHDSACASA-N 0 2 313.375 0.872 20 0 DCADLN C[C@@H](CC(=O)N1CCOCC1)n1[nH]c2ccnc(=O)c-2c1N ZINC001256333747 946613768 /nfs/dbraw/zinc/61/37/68/946613768.db2.gz NOYLBSWMZRLTFY-VIFPVBQESA-N 0 2 305.338 0.068 20 0 DCADLN CCN1Cc2ccc(NS(=O)(=O)CC(=O)OC)cc2C1=O ZINC001259025053 946898694 /nfs/dbraw/zinc/89/86/94/946898694.db2.gz OKQLYWAECFNDNJ-UHFFFAOYSA-N 0 2 312.347 0.577 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc(F)cc(F)c1O ZINC001299581462 946955388 /nfs/dbraw/zinc/95/53/88/946955388.db2.gz RGYPZNXYHKAMIX-UHFFFAOYSA-N 0 2 321.243 0.694 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)CCC(F)(F)F)=C(C)O ZINC001259874860 947006409 /nfs/dbraw/zinc/00/64/09/947006409.db2.gz QMCRKKAUOQLNDA-SSDOTTSWSA-N 0 2 305.274 0.379 20 0 DCADLN COC(=O)c1cccnc1NS(=O)(=O)C1CCOCC1 ZINC001259907791 947013533 /nfs/dbraw/zinc/01/35/33/947013533.db2.gz DXPMRWCZNGYROL-UHFFFAOYSA-N 0 2 300.336 0.789 20 0 DCADLN O=C([O-])c1ccc(F)c(S(=O)(=O)N2CC[N@@H+]3CC[C@@H]3C2)c1 ZINC001260214913 947083238 /nfs/dbraw/zinc/08/32/38/947083238.db2.gz RQYZUHFJSWIEEH-SNVBAGLBSA-N 0 2 314.338 0.603 20 0 DCADLN O=C([O-])c1ccc(F)c(S(=O)(=O)N2CC[N@H+]3CC[C@@H]3C2)c1 ZINC001260214913 947083249 /nfs/dbraw/zinc/08/32/49/947083249.db2.gz RQYZUHFJSWIEEH-SNVBAGLBSA-N 0 2 314.338 0.603 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001410891684 947092698 /nfs/dbraw/zinc/09/26/98/947092698.db2.gz JJVWVWCTZAFILB-XCBNKYQSSA-N 0 2 314.279 0.981 20 0 DCADLN CO[C@H](C)CC(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001410891684 947092704 /nfs/dbraw/zinc/09/27/04/947092704.db2.gz JJVWVWCTZAFILB-XCBNKYQSSA-N 0 2 314.279 0.981 20 0 DCADLN CCOC(=O)C(NS(=O)(=O)Cc1ccccc1F)=C(C)O ZINC001260629902 947130107 /nfs/dbraw/zinc/13/01/07/947130107.db2.gz IGSFOGPCVLAHOJ-GFCCVEGCSA-N 0 2 317.338 0.766 20 0 DCADLN O=S(=O)(Nc1ccc(N2CC[C@H](O)C2)nc1)c1cn[nH]c1 ZINC001260954970 947156168 /nfs/dbraw/zinc/15/61/68/947156168.db2.gz HKFLNAVBAIXHOS-JTQLQIEISA-N 0 2 309.351 0.177 20 0 DCADLN CON(C)C(=O)C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC001323776257 947199779 /nfs/dbraw/zinc/19/97/79/947199779.db2.gz FKXPBCIEGKMFIF-UHFFFAOYSA-N 0 2 319.321 0.020 20 0 DCADLN C/C(=C/C(=O)N1CCC2(C[C@H]2C(=O)[O-])CC1)C[NH+]1CCOCC1 ZINC001262174375 947592472 /nfs/dbraw/zinc/59/24/72/947592472.db2.gz RFYAYFYFCIGUCQ-ZVHGMHCTSA-N 0 2 322.405 0.978 20 0 DCADLN O=C(NCCOCCCNC(=O)C(F)C(F)(F)F)C(F)F ZINC001487615953 948146479 /nfs/dbraw/zinc/14/64/79/948146479.db2.gz LAAPYYFLDBSKAQ-ZCFIWIBFSA-N 0 2 324.221 0.791 20 0 DCADLN O=C(NCCOCCCNC(=O)[C@@H](F)C(F)(F)F)C(F)F ZINC001487615953 948146482 /nfs/dbraw/zinc/14/64/82/948146482.db2.gz LAAPYYFLDBSKAQ-ZCFIWIBFSA-N 0 2 324.221 0.791 20 0 DCADLN CN(C)c1cc[nH+]c(NC(=O)c2cccc(-n3[n-]nnc3=N)c2)c1 ZINC001546133449 1013086111 /nfs/dbraw/zinc/08/61/11/1013086111.db2.gz PGKAVNAWIGXVNE-UHFFFAOYSA-N 0 2 324.348 0.788 20 0 DCADLN CN(CCCn1cc[nH+]c1)C(=O)c1c[n-]n2c1nccc2=O ZINC001327250357 948437349 /nfs/dbraw/zinc/43/73/49/948437349.db2.gz KGBLFSRCTJCTCH-UHFFFAOYSA-N 0 2 300.322 0.381 20 0 DCADLN Cc1cnn(C)c1C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001494962064 948711069 /nfs/dbraw/zinc/71/10/69/948711069.db2.gz RDRYRSVTMXDDRT-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN Cc1cnn(C)c1C(=O)NC[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001494962064 948711073 /nfs/dbraw/zinc/71/10/73/948711073.db2.gz RDRYRSVTMXDDRT-IONNQARKSA-N 0 2 324.278 0.863 20 0 DCADLN C[N@H+](CCOc1ccccc1C(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000011891964 948772638 /nfs/dbraw/zinc/77/26/38/948772638.db2.gz UVDZPSLRSWUPKB-NSHDSACASA-N 0 2 313.375 0.883 20 0 DCADLN C[N@@H+](CCOc1ccccc1C(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000011891964 948772650 /nfs/dbraw/zinc/77/26/50/948772650.db2.gz UVDZPSLRSWUPKB-NSHDSACASA-N 0 2 313.375 0.883 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccc3nonc3c2)S1 ZINC001364430722 949271186 /nfs/dbraw/zinc/27/11/86/949271186.db2.gz VOHQJLOCPJSGHS-VIFPVBQESA-N 0 2 305.319 0.395 20 0 DCADLN Cc1cccnc1[C@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001364439932 949299816 /nfs/dbraw/zinc/29/98/16/949299816.db2.gz OWCXHTDWTUASEY-JTQLQIEISA-N 0 2 312.333 0.652 20 0 DCADLN CC(C)(O)CONC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC001329040965 949311031 /nfs/dbraw/zinc/31/10/31/949311031.db2.gz GUGREPYKUJFYBP-UHFFFAOYSA-N 0 2 324.255 0.890 20 0 DCADLN CC[C@H]1C[N@H+](Cc2cc(C(=O)[O-])nn2C)C[C@@]2(CCOC2)O1 ZINC001589626917 949494706 /nfs/dbraw/zinc/49/47/06/949494706.db2.gz SVPPNBITMRWJQG-SWLSCSKDSA-N 0 2 309.366 0.888 20 0 DCADLN CC[C@H]1C[N@@H+](Cc2cc(C(=O)[O-])nn2C)C[C@@]2(CCOC2)O1 ZINC001589626917 949494723 /nfs/dbraw/zinc/49/47/23/949494723.db2.gz SVPPNBITMRWJQG-SWLSCSKDSA-N 0 2 309.366 0.888 20 0 DCADLN O=C([C@@H]1CCCc2[nH]ncc21)N1CCC(Cc2nn[nH]n2)CC1 ZINC001364668848 949727379 /nfs/dbraw/zinc/72/73/79/949727379.db2.gz MCJHYJSOFSUUCX-LLVKDONJSA-N 0 2 315.381 0.824 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC(C)(C)C(=O)OC ZINC001364763824 949899554 /nfs/dbraw/zinc/89/95/54/949899554.db2.gz XOWKEDXDEKVFGX-UHFFFAOYSA-N 0 2 300.402 0.280 20 0 DCADLN O=C(NCc1cnccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364757273 949886444 /nfs/dbraw/zinc/88/64/44/949886444.db2.gz GVTHPQOKMRJRMI-VIFPVBQESA-N 0 2 303.326 0.390 20 0 DCADLN COC[C@H](CCO)NS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001364835155 950041221 /nfs/dbraw/zinc/04/12/21/950041221.db2.gz FCUKGPKNNWLRPA-QMMMGPOBSA-N 0 2 324.786 0.881 20 0 DCADLN O=S(=O)(N[C@@H]1COC[C@@H]1O)c1onc(C2CC2)c1Cl ZINC001364897657 950156020 /nfs/dbraw/zinc/15/60/20/950156020.db2.gz VSFBLHCAHSBRBX-RQJHMYQMSA-N 0 2 308.743 0.243 20 0 DCADLN CC[C@H]1CCC[C@@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364997681 950324322 /nfs/dbraw/zinc/32/43/22/950324322.db2.gz LOZGXJULZVYXOV-UWVGGRQHSA-N 0 2 314.411 0.792 20 0 DCADLN Cc1cc(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)ns1 ZINC001364997646 950325534 /nfs/dbraw/zinc/32/55/34/950325534.db2.gz KXEHZIWQDXKWCJ-UHFFFAOYSA-N 0 2 315.380 0.044 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)Cc1ncnn1C ZINC001490198092 950418029 /nfs/dbraw/zinc/41/80/29/950418029.db2.gz CUTNDGUEDOCRPN-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)Cc1ncnn1C ZINC001490198092 950418047 /nfs/dbraw/zinc/41/80/47/950418047.db2.gz CUTNDGUEDOCRPN-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN Cc1noc(-c2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)cc2)n1 ZINC001330807270 950674651 /nfs/dbraw/zinc/67/46/51/950674651.db2.gz BJKFKIZUPWVPDE-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2n[nH]cc2C(=O)[O-])C1 ZINC001590209522 950898669 /nfs/dbraw/zinc/89/86/69/950898669.db2.gz WFIVJDZMPCLWSY-SECBINFHSA-N 0 2 324.337 0.322 20 0 DCADLN CCOC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2n[nH]cc2C(=O)[O-])C1 ZINC001590209522 950898678 /nfs/dbraw/zinc/89/86/78/950898678.db2.gz WFIVJDZMPCLWSY-SECBINFHSA-N 0 2 324.337 0.322 20 0 DCADLN CN(CCOCCNC(=O)C1CC1)C(=O)C(F)C(F)(F)F ZINC001280897048 951145377 /nfs/dbraw/zinc/14/53/77/951145377.db2.gz NADRAAXDJDYDMQ-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCOCCNC(=O)C1CC1)C(=O)[C@@H](F)C(F)(F)F ZINC001280897048 951145381 /nfs/dbraw/zinc/14/53/81/951145381.db2.gz NADRAAXDJDYDMQ-SECBINFHSA-N 0 2 314.279 0.888 20 0 DCADLN Cc1cnc(C[NH2+]CC=CCNC(=O)CCc2cn[nH]n2)s1 ZINC001274371718 951593717 /nfs/dbraw/zinc/59/37/17/951593717.db2.gz YMFDKYOZHAXDAS-IHWYPQMZSA-N 0 2 320.422 0.964 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CC[C@@H](n3cc(Cl)cn3)C2)C1=O ZINC001595073615 951614053 /nfs/dbraw/zinc/61/40/53/951614053.db2.gz CIJRKXDLJTXYNH-GHMZBOCLSA-N 0 2 312.757 0.469 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CC[C@@H](n3cc(Cl)cn3)C2)C1=O ZINC001595073615 951614056 /nfs/dbraw/zinc/61/40/56/951614056.db2.gz CIJRKXDLJTXYNH-GHMZBOCLSA-N 0 2 312.757 0.469 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)C1(C(=O)[O-])CCSCC1 ZINC001332422700 951640551 /nfs/dbraw/zinc/64/05/51/951640551.db2.gz IYYDKHDHOKDHNY-LLVKDONJSA-N 0 2 316.423 0.421 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)C1(C(=O)[O-])CCSCC1 ZINC001332422700 951640554 /nfs/dbraw/zinc/64/05/54/951640554.db2.gz IYYDKHDHOKDHNY-LLVKDONJSA-N 0 2 316.423 0.421 20 0 DCADLN COCc1nnc(CN2C(=O)[C@@H]3CC(F)(F)CN3C2=O)[nH]1 ZINC001332844048 951820993 /nfs/dbraw/zinc/82/09/93/951820993.db2.gz MXVKHSUEGFLOGI-LURJTMIESA-N 0 2 301.253 0.123 20 0 DCADLN CC(=O)c1ccc(O)c(C(=O)N2C[C@@H]3[C@H](C2)OCCN3C)c1 ZINC001275525882 952230047 /nfs/dbraw/zinc/23/00/47/952230047.db2.gz ZJIGLKNRFKGAAM-HIFRSBDPSA-N 0 2 304.346 0.750 20 0 DCADLN C/C(=C\C(=O)N1CC([C@H](F)C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001334204053 952259522 /nfs/dbraw/zinc/25/95/22/952259522.db2.gz VWRWBVDUOLXVGS-PPOCWRSBSA-N 0 2 300.330 0.146 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)C(=O)c1cn(C)cn1 ZINC001411564582 952277156 /nfs/dbraw/zinc/27/71/56/952277156.db2.gz BADPDVMHCNVIIY-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)N(C)C(=O)c1cn(C)cn1 ZINC001411564582 952277163 /nfs/dbraw/zinc/27/71/63/952277163.db2.gz BADPDVMHCNVIIY-APPZFPTMSA-N 0 2 324.278 0.897 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C(F)F)C1)C(F)C(F)(F)F ZINC001411763077 952435070 /nfs/dbraw/zinc/43/50/70/952435070.db2.gz MHKJNHAKTKESDB-YFKPBYRVSA-N 0 2 322.205 0.232 20 0 DCADLN O=C(NC1(CCO)CN(C(=O)C(F)F)C1)[C@H](F)C(F)(F)F ZINC001411763077 952435075 /nfs/dbraw/zinc/43/50/75/952435075.db2.gz MHKJNHAKTKESDB-YFKPBYRVSA-N 0 2 322.205 0.232 20 0 DCADLN CCC[C@H](NC(=O)c1cnc(C2CC2)[nH]c1=O)c1nn[nH]n1 ZINC001411861233 952488102 /nfs/dbraw/zinc/48/81/02/952488102.db2.gz ZNKPAFMLNRICDL-VIFPVBQESA-N 0 2 303.326 0.844 20 0 DCADLN Cc1cc(CN[C@H](CO)CNC(=O)C(F)C(F)(F)F)no1 ZINC001448824522 952624160 /nfs/dbraw/zinc/62/41/60/952624160.db2.gz PUISHCHJZDSWPP-IUCAKERBSA-N 0 2 313.251 0.450 20 0 DCADLN CCO[C@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)[C@H]1CCOC1 ZINC001412062695 952627513 /nfs/dbraw/zinc/62/75/13/952627513.db2.gz DOMQBESFRBYUTJ-SRVKXCTJSA-N 0 2 324.381 0.658 20 0 DCADLN COCC(=O)N1C[C@@H]2[C@@H](CNC(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001412092263 952642104 /nfs/dbraw/zinc/64/21/04/952642104.db2.gz GZPBLSLADJNWPL-BDNRQGISSA-N 0 2 312.263 0.354 20 0 DCADLN COCC(=O)N1C[C@@H]2[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)[C@@H]2C1 ZINC001412092263 952642109 /nfs/dbraw/zinc/64/21/09/952642109.db2.gz GZPBLSLADJNWPL-BDNRQGISSA-N 0 2 312.263 0.354 20 0 DCADLN CC(C)(O)[C@H](O)C(=O)Nc1nccc(Br)c1O ZINC001412404303 952780143 /nfs/dbraw/zinc/78/01/43/952780143.db2.gz QDMSTEWNIZOOSW-SSDOTTSWSA-N 0 2 305.128 0.620 20 0 DCADLN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)N2CCO[C@H](c3nn[nH]n3)C2)C1 ZINC001412530118 952871519 /nfs/dbraw/zinc/87/15/19/952871519.db2.gz HOPCQEVSOOJIOM-MIMYLULJSA-N 0 2 317.353 0.189 20 0 DCADLN CNc1ncccc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001412677942 952985749 /nfs/dbraw/zinc/98/57/49/952985749.db2.gz KWYNWERJEIDDSG-UHFFFAOYSA-N 0 2 317.349 0.948 20 0 DCADLN CC(C)N(CCN(C)C(=O)C(N)=O)C(=O)C(F)C(F)(F)F ZINC001338740857 953047828 /nfs/dbraw/zinc/04/78/28/953047828.db2.gz HUNIUYDXIKWIBN-ZETCQYMHSA-N 0 2 315.267 0.068 20 0 DCADLN CC(C)N(CCN(C)C(=O)C(N)=O)C(=O)[C@H](F)C(F)(F)F ZINC001338740857 953047831 /nfs/dbraw/zinc/04/78/31/953047831.db2.gz HUNIUYDXIKWIBN-ZETCQYMHSA-N 0 2 315.267 0.068 20 0 DCADLN CO[C@@H](C)CC(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001365919796 953411575 /nfs/dbraw/zinc/41/15/75/953411575.db2.gz SYPWLSPARKCEDE-JTQLQIEISA-N 0 2 311.386 0.358 20 0 DCADLN CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC[C@@H](C(=O)[O-])C1 ZINC001593660846 953798598 /nfs/dbraw/zinc/79/85/98/953798598.db2.gz TXMJHCGVJLOLFU-LLVKDONJSA-N 0 2 318.381 0.923 20 0 DCADLN O=C([O-])C1(C(=O)N[C@@H]2CCc3c[nH+]cn3C2)CCSCC1 ZINC001594856500 954241442 /nfs/dbraw/zinc/24/14/42/954241442.db2.gz ONRWBHYIKIYXSZ-SNVBAGLBSA-N 0 2 309.391 0.912 20 0 DCADLN Cn1ncc2c1CCC[C@@H]2[N@H+](C)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001593756708 954457542 /nfs/dbraw/zinc/45/75/42/954457542.db2.gz DQVDCGCSNOSRCT-JQWIXIFHSA-N 0 2 306.366 0.620 20 0 DCADLN Cn1ncc2c1CCC[C@@H]2[N@@H+](C)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001593756708 954457548 /nfs/dbraw/zinc/45/75/48/954457548.db2.gz DQVDCGCSNOSRCT-JQWIXIFHSA-N 0 2 306.366 0.620 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCC[C@@H](F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366612101 954516775 /nfs/dbraw/zinc/51/67/75/954516775.db2.gz PXEGFVLXRWEOBB-WDEREUQCSA-N 0 2 313.377 0.977 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCC[C@@H](F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001366612101 954516790 /nfs/dbraw/zinc/51/67/90/954516790.db2.gz PXEGFVLXRWEOBB-WDEREUQCSA-N 0 2 313.377 0.977 20 0 DCADLN O=C([O-])C1=NO[C@H](C(=O)NCc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001594892370 954545121 /nfs/dbraw/zinc/54/51/21/954545121.db2.gz SXEASZUMGPRNTN-ZDUSSCGKSA-N 0 2 314.301 0.718 20 0 DCADLN CCN(CCCNC(=O)C(F)C(F)(F)F)C(=O)CC(N)=O ZINC001283727772 954560703 /nfs/dbraw/zinc/56/07/03/954560703.db2.gz PSOJKOCEKAUOET-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN CCN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)CC(N)=O ZINC001283727772 954560711 /nfs/dbraw/zinc/56/07/11/954560711.db2.gz PSOJKOCEKAUOET-VIFPVBQESA-N 0 2 315.267 0.117 20 0 DCADLN CC(C)[N@H+](C)CC(=O)NCCNC(=O)c1cccc2[nH+]ccn21 ZINC001349173803 954632881 /nfs/dbraw/zinc/63/28/81/954632881.db2.gz LRAODXAFFURIDI-UHFFFAOYSA-N 0 2 317.393 0.521 20 0 DCADLN Cc1[nH]ccc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366729599 954727804 /nfs/dbraw/zinc/72/78/04/954727804.db2.gz CYXFTYLXJZDKKR-UHFFFAOYSA-N 0 2 304.354 0.541 20 0 DCADLN Cc1[nH]ccc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366729599 954727813 /nfs/dbraw/zinc/72/78/13/954727813.db2.gz CYXFTYLXJZDKKR-UHFFFAOYSA-N 0 2 304.354 0.541 20 0 DCADLN CCCN1C(=O)N(Cc2nnc(COC)[nH]2)C(=O)[C@H]1COC ZINC001350005351 954962431 /nfs/dbraw/zinc/96/24/31/954962431.db2.gz BUDHKPGNHSWUJQ-SECBINFHSA-N 0 2 311.342 0.140 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)C1(C(=O)[O-])CCOCC1 ZINC001589515024 955061852 /nfs/dbraw/zinc/06/18/52/955061852.db2.gz RIDJNQDQCQBPGZ-GFCCVEGCSA-N 0 2 314.382 0.095 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@@H]1CCN(Cc2nncn2C)C1 ZINC001367054164 955267327 /nfs/dbraw/zinc/26/73/27/955267327.db2.gz ROQDWVXEEZRTKG-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)[C@@H]1CCN(Cc2nncn2C)C1 ZINC001367054164 955267334 /nfs/dbraw/zinc/26/73/34/955267334.db2.gz ROQDWVXEEZRTKG-SCZZXKLOSA-N 0 2 323.294 0.748 20 0 DCADLN C[C@H](CNC(=O)[C@]1(C(=O)[O-])CCCO1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589042937 955595212 /nfs/dbraw/zinc/59/52/12/955595212.db2.gz BBUBXRSTUOYBIB-FJJYHAOUSA-N 0 2 314.382 0.234 20 0 DCADLN C[C@H](CNC(=O)[C@]1(C(=O)[O-])CCCO1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001589042937 955595217 /nfs/dbraw/zinc/59/52/17/955595217.db2.gz BBUBXRSTUOYBIB-FJJYHAOUSA-N 0 2 314.382 0.234 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1CCc1ccc([N+](=O)[O-])cc1 ZINC000387189512 972110247 /nfs/dbraw/zinc/11/02/47/972110247.db2.gz BRAGTYZSOPEABT-LBPRGKRZSA-N 0 2 307.306 0.412 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1CCc1ccc([N+](=O)[O-])cc1 ZINC000387189512 972110249 /nfs/dbraw/zinc/11/02/49/972110249.db2.gz BRAGTYZSOPEABT-LBPRGKRZSA-N 0 2 307.306 0.412 20 0 DCADLN Cn1c[nH+]cc1C1CCN(C(=O)c2cn(CC(=O)[O-])nn2)CC1 ZINC001594557312 955772101 /nfs/dbraw/zinc/77/21/01/955772101.db2.gz FKOVEDVHOAYCRC-UHFFFAOYSA-N 0 2 318.337 0.116 20 0 DCADLN Cn1nc(C(=O)[O-])cc1CN1CCC[C@@H]1C[NH+]1CCOCC1 ZINC001594571908 955895725 /nfs/dbraw/zinc/89/57/25/955895725.db2.gz NAXWACBRNSLEBD-GFCCVEGCSA-N 0 2 308.382 0.415 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@@H+]1C ZINC001589101417 955999426 /nfs/dbraw/zinc/99/94/26/955999426.db2.gz HFXMHZFKGPIGIS-YNEHKIRRSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@H](NC(=O)N1CCC[C@@H](C(=O)[O-])C1)[C@H]1CN(C)CC[N@H+]1C ZINC001589101417 955999431 /nfs/dbraw/zinc/99/94/31/955999431.db2.gz HFXMHZFKGPIGIS-YNEHKIRRSA-N 0 2 312.414 0.127 20 0 DCADLN C[C@](O)(CNC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1)C(=O)[O-] ZINC001589138322 956246488 /nfs/dbraw/zinc/24/64/88/956246488.db2.gz ACUHPJSJKGBMAS-LRDDRELGSA-N 0 2 317.345 0.884 20 0 DCADLN CSC[C@@H](NC(=O)/C=C(\C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001354072660 956275079 /nfs/dbraw/zinc/27/50/79/956275079.db2.gz OOYMNWUYJDYGCX-PFEDMVJOSA-N 0 2 302.396 0.197 20 0 DCADLN O=C(CN1CCN(C(=O)C(F)C(F)(F)F)CC1)N1CCC1 ZINC001472959418 956630602 /nfs/dbraw/zinc/63/06/02/956630602.db2.gz BJDVAXATQNSWGN-SNVBAGLBSA-N 0 2 311.279 0.263 20 0 DCADLN O=C(CN1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1)N1CCC1 ZINC001472959418 956630609 /nfs/dbraw/zinc/63/06/09/956630609.db2.gz BJDVAXATQNSWGN-SNVBAGLBSA-N 0 2 311.279 0.263 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@H+](C[C@H](O)c2ccccc2F)CCO1 ZINC001593984883 956757055 /nfs/dbraw/zinc/75/70/55/956757055.db2.gz COHJEIOJWKXZSH-DZGCQCFKSA-N 0 2 313.325 0.661 20 0 DCADLN COC[C@@]1(C(=O)[O-])C[N@@H+](C[C@H](O)c2ccccc2F)CCO1 ZINC001593984883 956757063 /nfs/dbraw/zinc/75/70/63/956757063.db2.gz COHJEIOJWKXZSH-DZGCQCFKSA-N 0 2 313.325 0.661 20 0 DCADLN O=C(CCc1cncnc1)NCCNC(=O)C(F)C(F)(F)F ZINC001292843721 957169964 /nfs/dbraw/zinc/16/99/64/957169964.db2.gz KQXPRSGJEZSOAA-JTQLQIEISA-N 0 2 322.262 0.542 20 0 DCADLN O=C(CCc1cncnc1)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001292843721 957169983 /nfs/dbraw/zinc/16/99/83/957169983.db2.gz KQXPRSGJEZSOAA-JTQLQIEISA-N 0 2 322.262 0.542 20 0 DCADLN COCc1ncsc1C(=O)OCC[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC001594032404 957771407 /nfs/dbraw/zinc/77/14/07/957771407.db2.gz BOGBOARUKMJFOV-SNVBAGLBSA-N 0 2 314.363 0.995 20 0 DCADLN COCc1ncsc1C(=O)OCC[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC001594032404 957771412 /nfs/dbraw/zinc/77/14/12/957771412.db2.gz BOGBOARUKMJFOV-SNVBAGLBSA-N 0 2 314.363 0.995 20 0 DCADLN CO[C@H]([C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C1CC1 ZINC001361447136 957975840 /nfs/dbraw/zinc/97/58/40/957975840.db2.gz RSIIIKQXOBXHGO-PRHODGIISA-N 0 2 305.338 0.001 20 0 DCADLN CC[C@@H](C)[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)OC ZINC001361458579 957985019 /nfs/dbraw/zinc/98/50/19/957985019.db2.gz TXWPQPMUYBVRSE-MWLCHTKSSA-N 0 2 307.354 0.249 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)N[C@H]1C[C@@H](OC(C)C)C1 ZINC001361721102 958254092 /nfs/dbraw/zinc/25/40/92/958254092.db2.gz NSDOFVRPQLXXKJ-TXEJJXNPSA-N 0 2 318.377 0.946 20 0 DCADLN CC(C)C[C@H](C(=O)N1CCO[C@@H](c2nn[nH]n2)C1)n1ccnc1 ZINC001361785089 958327763 /nfs/dbraw/zinc/32/77/63/958327763.db2.gz QXEPRVQBGINYTG-VXGBXAGGSA-N 0 2 319.369 0.584 20 0 DCADLN CC(C)C[C@H](C(=O)N1CCO[C@H](c2nn[nH]n2)C1)n1ccnc1 ZINC001361785086 958328072 /nfs/dbraw/zinc/32/80/72/958328072.db2.gz QXEPRVQBGINYTG-NEPJUHHUSA-N 0 2 319.369 0.584 20 0 DCADLN C[C@H](NC(=O)N1CC[C@@](C)(C(=O)[O-])C1)[C@@H]1CN(C)CC[N@@H+]1C ZINC001603226697 972342483 /nfs/dbraw/zinc/34/24/83/972342483.db2.gz AIEFGWVZKSDXCI-SLEUVZQESA-N 0 2 312.414 0.127 20 0 DCADLN C[C@H](NC(=O)N1CC[C@@](C)(C(=O)[O-])C1)[C@@H]1CN(C)CC[N@H+]1C ZINC001603226697 972342488 /nfs/dbraw/zinc/34/24/88/972342488.db2.gz AIEFGWVZKSDXCI-SLEUVZQESA-N 0 2 312.414 0.127 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001430149679 1013174410 /nfs/dbraw/zinc/17/44/10/1013174410.db2.gz XCWNREJXNCYMET-ZKWXMUAHSA-N 0 2 314.279 0.811 20 0 DCADLN CC(C)(O)CC(=O)N[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001430149679 1013174415 /nfs/dbraw/zinc/17/44/15/1013174415.db2.gz XCWNREJXNCYMET-ZKWXMUAHSA-N 0 2 314.279 0.811 20 0 DCADLN NS(=O)(=O)c1ccc(O)c(C(=O)Nc2cccc(O)c2)c1 ZINC001361857243 958413925 /nfs/dbraw/zinc/41/39/25/958413925.db2.gz QEEZXPDPPVLBID-UHFFFAOYSA-N 0 2 308.315 0.998 20 0 DCADLN CC1(C)CC[C@@H](CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)OC1 ZINC001361875856 958434658 /nfs/dbraw/zinc/43/46/58/958434658.db2.gz VPGFRFJYKONLJY-JTQLQIEISA-N 0 2 319.365 0.393 20 0 DCADLN Cc1n[nH]c(NC(=O)c2nc(S(C)(=O)=O)ccc2Cl)n1 ZINC001361971993 958556971 /nfs/dbraw/zinc/55/69/71/958556971.db2.gz VAQVXNYTQCXODO-UHFFFAOYSA-N 0 2 315.742 0.817 20 0 DCADLN C[C@H](CN(C)Cc1cnnn1C)NC(=O)C(F)C(F)(F)F ZINC001367803443 958615428 /nfs/dbraw/zinc/61/54/28/958615428.db2.gz HHLQJHWTMBUIIP-APPZFPTMSA-N 0 2 311.283 0.652 20 0 DCADLN NS(=O)(=O)c1sc(Cl)cc1C(=O)NCc1c[nH]nn1 ZINC001362077654 958700346 /nfs/dbraw/zinc/70/03/46/958700346.db2.gz ZPYSEYQCCIEBTP-UHFFFAOYSA-N 0 2 321.771 0.097 20 0 DCADLN Nc1nccnc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001491638096 958990612 /nfs/dbraw/zinc/99/06/12/958990612.db2.gz HSMKCZMZYMKFRW-ZETCQYMHSA-N 0 2 323.250 0.195 20 0 DCADLN Nc1nccnc1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001491638096 958990619 /nfs/dbraw/zinc/99/06/19/958990619.db2.gz HSMKCZMZYMKFRW-ZETCQYMHSA-N 0 2 323.250 0.195 20 0 DCADLN Cn1ccc(=O)c(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001362386976 959258838 /nfs/dbraw/zinc/25/88/38/959258838.db2.gz RDTHWFWZZGAVDL-SECBINFHSA-N 0 2 303.322 0.229 20 0 DCADLN C[C@@H](CC(=O)Nc1nc2n(c1C(=O)[O-])CCOC2)n1cc[nH+]c1 ZINC001573577271 959334134 /nfs/dbraw/zinc/33/41/34/959334134.db2.gz STYLEDXUJGALBO-VIFPVBQESA-N 0 2 319.321 0.898 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]c(=O)c1 ZINC001377060968 959407196 /nfs/dbraw/zinc/40/71/96/959407196.db2.gz UIYXSTJJCAJZJI-SVGQVSJJSA-N 0 2 324.234 0.317 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)NC(=O)c1cn[nH]c(=O)c1 ZINC001377060968 959407208 /nfs/dbraw/zinc/40/72/08/959407208.db2.gz UIYXSTJJCAJZJI-SVGQVSJJSA-N 0 2 324.234 0.317 20 0 DCADLN CC(C)(C(=O)[O-])n1ccc(NC(=O)/C=C\C[NH+]2CCOCC2)n1 ZINC001588621379 959544218 /nfs/dbraw/zinc/54/42/18/959544218.db2.gz GPKVRVZGTASDJJ-ARJAWSKDSA-N 0 2 322.365 0.530 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc(=O)c(Cl)c[nH]2)S1 ZINC001362564983 959606291 /nfs/dbraw/zinc/60/62/91/959606291.db2.gz YDNUBQCSUFKHME-LURJTMIESA-N 0 2 300.727 0.936 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)Nc2cc(=O)c(Cl)c[nH]2)S1 ZINC001362564983 959606297 /nfs/dbraw/zinc/60/62/97/959606297.db2.gz YDNUBQCSUFKHME-LURJTMIESA-N 0 2 300.727 0.936 20 0 DCADLN CCNC(=O)C[N@@H+]1CCC[C@H]1CCCNC(=O)Cn1cc[nH+]c1 ZINC001492178342 959656534 /nfs/dbraw/zinc/65/65/34/959656534.db2.gz ONOZDDPHTHNTQC-CQSZACIVSA-N 0 2 321.425 0.380 20 0 DCADLN Cc1nn(C[N@@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c(=S)s1 ZINC001594488397 959672716 /nfs/dbraw/zinc/67/27/16/959672716.db2.gz CNLCOFOCRCAKEW-LDYMZIIASA-N 0 2 301.393 0.973 20 0 DCADLN Cc1nn(C[N@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c(=S)s1 ZINC001594488397 959672723 /nfs/dbraw/zinc/67/27/23/959672723.db2.gz CNLCOFOCRCAKEW-LDYMZIIASA-N 0 2 301.393 0.973 20 0 DCADLN CN(CCNC(=O)[C@H]1CCCCC1(F)F)Cc1n[nH]c(=O)[nH]1 ZINC001377198230 959676361 /nfs/dbraw/zinc/67/63/61/959676361.db2.gz OFQSCRQXNVGHAW-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1CCCCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001377198230 959676373 /nfs/dbraw/zinc/67/63/73/959676373.db2.gz OFQSCRQXNVGHAW-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1CCCCC1(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001377198230 959676380 /nfs/dbraw/zinc/67/63/80/959676380.db2.gz OFQSCRQXNVGHAW-SECBINFHSA-N 0 2 317.340 0.884 20 0 DCADLN CCn1cc(CNS(=O)(=O)N=S(=O)(CC)CC)nn1 ZINC001464039330 1013192964 /nfs/dbraw/zinc/19/29/64/1013192964.db2.gz NNYVGRDGURVBSV-UHFFFAOYSA-N 0 2 309.417 0.140 20 0 DCADLN O=C(C[C@H]1CCNC1=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001362638949 959744936 /nfs/dbraw/zinc/74/49/36/959744936.db2.gz KWXVHYASNGTWEZ-SECBINFHSA-N 0 2 301.306 0.642 20 0 DCADLN CN(CCCNC(=O)c1cnccn1)C(=O)C(F)C(F)(F)F ZINC001492259542 959768022 /nfs/dbraw/zinc/76/80/22/959768022.db2.gz XEMBSSXLKRANFF-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1cnccn1)C(=O)[C@@H](F)C(F)(F)F ZINC001492259542 959768026 /nfs/dbraw/zinc/76/80/26/959768026.db2.gz XEMBSSXLKRANFF-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN COc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1OC ZINC001362723541 959895015 /nfs/dbraw/zinc/89/50/15/959895015.db2.gz ZQSYSVMEDLGOJJ-UHFFFAOYSA-N 0 2 305.290 0.835 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)CCS(C)(=O)=O ZINC001377310609 959901266 /nfs/dbraw/zinc/90/12/66/959901266.db2.gz ZHMKYGXYTNYNMW-QMMMGPOBSA-N 0 2 322.324 0.370 20 0 DCADLN CCN(CCNC(=O)[C@H](F)C(F)(F)F)CCS(C)(=O)=O ZINC001377310609 959901277 /nfs/dbraw/zinc/90/12/77/959901277.db2.gz ZHMKYGXYTNYNMW-QMMMGPOBSA-N 0 2 322.324 0.370 20 0 DCADLN CC[N@H+](CCNC(=O)c1cncc(Cl)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377349236 959958474 /nfs/dbraw/zinc/95/84/74/959958474.db2.gz MRYODHLIGVAHHV-UHFFFAOYSA-N 0 2 324.772 0.811 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cncc(Cl)c1)Cc1n[nH]c(=O)[n-]1 ZINC001377349236 959958485 /nfs/dbraw/zinc/95/84/85/959958485.db2.gz MRYODHLIGVAHHV-UHFFFAOYSA-N 0 2 324.772 0.811 20 0 DCADLN COCC[C@@H](C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001362872152 960116412 /nfs/dbraw/zinc/11/64/12/960116412.db2.gz CWFQBLGJCIKGHN-SNVBAGLBSA-N 0 2 320.349 0.669 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H](C)SC)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368683297 960154906 /nfs/dbraw/zinc/15/49/06/960154906.db2.gz HVXCISZLAQFMEB-LPEHRKFASA-N 0 2 313.427 0.731 20 0 DCADLN CC[C@@H]1[C@@H](NC(=O)[C@H](C)SC)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001368683297 960154915 /nfs/dbraw/zinc/15/49/15/960154915.db2.gz HVXCISZLAQFMEB-LPEHRKFASA-N 0 2 313.427 0.731 20 0 DCADLN COCc1nnc(CNC(=O)[C@@H]2COc3ccc(O)cc3O2)[nH]1 ZINC001362921890 960181586 /nfs/dbraw/zinc/18/15/86/960181586.db2.gz MEUQJGRSCDQPOK-NSHDSACASA-N 0 2 320.305 0.113 20 0 DCADLN O=C(N[C@@H]1C[C@@H](O)[C@@H](O)C1)C1=NN(c2ccc(F)cc2)CC1=O ZINC001362950232 960215445 /nfs/dbraw/zinc/21/54/45/960215445.db2.gz MUBVWZARAXHXNW-WLLOZRIZSA-N 0 2 321.308 0.331 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1cn(C)nn1 ZINC001368768536 960247603 /nfs/dbraw/zinc/24/76/03/960247603.db2.gz YYOMJZZBFMKNSS-FKTZTGRPSA-N 0 2 323.294 0.795 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@@H](F)C(F)(F)F)CCN1Cc1cn(C)nn1 ZINC001368768536 960247615 /nfs/dbraw/zinc/24/76/15/960247615.db2.gz YYOMJZZBFMKNSS-FKTZTGRPSA-N 0 2 323.294 0.795 20 0 DCADLN CSCC(C)(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362989800 960266700 /nfs/dbraw/zinc/26/67/00/960266700.db2.gz YMHPDGYJROBGIC-UHFFFAOYSA-N 0 2 320.440 0.355 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)NC(=O)C(F)C(F)(F)F ZINC001377690531 960440667 /nfs/dbraw/zinc/44/06/67/960440667.db2.gz WIQHTDWCQVZBGF-NKWVEPMBSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)c1ncccn1)NC(=O)[C@@H](F)C(F)(F)F ZINC001377690531 960440676 /nfs/dbraw/zinc/44/06/76/960440676.db2.gz WIQHTDWCQVZBGF-NKWVEPMBSA-N 0 2 308.235 0.612 20 0 DCADLN COc1cncc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)c1 ZINC001363226904 960647041 /nfs/dbraw/zinc/64/70/41/960647041.db2.gz RIGFHWLLPKZYEQ-UHFFFAOYSA-N 0 2 323.330 0.696 20 0 DCADLN COC1(CC(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001378491540 960856374 /nfs/dbraw/zinc/85/63/74/960856374.db2.gz BYSQRAGMEPERGN-JTQLQIEISA-N 0 2 323.397 0.406 20 0 DCADLN Cn1ncc2c1CCCN(C(=O)C[C@H]1SC(=N)NC1=O)C2 ZINC001363324291 960893353 /nfs/dbraw/zinc/89/33/53/960893353.db2.gz QCMUITTXVGVISF-SNVBAGLBSA-N 0 2 307.379 0.251 20 0 DCADLN C[C@H]1CCc2[nH]nc(C(=O)NCc3nc(O)cc(=O)[nH]3)c2C1 ZINC001363330526 960906829 /nfs/dbraw/zinc/90/68/29/960906829.db2.gz RLPFNKIIYFRPRS-ZETCQYMHSA-N 0 2 303.322 0.666 20 0 DCADLN O=C([O-])[C@@H]1CC[C@H](C(=O)NCC[NH+]2Cc3ccccc3C2)O1 ZINC001571086494 961073309 /nfs/dbraw/zinc/07/33/09/961073309.db2.gz QCUANFJVUTUSTR-KGLIPLIRSA-N 0 2 304.346 0.751 20 0 DCADLN O=C(c1ccc(Cl)c(O)c1)N1CCOC[C@H]1c1nn[nH]n1 ZINC001363411075 961099348 /nfs/dbraw/zinc/09/93/48/961099348.db2.gz XNTNZMFTTUUQHI-VIFPVBQESA-N 0 2 309.713 0.772 20 0 DCADLN Cn1cc(C(=O)NCC2=NC(=O)CC(=O)N2)c(C(F)(F)F)n1 ZINC001363487840 961261918 /nfs/dbraw/zinc/26/19/18/961261918.db2.gz FEZJWRDBKINXLA-UHFFFAOYSA-N 0 2 317.227 0.570 20 0 DCADLN CC(C)NC(=O)CCCC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571106190 961273617 /nfs/dbraw/zinc/27/36/17/961273617.db2.gz JFWCIHMASGNQCT-LLVKDONJSA-N 0 2 310.354 0.217 20 0 DCADLN CC(C)NC(=O)CCCC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571106190 961273634 /nfs/dbraw/zinc/27/36/34/961273634.db2.gz JFWCIHMASGNQCT-LLVKDONJSA-N 0 2 310.354 0.217 20 0 DCADLN CCCC[C@@](C)(F)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001363624941 961569859 /nfs/dbraw/zinc/56/98/59/961569859.db2.gz BKTSBZYFWPFPRX-GFCCVEGCSA-N 0 2 320.390 0.884 20 0 DCADLN CC(C)OC(=O)c1cc(NC(=O)CCCc2nn[nH]n2)[nH]n1 ZINC001363625999 961574121 /nfs/dbraw/zinc/57/41/21/961574121.db2.gz KHFOPNRXPOENBC-UHFFFAOYSA-N 0 2 307.314 0.449 20 0 DCADLN C[C@@H](C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1)c1ccncc1 ZINC001363637407 961601280 /nfs/dbraw/zinc/60/12/80/961601280.db2.gz YUEYUYBKRBZLPV-SECBINFHSA-N 0 2 323.378 0.164 20 0 DCADLN Cc1nc2ccccn2c1CNC(=O)C[C@H]1SC(=N)NC1=O ZINC001363655885 961639989 /nfs/dbraw/zinc/63/99/89/961639989.db2.gz BUPHHRYWBPDXCR-SNVBAGLBSA-N 0 2 317.374 0.815 20 0 DCADLN COc1nn(C)cc1C(=O)N(C)CCc1nc(O)c(C)c(=O)[nH]1 ZINC001363661690 961646779 /nfs/dbraw/zinc/64/67/79/961646779.db2.gz OBGYGFXWWLBPIB-UHFFFAOYSA-N 0 2 321.337 0.253 20 0 DCADLN CC(=O)OC(C)(C)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001363665371 961657368 /nfs/dbraw/zinc/65/73/68/961657368.db2.gz VIRUKCXDZPXCPV-UHFFFAOYSA-N 0 2 311.338 0.539 20 0 DCADLN CC(C)(C)OC(=O)C[N@H+](CCC(=O)[O-])C[C@H](O)CC(C)(C)O ZINC001571144489 961707960 /nfs/dbraw/zinc/70/79/60/961707960.db2.gz NTWAGBABNOJXHA-LLVKDONJSA-N 0 2 319.398 0.627 20 0 DCADLN CC(C)(C)OC(=O)C[N@@H+](CCC(=O)[O-])C[C@H](O)CC(C)(C)O ZINC001571144489 961707974 /nfs/dbraw/zinc/70/79/74/961707974.db2.gz NTWAGBABNOJXHA-LLVKDONJSA-N 0 2 319.398 0.627 20 0 DCADLN Cc1nnc(C[NH+]2CCC(N(C)C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)o1 ZINC001571155858 961861850 /nfs/dbraw/zinc/86/18/50/961861850.db2.gz GJEKGAHNJITNKH-NWDGAFQWSA-N 0 2 322.365 0.522 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CNC(=O)c2ccccc21)c1nn(C)cc1O ZINC001363836381 961984347 /nfs/dbraw/zinc/98/43/47/961984347.db2.gz JRQLNZKHUMXZLZ-BXKDBHETSA-N 0 2 314.345 0.830 20 0 DCADLN C[C@H](NC(=O)[C@H]1CNC(=O)c2ccccc21)c1nn(C)cc1O ZINC001363836396 961987668 /nfs/dbraw/zinc/98/76/68/961987668.db2.gz JRQLNZKHUMXZLZ-CABZTGNLSA-N 0 2 314.345 0.830 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CC2(C1)CC(=O)Nc1nccn12 ZINC001363849089 962012854 /nfs/dbraw/zinc/01/28/54/962012854.db2.gz KHDRRMIOQRQWSU-SSDOTTSWSA-N 0 2 306.219 0.663 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CC2(C1)CC(=O)Nc1nccn12 ZINC001363849089 962012860 /nfs/dbraw/zinc/01/28/60/962012860.db2.gz KHDRRMIOQRQWSU-SSDOTTSWSA-N 0 2 306.219 0.663 20 0 DCADLN COCC[N@H+](CC(=O)[O-])Cc1ccccc1OCC(=O)OC ZINC001574152824 962661459 /nfs/dbraw/zinc/66/14/59/962661459.db2.gz LFFZFYRNAZWUQQ-UHFFFAOYSA-N 0 2 311.334 0.771 20 0 DCADLN COCC[N@@H+](CC(=O)[O-])Cc1ccccc1OCC(=O)OC ZINC001574152824 962661469 /nfs/dbraw/zinc/66/14/69/962661469.db2.gz LFFZFYRNAZWUQQ-UHFFFAOYSA-N 0 2 311.334 0.771 20 0 DCADLN CCn1cnnc1CNC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001364212696 962678326 /nfs/dbraw/zinc/67/83/26/962678326.db2.gz WUTKGIRYEMUING-SECBINFHSA-N 0 2 320.357 0.211 20 0 DCADLN COC(=O)C[C@H](NC(=O)C[C@H]1SC(=N)NC1=O)C(C)(C)C ZINC001364295022 962839817 /nfs/dbraw/zinc/83/98/17/962839817.db2.gz PVOTWUKISFRYES-SFYZADRCSA-N 0 2 315.395 0.637 20 0 DCADLN O=C(Cc1ccon1)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001430347746 1013384536 /nfs/dbraw/zinc/38/45/36/1013384536.db2.gz IMIRFACQYWDKJD-JTQLQIEISA-N 0 2 323.246 0.692 20 0 DCADLN O=C(Cc1ccon1)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001430347746 1013384545 /nfs/dbraw/zinc/38/45/45/1013384545.db2.gz IMIRFACQYWDKJD-JTQLQIEISA-N 0 2 323.246 0.692 20 0 DCADLN CO[C@H]1C[C@@H](CNC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C1 ZINC001364363541 962962886 /nfs/dbraw/zinc/96/28/86/962962886.db2.gz NVMGCVHGLKDIQV-WVSHTKLVSA-N 0 2 318.333 0.421 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C(F)C(F)(F)F)CCN1Cc1nnnn1C ZINC001375651178 964665474 /nfs/dbraw/zinc/66/54/74/964665474.db2.gz GXKFREDBFGXBPI-FJXKBIBVSA-N 0 2 324.282 0.190 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)[C@H](F)C(F)(F)F)CCN1Cc1nnnn1C ZINC001375651178 964665479 /nfs/dbraw/zinc/66/54/79/964665479.db2.gz GXKFREDBFGXBPI-FJXKBIBVSA-N 0 2 324.282 0.190 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@@](C)(O)C2CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001375652276 964668676 /nfs/dbraw/zinc/66/86/76/964668676.db2.gz USGSXRUSTCQFTG-BPYAMOTFSA-N 0 2 323.397 0.140 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C[C@@](C)(O)C2CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001375652276 964668679 /nfs/dbraw/zinc/66/86/79/964668679.db2.gz USGSXRUSTCQFTG-BPYAMOTFSA-N 0 2 323.397 0.140 20 0 DCADLN C[C@@H](c1nnnn1C)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001374086465 964996826 /nfs/dbraw/zinc/99/68/26/964996826.db2.gz YBPPPPNNUKKJIB-JGVFFNPUSA-N 0 2 324.282 0.316 20 0 DCADLN C[C@@H](c1nnnn1C)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001374086465 964996834 /nfs/dbraw/zinc/99/68/34/964996834.db2.gz YBPPPPNNUKKJIB-JGVFFNPUSA-N 0 2 324.282 0.316 20 0 DCADLN CN(C[C@H](O)C[N@H+](C)C/C=C\Cl)C(=O)CCc1nc[nH]n1 ZINC001369498822 965088860 /nfs/dbraw/zinc/08/88/60/965088860.db2.gz WAPMAWMEBMKFEC-ZTYXWKCZSA-N 0 2 315.805 0.241 20 0 DCADLN CC(C)OCCN1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001376169186 965321050 /nfs/dbraw/zinc/32/10/50/965321050.db2.gz JPQYQCXFVZSULZ-VIFPVBQESA-N 0 2 316.295 0.475 20 0 DCADLN O=C(CCc1ccco1)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001369808406 965436627 /nfs/dbraw/zinc/43/66/27/965436627.db2.gz XDFVNXZUNOHJCF-NSHDSACASA-N 0 2 319.365 0.817 20 0 DCADLN CCNC(=O)CN1CCC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001369816892 965445495 /nfs/dbraw/zinc/44/54/95/965445495.db2.gz KNMVAFRPOODVPF-PSASIEDQSA-N 0 2 313.295 0.604 20 0 DCADLN CC[C@H](C(=O)NCC1(O)C[NH+](Cc2cccc(C)c2)C1)[NH+](C)C ZINC001376268321 965459520 /nfs/dbraw/zinc/45/95/20/965459520.db2.gz AQVDJKYQNYYTDW-MRXNPFEDSA-N 0 2 319.449 0.998 20 0 DCADLN CC(C)[C@H](C(=O)N[C@@H]1CC[N@H+](Cc2cnn(C)c2)C1)[NH+](C)C ZINC001370038715 965717340 /nfs/dbraw/zinc/71/73/40/965717340.db2.gz BFHHPTDWNRTFGV-HUUCEWRRSA-N 0 2 307.442 0.697 20 0 DCADLN CC(C)[C@H](C(=O)N[C@H]1CC[N@H+](Cc2cnn(C)c2)C1)[NH+](C)C ZINC001370038722 965717855 /nfs/dbraw/zinc/71/78/55/965717855.db2.gz BFHHPTDWNRTFGV-LSDHHAIUSA-N 0 2 307.442 0.697 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)no1 ZINC001370571603 966250661 /nfs/dbraw/zinc/25/06/61/966250661.db2.gz BHAJJJLEMPMTIO-VXNVDRBHSA-N 0 2 321.341 0.852 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@H]1CCOC1 ZINC001375027500 966253900 /nfs/dbraw/zinc/25/39/00/966253900.db2.gz WZIIUCPVQNNAGT-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CC[C@H]1CCOC1 ZINC001375027500 966253907 /nfs/dbraw/zinc/25/39/07/966253907.db2.gz WZIIUCPVQNNAGT-MNOVXSKESA-N 0 2 311.386 0.264 20 0 DCADLN Cc1ccoc1CC(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381580790 966551137 /nfs/dbraw/zinc/55/11/37/966551137.db2.gz ZVFIQIONWWKGEU-SNVBAGLBSA-N 0 2 307.354 0.591 20 0 DCADLN Cc1ccoc1CC(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381580790 966551142 /nfs/dbraw/zinc/55/11/42/966551142.db2.gz ZVFIQIONWWKGEU-SNVBAGLBSA-N 0 2 307.354 0.591 20 0 DCADLN C[C@@H](NC(=O)COC(C)(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381794763 966686983 /nfs/dbraw/zinc/68/69/83/966686983.db2.gz RBSUHBWUAJXHNC-SECBINFHSA-N 0 2 311.386 0.262 20 0 DCADLN C[C@H](NC(=O)COC(C)(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001381794768 966686992 /nfs/dbraw/zinc/68/69/92/966686992.db2.gz RBSUHBWUAJXHNC-VIFPVBQESA-N 0 2 311.386 0.262 20 0 DCADLN O=C(CC1CC1)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001371464225 967012566 /nfs/dbraw/zinc/01/25/66/967012566.db2.gz NDEQQQNTFYRJOZ-NQMVMOMDSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(CC1CC1)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001371464225 967012574 /nfs/dbraw/zinc/01/25/74/967012574.db2.gz NDEQQQNTFYRJOZ-NQMVMOMDSA-N 0 2 312.263 0.375 20 0 DCADLN CCC1(C(=O)NC[C@H](CO)NC(=O)C(F)C(F)(F)F)CC1 ZINC001382602758 967534880 /nfs/dbraw/zinc/53/48/80/967534880.db2.gz GBBVJSSMAFOWDK-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN CCC1(C(=O)NC[C@H](CO)NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001382602758 967534886 /nfs/dbraw/zinc/53/48/86/967534886.db2.gz GBBVJSSMAFOWDK-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN CCc1cccnc1C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448042883 1013734938 /nfs/dbraw/zinc/73/49/38/1013734938.db2.gz FTXOVHBRQUXSHO-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CCc1cccnc1C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448042883 1013734950 /nfs/dbraw/zinc/73/49/50/1013734950.db2.gz FTXOVHBRQUXSHO-SNVBAGLBSA-N 0 2 318.381 0.718 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)[C@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001372068311 967621675 /nfs/dbraw/zinc/62/16/75/967621675.db2.gz DQGSAVIGOFFXTC-JGVFFNPUSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001372068311 967621680 /nfs/dbraw/zinc/62/16/80/967621680.db2.gz DQGSAVIGOFFXTC-JGVFFNPUSA-N 0 2 322.262 0.983 20 0 DCADLN CCCC(=O)N(C)C[C@@H](O)CNC(=O)C(F)C(F)(F)F ZINC001382896957 967732003 /nfs/dbraw/zinc/73/20/03/967732003.db2.gz ASUYNFGYGZXUGY-IONNQARKSA-N 0 2 302.268 0.622 20 0 DCADLN CCCC(=O)N(C)C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC001382896957 967732009 /nfs/dbraw/zinc/73/20/09/967732009.db2.gz ASUYNFGYGZXUGY-IONNQARKSA-N 0 2 302.268 0.622 20 0 DCADLN CCc1c(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001448087705 1013745344 /nfs/dbraw/zinc/74/53/44/1013745344.db2.gz AFLPBAHFSKNBLA-SNVBAGLBSA-N 0 2 320.397 0.662 20 0 DCADLN CCc1c(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ccn1C ZINC001448087705 1013745351 /nfs/dbraw/zinc/74/53/51/1013745351.db2.gz AFLPBAHFSKNBLA-SNVBAGLBSA-N 0 2 320.397 0.662 20 0 DCADLN C[C@@H](CNC(=O)Cn1cc[nH+]c1)[N@H+](C)CCO[C@@H]1CC1(F)F ZINC001448137777 1013775880 /nfs/dbraw/zinc/77/58/80/1013775880.db2.gz DVUBHIBJOOTBAA-NWDGAFQWSA-N 0 2 316.352 0.744 20 0 DCADLN COCCC(=O)NC[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001430946891 1013810773 /nfs/dbraw/zinc/81/07/73/1013810773.db2.gz PTIZIZGXHCZRIS-CBAPKCEASA-N 0 2 302.268 0.792 20 0 DCADLN COCCC(=O)NC[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001430946891 1013810781 /nfs/dbraw/zinc/81/07/81/1013810781.db2.gz PTIZIZGXHCZRIS-CBAPKCEASA-N 0 2 302.268 0.792 20 0 DCADLN CCC[C@H](OCC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001373574022 969250168 /nfs/dbraw/zinc/25/01/68/969250168.db2.gz XUYVJIFKTOAHQL-SRVKXCTJSA-N 0 2 323.397 0.501 20 0 DCADLN COCCC1(C(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001448302724 1013866896 /nfs/dbraw/zinc/86/68/96/1013866896.db2.gz JGRZXFCBAMBDCJ-JTQLQIEISA-N 0 2 323.397 0.264 20 0 DCADLN C[C@]1(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCNC(=O)C1 ZINC001448457607 1013918475 /nfs/dbraw/zinc/91/84/75/1013918475.db2.gz QIGCLIBPEPKLPZ-XPTSAGLGSA-N 0 2 307.354 0.133 20 0 DCADLN Cc1ccn(CC(=O)N(C)CCNC(=O)C(F)C(F)(F)F)n1 ZINC001448916998 1014095401 /nfs/dbraw/zinc/09/54/01/1014095401.db2.gz GPSKKCIVKTULKJ-SNVBAGLBSA-N 0 2 324.278 0.667 20 0 DCADLN Cc1ccn(CC(=O)N(C)CCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001448916998 1014095405 /nfs/dbraw/zinc/09/54/05/1014095405.db2.gz GPSKKCIVKTULKJ-SNVBAGLBSA-N 0 2 324.278 0.667 20 0 DCADLN O=C([O-])CCCCNC(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001606164435 973413731 /nfs/dbraw/zinc/41/37/31/973413731.db2.gz OFOCFYQNEOCTBM-UHFFFAOYSA-N 0 2 304.306 0.644 20 0 DCADLN Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)[C@@H](C(=O)[O-])C(C)C)C2 ZINC001605417140 973432113 /nfs/dbraw/zinc/43/21/13/973432113.db2.gz XDGPLKSTWOYIKU-FZMZJTMJSA-N 0 2 323.393 0.514 20 0 DCADLN O=C([O-])CN1CCC[C@H](C(=O)Nc2ccn3cc[nH+]c3c2)C1=O ZINC001606253194 973571945 /nfs/dbraw/zinc/57/19/45/973571945.db2.gz LTEFWKGKBHWGSO-LLVKDONJSA-N 0 2 316.317 0.596 20 0 DCADLN CC[C@H](CNC(=O)N[C@@H](CC)C[NH+]1CCOCC1)C(=O)[O-] ZINC001603548518 973606555 /nfs/dbraw/zinc/60/65/55/973606555.db2.gz HIZVOBHXLGBXDI-NEPJUHHUSA-N 0 2 301.387 0.507 20 0 DCADLN Cn1nc(C(=O)[O-])cc1C[NH2+]Cc1nnc2n1CCCCC2 ZINC001605544733 973747430 /nfs/dbraw/zinc/74/74/30/973747430.db2.gz BSBVWDNVVYKVDM-UHFFFAOYSA-N 0 2 304.354 0.726 20 0 DCADLN CCOCCOC1CC[NH+](CCS(=O)(=O)CC(=O)[O-])CC1 ZINC001603841382 974338514 /nfs/dbraw/zinc/33/85/14/974338514.db2.gz JNYBTESKDQNQQW-UHFFFAOYSA-N 0 2 323.411 0.003 20 0 DCADLN CN(CCNC(=O)Cc1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001449153439 1014245780 /nfs/dbraw/zinc/24/57/80/1014245780.db2.gz WIHBCVYIOSNLQT-VIFPVBQESA-N 0 2 310.251 0.427 20 0 DCADLN Cn1ccc(CC(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001522624854 1014254851 /nfs/dbraw/zinc/25/48/51/1014254851.db2.gz VDYNTDLSNAHDDJ-SECBINFHSA-N 0 2 310.251 0.095 20 0 DCADLN Cn1ccc(CC(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001522624854 1014254857 /nfs/dbraw/zinc/25/48/57/1014254857.db2.gz VDYNTDLSNAHDDJ-SECBINFHSA-N 0 2 310.251 0.095 20 0 DCADLN C[C@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@@H](c2nc(C3CC3)no2)O1 ZINC001549606247 1014343982 /nfs/dbraw/zinc/34/39/82/1014343982.db2.gz YFAUTQMUASSZQO-CBAPKCEASA-N 0 2 306.326 0.733 20 0 DCADLN CC(C)c1[nH]cc(CNS(=O)(=O)[C@H]2C[C@@H](C(=O)[O-])C2)[nH+]1 ZINC001592019668 976707688 /nfs/dbraw/zinc/70/76/88/976707688.db2.gz IZUSGIPNHDOASS-WAAGHKOSSA-N 0 2 301.368 0.816 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@H](O)C(C)C ZINC001528892822 1014448725 /nfs/dbraw/zinc/44/87/25/1014448725.db2.gz USERSTBKQAWLEZ-RKDXNWHRSA-N 0 2 316.295 0.868 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)[C@H](O)C(C)C ZINC001528892822 1014448731 /nfs/dbraw/zinc/44/87/31/1014448731.db2.gz USERSTBKQAWLEZ-RKDXNWHRSA-N 0 2 316.295 0.868 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(C(=O)[O-])cn1 ZINC001592623329 978593016 /nfs/dbraw/zinc/59/30/16/978593016.db2.gz GVGPIPQWEMTIIJ-LLVKDONJSA-N 0 2 322.365 0.964 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C(=O)[O-])nn1 ZINC001595131074 979547178 /nfs/dbraw/zinc/54/71/78/979547178.db2.gz JDMGYTXWZGBDSN-SNVBAGLBSA-N 0 2 323.353 0.407 20 0 DCADLN C[C@@H](Cc1cnn(C)c1)C(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001592909046 979869792 /nfs/dbraw/zinc/86/97/92/979869792.db2.gz NXYSVRJFNNIYMT-CMPLNLGQSA-N 0 2 305.338 0.065 20 0 DCADLN CC[C@](COC)([NH2+]Cc1nc2ccc(OC)nc2[nH]1)C(=O)[O-] ZINC001595200222 979947786 /nfs/dbraw/zinc/94/77/86/979947786.db2.gz VNMUDSBPEQLANU-CQSZACIVSA-N 0 2 308.338 0.936 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001523437829 1014705324 /nfs/dbraw/zinc/70/53/24/1014705324.db2.gz LNXLQSJDMCSLKZ-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnn(C)c1 ZINC001523437829 1014705334 /nfs/dbraw/zinc/70/53/34/1014705334.db2.gz LNXLQSJDMCSLKZ-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CC[C@H](C(=O)N[C@H]1CCO[C@H]1C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC001595484571 981223082 /nfs/dbraw/zinc/22/30/82/981223082.db2.gz SSEMHOPGWFFUAX-YVECIDJPSA-N 0 2 314.382 0.234 20 0 DCADLN CC[C@H](C(=O)N[C@H]1CCO[C@H]1C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC001595484571 981223086 /nfs/dbraw/zinc/22/30/86/981223086.db2.gz SSEMHOPGWFFUAX-YVECIDJPSA-N 0 2 314.382 0.234 20 0 DCADLN CCn1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001530485502 1014768711 /nfs/dbraw/zinc/76/87/11/1014768711.db2.gz RPIHZOIPHRDXGW-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CCn1cc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)cn1 ZINC001530485502 1014768722 /nfs/dbraw/zinc/76/87/22/1014768722.db2.gz RPIHZOIPHRDXGW-VIFPVBQESA-N 0 2 322.262 0.744 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@H+](C)[C@H](C)C[S@@](C)=O)C(=O)[O-] ZINC001594887569 982273571 /nfs/dbraw/zinc/27/35/71/982273571.db2.gz RACDOENGWDRUJG-UTUJYNPRSA-N 0 2 306.428 0.301 20 0 DCADLN CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)[C@H](C)C[S@@](C)=O)C(=O)[O-] ZINC001594887569 982273580 /nfs/dbraw/zinc/27/35/80/982273580.db2.gz RACDOENGWDRUJG-UTUJYNPRSA-N 0 2 306.428 0.301 20 0 DCADLN CCCN(CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])C(=O)Cc1c[nH+]c[nH]1 ZINC001595880248 982889169 /nfs/dbraw/zinc/88/91/69/982889169.db2.gz VHOYOHXEXSUNME-RYUDHWBXSA-N 0 2 322.365 0.028 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(c3cc(N4CCC[C@H]4CO)nc[nH+]3)C[C@@H]21 ZINC001550919431 1014912518 /nfs/dbraw/zinc/91/25/18/1014912518.db2.gz OIXBQNORHUGJKH-OZTPJHRESA-N 0 2 318.377 0.595 20 0 DCADLN O=C([O-])[C@@H]1[C@@H]2CCN(c3cc(N4CCC[C@H]4CO)[nH+]cn3)C[C@@H]21 ZINC001550919431 1014912527 /nfs/dbraw/zinc/91/25/27/1014912527.db2.gz OIXBQNORHUGJKH-OZTPJHRESA-N 0 2 318.377 0.595 20 0 DCADLN CCc1[nH]c(CNS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)c[nH+]1 ZINC001573833951 983125340 /nfs/dbraw/zinc/12/53/40/983125340.db2.gz OWEVMKZHQWQIBZ-UHFFFAOYSA-N 0 2 312.351 0.487 20 0 DCADLN CCc1[nH]cc(CNS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)[nH+]1 ZINC001573833951 983125346 /nfs/dbraw/zinc/12/53/46/983125346.db2.gz OWEVMKZHQWQIBZ-UHFFFAOYSA-N 0 2 312.351 0.487 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@H]3CC[C@@H](C(=O)[O-])C3)CC2)cc[nH+]1 ZINC001574190851 983187076 /nfs/dbraw/zinc/18/70/76/983187076.db2.gz FUBZWPLDAYVFTJ-QWHCGFSZSA-N 0 2 318.377 0.935 20 0 DCADLN CC[N@H+](CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O)[C@@H]1CCOC1 ZINC001596350593 983804161 /nfs/dbraw/zinc/80/41/61/983804161.db2.gz GVQIYELJGWSADO-QMTHXVAHSA-N 0 2 313.354 0.230 20 0 DCADLN CC[N@@H+](CN1C(=O)N[C@](C)(CCC(=O)[O-])C1=O)[C@@H]1CCOC1 ZINC001596350593 983804164 /nfs/dbraw/zinc/80/41/64/983804164.db2.gz GVQIYELJGWSADO-QMTHXVAHSA-N 0 2 313.354 0.230 20 0 DCADLN CC[N@H+](Cc1cc(C(=O)[O-])n(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC001596356160 983822869 /nfs/dbraw/zinc/82/28/69/983822869.db2.gz HQUCEQGSHGDGLR-NSHDSACASA-N 0 2 300.380 0.732 20 0 DCADLN CC[N@@H+](Cc1cc(C(=O)[O-])n(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC001596356160 983822874 /nfs/dbraw/zinc/82/28/74/983822874.db2.gz HQUCEQGSHGDGLR-NSHDSACASA-N 0 2 300.380 0.732 20 0 DCADLN CCN1C(=O)CN(C[N@@H+]2CCc3cc(C(=O)[O-])ccc3C2)C1=O ZINC001596389601 983921187 /nfs/dbraw/zinc/92/11/87/983921187.db2.gz KAQPDJGBDIDHJW-UHFFFAOYSA-N 0 2 317.345 0.985 20 0 DCADLN CCN1C(=O)CN(C[N@H+]2CCc3cc(C(=O)[O-])ccc3C2)C1=O ZINC001596389601 983921190 /nfs/dbraw/zinc/92/11/90/983921190.db2.gz KAQPDJGBDIDHJW-UHFFFAOYSA-N 0 2 317.345 0.985 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)c2cccnc2C(=O)[O-])[C@@H](C)C1 ZINC001596438270 984127324 /nfs/dbraw/zinc/12/73/24/984127324.db2.gz DSSMPUVEITXDNS-JTQLQIEISA-N 0 2 313.379 0.495 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)c2cccnc2C(=O)[O-])[C@@H](C)C1 ZINC001596438270 984127327 /nfs/dbraw/zinc/12/73/27/984127327.db2.gz DSSMPUVEITXDNS-JTQLQIEISA-N 0 2 313.379 0.495 20 0 DCADLN C=Cc1ccc(S(=O)(=O)N2CC[NH+](CC(=O)[O-])CC2)cc1 ZINC001588921943 984581027 /nfs/dbraw/zinc/58/10/27/984581027.db2.gz QKYZEMCHKFOYAJ-UHFFFAOYSA-N 0 2 310.375 0.721 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)N(C)C(=O)C(F)C(F)(F)F ZINC001383128270 984688167 /nfs/dbraw/zinc/68/81/67/984688167.db2.gz LMZBJKLWJQPQAM-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001383128270 984688172 /nfs/dbraw/zinc/68/81/72/984688172.db2.gz LMZBJKLWJQPQAM-IONNQARKSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cn[nH]n1 ZINC001383725777 985046995 /nfs/dbraw/zinc/04/69/95/985046995.db2.gz DSMPKCDFOPHETO-CAHLUQPWSA-N 0 2 311.239 0.282 20 0 DCADLN CC(=O)CSCC(=O)N1CC[N@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC001589041639 985107038 /nfs/dbraw/zinc/10/70/38/985107038.db2.gz WMCFVETXIDEKAR-JTQLQIEISA-N 0 2 302.396 0.316 20 0 DCADLN CC(=O)CSCC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC001589041639 985107041 /nfs/dbraw/zinc/10/70/41/985107041.db2.gz WMCFVETXIDEKAR-JTQLQIEISA-N 0 2 302.396 0.316 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(C)F)C(=O)C(F)C(F)(F)F ZINC001384223677 985431717 /nfs/dbraw/zinc/43/17/17/985431717.db2.gz QUVBAVMQHDUHTG-RQJHMYQMSA-N 0 2 320.258 0.570 20 0 DCADLN CN(C[C@H](O)CNC(=O)C(C)(C)F)C(=O)[C@H](F)C(F)(F)F ZINC001384223677 985431720 /nfs/dbraw/zinc/43/17/20/985431720.db2.gz QUVBAVMQHDUHTG-RQJHMYQMSA-N 0 2 320.258 0.570 20 0 DCADLN Cc1[nH]c(C(=O)CN2CC[NH+](CCO)CC2)c(C)c1C(=O)[O-] ZINC001599944693 985504935 /nfs/dbraw/zinc/50/49/35/985504935.db2.gz HZDFXDVMEWTWSN-UHFFFAOYSA-N 0 2 309.366 0.122 20 0 DCADLN COCCC(=O)N1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001384379448 985529776 /nfs/dbraw/zinc/52/97/76/985529776.db2.gz RNEOTAKRBMUDPR-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN COCCC(=O)N1CC[C@@H]1CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001384379448 985529783 /nfs/dbraw/zinc/52/97/83/985529783.db2.gz RNEOTAKRBMUDPR-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@H+](C)Cc1cc(=O)n2cccc(C)c2n1 ZINC001596885150 985784058 /nfs/dbraw/zinc/78/40/58/985784058.db2.gz UFWHKMNTPFUQAC-ZDUSSCGKSA-N 0 2 319.361 0.924 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@@H+](C)Cc1cc(=O)n2cccc(C)c2n1 ZINC001596885150 985784070 /nfs/dbraw/zinc/78/40/70/985784070.db2.gz UFWHKMNTPFUQAC-ZDUSSCGKSA-N 0 2 319.361 0.924 20 0 DCADLN CCn1cc(C(=O)N(C)C[C@H]2OCC[C@H]2c2n[nH]c(C)n2)cn1 ZINC001551666576 1015171293 /nfs/dbraw/zinc/17/12/93/1015171293.db2.gz GOWBHQXBUNJQDD-CHWSQXEVSA-N 0 2 318.381 0.974 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cn[nH]c1)C(=O)C(F)C(F)(F)F ZINC001384882530 985923637 /nfs/dbraw/zinc/92/36/37/985923637.db2.gz PXMFLXFJUUMVON-DTWKUNHWSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)c1cn[nH]c1)C(=O)[C@@H](F)C(F)(F)F ZINC001384882530 985923663 /nfs/dbraw/zinc/92/36/63/985923663.db2.gz PXMFLXFJUUMVON-DTWKUNHWSA-N 0 2 322.262 0.983 20 0 DCADLN Cc1nc([C@H]2CCO[C@@H]2CN(C)C(=O)c2cnns2)n[nH]1 ZINC001551670305 1015173309 /nfs/dbraw/zinc/17/33/09/1015173309.db2.gz VAKMMOBRTBWQNO-DTWKUNHWSA-N 0 2 308.367 0.609 20 0 DCADLN C[C@@H]1CN(CCC(=O)[O-])CC[N@@H+]1CCC(=O)Nc1cccnc1 ZINC001594522736 986013728 /nfs/dbraw/zinc/01/37/28/986013728.db2.gz VXPMGDAWSLJTNW-CYBMUJFWSA-N 0 2 320.393 0.891 20 0 DCADLN C[C@@H]1CN(CCC(=O)[O-])CC[N@H+]1CCC(=O)Nc1cccnc1 ZINC001594522736 986013735 /nfs/dbraw/zinc/01/37/35/986013735.db2.gz VXPMGDAWSLJTNW-CYBMUJFWSA-N 0 2 320.393 0.891 20 0 DCADLN COCC(=O)N1CCC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001525933857 1015180500 /nfs/dbraw/zinc/18/05/00/1015180500.db2.gz ILTUEEFFHXQQML-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)N1CCC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001525933857 1015180507 /nfs/dbraw/zinc/18/05/07/1015180507.db2.gz ILTUEEFFHXQQML-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NC2CC(CC(=O)[O-])C2)[C@@H](C)CO1 ZINC001594527555 986080810 /nfs/dbraw/zinc/08/08/10/986080810.db2.gz MDGHZAHLYMOCEY-ZFDZMSFRSA-N 0 2 313.398 0.648 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NC2CC(CC(=O)[O-])C2)[C@@H](C)CO1 ZINC001594527555 986080820 /nfs/dbraw/zinc/08/08/20/986080820.db2.gz MDGHZAHLYMOCEY-ZFDZMSFRSA-N 0 2 313.398 0.648 20 0 DCADLN CC(=O)c1cncc(C(=O)N2CC[N@@H+](CCC(=O)[O-])C[C@@H]2C)c1 ZINC001589318764 986126810 /nfs/dbraw/zinc/12/68/10/986126810.db2.gz ROFNWDRALZINBL-NSHDSACASA-N 0 2 319.361 0.905 20 0 DCADLN CC(=O)c1cncc(C(=O)N2CC[N@H+](CCC(=O)[O-])C[C@@H]2C)c1 ZINC001589318764 986126814 /nfs/dbraw/zinc/12/68/14/986126814.db2.gz ROFNWDRALZINBL-NSHDSACASA-N 0 2 319.361 0.905 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001385849863 986631560 /nfs/dbraw/zinc/63/15/60/986631560.db2.gz WVOSYCIKXYCYIW-CSMHCCOUSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@H](C)C(=O)N[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001385849863 986631568 /nfs/dbraw/zinc/63/15/68/986631568.db2.gz WVOSYCIKXYCYIW-CSMHCCOUSA-N 0 2 300.252 0.639 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001386292430 987044663 /nfs/dbraw/zinc/04/46/63/987044663.db2.gz FHVKFYUGHYZVQY-BZNPZCIMSA-N 0 2 314.279 0.667 20 0 DCADLN CC(C)[C@@H](O)C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001386292430 987044672 /nfs/dbraw/zinc/04/46/72/987044672.db2.gz FHVKFYUGHYZVQY-BZNPZCIMSA-N 0 2 314.279 0.667 20 0 DCADLN CC[C@H](C)[C@H](NC(C)=O)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001597321792 987449997 /nfs/dbraw/zinc/44/99/97/987449997.db2.gz HWXFCPFCPBFGLY-AXTRIDKLSA-N 0 2 310.354 0.072 20 0 DCADLN CC[C@H](C)[C@H](NC(C)=O)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001597321792 987449998 /nfs/dbraw/zinc/44/99/98/987449998.db2.gz HWXFCPFCPBFGLY-AXTRIDKLSA-N 0 2 310.354 0.072 20 0 DCADLN CCc1[nH]c(C[N@@H+]2CC[C@@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597383965 987587236 /nfs/dbraw/zinc/58/72/36/987587236.db2.gz IGBHNONUBDXFSV-SECBINFHSA-N 0 2 301.368 0.138 20 0 DCADLN CCc1[nH]c(C[N@H+]2CC[C@@H](S(N)(=O)=O)C2)cc1C(=O)[O-] ZINC001597383965 987587240 /nfs/dbraw/zinc/58/72/40/987587240.db2.gz IGBHNONUBDXFSV-SECBINFHSA-N 0 2 301.368 0.138 20 0 DCADLN COCC(=O)NC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001387255682 987734349 /nfs/dbraw/zinc/73/43/49/987734349.db2.gz XDTNMUKMDZSODT-CBAPKCEASA-N 0 2 300.252 0.498 20 0 DCADLN COCC(=O)NC[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001387255682 987734354 /nfs/dbraw/zinc/73/43/54/987734354.db2.gz XDTNMUKMDZSODT-CBAPKCEASA-N 0 2 300.252 0.498 20 0 DCADLN COCC(=O)N1CCC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001387362626 987788786 /nfs/dbraw/zinc/78/87/86/987788786.db2.gz RDNGDXSISNQGKN-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN COCC(=O)N1CCC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001387362626 987788791 /nfs/dbraw/zinc/78/87/91/987788791.db2.gz RDNGDXSISNQGKN-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CN(CCNC(=O)c1cccc(=O)[nH]1)C(=O)C(F)C(F)(F)F ZINC001387678508 988007869 /nfs/dbraw/zinc/00/78/69/988007869.db2.gz QLJHBNVWJIWEGG-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN CN(CCNC(=O)c1cccc(=O)[nH]1)C(=O)[C@H](F)C(F)(F)F ZINC001387678508 988007880 /nfs/dbraw/zinc/00/78/80/988007880.db2.gz QLJHBNVWJIWEGG-VIFPVBQESA-N 0 2 323.246 0.876 20 0 DCADLN CS[C@@H](C)C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001387697482 988037092 /nfs/dbraw/zinc/03/70/92/988037092.db2.gz HYUCLYFSCNZXPL-CAHLUQPWSA-N 0 2 302.293 0.965 20 0 DCADLN CS[C@@H](C)C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001387697482 988037106 /nfs/dbraw/zinc/03/71/06/988037106.db2.gz HYUCLYFSCNZXPL-CAHLUQPWSA-N 0 2 302.293 0.965 20 0 DCADLN CC[C@H](CNC(=O)c1ccn[nH]1)NC(=O)C(F)C(F)(F)F ZINC001450848207 1015392581 /nfs/dbraw/zinc/39/25/81/1015392581.db2.gz PRNJERLEMNAEBS-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN CC[C@H](CNC(=O)c1ccn[nH]1)NC(=O)[C@H](F)C(F)(F)F ZINC001450848207 1015392590 /nfs/dbraw/zinc/39/25/90/1015392590.db2.gz PRNJERLEMNAEBS-SVRRBLITSA-N 0 2 310.251 0.935 20 0 DCADLN O=C([O-])[C@@]12COC[C@@H]1CN(C(=O)Nc1ccn3cc[nH+]c3c1)C2 ZINC001552375192 1015411666 /nfs/dbraw/zinc/41/16/66/1015411666.db2.gz DMJJNOIHEMABDL-BONVTDFDSA-N 0 2 316.317 0.899 20 0 DCADLN CC1(C(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001389089382 988811221 /nfs/dbraw/zinc/81/12/21/988811221.db2.gz BJSFBCYDBJEAAG-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN CC1(C(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F)CCC1 ZINC001389089382 988811229 /nfs/dbraw/zinc/81/12/29/988811229.db2.gz BJSFBCYDBJEAAG-HTQZYQBOSA-N 0 2 314.279 0.670 20 0 DCADLN C[C@@H](O)[C@H](NC(=O)c1ccc(Cn2cc[nH+]c2)cc1)C(=O)[O-] ZINC001599845835 988928681 /nfs/dbraw/zinc/92/86/81/988928681.db2.gz ZXCXEGNWQQNKGM-MFKMUULPSA-N 0 2 303.318 0.495 20 0 DCADLN CCC(=O)NCC(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001389160294 988928877 /nfs/dbraw/zinc/92/88/77/988928877.db2.gz ZYGPZRLRXZQMQV-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN CCC(=O)NCC(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001389160294 988928886 /nfs/dbraw/zinc/92/88/86/988928886.db2.gz ZYGPZRLRXZQMQV-HZGVNTEJSA-N 0 2 315.267 0.034 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F ZINC001389195257 988970981 /nfs/dbraw/zinc/97/09/81/988970981.db2.gz HJPRUSNVNRHZNT-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1n[nH]cc1C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001389195257 988970991 /nfs/dbraw/zinc/97/09/91/988970991.db2.gz HJPRUSNVNRHZNT-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN CCc1nnc([C@@H](C)[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)o1 ZINC001597724712 989022940 /nfs/dbraw/zinc/02/29/40/989022940.db2.gz UZLVVNADGSZPIQ-BDAKNGLRSA-N 0 2 306.326 0.930 20 0 DCADLN CCc1nnc([C@@H](C)[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)o1 ZINC001597724712 989022950 /nfs/dbraw/zinc/02/29/50/989022950.db2.gz UZLVVNADGSZPIQ-BDAKNGLRSA-N 0 2 306.326 0.930 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC001599871514 989358220 /nfs/dbraw/zinc/35/82/20/989358220.db2.gz FSWUBIVCAVYUMW-VPMLRQHESA-N 0 2 300.380 0.599 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC001599871514 989358228 /nfs/dbraw/zinc/35/82/28/989358228.db2.gz FSWUBIVCAVYUMW-VPMLRQHESA-N 0 2 300.380 0.599 20 0 DCADLN CCn1c(Cc2[nH+]ccn2C)nnc1N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001597855119 989606783 /nfs/dbraw/zinc/60/67/83/989606783.db2.gz BBHUAQTYZDTJBY-GHMZBOCLSA-N 0 2 318.381 0.779 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCC1CN(C(=O)C[N@@H+](C)C(C)C)C1 ZINC001389852707 989638152 /nfs/dbraw/zinc/63/81/52/989638152.db2.gz BOSDNGBLQMUIPI-UHFFFAOYSA-N 0 2 321.425 0.175 20 0 DCADLN O=C(Cc1nc[nH]n1)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001389868209 989649371 /nfs/dbraw/zinc/64/93/71/989649371.db2.gz NPZHBTLIMKRNRV-QMMMGPOBSA-N 0 2 323.250 0.013 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2cocn2)C1 ZINC001390072128 989796162 /nfs/dbraw/zinc/79/61/62/989796162.db2.gz JFOJPVOVERAGNK-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2cocn2)C1 ZINC001390072128 989796169 /nfs/dbraw/zinc/79/61/69/989796169.db2.gz JFOJPVOVERAGNK-QMMMGPOBSA-N 0 2 309.219 0.858 20 0 DCADLN C[N@H+](CCNC(=O)[C@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001390766664 990367757 /nfs/dbraw/zinc/36/77/57/990367757.db2.gz OMQHOGDGOSRRGB-QMMMGPOBSA-N 0 2 303.313 0.494 20 0 DCADLN C[N@@H+](CCNC(=O)[C@H]1CCC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001390766664 990367763 /nfs/dbraw/zinc/36/77/63/990367763.db2.gz OMQHOGDGOSRRGB-QMMMGPOBSA-N 0 2 303.313 0.494 20 0 DCADLN CC[N@H+](CCNC(=O)C1CC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001390912174 990473674 /nfs/dbraw/zinc/47/36/74/990473674.db2.gz UKYWIJRBHDWOPJ-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN CC[N@@H+](CCNC(=O)C1CC(F)(F)C1)Cc1n[nH]c(=O)[n-]1 ZINC001390912174 990473679 /nfs/dbraw/zinc/47/36/79/990473679.db2.gz UKYWIJRBHDWOPJ-UHFFFAOYSA-N 0 2 303.313 0.494 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001391057812 990547319 /nfs/dbraw/zinc/54/73/19/990547319.db2.gz CKFYHEWSPAVWLV-QWRGUYRKSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1ccco1 ZINC001391057812 990547323 /nfs/dbraw/zinc/54/73/23/990547323.db2.gz CKFYHEWSPAVWLV-QWRGUYRKSA-N 0 2 319.365 0.988 20 0 DCADLN Cn1nnnc1CN(CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001391159902 990630464 /nfs/dbraw/zinc/63/04/64/990630464.db2.gz GHFSSHOATALPFM-SECBINFHSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnnc1CN(CCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001391159902 990630466 /nfs/dbraw/zinc/63/04/66/990630466.db2.gz GHFSSHOATALPFM-SECBINFHSA-N 0 2 324.282 0.191 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001451074552 1015577288 /nfs/dbraw/zinc/57/72/88/1015577288.db2.gz YOPPSOKBPZHJNL-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnn(C)c1 ZINC001451074552 1015577300 /nfs/dbraw/zinc/57/73/00/1015577300.db2.gz YOPPSOKBPZHJNL-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN CC(C)(CS(=O)(=O)NCc1[nH]c2c([nH+]1)CCCC2)C(=O)[O-] ZINC001590819192 990650322 /nfs/dbraw/zinc/65/03/22/990650322.db2.gz MZSZDIBTTHUWBU-UHFFFAOYSA-N 0 2 315.395 0.819 20 0 DCADLN CC[C@H](C)OCC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391612457 991013962 /nfs/dbraw/zinc/01/39/62/991013962.db2.gz FLUUJNFBWTVUPL-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN CC[C@H](C)OCC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001391612457 991013972 /nfs/dbraw/zinc/01/39/72/991013972.db2.gz FLUUJNFBWTVUPL-QWRGUYRKSA-N 0 2 311.386 0.358 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)n1 ZINC001598255332 991059572 /nfs/dbraw/zinc/05/95/72/991059572.db2.gz DDAVDYSSGVZIQB-ZJUUUORDSA-N 0 2 313.379 0.453 20 0 DCADLN C[NH+](C)Cc1csc(CNC(=O)c2cc(C(=O)[O-])no2)n1 ZINC001598257012 991069350 /nfs/dbraw/zinc/06/93/50/991069350.db2.gz ZPVYHVGDBUNQHG-UHFFFAOYSA-N 0 2 310.335 0.821 20 0 DCADLN CN(C)[S@](C)(=O)=NC(=O)C[N@@H+]1CC[C@@]2(C(=O)[O-])CCC[C@@H]12 ZINC001598282636 991165867 /nfs/dbraw/zinc/16/58/67/991165867.db2.gz LQDHORRURAHPLU-JGMWFTNYSA-N 0 2 317.411 0.417 20 0 DCADLN CN(C)[S@](C)(=O)=NC(=O)C[N@H+]1CC[C@@]2(C(=O)[O-])CCC[C@@H]12 ZINC001598282636 991165876 /nfs/dbraw/zinc/16/58/76/991165876.db2.gz LQDHORRURAHPLU-JGMWFTNYSA-N 0 2 317.411 0.417 20 0 DCADLN COc1ccnc(C[NH+]2CC(CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)c1 ZINC001599721094 991539097 /nfs/dbraw/zinc/53/90/97/991539097.db2.gz GWKZRJPNAZRYEK-KBPBESRZSA-N 0 2 319.361 0.359 20 0 DCADLN COc1ccnc(C[NH+]2CC(CNC(=O)[C@H]3C[C@H]3C(=O)[O-])C2)c1 ZINC001599721097 991539192 /nfs/dbraw/zinc/53/91/92/991539192.db2.gz GWKZRJPNAZRYEK-UONOGXRCSA-N 0 2 319.361 0.359 20 0 DCADLN C[N@H+](CC(=O)Nc1ccsc1C(=O)[O-])[C@@]1(CO)CCOC1 ZINC001598399952 991688416 /nfs/dbraw/zinc/68/84/16/991688416.db2.gz RXNZAXRZIYGEBQ-CYBMUJFWSA-N 0 2 314.363 0.468 20 0 DCADLN C[N@@H+](CC(=O)Nc1ccsc1C(=O)[O-])[C@@]1(CO)CCOC1 ZINC001598399952 991688420 /nfs/dbraw/zinc/68/84/20/991688420.db2.gz RXNZAXRZIYGEBQ-CYBMUJFWSA-N 0 2 314.363 0.468 20 0 DCADLN Cc1ccc(CC(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001392285787 991696338 /nfs/dbraw/zinc/69/63/38/991696338.db2.gz LFJQAURAJRRUEC-UHFFFAOYSA-N 0 2 317.393 0.952 20 0 DCADLN Cc1ccc(CC(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cc1 ZINC001392285787 991696336 /nfs/dbraw/zinc/69/63/36/991696336.db2.gz LFJQAURAJRRUEC-UHFFFAOYSA-N 0 2 317.393 0.952 20 0 DCADLN COc1nc(C[N@@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])ccc1C ZINC001599730277 991699794 /nfs/dbraw/zinc/69/97/94/991699794.db2.gz NBZBPZFSRFSCHQ-GFCCVEGCSA-N 0 2 307.350 0.564 20 0 DCADLN COc1nc(C[N@H+]2CCC[C@@H]2C(=O)NCC(=O)[O-])ccc1C ZINC001599730277 991699797 /nfs/dbraw/zinc/69/97/97/991699797.db2.gz NBZBPZFSRFSCHQ-GFCCVEGCSA-N 0 2 307.350 0.564 20 0 DCADLN C[N@H+](C[C@H](O)C(F)(F)F)[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598432268 991797916 /nfs/dbraw/zinc/79/79/16/991797916.db2.gz CZZOHOIFGYXKSH-IUCAKERBSA-N 0 2 312.288 0.307 20 0 DCADLN C[N@@H+](C[C@H](O)C(F)(F)F)[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598432268 991797929 /nfs/dbraw/zinc/79/79/29/991797929.db2.gz CZZOHOIFGYXKSH-IUCAKERBSA-N 0 2 312.288 0.307 20 0 DCADLN C[N@H+](C[C@@H](O)C(F)(F)F)[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598432269 991798062 /nfs/dbraw/zinc/79/80/62/991798062.db2.gz CZZOHOIFGYXKSH-RKDXNWHRSA-N 0 2 312.288 0.307 20 0 DCADLN C[N@@H+](C[C@@H](O)C(F)(F)F)[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001598432269 991798072 /nfs/dbraw/zinc/79/80/72/991798072.db2.gz CZZOHOIFGYXKSH-RKDXNWHRSA-N 0 2 312.288 0.307 20 0 DCADLN CS(=O)(=O)CCC[N@@H+]1Cc2cc(O)ccc2C[C@@H]1C(=O)[O-] ZINC001599750761 991988422 /nfs/dbraw/zinc/98/84/22/991988422.db2.gz HZQKXLCPTLTQPK-CYBMUJFWSA-N 0 2 313.375 0.638 20 0 DCADLN CS(=O)(=O)CCC[N@H+]1Cc2cc(O)ccc2C[C@@H]1C(=O)[O-] ZINC001599750761 991988431 /nfs/dbraw/zinc/98/84/31/991988431.db2.gz HZQKXLCPTLTQPK-CYBMUJFWSA-N 0 2 313.375 0.638 20 0 DCADLN C[S@](=O)c1cccc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])c1 ZINC001599780756 992360581 /nfs/dbraw/zinc/36/05/81/992360581.db2.gz VDOPFNMJAOGXNT-YTEVENLXSA-N 0 2 321.358 0.504 20 0 DCADLN C[C@@H](CNC(=O)COCC1CC1)NC(=O)C(F)C(F)(F)F ZINC001392865042 992475138 /nfs/dbraw/zinc/47/51/38/992475138.db2.gz OINPZBIHAQMSBU-XVKPBYJWSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CNC(=O)COCC1CC1)NC(=O)[C@H](F)C(F)(F)F ZINC001392865042 992475143 /nfs/dbraw/zinc/47/51/43/992475143.db2.gz OINPZBIHAQMSBU-XVKPBYJWSA-N 0 2 314.279 0.934 20 0 DCADLN O=C(CCCn1cccc1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001392865904 992476699 /nfs/dbraw/zinc/47/66/99/992476699.db2.gz ALUWQYWYQTVYKU-GFCCVEGCSA-N 0 2 318.381 0.483 20 0 DCADLN CC(C)Cn1ccc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001591523953 992699264 /nfs/dbraw/zinc/69/92/64/992699264.db2.gz WVVCGCBLBWYJHU-GFCCVEGCSA-N 0 2 305.338 0.619 20 0 DCADLN CN(Cc1ccccc1)C(=O)C[N@@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001598527908 992743124 /nfs/dbraw/zinc/74/31/24/992743124.db2.gz PWOUTZQRMSXAJO-UKRRQHHQSA-N 0 2 306.362 0.555 20 0 DCADLN CN(Cc1ccccc1)C(=O)C[N@H+]1CCC[C@@H](O)[C@@H]1C(=O)[O-] ZINC001598527908 992743128 /nfs/dbraw/zinc/74/31/28/992743128.db2.gz PWOUTZQRMSXAJO-UKRRQHHQSA-N 0 2 306.362 0.555 20 0 DCADLN CC(C)CC(=O)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001393650334 993157143 /nfs/dbraw/zinc/15/71/43/993157143.db2.gz PXJQWORBUIATHN-NSHDSACASA-N 0 2 323.397 0.406 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)ns1 ZINC001394055988 993441578 /nfs/dbraw/zinc/44/15/78/993441578.db2.gz VVDJFPGOHXYUOH-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)ns1 ZINC001394055988 993441582 /nfs/dbraw/zinc/44/15/82/993441582.db2.gz VVDJFPGOHXYUOH-SSDOTTSWSA-N 0 2 310.383 0.526 20 0 DCADLN C[C@@H](NC(=O)C1(F)CCCC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394245296 993565605 /nfs/dbraw/zinc/56/56/05/993565605.db2.gz OZLJWZSWPAWZFT-SECBINFHSA-N 0 2 311.361 0.729 20 0 DCADLN C[C@@H](NC(=O)[C@@H](C)C(F)(F)F)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001394257683 993574484 /nfs/dbraw/zinc/57/44/84/993574484.db2.gz WQTHZDISQPLHHJ-RNFRBKRXSA-N 0 2 321.303 0.645 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)Cc1ncnn1C ZINC001399722317 993704898 /nfs/dbraw/zinc/70/48/98/993704898.db2.gz JVGNNXCLAXCCJM-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)Cc1ncnn1C ZINC001399722317 993704904 /nfs/dbraw/zinc/70/49/04/993704904.db2.gz JVGNNXCLAXCCJM-SECBINFHSA-N 0 2 311.283 0.654 20 0 DCADLN O=C(NC[C@H](CO)NCc1nncs1)C(F)C(F)(F)F ZINC001394590295 993878620 /nfs/dbraw/zinc/87/86/20/993878620.db2.gz MLWFCCRSKLCKNL-IYSWYEEDSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@H](CO)NCc1nncs1)[C@@H](F)C(F)(F)F ZINC001394590295 993878631 /nfs/dbraw/zinc/87/86/31/993878631.db2.gz MLWFCCRSKLCKNL-IYSWYEEDSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(CCC1CCOCC1)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400395895 994473644 /nfs/dbraw/zinc/47/36/44/994473644.db2.gz HYROOZITINIRIP-UHFFFAOYSA-N 0 2 309.370 0.018 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001400432329 994509186 /nfs/dbraw/zinc/50/91/86/994509186.db2.gz RQEQRAWBTUDECF-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN Cn1nccc1C(=O)N1CC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001400432329 994509192 /nfs/dbraw/zinc/50/91/92/994509192.db2.gz RQEQRAWBTUDECF-CBAPKCEASA-N 0 2 322.262 0.651 20 0 DCADLN O=C(COc1ccccc1)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001400541313 994631178 /nfs/dbraw/zinc/63/11/78/994631178.db2.gz DXEZTKACUZYTPJ-UHFFFAOYSA-N 0 2 317.349 0.137 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC1(NC(=O)C(F)C(F)(F)F)CC1 ZINC001400636556 994735557 /nfs/dbraw/zinc/73/55/57/994735557.db2.gz FFDJTKHVVWULNH-ZETCQYMHSA-N 0 2 323.250 0.392 20 0 DCADLN Cc1nn[nH]c1C(=O)NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001400636556 994735570 /nfs/dbraw/zinc/73/55/70/994735570.db2.gz FFDJTKHVVWULNH-ZETCQYMHSA-N 0 2 323.250 0.392 20 0 DCADLN CO[C@@H](C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001400709221 994824606 /nfs/dbraw/zinc/82/46/06/994824606.db2.gz AXRIGNMBJAIQBH-DGCLKSJQSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001400746433 994874141 /nfs/dbraw/zinc/87/41/41/994874141.db2.gz IIBBYBKBENYQMT-RKDXNWHRSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@@H](OC)C(=O)N1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001400746433 994874150 /nfs/dbraw/zinc/87/41/50/994874150.db2.gz IIBBYBKBENYQMT-RKDXNWHRSA-N 0 2 314.279 0.981 20 0 DCADLN O=C(CC1CCC1)N[C@@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001395739562 994954246 /nfs/dbraw/zinc/95/42/46/994954246.db2.gz JFSPFRRDSKMCSU-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CC1CCC1)N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F ZINC001395739562 994954260 /nfs/dbraw/zinc/95/42/60/994954260.db2.gz JFSPFRRDSKMCSU-PSASIEDQSA-N 0 2 314.279 0.670 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)nn1 ZINC001396743912 995579814 /nfs/dbraw/zinc/57/98/14/995579814.db2.gz SZQIWVFXHJPALM-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN Cn1cc(C(=O)N2CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC001396743912 995579823 /nfs/dbraw/zinc/57/98/23/995579823.db2.gz SZQIWVFXHJPALM-SVRRBLITSA-N 0 2 323.250 0.046 20 0 DCADLN C[C@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001396987033 995724972 /nfs/dbraw/zinc/72/49/72/995724972.db2.gz FIYDEAOKRDLZNB-HTQZYQBOSA-N 0 2 321.303 0.741 20 0 DCADLN CCOCC(=O)N1CC[C@@H]1CNC(=O)C(F)C(F)(F)F ZINC001417034824 995740639 /nfs/dbraw/zinc/74/06/39/995740639.db2.gz FROGTHLYQBCPFH-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CCOCC(=O)N1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001417034824 995740645 /nfs/dbraw/zinc/74/06/45/995740645.db2.gz FROGTHLYQBCPFH-APPZFPTMSA-N 0 2 300.252 0.640 20 0 DCADLN CN(Cc1cc[nH]n1)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001465084864 1015982624 /nfs/dbraw/zinc/98/26/24/1015982624.db2.gz XWNYRSPKKSBNMR-UHFFFAOYSA-N 0 2 314.305 0.262 20 0 DCADLN C[C@@H](O)C[C@H](C)CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001465339929 1015997747 /nfs/dbraw/zinc/99/77/47/1015997747.db2.gz VLNFXGHNEGGDKI-VHSXEESVSA-N 0 2 320.349 0.403 20 0 DCADLN COCCC(=O)N1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001397608258 996094493 /nfs/dbraw/zinc/09/44/93/996094493.db2.gz OXXUXOIQMYNCFI-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN COCCC(=O)N1CC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397608258 996094494 /nfs/dbraw/zinc/09/44/94/996094494.db2.gz OXXUXOIQMYNCFI-WCBMZHEXSA-N 0 2 314.279 0.888 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1noc(-c2ccc(F)cc2)n1 ZINC001465725193 1016020853 /nfs/dbraw/zinc/02/08/53/1016020853.db2.gz MHUCYHUFKTXXCA-UHFFFAOYSA-N 0 2 304.241 0.629 20 0 DCADLN Cc1cnc(C(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)cn1 ZINC001502705688 1016027633 /nfs/dbraw/zinc/02/76/33/1016027633.db2.gz CBULZDSUSCFUCY-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN Cc1cnc(C(=O)N[C@@H](C)CNC(=O)[C@H](F)C(F)(F)F)cn1 ZINC001502705688 1016027644 /nfs/dbraw/zinc/02/76/44/1016027644.db2.gz CBULZDSUSCFUCY-CBAPKCEASA-N 0 2 322.262 0.920 20 0 DCADLN O=C(CCC(F)(F)F)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001398296348 996455483 /nfs/dbraw/zinc/45/54/83/996455483.db2.gz OURWTALNSLHPKU-ZETCQYMHSA-N 0 2 307.276 0.543 20 0 DCADLN COCC(C)(C)CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001398316942 996466431 /nfs/dbraw/zinc/46/64/31/996466431.db2.gz RTDMPOUGSFDNCJ-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@]1(C(=O)[O-])CCC[N@H+](Cc2nnn(CC(F)(F)F)n2)C1 ZINC001593779174 996488884 /nfs/dbraw/zinc/48/88/84/996488884.db2.gz VLMUGEOOAUKCRP-SNVBAGLBSA-N 0 2 307.276 0.922 20 0 DCADLN C[C@@]1(C(=O)[O-])CCC[N@@H+](Cc2nnn(CC(F)(F)F)n2)C1 ZINC001593779174 996488885 /nfs/dbraw/zinc/48/88/85/996488885.db2.gz VLMUGEOOAUKCRP-SNVBAGLBSA-N 0 2 307.276 0.922 20 0 DCADLN COC(=O)CCCc1nc(C[N@@H+]2CC[C@@H](C(=O)[O-])[C@H]2C)no1 ZINC001598852872 996619317 /nfs/dbraw/zinc/61/93/17/996619317.db2.gz LGCDKASQTOOJSA-NXEZZACHSA-N 0 2 311.338 0.860 20 0 DCADLN COC(=O)CCCc1nc(C[N@H+]2CC[C@@H](C(=O)[O-])[C@H]2C)no1 ZINC001598852872 996619319 /nfs/dbraw/zinc/61/93/19/996619319.db2.gz LGCDKASQTOOJSA-NXEZZACHSA-N 0 2 311.338 0.860 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(c2nnc([C@@H]3CCCC[N@@H+]3C)n2C)C1 ZINC001599076446 996880672 /nfs/dbraw/zinc/88/06/72/996880672.db2.gz AWTGBJNIKCNFFD-XHDPSFHLSA-N 0 2 323.397 0.652 20 0 DCADLN CO[C@]1(C(=O)[O-])CCN(c2nnc([C@@H]3CCCC[N@H+]3C)n2C)C1 ZINC001599076446 996880680 /nfs/dbraw/zinc/88/06/80/996880680.db2.gz AWTGBJNIKCNFFD-XHDPSFHLSA-N 0 2 323.397 0.652 20 0 DCADLN COCc1ccc(C[NH+]2CC(NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)cc1 ZINC001599294274 997111843 /nfs/dbraw/zinc/11/18/43/997111843.db2.gz PBPBWFZUCKGQJY-CABCVRRESA-N 0 2 318.373 0.854 20 0 DCADLN O=C(/C=C\C1CC1)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001510967384 1016094182 /nfs/dbraw/zinc/09/41/82/1016094182.db2.gz BMJJKPHMRQVZJO-KTHPOANWSA-N 0 2 312.263 0.446 20 0 DCADLN O=C(/C=C\C1CC1)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001510967384 1016094192 /nfs/dbraw/zinc/09/41/92/1016094192.db2.gz BMJJKPHMRQVZJO-KTHPOANWSA-N 0 2 312.263 0.446 20 0 DCADLN C[C@@H](NC(=O)c1cc(Br)cc(F)c1O)C(N)=O ZINC001466763613 1016110368 /nfs/dbraw/zinc/11/03/68/1016110368.db2.gz JDSVYTGNZNSWSO-SCSAIBSYSA-N 0 2 305.103 0.897 20 0 DCADLN COc1cc(C[N@@H+]2CCCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)on1 ZINC001599407140 997333807 /nfs/dbraw/zinc/33/38/07/997333807.db2.gz HLZXFTPEVOXOQP-NWDGAFQWSA-N 0 2 323.349 0.438 20 0 DCADLN COc1cc(C[N@H+]2CCCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)on1 ZINC001599407140 997333815 /nfs/dbraw/zinc/33/38/15/997333815.db2.gz HLZXFTPEVOXOQP-NWDGAFQWSA-N 0 2 323.349 0.438 20 0 DCADLN C[C@@H](C(=O)NC1CC1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404762325 997442883 /nfs/dbraw/zinc/44/28/83/997442883.db2.gz BOAMJTRROVRMTF-IMTBSYHQSA-N 0 2 311.279 0.354 20 0 DCADLN C[C@@H](C(=O)NC1CC1)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001404762325 997442887 /nfs/dbraw/zinc/44/28/87/997442887.db2.gz BOAMJTRROVRMTF-IMTBSYHQSA-N 0 2 311.279 0.354 20 0 DCADLN CCN(CC)C(=O)CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001404770494 997449145 /nfs/dbraw/zinc/44/91/45/997449145.db2.gz OJSRANVRWXLZFR-JTQLQIEISA-N 0 2 313.295 0.556 20 0 DCADLN CCN(CC)C(=O)CN1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001404770494 997449151 /nfs/dbraw/zinc/44/91/51/997449151.db2.gz OJSRANVRWXLZFR-JTQLQIEISA-N 0 2 313.295 0.556 20 0 DCADLN CSC[C@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405096332 997784863 /nfs/dbraw/zinc/78/48/63/997784863.db2.gz HJFJJDNVNLGBHB-UWVGGRQHSA-N 0 2 313.427 0.542 20 0 DCADLN CSC[C@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001405096332 997784870 /nfs/dbraw/zinc/78/48/70/997784870.db2.gz HJFJJDNVNLGBHB-UWVGGRQHSA-N 0 2 313.427 0.542 20 0 DCADLN COc1c(C)c[nH+]c(CN2C(=O)N[C@H](CCC(=O)[O-])C2=O)c1C ZINC001599334469 997809656 /nfs/dbraw/zinc/80/96/56/997809656.db2.gz YJRYUHURCFNNMH-SNVBAGLBSA-N 0 2 321.333 0.992 20 0 DCADLN CC(=O)CCCC(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001405134344 997841251 /nfs/dbraw/zinc/84/12/51/997841251.db2.gz NSCXFQLLXFCTRQ-NSHDSACASA-N 0 2 309.370 0.302 20 0 DCADLN COc1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])ccc1F ZINC001599352987 998128874 /nfs/dbraw/zinc/12/88/74/998128874.db2.gz CWSCXEPLYBRNBU-LLVKDONJSA-N 0 2 307.281 0.914 20 0 DCADLN C[C@@H](NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1nncn1C ZINC001467800884 1016200214 /nfs/dbraw/zinc/20/02/14/1016200214.db2.gz YJINICWORNKSBE-RKDXNWHRSA-N 0 2 320.357 0.289 20 0 DCADLN COC(=O)c1cccc(C[N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)n1 ZINC001598995143 998772437 /nfs/dbraw/zinc/77/24/37/998772437.db2.gz FFCZMXMEWAVASE-HNNXBMFYSA-N 0 2 319.317 0.674 20 0 DCADLN COC(=O)c1cccc(C[N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)n1 ZINC001598995143 998772439 /nfs/dbraw/zinc/77/24/39/998772439.db2.gz FFCZMXMEWAVASE-HNNXBMFYSA-N 0 2 319.317 0.674 20 0 DCADLN COC(=O)c1cnoc1C[N@H+](CCC(=O)[O-])C[C@H]1CCCO1 ZINC001599012514 998910822 /nfs/dbraw/zinc/91/08/22/998910822.db2.gz HNUSLKAIMAMCHM-SNVBAGLBSA-N 0 2 312.322 0.917 20 0 DCADLN COC(=O)c1cnoc1C[N@@H+](CCC(=O)[O-])C[C@H]1CCCO1 ZINC001599012514 998910826 /nfs/dbraw/zinc/91/08/26/998910826.db2.gz HNUSLKAIMAMCHM-SNVBAGLBSA-N 0 2 312.322 0.917 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001594249473 998954177 /nfs/dbraw/zinc/95/41/77/998954177.db2.gz IEWNVSFVLCMVED-NDBYEHHHSA-N 0 2 311.382 0.354 20 0 DCADLN C[C@H]1CCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])O2)C[C@H]1n1cc[nH+]c1 ZINC001594407043 999525273 /nfs/dbraw/zinc/52/52/73/999525273.db2.gz LINFEFXUYLCPMF-LOWDOPEQSA-N 0 2 307.350 0.925 20 0 DCADLN C[N@H+](CCNC(=O)c1ccc2c(c1)CCO2)Cc1n[nH]c(=O)[n-]1 ZINC001418501960 1000290667 /nfs/dbraw/zinc/29/06/67/1000290667.db2.gz VSGOPYRYGXXCDY-UHFFFAOYSA-N 0 2 317.349 0.307 20 0 DCADLN C[N@@H+](CCNC(=O)c1ccc2c(c1)CCO2)Cc1n[nH]c(=O)[n-]1 ZINC001418501960 1000290673 /nfs/dbraw/zinc/29/06/73/1000290673.db2.gz VSGOPYRYGXXCDY-UHFFFAOYSA-N 0 2 317.349 0.307 20 0 DCADLN CCCc1nc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001401437731 1000425606 /nfs/dbraw/zinc/42/56/06/1000425606.db2.gz HDKPXXSRQHMPAW-UHFFFAOYSA-N 0 2 322.369 0.655 20 0 DCADLN CCCc1nc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)co1 ZINC001401437731 1000425611 /nfs/dbraw/zinc/42/56/11/1000425611.db2.gz HDKPXXSRQHMPAW-UHFFFAOYSA-N 0 2 322.369 0.655 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001418806955 1000534735 /nfs/dbraw/zinc/53/47/35/1000534735.db2.gz KXXKFTMZNWXKEQ-OUAUKWLOSA-N 0 2 311.386 0.404 20 0 DCADLN CCC[C@@H](OC)C(=O)N[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001418806955 1000534740 /nfs/dbraw/zinc/53/47/40/1000534740.db2.gz KXXKFTMZNWXKEQ-OUAUKWLOSA-N 0 2 311.386 0.404 20 0 DCADLN Cn1ccnc1C[N@H+]1CC[C@@H](CCNC(=O)c2ncn[nH]2)C1 ZINC001418908700 1000607081 /nfs/dbraw/zinc/60/70/81/1000607081.db2.gz OABLHIKWUCOALN-LLVKDONJSA-N 0 2 303.370 0.180 20 0 DCADLN CC1(CC(=O)NC2CN(Cc3cc(=O)n4[nH]ccc4n3)C2)CC1 ZINC001418980891 1000663560 /nfs/dbraw/zinc/66/35/60/1000663560.db2.gz AAXKAVVMTHNHHI-UHFFFAOYSA-N 0 2 315.377 0.513 20 0 DCADLN Cn1ncc(CN2CC[C@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001419059090 1000731110 /nfs/dbraw/zinc/73/11/10/1000731110.db2.gz MKKGDKCZKPRPCL-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN Cn1ncc(CN2CC[C@H](NC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001419059090 1000731124 /nfs/dbraw/zinc/73/11/24/1000731124.db2.gz MKKGDKCZKPRPCL-CBAPKCEASA-N 0 2 309.267 0.406 20 0 DCADLN CCO[C@H](C)C(=O)N(CC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419139832 1000810106 /nfs/dbraw/zinc/81/01/06/1000810106.db2.gz SVILLGQHUVRCMH-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN CCO[C@H](C)C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001419139832 1000810108 /nfs/dbraw/zinc/81/01/08/1000810108.db2.gz SVILLGQHUVRCMH-GHMZBOCLSA-N 0 2 311.386 0.358 20 0 DCADLN C[C@@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CCCO1 ZINC001402032497 1000959809 /nfs/dbraw/zinc/95/98/09/1000959809.db2.gz FPNYFWABTIOIEG-JQWIXIFHSA-N 0 2 323.397 0.358 20 0 DCADLN CO[C@]12CCC[C@@]1(NC(=O)C[C@H]1SC(=N)NC1=O)CCO2 ZINC001419383202 1001013213 /nfs/dbraw/zinc/01/32/13/1001013213.db2.gz ZEWDZIRIEINFMV-WQHBLYJGSA-N 0 2 313.379 0.345 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CCC1 ZINC001419572637 1001149408 /nfs/dbraw/zinc/14/94/08/1001149408.db2.gz XFFODGHRZDVKLC-CMPLNLGQSA-N 0 2 323.397 0.264 20 0 DCADLN CC(=O)CCCC(=O)NC[C@@H]1CCCCN1Cc1n[nH]c(=O)[nH]1 ZINC001419594240 1001162798 /nfs/dbraw/zinc/16/27/98/1001162798.db2.gz SGQVEOHXNXVTCU-LBPRGKRZSA-N 0 2 323.397 0.740 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001505750877 1016517960 /nfs/dbraw/zinc/51/79/60/1016517960.db2.gz ULFDOVHBNHMHLO-SFYZADRCSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1c[nH]c(C(=O)N2CC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001505750877 1016517973 /nfs/dbraw/zinc/51/79/73/1016517973.db2.gz ULFDOVHBNHMHLO-SFYZADRCSA-N 0 2 322.262 0.949 20 0 DCADLN C[C@H](CNC(=O)C1(C)CCOCC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403173112 1001706558 /nfs/dbraw/zinc/70/65/58/1001706558.db2.gz FJHDGPZPDUNIOT-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@H](CNC(=O)C1(C)CCOCC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001403173112 1001706561 /nfs/dbraw/zinc/70/65/61/1001706561.db2.gz FJHDGPZPDUNIOT-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN CCCc1n[nH]cc1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419983723 1001743599 /nfs/dbraw/zinc/74/35/99/1001743599.db2.gz VKXZSVBWIZDPFR-UHFFFAOYSA-N 0 2 321.385 0.390 20 0 DCADLN CCCc1n[nH]cc1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001419983723 1001743606 /nfs/dbraw/zinc/74/36/06/1001743606.db2.gz VKXZSVBWIZDPFR-UHFFFAOYSA-N 0 2 321.385 0.390 20 0 DCADLN C[C@@H]1COCC[C@H]1C(=O)N(C)CC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420012871 1001763724 /nfs/dbraw/zinc/76/37/24/1001763724.db2.gz PCLHZWZCILTEGN-GHMZBOCLSA-N 0 2 311.386 0.073 20 0 DCADLN C[C@@H]1COCC[C@H]1C(=O)N(C)CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420012871 1001763727 /nfs/dbraw/zinc/76/37/27/1001763727.db2.gz PCLHZWZCILTEGN-GHMZBOCLSA-N 0 2 311.386 0.073 20 0 DCADLN COc1cn(C)nc1[C@@H](C)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC001420107066 1001874552 /nfs/dbraw/zinc/87/45/52/1001874552.db2.gz QUOQYTQEQDCFQB-SVRRBLITSA-N 0 2 311.367 0.162 20 0 DCADLN COC(=O)C[C@@H](C)C(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001420113040 1001891251 /nfs/dbraw/zinc/89/12/51/1001891251.db2.gz WNPGNGBHTDPGJP-MRVPVSSYSA-N 0 2 311.338 0.396 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)c2ccoc2Cl)[nH]1 ZINC001420152714 1001948720 /nfs/dbraw/zinc/94/87/20/1001948720.db2.gz XUFJQZXBIKOJQT-UHFFFAOYSA-N 0 2 318.742 0.526 20 0 DCADLN CC1(C)CCN1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001471448722 1016577889 /nfs/dbraw/zinc/57/78/89/1016577889.db2.gz QKIOEYPXJXTFSD-UHFFFAOYSA-N 0 2 301.372 0.043 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)c1ccco1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425014489 1002248912 /nfs/dbraw/zinc/24/89/12/1002248912.db2.gz UMAPFUZZWVSBBP-NXEZZACHSA-N 0 2 307.354 0.844 20 0 DCADLN C[C@H](CNC(=O)[C@H](C)c1ccco1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001425014489 1002248924 /nfs/dbraw/zinc/24/89/24/1002248924.db2.gz UMAPFUZZWVSBBP-NXEZZACHSA-N 0 2 307.354 0.844 20 0 DCADLN CC[N@H+](CCNC(=O)[C@@H]1CCC[C@H]1OC)Cc1n[nH]c(=O)[n-]1 ZINC001420405563 1002362989 /nfs/dbraw/zinc/36/29/89/1002362989.db2.gz PQVKSGIZNMSHQR-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@@H]1CCC[C@H]1OC)Cc1n[nH]c(=O)[n-]1 ZINC001420405563 1002363003 /nfs/dbraw/zinc/36/30/03/1002363003.db2.gz PQVKSGIZNMSHQR-GHMZBOCLSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1CCC[C@H]1OC)Cc1n[nH]c(=O)[n-]1 ZINC001420405568 1002363253 /nfs/dbraw/zinc/36/32/53/1002363253.db2.gz PQVKSGIZNMSHQR-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1CCC[C@H]1OC)Cc1n[nH]c(=O)[n-]1 ZINC001420405568 1002363267 /nfs/dbraw/zinc/36/32/67/1002363267.db2.gz PQVKSGIZNMSHQR-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN CC[N@H+](CCNC(=O)c1cccc(OC)c1)Cc1n[nH]c(=O)[n-]1 ZINC001420437316 1002417862 /nfs/dbraw/zinc/41/78/62/1002417862.db2.gz JXEKJWLQZPHIGC-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN CC[N@@H+](CCNC(=O)c1cccc(OC)c1)Cc1n[nH]c(=O)[n-]1 ZINC001420437316 1002417868 /nfs/dbraw/zinc/41/78/68/1002417868.db2.gz JXEKJWLQZPHIGC-UHFFFAOYSA-N 0 2 319.365 0.771 20 0 DCADLN C[N@H+](CCNC(=O)c1csc(C2CC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001403793605 1002534687 /nfs/dbraw/zinc/53/46/87/1002534687.db2.gz GVSVNDRFQSJMRK-UHFFFAOYSA-N 0 2 322.394 0.706 20 0 DCADLN C[N@@H+](CCNC(=O)c1csc(C2CC2)n1)Cc1n[nH]c(=O)[n-]1 ZINC001403793605 1002534698 /nfs/dbraw/zinc/53/46/98/1002534698.db2.gz GVSVNDRFQSJMRK-UHFFFAOYSA-N 0 2 322.394 0.706 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420637045 1002723231 /nfs/dbraw/zinc/72/32/31/1002723231.db2.gz PXGRFKLDKXLRFM-GHMZBOCLSA-N 0 2 309.370 0.016 20 0 DCADLN CCO[C@@H]1C[C@H]1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001420637045 1002723237 /nfs/dbraw/zinc/72/32/37/1002723237.db2.gz PXGRFKLDKXLRFM-GHMZBOCLSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420644950 1002737972 /nfs/dbraw/zinc/73/79/72/1002737972.db2.gz XHPBTYNTHWCYJG-MNOVXSKESA-N 0 2 321.381 0.919 20 0 DCADLN C[C@H](Cc1ccco1)C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001420644950 1002737984 /nfs/dbraw/zinc/73/79/84/1002737984.db2.gz XHPBTYNTHWCYJG-MNOVXSKESA-N 0 2 321.381 0.919 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CO1 ZINC001420670567 1002782126 /nfs/dbraw/zinc/78/21/26/1002782126.db2.gz FNVGFGQTOKAVMX-NXEZZACHSA-N 0 2 309.370 0.016 20 0 DCADLN C[C@@H]1C[C@@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)CO1 ZINC001420670567 1002782135 /nfs/dbraw/zinc/78/21/35/1002782135.db2.gz FNVGFGQTOKAVMX-NXEZZACHSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(CC[C@@H]1CCOC1)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420815599 1002977050 /nfs/dbraw/zinc/97/70/50/1002977050.db2.gz LCPUXNUQVDNBIY-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN CO[C@@H](CC(C)C)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420871331 1003060130 /nfs/dbraw/zinc/06/01/30/1003060130.db2.gz GTNQYDAVUCOBGE-MNOVXSKESA-N 0 2 311.386 0.262 20 0 DCADLN Cc1nccn1CC(=O)NC[C@@H]1CC[N@H+]1CCc1ccnn1C ZINC001420910529 1003119863 /nfs/dbraw/zinc/11/98/63/1003119863.db2.gz ZRIIDKSQPMLTFQ-HNNXBMFYSA-N 0 2 316.409 0.358 20 0 DCADLN CC(C)SCC(=O)N[C@]1(C)CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001421188687 1003420255 /nfs/dbraw/zinc/42/02/55/1003420255.db2.gz GSYPQKHXGMMSOL-CYBMUJFWSA-N 0 2 313.427 0.733 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cn1cccn1 ZINC001426090171 1003421601 /nfs/dbraw/zinc/42/16/01/1003421601.db2.gz HWNBIJAAYSBVAI-UHFFFAOYSA-N 0 2 321.385 0.076 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)Cn1cccn1 ZINC001426090171 1003421609 /nfs/dbraw/zinc/42/16/09/1003421609.db2.gz HWNBIJAAYSBVAI-UHFFFAOYSA-N 0 2 321.385 0.076 20 0 DCADLN CCN(C(=O)[C@@H](C)C1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421331979 1003550588 /nfs/dbraw/zinc/55/05/88/1003550588.db2.gz ZCAGTJQHPLQNPG-CMPLNLGQSA-N 0 2 307.398 0.979 20 0 DCADLN CCN(C(=O)[C@@H](C)C1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001421331979 1003550595 /nfs/dbraw/zinc/55/05/95/1003550595.db2.gz ZCAGTJQHPLQNPG-CMPLNLGQSA-N 0 2 307.398 0.979 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N[C@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406585383 1003884242 /nfs/dbraw/zinc/88/42/42/1003884242.db2.gz UIPMGIFEUIJFMA-MNOVXSKESA-N 0 2 313.402 0.508 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)N[C@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001406585383 1003884254 /nfs/dbraw/zinc/88/42/54/1003884254.db2.gz UIPMGIFEUIJFMA-MNOVXSKESA-N 0 2 313.402 0.508 20 0 DCADLN CO[C@H](CC(C)C)C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505996361 1016851419 /nfs/dbraw/zinc/85/14/19/1016851419.db2.gz FEGYRYSZXPMWMY-GHMZBOCLSA-N 0 2 313.402 0.508 20 0 DCADLN CO[C@H](CC(C)C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001505996361 1016851439 /nfs/dbraw/zinc/85/14/39/1016851439.db2.gz FEGYRYSZXPMWMY-GHMZBOCLSA-N 0 2 313.402 0.508 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cccnn1 ZINC001429595353 1004687579 /nfs/dbraw/zinc/68/75/79/1004687579.db2.gz HHPLPGSHIUOFOO-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cccnn1 ZINC001429595353 1004687584 /nfs/dbraw/zinc/68/75/84/1004687584.db2.gz HHPLPGSHIUOFOO-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN Cc1cc(CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)n[nH]1 ZINC001408189887 1005100676 /nfs/dbraw/zinc/10/06/76/1005100676.db2.gz CSLVILXQKYFQHT-XVKPBYJWSA-N 0 2 324.278 0.782 20 0 DCADLN Cc1cc(CC(=O)N[C@@H](C)CNC(=O)C(F)C(F)(F)F)[nH]n1 ZINC001408189887 1005100678 /nfs/dbraw/zinc/10/06/78/1005100678.db2.gz CSLVILXQKYFQHT-XVKPBYJWSA-N 0 2 324.278 0.782 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)N[C@@H](c1ncccn1)C1CC1 ZINC001414341644 1005292284 /nfs/dbraw/zinc/29/22/84/1005292284.db2.gz IMFMUHWZOLNMRC-SECBINFHSA-N 0 2 304.397 0.490 20 0 DCADLN O=C(NCCc1cnccn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001414582647 1005364535 /nfs/dbraw/zinc/36/45/35/1005364535.db2.gz JHETVENHNOQDEW-JTQLQIEISA-N 0 2 317.353 0.432 20 0 DCADLN CC(C)C1CN(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001414730776 1005392105 /nfs/dbraw/zinc/39/21/05/1005392105.db2.gz ISOJQAUCTBGRJO-UHFFFAOYSA-N 0 2 315.399 0.147 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cn[nH]c2)C1)C(F)C(F)(F)F ZINC001414785124 1005401858 /nfs/dbraw/zinc/40/18/58/1005401858.db2.gz ZZFFXEUBVKGZBI-YUMQZZPRSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cn[nH]c2)C1)[C@H](F)C(F)(F)F ZINC001414785124 1005401860 /nfs/dbraw/zinc/40/18/60/1005401860.db2.gz ZZFFXEUBVKGZBI-YUMQZZPRSA-N 0 2 308.235 0.641 20 0 DCADLN Cc1cnoc1C(=O)N[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001415233324 1005486430 /nfs/dbraw/zinc/48/64/30/1005486430.db2.gz PUIKEJFUPYVLSV-VIFPVBQESA-N 0 2 305.338 0.492 20 0 DCADLN O=C(Cc1ccon1)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001416033574 1005650228 /nfs/dbraw/zinc/65/02/28/1005650228.db2.gz UBUYNLQIACEANB-VIFPVBQESA-N 0 2 309.219 0.445 20 0 DCADLN O=C(Cc1ccon1)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001416033574 1005650230 /nfs/dbraw/zinc/65/02/30/1005650230.db2.gz UBUYNLQIACEANB-VIFPVBQESA-N 0 2 309.219 0.445 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C(F)C(F)(F)F)c1ccncc1 ZINC001416716855 1005726826 /nfs/dbraw/zinc/72/68/26/1005726826.db2.gz FQJYXQXFDFKHBU-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)[C@H](F)C(F)(F)F)c1ccncc1 ZINC001416716855 1005726828 /nfs/dbraw/zinc/72/68/28/1005726828.db2.gz FQJYXQXFDFKHBU-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN C[C@H](CNC(=O)CCc1ncc[nH]1)NC(=O)C(F)C(F)(F)F ZINC001416819038 1005741551 /nfs/dbraw/zinc/74/15/51/1005741551.db2.gz KJRGSZASKKOXIS-GMSGAONNSA-N 0 2 324.278 0.864 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001417033168 1005773472 /nfs/dbraw/zinc/77/34/72/1005773472.db2.gz AQGGXYDBTXHVFX-POYBYMJQSA-N 0 2 324.234 0.609 20 0 DCADLN Cc1nonc1C(=O)N1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001417033168 1005773474 /nfs/dbraw/zinc/77/34/74/1005773474.db2.gz AQGGXYDBTXHVFX-POYBYMJQSA-N 0 2 324.234 0.609 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1CC2(CCC2)CO1 ZINC001417580986 1005846298 /nfs/dbraw/zinc/84/62/98/1005846298.db2.gz ADQVIKBAYUNXQR-JTQLQIEISA-N 0 2 317.349 0.147 20 0 DCADLN CC(C)CCC(=O)NCC[NH+]1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001417917432 1005925006 /nfs/dbraw/zinc/92/50/06/1005925006.db2.gz QXBHBUULAKLSHK-UHFFFAOYSA-N 0 2 324.429 0.180 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCC2(CC(N)=O)CC2)cn1 ZINC001418072587 1006006136 /nfs/dbraw/zinc/00/61/36/1006006136.db2.gz PADQRFHIICLJSH-UHFFFAOYSA-N 0 2 302.338 0.196 20 0 DCADLN CCn1ncc(CNC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001434824032 1006012417 /nfs/dbraw/zinc/01/24/17/1006012417.db2.gz PQIFCEPTNZKQOT-VIFPVBQESA-N 0 2 320.357 0.211 20 0 DCADLN CC1(C)C(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)C1(C)C ZINC001434853047 1006044968 /nfs/dbraw/zinc/04/49/68/1006044968.db2.gz BQMIWKKLVSYEOO-UHFFFAOYSA-N 0 2 307.398 0.837 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)CNC(=O)C(F)C(F)(F)F ZINC001451599298 1006300391 /nfs/dbraw/zinc/30/03/91/1006300391.db2.gz CDBBNRKEAQOVMV-IONNQARKSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1ccnnc1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001451599298 1006300398 /nfs/dbraw/zinc/30/03/98/1006300398.db2.gz CDBBNRKEAQOVMV-IONNQARKSA-N 0 2 322.262 0.859 20 0 DCADLN Cn1ccnc1-c1cc(NC(=O)CCCc2nn[nH]n2)n[nH]1 ZINC001452414088 1006813345 /nfs/dbraw/zinc/81/33/45/1006813345.db2.gz NWRIDWPBAFTKAB-UHFFFAOYSA-N 0 2 301.314 0.285 20 0 DCADLN Cn1ccnc1-c1cc(NC(=O)CCCc2nn[nH]n2)[nH]n1 ZINC001452414088 1006813358 /nfs/dbraw/zinc/81/33/58/1006813358.db2.gz NWRIDWPBAFTKAB-UHFFFAOYSA-N 0 2 301.314 0.285 20 0 DCADLN O=C(CC[C@@H]1CCCO1)NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437649156 1007211471 /nfs/dbraw/zinc/21/14/71/1007211471.db2.gz BYZBISAPUSJGKM-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(CC[C@@H]1CCCO1)NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001437649156 1007211481 /nfs/dbraw/zinc/21/14/81/1007211481.db2.gz BYZBISAPUSJGKM-RYUDHWBXSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnccn1)C(F)C(F)(F)F ZINC001453165553 1007284271 /nfs/dbraw/zinc/28/42/71/1007284271.db2.gz JNYXJXKXYISTTH-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)c1cnccn1)[C@H](F)C(F)(F)F ZINC001453165553 1007284278 /nfs/dbraw/zinc/28/42/78/1007284278.db2.gz JNYXJXKXYISTTH-CBAPKCEASA-N 0 2 320.246 0.708 20 0 DCADLN CCC[N@@H+]1CCC[C@H]1C(=O)NCC1(O)C[NH+](CC[C@@H](C)F)C1 ZINC001440050038 1007453359 /nfs/dbraw/zinc/45/33/59/1007453359.db2.gz SVJQWBWWDLYAOZ-KGLIPLIRSA-N 0 2 315.433 0.772 20 0 DCADLN O=C(NC1(CNC(=O)C(F)C(F)(F)F)CC1)c1cn[nH]n1 ZINC001453417517 1007462367 /nfs/dbraw/zinc/46/23/67/1007462367.db2.gz UMTLFOJOZZFPIG-ZCFIWIBFSA-N 0 2 309.223 0.084 20 0 DCADLN CC[C@H](C)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001438202398 1007703919 /nfs/dbraw/zinc/70/39/19/1007703919.db2.gz YTTXTQWFDGBSIF-GXSJLCMTSA-N 0 2 323.397 0.143 20 0 DCADLN CC[C@H](C)NC(=O)C[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001438202398 1007703925 /nfs/dbraw/zinc/70/39/25/1007703925.db2.gz YTTXTQWFDGBSIF-GXSJLCMTSA-N 0 2 323.397 0.143 20 0 DCADLN Cn1[nH]c(C[NH+]2CCC(CC(F)F)(C(=O)[O-])CC2)nc1=O ZINC001574226879 1163669730 /nfs/dbraw/zinc/66/97/30/1163669730.db2.gz SQMXRGXBQHZRTK-UHFFFAOYSA-N 0 2 304.297 0.430 20 0 DCADLN O=C(CCc1c[nH]nn1)N[C@@H]1COC2(C[NH+](CCC3CC3)C2)C1 ZINC001440512423 1007853957 /nfs/dbraw/zinc/85/39/57/1007853957.db2.gz RHYMPUBWIBKXLQ-AWEZNQCLSA-N 0 2 319.409 0.497 20 0 DCADLN COCc1noc([C@H](C)[NH2+][C@@H](C)CCNC(=O)c2nnc[nH]2)n1 ZINC001440671483 1007947898 /nfs/dbraw/zinc/94/78/98/1007947898.db2.gz IYENMEDBPKMBAB-IUCAKERBSA-N 0 2 323.357 0.193 20 0 DCADLN CC[C@@H](C[N@@H+]1CCO[C@@H](CNC(=O)C[NH+]2CCCC2)C1)OC ZINC001438587280 1008014155 /nfs/dbraw/zinc/01/41/55/1008014155.db2.gz MDWUYBXDORJBJW-GJZGRUSLSA-N 0 2 313.442 0.324 20 0 DCADLN C[C@H](C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001440958990 1008144587 /nfs/dbraw/zinc/14/45/87/1008144587.db2.gz XRHVKBLENVYMKW-NKWVEPMBSA-N 0 2 309.292 0.645 20 0 DCADLN C[C@H](C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001440958990 1008144591 /nfs/dbraw/zinc/14/45/91/1008144591.db2.gz XRHVKBLENVYMKW-NKWVEPMBSA-N 0 2 309.292 0.645 20 0 DCADLN CO[C@@H](C)CC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001453624919 1008621571 /nfs/dbraw/zinc/62/15/71/1008621571.db2.gz GDALYJNSZGLGOH-OIBJUYFYSA-N 0 2 314.279 0.981 20 0 DCADLN CO[C@@H](C)CC(=O)N(C)C1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001453624919 1008621578 /nfs/dbraw/zinc/62/15/78/1008621578.db2.gz GDALYJNSZGLGOH-OIBJUYFYSA-N 0 2 314.279 0.981 20 0 DCADLN O=C(CCCC1CC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1 ZINC001421782985 1009084531 /nfs/dbraw/zinc/08/45/31/1009084531.db2.gz CDGRYTVXFHHMBH-GFCCVEGCSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@H](CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC1 ZINC001421801161 1009111954 /nfs/dbraw/zinc/11/19/54/1009111954.db2.gz SSOCCJFSODIQDY-ZYHUDNBSSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1cncc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)c1 ZINC001433760441 1009152742 /nfs/dbraw/zinc/15/27/42/1009152742.db2.gz FANRSJPFXBPRCK-UHFFFAOYSA-N 0 2 307.331 0.995 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001454698148 1009272242 /nfs/dbraw/zinc/27/22/42/1009272242.db2.gz VIKMSRAITKIKAN-MRVPVSSYSA-N 0 2 304.354 0.227 20 0 DCADLN CCc1nc[nH]c1C(=O)N[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001454699062 1009273163 /nfs/dbraw/zinc/27/31/63/1009273163.db2.gz XVLPSEVWQBGMFF-VIFPVBQESA-N 0 2 318.381 0.481 20 0 DCADLN Cc1nonc1C(=O)NC1(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001433905022 1009334082 /nfs/dbraw/zinc/33/40/82/1009334082.db2.gz SDDXXGXZVXBWKS-SSDOTTSWSA-N 0 2 324.234 0.657 20 0 DCADLN Cc1nonc1C(=O)NC1(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001433905022 1009334100 /nfs/dbraw/zinc/33/41/00/1009334100.db2.gz SDDXXGXZVXBWKS-SSDOTTSWSA-N 0 2 324.234 0.657 20 0 DCADLN C[C@H](CCO)CCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434024454 1009466813 /nfs/dbraw/zinc/46/68/13/1009466813.db2.gz SPOJFUQPYIKBMM-JTQLQIEISA-N 0 2 320.349 0.405 20 0 DCADLN CCOCC(=O)N(C)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001434042973 1009484689 /nfs/dbraw/zinc/48/46/89/1009484689.db2.gz MKROVJVZBUSBSZ-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN CCOCC(=O)N(C)C1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001434042973 1009484696 /nfs/dbraw/zinc/48/46/96/1009484696.db2.gz MKROVJVZBUSBSZ-VIFPVBQESA-N 0 2 300.252 0.593 20 0 DCADLN CC[N@H+](CCNC(=O)c1cnn[nH]1)[C@@H](C)C(=O)NC1CCCC1 ZINC001442694489 1009637225 /nfs/dbraw/zinc/63/72/25/1009637225.db2.gz MPZSBZKYTHJCDB-NSHDSACASA-N 0 2 322.413 0.304 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)[C@@H](C)O1 ZINC001434280891 1009722672 /nfs/dbraw/zinc/72/26/72/1009722672.db2.gz CPDFHWXKNJBRJR-LNLATYFQSA-N 0 2 318.333 0.562 20 0 DCADLN CCOC(=O)c1cc2n(n1)C[C@@H](C)N(Cc1n[nH]c(=O)[nH]1)C2 ZINC001422273279 1009749769 /nfs/dbraw/zinc/74/97/69/1009749769.db2.gz AHGPNBSGDWVCQW-MRVPVSSYSA-N 0 2 306.326 0.288 20 0 DCADLN O=C(N[C@@H]1CC12CCC2)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001455534503 1009799619 /nfs/dbraw/zinc/79/96/19/1009799619.db2.gz WROFWTPJLAEIBG-LLVKDONJSA-N 0 2 300.318 0.939 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001434369776 1009848405 /nfs/dbraw/zinc/84/84/05/1009848405.db2.gz CTEGDKVJYKCWRY-SNVBAGLBSA-N 0 2 320.349 0.769 20 0 DCADLN C[C@@H](NC(=O)CCc1nc(N(C)C)no1)c1nn(C)cc1O ZINC001434406478 1009911996 /nfs/dbraw/zinc/91/19/96/1009911996.db2.gz HUFWEBOYGMCZOQ-MRVPVSSYSA-N 0 2 308.342 0.385 20 0 DCADLN Cc1[nH]c(=O)[nH]c(=O)c1CC(=O)N[C@@H](C)c1nn(C)cc1O ZINC001434406469 1009912123 /nfs/dbraw/zinc/91/21/23/1009912123.db2.gz HNOUCXJWVPXYBI-ZETCQYMHSA-N 0 2 307.310 0.055 20 0 DCADLN O=c1cc(CN2CCS(=O)(=O)[C@H]3CCC[C@@H]32)nc2cc[nH]n21 ZINC001434469185 1009985999 /nfs/dbraw/zinc/98/59/99/1009985999.db2.gz JSYZRPNSQIXEBZ-RYUDHWBXSA-N 0 2 322.390 0.174 20 0 DCADLN Cn1nnc(NS(=O)(=O)c2ccc3c(c2)OC(F)(F)O3)n1 ZINC001434614296 1010135129 /nfs/dbraw/zinc/13/51/29/1010135129.db2.gz YAHIKVMSDYSJRB-UHFFFAOYSA-N 0 2 319.249 0.332 20 0 DCADLN CCC[C@@H](OCC)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001456303811 1010181122 /nfs/dbraw/zinc/18/11/22/1010181122.db2.gz BRULVDYFVBPPIX-SECBINFHSA-N 0 2 318.399 0.171 20 0 DCADLN Cc1cccc(NC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)n1 ZINC001434719216 1010197284 /nfs/dbraw/zinc/19/72/84/1010197284.db2.gz QHOIYKHWKPWHCZ-UHFFFAOYSA-N 0 2 324.366 0.374 20 0 DCADLN C[C@@H](CNC(=O)c1c[nH]nc1C1CC1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422585213 1010354296 /nfs/dbraw/zinc/35/42/96/1010354296.db2.gz OLUFSYLVBLMKOC-QMMMGPOBSA-N 0 2 319.369 0.361 20 0 DCADLN C[C@@H](CNC(=O)c1c[nH]nc1C1CC1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001422585213 1010354307 /nfs/dbraw/zinc/35/43/07/1010354307.db2.gz OLUFSYLVBLMKOC-QMMMGPOBSA-N 0 2 319.369 0.361 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccnnc1)NC(=O)C(F)C(F)(F)F ZINC001444052257 1010825472 /nfs/dbraw/zinc/82/54/72/1010825472.db2.gz RDVJNDOOEJLCBC-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](CN(C)C(=O)c1ccnnc1)NC(=O)[C@@H](F)C(F)(F)F ZINC001444052257 1010825481 /nfs/dbraw/zinc/82/54/81/1010825481.db2.gz RDVJNDOOEJLCBC-VXNVDRBHSA-N 0 2 322.262 0.954 20 0 DCADLN C[C@H](OCC1CC1)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001423080208 1010870179 /nfs/dbraw/zinc/87/01/79/1010870179.db2.gz XHPDEYBLOBUKAT-ONGXEEELSA-N 0 2 309.370 0.016 20 0 DCADLN Cc1noc(CN2CC[C@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001423094082 1010883662 /nfs/dbraw/zinc/88/36/62/1010883662.db2.gz MWABARDPWKIGON-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN Cc1noc(CN2CC[C@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001423094082 1010883665 /nfs/dbraw/zinc/88/36/65/1010883665.db2.gz MWABARDPWKIGON-CBAPKCEASA-N 0 2 310.251 0.969 20 0 DCADLN C[C@@H](C[NH2+]Cc1ccn(C)n1)N(C)C(=O)CCc1cnn[nH]1 ZINC001444674516 1011124414 /nfs/dbraw/zinc/12/44/14/1011124414.db2.gz KWHYSTBBANEQLP-NSHDSACASA-N 0 2 305.386 0.108 20 0 DCADLN C[C@H]1CN(C(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)C[C@@H]1C ZINC001444689084 1011130753 /nfs/dbraw/zinc/13/07/53/1011130753.db2.gz RWHNSLKBIRFLPA-UWVGGRQHSA-N 0 2 302.334 0.994 20 0 DCADLN Cc1ccoc1C(=O)NC[C@H](O)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001431486229 1011307556 /nfs/dbraw/zinc/30/75/56/1011307556.db2.gz HMUIGZADPVLISR-LLVKDONJSA-N 0 2 320.349 0.069 20 0 DCADLN CN(CCN(C)C(=O)C1(C(C)(F)F)CC1)Cc1n[nH]c(=O)[nH]1 ZINC001445025990 1011333615 /nfs/dbraw/zinc/33/36/15/1011333615.db2.gz DTVFWULYMXTKID-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C(C)(F)F)CC1 ZINC001445025990 1011333629 /nfs/dbraw/zinc/33/36/29/1011333629.db2.gz DTVFWULYMXTKID-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)C1(C(C)(F)F)CC1 ZINC001445025990 1011333644 /nfs/dbraw/zinc/33/36/44/1011333644.db2.gz DTVFWULYMXTKID-UHFFFAOYSA-N 0 2 317.340 0.836 20 0 DCADLN CNC(=O)CCNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001423637880 1011374876 /nfs/dbraw/zinc/37/48/76/1011374876.db2.gz AVAYIHISUFWNGB-UHFFFAOYSA-N 0 2 307.759 0.620 20 0 DCADLN CCCCC1(C(=O)NCC2(O)CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001423869134 1011669650 /nfs/dbraw/zinc/66/96/50/1011669650.db2.gz XLULBGQHCAZOOL-UHFFFAOYSA-N 0 2 323.397 0.144 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001457798578 1011881130 /nfs/dbraw/zinc/88/11/30/1011881130.db2.gz TUDKNENYIKIZOX-SSDOTTSWSA-N 0 2 311.239 0.236 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn[nH]n1 ZINC001457798578 1011881135 /nfs/dbraw/zinc/88/11/35/1011881135.db2.gz TUDKNENYIKIZOX-SSDOTTSWSA-N 0 2 311.239 0.236 20 0 DCADLN COCC(=O)N1CC[C@H](CN(C)C(=O)C(F)C(F)(F)F)C1 ZINC001432062186 1011923183 /nfs/dbraw/zinc/92/31/83/1011923183.db2.gz MDUZMQPZOBBZIX-PSASIEDQSA-N 0 2 314.279 0.840 20 0 DCADLN COCC(=O)N1CC[C@H](CN(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432062186 1011923193 /nfs/dbraw/zinc/92/31/93/1011923193.db2.gz MDUZMQPZOBBZIX-PSASIEDQSA-N 0 2 314.279 0.840 20 0 DCADLN Cc1nc([C@@H]2CCO[C@H]2CN(C)C(=O)NC2CCOCC2)n[nH]1 ZINC001553379868 1011927315 /nfs/dbraw/zinc/92/73/15/1011927315.db2.gz UNNLNLYVGQURBK-OLZOCXBDSA-N 0 2 323.397 0.806 20 0 DCADLN COCCC1(C(=O)N[C@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001445746846 1012193646 /nfs/dbraw/zinc/19/36/46/1012193646.db2.gz WJQSRNGXEOTEGL-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN COCCC1(C(=O)N[C@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001445746846 1012193658 /nfs/dbraw/zinc/19/36/58/1012193658.db2.gz WJQSRNGXEOTEGL-SNVBAGLBSA-N 0 2 311.386 0.264 20 0 DCADLN O=C(c1nccnc1Cl)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001556371976 1012200202 /nfs/dbraw/zinc/20/02/02/1012200202.db2.gz QNHPXHXIJJVCMH-SSDOTTSWSA-N 0 2 308.729 0.974 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001432259810 1012216315 /nfs/dbraw/zinc/21/63/15/1012216315.db2.gz JORSOWUZINLLCC-IMTBSYHQSA-N 0 2 300.252 0.639 20 0 DCADLN CO[C@@H](C)CC(=O)N1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001432259810 1012216331 /nfs/dbraw/zinc/21/63/31/1012216331.db2.gz JORSOWUZINLLCC-IMTBSYHQSA-N 0 2 300.252 0.639 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c1 ZINC001557577357 1012282296 /nfs/dbraw/zinc/28/22/96/1012282296.db2.gz PWNSUVRDCWPOIP-QMMMGPOBSA-N 0 2 305.290 0.440 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)NC2(c3nn[nH]n3)CCC2)c1 ZINC001558173886 1012332753 /nfs/dbraw/zinc/33/27/53/1012332753.db2.gz YFRRACCWLPBBNQ-UHFFFAOYSA-N 0 2 301.306 0.835 20 0 DCADLN O=C(NCC1CN(C(=O)c2cncnc2)C1)C(F)C(F)(F)F ZINC001432431095 1012477609 /nfs/dbraw/zinc/47/76/09/1012477609.db2.gz VJJNFDVZQIGAHS-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2cncnc2)C1)[C@@H](F)C(F)(F)F ZINC001432431095 1012477618 /nfs/dbraw/zinc/47/76/18/1012477618.db2.gz VJJNFDVZQIGAHS-SECBINFHSA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cnc(F)c(Br)c1 ZINC001545329405 1012562104 /nfs/dbraw/zinc/56/21/04/1012562104.db2.gz ILPQRNBXQWIWEM-UHFFFAOYSA-N 0 2 316.090 0.737 20 0 DCADLN C[C@@H]1COCC[C@@H]1NC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478428905 1017346339 /nfs/dbraw/zinc/34/63/39/1017346339.db2.gz DNDUBGJHIAXFTD-VWYCJHECSA-N 0 2 309.370 0.824 20 0 DCADLN C[C@@H]1COCC[C@H]1NC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001478428898 1017347210 /nfs/dbraw/zinc/34/72/10/1017347210.db2.gz DNDUBGJHIAXFTD-GMTAPVOTSA-N 0 2 309.370 0.824 20 0 DCADLN C[C@@H](NC(=O)C[N@@H+]1CC[C@H](C)C1)C1C[NH+](Cc2cncn2C)C1 ZINC001506656357 1017440970 /nfs/dbraw/zinc/44/09/70/1017440970.db2.gz SBZKHNPJUSQVJO-UONOGXRCSA-N 0 2 319.453 0.698 20 0 DCADLN CCC[N@H+](C)CC(=O)NC[C@H]1CCCC[NH+]1Cc1cnnn1C ZINC001480330661 1018436783 /nfs/dbraw/zinc/43/67/83/1018436783.db2.gz FFTAUDWHEFOEFZ-CQSZACIVSA-N 0 2 322.457 0.628 20 0 DCADLN O=C(CC1(O)CCC1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001498174888 1018717428 /nfs/dbraw/zinc/71/74/28/1018717428.db2.gz SXGOFGRWFHDFGO-SECBINFHSA-N 0 2 312.263 0.519 20 0 DCADLN O=C(CC1(O)CCC1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001498174888 1018717440 /nfs/dbraw/zinc/71/74/40/1018717440.db2.gz SXGOFGRWFHDFGO-SECBINFHSA-N 0 2 312.263 0.519 20 0 DCADLN C[C@H](C[N@H+](C)C[C@H]1CCc2ncnn21)NC(=O)c1[nH]ncc1F ZINC001498374660 1019022442 /nfs/dbraw/zinc/02/24/42/1019022442.db2.gz ABVCSVLWUDDHEE-NXEZZACHSA-N 0 2 321.360 0.378 20 0 DCADLN CC[N@H+](CCNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)Cc1n[nH]c(=O)[n-]1 ZINC001493064419 1019061165 /nfs/dbraw/zinc/06/11/65/1019061165.db2.gz RZGRTLDIXNOTIA-SWLJZFRDSA-N 0 2 319.409 0.741 20 0 DCADLN CC[N@@H+](CCNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)Cc1n[nH]c(=O)[n-]1 ZINC001493064419 1019061179 /nfs/dbraw/zinc/06/11/79/1019061179.db2.gz RZGRTLDIXNOTIA-SWLJZFRDSA-N 0 2 319.409 0.741 20 0 DCADLN CC(C)(O)CC(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001501828968 1019497481 /nfs/dbraw/zinc/49/74/81/1019497481.db2.gz JPDGHGNEZXIGCQ-TYRPZCRBSA-N 0 2 314.279 0.836 20 0 DCADLN CC(C)(O)CC(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001501828968 1019497491 /nfs/dbraw/zinc/49/74/91/1019497491.db2.gz JPDGHGNEZXIGCQ-TYRPZCRBSA-N 0 2 314.279 0.836 20 0 DCADLN O=C(Cc1ncc[nH]1)NC/C=C/CNC(=O)C(F)C(F)(F)F ZINC001501888176 1019538305 /nfs/dbraw/zinc/53/83/05/1019538305.db2.gz MIZHQLAZHYVUIJ-YOLVWIGZSA-N 0 2 322.262 0.641 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cnc3onc(C)c3c2)C1=O ZINC000298155719 529415349 /nfs/dbraw/zinc/41/53/49/529415349.db2.gz QHDOLMCJVLGVIO-AWEZNQCLSA-N 0 2 317.305 0.897 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1cnn(CC(F)F)c1 ZINC000286640913 219098795 /nfs/dbraw/zinc/09/87/95/219098795.db2.gz NUGAGISGYUEDEL-UHFFFAOYSA-N 0 2 305.310 0.658 20 0 DCADLN CN(CCS(C)(=O)=O)C(=O)c1cc(F)c(F)c(O)c1F ZINC000272612335 210012896 /nfs/dbraw/zinc/01/28/96/210012896.db2.gz OEHNGSYLDTWTLH-UHFFFAOYSA-N 0 2 311.281 0.926 20 0 DCADLN CSCCONC(=O)CNC(=O)CNC(=O)OC(C)(C)C ZINC000279967533 215277795 /nfs/dbraw/zinc/27/77/95/215277795.db2.gz KDOWQGBBUMTATQ-UHFFFAOYSA-N 0 2 321.399 0.038 20 0 DCADLN COC[C@H](CO)NC(=O)C1=NN(c2ccc(F)cc2C)CC1=O ZINC000280023824 215319593 /nfs/dbraw/zinc/31/95/93/215319593.db2.gz OAJCAERLNDWIPV-NSHDSACASA-N 0 2 323.324 0.763 20 0 DCADLN COCC[C@H](CO)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000281190848 216141586 /nfs/dbraw/zinc/14/15/86/216141586.db2.gz CSDAYNMGGSYEKH-LLVKDONJSA-N 0 2 323.324 0.844 20 0 DCADLN CC(C)Cn1ncc(C(=O)NCc2n[nH]c(=O)[nH]2)c1C1CC1 ZINC000158505100 291231586 /nfs/dbraw/zinc/23/15/86/291231586.db2.gz SJLLMQCQJQHBOC-UHFFFAOYSA-N 0 2 304.354 0.758 20 0 DCADLN CCOC(=O)Cn1cnc(NC(=O)c2cc(OCC)no2)n1 ZINC000425101338 240285002 /nfs/dbraw/zinc/28/50/02/240285002.db2.gz ZXOUIRMTAUWGOT-UHFFFAOYSA-N 0 2 309.282 0.480 20 0 DCADLN COC(=O)NCCC(=O)N=c1nc(-c2cccnc2)[nH]s1 ZINC000494058878 241197723 /nfs/dbraw/zinc/19/77/23/241197723.db2.gz MXNBRIJVEXSVOX-UHFFFAOYSA-N 0 2 307.335 0.707 20 0 DCADLN CO[C@H](CNC(=O)OC(C)(C)C)C(=O)OCc1n[nH]c(=O)[nH]1 ZINC000497305120 241277448 /nfs/dbraw/zinc/27/74/48/241277448.db2.gz JUUMVQGZOLFOEO-SSDOTTSWSA-N 0 2 316.314 0.093 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc3c([nH]c2=O)CCCC3)[C@@H](C[NH3+])C1 ZINC000567732073 291373498 /nfs/dbraw/zinc/37/34/98/291373498.db2.gz OVEGHGDARNBFES-LBPRGKRZSA-N 0 2 304.394 0.381 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1CCO)[C@@H]1CCc2c[nH+]cn2C1 ZINC000567967048 291394424 /nfs/dbraw/zinc/39/44/24/291394424.db2.gz GOKCJJVKNNFTBX-KGLIPLIRSA-N 0 2 306.410 0.409 20 0 DCADLN O=C([O-])c1ccc(C[NH+]2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000275123872 212135899 /nfs/dbraw/zinc/13/58/99/212135899.db2.gz MPCYQESLQHDGAR-UHFFFAOYSA-N 0 2 324.402 0.995 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1cccc2c1OCCO2 ZINC000275035338 212086048 /nfs/dbraw/zinc/08/60/48/212086048.db2.gz UTDYYAOCSCBZFK-UHFFFAOYSA-N 0 2 308.319 0.600 20 0 DCADLN CC(C)(C)[C@@H](NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C(N)=O ZINC000264718282 204100566 /nfs/dbraw/zinc/10/05/66/204100566.db2.gz GFZLISDKODAGRX-QMMMGPOBSA-N 0 2 319.321 0.066 20 0 DCADLN Cc1nsc(NC[C@@H]2CC(=O)N(C)[C@H]2c2nccn2C)n1 ZINC000573152555 291614463 /nfs/dbraw/zinc/61/44/63/291614463.db2.gz NKWMPMQVIVKVBU-GXSJLCMTSA-N 0 2 306.395 0.633 20 0 DCADLN NS(=O)(=O)c1ccccc1NS(=O)(=O)c1cccnc1 ZINC000040384658 183059510 /nfs/dbraw/zinc/05/95/10/183059510.db2.gz ZZMKLIMFLRTFCT-UHFFFAOYSA-N 0 2 313.360 0.530 20 0 DCADLN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1cnn(C(C)(C)C)c1C ZINC000330969777 252648669 /nfs/dbraw/zinc/64/86/69/252648669.db2.gz NSVYIRSWNPDDBD-NSHDSACASA-N 0 2 322.365 0.060 20 0 DCADLN CCN(C[C@@H]1COc2ccccc2O1)C(=O)C[N@H+](C)CC(=O)[O-] ZINC000262667382 285035261 /nfs/dbraw/zinc/03/52/61/285035261.db2.gz PZDSLTXCIVXVDZ-GFCCVEGCSA-N 0 2 322.361 0.691 20 0 DCADLN CCN(C[C@@H]1COc2ccccc2O1)C(=O)C[N@@H+](C)CC(=O)[O-] ZINC000262667382 285035263 /nfs/dbraw/zinc/03/52/63/285035263.db2.gz PZDSLTXCIVXVDZ-GFCCVEGCSA-N 0 2 322.361 0.691 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2c(C)noc2C)cn1 ZINC000340243205 253223908 /nfs/dbraw/zinc/22/39/08/253223908.db2.gz KMFQRXLWRQDBTQ-UHFFFAOYSA-N 0 2 313.339 0.035 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(-n3cncn3)nc2)cn1 ZINC000340324638 253237731 /nfs/dbraw/zinc/23/77/31/253237731.db2.gz GCRIYXXDDXREMI-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cnn([C@H](C)C3CC3)c2)c1O ZINC000340793643 253304764 /nfs/dbraw/zinc/30/47/64/253304764.db2.gz JSGXUAQBCVMWGT-KCJUWKMLSA-N 0 2 306.322 0.842 20 0 DCADLN CCCCn1ncc(-n2c(O)c(C(=O)OCC)[nH]c2=O)c1C ZINC000340823305 253310637 /nfs/dbraw/zinc/31/06/37/253310637.db2.gz NQDGJALWAVWYGM-LLVKDONJSA-N 0 2 308.338 0.980 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)C(=O)NCC(F)F ZINC000347536683 254165946 /nfs/dbraw/zinc/16/59/46/254165946.db2.gz ZMJXDIZAYUDHHM-UHFFFAOYSA-N 0 2 312.301 0.947 20 0 DCADLN NC(=O)Cn1cc(NC(=O)c2cc(F)c(F)c(O)c2F)cn1 ZINC000348597099 254246256 /nfs/dbraw/zinc/24/62/56/254246256.db2.gz KGDAAUDOYUYXOU-UHFFFAOYSA-N 0 2 314.223 0.744 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2[C@@H](CO)CC[C@@H]2CO)s[nH]1 ZINC000349277837 254279406 /nfs/dbraw/zinc/27/94/06/254279406.db2.gz BCRPKUMLTLKWFK-RKDXNWHRSA-N 0 2 314.411 0.607 20 0 DCADLN Cc1nc(NS(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)no1 ZINC000351508618 254341153 /nfs/dbraw/zinc/34/11/53/254341153.db2.gz FQXPMTLJQSCGCN-UHFFFAOYSA-N 0 2 322.302 0.405 20 0 DCADLN COCc1ccccc1S(=O)(=O)Nc1ccn(CC(N)=O)n1 ZINC000351826194 254372451 /nfs/dbraw/zinc/37/24/51/254372451.db2.gz FFPXUJYVAYMNFD-UHFFFAOYSA-N 0 2 324.362 0.316 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc3c2COC3=O)c1O ZINC000289851659 131424985 /nfs/dbraw/zinc/42/49/85/131424985.db2.gz FQJAFZQPNMUBLA-JTQLQIEISA-N 0 2 304.258 0.345 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC000028932624 395696712 /nfs/dbraw/zinc/69/67/12/395696712.db2.gz YZXSGKXFLDUGSB-MRVPVSSYSA-N 0 2 320.374 0.421 20 0 DCADLN CNC(=O)Cn1cc(NS(=O)(=O)c2ccc(F)cc2)cn1 ZINC000038103965 395731240 /nfs/dbraw/zinc/73/12/40/395731240.db2.gz MTQIOMUPIRRBQD-UHFFFAOYSA-N 0 2 312.326 0.569 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCO[C@@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000270898187 395820783 /nfs/dbraw/zinc/82/07/83/395820783.db2.gz NXYDZLRQXXSOIV-HTQZYQBOSA-N 0 2 304.306 0.652 20 0 DCADLN CCc1nn(C)cc1NS(=O)(=O)c1c[nH]c(C(=O)OC)c1 ZINC000271354334 395860138 /nfs/dbraw/zinc/86/01/38/395860138.db2.gz GZLIJRCWFVFQSM-UHFFFAOYSA-N 0 2 312.351 0.898 20 0 DCADLN O=C(N=c1[nH]c2ccccc2[nH]1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000047476964 395793748 /nfs/dbraw/zinc/79/37/48/395793748.db2.gz BLHMSWXHMKFYLG-UHFFFAOYSA-N 0 2 322.284 0.574 20 0 DCADLN CN(C)C(=O)NCCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000273280435 395911333 /nfs/dbraw/zinc/91/13/33/395911333.db2.gz AFJTYRYIQUQTHN-UHFFFAOYSA-N 0 2 305.256 0.811 20 0 DCADLN Cc1ccc(C[NH+]2CCOCC2)cc1NC(=O)c1nc(=O)[nH][n-]1 ZINC000132119633 395925984 /nfs/dbraw/zinc/92/59/84/395925984.db2.gz XBBWMFWLJKZJBA-UHFFFAOYSA-N 0 2 317.349 0.491 20 0 DCADLN COC(=O)[C@H](NC(=O)c1cc(F)cc(Cl)c1O)[C@@H](C)O ZINC000274564512 395959132 /nfs/dbraw/zinc/95/91/32/395959132.db2.gz KVKWZVIOHSKBHK-MLUIRONXSA-N 0 2 305.689 0.837 20 0 DCADLN COC(C)(C)c1noc(-c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000154174569 396033942 /nfs/dbraw/zinc/03/39/42/396033942.db2.gz YAKONEOFYRCFIC-UHFFFAOYSA-N 0 2 303.278 0.543 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)Nc1c[nH]c(C(N)=O)c1 ZINC000277108332 396049861 /nfs/dbraw/zinc/04/98/61/396049861.db2.gz LZOJQAVDGNLBLD-UHFFFAOYSA-N 0 2 306.244 0.509 20 0 DCADLN CC[C@H](C)[C@H]([NH3+])C(=O)N1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000155623649 396054684 /nfs/dbraw/zinc/05/46/84/396054684.db2.gz RENHOGLZTVEXOE-HOCLYGCPSA-N 0 2 324.469 0.517 20 0 DCADLN CCC[N@H+](CC(=O)NCC(=O)[O-])[C@@H](C)C(=O)Nc1ccccc1 ZINC000262238172 396114289 /nfs/dbraw/zinc/11/42/89/396114289.db2.gz OIKQQQAAXNADNN-LBPRGKRZSA-N 0 2 321.377 0.926 20 0 DCADLN CCC[N@@H+](CC(=O)NCC(=O)[O-])[C@@H](C)C(=O)Nc1ccccc1 ZINC000262238172 396114294 /nfs/dbraw/zinc/11/42/94/396114294.db2.gz OIKQQQAAXNADNN-LBPRGKRZSA-N 0 2 321.377 0.926 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCc1ncn(C)n1 ZINC000278562277 396131934 /nfs/dbraw/zinc/13/19/34/396131934.db2.gz AIJYGARNGOMKGI-QMMMGPOBSA-N 0 2 307.276 0.134 20 0 DCADLN O=C([O-])[C@]12CN(C(=O)c3ccc4[nH+]ccn4c3)C[C@H]1COCC2 ZINC000262385888 396133353 /nfs/dbraw/zinc/13/33/53/396133353.db2.gz MCKLJQKZFFSGOE-BLLLJJGKSA-N 0 2 315.329 0.898 20 0 DCADLN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1ncccc1OC(F)F ZINC000278584461 396133606 /nfs/dbraw/zinc/13/36/06/396133606.db2.gz PDMGWADNHKEVNF-SSDOTTSWSA-N 0 2 323.321 0.901 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)C1=Cc2ccccc2OC1 ZINC000080973423 396290064 /nfs/dbraw/zinc/29/00/64/396290064.db2.gz MMJLNFYVUMSGEJ-UHFFFAOYSA-N 0 2 300.318 0.623 20 0 DCADLN C[C@@H](NC(=O)N(C)CC[N@H+](C)C1CC1)[C@@H](C)[NH+]1CCOCC1 ZINC000185355702 396325913 /nfs/dbraw/zinc/32/59/13/396325913.db2.gz NDCNWFQIQUNGCV-ZIAGYGMSSA-N 0 2 312.458 0.831 20 0 DCADLN CCOC(=O)c1cc(-n2c(O)c(C(=O)OCC)[nH]c2=O)cn1C ZINC000285899126 396360852 /nfs/dbraw/zinc/36/08/52/396360852.db2.gz FIWPUPJAHKMPBX-JTQLQIEISA-N 0 2 323.305 0.190 20 0 DCADLN COC[C@@H](NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1)C1CC1 ZINC000269663561 396434284 /nfs/dbraw/zinc/43/42/84/396434284.db2.gz REKSGTMOJOZYGO-SNVBAGLBSA-N 0 2 318.333 0.899 20 0 DCADLN COC(=O)CCn1nc(C)c(C[N@H+]2CCn3nncc3C2)c1C ZINC000514871807 396498554 /nfs/dbraw/zinc/49/85/54/396498554.db2.gz DLNDYNTYYKPNNX-UHFFFAOYSA-N 0 2 318.381 0.670 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@H](C(=O)[O-])C(C)C)CC2)cc[nH+]1 ZINC000581824488 396585137 /nfs/dbraw/zinc/58/51/37/396585137.db2.gz MPOFGYMOLSTONV-CYBMUJFWSA-N 0 2 306.366 0.790 20 0 DCADLN C[NH+](C)[C@]1(CNC(=O)NCC[NH+]2CCOCC2)CCSC1 ZINC000531124635 396589900 /nfs/dbraw/zinc/58/99/00/396589900.db2.gz YPWCOSDQORITBS-AWEZNQCLSA-N 0 2 316.471 0.055 20 0 DCADLN CC[C@H](C)[C@H](NS(=O)(=O)CCC[NH+]1CCOCC1)C(=O)[O-] ZINC000581941312 396595307 /nfs/dbraw/zinc/59/53/07/396595307.db2.gz AXKMSDIYLAYJFU-RYUDHWBXSA-N 0 2 322.427 0.127 20 0 DCADLN CCNC(=O)NCCNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000294206652 396660549 /nfs/dbraw/zinc/66/05/49/396660549.db2.gz XTISRYSDVNQXNF-UHFFFAOYSA-N 0 2 317.349 0.627 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C[C@@H]2CCC(=O)N2C)s[nH]1 ZINC000634149006 396796141 /nfs/dbraw/zinc/79/61/41/396796141.db2.gz SWLCUDZZMOVZIL-QMMMGPOBSA-N 0 2 312.395 0.791 20 0 DCADLN CN(C)C(=O)CCCC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000563479796 396742568 /nfs/dbraw/zinc/74/25/68/396742568.db2.gz LRNAVQZMZHPAFP-JTQLQIEISA-N 0 2 309.370 0.475 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)C2(C(=O)NC3CC3)CC2)s[nH]1 ZINC000634154000 396797455 /nfs/dbraw/zinc/79/74/55/396797455.db2.gz QPNKLADMFIYPOG-UHFFFAOYSA-N 0 2 324.406 0.839 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)[C@H]2CN(C)CCO2)s[nH]1 ZINC000634151721 396797615 /nfs/dbraw/zinc/79/76/15/396797615.db2.gz ZZLOPSCKOVKECT-MRVPVSSYSA-N 0 2 300.384 0.111 20 0 DCADLN C[C@@H](CO)[C@@H]1CCCCN1C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000617861661 396843053 /nfs/dbraw/zinc/84/30/53/396843053.db2.gz JBJIKACFCXJXRM-IUCAKERBSA-N 0 2 300.384 0.612 20 0 DCADLN COCCn1ccc(C(=O)N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)n1 ZINC000329469189 396845158 /nfs/dbraw/zinc/84/51/58/396845158.db2.gz XJENYXWSQHRCQO-NSHDSACASA-N 0 2 320.353 0.721 20 0 DCADLN COC(=O)C[C@H](O)CNC(=O)c1cc(F)c(F)c(O)c1F ZINC000600462115 396853691 /nfs/dbraw/zinc/85/36/91/396853691.db2.gz XDZMNWHDQLWTLI-YFKPBYRVSA-N 0 2 307.224 0.463 20 0 DCADLN O=C1C[C@@H](NS(=O)(=O)NCC(F)(F)F)[C@H]2CCCCN12 ZINC000375713324 396802541 /nfs/dbraw/zinc/80/25/41/396802541.db2.gz XLLPWQADUHTYDP-HTQZYQBOSA-N 0 2 315.317 0.126 20 0 DCADLN COC(=O)CCc1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000600647127 396904804 /nfs/dbraw/zinc/90/48/04/396904804.db2.gz GSEURLHRUNQQOS-UHFFFAOYSA-N 0 2 324.362 0.722 20 0 DCADLN CCCc1cc(C(=O)N2CC[NH+]([C@@H](C)CC(=O)[O-])CC2)n[nH]1 ZINC000630225322 396964265 /nfs/dbraw/zinc/96/42/65/396964265.db2.gz UASHCCUERYMVDW-NSHDSACASA-N 0 2 308.382 0.983 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@H]2CCN(C)C(=O)C2)c1 ZINC000591779725 397001765 /nfs/dbraw/zinc/00/17/65/397001765.db2.gz NYQSYSMBKPTWIM-KPWVOAKYSA-N 0 2 324.402 0.540 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@@H](CO)CC2CC2)cn1 ZINC000619038593 397068848 /nfs/dbraw/zinc/06/88/48/397068848.db2.gz VJOICNOZXXYGOP-NSHDSACASA-N 0 2 303.366 0.949 20 0 DCADLN COC(=O)c1nccnc1N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000591941072 397044576 /nfs/dbraw/zinc/04/45/76/397044576.db2.gz VEKOATQEFDBSAM-QMMMGPOBSA-N 0 2 304.310 0.471 20 0 DCADLN COC(=O)[C@H]1C[C@@H](O)CN(Cc2nc(=O)c3sccc3[nH]2)C1 ZINC000592197940 397123189 /nfs/dbraw/zinc/12/31/89/397123189.db2.gz QBAQSJVRSHNMMC-DTWKUNHWSA-N 0 2 323.374 0.753 20 0 DCADLN CCN1C(=O)[C@H]2CN(C(=O)[C@@H](F)C(F)(F)F)CCN2C1=O ZINC000378006854 397178446 /nfs/dbraw/zinc/17/84/46/397178446.db2.gz UWVBTPQEAYHMOQ-RNFRBKRXSA-N 0 2 311.235 0.382 20 0 DCADLN CCN1C(=O)[C@H]2CN(C(=O)C(F)C(F)(F)F)CCN2C1=O ZINC000378006854 397178455 /nfs/dbraw/zinc/17/84/55/397178455.db2.gz UWVBTPQEAYHMOQ-RNFRBKRXSA-N 0 2 311.235 0.382 20 0 DCADLN O=c1[nH][nH]c(=O)n1-c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000574116333 397237435 /nfs/dbraw/zinc/23/74/35/397237435.db2.gz QTXLBUWWKSZZTO-UHFFFAOYSA-N 0 2 322.306 0.202 20 0 DCADLN COc1ccnc(N2CCN(c3ccc(C(=O)[O-])c[nH+]3)CC2)n1 ZINC000578012808 397379813 /nfs/dbraw/zinc/37/98/13/397379813.db2.gz ZJDFSVHIOBDOTP-UHFFFAOYSA-N 0 2 315.333 0.905 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@H]1CCNC1=O ZINC000614386824 397429987 /nfs/dbraw/zinc/42/99/87/397429987.db2.gz IDKJXACBSVOHGN-VIFPVBQESA-N 0 2 301.306 0.560 20 0 DCADLN C[C@H]1CCNC(=O)[C@@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614452981 397441352 /nfs/dbraw/zinc/44/13/52/397441352.db2.gz GOLUQPWHJSISHC-GZMMTYOYSA-N 0 2 316.317 0.284 20 0 DCADLN CCC1(O)CN(C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[nH]2)C1 ZINC000614547504 397467903 /nfs/dbraw/zinc/46/79/03/397467903.db2.gz UYWCGVVXUBGKRV-UHFFFAOYSA-N 0 2 304.306 0.341 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N(CC1CC1)CC1CC1 ZINC000115479802 158161604 /nfs/dbraw/zinc/16/16/04/158161604.db2.gz WJEYJUYFOOCOBY-UHFFFAOYSA-N 0 2 314.345 0.874 20 0 DCADLN COCc1nnc([C@H]2CCCCN2C(=O)Cc2cnn(C)c2)[nH]1 ZINC000329595541 159105658 /nfs/dbraw/zinc/10/56/58/159105658.db2.gz IFDROKNINLTGLY-GFCCVEGCSA-N 0 2 318.381 0.981 20 0 DCADLN CN(C)S(=O)(=O)CCCS(=O)(=O)Nc1nccs1 ZINC000356876354 159254569 /nfs/dbraw/zinc/25/45/69/159254569.db2.gz ORHHLXCWRHNGNN-UHFFFAOYSA-N 0 2 313.426 0.166 20 0 DCADLN C[C@@]1(CNC(=O)CSc2n[nH]c(=O)[nH]2)CCO[C@H]1C1CC1 ZINC000367689871 159351310 /nfs/dbraw/zinc/35/13/10/159351310.db2.gz WQPFCJZIHSBODF-GWCFXTLKSA-N 0 2 312.395 0.924 20 0 DCADLN O=C(NC[C@@H]1C[NH+](C2CC2)CCO1)N1CC[N@H+]2CCC[C@H]2C1 ZINC000368167367 159379131 /nfs/dbraw/zinc/37/91/31/159379131.db2.gz QHJRVYBRTIZJKR-LSDHHAIUSA-N 0 2 308.426 0.339 20 0 DCADLN C[N@@H+]1CCC[C@H]1C(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000368446072 159395842 /nfs/dbraw/zinc/39/58/42/159395842.db2.gz SKAZKWLLYCJFNN-AWEZNQCLSA-N 0 2 319.409 0.038 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)[C@@H]2CCO[C@H](C)C2)cn1 ZINC000408485504 160118117 /nfs/dbraw/zinc/11/81/17/160118117.db2.gz QNEBUEUUDARHGG-NXEZZACHSA-N 0 2 314.363 0.710 20 0 DCADLN COc1ccc(S(=O)(=O)NC(=O)[C@H]2CCO[C@H](C)C2)cn1 ZINC000408485507 160118298 /nfs/dbraw/zinc/11/82/98/160118298.db2.gz QNEBUEUUDARHGG-ZJUUUORDSA-N 0 2 314.363 0.710 20 0 DCADLN CCOC(=O)C[C@@H](C)NC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358390821 287208186 /nfs/dbraw/zinc/20/81/86/287208186.db2.gz BRXRJEYYSNVQSH-MRVPVSSYSA-N 0 2 306.322 0.745 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H]1CC(=O)Nc2cc(F)ccc21 ZINC000358399881 287208912 /nfs/dbraw/zinc/20/89/12/287208912.db2.gz YZTCAZFXGYGAMU-QMMMGPOBSA-N 0 2 305.269 0.392 20 0 DCADLN Cc1noc([C@H]2CCCN2S(=O)(=O)NCC(F)(F)F)n1 ZINC000352382418 415157217 /nfs/dbraw/zinc/15/72/17/415157217.db2.gz DUEAINMWAWISQA-SSDOTTSWSA-N 0 2 314.289 0.912 20 0 DCADLN CCCSCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000048704285 415297180 /nfs/dbraw/zinc/29/71/80/415297180.db2.gz YVLFJBSKVSHPEC-UHFFFAOYSA-N 0 2 310.426 0.991 20 0 DCADLN COC(=O)[C@H](CC(F)(F)F)NS(=O)(=O)Cc1ccon1 ZINC000274237692 415343410 /nfs/dbraw/zinc/34/34/10/415343410.db2.gz WNDGKQYDENYXES-ZETCQYMHSA-N 0 2 316.257 0.588 20 0 DCADLN CN(Cc1ccon1)C(=O)C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000353000941 415398820 /nfs/dbraw/zinc/39/88/20/415398820.db2.gz LORZKOCFDGRWTG-UHFFFAOYSA-N 0 2 323.378 0.843 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cccc(OC)c2O)C1=O ZINC000278818697 415493374 /nfs/dbraw/zinc/49/33/74/415493374.db2.gz PGVLHADHSGIRFY-AWEZNQCLSA-N 0 2 307.306 0.766 20 0 DCADLN CSc1nc(C(C)C)nc(C)c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000085382513 415503297 /nfs/dbraw/zinc/50/32/97/415503297.db2.gz QFXGWRYUVYUWAM-UHFFFAOYSA-N 0 2 322.394 0.972 20 0 DCADLN Cc1nnc([C@H](C)NC(=O)c2c[nH]c3c(cnn3C)c2=O)[nH]1 ZINC000343362309 415575481 /nfs/dbraw/zinc/57/54/81/415575481.db2.gz SCDOFOHMMJGDNN-LURJTMIESA-N 0 2 301.310 0.591 20 0 DCADLN N[C@H](C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1)C(F)(F)F ZINC000353438939 415542481 /nfs/dbraw/zinc/54/24/81/415542481.db2.gz CRAHBWSZFMVOKR-GFCCVEGCSA-N 0 2 317.311 0.926 20 0 DCADLN CCCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)c2nc(=O)[nH][nH]2)C1 ZINC000109093621 415683055 /nfs/dbraw/zinc/68/30/55/415683055.db2.gz LRMOTELKACQNDI-VHSXEESVSA-N 0 2 309.370 0.255 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)Nc1ccccc1O[C@H]1CCOC1 ZINC000354116836 415757779 /nfs/dbraw/zinc/75/77/79/415757779.db2.gz ZNLGALZUSKPTGX-VIFPVBQESA-N 0 2 319.321 1.000 20 0 DCADLN COC(=O)c1ccc(NC(=O)NCc2n[nH]c(=O)[nH]2)cc1C ZINC000354114172 415759720 /nfs/dbraw/zinc/75/97/20/415759720.db2.gz DKZKJEDTBJBGEF-UHFFFAOYSA-N 0 2 305.294 0.927 20 0 DCADLN CCOC(=O)[C@@H](NC(=O)c1cn(C)nc1C)[C@@H]1CCCOC1 ZINC000334028907 415787372 /nfs/dbraw/zinc/78/73/72/415787372.db2.gz PCDMXGARTZLABS-YPMHNXCESA-N 0 2 309.366 0.817 20 0 DCADLN C[C@@H](NC(=O)N[C@@H](C)CCS(C)(=O)=O)C1=CC[N@H+](C)CC1 ZINC000334028941 415787679 /nfs/dbraw/zinc/78/76/79/415787679.db2.gz PUJCZNJKSACNRD-NWDGAFQWSA-N 0 2 317.455 0.759 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)c1cnn(CC(F)(F)F)c1 ZINC000344308870 415885255 /nfs/dbraw/zinc/88/52/55/415885255.db2.gz QAYAOYQYPKQNHK-UHFFFAOYSA-N 0 2 323.300 0.955 20 0 DCADLN O=C(N[C@H]1CCC[N@H+](CCCO)C1)c1ccc2[nH]nnc2c1 ZINC000295416420 415892192 /nfs/dbraw/zinc/89/21/92/415892192.db2.gz JRBXPPNBLAZQCO-LBPRGKRZSA-N 0 2 303.366 0.535 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCCCC(C)(C)CO ZINC000356824917 415993133 /nfs/dbraw/zinc/99/31/33/415993133.db2.gz VCAYVUNWRLZTCT-UHFFFAOYSA-N 0 2 320.393 0.788 20 0 DCADLN CCc1oncc1NS(=O)(=O)c1ccc2c(c1)C(=O)NC2=O ZINC000337879505 416019221 /nfs/dbraw/zinc/01/92/21/416019221.db2.gz XCKRPWBVEFPMRP-UHFFFAOYSA-N 0 2 321.314 0.921 20 0 DCADLN C[C@@H](C(=O)Nc1ccc(-c2nc(=O)o[nH]2)cc1)n1cncn1 ZINC000170747861 416031075 /nfs/dbraw/zinc/03/10/75/416031075.db2.gz UVTGBLABLQUSAN-QMMMGPOBSA-N 0 2 300.278 0.821 20 0 DCADLN CC(C)[C@@H](NC(=O)c1ccccc1)C(=O)NCc1n[nH]c(=O)[nH]1 ZINC000338394820 416092189 /nfs/dbraw/zinc/09/21/89/416092189.db2.gz OZOYLBJTPMADCV-GFCCVEGCSA-N 0 2 317.349 0.581 20 0 DCADLN C[C@@H]1C[C@H](C)CN(C(=O)C[N@H+](C)C2CC[NH+](CCO)CC2)C1 ZINC000357653540 416111034 /nfs/dbraw/zinc/11/10/34/416111034.db2.gz XJPAQDBJPSBXDX-GASCZTMLSA-N 0 2 311.470 0.879 20 0 DCADLN Cc1cc(=O)n2nc(NS(=O)(=O)c3ccc(F)cc3)[nH]c2n1 ZINC000176413221 416125128 /nfs/dbraw/zinc/12/51/28/416125128.db2.gz DDFKFIAFALUDNO-UHFFFAOYSA-N 0 2 323.309 0.666 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2C[C@@H]3COC[C@H](C2)O3)s[nH]1 ZINC000328684211 416126831 /nfs/dbraw/zinc/12/68/31/416126831.db2.gz POMNNCYFUJHXTA-DTORHVGOSA-N 0 2 312.395 0.889 20 0 DCADLN O=C(Nc1nc2ccccn2n1)N1CCC(F)(F)[C@@H](CO)C1 ZINC000329600129 416179474 /nfs/dbraw/zinc/17/94/74/416179474.db2.gz DWJBBFQSQWEEBY-SECBINFHSA-N 0 2 311.292 1.211 20 0 DCADLN NC(=O)CC1CN(C(=O)C2=NN(c3ccccc3)CC2=O)C1 ZINC000358174664 416205659 /nfs/dbraw/zinc/20/56/59/416205659.db2.gz WPKKBVFSCWVOBH-UHFFFAOYSA-N 0 2 300.318 0.525 20 0 DCADLN Cn1nncc1CNS(=O)(=O)c1c(F)c(F)cc(F)c1F ZINC000341633910 416217576 /nfs/dbraw/zinc/21/75/76/416217576.db2.gz AMPFWZJWHXEAPF-UHFFFAOYSA-N 0 2 324.259 0.850 20 0 DCADLN CCOCCOC1CN(C(=O)c2c[nH]c3c(cnn3C)c2=O)C1 ZINC000358336975 416225282 /nfs/dbraw/zinc/22/52/82/416225282.db2.gz KRKLUQQJPFAIST-UHFFFAOYSA-N 0 2 320.349 0.551 20 0 DCADLN O=C(N[C@H]1C=C[C@@H](CO)C1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000341734956 416228563 /nfs/dbraw/zinc/22/85/63/416228563.db2.gz YPFLYCWNIBVOIW-APPZFPTMSA-N 0 2 302.290 0.103 20 0 DCADLN COc1ccc(-n2ccc(C(=O)NCc3n[nH]c(=O)[nH]3)n2)cc1 ZINC000358360723 416229849 /nfs/dbraw/zinc/22/98/49/416229849.db2.gz UCQBOTHWYJATFN-UHFFFAOYSA-N 0 2 314.305 0.635 20 0 DCADLN C[C@H](O)C1CCN(C(=O)c2c[nH]c3c(cnn3C)c2=O)CC1 ZINC000358374706 416230825 /nfs/dbraw/zinc/23/08/25/416230825.db2.gz UCABIQFCGPFOIN-VIFPVBQESA-N 0 2 304.350 0.907 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2C=C[C@@H](CO)C2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000341914055 416251657 /nfs/dbraw/zinc/25/16/57/416251657.db2.gz YIRPXTZMMDDLKP-RKDXNWHRSA-N 0 2 316.317 0.411 20 0 DCADLN CC(C)[C@@](C)(NC(=O)c1c[nH]c2c(cnn2C)c1=O)C(N)=O ZINC000341949711 416257101 /nfs/dbraw/zinc/25/71/01/416257101.db2.gz WNRLPOCPURCECS-CQSZACIVSA-N 0 2 305.338 0.304 20 0 DCADLN CCOCCO[C@H](C)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000352261414 416312874 /nfs/dbraw/zinc/31/28/74/416312874.db2.gz RGBISRSLKBGTAE-GHMZBOCLSA-N 0 2 312.370 0.658 20 0 DCADLN CCCNC(=O)c1cccc(C(=O)NCc2n[nH]c(=O)[nH]2)c1 ZINC000359798899 416359185 /nfs/dbraw/zinc/35/91/85/416359185.db2.gz IQNYUIOVKPORBB-UHFFFAOYSA-N 0 2 303.322 0.580 20 0 DCADLN Cc1noc(C)c1CCNS(=O)(=O)NCC(F)(F)F ZINC000195233088 416325607 /nfs/dbraw/zinc/32/56/07/416325607.db2.gz QIUUASWXABPPOK-UHFFFAOYSA-N 0 2 301.290 0.820 20 0 DCADLN CC(C)[C@H](C(=O)[O-])N1CC[C@@H](Nc2cc[nH+]c(C3CC3)n2)C1=O ZINC000583920477 416484600 /nfs/dbraw/zinc/48/46/00/416484600.db2.gz VXTDRSRCVSXWPR-DGCLKSJQSA-N 0 2 318.377 1.476 20 0 DCADLN COc1cc(NC(C)=O)ccc1NC(=O)NCc1n[nH]c(=O)[nH]1 ZINC000435538663 416524300 /nfs/dbraw/zinc/52/43/00/416524300.db2.gz XWIFHQJVOQOHSL-UHFFFAOYSA-N 0 2 320.309 0.799 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1cccc([S@](C)=O)c1 ZINC000590598144 416529784 /nfs/dbraw/zinc/52/97/84/416529784.db2.gz OZEKPTRJCLVLNO-LJQANCHMSA-N 0 2 315.376 1.103 20 0 DCADLN Cc1conc1NS(=O)(=O)c1ccc(-n2cnnn2)cc1 ZINC000434963123 416507047 /nfs/dbraw/zinc/50/70/47/416507047.db2.gz JXZJYHOGUSZCGL-UHFFFAOYSA-N 0 2 306.307 0.760 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2nocc2C)cc1C(N)=O ZINC000434977065 416507830 /nfs/dbraw/zinc/50/78/30/416507830.db2.gz OOTIEOQSIDRKQI-UHFFFAOYSA-N 0 2 311.319 0.891 20 0 DCADLN CCc1cccc(S(=O)(=O)Nc2ncn(C)c2C(N)=O)c1 ZINC000361852449 416511145 /nfs/dbraw/zinc/51/11/45/416511145.db2.gz SQBQRTGNPKJICR-UHFFFAOYSA-N 0 2 308.363 0.882 20 0 DCADLN O=C(N[C@H]1CCCCNC1=O)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000540956810 416599013 /nfs/dbraw/zinc/59/90/13/416599013.db2.gz PYHVUOAGGARZHU-NSHDSACASA-N 0 2 316.317 0.428 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3c(c2)oc(=O)n3C)nn1C ZINC000439063862 416604935 /nfs/dbraw/zinc/60/49/35/416604935.db2.gz CGJXHWOZWFOYAL-UHFFFAOYSA-N 0 2 322.346 0.974 20 0 DCADLN NC(=O)CCNC(=O)c1cc(F)cc(Br)c1O ZINC000436846573 416572004 /nfs/dbraw/zinc/57/20/04/416572004.db2.gz HWOBJKPJNJYGRA-UHFFFAOYSA-N 0 2 305.103 0.899 20 0 DCADLN CC(C)NC(=O)C[N@H+](C)CC(=O)N1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC000424254749 416633494 /nfs/dbraw/zinc/63/34/94/416633494.db2.gz LKZBTHPZAVIHKT-BXUZGUMPSA-N 0 2 313.398 0.155 20 0 DCADLN CC(C)NC(=O)C[N@@H+](C)CC(=O)N1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC000424254749 416633498 /nfs/dbraw/zinc/63/34/98/416633498.db2.gz LKZBTHPZAVIHKT-BXUZGUMPSA-N 0 2 313.398 0.155 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)NC(=O)/C=C/c1ccn(C)c1 ZINC000493694806 416641297 /nfs/dbraw/zinc/64/12/97/416641297.db2.gz OVPOFQONZVQLQS-ONEGZZNKSA-N 0 2 310.335 0.422 20 0 DCADLN Cc1cc(C)c(CNC(=O)C(=O)NCCC(C)(C)C(=O)[O-])c[nH+]1 ZINC000424490103 416656031 /nfs/dbraw/zinc/65/60/31/416656031.db2.gz UFBCQZQODZJUIC-UHFFFAOYSA-N 0 2 321.377 0.932 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2C(=O)NCC[C@H]2C)c1 ZINC000424829443 416670069 /nfs/dbraw/zinc/67/00/69/416670069.db2.gz RWYISNYPVSCTBE-PGOYOLCZSA-N 0 2 324.402 0.444 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N[C@@H](CO)c1ccsc1 ZINC000443044824 416727550 /nfs/dbraw/zinc/72/75/50/416727550.db2.gz GUXRKMGLFBFUAS-ZETCQYMHSA-N 0 2 304.315 0.768 20 0 DCADLN COc1cccc(S(O)=CC(=O)N[C@@H]2COC[C@H]2OC)c1 ZINC000516652839 416733920 /nfs/dbraw/zinc/73/39/20/416733920.db2.gz MPTNGQOBHCECIK-ZNLKAECVSA-N 0 2 313.375 0.333 20 0 DCADLN C[C@H]1C[C@H](N(C)S(=O)(=O)CCn2cc[nH+]c2)CC[N@@H+]1C ZINC000641350745 416802360 /nfs/dbraw/zinc/80/23/60/416802360.db2.gz KTCIMIJIRPMKBB-QWHCGFSZSA-N 0 2 300.428 0.627 20 0 DCADLN Cc1[nH]c(=O)nc(O)c1NC(=O)c1cccn2c(O)nnc12 ZINC000427159408 416804148 /nfs/dbraw/zinc/80/41/48/416804148.db2.gz FMQSITYXZZTONY-UHFFFAOYSA-N 0 2 302.250 0.197 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccc(C(N)=O)c(C)c2)cnn1C ZINC000427209026 416807249 /nfs/dbraw/zinc/80/72/49/416807249.db2.gz KZFVJAWCCODLIV-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN COC(=O)c1ccccc1NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000517746793 416820613 /nfs/dbraw/zinc/82/06/13/416820613.db2.gz AZJTUSORIHICOL-LBPRGKRZSA-N 0 2 306.318 0.961 20 0 DCADLN COC(=O)c1ccccc1NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000517746793 416820619 /nfs/dbraw/zinc/82/06/19/416820619.db2.gz AZJTUSORIHICOL-LBPRGKRZSA-N 0 2 306.318 0.961 20 0 DCADLN Cc1cc(C(=O)NC[C@@H](CO)C2CC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000428134281 416878911 /nfs/dbraw/zinc/87/89/11/416878911.db2.gz JOMJUNBYSBDDHH-VIFPVBQESA-N 0 2 318.333 0.493 20 0 DCADLN CC(=O)Nc1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)ccc1F ZINC000444647967 416857912 /nfs/dbraw/zinc/85/79/12/416857912.db2.gz GHHILKBOEHRMAH-UHFFFAOYSA-N 0 2 308.273 0.930 20 0 DCADLN O=C(NCCC1(CO)CC1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000615969392 416947524 /nfs/dbraw/zinc/94/75/24/416947524.db2.gz LIRXUIJKACGYSR-UHFFFAOYSA-N 0 2 303.318 0.922 20 0 DCADLN C[C@@H]1C(=O)N(C)CCN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000429447480 416972531 /nfs/dbraw/zinc/97/25/31/416972531.db2.gz FPHGAFLILSOWRM-MRVPVSSYSA-N 0 2 311.411 0.952 20 0 DCADLN CCc1ccccc1S(=O)(=O)Nc1ncn(C)c1C(N)=O ZINC000430666815 417054448 /nfs/dbraw/zinc/05/44/48/417054448.db2.gz ZPCXQHHIAXRGHI-UHFFFAOYSA-N 0 2 308.363 0.882 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)[C@H]1CCC(=O)c2cccn21 ZINC000448316100 417070573 /nfs/dbraw/zinc/07/05/73/417070573.db2.gz OQVHBIFABRQYAB-SNVBAGLBSA-N 0 2 303.322 0.578 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@@H](C[NH3+])C1 ZINC000568230619 417108646 /nfs/dbraw/zinc/10/86/46/417108646.db2.gz YHOMWBKTWVWNET-ZDUSSCGKSA-N 0 2 307.354 0.379 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@@H+]1CCOC2(CCOCC2)CC1 ZINC000568881595 417189530 /nfs/dbraw/zinc/18/95/30/417189530.db2.gz WQSGBQXNLIZRFD-HNNXBMFYSA-N 0 2 316.442 0.197 20 0 DCADLN COCC[C@H](Nc1nc(-c2ccc(OC)cc2)no1)C(N)=O ZINC000450427872 417227544 /nfs/dbraw/zinc/22/75/44/417227544.db2.gz TYQJWKWQLLHKHN-NSHDSACASA-N 0 2 306.322 1.048 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccnc(OCCO)c1 ZINC000620640119 417269762 /nfs/dbraw/zinc/26/97/62/417269762.db2.gz NVHCVTSNKUFECI-UHFFFAOYSA-N 0 2 311.323 0.007 20 0 DCADLN Cc1nc([C@@H](C)NC(=O)c2ccc(NS(C)(=O)=O)nc2)n[nH]1 ZINC000627206508 417279248 /nfs/dbraw/zinc/27/92/48/417279248.db2.gz GKYMXCIKUYBMOT-SSDOTTSWSA-N 0 2 324.366 0.371 20 0 DCADLN CCOC(=O)c1cnn(C)c1NS(=O)(=O)c1cccn1C ZINC000414458966 417285587 /nfs/dbraw/zinc/28/55/87/417285587.db2.gz VDMCTNLHUXWQPP-UHFFFAOYSA-N 0 2 312.351 0.736 20 0 DCADLN COc1cccc(S(O)=CC(=O)N2CCN(C)C(=O)C2)c1 ZINC000569715371 417288047 /nfs/dbraw/zinc/28/80/47/417288047.db2.gz IAVFBJMQVJPVHH-OAQYLSRUSA-N 0 2 310.375 0.103 20 0 DCADLN CCOCCn1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cn1 ZINC000621894745 417336391 /nfs/dbraw/zinc/33/63/91/417336391.db2.gz ZEDZMISCNIFZPQ-UHFFFAOYSA-N 0 2 308.342 0.106 20 0 DCADLN CCOC[C@@H]1C[N@H+](CC(=O)[N-]OCc2ccccc2)CCO1 ZINC000528724988 417383208 /nfs/dbraw/zinc/38/32/08/417383208.db2.gz NAYKPOAZVZJZEU-HNNXBMFYSA-N 0 2 308.378 0.972 20 0 DCADLN CCOC[C@@H]1C[N@@H+](CC(=O)[N-]OCc2ccccc2)CCO1 ZINC000528724988 417383212 /nfs/dbraw/zinc/38/32/12/417383212.db2.gz NAYKPOAZVZJZEU-HNNXBMFYSA-N 0 2 308.378 0.972 20 0 DCADLN CC(C)[C@@H]1C[NH2+]CCN1C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000529309473 417435003 /nfs/dbraw/zinc/43/50/03/417435003.db2.gz XBEKQCJTOTXJSY-KFWWJZLASA-N 0 2 312.458 0.735 20 0 DCADLN Cc1cccc(C)c1OCC[NH+]1CCN(C(=O)C(=O)[O-])CC1 ZINC000571288116 417442045 /nfs/dbraw/zinc/44/20/45/417442045.db2.gz OLDYBJXBTDDGHL-UHFFFAOYSA-N 0 2 306.362 0.911 20 0 DCADLN Cc1ccnc(NC(=O)c2cc(S(N)(=O)=O)ccc2O)c1 ZINC000622503109 417452845 /nfs/dbraw/zinc/45/28/45/417452845.db2.gz SRUYVXORPPWHPR-UHFFFAOYSA-N 0 2 307.331 0.995 20 0 DCADLN Cc1[nH]c(C(=O)N[C@@H]2CCN([C@H](C(=O)[O-])C(C)C)C2=O)c[nH+]1 ZINC000455796689 417616204 /nfs/dbraw/zinc/61/62/04/417616204.db2.gz QJDIYCJJKLSNFG-KOLCDFICSA-N 0 2 308.338 0.158 20 0 DCADLN CO[C@@H]1CN(C(=O)N=c2nc(C(C)(C)C)[nH]s2)C[C@H]1O ZINC000454705845 417576886 /nfs/dbraw/zinc/57/68/86/417576886.db2.gz JFMJYHGCTMZFRY-HTQZYQBOSA-N 0 2 300.384 0.481 20 0 DCADLN C[C@](O)(CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)C1CC1 ZINC000440228974 287395096 /nfs/dbraw/zinc/39/50/96/287395096.db2.gz QZYOXNZBYUTHCO-AWEZNQCLSA-N 0 2 304.306 0.327 20 0 DCADLN C[C@@H]1CCN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C[C@H]1C(=O)[O-] ZINC000576634113 417622698 /nfs/dbraw/zinc/62/26/98/417622698.db2.gz ZCDZIJJZZVIBJZ-GRYCIOLGSA-N 0 2 306.366 0.950 20 0 DCADLN Cc1cc(F)ccc1N1CC(=O)C(C(=O)N2C[C@H](O)[C@@H](O)C2)=N1 ZINC000628525623 417632585 /nfs/dbraw/zinc/63/25/85/417632585.db2.gz PVBDPOVNYHEXRF-RYUDHWBXSA-N 0 2 321.308 0.203 20 0 DCADLN C[C@@H]1CCN(C(=O)NCCOCC(=O)[O-])C[C@H]1n1cc[nH+]c1 ZINC000635523012 417688298 /nfs/dbraw/zinc/68/82/98/417688298.db2.gz IOBJCLHHYFMCQL-VXGBXAGGSA-N 0 2 310.354 0.577 20 0 DCADLN C[C@@H](C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC000580434310 417702275 /nfs/dbraw/zinc/70/22/75/417702275.db2.gz HCYLBGKSNQYXQB-NXEZZACHSA-N 0 2 304.354 0.754 20 0 DCADLN CS(=O)(=O)NC1CN(C(=O)c2cc(F)cc(Cl)c2O)C1 ZINC000628799040 417696945 /nfs/dbraw/zinc/69/69/45/417696945.db2.gz WMCLOOHKTMCRDA-UHFFFAOYSA-N 0 2 322.745 0.558 20 0 DCADLN O=C(Nc1ccc2nn[nH]c2c1)[C@]12CCC(=O)N1CCS2 ZINC000531856917 417643992 /nfs/dbraw/zinc/64/39/92/417643992.db2.gz SEEMAOAWHWGWIQ-CYBMUJFWSA-N 0 2 303.347 0.962 20 0 DCADLN CN(C[C@@H](O)C[NH+]1CCOCC1)C(=O)C[N@H+](C)CC(C)(C)C ZINC000635658566 417734105 /nfs/dbraw/zinc/73/41/05/417734105.db2.gz HIYGREKLAYPKTD-CQSZACIVSA-N 0 2 315.458 0.116 20 0 DCADLN Cc1cc(=NC(=O)C(=O)N2CCC[C@@H](OC(C)C)C2)[nH]nc1C ZINC000651967913 417826251 /nfs/dbraw/zinc/82/62/51/417826251.db2.gz ZFOADLHUQMOALW-CYBMUJFWSA-N 0 2 320.393 0.870 20 0 DCADLN CC(=O)NCc1ccc(NS(=O)(=O)c2cnnn2C)cc1 ZINC000629288892 417772933 /nfs/dbraw/zinc/77/29/33/417772933.db2.gz FYRGGBUTLNZGFF-UHFFFAOYSA-N 0 2 309.351 0.252 20 0 DCADLN CC[C@@H](C)C[C@@H](CO)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1 ZINC000651869201 417803851 /nfs/dbraw/zinc/80/38/51/417803851.db2.gz MNOCRHNTLMQEDD-MNOVXSKESA-N 0 2 311.386 0.133 20 0 DCADLN COCc1nnc(CNS(=O)(=O)Cc2ccc(F)cc2)[nH]1 ZINC000657104746 417813211 /nfs/dbraw/zinc/81/32/11/417813211.db2.gz UOZGECIBVDGSML-UHFFFAOYSA-N 0 2 314.342 0.710 20 0 DCADLN Cc1[nH]ncc1CN(C)C(=O)[C@H](NS(C)(=O)=O)C(C)C ZINC000636067165 417856855 /nfs/dbraw/zinc/85/68/55/417856855.db2.gz VXWPBCLLXQNBLR-LLVKDONJSA-N 0 2 302.400 0.250 20 0 DCADLN CO[C@@H]1CS(=O)(=O)[C@H]2CN(c3[nH+]cccc3C(=O)[O-])C[C@H]21 ZINC000662887549 417939424 /nfs/dbraw/zinc/93/94/24/417939424.db2.gz ZQVDIDUCJBXTMQ-AXFHLTTASA-N 0 2 312.347 0.028 20 0 DCADLN COc1ccc(CNC(=O)[C@H]2CC[C@H](C(=O)[O-])[N@@H+]2C2CC2)nc1 ZINC000663226252 418002642 /nfs/dbraw/zinc/00/26/42/418002642.db2.gz JNHXARJMSBUKFV-ZIAGYGMSSA-N 0 2 319.361 0.786 20 0 DCADLN COc1ccc(CNC(=O)[C@H]2CC[C@H](C(=O)[O-])[N@H+]2C2CC2)nc1 ZINC000663226252 418002645 /nfs/dbraw/zinc/00/26/45/418002645.db2.gz JNHXARJMSBUKFV-ZIAGYGMSSA-N 0 2 319.361 0.786 20 0 DCADLN CN(C)c1noc(CS(=O)(=O)c2nc3ccccc3[nH]2)n1 ZINC000663241816 418003565 /nfs/dbraw/zinc/00/35/65/418003565.db2.gz LCPABNAHPORFTJ-UHFFFAOYSA-N 0 2 307.335 0.986 20 0 DCADLN O=C([O-])c1ccc(NCCCn2nc3n(c2=O)CCCC3)[nH+]c1 ZINC000647448627 418010305 /nfs/dbraw/zinc/01/03/05/418010305.db2.gz UBASKZLBHBEBIJ-UHFFFAOYSA-N 0 2 317.349 0.398 20 0 DCADLN CC[NH+]1CCN(C(=O)C(=O)Nc2cccc(C(=O)[O-])c2)CC1 ZINC000647478847 418013134 /nfs/dbraw/zinc/01/31/34/418013134.db2.gz POFPNCGSMCEJEV-UHFFFAOYSA-N 0 2 305.334 0.487 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC000647581179 418026778 /nfs/dbraw/zinc/02/67/78/418026778.db2.gz REPFQMUFLJYTAU-NSHDSACASA-N 0 2 322.365 0.101 20 0 DCADLN C[C@H](NC(=O)[C@H](C(=O)[O-])C(C)(C)C)[C@H](C)[NH+]1CCOCC1 ZINC000663113395 417992116 /nfs/dbraw/zinc/99/21/16/417992116.db2.gz CBXMKUSIYMNUPT-SDDRHHMPSA-N 0 2 300.399 0.959 20 0 DCADLN Cn1nnc2cc(NS(=O)(=O)c3cnc4n3CCC4)ccc21 ZINC000647135804 417992230 /nfs/dbraw/zinc/99/22/30/417992230.db2.gz GLSZSARKBWDCSA-UHFFFAOYSA-N 0 2 318.362 0.912 20 0 DCADLN CC(C)[C@@H](C(=O)[O-])C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000663135651 417995847 /nfs/dbraw/zinc/99/58/47/417995847.db2.gz AXGQFBRLADVCJY-CQSZACIVSA-N 0 2 312.410 0.914 20 0 DCADLN CCOc1nc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)co1 ZINC000648888001 418169968 /nfs/dbraw/zinc/16/99/68/418169968.db2.gz KBESKGKYCYQELG-UHFFFAOYSA-N 0 2 307.310 0.917 20 0 DCADLN CCc1c(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cnn1C ZINC000648893734 418170777 /nfs/dbraw/zinc/17/07/77/418170777.db2.gz WYPOBVFIQYSWNX-SECBINFHSA-N 0 2 304.354 0.826 20 0 DCADLN Cn1cnnc1N1CCN(C(=O)c2c(O)cc(F)cc2F)CC1 ZINC000655289104 418247345 /nfs/dbraw/zinc/24/73/45/418247345.db2.gz GOERRDBQLUXTTM-UHFFFAOYSA-N 0 2 323.303 0.761 20 0 DCADLN CO[C@@H]1C[C@H](CC(=O)[O-])N(c2cc(-n3cc[nH+]c3)ncn2)C1 ZINC000649406730 418250907 /nfs/dbraw/zinc/25/09/07/418250907.db2.gz DAVQXRXTAGNPHV-GHMZBOCLSA-N 0 2 303.322 0.731 20 0 DCADLN CC[C@@H](C[NH+]1CCCC1)NC(=O)N(C)[C@H]1CC[N@H+]2CCO[C@H]1C2 ZINC000655679821 418287903 /nfs/dbraw/zinc/28/79/03/418287903.db2.gz GZOLJYCIKMWTTB-JYJNAYRXSA-N 0 2 324.469 0.975 20 0 DCADLN CN(C)C(=O)Cn1cnc(=NC(=O)N2CCCC(F)(F)C2)[nH]1 ZINC000650054662 418290133 /nfs/dbraw/zinc/29/01/33/418290133.db2.gz KJDMCWDSKGGYKO-UHFFFAOYSA-N 0 2 316.312 0.051 20 0 DCADLN CC(C)[C@@H](CNC(=O)NCC1([NH+]2CCOCC2)CC1)C(=O)[O-] ZINC000655875497 418294978 /nfs/dbraw/zinc/29/49/78/418294978.db2.gz DWOPWVNFGUMWDE-GFCCVEGCSA-N 0 2 313.398 0.507 20 0 DCADLN Cc1cc[nH+]cc1N1CCN(C(=O)NC[C@H](C)C(=O)[O-])CC1 ZINC000655885441 418296596 /nfs/dbraw/zinc/29/65/96/418296596.db2.gz VIFNZMMAXRWWAH-LBPRGKRZSA-N 0 2 306.366 0.942 20 0 DCADLN CC[C@H](C(=O)[O-])[N@H+](C)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000650516067 418314090 /nfs/dbraw/zinc/31/40/90/418314090.db2.gz OGPBLDPWTAESOK-SNVBAGLBSA-N 0 2 311.363 0.804 20 0 DCADLN CC[C@H](C(=O)[O-])[N@@H+](C)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000650516067 418314091 /nfs/dbraw/zinc/31/40/91/418314091.db2.gz OGPBLDPWTAESOK-SNVBAGLBSA-N 0 2 311.363 0.804 20 0 DCADLN O=C(N=c1nc(-c2ccccc2)[nH]s1)[C@@H]1CCS(=O)(=O)N1 ZINC000651166363 418352376 /nfs/dbraw/zinc/35/23/76/418352376.db2.gz SXGWBBXEXCLJGV-VIFPVBQESA-N 0 2 324.387 0.257 20 0 DCADLN COc1nn(C)cc1NS(=O)(=O)C[C@@H](OC)[C@@H]1CCOC1 ZINC000656629197 418367003 /nfs/dbraw/zinc/36/70/03/418367003.db2.gz UCMQCPVEQQRXPT-MWLCHTKSSA-N 0 2 319.383 0.222 20 0 DCADLN COc1cncnc1NS(=O)(=O)C[C@@H](OC)[C@@H]1CCOC1 ZINC000656736540 418375469 /nfs/dbraw/zinc/37/54/69/418375469.db2.gz BZLRVAZVSQNESR-MWLCHTKSSA-N 0 2 317.367 0.278 20 0 DCADLN Cc1ccccc1N1CC[NH+]([C@H]2CCN(CC(=O)[O-])C2=O)CC1 ZINC000662207468 418388662 /nfs/dbraw/zinc/38/86/62/418388662.db2.gz YBASWQVQMJCEFN-HNNXBMFYSA-N 0 2 317.389 0.803 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@@H+]2CCO[C@H](C3CCCCC3)C2)C1=O ZINC000662210361 418388816 /nfs/dbraw/zinc/38/88/16/418388816.db2.gz FNCUCJDYCWZTDL-KBPBESRZSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])CN1CC[C@H]([N@H+]2CCO[C@H](C3CCCCC3)C2)C1=O ZINC000662210361 418388818 /nfs/dbraw/zinc/38/88/18/418388818.db2.gz FNCUCJDYCWZTDL-KBPBESRZSA-N 0 2 310.394 0.953 20 0 DCADLN O=c1[nH]nc([C@H]2CCCN(S(=O)(=O)c3ccccc3O)C2)[nH]1 ZINC000656992344 418399361 /nfs/dbraw/zinc/39/93/61/418399361.db2.gz BYVCLUFXKPRBRD-VIFPVBQESA-N 0 2 324.362 0.784 20 0 DCADLN NC(=O)c1ccc(S(=O)(=O)NC(=O)/C=C/C2CC2)s1 ZINC000491904547 287559895 /nfs/dbraw/zinc/55/98/95/287559895.db2.gz BYAQXMGYEGCIMN-HWKANZROSA-N 0 2 300.361 0.618 20 0 DCADLN COCCO[C@H]1CCCN(S(=O)(=O)NCC(F)(F)F)C1 ZINC000193893934 261143779 /nfs/dbraw/zinc/14/37/79/261143779.db2.gz SFYKLWUMTBBKAM-VIFPVBQESA-N 0 2 320.333 0.511 20 0 DCADLN O=S(=O)(Nc1ccccc1-n1cnnn1)c1cncc(F)c1 ZINC000355036514 261299236 /nfs/dbraw/zinc/29/92/36/261299236.db2.gz BDKHBJKBZHLYQP-UHFFFAOYSA-N 0 2 320.309 0.997 20 0 DCADLN Cc1cc(C(=O)NC[C@H](O)C(F)F)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000355158019 261313756 /nfs/dbraw/zinc/31/37/56/261313756.db2.gz SDWLICMZOSEMRD-LURJTMIESA-N 0 2 314.248 0.100 20 0 DCADLN O=C(NCCCc1n[nH]c(=O)[nH]1)c1csc(-c2nc[nH]n2)n1 ZINC000359527566 261753127 /nfs/dbraw/zinc/75/31/27/261753127.db2.gz SMAYYZNAFSCJNW-UHFFFAOYSA-N 0 2 320.338 0.115 20 0 DCADLN CCCc1nc(=NC(=O)C(=O)N2CC[NH+](CC)CC2)s[n-]1 ZINC000411157480 262165714 /nfs/dbraw/zinc/16/57/14/262165714.db2.gz RAUVXMVFZKMRAC-UHFFFAOYSA-N 0 2 311.411 0.015 20 0 DCADLN O=C(/C=C/c1cccnc1)NS(=O)(=O)c1cnc2n1CCC2 ZINC000492822322 272151488 /nfs/dbraw/zinc/15/14/88/272151488.db2.gz IIOVMOABWLNZIH-AATRIKPKSA-N 0 2 318.358 0.743 20 0 DCADLN CN(C)C(=O)[C@@H]1CCC[N@H+]1CCCNC(=O)C=Cc1c[nH]c[nH+]1 ZINC000493088074 272167554 /nfs/dbraw/zinc/16/75/54/272167554.db2.gz UCMJMCVUGDFMJV-AFNCTOJWSA-N 0 2 319.409 0.482 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)c1cn(C)nc1C ZINC000493317508 272180664 /nfs/dbraw/zinc/18/06/64/272180664.db2.gz GOPITPQXDGOSJA-AATRIKPKSA-N 0 2 323.378 0.463 20 0 DCADLN Cc1cnn(C)c1S(=O)(=O)NC(=O)/C=C/c1cnn(C)c1C ZINC000493372218 272184750 /nfs/dbraw/zinc/18/47/50/272184750.db2.gz RNMDHDBCLQKCMW-AATRIKPKSA-N 0 2 323.378 0.289 20 0 DCADLN O=C(C=Cc1ccncn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493727366 272206387 /nfs/dbraw/zinc/20/63/87/272206387.db2.gz QHIZFXZFSRDLKY-HMDXOVGESA-N 0 2 300.322 0.720 20 0 DCADLN COC(=O)C[C@@H](C)CC(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000518561134 272487827 /nfs/dbraw/zinc/48/78/27/272487827.db2.gz HCYHYQZUCBOFSY-UWVGGRQHSA-N 0 2 310.354 0.806 20 0 DCADLN CC1(c2nc(=NC(=O)c3cn([C@H]4CCOC4)nn3)s[nH]2)CC1 ZINC000529697142 272702180 /nfs/dbraw/zinc/70/21/80/272702180.db2.gz GREOTGPNHGQFAE-QMMMGPOBSA-N 0 2 320.378 0.817 20 0 DCADLN C[C@H](O)CN(CC(F)F)S(=O)(=O)NCC(F)(F)F ZINC000516492159 287666669 /nfs/dbraw/zinc/66/66/69/287666669.db2.gz SFYZJERAIXPKOQ-YFKPBYRVSA-N 0 2 300.249 0.331 20 0 DCADLN CCN(CCCO)C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[nH]1 ZINC000535279992 287831703 /nfs/dbraw/zinc/83/17/03/287831703.db2.gz JPUMUPOYFXEKCZ-UHFFFAOYSA-N 0 2 306.322 0.589 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCOCC(F)(F)C1 ZINC000367976798 278629574 /nfs/dbraw/zinc/62/95/74/278629574.db2.gz FKAXCZNGMJAQEE-UHFFFAOYSA-N 0 2 318.242 0.938 20 0 DCADLN CC1(C)CC[C@@H]1NS(=O)(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000286577955 281115999 /nfs/dbraw/zinc/11/59/99/281115999.db2.gz FNJKQAYNJPJQFI-VIFPVBQESA-N 0 2 324.362 0.903 20 0 DCADLN Cn1cc(NS(=O)(=O)C[C@@]23CC[C@@H](CC2=O)C3(C)C)nn1 ZINC000555204755 288345818 /nfs/dbraw/zinc/34/58/18/288345818.db2.gz YRDFDYVBSZPDKW-ZANVPECISA-N 0 2 312.395 0.952 20 0 DCADLN C[C@@H](O)[C@H]1CCN(C(=O)c2ccc(-c3nc(=O)o[nH]3)cc2)C1 ZINC000563931964 288715678 /nfs/dbraw/zinc/71/56/78/288715678.db2.gz LHYDFJOOPRJKQT-SKDRFNHKSA-N 0 2 303.318 0.873 20 0 DCADLN CN1C[C@H](NC(=O)C2=NN(c3ccccc3)CC2=O)CCC1=O ZINC000174111257 290309251 /nfs/dbraw/zinc/30/92/51/290309251.db2.gz TZSKIZFHHMXKQK-LLVKDONJSA-N 0 2 314.345 0.929 20 0 DCADLN COCc1nnc([C@@H]2CCCCN2C(=O)CCn2ccnn2)[nH]1 ZINC000329599029 293333788 /nfs/dbraw/zinc/33/37/88/293333788.db2.gz JPEMMLLEKAZXIZ-NSHDSACASA-N 0 2 319.369 0.687 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000271266820 297282764 /nfs/dbraw/zinc/28/27/64/297282764.db2.gz MEWUSVMIEXGXBK-APPZFPTMSA-N 0 2 304.306 0.733 20 0 DCADLN C[C@@H]1[C@@H](C)CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000173005666 297684575 /nfs/dbraw/zinc/68/45/75/297684575.db2.gz LPZDLMMACUYYIG-DTWKUNHWSA-N 0 2 302.334 0.872 20 0 DCADLN C[C@H]1CCSCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000190099053 300027381 /nfs/dbraw/zinc/02/73/81/300027381.db2.gz QBIHGBXEMMXYGL-QMMMGPOBSA-N 0 2 320.374 0.579 20 0 DCADLN C[C@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCC1=O ZINC000329390510 300206752 /nfs/dbraw/zinc/20/67/52/300206752.db2.gz SGKHKQSTKUNEIH-ZETCQYMHSA-N 0 2 302.290 0.487 20 0 DCADLN CCNc1nc(NC(C)(C)C)nc(N2CC[C@H](CO)[C@@H](O)C2)[nH+]1 ZINC000582878366 337214208 /nfs/dbraw/zinc/21/42/08/337214208.db2.gz RUBHYMXCYDHYER-MNOVXSKESA-N 0 2 324.429 0.115 20 0 DCADLN C[N@H+]1CCN(C(=O)NC[C@H]2CCOc3ccccc32)[C@H](C[NH3+])C1 ZINC000583311480 337313594 /nfs/dbraw/zinc/31/35/94/337313594.db2.gz IIYMOFVYFUUYPO-ZIAGYGMSSA-N 0 2 318.421 0.837 20 0 DCADLN Cc1ccc(-c2n[nH]c(=O)[nH]2)cc1NC(=O)[C@@H]1CC[C@H]1C(N)=O ZINC000584479107 337348150 /nfs/dbraw/zinc/34/81/50/337348150.db2.gz LZAUKIHPQYOFMV-NXEZZACHSA-N 0 2 315.333 0.936 20 0 DCADLN C[C@H](C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)c1cnn(C)c1 ZINC000580434311 337674717 /nfs/dbraw/zinc/67/47/17/337674717.db2.gz HCYLBGKSNQYXQB-UWVGGRQHSA-N 0 2 304.354 0.754 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2nnc(C)s2)cn1C ZINC000267683363 521794179 /nfs/dbraw/zinc/79/41/79/521794179.db2.gz QHIHQCOBIGOTPM-UHFFFAOYSA-N 0 2 315.380 0.345 20 0 DCADLN COC(=O)C1(C(=O)N(C)Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000127208805 522807916 /nfs/dbraw/zinc/80/79/16/522807916.db2.gz GKNCCRAKGVCKFP-UHFFFAOYSA-N 0 2 321.358 0.896 20 0 DCADLN COc1ccc(N2CC(=O)C(C(=O)NCC(=O)N(C)C)=N2)cc1 ZINC000267026995 523332779 /nfs/dbraw/zinc/33/27/79/523332779.db2.gz DDYHGHDAUWEVHL-UHFFFAOYSA-N 0 2 318.333 0.405 20 0 DCADLN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)NOCc1ccccc1 ZINC000368811754 523810907 /nfs/dbraw/zinc/81/09/07/523810907.db2.gz ZPIINNAZWUWYAK-HIFRSBDPSA-N 0 2 322.361 0.233 20 0 DCADLN Cc1cc(C(=O)N[C@H](C)[C@@H]2CCOC2)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000266902720 524142750 /nfs/dbraw/zinc/14/27/50/524142750.db2.gz LXHFJVOCYQKGFE-RKDXNWHRSA-N 0 2 318.333 0.899 20 0 DCADLN CS(=O)(=O)Nc1nnc(NC(=O)C[C@H]2CCCCO2)s1 ZINC000267985213 525229285 /nfs/dbraw/zinc/22/92/85/525229285.db2.gz XPBKFHZOZMJZKU-SSDOTTSWSA-N 0 2 320.396 0.807 20 0 DCADLN CSC[C@@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000157563320 525657515 /nfs/dbraw/zinc/65/75/15/525657515.db2.gz CBSFROFUPFVFKJ-VIFPVBQESA-N 0 2 320.374 0.579 20 0 DCADLN CSC[C@H]1CCCN1C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000157563462 525714166 /nfs/dbraw/zinc/71/41/66/525714166.db2.gz CBSFROFUPFVFKJ-SECBINFHSA-N 0 2 320.374 0.579 20 0 DCADLN Cn1c[nH+]cc1CNS(=O)(=O)c1cc(C(=O)[O-])cs1 ZINC000357152954 546186463 /nfs/dbraw/zinc/18/64/63/546186463.db2.gz UARBRNGOFFWJDH-UHFFFAOYSA-N 0 2 301.349 0.658 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)N=c1ccc(C(F)(F)F)n[n-]1 ZINC000518010683 546280794 /nfs/dbraw/zinc/28/07/94/546280794.db2.gz LTWIWXDZITWQJJ-UHFFFAOYSA-N 0 2 300.244 0.973 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCCC1)[C@@H]1CCCc2nn[nH]c21 ZINC000668872870 546866745 /nfs/dbraw/zinc/86/67/45/546866745.db2.gz XJIQZAADRRYJHU-MRVPVSSYSA-N 0 2 302.342 0.323 20 0 DCADLN O=C(NC1(c2nn[nH]n2)CCCC1)[C@@H]1CCCc2n[nH]nc21 ZINC000668872870 546866746 /nfs/dbraw/zinc/86/67/46/546866746.db2.gz XJIQZAADRRYJHU-MRVPVSSYSA-N 0 2 302.342 0.323 20 0 DCADLN C[C@H]1CC(=O)NCCN1C(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000669212289 546937546 /nfs/dbraw/zinc/93/75/46/546937546.db2.gz KEUBDFJNTWCRRT-VIFPVBQESA-N 0 2 316.317 0.381 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc2c(c1)C[NH2+]C2 ZINC000669461689 546973118 /nfs/dbraw/zinc/97/31/18/546973118.db2.gz QOOMRXQNRFWMTE-CYBMUJFWSA-N 0 2 318.421 0.810 20 0 DCADLN Cn1cnc2c1ncnc2N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000672279836 547339097 /nfs/dbraw/zinc/33/90/97/547339097.db2.gz CYEPNCYBLFBWOA-QMMMGPOBSA-N 0 2 300.326 0.571 20 0 DCADLN O=C([O-])[C@@H]1C[N@H+](CC(=O)N(Cc2ccccc2)C2CC2)CCO1 ZINC000676233751 547747964 /nfs/dbraw/zinc/74/79/64/547747964.db2.gz SKQACKLRGRZLNG-HNNXBMFYSA-N 0 2 318.373 0.963 20 0 DCADLN O=C([O-])[C@@H]1C[N@@H+](CC(=O)N(Cc2ccccc2)C2CC2)CCO1 ZINC000676233751 547747967 /nfs/dbraw/zinc/74/79/67/547747967.db2.gz SKQACKLRGRZLNG-HNNXBMFYSA-N 0 2 318.373 0.963 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2ccc3c(c2)CCC3)[nH]n1 ZINC000677645066 547894002 /nfs/dbraw/zinc/89/40/02/547894002.db2.gz WBVLSEMKDZCQHG-UHFFFAOYSA-N 0 2 306.347 0.798 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)NCC1(CO)CCC1 ZINC000680305847 548129766 /nfs/dbraw/zinc/12/97/66/548129766.db2.gz TVLTVQRIPMYHNN-UHFFFAOYSA-N 0 2 304.350 0.152 20 0 DCADLN O=C(NC[C@@H]1CC[C@@H](O)C1)c1ccc(-c2nc(=O)o[nH]2)cc1 ZINC000682825405 548428973 /nfs/dbraw/zinc/42/89/73/548428973.db2.gz BFQVKHBNFOGZCH-BXKDBHETSA-N 0 2 303.318 0.921 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000682833175 548430687 /nfs/dbraw/zinc/43/06/87/548430687.db2.gz XEZMYCSWAOFFGZ-UHFFFAOYSA-N 0 2 316.364 0.019 20 0 DCADLN O=c1c(-c2nn[nH]n2)cccn1CCCOCC(F)(F)F ZINC000738372136 598979999 /nfs/dbraw/zinc/97/99/99/598979999.db2.gz OKZPHXMIZJGFBR-UHFFFAOYSA-N 0 2 303.244 0.997 20 0 DCADLN Cc1nn(CCOC[C@H]2CCCO2)c(=O)c(-c2nn[nH]n2)c1C ZINC000822501086 599124229 /nfs/dbraw/zinc/12/42/29/599124229.db2.gz VCANZFKGCOMWTG-LLVKDONJSA-N 0 2 320.353 0.236 20 0 DCADLN Nc1cccc2c1C(=O)N(C[NH+]1CCC(C(=O)[O-])CC1)C2=O ZINC000740342105 596914234 /nfs/dbraw/zinc/91/42/34/596914234.db2.gz RGPNLGYBMDGROK-UHFFFAOYSA-N 0 2 303.318 0.619 20 0 DCADLN Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N[C@H](C)C(=O)[O-] ZINC000818999879 597276347 /nfs/dbraw/zinc/27/63/47/597276347.db2.gz BNQOQXXGWYTOJT-SNVBAGLBSA-N 0 2 308.338 0.821 20 0 DCADLN CC(C)(C)OC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(=O)[O-])C1 ZINC000819922087 597771166 /nfs/dbraw/zinc/77/11/66/597771166.db2.gz UYSVRERRXVVLJY-JTQLQIEISA-N 0 2 315.370 0.176 20 0 DCADLN CC(C)(C)OC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(=O)[O-])C1 ZINC000819922087 597771169 /nfs/dbraw/zinc/77/11/69/597771169.db2.gz UYSVRERRXVVLJY-JTQLQIEISA-N 0 2 315.370 0.176 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@H+](C)CC(=O)[O-])C1 ZINC000820364704 598042363 /nfs/dbraw/zinc/04/23/63/598042363.db2.gz SKAGKASSRGRAGA-GHMZBOCLSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@@H+](C)CC(=O)[O-])C1 ZINC000820364704 598042364 /nfs/dbraw/zinc/04/23/64/598042364.db2.gz SKAGKASSRGRAGA-GHMZBOCLSA-N 0 2 300.355 0.193 20 0 DCADLN Cc1ccn(CC(=O)N2CCCCC2)c(=O)c1-c1nn[nH]n1 ZINC000822370222 607348713 /nfs/dbraw/zinc/34/87/13/607348713.db2.gz TWBPGRJSGZHQKI-UHFFFAOYSA-N 0 2 302.338 0.349 20 0 DCADLN CC(C)[C@@H](CNc1nccnc1-c1nn[nH]n1)N1CCOCC1 ZINC000735712382 598340631 /nfs/dbraw/zinc/34/06/31/598340631.db2.gz TYQZXGQIGRUCAJ-LLVKDONJSA-N 0 2 318.385 0.425 20 0 DCADLN C[N@@H+]1CCO[C@H](CNC(=O)Nc2ccc(CC(=O)[O-])cc2)C1 ZINC000317690230 599833234 /nfs/dbraw/zinc/83/32/34/599833234.db2.gz JFFIBYRPDBVWAG-CYBMUJFWSA-N 0 2 307.350 0.766 20 0 DCADLN C[N@H+]1CCO[C@H](CNC(=O)Nc2ccc(CC(=O)[O-])cc2)C1 ZINC000317690230 599833235 /nfs/dbraw/zinc/83/32/35/599833235.db2.gz JFFIBYRPDBVWAG-CYBMUJFWSA-N 0 2 307.350 0.766 20 0 DCADLN COc1ccc([C@@H]([NH2+]CC(=O)NC(=O)NC2CC2)C(=O)[O-])cc1 ZINC000737968771 599862723 /nfs/dbraw/zinc/86/27/23/599862723.db2.gz DVAPIDNGYCZCEM-CYBMUJFWSA-N 0 2 321.333 0.399 20 0 DCADLN CN(CC(=O)[O-])CC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000262677997 599998064 /nfs/dbraw/zinc/99/80/64/599998064.db2.gz UYZSHKAWPJJYHA-UHFFFAOYSA-N 0 2 321.377 0.474 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)NC1CCC(C(=O)[O-])CC1 ZINC000315054214 600015651 /nfs/dbraw/zinc/01/56/51/600015651.db2.gz YTJURCDYHQJOGT-PNESKVBLSA-N 0 2 313.398 0.650 20 0 DCADLN Cc1ccccc1N1CC[NH+](CC(=O)NCCC(=O)[O-])CC1 ZINC000738778641 600047295 /nfs/dbraw/zinc/04/72/95/600047295.db2.gz QRZSOGOYCWKKIW-UHFFFAOYSA-N 0 2 305.378 0.708 20 0 DCADLN Cn1c[nH+]c2c1CCN(Cc1noc(CCCC(=O)[O-])n1)C2 ZINC000739047914 600303945 /nfs/dbraw/zinc/30/39/45/600303945.db2.gz IULCGPORQYBOFR-UHFFFAOYSA-N 0 2 305.338 0.769 20 0 DCADLN CC[N@@H+](CCC(=O)[O-])Cn1nc(CCC(N)=O)n(C)c1=S ZINC000737097373 600425932 /nfs/dbraw/zinc/42/59/32/600425932.db2.gz YPSVQVFJTJWYKD-UHFFFAOYSA-N 0 2 315.399 0.123 20 0 DCADLN CC[N@H+](CCC(=O)[O-])Cn1nc(CCC(N)=O)n(C)c1=S ZINC000737097373 600425929 /nfs/dbraw/zinc/42/59/29/600425929.db2.gz YPSVQVFJTJWYKD-UHFFFAOYSA-N 0 2 315.399 0.123 20 0 DCADLN Cn1cc(N2CC[C@H]([NH2+][C@H](C(=O)[O-])c3ccccc3)C2=O)cn1 ZINC000738411735 600440370 /nfs/dbraw/zinc/44/03/70/600440370.db2.gz SPKQIEULXKNNIB-KBPBESRZSA-N 0 2 314.345 0.941 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736880002 600547006 /nfs/dbraw/zinc/54/70/06/600547006.db2.gz SREXETWKPMTGKK-BXUZGUMPSA-N 0 2 313.398 0.156 20 0 DCADLN CC[C@@H](C)[C@@H](NC(=O)C[N@@H+](C)CCN1CCCC1=O)C(=O)[O-] ZINC000736880002 600547007 /nfs/dbraw/zinc/54/70/07/600547007.db2.gz SREXETWKPMTGKK-BXUZGUMPSA-N 0 2 313.398 0.156 20 0 DCADLN COCC[N@H+](CCC(=O)[O-])Cc1nnnn1-c1ccccc1 ZINC000737795374 600641614 /nfs/dbraw/zinc/64/16/14/600641614.db2.gz CROCBVBKYJGDJN-UHFFFAOYSA-N 0 2 305.338 0.585 20 0 DCADLN COCC[N@@H+](CCC(=O)[O-])Cc1nnnn1-c1ccccc1 ZINC000737795374 600641615 /nfs/dbraw/zinc/64/16/15/600641615.db2.gz CROCBVBKYJGDJN-UHFFFAOYSA-N 0 2 305.338 0.585 20 0 DCADLN O=C([O-])c1cc(S(=O)(=O)N2CC[C@H]([NH+]3CC=CC3)C2)c[nH]1 ZINC000833301381 600772398 /nfs/dbraw/zinc/77/23/98/600772398.db2.gz VIUGESPSWCSRJG-JTQLQIEISA-N 0 2 311.363 0.348 20 0 DCADLN CC[N@@H+]1CCO[C@H](C(=O)N(C)c2nc(CC(=O)[O-])cs2)C1 ZINC000829780390 600953986 /nfs/dbraw/zinc/95/39/86/600953986.db2.gz GJGDXJUONYGZDH-JTQLQIEISA-N 0 2 313.379 0.454 20 0 DCADLN CC[N@H+]1CCO[C@H](C(=O)N(C)c2nc(CC(=O)[O-])cs2)C1 ZINC000829780390 600953989 /nfs/dbraw/zinc/95/39/89/600953989.db2.gz GJGDXJUONYGZDH-JTQLQIEISA-N 0 2 313.379 0.454 20 0 DCADLN C[C@@H]1CN(C(=O)c2ccc(C(=O)[O-])cn2)C[C@H]1[NH+]1CCOCC1 ZINC000736805158 601109281 /nfs/dbraw/zinc/10/92/81/601109281.db2.gz APBKJTQKHRVHHK-BXUZGUMPSA-N 0 2 319.361 0.573 20 0 DCADLN C[C@@H]1C[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C[C@@H]1C(=O)[O-] ZINC000828441326 601509546 /nfs/dbraw/zinc/50/95/46/601509546.db2.gz PBTGQDGXYFVQAB-ZJUUUORDSA-N 0 2 323.374 0.661 20 0 DCADLN C[C@@H]1C[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C[C@@H]1C(=O)[O-] ZINC000828441326 601509547 /nfs/dbraw/zinc/50/95/47/601509547.db2.gz PBTGQDGXYFVQAB-ZJUUUORDSA-N 0 2 323.374 0.661 20 0 DCADLN O=C([O-])CCCS(=O)(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000833228268 601585327 /nfs/dbraw/zinc/58/53/27/601585327.db2.gz JVBNLGIULKELPP-SNVBAGLBSA-N 0 2 301.368 0.784 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000736416773 601854886 /nfs/dbraw/zinc/85/48/86/601854886.db2.gz QXFLFLBYTDZALS-NWDGAFQWSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000736416773 601854888 /nfs/dbraw/zinc/85/48/88/601854888.db2.gz QXFLFLBYTDZALS-NWDGAFQWSA-N 0 2 301.387 0.506 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCSC[C@@H]1CCO)C(=O)[O-] ZINC000828719335 601875171 /nfs/dbraw/zinc/87/51/71/601875171.db2.gz QRYLODSBJOTSGL-GMXVVIOVSA-N 0 2 318.439 0.402 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCSC[C@@H]1CCO)C(=O)[O-] ZINC000828719335 601875173 /nfs/dbraw/zinc/87/51/73/601875173.db2.gz QRYLODSBJOTSGL-GMXVVIOVSA-N 0 2 318.439 0.402 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)CCNC(=O)[O-])nc[nH+]1 ZINC000828593723 603092527 /nfs/dbraw/zinc/09/25/27/603092527.db2.gz HKTITFJILNIRRO-JTQLQIEISA-N 0 2 322.369 0.261 20 0 DCADLN CNc1cc(N2CCC[C@H]2CNC(=O)CCNC(=O)[O-])[nH+]cn1 ZINC000828593723 603092531 /nfs/dbraw/zinc/09/25/31/603092531.db2.gz HKTITFJILNIRRO-JTQLQIEISA-N 0 2 322.369 0.261 20 0 DCADLN Cc1nc2[nH]ccc2c(NCC(=O)N2CCN(C(=O)[O-])CC2)[nH+]1 ZINC000830775391 603502697 /nfs/dbraw/zinc/50/26/97/603502697.db2.gz ODIWYQNCGCENOT-UHFFFAOYSA-N 0 2 318.337 0.500 20 0 DCADLN C[N@@H+]1CCN(C(=O)CCOc2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828500579 603507553 /nfs/dbraw/zinc/50/75/53/603507553.db2.gz LYNRMYSZWHCCQK-CYBMUJFWSA-N 0 2 321.377 0.866 20 0 DCADLN C[N@H+]1CCN(C(=O)CCOc2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828500579 603507558 /nfs/dbraw/zinc/50/75/58/603507558.db2.gz LYNRMYSZWHCCQK-CYBMUJFWSA-N 0 2 321.377 0.866 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cnn(C(C)(C)C)c2)[C@@H](CNC(=O)[O-])C1 ZINC000828513805 603508098 /nfs/dbraw/zinc/50/80/98/603508098.db2.gz QDZYZCGLDKHEAQ-LBPRGKRZSA-N 0 2 323.397 0.662 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cnn(C(C)(C)C)c2)[C@@H](CNC(=O)[O-])C1 ZINC000828513805 603508103 /nfs/dbraw/zinc/50/81/03/603508103.db2.gz QDZYZCGLDKHEAQ-LBPRGKRZSA-N 0 2 323.397 0.662 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@H+](C)C[C@@H]2CNC(=O)[O-])[nH]n1 ZINC000824453681 603516916 /nfs/dbraw/zinc/51/69/16/603516916.db2.gz SHLAWZTWJZNWGD-JTQLQIEISA-N 0 2 309.370 0.557 20 0 DCADLN CC(C)c1cc(C(=O)N2CC[N@@H+](C)C[C@@H]2CNC(=O)[O-])[nH]n1 ZINC000824453681 603516919 /nfs/dbraw/zinc/51/69/19/603516919.db2.gz SHLAWZTWJZNWGD-JTQLQIEISA-N 0 2 309.370 0.557 20 0 DCADLN C[N@H+](Cc1cc(=O)n2[nH]ccc2n1)CC(C)(C)CNC(=O)[O-] ZINC000828345874 603629562 /nfs/dbraw/zinc/62/95/62/603629562.db2.gz LMMFCYDZADZSFM-UHFFFAOYSA-N 0 2 307.354 0.748 20 0 DCADLN C[N@@H+](Cc1cc(=O)n2[nH]ccc2n1)CC(C)(C)CNC(=O)[O-] ZINC000828345874 603629565 /nfs/dbraw/zinc/62/95/65/603629565.db2.gz LMMFCYDZADZSFM-UHFFFAOYSA-N 0 2 307.354 0.748 20 0 DCADLN O=C([O-])N1CC[C@@](F)(C(=O)N2CC(Oc3cc[nH+]cc3)C2)C1 ZINC000831889170 603877169 /nfs/dbraw/zinc/87/71/69/603877169.db2.gz SQCWNWXQMSZJKY-AWEZNQCLSA-N 0 2 309.297 0.763 20 0 DCADLN CN(CC[NH+]1CCN(C(=O)[O-])CC1)C(=O)C1CC(F)(F)C1 ZINC000828313439 603919446 /nfs/dbraw/zinc/91/94/46/603919446.db2.gz ZCCNYWPSCVOUKK-UHFFFAOYSA-N 0 2 305.325 0.786 20 0 DCADLN CNC(=O)Cc1nc(C[NH+]2CCC(NC(=O)[O-])CC2)cs1 ZINC000828547355 603920012 /nfs/dbraw/zinc/92/00/12/603920012.db2.gz OYRVKEDCPHOGCQ-UHFFFAOYSA-N 0 2 312.395 0.664 20 0 DCADLN Cc1cn2cc(NC(=O)COC3CN(C(=O)[O-])C3)ccc2[nH+]1 ZINC000830620682 603995708 /nfs/dbraw/zinc/99/57/08/603995708.db2.gz PNPDYCLBYXMMGC-UHFFFAOYSA-N 0 2 304.306 0.960 20 0 DCADLN C[C@@H](CN(C)C(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000824855546 604062262 /nfs/dbraw/zinc/06/22/62/604062262.db2.gz RTDVDYJLQISTDB-NSHDSACASA-N 0 2 301.387 0.459 20 0 DCADLN C[C@H](NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)[C@H]1CCOC1 ZINC000825165984 604075202 /nfs/dbraw/zinc/07/52/02/604075202.db2.gz LYNCNQHVGGKEDY-RYUDHWBXSA-N 0 2 314.386 0.006 20 0 DCADLN COC[C@@H](NC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1)C(C)C ZINC000828913294 604077021 /nfs/dbraw/zinc/07/70/21/604077021.db2.gz PVUPHVWCEHVDNH-GFCCVEGCSA-N 0 2 316.402 0.252 20 0 DCADLN C[C@@H]1COCC[N@@H+]1CCNC(=O)NCC(C)(C)NC(=O)[O-] ZINC000826094475 604112688 /nfs/dbraw/zinc/11/26/88/604112688.db2.gz YXXLFAWKXIKPNR-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN C[C@@H]1COCC[N@H+]1CCNC(=O)NCC(C)(C)NC(=O)[O-] ZINC000826094475 604112691 /nfs/dbraw/zinc/11/26/91/604112691.db2.gz YXXLFAWKXIKPNR-SNVBAGLBSA-N 0 2 302.375 0.053 20 0 DCADLN C[C@H](CNC(=O)CC1(NC(=O)[O-])CCC1)[NH+]1CCN(C)CC1 ZINC000824918715 604170366 /nfs/dbraw/zinc/17/03/66/604170366.db2.gz ZMIATCDXJZGNMT-GFCCVEGCSA-N 0 2 312.414 0.319 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CCC[N@H+](Cc2nc(N)nc(N(C)C)n2)C1 ZINC000825273985 604250342 /nfs/dbraw/zinc/25/03/42/604250342.db2.gz RNWQELMZIONRNM-VHSXEESVSA-N 0 2 323.401 0.388 20 0 DCADLN C[C@H](NC(=O)[O-])[C@@H]1CCC[N@@H+](Cc2nc(N)nc(N(C)C)n2)C1 ZINC000825273985 604250343 /nfs/dbraw/zinc/25/03/43/604250343.db2.gz RNWQELMZIONRNM-VHSXEESVSA-N 0 2 323.401 0.388 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000831726245 604306386 /nfs/dbraw/zinc/30/63/86/604306386.db2.gz ZNHWEPWXIFQMKW-NSHDSACASA-N 0 2 304.306 0.329 20 0 DCADLN CCCCC[C@@H](O)CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826819906 604402620 /nfs/dbraw/zinc/40/26/20/604402620.db2.gz HTEWLCSDUTWALK-QWHCGFSZSA-N 0 2 315.414 0.728 20 0 DCADLN CCCCC[C@@H](O)CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)[O-] ZINC000826819906 604402622 /nfs/dbraw/zinc/40/26/22/604402622.db2.gz HTEWLCSDUTWALK-QWHCGFSZSA-N 0 2 315.414 0.728 20 0 DCADLN C[N@@H+]1CCN(C(=O)CC2CCSCC2)[C@@H](CNC(=O)[O-])C1 ZINC000828496434 604410393 /nfs/dbraw/zinc/41/03/93/604410393.db2.gz VYKOFVUMOQIFKC-LBPRGKRZSA-N 0 2 315.439 0.930 20 0 DCADLN C[N@H+]1CCN(C(=O)CC2CCSCC2)[C@@H](CNC(=O)[O-])C1 ZINC000828496434 604410397 /nfs/dbraw/zinc/41/03/97/604410397.db2.gz VYKOFVUMOQIFKC-LBPRGKRZSA-N 0 2 315.439 0.930 20 0 DCADLN CC(C)(C)n1cc(-c2nn[nH]n2)c(NS(=O)(=O)C2CC2)n1 ZINC000824148433 607692520 /nfs/dbraw/zinc/69/25/20/607692520.db2.gz UWOWPZGDYIQYDX-UHFFFAOYSA-N 0 2 311.371 0.722 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C)C1 ZINC000826001296 604758505 /nfs/dbraw/zinc/75/85/05/604758505.db2.gz VLBNAPKERAKIMH-GHMZBOCLSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C)C1 ZINC000826001296 604758508 /nfs/dbraw/zinc/75/85/08/604758508.db2.gz VLBNAPKERAKIMH-GHMZBOCLSA-N 0 2 313.398 0.202 20 0 DCADLN C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+](C)[C@H]1CCNC1=O ZINC000833404187 604788020 /nfs/dbraw/zinc/78/80/20/604788020.db2.gz IVJLOOLPHWMOGQ-MFKMUULPSA-N 0 2 319.361 0.210 20 0 DCADLN C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@@H+](C)[C@H]1CCNC1=O ZINC000833404187 604788024 /nfs/dbraw/zinc/78/80/24/604788024.db2.gz IVJLOOLPHWMOGQ-MFKMUULPSA-N 0 2 319.361 0.210 20 0 DCADLN C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000761330038 604800513 /nfs/dbraw/zinc/80/05/13/604800513.db2.gz HTHVENDTBSVIDU-DTWKUNHWSA-N 0 2 301.368 0.426 20 0 DCADLN C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000761330038 604800516 /nfs/dbraw/zinc/80/05/16/604800516.db2.gz HTHVENDTBSVIDU-DTWKUNHWSA-N 0 2 301.368 0.426 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1COc2ccccc2C1 ZINC000320656641 604895112 /nfs/dbraw/zinc/89/51/12/604895112.db2.gz KXQYHMVCLYNFTN-YPMHNXCESA-N 0 2 315.329 0.773 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1COc2ccccc2C1 ZINC000320656641 604895115 /nfs/dbraw/zinc/89/51/15/604895115.db2.gz KXQYHMVCLYNFTN-YPMHNXCESA-N 0 2 315.329 0.773 20 0 DCADLN O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000831725660 604929686 /nfs/dbraw/zinc/92/96/86/604929686.db2.gz GOXRVQFQJCOYPC-QWRGUYRKSA-N 0 2 304.306 0.064 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+]1CCc2n[nH]cc2C1 ZINC000833405326 605015464 /nfs/dbraw/zinc/01/54/64/605015464.db2.gz ZEBKRYVHYMUACE-JTQLQIEISA-N 0 2 306.366 0.480 20 0 DCADLN C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@H+]1CCc2n[nH]cc2C1 ZINC000833405326 605015467 /nfs/dbraw/zinc/01/54/67/605015467.db2.gz ZEBKRYVHYMUACE-JTQLQIEISA-N 0 2 306.366 0.480 20 0 DCADLN CC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2CC(=O)[O-])CCO1 ZINC000833397323 605069475 /nfs/dbraw/zinc/06/94/75/605069475.db2.gz MFIGPGWIFQLLMB-OAHLLOKOSA-N 0 2 306.362 0.651 20 0 DCADLN CC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2CC(=O)[O-])CCO1 ZINC000833397323 605069479 /nfs/dbraw/zinc/06/94/79/605069479.db2.gz MFIGPGWIFQLLMB-OAHLLOKOSA-N 0 2 306.362 0.651 20 0 DCADLN CC(C)(C)n1ncc2c1nc[nH+]c2N1CCO[C@H](C(=O)[O-])C1 ZINC000819940419 605155491 /nfs/dbraw/zinc/15/54/91/605155491.db2.gz BIQICINEUWDMQM-JTQLQIEISA-N 0 2 305.338 0.871 20 0 DCADLN C[C@@H](NC(=O)c1ccc(CNC(=O)[O-])o1)[C@@H]1C[N@H+](C)CCO1 ZINC000825457748 605201046 /nfs/dbraw/zinc/20/10/46/605201046.db2.gz AUJDXLKVATZCOU-SKDRFNHKSA-N 0 2 311.338 0.496 20 0 DCADLN C[C@@H](NC(=O)c1ccc(CNC(=O)[O-])o1)[C@@H]1C[N@@H+](C)CCO1 ZINC000825457748 605201048 /nfs/dbraw/zinc/20/10/48/605201048.db2.gz AUJDXLKVATZCOU-SKDRFNHKSA-N 0 2 311.338 0.496 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCCc2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828505755 605452323 /nfs/dbraw/zinc/45/23/23/605452323.db2.gz AVGJJZAKNSPEND-CQSZACIVSA-N 0 2 320.393 0.822 20 0 DCADLN C[N@H+]1CCN(C(=O)NCCc2ccccc2)[C@H](CNC(=O)[O-])C1 ZINC000828505755 605452328 /nfs/dbraw/zinc/45/23/28/605452328.db2.gz AVGJJZAKNSPEND-CQSZACIVSA-N 0 2 320.393 0.822 20 0 DCADLN Cc1cnc(NC(=O)N2CC[N@@H+](C)C[C@H]2CNC(=O)[O-])s1 ZINC000830634086 605453854 /nfs/dbraw/zinc/45/38/54/605453854.db2.gz JIMSWQSKKCOREG-SECBINFHSA-N 0 2 313.383 0.867 20 0 DCADLN Cc1cnc(NC(=O)N2CC[N@H+](C)C[C@H]2CNC(=O)[O-])s1 ZINC000830634086 605453856 /nfs/dbraw/zinc/45/38/56/605453856.db2.gz JIMSWQSKKCOREG-SECBINFHSA-N 0 2 313.383 0.867 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCN(C(=O)[O-])CC1(C)C ZINC000827248448 605471270 /nfs/dbraw/zinc/47/12/70/605471270.db2.gz AXWKVYZKRJVBOA-VIFPVBQESA-N 0 2 300.359 0.295 20 0 DCADLN CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCN(C(=O)[O-])CC1(C)C ZINC000827248448 605471276 /nfs/dbraw/zinc/47/12/76/605471276.db2.gz AXWKVYZKRJVBOA-VIFPVBQESA-N 0 2 300.359 0.295 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)O[C@@H](C)C[NH+]1CCOCC1 ZINC000833485069 605488522 /nfs/dbraw/zinc/48/85/22/605488522.db2.gz YAHZJPALKANJRU-RYUDHWBXSA-N 0 2 302.371 0.933 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)NC(C)(C)CNC(=O)[O-] ZINC000824909339 605562246 /nfs/dbraw/zinc/56/22/46/605562246.db2.gz ZOYIEHYJNKLUAU-JTQLQIEISA-N 0 2 302.375 0.053 20 0 DCADLN O=C(C[C@@H]1CSCCN1C(=O)[O-])NCCCn1cc[nH+]c1 ZINC000831409289 605594104 /nfs/dbraw/zinc/59/41/04/605594104.db2.gz SJINTDOAVYSYKX-LLVKDONJSA-N 0 2 312.395 0.875 20 0 DCADLN O=C([O-])N1CCOC[C@@H]1c1noc(CCCn2cc[nH+]c2)n1 ZINC000834120816 605715677 /nfs/dbraw/zinc/71/56/77/605715677.db2.gz YAYNWNVCMDGQNZ-SNVBAGLBSA-N 0 2 307.310 0.950 20 0 DCADLN O=C([O-])N1CC(CNc2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000834069322 605731230 /nfs/dbraw/zinc/73/12/30/605731230.db2.gz VRVRAGXFLOGMIR-NSHDSACASA-N 0 2 307.354 0.459 20 0 DCADLN O=C([O-])N1CC(CNc2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000834069322 605731233 /nfs/dbraw/zinc/73/12/33/605731233.db2.gz VRVRAGXFLOGMIR-NSHDSACASA-N 0 2 307.354 0.459 20 0 DCADLN O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000834209625 605844538 /nfs/dbraw/zinc/84/45/38/605844538.db2.gz DHWWHGITHVTRKF-GVXVVHGQSA-N 0 2 306.366 0.998 20 0 DCADLN O=C([O-])N[C@@H](CC(F)F)C(=O)N[C@@H]1CCc2[nH+]ccn2C1 ZINC000834129673 606025168 /nfs/dbraw/zinc/02/51/68/606025168.db2.gz BYOFUUJHAKBMTL-SFYZADRCSA-N 0 2 302.281 0.606 20 0 DCADLN C[C@H]1[C@H](C)[N@H+](CCn2nc3ccccn3c2=O)CCN1C(=O)[O-] ZINC000833826906 606040942 /nfs/dbraw/zinc/04/09/42/606040942.db2.gz IYNGYTJQIQTKNA-RYUDHWBXSA-N 0 2 319.365 0.569 20 0 DCADLN C[C@H]1[C@H](C)[N@@H+](CCn2nc3ccccn3c2=O)CCN1C(=O)[O-] ZINC000833826906 606040947 /nfs/dbraw/zinc/04/09/47/606040947.db2.gz IYNGYTJQIQTKNA-RYUDHWBXSA-N 0 2 319.365 0.569 20 0 DCADLN Cc1nc(CCNc2ccc(Cl)c(-c3nn[nH]n3)n2)n[nH]1 ZINC000822440293 606199068 /nfs/dbraw/zinc/19/90/68/606199068.db2.gz LGQRVEGKICRROD-UHFFFAOYSA-N 0 2 305.733 0.996 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NCCC2=CCCC2)n(C)c1=O ZINC000826355455 607809587 /nfs/dbraw/zinc/80/95/87/607809587.db2.gz AHBATGSVNWUETM-UHFFFAOYSA-N 0 2 317.353 0.176 20 0 DCADLN CCc1ccc(Cn2c(=O)c(-c3nn[nH]n3)cn(C)c2=O)cc1 ZINC000825712948 608096213 /nfs/dbraw/zinc/09/62/13/608096213.db2.gz XQXHECVVHWQFCW-UHFFFAOYSA-N 0 2 312.333 0.338 20 0 DCADLN CN(C(=O)c1nc[nH]n1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646606 665406256 /nfs/dbraw/zinc/40/62/56/665406256.db2.gz IKSCWXRQCSVXBH-RNFRBKRXSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)c1nc[nH]n1)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938646606 665406258 /nfs/dbraw/zinc/40/62/58/665406258.db2.gz IKSCWXRQCSVXBH-RNFRBKRXSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)c1cn[nH]n1)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938647297 665406260 /nfs/dbraw/zinc/40/62/60/665406260.db2.gz UXLALTSZWGSRHK-XPUUQOCRSA-N 0 2 323.250 0.378 20 0 DCADLN CN(C(=O)c1cn[nH]n1)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000938647297 665406262 /nfs/dbraw/zinc/40/62/62/665406262.db2.gz UXLALTSZWGSRHK-XPUUQOCRSA-N 0 2 323.250 0.378 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)c1ccncc1F ZINC001027802741 660754324 /nfs/dbraw/zinc/75/43/24/660754324.db2.gz SUNOVQKTAPVWDW-SECBINFHSA-N 0 2 320.328 0.439 20 0 DCADLN Cc1ncoc1C(=O)NC[C@@H]1CCCN1Cc1n[nH]c(=O)[nH]1 ZINC001027809062 660763681 /nfs/dbraw/zinc/76/36/81/660763681.db2.gz PRBBKHFBCJHFGA-VIFPVBQESA-N 0 2 306.326 0.201 20 0 DCADLN Cc1cncc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001027807188 660761511 /nfs/dbraw/zinc/76/15/11/660761511.db2.gz OZTCLYCEBDIJGC-LBPRGKRZSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1cncc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)c1 ZINC001027807188 660761512 /nfs/dbraw/zinc/76/15/12/660761512.db2.gz OZTCLYCEBDIJGC-LBPRGKRZSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(NC[C@@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOCC1 ZINC001027852743 660842834 /nfs/dbraw/zinc/84/28/34/660842834.db2.gz NDXHPTFGWONLLM-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOCC1 ZINC001027852743 660842837 /nfs/dbraw/zinc/84/28/37/660842837.db2.gz NDXHPTFGWONLLM-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)c1cc(F)c[nH]1 ZINC001028036693 661092205 /nfs/dbraw/zinc/09/22/05/661092205.db2.gz WGTJXIJPVIBBMD-SECBINFHSA-N 0 2 308.317 0.372 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)c1cc(F)c[nH]1 ZINC001028036693 661092206 /nfs/dbraw/zinc/09/22/06/661092206.db2.gz WGTJXIJPVIBBMD-SECBINFHSA-N 0 2 308.317 0.372 20 0 DCADLN Cn1ncnc1CN1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981209677 661103465 /nfs/dbraw/zinc/10/34/65/661103465.db2.gz JATVDUVMORYYSK-SNVBAGLBSA-N 0 2 323.294 0.750 20 0 DCADLN Cn1ncnc1CN1CCCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC000981209677 661103467 /nfs/dbraw/zinc/10/34/67/661103467.db2.gz JATVDUVMORYYSK-SNVBAGLBSA-N 0 2 323.294 0.750 20 0 DCADLN O=C(C1C=CC=CC=C1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981259022 661118636 /nfs/dbraw/zinc/11/86/36/661118636.db2.gz LHMPJUOQWJRDFA-UHFFFAOYSA-N 0 2 315.377 0.843 20 0 DCADLN CSCC(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981609500 661179863 /nfs/dbraw/zinc/17/98/63/661179863.db2.gz IQXBZLNKOOAWRU-UHFFFAOYSA-N 0 2 311.411 0.298 20 0 DCADLN CSCC(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981609500 661179866 /nfs/dbraw/zinc/17/98/66/661179866.db2.gz IQXBZLNKOOAWRU-UHFFFAOYSA-N 0 2 311.411 0.298 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N1CC2(C1)CCC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981600549 661180006 /nfs/dbraw/zinc/18/00/06/661180006.db2.gz SWZIYNUDLRWJHB-GHMZBOCLSA-N 0 2 305.382 0.591 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)N1CC2(C1)CCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC000981600549 661180009 /nfs/dbraw/zinc/18/00/09/661180009.db2.gz SWZIYNUDLRWJHB-GHMZBOCLSA-N 0 2 305.382 0.591 20 0 DCADLN O=C(c1csc(=O)[nH]1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000981794200 661218532 /nfs/dbraw/zinc/21/85/32/661218532.db2.gz HZUNSISCIGBORK-UHFFFAOYSA-N 0 2 324.366 0.021 20 0 DCADLN O=C(N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1)[C@@]12C[C@@H]1CCCC2 ZINC001032615478 665425662 /nfs/dbraw/zinc/42/56/62/665425662.db2.gz VLXDUTVUTODYEM-YWDSYVAPSA-N 0 2 317.393 0.876 20 0 DCADLN CCc1nocc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032612368 665421371 /nfs/dbraw/zinc/42/13/71/665421371.db2.gz HCGGNJHPPLKEOC-IUCAKERBSA-N 0 2 318.337 0.160 20 0 DCADLN C[C@H](NC(=O)c1ccc(Cl)[nH]1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000970389457 657466504 /nfs/dbraw/zinc/46/65/04/657466504.db2.gz GJVAVOIDOKWXHL-ZETCQYMHSA-N 0 2 324.772 0.742 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000970447831 657526445 /nfs/dbraw/zinc/52/64/45/657526445.db2.gz FPWBEAMJUJLBPE-CSMHCCOUSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)(C)C(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC000970447831 657526454 /nfs/dbraw/zinc/52/64/54/657526454.db2.gz FPWBEAMJUJLBPE-CSMHCCOUSA-N 0 2 314.279 0.621 20 0 DCADLN CCOCCS(=O)(=O)[N-]C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000181149712 665492952 /nfs/dbraw/zinc/49/29/52/665492952.db2.gz MBGSNHRVMZPZCQ-UHFFFAOYSA-N 0 2 323.374 0.968 20 0 DCADLN C[C@H](NC(=O)c1ccc(F)cc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969213047 657580268 /nfs/dbraw/zinc/58/02/68/657580268.db2.gz MZRRZVYRIYIULR-VIFPVBQESA-N 0 2 319.340 0.900 20 0 DCADLN COC1(C(F)(F)F)CN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000889075779 657604003 /nfs/dbraw/zinc/60/40/03/657604003.db2.gz ZQPRCWZYIWEJHI-RXMQYKEDSA-N 0 2 311.285 0.333 20 0 DCADLN Cc1ccncc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038138718 657643838 /nfs/dbraw/zinc/64/38/38/657643838.db2.gz VVBWMWWDEUJEEL-SNVBAGLBSA-N 0 2 302.338 0.218 20 0 DCADLN COC(=O)CCN(CC(C)C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000742561007 667793808 /nfs/dbraw/zinc/79/38/08/667793808.db2.gz NPOJEYSLURUAEG-VIFPVBQESA-N 0 2 315.395 0.591 20 0 DCADLN C[C@@H](NC(=O)c1ccc(F)nc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969409862 657823797 /nfs/dbraw/zinc/82/37/97/657823797.db2.gz IJBUPXCLNQDNGL-MRVPVSSYSA-N 0 2 320.328 0.295 20 0 DCADLN CCc1[nH]ccc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038228205 657851605 /nfs/dbraw/zinc/85/16/05/657851605.db2.gz WGCTTZLNTLJIEP-SECBINFHSA-N 0 2 304.354 0.405 20 0 DCADLN C[C@H](NC(=O)C1CC(F)(F)C1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969468906 657916242 /nfs/dbraw/zinc/91/62/42/657916242.db2.gz CUJIZASXLMQVIS-ZETCQYMHSA-N 0 2 315.324 0.492 20 0 DCADLN CCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)c3n[nH]cc3F)C2)no1 ZINC001020556944 657997152 /nfs/dbraw/zinc/99/71/52/657997152.db2.gz CNJFCTCDHUNSJW-ZKCHVHJHSA-N 0 2 308.317 0.545 20 0 DCADLN Cc1sccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038236070 658198576 /nfs/dbraw/zinc/19/85/76/658198576.db2.gz RBBPHFDWUFMJPA-VIFPVBQESA-N 0 2 307.379 0.885 20 0 DCADLN Cc1cnccc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038236174 658209246 /nfs/dbraw/zinc/20/92/46/658209246.db2.gz VGRIXLVKAJKNRS-JTQLQIEISA-N 0 2 302.338 0.218 20 0 DCADLN Cc1nonc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000994937483 665559541 /nfs/dbraw/zinc/55/95/41/665559541.db2.gz UIPMPQYTLLUOTR-SSDOTTSWSA-N 0 2 310.207 0.219 20 0 DCADLN Cc1nonc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000994937483 665559544 /nfs/dbraw/zinc/55/95/44/665559544.db2.gz UIPMPQYTLLUOTR-SSDOTTSWSA-N 0 2 310.207 0.219 20 0 DCADLN C[N@H+](Cc1n[nH]c(=O)[n-]1)[C@@H]1CCN(C(=O)c2ccccc2O)C1 ZINC000971107413 658278734 /nfs/dbraw/zinc/27/87/34/658278734.db2.gz CUXWNOGYSANKBH-SNVBAGLBSA-N 0 2 317.349 0.562 20 0 DCADLN C[N@@H+](Cc1n[nH]c(=O)[n-]1)[C@@H]1CCN(C(=O)c2ccccc2O)C1 ZINC000971107413 658278738 /nfs/dbraw/zinc/27/87/38/658278738.db2.gz CUXWNOGYSANKBH-SNVBAGLBSA-N 0 2 317.349 0.562 20 0 DCADLN CC(F)(F)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC000972736866 658365749 /nfs/dbraw/zinc/36/57/49/658365749.db2.gz GMZDPOJVFBHZOR-SSDOTTSWSA-N 0 2 303.313 0.492 20 0 DCADLN CC1(C)CN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1NC(=O)c1ccncc1 ZINC000972752115 658383184 /nfs/dbraw/zinc/38/31/84/658383184.db2.gz YPFYARAHMPWAKG-NSHDSACASA-N 0 2 316.365 0.546 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)s1 ZINC001020773825 658454508 /nfs/dbraw/zinc/45/45/08/658454508.db2.gz LRBIACWXQAWHLJ-FTLITQJKSA-N 0 2 307.383 0.576 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)s1 ZINC001020773825 658454511 /nfs/dbraw/zinc/45/45/11/658454511.db2.gz LRBIACWXQAWHLJ-FTLITQJKSA-N 0 2 307.383 0.576 20 0 DCADLN Cc1coc(C)c1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001038209661 658530165 /nfs/dbraw/zinc/53/01/65/658530165.db2.gz DEKGTNIDMOKZPE-JTQLQIEISA-N 0 2 305.338 0.724 20 0 DCADLN C[C@@H]1CC[NH+](CC(=O)N2CC[C@H]([N@H+](C)Cc3ccn(C)n3)C2)C1 ZINC000972334908 658581376 /nfs/dbraw/zinc/58/13/76/658581376.db2.gz KZRXUEYFEHWMGT-ZBFHGGJFSA-N 0 2 319.453 0.795 20 0 DCADLN Cc1cncn1CC(=O)N1CC[C@@]2(C1)C[N@H+](CCCF)CCO2 ZINC000972465265 658820908 /nfs/dbraw/zinc/82/09/08/658820908.db2.gz HYXANROTEWRCFT-INIZCTEOSA-N 0 2 324.400 0.854 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OCC[C@H]1CCCO1 ZINC000177936942 658833321 /nfs/dbraw/zinc/83/33/21/658833321.db2.gz HOEIRWLDDMTEFB-LLVKDONJSA-N 0 2 305.334 0.986 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CC=CC1 ZINC000972981711 658852529 /nfs/dbraw/zinc/85/25/29/658852529.db2.gz AVDUAKVAPDJTAW-NSHDSACASA-N 0 2 305.382 0.803 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CC=CC1 ZINC000972981711 658852532 /nfs/dbraw/zinc/85/25/32/658852532.db2.gz AVDUAKVAPDJTAW-NSHDSACASA-N 0 2 305.382 0.803 20 0 DCADLN C[NH+]1CCO[C@@H](C(=O)N2C[C@H]3CCC[C@@H](C2)[N@@H+]3CC2CC2)C1 ZINC001039536814 658924733 /nfs/dbraw/zinc/92/47/33/658924733.db2.gz LEXNCYKPGYRQDT-OWCLPIDISA-N 0 2 307.438 0.792 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@@H](NC(=O)C[C@@H]2CCCO2)C1 ZINC000973902757 659025040 /nfs/dbraw/zinc/02/50/40/659025040.db2.gz NBLHUDIFBTVZIG-XQQFMLRXSA-N 0 2 320.393 0.593 20 0 DCADLN Cc1ncc(C(=O)N[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC000939742435 665638409 /nfs/dbraw/zinc/63/84/09/665638409.db2.gz BDEOPTJVOKRDIZ-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN O=C([N-]S(=O)(=O)N1CCCC1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000180776778 659069469 /nfs/dbraw/zinc/06/94/69/659069469.db2.gz CXTAITJGSBNMPF-UHFFFAOYSA-N 0 2 320.374 0.943 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21)c1ccn[nH]1 ZINC000976209978 659235539 /nfs/dbraw/zinc/23/55/39/659235539.db2.gz YUFMGBAKPQTWIX-LWIVVEGESA-N 0 2 320.246 0.497 20 0 DCADLN O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21)c1ccn[nH]1 ZINC000976209978 659235547 /nfs/dbraw/zinc/23/55/47/659235547.db2.gz YUFMGBAKPQTWIX-LWIVVEGESA-N 0 2 320.246 0.497 20 0 DCADLN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)on1 ZINC001006718358 659380473 /nfs/dbraw/zinc/38/04/73/659380473.db2.gz BIMDKBQCNWUJBY-SECBINFHSA-N 0 2 306.326 0.201 20 0 DCADLN C[C@H]1CCC[NH+]1CC(=O)N[C@H]1C[N@@H+](CC(=O)N(C)C)CC1(C)C ZINC000975046527 659771923 /nfs/dbraw/zinc/77/19/23/659771923.db2.gz CVBLRWMSRJLOLU-KBPBESRZSA-N 0 2 324.469 0.386 20 0 DCADLN Cc1cc(CC(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)no1 ZINC001006951142 661576916 /nfs/dbraw/zinc/57/69/16/661576916.db2.gz OKGQGQZSTBVXFX-SNVBAGLBSA-N 0 2 320.353 0.130 20 0 DCADLN O=C(C[C@H]1CCCOC1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032787146 665833096 /nfs/dbraw/zinc/83/30/96/665833096.db2.gz GFOSJQFGELVSHC-WOPDTQHZSA-N 0 2 321.381 0.112 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)N[C@@H]1CCN(C2CCC2)C1=O ZINC000867569901 662142537 /nfs/dbraw/zinc/14/25/37/662142537.db2.gz ZRYIZFFPSDPDSZ-DGIBIBHMSA-N 0 2 323.440 0.092 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2CC[C@@H]3[C@H]2CCC[N@@H+]3CCO)c1 ZINC000895292406 662162623 /nfs/dbraw/zinc/16/26/23/662162623.db2.gz UQKCWQMMOIDRJX-HUUCEWRRSA-N 0 2 321.425 0.203 20 0 DCADLN O=C([O-])[C@H](NC(=O)c1cccc2[nH+]ccn21)[C@@H]1CCCOC1 ZINC000909542070 662334974 /nfs/dbraw/zinc/33/49/74/662334974.db2.gz ZZSBARBIAXSRHL-ZWNOBZJWSA-N 0 2 303.318 0.944 20 0 DCADLN CN(C)c1cc[nH+]cc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CCCO ZINC001029555484 662376596 /nfs/dbraw/zinc/37/65/96/662376596.db2.gz UTIOQUKXNJUHKH-OKILXGFUSA-N 0 2 318.421 0.819 20 0 DCADLN C[NH+](Cc1nncs1)C[C@@H]1CCN(C(=O)[C@H]2CCC[N@H+]2C)C1 ZINC001029675627 662448925 /nfs/dbraw/zinc/44/89/25/662448925.db2.gz RUCHETPWVGGLDF-QWHCGFSZSA-N 0 2 323.466 0.913 20 0 DCADLN C[C@@H](C(N)=O)[N@H+](C)C1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC000985485894 662465951 /nfs/dbraw/zinc/46/59/51/662465951.db2.gz VXEWQQWEKNFPKI-CYZMBNFOSA-N 0 2 319.409 0.310 20 0 DCADLN CCCc1[nH]ccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031915193 665871180 /nfs/dbraw/zinc/87/11/80/665871180.db2.gz QXSWPEPIPGNYET-UHFFFAOYSA-N 0 2 318.381 0.653 20 0 DCADLN COC[C@@H](NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC000909657866 662523661 /nfs/dbraw/zinc/52/36/61/662523661.db2.gz SSYAANBNSYDZSZ-CYBMUJFWSA-N 0 2 303.318 0.631 20 0 DCADLN CC1(C)C[C@H]1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000592309 665881699 /nfs/dbraw/zinc/88/16/99/665881699.db2.gz KUVCORKNDIGXCT-NSHDSACASA-N 0 2 305.382 0.805 20 0 DCADLN CC1(C)C[C@H]1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000592309 665881700 /nfs/dbraw/zinc/88/17/00/665881700.db2.gz KUVCORKNDIGXCT-NSHDSACASA-N 0 2 305.382 0.805 20 0 DCADLN Cc1[nH]ccc1C(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000565479 665882185 /nfs/dbraw/zinc/88/21/85/665882185.db2.gz LJTKPCLSWGSQSC-UHFFFAOYSA-N 0 2 316.365 0.709 20 0 DCADLN Cc1[nH]ccc1C(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001000565479 665882188 /nfs/dbraw/zinc/88/21/88/665882188.db2.gz LJTKPCLSWGSQSC-UHFFFAOYSA-N 0 2 316.365 0.709 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)N[C@@]12C[C@@H]1COC2(C)C ZINC000867557177 662633721 /nfs/dbraw/zinc/63/37/21/662633721.db2.gz MBPZSHIMOAIVCF-KOLCDFICSA-N 0 2 310.441 0.896 20 0 DCADLN C[C@@H]1[C@H]([NH2+]Cc2ncccn2)CCN1C(=O)CCc1cn[nH]n1 ZINC000987019103 662635364 /nfs/dbraw/zinc/63/53/64/662635364.db2.gz VDSXSXAXJFIIMZ-DGCLKSJQSA-N 0 2 315.381 0.307 20 0 DCADLN CC[C@H](C)[C@H](Nc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)C(=O)[O-] ZINC000263405969 662685663 /nfs/dbraw/zinc/68/56/63/662685663.db2.gz KQXMDWOPPGKQPW-HZMBPMFUSA-N 0 2 321.381 0.666 20 0 DCADLN CC[C@H](C)[C@H](Nc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)C(=O)[O-] ZINC000263405969 662685664 /nfs/dbraw/zinc/68/56/64/662685664.db2.gz KQXMDWOPPGKQPW-HZMBPMFUSA-N 0 2 321.381 0.666 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000263440325 662688730 /nfs/dbraw/zinc/68/87/30/662688730.db2.gz WSJBOBYKXNGCNP-XHDPSFHLSA-N 0 2 306.366 0.739 20 0 DCADLN C[C@@]1(C(=O)[O-])CCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000263440325 662688731 /nfs/dbraw/zinc/68/87/31/662688731.db2.gz WSJBOBYKXNGCNP-XHDPSFHLSA-N 0 2 306.366 0.739 20 0 DCADLN Cc1cocc1C(=O)N1C[C@H]([NH2+]Cc2nc(=O)n(C)[nH]2)C[C@H]1C ZINC000989309234 662898967 /nfs/dbraw/zinc/89/89/67/662898967.db2.gz SWGNLDHUVJJWFN-GHMZBOCLSA-N 0 2 319.365 0.403 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)nn1C ZINC000990387942 663041214 /nfs/dbraw/zinc/04/12/14/663041214.db2.gz JGXPIIKCTXCEGN-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN Cc1cc(C(=O)NC2CN(C(=O)[C@@H](F)C(F)(F)F)C2)nn1C ZINC000990387942 663041215 /nfs/dbraw/zinc/04/12/15/663041215.db2.gz JGXPIIKCTXCEGN-SECBINFHSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@H]1CCCOC1 ZINC000990460451 663053419 /nfs/dbraw/zinc/05/34/19/663053419.db2.gz FWTQGSNZLVHGPJ-CBAPKCEASA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)[C@H]1CCCOC1 ZINC000990460451 663053421 /nfs/dbraw/zinc/05/34/21/663053421.db2.gz FWTQGSNZLVHGPJ-CBAPKCEASA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)C(F)(F)C2(O)CCCCC2)C(=O)N1C ZINC000899034933 663119726 /nfs/dbraw/zinc/11/97/26/663119726.db2.gz HGVSQJAHGZEYSS-MRVPVSSYSA-N 0 2 319.308 0.631 20 0 DCADLN CCCCn1cc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)c(C)n1 ZINC000899035920 663119812 /nfs/dbraw/zinc/11/98/12/663119812.db2.gz PSMDRMGUKZVNHX-JTQLQIEISA-N 0 2 307.354 0.919 20 0 DCADLN O=C(NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc[nH]c1C1CC1 ZINC001031932804 665942853 /nfs/dbraw/zinc/94/28/53/665942853.db2.gz ANBDVCQPJUMQHM-UHFFFAOYSA-N 0 2 316.365 0.578 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N(CC(=O)[O-])CC3CC3)[nH+]cn2)C1 ZINC000900969639 663296518 /nfs/dbraw/zinc/29/65/18/663296518.db2.gz ARBNPFJVNAFKBL-DGCLKSJQSA-N 0 2 320.393 0.985 20 0 DCADLN C[C@@H](O)[C@@H]1CCN(c2cc(N(CC(=O)[O-])CC3CC3)nc[nH+]2)C1 ZINC000900969639 663296520 /nfs/dbraw/zinc/29/65/20/663296520.db2.gz ARBNPFJVNAFKBL-DGCLKSJQSA-N 0 2 320.393 0.985 20 0 DCADLN COCC[N@H+]1CC=C(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)CC1 ZINC001000880259 665948863 /nfs/dbraw/zinc/94/88/63/665948863.db2.gz UQVHKLOOOCOQIE-HNNXBMFYSA-N 0 2 318.421 0.840 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000901667820 663361275 /nfs/dbraw/zinc/36/12/75/663361275.db2.gz RMQXGRNUVIKXBC-IAQYHMDHSA-N 0 2 307.350 0.538 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@@H+]1Cc1cc2c(cn1)OCCO2 ZINC000902023130 663378300 /nfs/dbraw/zinc/37/83/00/663378300.db2.gz FUBNMTYODLBHCD-HNNXBMFYSA-N 0 2 308.334 0.918 20 0 DCADLN COC[C@]1(C(=O)[O-])CCC[N@H+]1Cc1cc2c(cn1)OCCO2 ZINC000902023130 663378303 /nfs/dbraw/zinc/37/83/03/663378303.db2.gz FUBNMTYODLBHCD-HNNXBMFYSA-N 0 2 308.334 0.918 20 0 DCADLN COC[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC000902304630 663405498 /nfs/dbraw/zinc/40/54/98/663405498.db2.gz DIUYZQSSBLQFTM-NHYWBVRUSA-N 0 2 307.350 0.395 20 0 DCADLN CO[C@](C)(C(=O)[O-])C(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000902805998 663434319 /nfs/dbraw/zinc/43/43/19/663434319.db2.gz HDXZJNYXPXWDQL-HNNXBMFYSA-N 0 2 307.350 0.974 20 0 DCADLN Cc1cc(NS(=O)(=O)N=S2(=O)CCCC2)c(=O)n(C)c1 ZINC000905097859 663533627 /nfs/dbraw/zinc/53/36/27/663533627.db2.gz ZOSKLYWFSCYNIB-UHFFFAOYSA-N 0 2 319.408 0.612 20 0 DCADLN C[N@@H+]1CCC[C@@H]1CNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000906675763 663630223 /nfs/dbraw/zinc/63/02/23/663630223.db2.gz DZCXCUDXLCOEHV-OAHLLOKOSA-N 0 2 320.437 0.644 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001038097383 663722580 /nfs/dbraw/zinc/72/25/80/663722580.db2.gz YKGAFPQZVDSOFB-QMMMGPOBSA-N 0 2 308.367 0.280 20 0 DCADLN CC[C@H](C)N(CC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000908936088 663775190 /nfs/dbraw/zinc/77/51/90/663775190.db2.gz GEFLOBNHYSUKGU-LBPRGKRZSA-N 0 2 315.414 0.992 20 0 DCADLN CC[C@H](C)N(CC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000908936088 663775192 /nfs/dbraw/zinc/77/51/92/663775192.db2.gz GEFLOBNHYSUKGU-LBPRGKRZSA-N 0 2 315.414 0.992 20 0 DCADLN CC(C)N(CC(=O)[O-])C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000908935829 663775295 /nfs/dbraw/zinc/77/52/95/663775295.db2.gz ATQCVFFMYITTBO-UHFFFAOYSA-N 0 2 301.387 0.602 20 0 DCADLN CC(C)N(CC(=O)[O-])C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000908935829 663775296 /nfs/dbraw/zinc/77/52/96/663775296.db2.gz ATQCVFFMYITTBO-UHFFFAOYSA-N 0 2 301.387 0.602 20 0 DCADLN O=C([O-])C[C@@H]1CSCCN1C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000909011091 663777531 /nfs/dbraw/zinc/77/75/31/663777531.db2.gz NWQAGLGVODRMLY-WDEREUQCSA-N 0 2 309.391 0.864 20 0 DCADLN O=C([O-])C[C@@H]1CSCCN1C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000909009285 663777547 /nfs/dbraw/zinc/77/75/47/663777547.db2.gz HANYZEMBQPUWOB-ZYHUDNBSSA-N 0 2 309.391 0.864 20 0 DCADLN CSC[C@H](NC(=O)NCc1ccn2cc[nH+]c2c1)C(=O)[O-] ZINC000909041407 663779002 /nfs/dbraw/zinc/77/90/02/663779002.db2.gz QMKOJEZONVJDHB-JTQLQIEISA-N 0 2 308.363 0.950 20 0 DCADLN CC[C@H](C(=O)N1CCOC[C@@H]1C(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000909059704 663780227 /nfs/dbraw/zinc/78/02/27/663780227.db2.gz NYSPVSOFTMLCIL-JHJVBQTASA-N 0 2 314.382 0.188 20 0 DCADLN CC[C@H](C(=O)N1CCOC[C@@H]1C(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000909059704 663780228 /nfs/dbraw/zinc/78/02/28/663780228.db2.gz NYSPVSOFTMLCIL-JHJVBQTASA-N 0 2 314.382 0.188 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](F)CN1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000909083660 663780510 /nfs/dbraw/zinc/78/05/10/663780510.db2.gz YWSNJEUIOWHXQL-SRVKXCTJSA-N 0 2 322.340 0.867 20 0 DCADLN O=C([O-])[C@H]1CSCCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000910148357 663850240 /nfs/dbraw/zinc/85/02/40/663850240.db2.gz ATNRADJIMZUVLG-WDEREUQCSA-N 0 2 309.391 0.722 20 0 DCADLN Cn1c[nH+]cc1CCC(=O)N[C@@H](C[C@@H]1CCCOC1)C(=O)[O-] ZINC000910238219 663858319 /nfs/dbraw/zinc/85/83/19/663858319.db2.gz YWAJCNIHCYEJJO-AAEUAGOBSA-N 0 2 309.366 0.739 20 0 DCADLN C[C@@H](CN(C)c1cc(N2CCC(C)(O)CC2)nc[nH+]1)C(=O)[O-] ZINC000910308241 663867385 /nfs/dbraw/zinc/86/73/85/663867385.db2.gz DDIRUDJRHSQHMD-NSHDSACASA-N 0 2 308.382 0.985 20 0 DCADLN C[C@@H](CN(C)c1cc(N2CCC(C)(O)CC2)[nH+]cn1)C(=O)[O-] ZINC000910308241 663867387 /nfs/dbraw/zinc/86/73/87/663867387.db2.gz DDIRUDJRHSQHMD-NSHDSACASA-N 0 2 308.382 0.985 20 0 DCADLN C[C@@H](C(=O)N1CC(F)(F)C[C@H]1C(=O)[O-])[NH+]1CCSCC1 ZINC000910436473 663882683 /nfs/dbraw/zinc/88/26/83/663882683.db2.gz QKLCJFVJPNEPDY-IUCAKERBSA-N 0 2 308.350 0.745 20 0 DCADLN O=C([O-])c1cnc(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)s1 ZINC000910815403 663941074 /nfs/dbraw/zinc/94/10/74/663941074.db2.gz GXOPMRDTLPXORB-MRVPVSSYSA-N 0 2 306.347 0.917 20 0 DCADLN Cc1ccc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000991286588 663947523 /nfs/dbraw/zinc/94/75/23/663947523.db2.gz LTQVMFUJJIJXEL-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1ccc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC000991286588 663947524 /nfs/dbraw/zinc/94/75/24/663947524.db2.gz LTQVMFUJJIJXEL-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cc(C(=O)[O-])cnc1C ZINC000910990571 663966400 /nfs/dbraw/zinc/96/64/00/663966400.db2.gz ZHEVRPZEQXKWLE-CYBMUJFWSA-N 0 2 321.377 0.929 20 0 DCADLN O=C([O-])[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)c1cccnc1 ZINC000910991425 663966421 /nfs/dbraw/zinc/96/64/21/663966421.db2.gz LDTWPVCTJVINDC-WCQYABFASA-N 0 2 300.318 0.783 20 0 DCADLN CC[C@@H](O)[C@H](C)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911172560 664001023 /nfs/dbraw/zinc/00/10/23/664001023.db2.gz RRDXVJSRAROVJQ-WCQYABFASA-N 0 2 321.377 0.835 20 0 DCADLN CO[C@@H](C)CC(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911171635 664001237 /nfs/dbraw/zinc/00/12/37/664001237.db2.gz ITVGDRVOWMGODO-NSHDSACASA-N 0 2 307.350 0.853 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)NC(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC000911199258 664003338 /nfs/dbraw/zinc/00/33/38/664003338.db2.gz TXVLKLNLBNAYHR-OTDNITJGSA-N 0 2 308.334 0.828 20 0 DCADLN O=C([O-])C12CC(C(=O)N3CCC[C@@H]([NH+]4CCOCC4)C3)(C1)C2 ZINC000911535471 664071037 /nfs/dbraw/zinc/07/10/37/664071037.db2.gz QTQOWTZOUUZGOL-UWTIGNOOSA-N 0 2 308.378 0.565 20 0 DCADLN O=C([O-])[C@H]1[C@@H](O)CCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000911627902 664093460 /nfs/dbraw/zinc/09/34/60/664093460.db2.gz GADLKIUAAGPBRJ-SMDDNHRTSA-N 0 2 307.350 0.198 20 0 DCADLN CN=[S@](C)(=O)CCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000912787606 664227848 /nfs/dbraw/zinc/22/78/48/664227848.db2.gz BXQRIZVAFKENAL-HXUWFJFHSA-N 0 2 317.333 0.287 20 0 DCADLN C[C@@H]1C(=O)N(NC(=O)c2cnn(C)c2-n2cccc2)C(=O)N1C ZINC000912821906 664233182 /nfs/dbraw/zinc/23/31/82/664233182.db2.gz NPFZDJSLMWUSOU-SECBINFHSA-N 0 2 316.321 0.138 20 0 DCADLN CN1C[C@H](C(=O)Nc2nccc(Br)c2O)CC1=O ZINC000913026341 664255686 /nfs/dbraw/zinc/25/56/86/664255686.db2.gz OPJMHTJQQRCOCC-ZCFIWIBFSA-N 0 2 314.139 0.967 20 0 DCADLN O=C(NC1CN(Cc2cc(=O)n3[nH]ccc3n2)C1)C1CC=CC1 ZINC001030171002 664462426 /nfs/dbraw/zinc/46/24/26/664462426.db2.gz YRQXSFIMBWIGII-UHFFFAOYSA-N 0 2 313.361 0.289 20 0 DCADLN CC1=C(C)C[C@@H](C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001030363968 664521018 /nfs/dbraw/zinc/52/10/18/664521018.db2.gz KNODEZWEJFZSRR-NSHDSACASA-N 0 2 305.382 0.947 20 0 DCADLN O=C(CC1COC1)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC000913558951 664636911 /nfs/dbraw/zinc/63/69/11/664636911.db2.gz AYJUWPCUHYAXJE-GFCCVEGCSA-N 0 2 302.334 0.947 20 0 DCADLN C[C@H](NC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1)C(=O)[O-] ZINC000311721272 664675074 /nfs/dbraw/zinc/67/50/74/664675074.db2.gz YQCMXLYFSOFJMG-GXTWGEPZSA-N 0 2 321.377 0.660 20 0 DCADLN C[C@H](NC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1)C(=O)[O-] ZINC000311721272 664675075 /nfs/dbraw/zinc/67/50/75/664675075.db2.gz YQCMXLYFSOFJMG-GXTWGEPZSA-N 0 2 321.377 0.660 20 0 DCADLN C[C@H]1C[C@H]1c1cc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)n[nH]1 ZINC000730587804 664795290 /nfs/dbraw/zinc/79/52/90/664795290.db2.gz DXFMBZZLAIEDJP-JGVFFNPUSA-N 0 2 313.321 0.556 20 0 DCADLN CCCCN(CCOC)C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000731644497 664875977 /nfs/dbraw/zinc/87/59/77/664875977.db2.gz RZDGNOQTURSGBB-UHFFFAOYSA-N 0 2 307.354 0.346 20 0 DCADLN Cc1cc2cn[nH]c2c(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)c1 ZINC000732090869 664902374 /nfs/dbraw/zinc/90/23/74/664902374.db2.gz OUPBFBLWOUNCEK-LLVKDONJSA-N 0 2 313.321 0.598 20 0 DCADLN Cc1cc2c[nH]nc2c(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)c1 ZINC000732090869 664902377 /nfs/dbraw/zinc/90/23/77/664902377.db2.gz OUPBFBLWOUNCEK-LLVKDONJSA-N 0 2 313.321 0.598 20 0 DCADLN O=c1[nH]nc([C@@H]2CCCN(S(=O)(=O)CC3(F)CC3)C2)[nH]1 ZINC000915693282 664937098 /nfs/dbraw/zinc/93/70/98/664937098.db2.gz ZERVQHPXJHMKHR-MRVPVSSYSA-N 0 2 304.347 0.522 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cnc(C2CC2)s1 ZINC001031290201 664968320 /nfs/dbraw/zinc/96/83/20/664968320.db2.gz CIVSAXSUYJSLMW-UHFFFAOYSA-N 0 2 320.378 0.458 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)[C@]12C[C@H]1COC2 ZINC000993504433 664974045 /nfs/dbraw/zinc/97/40/45/664974045.db2.gz QTMCDWBZRJZDEQ-QXHCQDJKSA-N 0 2 310.247 0.250 20 0 DCADLN O=C(NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1)[C@]12C[C@H]1COC2 ZINC000993504433 664974046 /nfs/dbraw/zinc/97/40/46/664974046.db2.gz QTMCDWBZRJZDEQ-QXHCQDJKSA-N 0 2 310.247 0.250 20 0 DCADLN COc1cccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031302741 664987505 /nfs/dbraw/zinc/98/75/05/664987505.db2.gz CCCKTGSDHVPMGW-UHFFFAOYSA-N 0 2 303.322 0.133 20 0 DCADLN Cc1nn(C)cc1C[N@@H+]1CCC[C@H](NC(=O)c2ncn[nH]2)[C@@H]1C ZINC000994016231 665026788 /nfs/dbraw/zinc/02/67/88/665026788.db2.gz CODPHYDGCCZFPV-AAEUAGOBSA-N 0 2 317.397 0.630 20 0 DCADLN C[C@@H]1[C@H](NC(=O)C(C)(C)c2c[nH]cn2)CCC[N@@H+]1CC(N)=O ZINC000994227770 665051011 /nfs/dbraw/zinc/05/10/11/665051011.db2.gz ILXBFCQUGOUWCW-GHMZBOCLSA-N 0 2 307.398 0.142 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cc2[nH]ccc2s1 ZINC001031315558 665070901 /nfs/dbraw/zinc/07/09/01/665070901.db2.gz LPWMHTCTYRQKGO-UHFFFAOYSA-N 0 2 318.362 0.667 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000994428160 665105876 /nfs/dbraw/zinc/10/58/76/665105876.db2.gz KHSDUEOPQIQIGC-JQWIXIFHSA-N 0 2 318.381 0.930 20 0 DCADLN Cc1c[nH]cc1C(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000994428160 665105877 /nfs/dbraw/zinc/10/58/77/665105877.db2.gz KHSDUEOPQIQIGC-JQWIXIFHSA-N 0 2 318.381 0.930 20 0 DCADLN C[C@H](C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1)c1cccc(F)c1 ZINC001031413771 665140713 /nfs/dbraw/zinc/14/07/13/665140713.db2.gz HAEBVIRCDUAABR-VIFPVBQESA-N 0 2 319.340 0.754 20 0 DCADLN Cc1ccccc1[C@@H](C)C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031425975 665145148 /nfs/dbraw/zinc/14/51/48/665145148.db2.gz OWFQVFQHCZLRCP-LLVKDONJSA-N 0 2 315.377 0.923 20 0 DCADLN CCn1c(=O)c2ccc(C(=O)OCC(N)=O)cc2[nH]c1=S ZINC000917948043 665150976 /nfs/dbraw/zinc/15/09/76/665150976.db2.gz OBZDHGZDDIVCAZ-UHFFFAOYSA-N 0 2 307.331 0.347 20 0 DCADLN Cc1nsc(C)c1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031447433 665155850 /nfs/dbraw/zinc/15/58/50/665155850.db2.gz GCLJOXLSINVHQY-UHFFFAOYSA-N 0 2 308.367 0.198 20 0 DCADLN CCNC(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cc1 ZINC000920953401 665285250 /nfs/dbraw/zinc/28/52/50/665285250.db2.gz GSKJMCFHCPGQTN-SNVBAGLBSA-N 0 2 320.374 0.931 20 0 DCADLN Cc1c(F)cccc1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001031686317 665288539 /nfs/dbraw/zinc/28/85/39/665288539.db2.gz PBDZQYHBWFNHNA-UHFFFAOYSA-N 0 2 319.340 0.820 20 0 DCADLN CC[S@](C)(=O)=NS(=O)(=O)NC[C@@]1(OC)CCSC1 ZINC000921401780 665299695 /nfs/dbraw/zinc/29/96/95/665299695.db2.gz GQHXAODCGPMJKM-XYZCENFISA-N 0 2 316.470 0.461 20 0 DCADLN Cn1cnc(S(=O)(=O)Nc2nc3ccccn3n2)c1Cl ZINC000183675630 666092722 /nfs/dbraw/zinc/09/27/22/666092722.db2.gz CUPZHTQURPQVTH-UHFFFAOYSA-N 0 2 312.742 0.917 20 0 DCADLN CN1CCC[C@H]([N@@H+]2CC[C@H](NC(=O)[C@@H]3CCCC[NH+]3C)C2)C1=O ZINC001032829734 666233298 /nfs/dbraw/zinc/23/32/98/666233298.db2.gz BWCBTNBIXQRUKT-KKUMJFAQSA-N 0 2 322.453 0.282 20 0 DCADLN Cc1nonc1C(=O)NCC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001002942056 666248607 /nfs/dbraw/zinc/24/86/07/666248607.db2.gz OVGXRBADSUFXDS-QMMMGPOBSA-N 0 2 324.234 0.467 20 0 DCADLN Cc1nonc1C(=O)NCC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001002942056 666248608 /nfs/dbraw/zinc/24/86/08/666248608.db2.gz OVGXRBADSUFXDS-QMMMGPOBSA-N 0 2 324.234 0.467 20 0 DCADLN CC(C)[C@@]1(C)C[C@@H]1C(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001032085905 666293342 /nfs/dbraw/zinc/29/33/42/666293342.db2.gz FVVNNFOGFHZNIJ-IAQYHMDHSA-N 0 2 307.398 0.741 20 0 DCADLN C[C@H]1OCC[C@]1(C)C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003376214 666300935 /nfs/dbraw/zinc/30/09/35/666300935.db2.gz XOHCOHCOCYQVHF-BMIGLBTASA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCO1 ZINC001003588381 666331093 /nfs/dbraw/zinc/33/10/93/666331093.db2.gz WBGUZMYOHMGVQR-PWSUYJOCSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)C(F)C(F)(F)F)c1ccnnc1 ZINC000952242455 666380178 /nfs/dbraw/zinc/38/01/78/666380178.db2.gz WSVURNKFXQFFIF-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN O=C(NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ccnnc1 ZINC000952242455 666380180 /nfs/dbraw/zinc/38/01/80/666380180.db2.gz WSVURNKFXQFFIF-RKDXNWHRSA-N 0 2 320.246 0.708 20 0 DCADLN Cc1cccc(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1C ZINC001032165579 666381304 /nfs/dbraw/zinc/38/13/04/666381304.db2.gz NHZYLEYWSRFEAG-UHFFFAOYSA-N 0 2 315.377 0.989 20 0 DCADLN Cc1cccc(C(=O)N(C)[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001032926695 666394900 /nfs/dbraw/zinc/39/49/00/666394900.db2.gz SRVSYNWBSNGONN-LLVKDONJSA-N 0 2 316.365 0.560 20 0 DCADLN CCn1ccnc1C(=O)N(C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033046157 666460227 /nfs/dbraw/zinc/46/02/27/666460227.db2.gz IHCQRMRFMDCHJK-JTQLQIEISA-N 0 2 319.369 0.073 20 0 DCADLN CC(=O)N1CC(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC001004588650 666565806 /nfs/dbraw/zinc/56/58/06/666565806.db2.gz BDGLXQIPFNEWHH-UHFFFAOYSA-N 0 2 302.338 0.018 20 0 DCADLN CN(C(=O)c1ccsn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033181538 666608849 /nfs/dbraw/zinc/60/88/49/666608849.db2.gz GRUGOMUAEODIGL-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001033184013 666610127 /nfs/dbraw/zinc/61/01/27/666610127.db2.gz CDLDJXCCVBOITE-JQWIXIFHSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@H](C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001033184013 666610131 /nfs/dbraw/zinc/61/01/31/666610131.db2.gz CDLDJXCCVBOITE-JQWIXIFHSA-N 0 2 307.398 0.979 20 0 DCADLN Cc1ccoc1CC(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033406335 666708795 /nfs/dbraw/zinc/70/87/95/666708795.db2.gz VSDCTOXVTOSQDP-NSHDSACASA-N 0 2 319.365 0.687 20 0 DCADLN Cc1ccoc1CC(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033406335 666708797 /nfs/dbraw/zinc/70/87/97/666708797.db2.gz VSDCTOXVTOSQDP-NSHDSACASA-N 0 2 319.365 0.687 20 0 DCADLN COc1cc(C[N@@H+]2CC[C@H](N(C)C(=O)C[NH+]3CCCC3)C2)on1 ZINC001033657020 666783012 /nfs/dbraw/zinc/78/30/12/666783012.db2.gz HQOSNWLBQISOPD-ZDUSSCGKSA-N 0 2 322.409 0.812 20 0 DCADLN CC[C@@H](C(N)=O)[NH+]1CCC(N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001005263818 666789066 /nfs/dbraw/zinc/78/90/66/666789066.db2.gz WZUISYKZKBNGNF-NSHDSACASA-N 0 2 323.397 0.224 20 0 DCADLN Cn1cncc1CC(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000996449141 666794616 /nfs/dbraw/zinc/79/46/16/666794616.db2.gz CLGARDVSLVZEEO-SNVBAGLBSA-N 0 2 322.262 0.190 20 0 DCADLN CCN(C(=O)C1CCOCC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033730969 666808352 /nfs/dbraw/zinc/80/83/52/666808352.db2.gz OUIUTVQRQBORQI-GFCCVEGCSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)C1CCOCC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033730969 666808356 /nfs/dbraw/zinc/80/83/56/666808356.db2.gz OUIUTVQRQBORQI-GFCCVEGCSA-N 0 2 323.397 0.360 20 0 DCADLN CCN(C(=O)c1conc1C)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033943413 666880142 /nfs/dbraw/zinc/88/01/42/666880142.db2.gz MKGJCQGKEKIZHP-JTQLQIEISA-N 0 2 320.353 0.543 20 0 DCADLN CSc1ccc(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)nc1 ZINC000734828459 666916337 /nfs/dbraw/zinc/91/63/37/666916337.db2.gz QQLWUICUAFDPNS-UHFFFAOYSA-N 0 2 316.346 0.827 20 0 DCADLN COC[C@H](O)CNC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000861570419 666945828 /nfs/dbraw/zinc/94/58/28/666945828.db2.gz PYMGMTQHXYMTEL-SSDOTTSWSA-N 0 2 324.255 0.195 20 0 DCADLN O=C(N[C@H]1CCCCN(Cc2cnns2)C1)c1cnn[nH]1 ZINC001034295076 666981471 /nfs/dbraw/zinc/98/14/71/666981471.db2.gz ZPVOWEJOSCPGAN-VIFPVBQESA-N 0 2 307.383 0.441 20 0 DCADLN CC1(C)CC(=O)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000862772556 667081910 /nfs/dbraw/zinc/08/19/10/667081910.db2.gz HYINDYPSFPPQMR-UHFFFAOYSA-N 0 2 317.349 0.289 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC[C@H]2c2ccc(=O)[nH]n2)S1 ZINC000863054601 667111083 /nfs/dbraw/zinc/11/10/83/667111083.db2.gz CKBBDENEKACMGL-DTWKUNHWSA-N 0 2 321.362 0.402 20 0 DCADLN CCn1[n-]nnc1=NC(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000888337834 667301418 /nfs/dbraw/zinc/30/14/18/667301418.db2.gz IQBJESMXMQOLFG-UHFFFAOYSA-N 0 2 301.354 0.252 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCO1)C1CC2(CC2)C1 ZINC001035497774 667365189 /nfs/dbraw/zinc/36/51/89/667365189.db2.gz CFWQIPGUHIOXMG-NSHDSACASA-N 0 2 321.381 0.018 20 0 DCADLN COCC[C@@H]([NH3+])C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000884179719 667491173 /nfs/dbraw/zinc/49/11/73/667491173.db2.gz YWXXNYBTFBXDNV-MRXNPFEDSA-N 0 2 321.421 0.573 20 0 DCADLN CN(C(=O)c1ccncn1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001006154651 667564850 /nfs/dbraw/zinc/56/48/50/667564850.db2.gz ICSFRDIEEYQOHD-UHFFFAOYSA-N 0 2 317.353 0.037 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@H](CN2CCOCC2)C1 ZINC000870063098 667703711 /nfs/dbraw/zinc/70/37/11/667703711.db2.gz TZWVBBVTYYAMLM-ZJUUUORDSA-N 0 2 314.279 0.446 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCO[C@H](C(F)F)CC2)S1 ZINC000870645468 667749638 /nfs/dbraw/zinc/74/96/38/667749638.db2.gz GXNBRAMFXCUDKO-BQBZGAKWSA-N 0 2 307.322 0.425 20 0 DCADLN CCCC(O)(CCC)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000740721269 667750916 /nfs/dbraw/zinc/75/09/16/667750916.db2.gz HWRHOAYUJNMCQB-UHFFFAOYSA-N 0 2 321.381 0.519 20 0 DCADLN CC[C@@H](NC(=O)Cn1[nH]cc2c(=O)ncnc1-2)c1ccncc1 ZINC000741585454 667773158 /nfs/dbraw/zinc/77/31/58/667773158.db2.gz LCFCBOWIPLLVON-GFCCVEGCSA-N 0 2 312.333 0.734 20 0 DCADLN C[C@@H](COCC(F)(F)F)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000742590308 667794235 /nfs/dbraw/zinc/79/42/35/667794235.db2.gz QGTDWDKALWAIRE-NTSWFWBYSA-N 0 2 313.301 0.626 20 0 DCADLN COC(=O)[C@H](C)CN(C(=O)C[C@H]1SC(=N)NC1=O)C1CC1 ZINC000742619956 667794928 /nfs/dbraw/zinc/79/49/28/667794928.db2.gz QMPGVCHVEVSZGH-VXNVDRBHSA-N 0 2 313.379 0.343 20 0 DCADLN COC(=O)c1ccc(CNC(=O)C[C@@H]2SC(=N)NC2=O)o1 ZINC000742677827 667796841 /nfs/dbraw/zinc/79/68/41/667796841.db2.gz PENWUUCJXWDJJS-QMMMGPOBSA-N 0 2 311.319 0.239 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCCc2ccc3c(c2)CCO3)S1 ZINC000742675322 667796898 /nfs/dbraw/zinc/79/68/98/667796898.db2.gz BFLMRKRCOYLSBA-LBPRGKRZSA-N 0 2 319.386 0.837 20 0 DCADLN Cc1ncc2c(n1)CCC[C@H]2NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000742681186 667796958 /nfs/dbraw/zinc/79/69/58/667796958.db2.gz BRCPZKXWHGKSSV-MNOVXSKESA-N 0 2 319.390 0.835 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](CO)Cc2ccccc2)S1 ZINC000742689654 667797348 /nfs/dbraw/zinc/79/73/48/667797348.db2.gz IKVVQIMCPFQNQS-NEPJUHHUSA-N 0 2 321.402 0.510 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCCC[C@@H]2c2ncon2)S1 ZINC000742692483 667797370 /nfs/dbraw/zinc/79/73/70/667797370.db2.gz LUWOFQNZEOOOMP-SFYZADRCSA-N 0 2 309.351 0.680 20 0 DCADLN CCOC(=O)c1cc(NC(=O)CC2SC(=N)NC2=O)cn1C ZINC000743758046 667815531 /nfs/dbraw/zinc/81/55/31/667815531.db2.gz DLYGEAOGQZCHMB-SECBINFHSA-N 0 2 324.362 0.697 20 0 DCADLN COC(=O)[C@H](CCC1OCCO1)NC(=O)C(F)C(F)(F)F ZINC000871492835 667826132 /nfs/dbraw/zinc/82/61/32/667826132.db2.gz CGYIGZGBIHZPBI-POYBYMJQSA-N 0 2 317.235 0.698 20 0 DCADLN COC(=O)[C@H](CCC1OCCO1)NC(=O)[C@@H](F)C(F)(F)F ZINC000871492835 667826135 /nfs/dbraw/zinc/82/61/35/667826135.db2.gz CGYIGZGBIHZPBI-POYBYMJQSA-N 0 2 317.235 0.698 20 0 DCADLN CO[C@@H](CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2)C(C)(C)C ZINC000744698755 667830985 /nfs/dbraw/zinc/83/09/85/667830985.db2.gz XGTKILJKJOHZBY-JTQLQIEISA-N 0 2 307.354 0.249 20 0 DCADLN COc1ccc(CCNC(=O)C[C@H]2SC(=N)NC2=O)cc1 ZINC000745415687 667847319 /nfs/dbraw/zinc/84/73/19/667847319.db2.gz UOIAKUQJUFERPP-LLVKDONJSA-N 0 2 307.375 0.910 20 0 DCADLN CCN(C)C(=O)CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872477906 667901377 /nfs/dbraw/zinc/90/13/77/667901377.db2.gz NZWRTBYVODGREA-GOSISDBHSA-N 0 2 313.445 0.195 20 0 DCADLN C[C@H](O)CN(Cc1ccccc1)C(=O)C[C@H]1SC(=N)NC1=O ZINC000747402987 667901677 /nfs/dbraw/zinc/90/16/77/667901677.db2.gz WZLRMZXXVVJVEG-CMPLNLGQSA-N 0 2 321.402 0.952 20 0 DCADLN COCCONC(=O)CSCC(=O)Nc1cc(C)on1 ZINC000748765873 667936738 /nfs/dbraw/zinc/93/67/38/667936738.db2.gz AHVQXVTZYCFRCQ-UHFFFAOYSA-N 0 2 303.340 0.349 20 0 DCADLN O=Cc1ccc(OC(F)(F)C(=O)NCc2n[nH]c(=O)[nH]2)cc1 ZINC000754356074 668044693 /nfs/dbraw/zinc/04/46/93/668044693.db2.gz UBVUTCYSNMDUNJ-UHFFFAOYSA-N 0 2 312.232 0.611 20 0 DCADLN Cc1cc(CC(=O)OCC(=O)NC(=O)c2ccccc2)n[nH]1 ZINC000756708563 668098341 /nfs/dbraw/zinc/09/83/41/668098341.db2.gz PAZXZDQFGZQDJC-UHFFFAOYSA-N 0 2 301.302 0.760 20 0 DCADLN CO[N-]C(=O)CNC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000924029913 668186491 /nfs/dbraw/zinc/18/64/91/668186491.db2.gz BNUVPLPJKWMZRR-CYBMUJFWSA-N 0 2 317.349 0.601 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)OCCNc1ncccn1 ZINC000759462769 668220868 /nfs/dbraw/zinc/22/08/68/668220868.db2.gz OIIHBCJYNNYRPK-UHFFFAOYSA-N 0 2 320.271 0.889 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NCc1cncnc1N ZINC000760453701 668272980 /nfs/dbraw/zinc/27/29/80/668272980.db2.gz GUANYTYYLPOFHI-UHFFFAOYSA-N 0 2 305.260 0.132 20 0 DCADLN COc1nn(C)cc1NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760523492 668276886 /nfs/dbraw/zinc/27/68/86/668276886.db2.gz BWQIEPRCLRNIBR-UHFFFAOYSA-N 0 2 308.260 0.824 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NCc2ccn3ccnc3c2)S1 ZINC000762560595 668367607 /nfs/dbraw/zinc/36/76/07/668367607.db2.gz GXZRCLFYOYWQCG-VIFPVBQESA-N 0 2 303.347 0.507 20 0 DCADLN COC(=O)C[C@H]1CCCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000773274283 668798615 /nfs/dbraw/zinc/79/86/15/668798615.db2.gz AGVGRKPURQMKOM-RKDXNWHRSA-N 0 2 313.379 0.487 20 0 DCADLN O=C1OCCN1CCS(=O)(=O)c1n[nH]c(-c2ccccc2)n1 ZINC000774008130 668819201 /nfs/dbraw/zinc/81/92/01/668819201.db2.gz IZIQGLZQOHSUOH-UHFFFAOYSA-N 0 2 322.346 0.698 20 0 DCADLN Cc1nc2ncnn2c(C)c1CCC(=O)OCc1n[nH]c(=O)[nH]1 ZINC000774894975 668850008 /nfs/dbraw/zinc/85/00/08/668850008.db2.gz CLFYVCWSDSYOPG-UHFFFAOYSA-N 0 2 317.309 0.241 20 0 DCADLN Cc1cc(C(=O)OCc2n[nH]c(=O)[nH]2)ccc1-n1cnnn1 ZINC000774920131 668851643 /nfs/dbraw/zinc/85/16/43/668851643.db2.gz SHBZIGXNHWKUHI-UHFFFAOYSA-N 0 2 301.266 0.151 20 0 DCADLN Cc1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)cc1S(C)(=O)=O ZINC000774932617 668851977 /nfs/dbraw/zinc/85/19/77/668851977.db2.gz NVCYRPCMVDZILM-UHFFFAOYSA-N 0 2 311.319 0.579 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1cc2c(cc1F)NC(=O)CC2 ZINC000774972572 668852827 /nfs/dbraw/zinc/85/28/27/668852827.db2.gz MDBYSVQOPRUESD-UHFFFAOYSA-N 0 2 306.253 0.891 20 0 DCADLN CCOC(=O)c1cnc(C)c(C(=O)OCc2n[nH]c(=O)[nH]2)c1 ZINC000775058112 668855235 /nfs/dbraw/zinc/85/52/35/668855235.db2.gz CADWULXAFYUPAM-UHFFFAOYSA-N 0 2 306.278 0.747 20 0 DCADLN CO[C@@H]1CCC[C@H]1CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000775515267 668864268 /nfs/dbraw/zinc/86/42/68/668864268.db2.gz BVEGGIAQDUGQOE-GXSJLCMTSA-N 0 2 305.338 0.003 20 0 DCADLN Cc1cc(C)n2nc(C(=O)NCCc3n[nH]c(=S)o3)nc2n1 ZINC000776538611 668877333 /nfs/dbraw/zinc/87/73/33/668877333.db2.gz SPDLBCQXONNPEM-UHFFFAOYSA-N 0 2 319.350 0.385 20 0 DCADLN O=S(=O)(Nc1cnn(CCO)c1)c1cnn(CC2CC2)c1 ZINC000777614611 668889350 /nfs/dbraw/zinc/88/93/50/668889350.db2.gz NPHRKCIJBGNASP-UHFFFAOYSA-N 0 2 311.367 0.283 20 0 DCADLN CCN(C[C@@H](O)C(F)(F)F)C(=O)C[C@H]1SC(=N)NC1=O ZINC000779190080 668908368 /nfs/dbraw/zinc/90/83/68/668908368.db2.gz BTGJCSUYRUFFKI-PHDIDXHHSA-N 0 2 313.301 0.315 20 0 DCADLN CC(C)[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780511086 668991315 /nfs/dbraw/zinc/99/13/15/668991315.db2.gz LQWNTDQBFZZILC-NSHDSACASA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780511086 668991317 /nfs/dbraw/zinc/99/13/17/668991317.db2.gz LQWNTDQBFZZILC-NSHDSACASA-N 0 2 301.387 0.506 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cnc2c(c1)CCCC2 ZINC000782513886 669103430 /nfs/dbraw/zinc/10/34/30/669103430.db2.gz IJDKFNFHRALXBL-UHFFFAOYSA-N 0 2 324.344 0.984 20 0 DCADLN CCC[C@@]1(C)C(=O)NCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000783874406 669179352 /nfs/dbraw/zinc/17/93/52/669179352.db2.gz ITKCEZNPFRZIFF-OQPBUACISA-N 0 2 312.395 0.060 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1nc(Cl)ccc1O ZINC000790048707 669533388 /nfs/dbraw/zinc/53/33/88/669533388.db2.gz SZXFGBIFRHNLDO-UHFFFAOYSA-N 0 2 320.696 0.464 20 0 DCADLN CN(C)[S@@](C)(=O)=NS(=O)(=O)Nc1c(O)cccc1F ZINC000882706704 669571421 /nfs/dbraw/zinc/57/14/21/669571421.db2.gz XIBPMEBVQIDGJV-SFHVURJKSA-N 0 2 311.360 0.762 20 0 DCADLN CCc1cc(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC000935844147 669783337 /nfs/dbraw/zinc/78/33/37/669783337.db2.gz FFRGMYSONOLOFW-SNVBAGLBSA-N 0 2 318.381 0.755 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N(CC1CC1)C1CCC1 ZINC000794392452 669830397 /nfs/dbraw/zinc/83/03/97/669830397.db2.gz ZQIMZUWHKNGFQU-UHFFFAOYSA-N 0 2 301.350 0.862 20 0 DCADLN Cc1nc2cc[nH]n2c(=O)c1CC(=O)OC[C@@H]1CCC[C@H](O)C1 ZINC000795411439 669887577 /nfs/dbraw/zinc/88/75/77/669887577.db2.gz DTMJKDKIDKBXFH-NEPJUHHUSA-N 0 2 319.361 0.968 20 0 DCADLN Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)[N-]S(C)(=O)=O ZINC000796610521 669937109 /nfs/dbraw/zinc/93/71/09/669937109.db2.gz BILFOOPNHPPNFW-UHFFFAOYSA-N 0 2 303.290 0.699 20 0 DCADLN CC[C@H]1CC[C@@H](C(=O)N(CC)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC000950447475 670028427 /nfs/dbraw/zinc/02/84/27/670028427.db2.gz PSUBCIPXPNTDDT-RYUDHWBXSA-N 0 2 323.397 0.501 20 0 DCADLN O=C(CCc1cncs1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950521635 670048088 /nfs/dbraw/zinc/04/80/88/670048088.db2.gz SZJDFZOXXJAVAV-UHFFFAOYSA-N 0 2 322.394 0.244 20 0 DCADLN CNC(=O)Cc1noc([C@@H](NC(=O)C(F)(F)F)C(C)C)n1 ZINC000800962455 670107137 /nfs/dbraw/zinc/10/71/37/670107137.db2.gz CBSMPXFFKHOKDX-QMMMGPOBSA-N 0 2 308.260 0.734 20 0 DCADLN CCC(CC)(CCO)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000801516050 670133943 /nfs/dbraw/zinc/13/39/43/670133943.db2.gz RGSVBLGRGIMHAX-UHFFFAOYSA-N 0 2 307.354 0.129 20 0 DCADLN O=C(N[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000951047464 670183025 /nfs/dbraw/zinc/18/30/25/670183025.db2.gz GWVGEGLJYRPNTC-SVRRBLITSA-N 0 2 323.250 0.426 20 0 DCADLN CCc1oncc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000951082847 670191700 /nfs/dbraw/zinc/19/17/00/670191700.db2.gz PNNQGUQEYFGADQ-UHFFFAOYSA-N 0 2 306.326 0.019 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2C(=O)C(F)C(F)(F)F)[nH]n1 ZINC000948980220 670230406 /nfs/dbraw/zinc/23/04/06/670230406.db2.gz COTUQGWYRZDREB-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN Cc1cc(C(=O)NC[C@H]2CCN2C(=O)[C@@H](F)C(F)(F)F)[nH]n1 ZINC000948980220 670230409 /nfs/dbraw/zinc/23/04/09/670230409.db2.gz COTUQGWYRZDREB-VXNVDRBHSA-N 0 2 322.262 0.949 20 0 DCADLN CCN(C(=O)c1csc(C)n1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951366355 670254872 /nfs/dbraw/zinc/25/48/72/670254872.db2.gz XXCAVTXOTGSICB-UHFFFAOYSA-N 0 2 322.394 0.622 20 0 DCADLN O=C(Nn1cnc2ccccc2c1=O)c1cnn(CCF)c1 ZINC000806271657 670306105 /nfs/dbraw/zinc/30/61/05/670306105.db2.gz IPIZBAYPCSJUEF-UHFFFAOYSA-N 0 2 301.281 0.946 20 0 DCADLN O=C([C@@H]1C[C@@H]2CCCC[C@H]12)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949433576 670342462 /nfs/dbraw/zinc/34/24/62/670342462.db2.gz ANKJOCIOKDWEMV-RWMBFGLXSA-N 0 2 319.409 0.981 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1cnon1 ZINC000951765356 670362279 /nfs/dbraw/zinc/36/22/79/670362279.db2.gz FYSIQSUHPMQISW-CAHLUQPWSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1cnon1 ZINC000951765356 670362281 /nfs/dbraw/zinc/36/22/81/670362281.db2.gz FYSIQSUHPMQISW-CAHLUQPWSA-N 0 2 310.207 0.301 20 0 DCADLN CCN(C(=O)c1[nH]c(C)nc1C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000951776254 670365584 /nfs/dbraw/zinc/36/55/84/670365584.db2.gz BROMNZBAGBXYBI-UHFFFAOYSA-N 0 2 319.369 0.197 20 0 DCADLN CCCc1[nH]ccc1C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949778565 670429614 /nfs/dbraw/zinc/42/96/14/670429614.db2.gz NIKSCQHVBZUXRK-UHFFFAOYSA-N 0 2 318.381 0.749 20 0 DCADLN CCN(C(=O)c1[nH]nc(C)c1[O-])C1C[NH+](CC2CCOCC2)C1 ZINC000949874480 670453750 /nfs/dbraw/zinc/45/37/50/670453750.db2.gz NDCRPLHEYQZYIK-UHFFFAOYSA-N 0 2 322.409 0.997 20 0 DCADLN CN(C)c1cc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc(Cl)n1 ZINC000081815303 670456226 /nfs/dbraw/zinc/45/62/26/670456226.db2.gz BDWDTRCKDKUSRH-UHFFFAOYSA-N 0 2 324.772 0.575 20 0 DCADLN O=C([C@H]1Cc2ccccc21)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000949958787 670471344 /nfs/dbraw/zinc/47/13/44/670471344.db2.gz VHBDTWMDWVAOBZ-ZDUSSCGKSA-N 0 2 313.361 0.494 20 0 DCADLN CCN(C(=O)[C@H]1CC[C@@H](C)O1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952298512 670473734 /nfs/dbraw/zinc/47/37/34/670473734.db2.gz NZJFDNCGUOCBJZ-MWLCHTKSSA-N 0 2 309.370 0.111 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000952300378 670474285 /nfs/dbraw/zinc/47/42/85/670474285.db2.gz UYLATAZKLMUJJA-UTUOFQBUSA-N 0 2 321.381 0.111 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC000810120221 670476662 /nfs/dbraw/zinc/47/66/62/670476662.db2.gz NNTCKGNUSDVGKL-SFYZADRCSA-N 0 2 320.308 0.675 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000810120221 670476667 /nfs/dbraw/zinc/47/66/67/670476667.db2.gz NNTCKGNUSDVGKL-SFYZADRCSA-N 0 2 320.308 0.675 20 0 DCADLN O=C(C(F)C(F)(F)F)N(CCO)CCN1CCOCC1 ZINC000810754360 670495834 /nfs/dbraw/zinc/49/58/34/670495834.db2.gz YTAHLABQDZTMFW-SECBINFHSA-N 0 2 302.268 0.040 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N(CCO)CCN1CCOCC1 ZINC000810754360 670495836 /nfs/dbraw/zinc/49/58/36/670495836.db2.gz YTAHLABQDZTMFW-SECBINFHSA-N 0 2 302.268 0.040 20 0 DCADLN CC(C)(C)OC(=O)NC[C@H](O)CNC(=O)C(F)C(F)(F)F ZINC000854714628 670615440 /nfs/dbraw/zinc/61/54/40/670615440.db2.gz FPNSRDABVMUGPN-RNFRBKRXSA-N 0 2 318.267 0.889 20 0 DCADLN CC(C)(C)OC(=O)NC[C@H](O)CNC(=O)[C@@H](F)C(F)(F)F ZINC000854714628 670615451 /nfs/dbraw/zinc/61/54/51/670615451.db2.gz FPNSRDABVMUGPN-RNFRBKRXSA-N 0 2 318.267 0.889 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2Cc3ccccc3NC(=O)C2)S1 ZINC000848314768 670668623 /nfs/dbraw/zinc/66/86/23/670668623.db2.gz FRAIZXRWOLPSPA-JTQLQIEISA-N 0 2 318.358 0.524 20 0 DCADLN CN(C)c1ccc(C2(C(=O)NCc3n[nH]c(=O)[nH]3)CC2)cc1 ZINC000815745391 670759605 /nfs/dbraw/zinc/75/96/05/670759605.db2.gz AKRNIORYKDJBGR-UHFFFAOYSA-N 0 2 301.350 0.924 20 0 DCADLN COC(=O)C[C@@H](CNC(=O)C[C@H]1SC(=N)NC1=O)C1CC1 ZINC000855910746 670767024 /nfs/dbraw/zinc/76/70/24/670767024.db2.gz RGYDSOIVEUUQIK-DTWKUNHWSA-N 0 2 313.379 0.248 20 0 DCADLN O=C(CSCc1cccnc1)NOCCN1CCCC1=O ZINC000856038560 670778746 /nfs/dbraw/zinc/77/87/46/670778746.db2.gz GOPWBIYHYLLLMS-UHFFFAOYSA-N 0 2 309.391 0.985 20 0 DCADLN Cc1cc2nccc(NC(=O)CC3SC(=N)NC3=O)n2n1 ZINC000856465583 670823026 /nfs/dbraw/zinc/82/30/26/670823026.db2.gz YUYCAIKNZZXSMD-SSDOTTSWSA-N 0 2 304.335 0.533 20 0 DCADLN CCOC1(C)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000816788777 670865540 /nfs/dbraw/zinc/86/55/40/670865540.db2.gz WFJQZEQNIBTMLC-UHFFFAOYSA-N 0 2 319.365 0.489 20 0 DCADLN CC[C@@H](C)CONC(=O)CSCC(=O)N1CCOCC1 ZINC000816975759 670888691 /nfs/dbraw/zinc/88/86/91/670888691.db2.gz OLZXBKZGYFNKPR-LLVKDONJSA-N 0 2 304.412 0.672 20 0 DCADLN CC1(C)CCC[C@@]1(O)CNC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000818107677 670972906 /nfs/dbraw/zinc/97/29/06/670972906.db2.gz DRLBKWOUZNFAPN-OAHLLOKOSA-N 0 2 319.365 0.129 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NC[C@@H]1C[C@H]1C1CCC1 ZINC000819604058 671129328 /nfs/dbraw/zinc/12/93/28/671129328.db2.gz LOCDRPJGVNZWEA-QWRGUYRKSA-N 0 2 301.350 0.624 20 0 DCADLN O=C(NC[C@H](O)C1CC1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000860044315 671172193 /nfs/dbraw/zinc/17/21/93/671172193.db2.gz AGHLJPUHANTSDZ-VIFPVBQESA-N 0 2 320.267 0.959 20 0 DCADLN O=C(Cn1ncc2cccnc21)NCCc1n[nH]c(=S)o1 ZINC000822044025 671304002 /nfs/dbraw/zinc/30/40/02/671304002.db2.gz PZMGLBKVVPKDGH-UHFFFAOYSA-N 0 2 304.335 0.462 20 0 DCADLN CN1C(=O)CSC1=CC(=O)NCCc1n[nH]c(=S)o1 ZINC000822044340 671304362 /nfs/dbraw/zinc/30/43/62/671304362.db2.gz TVQFJIUQVPZOQS-WTKPLQERSA-N 0 2 300.365 0.064 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCN(CC(F)(F)CO)CC1 ZINC000824276234 671425883 /nfs/dbraw/zinc/42/58/83/671425883.db2.gz VOYFXAMFERMUMX-SSDOTTSWSA-N 0 2 308.222 0.659 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCN(CC(F)(F)CO)CC1 ZINC000824276234 671425884 /nfs/dbraw/zinc/42/58/84/671425884.db2.gz VOYFXAMFERMUMX-SSDOTTSWSA-N 0 2 308.222 0.659 20 0 DCADLN CN1C[C@H](NC(=O)C[C@@H]2SC(=N)NC2=O)Cc2ccccc21 ZINC000824938683 671444587 /nfs/dbraw/zinc/44/45/87/671444587.db2.gz UTTCZTRXWWHGNI-PWSUYJOCSA-N 0 2 318.402 0.720 20 0 DCADLN CSc1n[nH]c(NC(=O)Cc2noc(C)c2-c2nn[nH]n2)n1 ZINC000826231727 671482523 /nfs/dbraw/zinc/48/25/23/671482523.db2.gz XLJSORFWVMVFON-UHFFFAOYSA-N 0 2 321.326 0.184 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)c(C)n1-c1cnn(C)c1 ZINC000829546340 671579241 /nfs/dbraw/zinc/57/92/41/671579241.db2.gz LBFLRUMAOZWPKQ-UHFFFAOYSA-N 0 2 315.337 0.581 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@H]1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000832362813 671716192 /nfs/dbraw/zinc/71/61/92/671716192.db2.gz FFUVAOQZMRPUFH-UTLUCORTSA-N 0 2 324.406 0.712 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NC[C@]1(OC)CCOC1 ZINC000867109831 671754791 /nfs/dbraw/zinc/75/47/91/671754791.db2.gz QNYFNSMMGDVIAE-SNVBAGLBSA-N 0 2 314.429 0.134 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2cn3nccc3nc2C)C1=O ZINC000834790825 671780723 /nfs/dbraw/zinc/78/07/23/671780723.db2.gz XKINDOFCBQIHPL-AWEZNQCLSA-N 0 2 316.321 0.403 20 0 DCADLN O=C(COCc1ccccn1)N1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000843875522 672126747 /nfs/dbraw/zinc/12/67/47/672126747.db2.gz PLIQEVGCECNVLQ-UHFFFAOYSA-N 0 2 317.349 0.828 20 0 DCADLN COc1cccc(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)n1 ZINC000843874757 672126974 /nfs/dbraw/zinc/12/69/74/672126974.db2.gz GXPQWHAFFRKZQR-UHFFFAOYSA-N 0 2 303.322 0.934 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCO[C@@]3(CCSC3)C2)S1 ZINC000843946237 672130253 /nfs/dbraw/zinc/13/02/53/672130253.db2.gz MJGCGWJMSGNAII-UFBFGSQYSA-N 0 2 315.420 0.277 20 0 DCADLN CCONC(=O)CN[C@H](C(=O)OC)c1cccc(C(=O)OC)c1 ZINC000844630888 672190353 /nfs/dbraw/zinc/19/03/53/672190353.db2.gz BVQRUJVMTBIDSI-ZDUSSCGKSA-N 0 2 324.333 0.345 20 0 DCADLN CC[C@H]1COC(C)(C)CN1C(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC000844787500 672202666 /nfs/dbraw/zinc/20/26/66/672202666.db2.gz USGNFZIAUPSLOA-JTQLQIEISA-N 0 2 319.365 0.487 20 0 DCADLN CC[C@H]1CN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)[C@@H](C)CO1 ZINC000844832495 672206227 /nfs/dbraw/zinc/20/62/27/672206227.db2.gz BPUIXPDSRILJSX-UWVGGRQHSA-N 0 2 305.338 0.097 20 0 DCADLN CCO[N-]C(=O)CNC(=O)NCCCCNc1cccc[nH+]1 ZINC000845546767 672259431 /nfs/dbraw/zinc/25/94/31/672259431.db2.gz COBQQPUEKLUISI-UHFFFAOYSA-N 0 2 309.370 0.641 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N1CCC[C@@]2(CC2(F)F)C1 ZINC000846575672 672328488 /nfs/dbraw/zinc/32/84/88/672328488.db2.gz REPRGTKSHQSWGY-CYBMUJFWSA-N 0 2 323.303 0.719 20 0 DCADLN O=C(NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)c1ccncn1 ZINC001024718539 693822346 /nfs/dbraw/zinc/82/23/46/693822346.db2.gz VDPRCUHLUCWPIB-SNVBAGLBSA-N 0 2 317.353 0.085 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@@]1(C)CC)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957067165 686951370 /nfs/dbraw/zinc/95/13/70/686951370.db2.gz JPSXRBRWOXITJF-XHDPSFHLSA-N 0 2 307.398 0.979 20 0 DCADLN C[C@@H](CC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1)n1cccn1 ZINC000957115549 686967119 /nfs/dbraw/zinc/96/71/19/686967119.db2.gz RZZKOIXGSGPOSM-NSHDSACASA-N 0 2 319.369 0.002 20 0 DCADLN C[C@@H](C(=O)NC1CCCC1)[N@@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001038447704 694084431 /nfs/dbraw/zinc/08/44/31/694084431.db2.gz ZYZQAIJABKRSOI-CMPLNLGQSA-N 0 2 320.397 0.056 20 0 DCADLN C[C@@H](C(=O)NC1CCCC1)[N@H+]1CC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001038447704 694084437 /nfs/dbraw/zinc/08/44/37/694084437.db2.gz ZYZQAIJABKRSOI-CMPLNLGQSA-N 0 2 320.397 0.056 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@]12CCC[C@H]1OCC2 ZINC001038504882 694101202 /nfs/dbraw/zinc/10/12/02/694101202.db2.gz DLVYBOAQXKYRKV-RWSFTLGLSA-N 0 2 321.381 0.160 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc[nH]c1C1CC1 ZINC001038651181 694147517 /nfs/dbraw/zinc/14/75/17/694147517.db2.gz IUSPIWRTLOSCAN-SNVBAGLBSA-N 0 2 316.365 0.720 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCCC[C@H]2C[NH2+]Cc2cnon2)c1[O-] ZINC000959962306 688269565 /nfs/dbraw/zinc/26/95/65/688269565.db2.gz XCRIUNSQCSAFJL-NSHDSACASA-N 0 2 320.353 0.591 20 0 DCADLN CN(Cc1n[nH]c(=O)[nH]1)C[C@@H]1CCCN1C(=O)C(=O)C(C)(C)C ZINC000960508707 688401162 /nfs/dbraw/zinc/40/11/62/688401162.db2.gz KTMVMCOMIRLSHT-JTQLQIEISA-N 0 2 323.397 0.548 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1(F)CCCC1 ZINC000960698489 688439019 /nfs/dbraw/zinc/43/90/19/688439019.db2.gz OZVRZOBZHHKRDI-JZYVYDRUSA-N 0 2 309.345 0.339 20 0 DCADLN O=C(N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21)C1(F)CCCC1 ZINC000960698489 688439020 /nfs/dbraw/zinc/43/90/20/688439020.db2.gz OZVRZOBZHHKRDI-JZYVYDRUSA-N 0 2 309.345 0.339 20 0 DCADLN CCn1ncc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001038845479 694215240 /nfs/dbraw/zinc/21/52/40/694215240.db2.gz KZLZBVJAHJPQPF-JTQLQIEISA-N 0 2 319.369 0.039 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1ccc(Cl)cn1 ZINC001038942004 694249961 /nfs/dbraw/zinc/24/99/61/694249961.db2.gz GWMCJZAHWBQQQR-SECBINFHSA-N 0 2 322.756 0.563 20 0 DCADLN CCc1ncc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)s1 ZINC001039078398 694295041 /nfs/dbraw/zinc/29/50/41/694295041.db2.gz LUHMHDAUYDXPIU-MRVPVSSYSA-N 0 2 322.394 0.534 20 0 DCADLN O=C([C@@H]1CCOC1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039525576 694377969 /nfs/dbraw/zinc/37/79/69/694377969.db2.gz YGBLKOXJTZXOSJ-UTUOFQBUSA-N 0 2 321.381 0.112 20 0 DCADLN Cc1ccn(C)c1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007215742 690643705 /nfs/dbraw/zinc/64/37/05/690643705.db2.gz QWVVOBKHRVBJJZ-LLVKDONJSA-N 0 2 318.381 0.552 20 0 DCADLN CC(=O)N1CC[C@@]2(C1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC001007551696 690701769 /nfs/dbraw/zinc/70/17/69/690701769.db2.gz IVZXDGONGYNMRX-GXSJLCMTSA-N 0 2 312.263 0.737 20 0 DCADLN CC(=O)N1CC[C@@]2(C1)CN(C(=O)[C@H](F)C(F)(F)F)CCO2 ZINC001007551696 690701772 /nfs/dbraw/zinc/70/17/72/690701772.db2.gz IVZXDGONGYNMRX-GXSJLCMTSA-N 0 2 312.263 0.737 20 0 DCADLN C[C@]1(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)C=CCC1 ZINC001008196501 690818780 /nfs/dbraw/zinc/81/87/80/690818780.db2.gz IAOZMIGWAAKRRY-ABAIWWIYSA-N 0 2 305.382 0.947 20 0 DCADLN Cc1ncccc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008357799 690847267 /nfs/dbraw/zinc/84/72/67/690847267.db2.gz LTAGPIZUUBBSKR-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CCC[N@@H+](C/C=C/Cl)C1 ZINC001009349427 691028301 /nfs/dbraw/zinc/02/83/01/691028301.db2.gz ALAPNRRKPWPTHY-LXOKAJLYSA-N 0 2 315.761 0.022 20 0 DCADLN O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CCC[N@H+](C/C=C/Cl)C1 ZINC001009349427 691028304 /nfs/dbraw/zinc/02/83/04/691028304.db2.gz ALAPNRRKPWPTHY-LXOKAJLYSA-N 0 2 315.761 0.022 20 0 DCADLN O=C(CC1CCOCC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001010400697 691259387 /nfs/dbraw/zinc/25/93/87/691259387.db2.gz SIXQCHLLIWEWDR-LLVKDONJSA-N 0 2 309.370 0.018 20 0 DCADLN COC1CCC(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001015630189 692371516 /nfs/dbraw/zinc/37/15/16/692371516.db2.gz UVDPBSHBCLFJHE-MOENNCHZSA-N 0 2 323.397 0.406 20 0 DCADLN C[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cccnc1 ZINC001016014905 692549488 /nfs/dbraw/zinc/54/94/88/692549488.db2.gz CDWMSRVIALKMAN-PWSUYJOCSA-N 0 2 316.365 0.400 20 0 DCADLN O=C(Cc1ccccc1F)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016166058 692587361 /nfs/dbraw/zinc/58/73/61/692587361.db2.gz YSUYNXRGHUJVTK-NSHDSACASA-N 0 2 319.340 0.583 20 0 DCADLN O=C(C[C@H]1CCCOC1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016274995 692630936 /nfs/dbraw/zinc/63/09/36/692630936.db2.gz CZCLCGMYPBHWFR-GHMZBOCLSA-N 0 2 309.370 0.018 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001016384272 692668038 /nfs/dbraw/zinc/66/80/38/692668038.db2.gz OBQJNJQLRDBWHS-YROUOZJFSA-N 0 2 317.393 0.493 20 0 DCADLN Cc1nnc(CN[C@H]2CCN(C(=O)C(F)C(F)(F)F)C2)o1 ZINC001018877856 693272909 /nfs/dbraw/zinc/27/29/09/693272909.db2.gz GCJOMOUBYRIGHO-IONNQARKSA-N 0 2 310.251 0.969 20 0 DCADLN C/C=C(\C)C(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C1 ZINC001074205163 694839880 /nfs/dbraw/zinc/83/98/80/694839880.db2.gz NVAKGJXZQXXTNV-BKAIQDJRSA-N 0 2 321.381 0.278 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]3OCC[N@@H+](CC(C)C)[C@H]3C2)c1[O-] ZINC001074218717 694842709 /nfs/dbraw/zinc/84/27/09/694842709.db2.gz DDROXWYVCQWLDR-QWHCGFSZSA-N 0 2 322.409 0.995 20 0 DCADLN Cc1[nH]nc(C(=O)N2CC[C@H]3OCC[N@H+](CC(C)C)[C@H]3C2)c1[O-] ZINC001074218717 694842713 /nfs/dbraw/zinc/84/27/13/694842713.db2.gz DDROXWYVCQWLDR-QWHCGFSZSA-N 0 2 322.409 0.995 20 0 DCADLN O=C(C1=COCCC1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075610128 694963108 /nfs/dbraw/zinc/96/31/08/694963108.db2.gz RTRMMPFTLIMXSF-CMPLNLGQSA-N 0 2 319.365 0.237 20 0 DCADLN O=C(C1=COCCC1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075610128 694963109 /nfs/dbraw/zinc/96/31/09/694963109.db2.gz RTRMMPFTLIMXSF-CMPLNLGQSA-N 0 2 319.365 0.237 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)co1 ZINC001075726073 694971264 /nfs/dbraw/zinc/97/12/64/694971264.db2.gz KGTBVBNECCWQOX-CMPLNLGQSA-N 0 2 317.349 0.758 20 0 DCADLN Cc1cc(C(=O)N2CC[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)co1 ZINC001075726073 694971265 /nfs/dbraw/zinc/97/12/65/694971265.db2.gz KGTBVBNECCWQOX-CMPLNLGQSA-N 0 2 317.349 0.758 20 0 DCADLN O=C(c1ccsn1)N1CC[C@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001075929298 694986858 /nfs/dbraw/zinc/98/68/58/694986858.db2.gz LXEONHMUUHVDHY-WCBMZHEXSA-N 0 2 320.378 0.313 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)[C@@H]1CCOC1 ZINC001076856684 695077690 /nfs/dbraw/zinc/07/76/90/695077690.db2.gz BGXKANLMWXGUDE-DJLDLDEBSA-N 0 2 314.279 0.934 20 0 DCADLN C[C@@H](CCNC(=O)[C@@H](F)C(F)(F)F)NC(=O)[C@@H]1CCOC1 ZINC001076856684 695077693 /nfs/dbraw/zinc/07/76/93/695077693.db2.gz BGXKANLMWXGUDE-DJLDLDEBSA-N 0 2 314.279 0.934 20 0 DCADLN CCCOCC(=O)NCCN(C)C(=O)C(F)C(F)(F)F ZINC001745073160 1157735739 /nfs/dbraw/zinc/73/57/39/1157735739.db2.gz WVFGHZRYYAGFBX-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN CCCOCC(=O)NCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001745073160 1157735744 /nfs/dbraw/zinc/73/57/44/1157735744.db2.gz WVFGHZRYYAGFBX-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN O=C(C=C1CCC1)NC[C@@H](CO)NC(=O)C(F)C(F)(F)F ZINC001756512557 1158481287 /nfs/dbraw/zinc/48/12/87/1158481287.db2.gz UMQOWGWNVLDZTC-WPRPVWTQSA-N 0 2 312.263 0.590 20 0 DCADLN O=C(C=C1CCC1)NC[C@@H](CO)NC(=O)[C@H](F)C(F)(F)F ZINC001756512557 1158481292 /nfs/dbraw/zinc/48/12/92/1158481292.db2.gz UMQOWGWNVLDZTC-WPRPVWTQSA-N 0 2 312.263 0.590 20 0 DCADLN C[C@H](CC(=O)N(C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)n1cccc1 ZINC001446409709 1159404761 /nfs/dbraw/zinc/40/47/61/1159404761.db2.gz OJIRDKSHQRXMCE-LLVKDONJSA-N 0 2 318.381 0.606 20 0 DCADLN C[C@]1(NC(=O)CC[C@@H]2CCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446520629 1159448115 /nfs/dbraw/zinc/44/81/15/1159448115.db2.gz YAMBWWPDFQLFRC-NHYWBVRUSA-N 0 2 323.397 0.550 20 0 DCADLN C[C@]1(NC(=O)CC[C@@H]2CCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001446520629 1159448119 /nfs/dbraw/zinc/44/81/19/1159448119.db2.gz YAMBWWPDFQLFRC-NHYWBVRUSA-N 0 2 323.397 0.550 20 0 DCADLN CN(CCOCCNC(=O)C(F)C(F)(F)F)C(=O)C1CC1 ZINC001572179092 1163053821 /nfs/dbraw/zinc/05/38/21/1163053821.db2.gz KAIJYBGLLOJBOV-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCOCCNC(=O)[C@H](F)C(F)(F)F)C(=O)C1CC1 ZINC001572179092 1163053826 /nfs/dbraw/zinc/05/38/26/1163053826.db2.gz KAIJYBGLLOJBOV-VIFPVBQESA-N 0 2 314.279 0.888 20 0 DCADLN CN(CCC[NH2+]Cc1n[nH]c(=O)[n-]1)C(=O)c1cc(C2CC2)[nH]n1 ZINC001569242164 1160991422 /nfs/dbraw/zinc/99/14/22/1160991422.db2.gz PVDATGYGRPRQRL-UHFFFAOYSA-N 0 2 319.369 0.363 20 0 DCADLN CC(C)C[N@@H+]1CCC[C@@H]1C(=O)N[C@H](C)c1nc(C(=O)[O-])n[nH]1 ZINC001573516621 1163456940 /nfs/dbraw/zinc/45/69/40/1163456940.db2.gz ZOUYOZPBTJDOQA-NXEZZACHSA-N 0 2 309.370 0.801 20 0 DCADLN CC(C)C[N@H+]1CCC[C@@H]1C(=O)N[C@H](C)c1nc(C(=O)[O-])n[nH]1 ZINC001573516621 1163456942 /nfs/dbraw/zinc/45/69/42/1163456942.db2.gz ZOUYOZPBTJDOQA-NXEZZACHSA-N 0 2 309.370 0.801 20 0 DCADLN C[C@H](CC(=O)N[C@H](C(=O)[O-])[C@@H](O)c1cccnc1)n1cc[nH+]c1 ZINC001573534619 1163463448 /nfs/dbraw/zinc/46/34/48/1163463448.db2.gz BBRYUBHCLXGARL-SWHYSGLUSA-N 0 2 318.333 0.532 20 0 DCADLN C[C@@H](C(=O)N[C@@H](CC(=O)[O-])C(=O)OC(C)(C)C)n1cc[nH+]c1 ZINC001573591475 1163513183 /nfs/dbraw/zinc/51/31/83/1163513183.db2.gz ZWIMEXVIVWHJCL-UWVGGRQHSA-N 0 2 311.338 0.745 20 0 DCADLN C[S@@](=O)C1(C(=O)[O-])CC[NH+](CC(=O)NCC2CCC2)CC1 ZINC001574097986 1163635704 /nfs/dbraw/zinc/63/57/04/1163635704.db2.gz VZINQMLHARINNB-OAQYLSRUSA-N 0 2 316.423 0.200 20 0 DCADLN Cc1onc(C[NH+]2CCC(O)(c3ccnn3C)CC2)c1C(=O)[O-] ZINC001574207785 1163663125 /nfs/dbraw/zinc/66/31/25/1163663125.db2.gz AOGHTMONPIPHQC-UHFFFAOYSA-N 0 2 320.349 0.898 20 0 DCADLN Cc1onc(C[NH2+][C@@H]2C(=O)NCC23CCOCC3)c1C(=O)[O-] ZINC001574207930 1163663570 /nfs/dbraw/zinc/66/35/70/1163663570.db2.gz HTNRRZVWXMEVNW-LLVKDONJSA-N 0 2 309.322 0.066 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)C[N@@H+]1CC[C@@H]2[C@H](C1)C2(F)F ZINC001574226268 1163669457 /nfs/dbraw/zinc/66/94/57/1163669457.db2.gz AZIDPPREBHHIIQ-BDAKNGLRSA-N 0 2 314.292 0.644 20 0 DCADLN Cn1ncc(C(=O)[O-])c1NC(=O)C[N@H+]1CC[C@@H]2[C@H](C1)C2(F)F ZINC001574226268 1163669459 /nfs/dbraw/zinc/66/94/59/1163669459.db2.gz AZIDPPREBHHIIQ-BDAKNGLRSA-N 0 2 314.292 0.644 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)C(F)C(F)(F)F)c1C ZINC001574978074 1163829830 /nfs/dbraw/zinc/82/98/30/1163829830.db2.gz MEOWQTCSZQPWHW-QMMMGPOBSA-N 0 2 310.251 0.773 20 0 DCADLN Cc1[nH]nc(C(=O)NCCNC(=O)[C@H](F)C(F)(F)F)c1C ZINC001574978074 1163829839 /nfs/dbraw/zinc/82/98/39/1163829839.db2.gz MEOWQTCSZQPWHW-QMMMGPOBSA-N 0 2 310.251 0.773 20 0 DCADLN C[C@@H]([NH2+]Cc1c[nH]nn1)[C@@H]1CCCCN1C(=O)c1cnsn1 ZINC001575703967 1164113138 /nfs/dbraw/zinc/11/31/38/1164113138.db2.gz VCFZLEHBUYYFHV-SKDRFNHKSA-N 0 2 321.410 0.829 20 0 DCADLN CCOC(=O)c1cc(NC(=O)c2coc(-c3nn[nH]n3)c2)n[nH]1 ZINC001578253085 1164964377 /nfs/dbraw/zinc/96/43/77/1164964377.db2.gz FRLXHOJFIKTTLS-UHFFFAOYSA-N 0 2 317.265 0.612 20 0 DCADLN CCc1ccc(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)cc1 ZINC001578526329 1165044025 /nfs/dbraw/zinc/04/40/25/1165044025.db2.gz MBGXJSAHTIUWKI-UHFFFAOYSA-N 0 2 313.321 0.972 20 0 DCADLN CO[C@@H]1CC[C@@H](NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C1 ZINC001579613359 1165358489 /nfs/dbraw/zinc/35/84/89/1165358489.db2.gz UCTOCNLHEOJOJK-RKDXNWHRSA-N 0 2 318.337 0.573 20 0 DCADLN CSCc1nc(CNC(=O)c2coc(-c3nn[nH]n3)c2)n[nH]1 ZINC001580225478 1165541480 /nfs/dbraw/zinc/54/14/80/1165541480.db2.gz GLNFFSQJDYKTIN-UHFFFAOYSA-N 0 2 320.338 0.371 20 0 DCADLN CSCc1n[nH]c(CNC(=O)c2coc(-c3nn[nH]n3)c2)n1 ZINC001580225478 1165541489 /nfs/dbraw/zinc/54/14/89/1165541489.db2.gz GLNFFSQJDYKTIN-UHFFFAOYSA-N 0 2 320.338 0.371 20 0 DCADLN CSCc1nnc(CNC(=O)c2coc(-c3nn[nH]n3)c2)[nH]1 ZINC001580225478 1165541497 /nfs/dbraw/zinc/54/14/97/1165541497.db2.gz GLNFFSQJDYKTIN-UHFFFAOYSA-N 0 2 320.338 0.371 20 0 DCADLN Cc1c(-c2nn[nH]n2)c(=O)n(Cc2ccc(F)cc2)c(=O)n1C ZINC001580522832 1165629648 /nfs/dbraw/zinc/62/96/48/1165629648.db2.gz WISJKJIQQFIRDN-UHFFFAOYSA-N 0 2 316.296 0.223 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@]12CCOC2 ZINC001580597670 1165649111 /nfs/dbraw/zinc/64/91/11/1165649111.db2.gz LANQWNQFQLAVDA-CQSZACIVSA-N 0 2 316.321 0.281 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@@H](C)OC[C@H]1C ZINC001580597958 1165649889 /nfs/dbraw/zinc/64/98/89/1165649889.db2.gz PIXROHPDMHRYBX-RKDXNWHRSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@@H](C)OC[C@@H]1C ZINC001580597956 1165650558 /nfs/dbraw/zinc/65/05/58/1165650558.db2.gz PIXROHPDMHRYBX-DTWKUNHWSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1Cc2cncnc2C1 ZINC001580600815 1165651768 /nfs/dbraw/zinc/65/17/68/1165651768.db2.gz QFUAGRBWUDUOLL-UHFFFAOYSA-N 0 2 324.304 0.222 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CCO[C@@H](C)CC1 ZINC001580600037 1165651863 /nfs/dbraw/zinc/65/18/63/1165651863.db2.gz LFOPCHAEHIFDJD-VIFPVBQESA-N 0 2 318.337 0.527 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@H]1CC[C@@H](C)O1 ZINC001580604245 1165652990 /nfs/dbraw/zinc/65/29/90/1165652990.db2.gz OZHBKKISDZDUSW-RKDXNWHRSA-N 0 2 318.337 0.573 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NCC[C@H]1CCCO1 ZINC001580605618 1165654863 /nfs/dbraw/zinc/65/48/63/1165654863.db2.gz ABBSYYWDCHHLQM-SECBINFHSA-N 0 2 318.337 0.575 20 0 DCADLN Cc1[nH]nc(CNC(=O)c2ccc(-c3nn[nH]n3)c(O)c2)c1C ZINC001581401063 1165821723 /nfs/dbraw/zinc/82/17/23/1165821723.db2.gz PKJOLWMFSIMHHP-UHFFFAOYSA-N 0 2 313.321 0.842 20 0 DCADLN Cc1nc(CNC(=O)c2cc(F)c(-c3nn[nH]n3)c(F)c2)n[nH]1 ZINC001581400657 1165821824 /nfs/dbraw/zinc/82/18/24/1165821824.db2.gz AJYCMPUZVCDBJZ-UHFFFAOYSA-N 0 2 320.263 0.501 20 0 DCADLN Cn1c(=O)[nH]c(Oc2cc(F)ccc2F)c(-c2nn[nH]n2)c1=O ZINC001581747341 1165865807 /nfs/dbraw/zinc/86/58/07/1165865807.db2.gz JVCJIEAMJJCTFW-UHFFFAOYSA-N 0 2 322.231 0.324 20 0 DCADLN Nc1cn[nH]c1[C@H]1CCN(C(=O)c2coc(-c3nn[nH]n3)c2)C1 ZINC001581910507 1165912887 /nfs/dbraw/zinc/91/28/87/1165912887.db2.gz ULHYSLYXVKROTK-ZETCQYMHSA-N 0 2 314.309 0.395 20 0 DCADLN O=C(NC[C@@H](O)c1cnc[nH]1)c1ccc(F)c(-c2nn[nH]n2)c1 ZINC001582380593 1166009076 /nfs/dbraw/zinc/00/90/76/1166009076.db2.gz CCLWPMFZRCCPGF-LLVKDONJSA-N 0 2 317.284 0.192 20 0 DCADLN Cc1cc(C(=O)NC[C@](C)(NC(=O)C(N)=O)C2CC2)c(C)[nH]1 ZINC001582658417 1166063129 /nfs/dbraw/zinc/06/31/29/1166063129.db2.gz DCNNEQJLQUQNHX-HNNXBMFYSA-N 0 2 306.366 0.132 20 0 DCADLN Cc1cc(C(=O)N[C@@]2(CNC(=O)C(N)=O)CCCC[C@H]2C)no1 ZINC001582666466 1166063364 /nfs/dbraw/zinc/06/33/64/1166063364.db2.gz HFMUMFJFTZWDHD-RFAUZJTJSA-N 0 2 322.365 0.263 20 0 DCADLN O=c1c(-c2nn[nH]n2)cncn1CCc1noc2ccccc12 ZINC001582806088 1166086841 /nfs/dbraw/zinc/08/68/41/1166086841.db2.gz GRLVPQPGQKVGPC-UHFFFAOYSA-N 0 2 309.289 0.807 20 0 DCADLN C[N@@H+]1CCC[C@@H]1C(=O)NC/C=C/CNC(=O)CCc1[nH]cc[nH+]1 ZINC001583152836 1166214977 /nfs/dbraw/zinc/21/49/77/1166214977.db2.gz RJPZEJLONGHDLF-YWVDXFKGSA-N 0 2 319.409 0.225 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccnc(Cl)c1F ZINC001583592319 1166272469 /nfs/dbraw/zinc/27/24/69/1166272469.db2.gz NURKWPCHDYPKMN-UHFFFAOYSA-N 0 2 322.687 0.897 20 0 DCADLN CC(C)[C@H](CNc1cncc(-c2nn[nH]n2)n1)N1CCOCC1 ZINC001589459508 1166659003 /nfs/dbraw/zinc/65/90/03/1166659003.db2.gz FNZPZCAGRIEYPE-LBPRGKRZSA-N 0 2 318.385 0.425 20 0 DCADLN CC(C)[C@H]1CN(Cn2cccc(-c3nn[nH]n3)c2=O)CCCO1 ZINC001589516590 1166664527 /nfs/dbraw/zinc/66/45/27/1166664527.db2.gz FMMKAMUQCGGEBZ-CYBMUJFWSA-N 0 2 318.381 0.733 20 0 DCADLN CC(C)C[C@H](C)N(C)C(=O)Cn1cncc(-c2nn[nH]n2)c1=O ZINC001589550424 1166666359 /nfs/dbraw/zinc/66/63/59/1166666359.db2.gz IDVJLCQADIFBPG-JTQLQIEISA-N 0 2 319.369 0.316 20 0 DCADLN CCC[C@H](CC)Sc1c(-c2nn[nH]n2)c(=O)n(C)c(=O)n1C ZINC001590554582 1166943051 /nfs/dbraw/zinc/94/30/51/1166943051.db2.gz FSLHKFSNKLLXPR-QMMMGPOBSA-N 0 2 324.410 0.935 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC[C@H](O)C(C)C)c1 ZINC001591286597 1167201700 /nfs/dbraw/zinc/20/17/00/1167201700.db2.gz LCNYJUSZIBFIDV-NSHDSACASA-N 0 2 321.337 0.222 20 0 DCADLN COCC1(NC(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)CC1 ZINC001591388678 1167257063 /nfs/dbraw/zinc/25/70/63/1167257063.db2.gz HVIHLZFJRRWMAI-UHFFFAOYSA-N 0 2 304.310 0.185 20 0 DCADLN CSCc1nnc(CNc2ncncc2-c2nn[nH]n2)[nH]1 ZINC001591701834 1167359864 /nfs/dbraw/zinc/35/98/64/1167359864.db2.gz NRTAHVIXXVLHSG-UHFFFAOYSA-N 0 2 304.343 0.250 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(CCO)C1CCC1 ZINC001591835112 1167391221 /nfs/dbraw/zinc/39/12/21/1167391221.db2.gz GHVGYJVJZUYXES-UHFFFAOYSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1ccn(C[N@@H+]2CC[C@@H]3OCC[C@@H]3C2)c(=O)c1-c1nnn[n-]1 ZINC001592266908 1167479978 /nfs/dbraw/zinc/47/99/78/1167479978.db2.gz JEHFMPBPOHZMFO-NEPJUHHUSA-N 0 2 316.365 0.405 20 0 DCADLN Cc1ccn(C[N@H+]2CC[C@@H]3OCC[C@@H]3C2)c(=O)c1-c1nnn[n-]1 ZINC001592266908 1167479980 /nfs/dbraw/zinc/47/99/80/1167479980.db2.gz JEHFMPBPOHZMFO-NEPJUHHUSA-N 0 2 316.365 0.405 20 0 DCADLN Cc1ccnc(C(=O)Cn2ccc(C)c(-c3nn[nH]n3)c2=O)c1 ZINC001592271150 1167480008 /nfs/dbraw/zinc/48/00/08/1167480008.db2.gz SFXWOKLSEVNZKU-UHFFFAOYSA-N 0 2 310.317 0.923 20 0 DCADLN Cc1ccn(CN(C)Cc2nccs2)c(=O)c1-c1nn[nH]n1 ZINC001592266735 1167480204 /nfs/dbraw/zinc/48/02/04/1167480204.db2.gz GJZDBGBZEWFJIG-UHFFFAOYSA-N 0 2 317.378 0.883 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N[C@H](CO)CC(F)F ZINC001592498626 1167539586 /nfs/dbraw/zinc/53/95/86/1167539586.db2.gz BAANYACXHSDQDY-LURJTMIESA-N 0 2 301.253 0.514 20 0 DCADLN Clc1ccc(N2CCN3CCOC[C@H]3C2)nc1-c1nn[nH]n1 ZINC001592531917 1167549767 /nfs/dbraw/zinc/54/97/67/1167549767.db2.gz AFBZFKMUMWDIGC-SECBINFHSA-N 0 2 321.772 0.436 20 0 DCADLN Cn1c(=O)[nH]c(NCCCOC(C)(C)C)c(-c2nn[nH]n2)c1=O ZINC001592545602 1167550392 /nfs/dbraw/zinc/55/03/92/1167550392.db2.gz GKBGPLPDGQWTJY-UHFFFAOYSA-N 0 2 323.357 0.283 20 0 DCADLN Clc1ncccc1C[NH2+]CCn1cnc(-c2nn[n-]n2)n1 ZINC001592537344 1167551246 /nfs/dbraw/zinc/55/12/46/1167551246.db2.gz DKTLHGKMBNBHHC-UHFFFAOYSA-N 0 2 305.733 0.296 20 0 DCADLN Cn1c(=O)[nH]c(NCCCC(C)(C)C)c(-c2nn[nH]n2)c1=O ZINC001592546994 1167553805 /nfs/dbraw/zinc/55/38/05/1167553805.db2.gz ZSYMNQOVULGWJA-UHFFFAOYSA-N 0 2 307.358 0.904 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CCC2=CCCCC2)c1=O ZINC001592558274 1167558228 /nfs/dbraw/zinc/55/82/28/1167558228.db2.gz YGSFKZSXJJIUOB-UHFFFAOYSA-N 0 2 302.338 0.618 20 0 DCADLN O=C(Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2)NC1CC1 ZINC001592937181 1167667604 /nfs/dbraw/zinc/66/76/04/1167667604.db2.gz KVABJMHXDZGZKD-UHFFFAOYSA-N 0 2 314.349 0.186 20 0 DCADLN O=C(NCCCc1nc[nH]n1)c1cccc(F)c1-c1nn[nH]n1 ZINC001593079987 1167701088 /nfs/dbraw/zinc/70/10/88/1167701088.db2.gz BUIDSBFUOQFETB-UHFFFAOYSA-N 0 2 316.300 0.487 20 0 DCADLN O=C1OCC[C@H]1CCn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001593414065 1167826802 /nfs/dbraw/zinc/82/68/02/1167826802.db2.gz COKNMLQHOANVPZ-SECBINFHSA-N 0 2 315.333 0.470 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cc(C)c(C(=O)[O-])o1 ZINC001598865572 1168049031 /nfs/dbraw/zinc/04/90/31/1168049031.db2.gz SVBDPKHABZISTE-NSHDSACASA-N 0 2 324.333 0.540 20 0 DCADLN COC(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1cc(C)c(C(=O)[O-])o1 ZINC001598865572 1168049037 /nfs/dbraw/zinc/04/90/37/1168049037.db2.gz SVBDPKHABZISTE-NSHDSACASA-N 0 2 324.333 0.540 20 0 DCADLN Cc1c(NC(=O)[C@@H]2CCn3c[nH+]cc3C2)cnn1CC(=O)[O-] ZINC001600032805 1168106562 /nfs/dbraw/zinc/10/65/62/1168106562.db2.gz QKQJYUNJVCFZHX-SNVBAGLBSA-N 0 2 303.322 0.674 20 0 DCADLN Cc1cc(C(=O)N2CC[NH2+][C@H](c3cnn(C)c3)C2)oc1C(=O)[O-] ZINC001600084602 1168115289 /nfs/dbraw/zinc/11/52/89/1168115289.db2.gz VRKTVWSHVKUYNJ-NSHDSACASA-N 0 2 318.333 0.806 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001600197620 1168137074 /nfs/dbraw/zinc/13/70/74/1168137074.db2.gz YRIJZCXDSQIEGM-TXEJJXNPSA-N 0 2 305.334 0.294 20 0 DCADLN Cc1cc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)ncc1C(=O)[O-] ZINC001600264403 1168152830 /nfs/dbraw/zinc/15/28/30/1168152830.db2.gz LAOAYKLOZFIGKO-LLVKDONJSA-N 0 2 322.365 0.930 20 0 DCADLN Cc1cn2ccnc(C(=O)N[C@H](Cc3c[nH]c[nH+]3)C(=O)[O-])c2n1 ZINC001600615538 1168215125 /nfs/dbraw/zinc/21/51/25/1168215125.db2.gz VMFGHXPQOOIQFN-SNVBAGLBSA-N 0 2 314.305 0.187 20 0 DCADLN Cc1cn2ccnc(C(=O)N[C@H](Cc3c[nH+]c[nH]3)C(=O)[O-])c2n1 ZINC001600615538 1168215128 /nfs/dbraw/zinc/21/51/28/1168215128.db2.gz VMFGHXPQOOIQFN-SNVBAGLBSA-N 0 2 314.305 0.187 20 0 DCADLN Cc1cnc(C[NH+]2CCC(n3cc(C(=O)[O-])nn3)CC2)nc1 ZINC001600621086 1168216153 /nfs/dbraw/zinc/21/61/53/1168216153.db2.gz WQOYGASBWYPZPJ-UHFFFAOYSA-N 0 2 302.338 0.912 20 0 DCADLN Cc1nnc(C[NH+]2CCC(n3cc(C(=O)[O-])nn3)CC2)s1 ZINC001600796342 1168298345 /nfs/dbraw/zinc/29/83/45/1168298345.db2.gz VPHMAJFDCNNCKQ-UHFFFAOYSA-N 0 2 308.367 0.973 20 0 DCADLN Cc1oncc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001600864028 1168493029 /nfs/dbraw/zinc/49/30/29/1168493029.db2.gz XAIDZSAMLRKBTI-XJFOESAGSA-N 0 2 319.361 0.879 20 0 DCADLN Cc1oncc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001600864028 1168493031 /nfs/dbraw/zinc/49/30/31/1168493031.db2.gz XAIDZSAMLRKBTI-XJFOESAGSA-N 0 2 319.361 0.879 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)c3ccc(C(=O)[O-])s3)CC[NH2+]2)cn1 ZINC001600945744 1168524573 /nfs/dbraw/zinc/52/45/73/1168524573.db2.gz ZQSNJPCHWIMJOB-JTQLQIEISA-N 0 2 320.374 0.967 20 0 DCADLN Cn1c[nH+]cc1[C@H](CO)NC(=O)c1cccc(OCC(=O)[O-])c1 ZINC001600993781 1168550096 /nfs/dbraw/zinc/55/00/96/1168550096.db2.gz VZRACHRKJYRRQM-LBPRGKRZSA-N 0 2 319.317 0.347 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)NCCOc1ccc(C(=O)[O-])cc1 ZINC001600994063 1168552419 /nfs/dbraw/zinc/55/24/19/1168552419.db2.gz AMZUOEAUQRSNAI-UHFFFAOYSA-N 0 2 318.333 0.997 20 0 DCADLN Cn1ncc(C[NH+]2CCC(C)(NC(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC001601026022 1168569412 /nfs/dbraw/zinc/56/94/12/1168569412.db2.gz BAYPEWXVIQCHFK-RYUDHWBXSA-N 0 2 321.381 0.007 20 0 DCADLN NC(=[NH+]O[C@H]1CCCN(CCC(=O)[O-])C1=O)c1ccccc1 ZINC001601137272 1168594942 /nfs/dbraw/zinc/59/49/42/1168594942.db2.gz ZHUZYBNORADANQ-LBPRGKRZSA-N 0 2 305.334 0.999 20 0 DCADLN O=C(/C=C\C[NH+]1CCOCC1)NCCc1cccc(C(=O)[O-])c1 ZINC001601232418 1168670702 /nfs/dbraw/zinc/67/07/02/1168670702.db2.gz ROSTVUSVYPJTSN-DJWKRKHSSA-N 0 2 318.373 0.932 20 0 DCADLN O=C([O-])c1coc(C=CC(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)c1 ZINC001601235826 1168673234 /nfs/dbraw/zinc/67/32/34/1168673234.db2.gz WDVIMODALKTHIP-BVBSBALXSA-N 0 2 305.290 0.434 20 0 DCADLN O=C([O-])c1coc(C=CC(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)c1 ZINC001601235826 1168673237 /nfs/dbraw/zinc/67/32/37/1168673237.db2.gz WDVIMODALKTHIP-BVBSBALXSA-N 0 2 305.290 0.434 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)COc1ccccc1F ZINC001601345084 1168715712 /nfs/dbraw/zinc/71/57/12/1168715712.db2.gz HUHZMYNOLZDKGR-LLVKDONJSA-N 0 2 307.281 0.671 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(-c2ccoc2)n[nH]1 ZINC001601565925 1168782459 /nfs/dbraw/zinc/78/24/59/1168782459.db2.gz QZWREEPWHCKGEW-LBPRGKRZSA-N 0 2 315.289 0.819 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(-c2ccoc2)n[nH]1 ZINC001601565925 1168782465 /nfs/dbraw/zinc/78/24/65/1168782465.db2.gz QZWREEPWHCKGEW-LBPRGKRZSA-N 0 2 315.289 0.819 20 0 DCADLN O=C([O-])[C@@H](O)C1CC[NH+](Cc2cnn(-c3ccccc3)n2)CC1 ZINC001601683857 1168816997 /nfs/dbraw/zinc/81/69/97/1168816997.db2.gz DYUHSNOYNBFUGY-HNNXBMFYSA-N 0 2 316.361 0.925 20 0 DCADLN O=C([O-])[C@H](c1cccc(Cl)c1)[N@@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001601693446 1168817781 /nfs/dbraw/zinc/81/77/81/1168817781.db2.gz IVLRGMUUHLSTJZ-QWRGUYRKSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])[C@H](c1cccc(Cl)c1)[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001601693446 1168817789 /nfs/dbraw/zinc/81/77/89/1168817789.db2.gz IVLRGMUUHLSTJZ-QWRGUYRKSA-N 0 2 323.736 0.702 20 0 DCADLN O=C([O-])C12CCC(C(=O)NC[C@@H]3C[NH+]4CCN3CC4)(CC1)C2 ZINC001601730348 1168836614 /nfs/dbraw/zinc/83/66/14/1168836614.db2.gz RRSBGACPDMFMHH-UWTIGNOOSA-N 0 2 307.394 0.138 20 0 DCADLN O=C([O-])C1=NO[C@]2(CC[N@H+](CN3C(=O)CC34CCCC4)C2)C1 ZINC001601746937 1168847856 /nfs/dbraw/zinc/84/78/56/1168847856.db2.gz UDIFQOXVSWHKRR-OAHLLOKOSA-N 0 2 307.350 0.794 20 0 DCADLN O=C([O-])C1=NO[C@]2(CC[N@@H+](CN3C(=O)CC34CCCC4)C2)C1 ZINC001601746937 1168847861 /nfs/dbraw/zinc/84/78/61/1168847861.db2.gz UDIFQOXVSWHKRR-OAHLLOKOSA-N 0 2 307.350 0.794 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)CN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC001601768930 1168866564 /nfs/dbraw/zinc/86/65/64/1168866564.db2.gz KZDLRADCQAIUJA-MNOVXSKESA-N 0 2 324.406 0.302 20 0 DCADLN O=C([O-])[C@@H]1C[C@H](O)CN(c2cc(N3CCSCC3)nc[nH+]2)C1 ZINC001601768930 1168866572 /nfs/dbraw/zinc/86/65/72/1168866572.db2.gz KZDLRADCQAIUJA-MNOVXSKESA-N 0 2 324.406 0.302 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001601768306 1168866863 /nfs/dbraw/zinc/86/68/63/1168866863.db2.gz ZWXFKPDIEHMOOX-CMPLNLGQSA-N 0 2 316.317 0.175 20 0 DCADLN O=C([O-])[C@H]1C[C@H](O)CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001601768307 1168868463 /nfs/dbraw/zinc/86/84/63/1168868463.db2.gz ZWXFKPDIEHMOOX-JQWIXIFHSA-N 0 2 316.317 0.175 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@H+]1CC(=O)N(Cc1ccccc1)C1CC1 ZINC001601774099 1168872027 /nfs/dbraw/zinc/87/20/27/1168872027.db2.gz WPUYENAOSITDRH-HUUCEWRRSA-N 0 2 318.373 0.697 20 0 DCADLN O=C([O-])[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)N(Cc1ccccc1)C1CC1 ZINC001601774099 1168872042 /nfs/dbraw/zinc/87/20/42/1168872042.db2.gz WPUYENAOSITDRH-HUUCEWRRSA-N 0 2 318.373 0.697 20 0 DCADLN O=C([O-])C1CCC(S(=O)(=O)N2CCn3c[nH+]cc3C2)CC1 ZINC001601799125 1168900150 /nfs/dbraw/zinc/90/01/50/1168900150.db2.gz NXPGJGUEIOROEY-UHFFFAOYSA-N 0 2 313.379 0.672 20 0 DCADLN O=C([O-])[C@H]1CN(S(=O)(=O)CCn2cc[nH+]c2)c2ccccc21 ZINC001601848729 1168913993 /nfs/dbraw/zinc/91/39/93/1168913993.db2.gz KVQMCACZGABRCD-LBPRGKRZSA-N 0 2 321.358 0.901 20 0 DCADLN O=C([O-])CC1CC[NH+]([C@@H]2CC(=O)N(CC(F)(F)F)C2=O)CC1 ZINC001601956988 1168946823 /nfs/dbraw/zinc/94/68/23/1168946823.db2.gz HMZMTWDTZCVABK-SECBINFHSA-N 0 2 322.283 0.863 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)CC1 ZINC001602062628 1168980774 /nfs/dbraw/zinc/98/07/74/1168980774.db2.gz VHTJMDQZQKNVKX-UHFFFAOYSA-N 0 2 314.345 0.919 20 0 DCADLN O=C([O-])Cn1cc(C[NH2+][C@@]2(CO)CCc3ccccc32)nn1 ZINC001602162608 1169010904 /nfs/dbraw/zinc/01/09/04/1169010904.db2.gz FGFVSXASAFPSPT-OAHLLOKOSA-N 0 2 302.334 0.286 20 0 DCADLN O=C([O-])c1ccnc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC001602477688 1169086117 /nfs/dbraw/zinc/08/61/17/1169086117.db2.gz CCMGMUJTFWADHW-LBPRGKRZSA-N 0 2 305.334 0.327 20 0 DCADLN O=C([O-])c1cnc(CN2CC[NH+](Cc3ccccn3)CC2)cn1 ZINC001602520501 1169104493 /nfs/dbraw/zinc/10/44/93/1169104493.db2.gz NWMWJPNTPCLGFK-UHFFFAOYSA-N 0 2 313.361 0.888 20 0 DCADLN O=C([O-])c1n[nH]c2c1CN(C(=O)[C@@H]1CCc3c[nH+]cn3C1)CC2 ZINC001602564395 1169118541 /nfs/dbraw/zinc/11/85/41/1169118541.db2.gz QUACIXLJINIZOL-SECBINFHSA-N 0 2 315.333 0.452 20 0 DCADLN O=C([O-])c1n[nH]c2c1CN(C(=O)[C@H]1CCc3[nH+]ccn3C1)CC2 ZINC001602564053 1169118585 /nfs/dbraw/zinc/11/85/85/1169118585.db2.gz MKTWADABUBFVEA-VIFPVBQESA-N 0 2 315.333 0.452 20 0 DCADLN O=Cc1cc(F)c(C(=O)N2CC[NH+](CC(=O)[O-])CC2)cc1F ZINC001602665335 1169154172 /nfs/dbraw/zinc/15/41/72/1169154172.db2.gz LTLCVOFEYSGZEV-UHFFFAOYSA-N 0 2 312.272 0.620 20 0 DCADLN CC(=O)N1CCC[N@@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])CC1 ZINC001603020294 1169232589 /nfs/dbraw/zinc/23/25/89/1169232589.db2.gz GLOAXVKRXVMPFW-CYBMUJFWSA-N 0 2 313.398 0.156 20 0 DCADLN CC(=O)N1CCC[N@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])CC1 ZINC001603020294 1169232593 /nfs/dbraw/zinc/23/25/93/1169232593.db2.gz GLOAXVKRXVMPFW-CYBMUJFWSA-N 0 2 313.398 0.156 20 0 DCADLN CC(=O)c1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001603123208 1169248866 /nfs/dbraw/zinc/24/88/66/1169248866.db2.gz AMRLBCUPBWTYMJ-QLJPJBMISA-N 0 2 320.345 0.738 20 0 DCADLN CC(=O)c1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001603123208 1169248870 /nfs/dbraw/zinc/24/88/70/1169248870.db2.gz AMRLBCUPBWTYMJ-QLJPJBMISA-N 0 2 320.345 0.738 20 0 DCADLN CC(C)(CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1=O)n1cc[nH+]c1 ZINC001603625066 1169336194 /nfs/dbraw/zinc/33/61/94/1169336194.db2.gz JGWRMRKFCWSMOB-LLVKDONJSA-N 0 2 322.365 0.058 20 0 DCADLN C[C@H]1CCCC[C@@H]1N(C)c1[nH]c(=O)n(C)c(=O)c1-c1nn[nH]n1 ZINC001603891472 1169422607 /nfs/dbraw/zinc/42/26/07/1169422607.db2.gz RVLLJBPCMRAXSY-IUCAKERBSA-N 0 2 319.369 0.269 20 0 DCADLN CCC[C@@H](NC(=O)c1coc(-c2nn[nH]n2)c1)c1nn[nH]n1 ZINC001604145653 1169506549 /nfs/dbraw/zinc/50/65/49/1169506549.db2.gz VQRHNVNHJPIQBF-SSDOTTSWSA-N 0 2 303.286 0.244 20 0 DCADLN C[C@@H](Cn1cc[nH+]c1)NC(=O)NCCc1ncc(C(=O)[O-])s1 ZINC001604248092 1169532110 /nfs/dbraw/zinc/53/21/10/1169532110.db2.gz WLEVSLFAKNJWJN-VIFPVBQESA-N 0 2 323.378 0.968 20 0 DCADLN C[C@@H](NC(=O)NCC(C)(C)CC(=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC001604289437 1169549111 /nfs/dbraw/zinc/54/91/11/1169549111.db2.gz FBKDQZPURDXSQO-NEPJUHHUSA-N 0 2 315.414 0.896 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CC2CC(C)C2)c1 ZINC001604964241 1169764337 /nfs/dbraw/zinc/76/43/37/1169764337.db2.gz KSYYMHCFHLIICU-UHFFFAOYSA-N 0 2 303.322 0.861 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCO[C@@H]2C)c1 ZINC001604964651 1169765228 /nfs/dbraw/zinc/76/52/28/1169765228.db2.gz YRNTVSVVBWCTDG-BDAKNGLRSA-N 0 2 319.321 0.240 20 0 DCADLN CO[C@@H](C)CCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001605001932 1169773164 /nfs/dbraw/zinc/77/31/64/1169773164.db2.gz BRZLEFWGUXQUGG-QMMMGPOBSA-N 0 2 306.326 0.431 20 0 DCADLN CCNc1ncc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)[O-])s1 ZINC001605504163 1169941198 /nfs/dbraw/zinc/94/11/98/1169941198.db2.gz WWXWVPDBUGXZBR-QMMMGPOBSA-N 0 2 309.351 0.724 20 0 DCADLN CCNc1ncc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])s1 ZINC001605504163 1169941208 /nfs/dbraw/zinc/94/12/08/1169941208.db2.gz WWXWVPDBUGXZBR-QMMMGPOBSA-N 0 2 309.351 0.724 20 0 DCADLN Cn1c(C(=O)[O-])ccc1C(=O)NCc1coc(C[NH+](C)C)n1 ZINC001606037792 1170061604 /nfs/dbraw/zinc/06/16/04/1170061604.db2.gz XXPFOROXAJEOKG-UHFFFAOYSA-N 0 2 306.322 0.703 20 0 DCADLN CN(C)[S@](C)(=O)=NC(=O)C[N@@H+]1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001606045431 1170063365 /nfs/dbraw/zinc/06/33/65/1170063365.db2.gz LKDNXBVMAPUOJC-VVSNUNSYSA-N 0 2 317.411 0.274 20 0 DCADLN CN(C)[S@](C)(=O)=NC(=O)C[N@H+]1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001606045431 1170063367 /nfs/dbraw/zinc/06/33/67/1170063367.db2.gz LKDNXBVMAPUOJC-VVSNUNSYSA-N 0 2 317.411 0.274 20 0 DCADLN CN(CCCNC(=O)c1cnc(C(=O)[O-])cn1)c1cccc[nH+]1 ZINC001606122119 1170083525 /nfs/dbraw/zinc/08/35/25/1170083525.db2.gz GMAPDUNDGCZRQA-UHFFFAOYSA-N 0 2 315.333 0.826 20 0 DCADLN Cn1c(=O)[nH]c(N[C@@H]2C[C@H]2c2ccco2)c(-c2nn[nH]n2)c1=O ZINC001606205592 1170113036 /nfs/dbraw/zinc/11/30/36/1170113036.db2.gz GYVXWRJYFQXGHA-RNFRBKRXSA-N 0 2 315.293 0.227 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])C[N@@H+]1CCCc1nc(C)no1 ZINC001606384984 1170177085 /nfs/dbraw/zinc/17/70/85/1170177085.db2.gz HIOVSQZHGKYAQS-WDEREUQCSA-N 0 2 311.338 0.649 20 0 DCADLN COC(=O)[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1CCCc1nc(C)no1 ZINC001606384984 1170177087 /nfs/dbraw/zinc/17/70/87/1170177087.db2.gz HIOVSQZHGKYAQS-WDEREUQCSA-N 0 2 311.338 0.649 20 0 DCADLN COC(=O)[C@@H]1CN(C(=O)Nc2cc(C)[nH+]cc2C)C[C@H]1C(=O)[O-] ZINC001606424399 1170195032 /nfs/dbraw/zinc/19/50/32/1170195032.db2.gz WGWPGFAMVOWJKU-GHMZBOCLSA-N 0 2 321.333 0.458 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)CCC1 ZINC001606709165 1170271256 /nfs/dbraw/zinc/27/12/56/1170271256.db2.gz VOOGAFSPKATQDF-ZJUUUORDSA-N 0 2 311.338 0.977 20 0 DCADLN COC1([C@H](C(=O)[O-])[N@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)CCC1 ZINC001606709165 1170271258 /nfs/dbraw/zinc/27/12/58/1170271258.db2.gz VOOGAFSPKATQDF-ZJUUUORDSA-N 0 2 311.338 0.977 20 0 DCADLN CO[C@@H]1CC(=O)N(C[N@H+](CCc2ccccc2)CC(=O)[O-])C1 ZINC001606725177 1170273844 /nfs/dbraw/zinc/27/38/44/1170273844.db2.gz SUOCHOSPMVEMKU-CQSZACIVSA-N 0 2 306.362 0.821 20 0 DCADLN CO[C@@H]1CC(=O)N(C[N@@H+](CCc2ccccc2)CC(=O)[O-])C1 ZINC001606725177 1170273849 /nfs/dbraw/zinc/27/38/49/1170273849.db2.gz SUOCHOSPMVEMKU-CQSZACIVSA-N 0 2 306.362 0.821 20 0 DCADLN O=C(Nc1nc(-c2ccco2)n[nH]1)c1cc(-c2nn[nH]n2)ccn1 ZINC001606954345 1170338468 /nfs/dbraw/zinc/33/84/68/1170338468.db2.gz PJBDRQTXFLKQTL-UHFFFAOYSA-N 0 2 323.276 0.892 20 0 DCADLN COc1cc(-c2noc(C[NH2+][C@H](C(=O)[O-])C(C)C)n2)ncn1 ZINC001607046328 1170367063 /nfs/dbraw/zinc/36/70/63/1170367063.db2.gz TZPHOXBIRHEOPA-NSHDSACASA-N 0 2 307.310 0.734 20 0 DCADLN O=c1c(-c2nn[nH]n2)cc2c(n1CCOCC(F)F)CCC2 ZINC001607089512 1170387982 /nfs/dbraw/zinc/38/79/82/1170387982.db2.gz XLCDMSSOXONFGD-UHFFFAOYSA-N 0 2 311.292 0.799 20 0 DCADLN O=c1cc(Cn2cccc(-c3nn[nH]n3)c2=O)nc(C2CC2)[nH]1 ZINC001607092487 1170388327 /nfs/dbraw/zinc/38/83/27/1170388327.db2.gz VYCNFGDJFQZNIR-UHFFFAOYSA-N 0 2 311.305 0.450 20 0 DCADLN COc1nccc(C[N@@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)c1F ZINC001607360143 1170435465 /nfs/dbraw/zinc/43/54/65/1170435465.db2.gz ABJHJCXSWXGWMS-JTQLQIEISA-N 0 2 321.312 0.966 20 0 DCADLN COc1nccc(C[N@H+]2CC[C@H](n3cc(C(=O)[O-])nn3)C2)c1F ZINC001607360143 1170435470 /nfs/dbraw/zinc/43/54/70/1170435470.db2.gz ABJHJCXSWXGWMS-JTQLQIEISA-N 0 2 321.312 0.966 20 0 DCADLN Cc1cc(CNC(=O)C(=O)N2CC[C@H](C(=O)[O-])C2)cc(C)[nH+]1 ZINC001607641504 1170488553 /nfs/dbraw/zinc/48/85/53/1170488553.db2.gz IHESQTWJGBHMLI-LBPRGKRZSA-N 0 2 305.334 0.248 20 0 DCADLN C[C@H](CC(=O)[O-])[NH+]1CCN(C(=O)C2=NC(=O)C(C)S2)CC1 ZINC001608060022 1170563402 /nfs/dbraw/zinc/56/34/02/1170563402.db2.gz ZKSMIODOSDUDPT-MRVPVSSYSA-N 0 2 313.379 0.778 20 0 DCADLN Cn1ncc(C[N@@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)c1Cl ZINC001608158101 1170601213 /nfs/dbraw/zinc/60/12/13/1170601213.db2.gz WDNWULLGYCPVQI-SECBINFHSA-N 0 2 310.745 0.810 20 0 DCADLN Cn1ncc(C[N@H+]2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)c1Cl ZINC001608158101 1170601214 /nfs/dbraw/zinc/60/12/14/1170601214.db2.gz WDNWULLGYCPVQI-SECBINFHSA-N 0 2 310.745 0.810 20 0 DCADLN NC(=O)[C@H](F)C1CC[NH+](Cc2ncc(C(=O)[O-])s2)CC1 ZINC001608227858 1170621039 /nfs/dbraw/zinc/62/10/39/1170621039.db2.gz GVWGNJVRFKIPFM-SNVBAGLBSA-N 0 2 301.343 0.877 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(C(F)F)ncn1 ZINC001608487614 1170688788 /nfs/dbraw/zinc/68/87/88/1170688788.db2.gz ZXZKGEJJMNUIIY-SECBINFHSA-N 0 2 311.248 0.563 20 0 DCADLN O=C([O-])[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(C(F)F)ncn1 ZINC001608487614 1170688793 /nfs/dbraw/zinc/68/87/93/1170688793.db2.gz ZXZKGEJJMNUIIY-SECBINFHSA-N 0 2 311.248 0.563 20 0 DCADLN O=C([O-])[C@H](C[C@H]1CCCOC1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001608532290 1170696757 /nfs/dbraw/zinc/69/67/57/1170696757.db2.gz RABYUQGQDWBALX-KKOKHZNYSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@H](C[C@H]1CCCOC1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001608532290 1170696764 /nfs/dbraw/zinc/69/67/64/1170696764.db2.gz RABYUQGQDWBALX-KKOKHZNYSA-N 0 2 307.350 0.899 20 0 DCADLN O=C([O-])[C@@H](CO)NC(=O)[C@H]1CC[C@@H](Nc2cccc[nH+]2)CC1 ZINC001608539702 1170698690 /nfs/dbraw/zinc/69/86/90/1170698690.db2.gz HLYZUMFKFALWCD-GRYCIOLGSA-N 0 2 307.350 0.614 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001608609990 1170718533 /nfs/dbraw/zinc/71/85/33/1170718533.db2.gz GRWQYLHGPNRBJW-ULHKAFAUSA-N 0 2 307.375 0.410 20 0 DCADLN O=C([O-])[C@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001608609990 1170718536 /nfs/dbraw/zinc/71/85/36/1170718536.db2.gz GRWQYLHGPNRBJW-ULHKAFAUSA-N 0 2 307.375 0.410 20 0 DCADLN O=C([O-])[C@@H]1CCCC[C@H]1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC001608627323 1170725271 /nfs/dbraw/zinc/72/52/71/1170725271.db2.gz RMZQPPKVHULQQM-VXGBXAGGSA-N 0 2 313.379 0.672 20 0 DCADLN O=C([O-])CC1(CNC(=O)CNc2cccc[nH+]2)CCOCC1 ZINC001608678604 1170735306 /nfs/dbraw/zinc/73/53/06/1170735306.db2.gz FJCXPRHCOKSSRW-UHFFFAOYSA-N 0 2 307.350 0.881 20 0 DCADLN O=C([O-])Cc1cccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)c1 ZINC001608787258 1170754070 /nfs/dbraw/zinc/75/40/70/1170754070.db2.gz CFZNAEPGRVQTEC-UHFFFAOYSA-N 0 2 321.358 0.715 20 0 DCADLN O=C([O-])c1c(NC(=O)[C@H]2CCc3c[nH+]cn3C2)nc2n1CCC2 ZINC001608830045 1170763423 /nfs/dbraw/zinc/76/34/23/1170763423.db2.gz YJWIKZIUHAYEPA-VIFPVBQESA-N 0 2 315.333 0.925 20 0 DCADLN O=C([O-])c1ccc(F)c2c1C[N@H+](CC(=O)N1CCOCC1)CC2 ZINC001608896616 1170769535 /nfs/dbraw/zinc/76/95/35/1170769535.db2.gz GQORSZHOHREVON-UHFFFAOYSA-N 0 2 322.336 0.741 20 0 DCADLN O=C([O-])c1ccc(F)c2c1C[N@@H+](CC(=O)N1CCOCC1)CC2 ZINC001608896616 1170769539 /nfs/dbraw/zinc/76/95/39/1170769539.db2.gz GQORSZHOHREVON-UHFFFAOYSA-N 0 2 322.336 0.741 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@H+]3CCC[C@@](O)(CO)C3)nc2c1 ZINC001608916356 1170771940 /nfs/dbraw/zinc/77/19/40/1170771940.db2.gz QAJKSMGLIAUPMB-HNNXBMFYSA-N 0 2 305.334 0.580 20 0 DCADLN O=C([O-])c1ccc2[nH]c(C[N@@H+]3CCC[C@@](O)(CO)C3)nc2c1 ZINC001608916356 1170771942 /nfs/dbraw/zinc/77/19/42/1170771942.db2.gz QAJKSMGLIAUPMB-HNNXBMFYSA-N 0 2 305.334 0.580 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@H+](Cc3nccn3C3CC3)C2)nn1 ZINC001608961848 1170776504 /nfs/dbraw/zinc/77/65/04/1170776504.db2.gz BLDYNKKLSOJGSP-NSHDSACASA-N 0 2 302.338 0.955 20 0 DCADLN O=C([O-])c1cn([C@H]2CC[N@@H+](Cc3nccn3C3CC3)C2)nn1 ZINC001608961848 1170776505 /nfs/dbraw/zinc/77/65/05/1170776505.db2.gz BLDYNKKLSOJGSP-NSHDSACASA-N 0 2 302.338 0.955 20 0 DCADLN O=C([O-])c1cn(C[N@H+]2CCC[C@](O)(C(F)(F)F)CC2)nn1 ZINC001608965132 1170777497 /nfs/dbraw/zinc/77/74/97/1170777497.db2.gz ZYKRJUNATBXYQS-SNVBAGLBSA-N 0 2 308.260 0.713 20 0 DCADLN O=C([O-])c1cn(C[N@@H+]2CCC[C@](O)(C(F)(F)F)CC2)nn1 ZINC001608965132 1170777499 /nfs/dbraw/zinc/77/74/99/1170777499.db2.gz ZYKRJUNATBXYQS-SNVBAGLBSA-N 0 2 308.260 0.713 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCC[C@H]2CCOC2)c1=O ZINC001609257774 1170899811 /nfs/dbraw/zinc/89/98/11/1170899811.db2.gz IMMZJFCWMOJPSX-JTQLQIEISA-N 0 2 320.353 0.027 20 0 DCADLN Cc1ccn(C[C@H]2CC3(CCC3)C(=O)O2)c(=O)c1-c1nn[nH]n1 ZINC001609437623 1170977567 /nfs/dbraw/zinc/97/75/67/1170977567.db2.gz PCCXENQNQPLPLW-SNVBAGLBSA-N 0 2 315.333 0.823 20 0 DCADLN Cc1n[nH]c([C@@H]2CCCN(c3cncc(-c4nn[nH]n4)n3)C2)n1 ZINC001609449885 1170983453 /nfs/dbraw/zinc/98/34/53/1170983453.db2.gz MDCHXRZJYIOJBM-SECBINFHSA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nc([C@@H]2CCCN(c3cncc(-c4nn[nH]n4)n3)C2)n[nH]1 ZINC001609449885 1170983456 /nfs/dbraw/zinc/98/34/56/1170983456.db2.gz MDCHXRZJYIOJBM-SECBINFHSA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nnc([C@@H]2CCCN(c3cncc(-c4nn[nH]n4)n3)C2)[nH]1 ZINC001609449885 1170983462 /nfs/dbraw/zinc/98/34/62/1170983462.db2.gz MDCHXRZJYIOJBM-SECBINFHSA-N 0 2 312.341 0.467 20 0 DCADLN Cc1nn(C[C@H]2CCO[C@H](C)C2)c(=O)c(-c2nn[nH]n2)c1C ZINC001609459319 1170985071 /nfs/dbraw/zinc/98/50/71/1170985071.db2.gz AQBHOXKEPXIZBZ-KCJUWKMLSA-N 0 2 304.354 0.855 20 0 DCADLN C[C@H](C(=O)NC1(C(=O)[O-])CCSCC1)[NH+]1CCSCC1 ZINC001609635129 1171056828 /nfs/dbraw/zinc/05/68/28/1171056828.db2.gz SPEVRNWCGNNKRI-SNVBAGLBSA-N 0 2 318.464 0.890 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-])C1CC1 ZINC001609725277 1171074792 /nfs/dbraw/zinc/07/47/92/1171074792.db2.gz VZJAHLDJOQRJBU-RIEGTJTDSA-N 0 2 310.394 0.686 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-])C1CC1 ZINC001609725277 1171074799 /nfs/dbraw/zinc/07/47/99/1171074799.db2.gz VZJAHLDJOQRJBU-RIEGTJTDSA-N 0 2 310.394 0.686 20 0 DCADLN C[C@H]([NH2+]Cc1cc(=O)n(C)c(=O)n1C)c1ncc(C(=O)[O-])s1 ZINC001609779529 1171083955 /nfs/dbraw/zinc/08/39/55/1171083955.db2.gz LKNUZYQFSALUEG-ZETCQYMHSA-N 0 2 324.362 0.090 20 0 DCADLN CCN(CC(=O)[O-])C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC001609991259 1171132233 /nfs/dbraw/zinc/13/22/33/1171132233.db2.gz LXVXFCAJSMJIQA-GFCCVEGCSA-N 0 2 324.381 0.416 20 0 DCADLN CCN(CC(=O)[O-])C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC001609991259 1171132237 /nfs/dbraw/zinc/13/22/37/1171132237.db2.gz LXVXFCAJSMJIQA-GFCCVEGCSA-N 0 2 324.381 0.416 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[N@@H+]1CC[C@@H](C)C[C@@H]1C(=O)[O-] ZINC001610015784 1171139650 /nfs/dbraw/zinc/13/96/50/1171139650.db2.gz HDHBYRPGPHDAFF-GHMZBOCLSA-N 0 2 300.355 0.193 20 0 DCADLN CCOC(=O)CN(C)C(=O)C[N@H+]1CC[C@@H](C)C[C@@H]1C(=O)[O-] ZINC001610015784 1171139655 /nfs/dbraw/zinc/13/96/55/1171139655.db2.gz HDHBYRPGPHDAFF-GHMZBOCLSA-N 0 2 300.355 0.193 20 0 DCADLN CCOCOc1ccc(C[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC001610037390 1171144640 /nfs/dbraw/zinc/14/46/40/1171144640.db2.gz WKHPXRGUKNLCMI-AWEZNQCLSA-N 0 2 322.361 0.835 20 0 DCADLN CCOCOc1ccc(C[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC001610037390 1171144641 /nfs/dbraw/zinc/14/46/41/1171144641.db2.gz WKHPXRGUKNLCMI-AWEZNQCLSA-N 0 2 322.361 0.835 20 0 DCADLN COC(=O)C(C)(C)[C@H]1CCC[N@@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001610150123 1171178304 /nfs/dbraw/zinc/17/83/04/1171178304.db2.gz XIYGFROWLXZEDZ-JTQLQIEISA-N 0 2 310.354 0.845 20 0 DCADLN COC(=O)C(C)(C)[C@H]1CCC[N@H+](Cn2cnc(C(=O)[O-])n2)C1 ZINC001610150123 1171178300 /nfs/dbraw/zinc/17/83/00/1171178300.db2.gz XIYGFROWLXZEDZ-JTQLQIEISA-N 0 2 310.354 0.845 20 0 DCADLN COC[C@](C)([NH2+]Cc1cc(-c2cnn(C)c2C)no1)C(=O)[O-] ZINC001610244856 1171205978 /nfs/dbraw/zinc/20/59/78/1171205978.db2.gz TZWAIBAIVYGIJB-AWEZNQCLSA-N 0 2 308.338 0.963 20 0 DCADLN COc1ccc2nc(C[NH+]3CCC([C@@H](O)C(=O)[O-])CC3)[nH]c2n1 ZINC001610352000 1171222387 /nfs/dbraw/zinc/22/23/87/1171222387.db2.gz KSYJVADTFHTBSM-CYBMUJFWSA-N 0 2 320.349 0.624 20 0 DCADLN Cc1ncc(S(=O)(=O)NCCCn2cc[nH+]c2)cc1C(=O)[O-] ZINC001610565346 1171255211 /nfs/dbraw/zinc/25/52/11/1171255211.db2.gz QKTZENBSMQOYTN-UHFFFAOYSA-N 0 2 324.362 0.653 20 0 DCADLN O=C([O-])C1(O)CCN(C(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC001610710422 1171296661 /nfs/dbraw/zinc/29/66/61/1171296661.db2.gz CTJLSLJAXNFSIF-UHFFFAOYSA-N 0 2 304.306 0.778 20 0 DCADLN O=C([O-])CC[C@H]1NC(=O)N(Cc2ccn3cc[nH+]c3c2)C1=O ZINC001610778423 1171320791 /nfs/dbraw/zinc/32/07/91/1171320791.db2.gz YFMIRVVVLCVWDG-SNVBAGLBSA-N 0 2 302.290 0.620 20 0 DCADLN O=C([O-])[C@H]1[C@@H]2CN(c3nc(NCCO)c4ccccc4[nH+]3)C[C@@H]21 ZINC001610803673 1171331088 /nfs/dbraw/zinc/33/10/88/1171331088.db2.gz HGMDPAGKRBOCKD-PJXYFTJBSA-N 0 2 314.345 0.801 20 0 DCADLN O=C([O-])c1cc(N2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)ccc1F ZINC001610815605 1171332242 /nfs/dbraw/zinc/33/22/42/1171332242.db2.gz YDWSJPAPTKCAOL-NSHDSACASA-N 0 2 318.264 0.915 20 0 DCADLN C[C@@H](C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1)n1cncn1 ZINC000027585613 1171413098 /nfs/dbraw/zinc/41/30/98/1171413098.db2.gz HAHGWKMTKMDLJY-QMMMGPOBSA-N 0 2 318.362 0.796 20 0 DCADLN CN(Cc1cn(C)nn1)C(=O)C1=NN(c2ccccc2)CC1=O ZINC001640592991 1171885407 /nfs/dbraw/zinc/88/54/07/1171885407.db2.gz HQBIEJRCHLNGMY-UHFFFAOYSA-N 0 2 312.333 0.979 20 0 DCADLN Cc1nn[nH]c1C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC001642572485 1171949884 /nfs/dbraw/zinc/94/98/84/1171949884.db2.gz KYANEBGNBZEYJD-SNVBAGLBSA-N 0 2 313.321 0.651 20 0 DCADLN Nc1nc2nc(CN[C@@H](c3ncccn3)C3CC3)cc(=O)n2[nH]1 ZINC001644599142 1172011876 /nfs/dbraw/zinc/01/18/76/1172011876.db2.gz CYPAUIJWFLHHAW-LLVKDONJSA-N 0 2 312.337 0.031 20 0 DCADLN NC(=O)CN1CCC[C@@H](NC(=O)c2cc(F)c(O)c(F)c2)C1 ZINC001645681216 1172099855 /nfs/dbraw/zinc/09/98/55/1172099855.db2.gz NFOCJUOONYOMNW-SECBINFHSA-N 0 2 313.304 0.350 20 0 DCADLN O=C(c1[nH]cnc1C(F)(F)F)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001645973959 1172202142 /nfs/dbraw/zinc/20/21/42/1172202142.db2.gz URCWVCSSMYAGDT-YFKPBYRVSA-N 0 2 317.231 0.155 20 0 DCADLN O=C(c1nc[nH]c1C(F)(F)F)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001645973959 1172202145 /nfs/dbraw/zinc/20/21/45/1172202145.db2.gz URCWVCSSMYAGDT-YFKPBYRVSA-N 0 2 317.231 0.155 20 0 DCADLN O=C(c1cccc(Cl)c1O)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001646988784 1172625753 /nfs/dbraw/zinc/62/57/53/1172625753.db2.gz AIXRTFSVAZJYOO-MRVPVSSYSA-N 0 2 310.737 0.369 20 0 DCADLN Cc1noc(C)c1[C@@H](C)NC(=O)Cn1[nH]cc2c(=O)ncnc1-2 ZINC001647087554 1172666242 /nfs/dbraw/zinc/66/62/42/1172666242.db2.gz GCKUORPRLVHNEG-SSDOTTSWSA-N 0 2 316.321 0.553 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(NC(=O)C3CC3)CC2)S1 ZINC001647148401 1172702543 /nfs/dbraw/zinc/70/25/43/1172702543.db2.gz JJXOQUBGNUBUAE-SNVBAGLBSA-N 0 2 324.406 0.060 20 0 DCADLN COC(=O)c1cc(C(=O)Nc2n[nH]c(C(F)(F)F)n2)no1 ZINC001650247472 1173531006 /nfs/dbraw/zinc/53/10/06/1173531006.db2.gz WZYBDQFAFJPRDG-UHFFFAOYSA-N 0 2 305.172 0.850 20 0 DCADLN CCn1c(-c2n[nH]c(Cl)n2)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001650291404 1173553794 /nfs/dbraw/zinc/55/37/94/1173553794.db2.gz DAUMNYQKAHCPBS-ZETCQYMHSA-N 0 2 324.776 0.403 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2C[C@@H](C(=O)[O-])CC[C@@H]2C)CCO1 ZINC001650320193 1173565690 /nfs/dbraw/zinc/56/56/90/1173565690.db2.gz BWRSWXXLWTYHGV-XQQFMLRXSA-N 0 2 313.398 0.602 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2C[C@@H](C(=O)[O-])CC[C@@H]2C)CCO1 ZINC001650320193 1173565693 /nfs/dbraw/zinc/56/56/93/1173565693.db2.gz BWRSWXXLWTYHGV-XQQFMLRXSA-N 0 2 313.398 0.602 20 0 DCADLN CN(CCCNC(=O)c1ncccn1)C(=O)C(F)C(F)(F)F ZINC001687596011 1174132540 /nfs/dbraw/zinc/13/25/40/1174132540.db2.gz WXTYWEAKAUMUMJ-QMMMGPOBSA-N 0 2 322.262 0.955 20 0 DCADLN CN(CCCNC(=O)c1ncccn1)C(=O)[C@H](F)C(F)(F)F ZINC001687596011 1174132543 /nfs/dbraw/zinc/13/25/43/1174132543.db2.gz WXTYWEAKAUMUMJ-QMMMGPOBSA-N 0 2 322.262 0.955 20 0 DCADLN C[C@@]1(NC(=O)C=Cc2ccco2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001671608060 1175022284 /nfs/dbraw/zinc/02/22/84/1175022284.db2.gz FTPFTYAWRJSUDY-FOSCPCJNSA-N 0 2 317.349 0.897 20 0 DCADLN C[C@@]1(NC(=O)C=Cc2ccco2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001671608060 1175022287 /nfs/dbraw/zinc/02/22/87/1175022287.db2.gz FTPFTYAWRJSUDY-FOSCPCJNSA-N 0 2 317.349 0.897 20 0 DCADLN O=C(COCC1CC1)NCCCNC(=O)C(F)C(F)(F)F ZINC001686901540 1176243865 /nfs/dbraw/zinc/24/38/65/1176243865.db2.gz KUVAXPIRFZOUKF-JTQLQIEISA-N 0 2 314.279 0.936 20 0 DCADLN O=C(COCC1CC1)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001686901540 1176243866 /nfs/dbraw/zinc/24/38/66/1176243866.db2.gz KUVAXPIRFZOUKF-JTQLQIEISA-N 0 2 314.279 0.936 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001689318510 1176821918 /nfs/dbraw/zinc/82/19/18/1176821918.db2.gz YHYFWNJJUFZZPF-NESOUNQCSA-N 0 2 314.279 0.692 20 0 DCADLN CC(C)[C@@H](O)C(=O)NC/C=C\CNC(=O)[C@H](F)C(F)(F)F ZINC001689318510 1176821927 /nfs/dbraw/zinc/82/19/27/1176821927.db2.gz YHYFWNJJUFZZPF-NESOUNQCSA-N 0 2 314.279 0.692 20 0 DCADLN O=C(NC1CN(CCOCC(F)F)C1)C(F)C(F)(F)F ZINC001692197912 1177444369 /nfs/dbraw/zinc/44/43/69/1177444369.db2.gz CYCRCMLQYOVBCT-MRVPVSSYSA-N 0 2 308.222 0.969 20 0 DCADLN O=C(NC1CN(CCOCC(F)F)C1)[C@@H](F)C(F)(F)F ZINC001692197912 1177444373 /nfs/dbraw/zinc/44/43/73/1177444373.db2.gz CYCRCMLQYOVBCT-MRVPVSSYSA-N 0 2 308.222 0.969 20 0 DCADLN CCn1ncnc1C[NH2+]CC=CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001699246423 1177976912 /nfs/dbraw/zinc/97/69/12/1177976912.db2.gz HBWGUXDDOWGIPN-PLNGDYQASA-N 0 2 319.369 0.111 20 0 DCADLN O=C(CCc1ncccn1)NCCNC(=O)C(F)C(F)(F)F ZINC001720129562 1178603970 /nfs/dbraw/zinc/60/39/70/1178603970.db2.gz BNTIBBBTTLKPIM-SNVBAGLBSA-N 0 2 322.262 0.542 20 0 DCADLN O=C(CCc1ncccn1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001720129562 1178603971 /nfs/dbraw/zinc/60/39/71/1178603971.db2.gz BNTIBBBTTLKPIM-SNVBAGLBSA-N 0 2 322.262 0.542 20 0 DCADLN Cc1nnccc1C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001703380604 1179512107 /nfs/dbraw/zinc/51/21/07/1179512107.db2.gz ANFWPIIYXLSKLE-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN Cc1nnccc1C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001703380604 1179512108 /nfs/dbraw/zinc/51/21/08/1179512108.db2.gz ANFWPIIYXLSKLE-VIFPVBQESA-N 0 2 322.262 0.922 20 0 DCADLN CC[C@@H](C(N)=O)[N@H+](C)CCCNC(=O)c1[nH]nc2c1CCCC2 ZINC001707391043 1180479145 /nfs/dbraw/zinc/47/91/45/1180479145.db2.gz HOGATGMNCHWRAZ-ZDUSSCGKSA-N 0 2 321.425 0.604 20 0 DCADLN C[C@@]1(C(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)CC=CCC1 ZINC001714965554 1181619868 /nfs/dbraw/zinc/61/98/68/1181619868.db2.gz KDTCMVODGWPRLB-OAHLLOKOSA-N 0 2 305.382 0.805 20 0 DCADLN C/C=C(\C)C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[nH]ccc2n1 ZINC001716648494 1182477947 /nfs/dbraw/zinc/47/79/47/1182477947.db2.gz QYWZHDGHWCWFEX-LXRBDXNZSA-N 0 2 315.377 0.679 20 0 DCADLN O=C(C=C1CCCCC1)NCC1(O)CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001716932926 1182588159 /nfs/dbraw/zinc/58/81/59/1182588159.db2.gz MBAOKENGTCLGDB-UHFFFAOYSA-N 0 2 321.381 0.064 20 0 DCADLN Cc1ccnn1CC(=O)NCCCN(C)Cc1ncc(C)cn1 ZINC001731269872 1185232235 /nfs/dbraw/zinc/23/22/35/1185232235.db2.gz KKDAWZVUYIWUNC-UHFFFAOYSA-N 0 2 316.409 0.928 20 0 DCADLN CC[C@@H](NC(C)=O)C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001736784991 1187103547 /nfs/dbraw/zinc/10/35/47/1187103547.db2.gz GUDRNEGVRKLUED-HTQZYQBOSA-N 0 2 315.267 0.034 20 0 DCADLN CC[C@@H](NC(C)=O)C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001736784991 1187103548 /nfs/dbraw/zinc/10/35/48/1187103548.db2.gz GUDRNEGVRKLUED-HTQZYQBOSA-N 0 2 315.267 0.034 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001742496637 1187995138 /nfs/dbraw/zinc/99/51/38/1187995138.db2.gz GADYPOPHFPHLIZ-SECBINFHSA-N 0 2 324.278 0.783 20 0 DCADLN Cc1nn(C)c(C)c1C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001742496637 1187995141 /nfs/dbraw/zinc/99/51/41/1187995141.db2.gz GADYPOPHFPHLIZ-SECBINFHSA-N 0 2 324.278 0.783 20 0 DCADLN O=C(NCCCNC(=O)C(F)C(F)(F)F)c1cc[nH]c(=O)c1 ZINC001742815065 1188058714 /nfs/dbraw/zinc/05/87/14/1188058714.db2.gz IYBFGALJPILNTQ-SECBINFHSA-N 0 2 323.246 0.924 20 0 DCADLN O=C(NCCCNC(=O)[C@@H](F)C(F)(F)F)c1cc[nH]c(=O)c1 ZINC001742815065 1188058716 /nfs/dbraw/zinc/05/87/16/1188058716.db2.gz IYBFGALJPILNTQ-SECBINFHSA-N 0 2 323.246 0.924 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)C[N@@H+]1CC[C@H](C)C1 ZINC001742995997 1188085511 /nfs/dbraw/zinc/08/55/11/1188085511.db2.gz JDRDGESBTYOAOD-LBPRGKRZSA-N 0 2 321.425 0.225 20 0 DCADLN CN(C(=O)CCOCC1CC1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753438120 1188597259 /nfs/dbraw/zinc/59/72/59/1188597259.db2.gz SLJWBXVWDWMACZ-GFCCVEGCSA-N 0 2 323.397 0.360 20 0 DCADLN CN(C(=O)CCOCC1CC1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001753438120 1188597263 /nfs/dbraw/zinc/59/72/63/1188597263.db2.gz SLJWBXVWDWMACZ-GFCCVEGCSA-N 0 2 323.397 0.360 20 0 DCADLN CCCCOCC(=O)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001755883746 1189247497 /nfs/dbraw/zinc/24/74/97/1189247497.db2.gz ADXVFXHLOHKXAS-UHFFFAOYSA-N 0 2 323.397 0.575 20 0 DCADLN CCCCOCC(=O)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001755883746 1189247500 /nfs/dbraw/zinc/24/75/00/1189247500.db2.gz ADXVFXHLOHKXAS-UHFFFAOYSA-N 0 2 323.397 0.575 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001758419710 1189879709 /nfs/dbraw/zinc/87/97/09/1189879709.db2.gz KHAOYNVRXQQRDN-JSGCOSHPSA-N 0 2 322.409 0.650 20 0 DCADLN CC(C)[C@@H]1CCCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC001771665375 1190432479 /nfs/dbraw/zinc/43/24/79/1190432479.db2.gz RAIZJTYLOXFJGO-LLVKDONJSA-N 0 2 303.366 0.966 20 0 DCADLN C[C@H]1[C@H](CO)CCCN1c1nnc(-c2n[nH]c(Cl)n2)n1C ZINC001772150684 1190615464 /nfs/dbraw/zinc/61/54/64/1190615464.db2.gz HVXCILFFDCDWGR-YUMQZZPRSA-N 0 2 311.777 0.851 20 0 DCADLN CN(C(=O)c1ccnc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042524939 751716686 /nfs/dbraw/zinc/71/66/86/751716686.db2.gz ZYTRWSPSOJFBMQ-UHFFFAOYSA-N 0 2 306.301 0.001 20 0 DCADLN CN(C(=O)c1cnc(C2CC2)o1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001042956498 752005049 /nfs/dbraw/zinc/00/50/49/752005049.db2.gz KKIOLXANNSDLBQ-UHFFFAOYSA-N 0 2 318.337 0.332 20 0 DCADLN CC[C@H](C)C(=O)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043377087 752188707 /nfs/dbraw/zinc/18/87/07/752188707.db2.gz RQRCRLNSJTYHDS-JGVFFNPUSA-N 0 2 314.279 0.622 20 0 DCADLN CC[C@H](C)C(=O)N1CC(O)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001043377087 752188716 /nfs/dbraw/zinc/18/87/16/752188716.db2.gz RQRCRLNSJTYHDS-JGVFFNPUSA-N 0 2 314.279 0.622 20 0 DCADLN O=C(C=C1CCC1)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043375165 752201337 /nfs/dbraw/zinc/20/13/37/752201337.db2.gz JOZKSSWZABDVAG-JTQLQIEISA-N 0 2 324.274 0.687 20 0 DCADLN O=C(C=C1CCC1)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043375165 752201342 /nfs/dbraw/zinc/20/13/42/752201342.db2.gz JOZKSSWZABDVAG-JTQLQIEISA-N 0 2 324.274 0.687 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)on1 ZINC001088464220 749471499 /nfs/dbraw/zinc/47/14/99/749471499.db2.gz LKCDUYPDQWGHBP-BDAKNGLRSA-N 0 2 306.326 0.200 20 0 DCADLN COCC(=O)NCC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001059765790 749567034 /nfs/dbraw/zinc/56/70/34/749567034.db2.gz CCAQVPKXIHCIPN-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN COCC(=O)NCC[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001059765790 749567040 /nfs/dbraw/zinc/56/70/40/749567040.db2.gz CCAQVPKXIHCIPN-SCZZXKLOSA-N 0 2 314.279 0.888 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CCC(O)CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088547214 749575862 /nfs/dbraw/zinc/57/58/62/749575862.db2.gz JMPYQCLDJVURMD-YYJSSNLHSA-N 0 2 323.397 0.140 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2CCC(O)CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088547214 749575867 /nfs/dbraw/zinc/57/58/67/749575867.db2.gz JMPYQCLDJVURMD-YYJSSNLHSA-N 0 2 323.397 0.140 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(C)CC=CC2)CC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088837776 750210240 /nfs/dbraw/zinc/21/02/40/750210240.db2.gz YTSNSNBYLZFAHN-MNOVXSKESA-N 0 2 305.382 0.946 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)C2(C)CC=CC2)CC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001088837776 750210242 /nfs/dbraw/zinc/21/02/42/750210242.db2.gz YTSNSNBYLZFAHN-MNOVXSKESA-N 0 2 305.382 0.946 20 0 DCADLN COC[C@H](C)[C@@H](C)C(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001112944560 762039365 /nfs/dbraw/zinc/03/93/65/762039365.db2.gz HAYAGIJQVJMVAP-WDEREUQCSA-N 0 2 311.386 0.073 20 0 DCADLN CC(F)(F)CCC(=O)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC001113027976 762095937 /nfs/dbraw/zinc/09/59/37/762095937.db2.gz KSRFBFFGDXUQDR-UHFFFAOYSA-N 0 2 303.313 0.590 20 0 DCADLN CN(C(=O)[C@@H]1Cc2ccccc21)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001043707282 752357374 /nfs/dbraw/zinc/35/73/74/752357374.db2.gz DKNPSTZTNCMUSX-CYBMUJFWSA-N 0 2 313.361 0.493 20 0 DCADLN C[C@@H]1CC[C@H](CC(=O)N(C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001043751663 752375117 /nfs/dbraw/zinc/37/51/17/752375117.db2.gz IZUJOGSMCCXDQQ-MWLCHTKSSA-N 0 2 309.370 0.111 20 0 DCADLN CC[C@H](F)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001044815643 752888309 /nfs/dbraw/zinc/88/83/09/752888309.db2.gz GEKKMVBJUNLFEK-BQBZGAKWSA-N 0 2 318.242 0.324 20 0 DCADLN CC[C@H](F)C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001044815643 752888315 /nfs/dbraw/zinc/88/83/15/752888315.db2.gz GEKKMVBJUNLFEK-BQBZGAKWSA-N 0 2 318.242 0.324 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2ccnnc2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071841128 762175330 /nfs/dbraw/zinc/17/53/30/762175330.db2.gz PZTCKHXFAVXBDS-KOLCDFICSA-N 0 2 317.353 0.083 20 0 DCADLN O=C(c1cocn1)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045735861 753310278 /nfs/dbraw/zinc/31/02/78/753310278.db2.gz YBYRJXUHRAXYIO-ZJUUUORDSA-N 0 2 318.337 0.377 20 0 DCADLN O=C(c1cocn1)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001045735861 753310285 /nfs/dbraw/zinc/31/02/85/753310285.db2.gz YBYRJXUHRAXYIO-ZJUUUORDSA-N 0 2 318.337 0.377 20 0 DCADLN Cc1ccc(C(=O)N[C@]2(C)CCN(Cc3n[nH]c(=O)[nH]3)C2)o1 ZINC001046120264 753491208 /nfs/dbraw/zinc/49/12/08/753491208.db2.gz GYHMIPCGXCHLNK-CQSZACIVSA-N 0 2 305.338 0.806 20 0 DCADLN Cc1ccncc1C(=O)N[C@@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046213276 753563140 /nfs/dbraw/zinc/56/31/40/753563140.db2.gz VNDJWVFZTVAYSS-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccncc1C(=O)N[C@@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046213276 753563143 /nfs/dbraw/zinc/56/31/43/753563143.db2.gz VNDJWVFZTVAYSS-HNNXBMFYSA-N 0 2 316.365 0.608 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CCCCO2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046231182 753572952 /nfs/dbraw/zinc/57/29/52/753572952.db2.gz AMYSYOULWAOCKP-IINYFYTJSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@@]1(NC(=O)[C@@H]2CCCCO2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001046231182 753572957 /nfs/dbraw/zinc/57/29/57/753572957.db2.gz AMYSYOULWAOCKP-IINYFYTJSA-N 0 2 309.370 0.160 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NCCN(CCO)C(=O)C(F)C(F)(F)F ZINC001057833641 753573906 /nfs/dbraw/zinc/57/39/06/753573906.db2.gz LMDPAGOEVCAXAG-HLTSFMKQSA-N 0 2 314.279 0.480 20 0 DCADLN C[C@@H]1C[C@H]1C(=O)NCCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001057833641 753573908 /nfs/dbraw/zinc/57/39/08/753573908.db2.gz LMDPAGOEVCAXAG-HLTSFMKQSA-N 0 2 314.279 0.480 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)Cc2ccco2)C[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071916868 762226886 /nfs/dbraw/zinc/22/68/86/762226886.db2.gz RRVLIJAPHIPXDA-MNOVXSKESA-N 0 2 319.365 0.815 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)Cc2ccco2)C[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001071916868 762226888 /nfs/dbraw/zinc/22/68/88/762226888.db2.gz RRVLIJAPHIPXDA-MNOVXSKESA-N 0 2 319.365 0.815 20 0 DCADLN C[C@H]1CC[C@H](NC(=O)c2cccnn2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071923448 762230547 /nfs/dbraw/zinc/23/05/47/762230547.db2.gz IRNYHIXMOXWVTQ-UWVGGRQHSA-N 0 2 317.353 0.083 20 0 DCADLN CC[NH+]1CCn2ncc(CNC(=O)C[N@@H+]3CCC[C@H]3C)c2C1 ZINC001128490066 753816432 /nfs/dbraw/zinc/81/64/32/753816432.db2.gz YYHZOCAFTXCMKX-CYBMUJFWSA-N 0 2 305.426 0.819 20 0 DCADLN O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CCC1(F)F)C1CC1 ZINC001046901873 754010037 /nfs/dbraw/zinc/01/00/37/754010037.db2.gz KMWCMKSKYSZTCE-VIFPVBQESA-N 0 2 315.324 0.494 20 0 DCADLN Cc1nocc1CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)n1)C2 ZINC001096163931 754114412 /nfs/dbraw/zinc/11/44/12/754114412.db2.gz KSYNAIMCVMFUCY-DYEKYZERSA-N 0 2 316.365 0.647 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C1CC1)C(F)C(F)(F)F ZINC001061639164 754170513 /nfs/dbraw/zinc/17/05/13/754170513.db2.gz MJCWCKXTYRVTNM-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C1CC1)[C@@H](F)C(F)(F)F ZINC001061639164 754170517 /nfs/dbraw/zinc/17/05/17/754170517.db2.gz MJCWCKXTYRVTNM-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN COCC[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001111430096 754951263 /nfs/dbraw/zinc/95/12/63/754951263.db2.gz KAQFSPKDAJQDRY-QCNOEVLYSA-N 0 2 323.397 0.404 20 0 DCADLN CC(F)(F)C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[nH]1 ZINC001049280593 755214889 /nfs/dbraw/zinc/21/48/89/755214889.db2.gz PAQSMGGERDLKRR-BDAKNGLRSA-N 0 2 315.324 0.731 20 0 DCADLN O=C(c1ccoc1)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049331527 755225388 /nfs/dbraw/zinc/22/53/88/755225388.db2.gz MGGIGIKTIALXPQ-VXGBXAGGSA-N 0 2 317.349 0.982 20 0 DCADLN O=C(c1ccoc1)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001049331527 755225390 /nfs/dbraw/zinc/22/53/90/755225390.db2.gz MGGIGIKTIALXPQ-VXGBXAGGSA-N 0 2 317.349 0.982 20 0 DCADLN CCC(=O)N1CCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001064697154 755451859 /nfs/dbraw/zinc/45/18/59/755451859.db2.gz UHEWVLNFPIUCEL-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CCC(=O)N1CCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001064697154 755451861 /nfs/dbraw/zinc/45/18/61/755451861.db2.gz UHEWVLNFPIUCEL-CBAPKCEASA-N 0 2 300.252 0.640 20 0 DCADLN CCc1occc1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1C ZINC001079643186 755953114 /nfs/dbraw/zinc/95/31/14/755953114.db2.gz ZQNXMWRFNDVRRY-MWLCHTKSSA-N 0 2 319.365 0.916 20 0 DCADLN C[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccccc1 ZINC001079973588 756068172 /nfs/dbraw/zinc/06/81/72/756068172.db2.gz HPLVRRWDRIYZLD-DGCLKSJQSA-N 0 2 315.377 0.690 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1ccccc1 ZINC001079973588 756068176 /nfs/dbraw/zinc/06/81/76/756068176.db2.gz HPLVRRWDRIYZLD-DGCLKSJQSA-N 0 2 315.377 0.690 20 0 DCADLN Cc1noc([C@@H](C)N2C[C@@H](C)[C@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001080023905 756077877 /nfs/dbraw/zinc/07/78/77/756077877.db2.gz DMAKVNYTRNTZHM-SOCHQFKDSA-N 0 2 305.342 0.307 20 0 DCADLN Cc1noc([C@@H](C)[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001080023905 756077879 /nfs/dbraw/zinc/07/78/79/756077879.db2.gz DMAKVNYTRNTZHM-SOCHQFKDSA-N 0 2 305.342 0.307 20 0 DCADLN Cc1noc([C@@H](C)[N@H+]2C[C@@H](C)[C@H](NC(=O)c3cnn[n-]3)C2)n1 ZINC001080023905 756077884 /nfs/dbraw/zinc/07/78/84/756077884.db2.gz DMAKVNYTRNTZHM-SOCHQFKDSA-N 0 2 305.342 0.307 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@@H]([NH2+]Cc3nncs3)C2)c1[O-] ZINC001054569768 756545086 /nfs/dbraw/zinc/54/50/86/756545086.db2.gz GUNMSAFDVGNSIP-APPZFPTMSA-N 0 2 322.394 0.526 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@@H]([NH2+]Cc3cnon3)C2)c1[O-] ZINC001054575451 756546547 /nfs/dbraw/zinc/54/65/47/756546547.db2.gz SUWHVLBMYNATIN-XCBNKYQSSA-N 0 2 306.326 0.057 20 0 DCADLN Cc1[nH]nc(C(=O)N2C[C@H](C)[C@H]([NH2+]Cc3cnon3)C2)c1[O-] ZINC001054575445 756547111 /nfs/dbraw/zinc/54/71/11/756547111.db2.gz SUWHVLBMYNATIN-OIBJUYFYSA-N 0 2 306.326 0.057 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@H]1CC=CCC1 ZINC001081350535 756589370 /nfs/dbraw/zinc/58/93/70/756589370.db2.gz LBJVBSHJGKEGTK-QJPTWQEYSA-N 0 2 321.381 0.182 20 0 DCADLN CO[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)[C@H](C)C1CCC1 ZINC001081843425 756839984 /nfs/dbraw/zinc/83/99/84/756839984.db2.gz XBUCEMMBKOYUAC-YUSALJHKSA-N 0 2 323.397 0.262 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cccnc1 ZINC001085459549 758900393 /nfs/dbraw/zinc/90/03/93/758900393.db2.gz KMPUVNMRGRLFQP-LLVKDONJSA-N 0 2 302.338 0.252 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)c1cccs1 ZINC001085466781 758915873 /nfs/dbraw/zinc/91/58/73/758915873.db2.gz BOKPZHYZELPBPG-SECBINFHSA-N 0 2 307.379 0.918 20 0 DCADLN Cc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)n1C ZINC001085486403 758933206 /nfs/dbraw/zinc/93/32/06/758933206.db2.gz ZQWOJSNQIAZTQK-LLVKDONJSA-N 0 2 318.381 0.504 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cc(F)c([O-])c(Cl)c2)[C@@H](CO)C1 ZINC000828716618 759073805 /nfs/dbraw/zinc/07/38/05/759073805.db2.gz OBFZGEHCRIANAK-SECBINFHSA-N 0 2 302.733 0.933 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cc(F)c([O-])c(Cl)c2)[C@@H](CO)C1 ZINC000828716618 759073809 /nfs/dbraw/zinc/07/38/09/759073809.db2.gz OBFZGEHCRIANAK-SECBINFHSA-N 0 2 302.733 0.933 20 0 DCADLN CN(C[C@H]1CC[N@@H+]1C/C=C/Cl)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699845 759211094 /nfs/dbraw/zinc/21/10/94/759211094.db2.gz XMOVZPXLTWZEKH-BYDTYLDUSA-N 0 2 313.745 0.182 20 0 DCADLN CN(C[C@H]1CC[N@H+]1C/C=C/Cl)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699845 759211104 /nfs/dbraw/zinc/21/11/04/759211104.db2.gz XMOVZPXLTWZEKH-BYDTYLDUSA-N 0 2 313.745 0.182 20 0 DCADLN Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c(C)[nH]1 ZINC001085846738 759376772 /nfs/dbraw/zinc/37/67/72/759376772.db2.gz PXSQRXMWTQLCEB-LLVKDONJSA-N 0 2 318.381 0.802 20 0 DCADLN Cc1c[nH]cc1C(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001085970808 759528993 /nfs/dbraw/zinc/52/89/93/759528993.db2.gz LJLIGSPSSNWBEL-JTQLQIEISA-N 0 2 304.354 0.493 20 0 DCADLN O=C(CCCF)N(CCO)CCNC(=O)C(F)C(F)(F)F ZINC001058397062 759958409 /nfs/dbraw/zinc/95/84/09/759958409.db2.gz AVCSPXKWPQHPPK-SECBINFHSA-N 0 2 320.258 0.574 20 0 DCADLN O=C(CCCF)N(CCO)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001058397062 759958416 /nfs/dbraw/zinc/95/84/16/759958416.db2.gz AVCSPXKWPQHPPK-SECBINFHSA-N 0 2 320.258 0.574 20 0 DCADLN CC(C)OCCNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001169189802 760600516 /nfs/dbraw/zinc/60/05/16/760600516.db2.gz NEJWMSWWAXHNDL-UHFFFAOYSA-N 0 2 306.322 0.421 20 0 DCADLN CS[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[nH]1 ZINC001108965800 761180797 /nfs/dbraw/zinc/18/07/97/761180797.db2.gz KAWCJEGVBLSESG-IMSYWVGJSA-N 0 2 311.411 0.483 20 0 DCADLN CCC(=O)N1C[C@H](C)O[C@@]2(CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071116869 761630643 /nfs/dbraw/zinc/63/06/43/761630643.db2.gz QRGAZTFNYINGQC-IINYFYTJSA-N 0 2 309.370 0.112 20 0 DCADLN CCC(=O)N1C[C@H](C)O[C@@]2(CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001071116869 761630648 /nfs/dbraw/zinc/63/06/48/761630648.db2.gz QRGAZTFNYINGQC-IINYFYTJSA-N 0 2 309.370 0.112 20 0 DCADLN C[C@@H]1CC[C@H](NC(=O)c2cnn(C)c2)CN1Cc1n[nH]c(=O)[nH]1 ZINC001071338457 761784654 /nfs/dbraw/zinc/78/46/54/761784654.db2.gz UCQKBJAPHTWQDI-KOLCDFICSA-N 0 2 319.369 0.027 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001071379691 761810763 /nfs/dbraw/zinc/81/07/63/761810763.db2.gz XTKLDWCQDJKRJP-SCZZXKLOSA-N 0 2 320.353 0.590 20 0 DCADLN O=C(CCCC1CC1)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@@H]1O ZINC001099967605 763173271 /nfs/dbraw/zinc/17/32/71/763173271.db2.gz QBNLNKPKTQWERV-RYUDHWBXSA-N 0 2 323.397 0.142 20 0 DCADLN CC(=O)CCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2n[nH]c(=O)[nH]2)C1 ZINC001131802053 764038447 /nfs/dbraw/zinc/03/84/47/764038447.db2.gz DZLSSESHCPAPBB-PWSUYJOCSA-N 0 2 323.397 0.739 20 0 DCADLN Cc1nccc(NC[C@H](O)CN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001124808870 768307038 /nfs/dbraw/zinc/30/70/38/768307038.db2.gz NCSVFHIYJINLGZ-WPRPVWTQSA-N 0 2 324.278 0.917 20 0 DCADLN NC(=O)c1ccc(C(=O)NN2C(=O)c3ccccc3C2=O)cn1 ZINC001116594699 765886237 /nfs/dbraw/zinc/88/62/37/765886237.db2.gz LZXJZKLDDCGLSJ-UHFFFAOYSA-N 0 2 310.269 0.122 20 0 DCADLN CC[C@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CCCO1 ZINC001149208670 767038836 /nfs/dbraw/zinc/03/88/36/767038836.db2.gz VMHOXROKWVUYTG-WDEREUQCSA-N 0 2 311.386 0.264 20 0 DCADLN COCc1nc(C(=O)NCCc2n[nH]c(=S)o2)cs1 ZINC001138519748 768422126 /nfs/dbraw/zinc/42/21/26/768422126.db2.gz RGTSXRMIKBHBBM-UHFFFAOYSA-N 0 2 300.365 0.934 20 0 DCADLN CS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(OCC(=O)[O-])cc2)C1 ZINC001138925825 768446897 /nfs/dbraw/zinc/44/68/97/768446897.db2.gz YSSZZFFYRZYMPO-CYBMUJFWSA-N 0 2 313.375 0.769 20 0 DCADLN CS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(OCC(=O)[O-])cc2)C1 ZINC001138925825 768446900 /nfs/dbraw/zinc/44/69/00/768446900.db2.gz YSSZZFFYRZYMPO-CYBMUJFWSA-N 0 2 313.375 0.769 20 0 DCADLN COc1cccc(C[N@@H+]2CCCC[C@@H]2C(N)=O)c1OCC(=O)[O-] ZINC001139268323 768464629 /nfs/dbraw/zinc/46/46/29/768464629.db2.gz UPEBTDHUUMMBBW-GFCCVEGCSA-N 0 2 322.361 0.998 20 0 DCADLN COc1cccc(C[N@H+]2CCCC[C@@H]2C(N)=O)c1OCC(=O)[O-] ZINC001139268323 768464632 /nfs/dbraw/zinc/46/46/32/768464632.db2.gz UPEBTDHUUMMBBW-GFCCVEGCSA-N 0 2 322.361 0.998 20 0 DCADLN COC(=O)[C@H]1C[N@H+](Cc2[nH]c(C)c(C(=O)[O-])c2C)CCN1C ZINC001140496372 768533787 /nfs/dbraw/zinc/53/37/87/768533787.db2.gz CKIIUWRDVTZQJJ-GFCCVEGCSA-N 0 2 309.366 0.619 20 0 DCADLN COC(=O)[C@H]1C[N@@H+](Cc2[nH]c(C)c(C(=O)[O-])c2C)CCN1C ZINC001140496372 768533790 /nfs/dbraw/zinc/53/37/90/768533790.db2.gz CKIIUWRDVTZQJJ-GFCCVEGCSA-N 0 2 309.366 0.619 20 0 DCADLN Cc1cc(CN2CC[NH+](CCCCS(=O)(=O)[O-])CC2)n[nH]1 ZINC001203081120 768557210 /nfs/dbraw/zinc/55/72/10/768557210.db2.gz LJQJUQAJQHXQDO-UHFFFAOYSA-N 0 2 316.427 0.504 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)CCc1ccco1 ZINC001230765231 768840635 /nfs/dbraw/zinc/84/06/35/768840635.db2.gz BYCDXKCQADQBOZ-LLVKDONJSA-N 0 2 319.365 0.769 20 0 DCADLN C[C@H]1CC[NH+](CC(=O)NC[C@H]2C[N@H+](CCF)CCCO2)C1 ZINC001150333868 769066491 /nfs/dbraw/zinc/06/64/91/769066491.db2.gz QGVWHBVDRQMIGG-KBPBESRZSA-N 0 2 301.406 0.505 20 0 DCADLN C[C@H]1Oc2cc(C(=O)NCc3n[nH]c(=O)[nH]3)ccc2NC1=O ZINC001151209519 769291253 /nfs/dbraw/zinc/29/12/53/769291253.db2.gz KUGWWUXXEOVLIR-ZCFIWIBFSA-N 0 2 303.278 0.160 20 0 DCADLN CC[C@H](SC)C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001233695652 769428682 /nfs/dbraw/zinc/42/86/82/769428682.db2.gz SSJRQKRYPYIURF-ZJUUUORDSA-N 0 2 313.427 0.685 20 0 DCADLN O=C(C[C@@H](c1[nH]cc[nH+]1)c1ccccc1)NCc1nc(=O)o[n-]1 ZINC001151978180 769454183 /nfs/dbraw/zinc/45/41/83/769454183.db2.gz RUHSDDCEPCWAAE-LLVKDONJSA-N 0 2 313.317 0.924 20 0 DCADLN CN1CCO[C@H](COc2cc(O)cc3occc(=O)c23)C1=O ZINC001233835175 769467088 /nfs/dbraw/zinc/46/70/88/769467088.db2.gz VSMTUPUYJRIWIJ-CYBMUJFWSA-N 0 2 305.286 0.735 20 0 DCADLN CN(C)C(=O)CN1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426084 769653267 /nfs/dbraw/zinc/65/32/67/769653267.db2.gz RWSCFALTVOVLIJ-WCBMZHEXSA-N 0 2 313.295 0.508 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cnc2ccc(Cl)nn21 ZINC001153214046 769754263 /nfs/dbraw/zinc/75/42/63/769754263.db2.gz QHCWLXLKYNAZAZ-SECBINFHSA-N 0 2 311.710 0.422 20 0 DCADLN COc1cc(NS(=O)(=O)c2cncc(N)c2)cnc1OC ZINC001174547361 769763061 /nfs/dbraw/zinc/76/30/61/769763061.db2.gz WGGCKQVQCBLGRG-UHFFFAOYSA-N 0 2 310.335 0.877 20 0 DCADLN CNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001235321978 769809393 /nfs/dbraw/zinc/80/93/93/769809393.db2.gz WBFGYNUYKASIFZ-STQMWFEESA-N 0 2 310.442 0.138 20 0 DCADLN C[NH+]1CCC[C@H]2CN(C(=O)c3cc4n(n3)CCC[N@H+](C)C4)C[C@H]21 ZINC001175077453 769862535 /nfs/dbraw/zinc/86/25/35/769862535.db2.gz OLMQBAXDCWMDFI-XJKSGUPXSA-N 0 2 317.437 0.885 20 0 DCADLN C[C@]1(CO)CCN(C(=O)c2c[nH]c3cccnc3c2=O)C[C@@H]1O ZINC001153855658 769964362 /nfs/dbraw/zinc/96/43/62/769964362.db2.gz BTOOAMVVEMVJEF-BLLLJJGKSA-N 0 2 317.345 0.129 20 0 DCADLN O=C(c1c[nH]c2cccnc2c1=O)N1C[C@@H](F)C[C@H](CO)C1 ZINC001153857556 769965849 /nfs/dbraw/zinc/96/58/49/769965849.db2.gz OLSMVRYRKBHUKC-UWVGGRQHSA-N 0 2 305.309 0.716 20 0 DCADLN O=C(C[NH+]1CCCC1)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001176118027 770037854 /nfs/dbraw/zinc/03/78/54/770037854.db2.gz IGZCXNABFHLMAH-UHFFFAOYSA-N 0 2 307.398 0.639 20 0 DCADLN COC(=O)c1ccc(C[N@@H+](C)CCN2CC[NH+](C)CC2)cn1 ZINC001237450787 770043268 /nfs/dbraw/zinc/04/32/68/770043268.db2.gz WZRLJXAJTSSDNW-UHFFFAOYSA-N 0 2 306.410 0.547 20 0 DCADLN Cn1cc[nH+]c1CCC(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC001154321443 770140870 /nfs/dbraw/zinc/14/08/70/770140870.db2.gz MXQQZYNCTADWQO-UHFFFAOYSA-N 0 2 313.321 0.673 20 0 DCADLN Cc1nc2[nH]ccc2c(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)[nH+]1 ZINC001157067810 771526033 /nfs/dbraw/zinc/52/60/33/771526033.db2.gz TVSXDEXSMJUVMS-XNCJUZBTSA-N 0 2 311.323 0.080 20 0 DCADLN C=CC(=O)N(C)CC(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC001157355429 771594715 /nfs/dbraw/zinc/59/47/15/771594715.db2.gz LNEFTOMQOSYISQ-UHFFFAOYSA-N 0 2 301.306 0.760 20 0 DCADLN COC[C@@H](C)Oc1cc(NC(=O)C(CO)C(F)(F)F)[nH]n1 ZINC001183278672 771626287 /nfs/dbraw/zinc/62/62/87/771626287.db2.gz HYLHRVIOUNQCAU-RNFRBKRXSA-N 0 2 311.260 0.933 20 0 DCADLN COC[C@@H](C)Oc1cc(NC(=O)[C@@H](CO)C(F)(F)F)[nH]n1 ZINC001183278672 771626289 /nfs/dbraw/zinc/62/62/89/771626289.db2.gz HYLHRVIOUNQCAU-RNFRBKRXSA-N 0 2 311.260 0.933 20 0 DCADLN CCc1c(NC(=O)C(CO)C(F)(F)F)c(C(N)=O)nn1C ZINC001183281791 771626907 /nfs/dbraw/zinc/62/69/07/771626907.db2.gz XKLZSMNGHQALTR-RXMQYKEDSA-N 0 2 308.260 0.191 20 0 DCADLN CCc1c(NC(=O)[C@@H](CO)C(F)(F)F)c(C(N)=O)nn1C ZINC001183281791 771626910 /nfs/dbraw/zinc/62/69/10/771626910.db2.gz XKLZSMNGHQALTR-RXMQYKEDSA-N 0 2 308.260 0.191 20 0 DCADLN COc1ccc(C2(C(=O)NCc3n[nH]c(=O)[nH]3)CC(O)C2)cc1 ZINC001184193416 771754168 /nfs/dbraw/zinc/75/41/68/771754168.db2.gz WWDLTMYUUACMHD-UHFFFAOYSA-N 0 2 318.333 0.228 20 0 DCADLN CCCNC(=O)C[NH2+][C@@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001185841641 771963344 /nfs/dbraw/zinc/96/33/44/771963344.db2.gz MYBKDDTUURRWOF-GFCCVEGCSA-N 0 2 319.409 0.617 20 0 DCADLN COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1nccnc1CC(=O)[O-] ZINC001160696640 772098194 /nfs/dbraw/zinc/09/81/94/772098194.db2.gz OTJUAGRCAQLAMA-LLVKDONJSA-N 0 2 319.321 0.033 20 0 DCADLN CC[C@H](C)OCC(=O)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187569297 772202975 /nfs/dbraw/zinc/20/29/75/772202975.db2.gz FFTLZJOQYQSGTG-SDDRHHMPSA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@H](C)OCC(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001187569297 772202980 /nfs/dbraw/zinc/20/29/80/772202980.db2.gz FFTLZJOQYQSGTG-SDDRHHMPSA-N 0 2 323.397 0.358 20 0 DCADLN COC(=O)CCS(=O)(=O)Nc1c(CO)cccc1OC ZINC001188389084 772295812 /nfs/dbraw/zinc/29/58/12/772295812.db2.gz QLJHNMYVJYNWMV-UHFFFAOYSA-N 0 2 303.336 0.492 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1ccnn1-c1ccccc1 ZINC001188439629 772304037 /nfs/dbraw/zinc/30/40/37/772304037.db2.gz DEQBXWUUGOSBCQ-LBPRGKRZSA-N 0 2 302.315 0.911 20 0 DCADLN O=S(=O)(Nc1nnco1)c1ccc(N2CCOCC2)nc1 ZINC001188485354 772307849 /nfs/dbraw/zinc/30/78/49/772307849.db2.gz MGODMGMDVVJBIV-UHFFFAOYSA-N 0 2 311.323 0.102 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1ccc(F)cc1F)=C(C)O ZINC001189845521 772552719 /nfs/dbraw/zinc/55/27/19/772552719.db2.gz MZXYXTBWLGIHOX-LLVKDONJSA-N 0 2 321.301 0.515 20 0 DCADLN O=S(=O)(NC[C@H](O)C(F)(F)F)c1ncc(F)cc1F ZINC001190207368 772607326 /nfs/dbraw/zinc/60/73/26/772607326.db2.gz LSUAIGVJDKFEBQ-LURJTMIESA-N 0 2 306.212 0.561 20 0 DCADLN O=c1[nH]cnc(NS(=O)(=O)c2ncc[nH]2)c1Br ZINC001190689737 772665439 /nfs/dbraw/zinc/66/54/39/772665439.db2.gz AJXINKODJICRIE-UHFFFAOYSA-N 0 2 320.128 0.469 20 0 DCADLN O=C(CCc1c[nH]nn1)NCCC[NH2+]Cc1ncc(C2CC2)o1 ZINC001166966620 772891278 /nfs/dbraw/zinc/89/12/78/772891278.db2.gz FBJCSPGFXLNVNY-UHFFFAOYSA-N 0 2 318.381 0.899 20 0 DCADLN CN1CCN(C2C[NH+](Cc3ccc(C(=O)[O-])cc3)C2)CC1=O ZINC001204155337 772939974 /nfs/dbraw/zinc/93/99/74/772939974.db2.gz JRROGZIWQIXZKW-UHFFFAOYSA-N 0 2 303.362 0.343 20 0 DCADLN NC(=O)c1cc(NS(=O)(=O)c2cnccc2Cl)ccn1 ZINC001192854813 772970425 /nfs/dbraw/zinc/97/04/25/772970425.db2.gz JMMBFFRFLDUHCQ-UHFFFAOYSA-N 0 2 312.738 0.452 20 0 DCADLN C[C@@]1(CO)CN(C(=O)c2cc(F)c(O)cc2F)CC[C@@H]1O ZINC001192860472 772970928 /nfs/dbraw/zinc/97/09/28/772970928.db2.gz UTNJWPGMYHEIPY-JSGCOSHPSA-N 0 2 301.289 0.876 20 0 DCADLN Nc1ccc(F)cc1S(=O)(=O)Nc1cnn(C2COC2)c1 ZINC001205584830 773001799 /nfs/dbraw/zinc/00/17/99/773001799.db2.gz AOJKIZQGDXTBJP-UHFFFAOYSA-N 0 2 312.326 0.977 20 0 DCADLN O=C(N[C@@H]1CN(Cc2cccnc2)C[C@H]1O)C(F)C(F)(F)F ZINC001193130247 773011830 /nfs/dbraw/zinc/01/18/30/773011830.db2.gz ILJOMBRZJVVQMT-GMTAPVOTSA-N 0 2 321.274 0.643 20 0 DCADLN CSC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206626767 773095610 /nfs/dbraw/zinc/09/56/10/773095610.db2.gz XWEGHCZZNGDTQY-KXUCPTDWSA-N 0 2 313.427 0.446 20 0 DCADLN CSC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001206626767 773095615 /nfs/dbraw/zinc/09/56/15/773095615.db2.gz XWEGHCZZNGDTQY-KXUCPTDWSA-N 0 2 313.427 0.446 20 0 DCADLN O=c1[nH]cnc(O)c1NS(=O)(=O)Cc1noc2ccccc12 ZINC001194058054 773145208 /nfs/dbraw/zinc/14/52/08/773145208.db2.gz AYETXMNYCUTMCF-UHFFFAOYSA-N 0 2 322.302 0.971 20 0 DCADLN CCOC(=O)c1ccncc1S(=O)(=O)Nc1ncccn1 ZINC001195590320 773439412 /nfs/dbraw/zinc/43/94/12/773439412.db2.gz OPUJAPUCDNDKGU-UHFFFAOYSA-N 0 2 308.319 0.849 20 0 DCADLN Cc1c(Br)n[nH]c1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001195607835 773452780 /nfs/dbraw/zinc/45/27/80/773452780.db2.gz SLIBVAVOECTRRW-UHFFFAOYSA-N 0 2 301.104 0.234 20 0 DCADLN O=C(N[C@H]1SC(=O)NC1=O)c1cncn1-c1ccccn1 ZINC001198192495 773879312 /nfs/dbraw/zinc/87/93/12/773879312.db2.gz GROLANZFHNPGTN-NSHDSACASA-N 0 2 303.303 0.306 20 0 DCADLN O=C([O-])c1ccccc1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC001198763387 773977395 /nfs/dbraw/zinc/97/73/95/773977395.db2.gz ZDWWJCDKDPUXGI-UHFFFAOYSA-N 0 2 307.331 0.786 20 0 DCADLN C[NH+](C)[C@H](C(=O)N[C@@H]1C[N@H+](CCCF)C[C@H]1O)c1ccccc1 ZINC001199119518 774042182 /nfs/dbraw/zinc/04/21/82/774042182.db2.gz HWPFCQSMLKFKET-OAGGEKHMSA-N 0 2 323.412 0.810 20 0 DCADLN O=C(N[C@@H]1SC(=O)NC1=O)c1cn(-c2ccccn2)cn1 ZINC001199117483 774042980 /nfs/dbraw/zinc/04/29/80/774042980.db2.gz NPPPSTRYDYGCJN-LLVKDONJSA-N 0 2 303.303 0.306 20 0 DCADLN CC[C@H](C)CC(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[nH]3)C[C@@H]21 ZINC001217737572 774195414 /nfs/dbraw/zinc/19/54/14/774195414.db2.gz XTAJUZBRQUYPDT-SDDRHHMPSA-N 0 2 323.397 0.358 20 0 DCADLN Cc1ncc(CO)c(CNC(=O)c2[nH]nc3c2CCC3)c1O ZINC001201243310 774470903 /nfs/dbraw/zinc/47/09/03/774470903.db2.gz WGEJDCCORREWAE-UHFFFAOYSA-N 0 2 302.334 0.730 20 0 DCADLN Cn1ccc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C2([NH+](C)C)CCC2)n1 ZINC001114405127 774841817 /nfs/dbraw/zinc/84/18/17/774841817.db2.gz NNHNOIVGZKUOSF-FICVDOATSA-N 0 2 317.437 0.451 20 0 DCADLN CC(=O)CCCC(=O)N1C[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C[C@H]21 ZINC001221272948 775051439 /nfs/dbraw/zinc/05/14/39/775051439.db2.gz YICNLJZLVSUBGG-VXGBXAGGSA-N 0 2 321.381 0.302 20 0 DCADLN C[C@H]1CCC[N@H+]1CC(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001224535205 775579009 /nfs/dbraw/zinc/57/90/09/775579009.db2.gz DUSHBAKWBOEFGY-ZDUSSCGKSA-N 0 2 321.425 0.882 20 0 DCADLN O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)OCC[C@H]1CCOC1 ZINC001224696361 775596384 /nfs/dbraw/zinc/59/63/84/775596384.db2.gz CTDGBJXZOBVPKH-OLZOCXBDSA-N 0 2 323.411 0.018 20 0 DCADLN Cc1ccc(O[C@H](C[NH+]2CCOCC2)CS(=O)(=O)[O-])cc1 ZINC001225257493 775659703 /nfs/dbraw/zinc/65/97/03/775659703.db2.gz LWBJFZKOOCXNKC-CQSZACIVSA-N 0 2 315.391 0.962 20 0 DCADLN Cc1nccc(NCCN(CCO)C(=O)C(F)C(F)(F)F)n1 ZINC001111579851 775672729 /nfs/dbraw/zinc/67/27/29/775672729.db2.gz URROMOYIXLXSNG-SNVBAGLBSA-N 0 2 324.278 0.918 20 0 DCADLN Cc1c([C@@H](C)Oc2[nH]c(=O)nc3c(=O)[nH]c(=O)[nH]c23)cnn1C ZINC001226888818 775891182 /nfs/dbraw/zinc/89/11/82/775891182.db2.gz VOGGBKLNZXYKFQ-ZCFIWIBFSA-N 0 2 318.293 0.719 20 0 DCADLN COC(=O)[C@H](Oc1[nH]c(=O)nc2nccnc21)C(F)(F)F ZINC001226991048 775906734 /nfs/dbraw/zinc/90/67/34/775906734.db2.gz DUDJZKRJQGDCOM-YFKPBYRVSA-N 0 2 304.184 0.608 20 0 DCADLN C=CC(=O)OC[C@H](C)Oc1[nH]c(=O)nnc1Br ZINC001227063463 775920913 /nfs/dbraw/zinc/92/09/13/775920913.db2.gz GASDTJSJVZRZBW-YFKPBYRVSA-N 0 2 304.100 0.836 20 0 DCADLN Cn1nncc1C[NH+]1CCC(NC(=O)C[N@H+](C)C2CCC2)CC1 ZINC001227832108 776013766 /nfs/dbraw/zinc/01/37/66/776013766.db2.gz DABQJFUDJSVFRU-UHFFFAOYSA-N 0 2 320.441 0.380 20 0 DCADLN CC(=O)OCC(COC(C)=O)Oc1[nH]c(=O)nc2cccnc21 ZINC001228008644 776036172 /nfs/dbraw/zinc/03/61/72/776036172.db2.gz PVMNWXKCTOTBON-UHFFFAOYSA-N 0 2 321.289 0.604 20 0 DCADLN CCOC(=O)C[C@H](Oc1nc(C(=O)OC)c[nH]1)C(=O)OCC ZINC001230279199 776273752 /nfs/dbraw/zinc/27/37/52/776273752.db2.gz CVWNCEGGMGOWPN-VIFPVBQESA-N 0 2 314.294 0.460 20 0 DCADLN CN(C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(=O)Cc1ccsc1 ZINC001230671706 776378159 /nfs/dbraw/zinc/37/81/59/776378159.db2.gz VDPDSRIWCJEIIB-LLVKDONJSA-N 0 2 321.406 0.847 20 0 DCADLN CC(F)(F)C(=O)NCC1(O)CN(C(=O)C(F)C(F)(F)F)C1 ZINC001041155101 777095355 /nfs/dbraw/zinc/09/53/55/777095355.db2.gz WMWGQSADFGZLJD-YFKPBYRVSA-N 0 2 322.205 0.232 20 0 DCADLN CC(F)(F)C(=O)NCC1(O)CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001041155101 777095358 /nfs/dbraw/zinc/09/53/58/777095358.db2.gz WMWGQSADFGZLJD-YFKPBYRVSA-N 0 2 322.205 0.232 20 0 DCADLN CC[C@@H](C(N)=O)[N@@H+]1CC[C@@]2(CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001041713160 777443425 /nfs/dbraw/zinc/44/34/25/777443425.db2.gz DMBCOMPICXDTBB-XJKSGUPXSA-N 0 2 319.409 0.141 20 0 DCADLN CN(Cc1c[nH+]cn1C)S(=O)(=O)[C@H]1CCCC[C@@H]1C(=O)[O-] ZINC001465112533 804123349 /nfs/dbraw/zinc/12/33/49/804123349.db2.gz BOHOEQRKAUPNFP-RYUDHWBXSA-N 0 2 315.395 0.825 20 0 DCADLN COC(=O)c1cc(-c2nn[nH]n2)c(=O)n(CSC(C)C)c1 ZINC001591285232 1167200207 /nfs/dbraw/zinc/20/02/07/1167200207.db2.gz FHWYHFMKRINLFY-UHFFFAOYSA-N 0 2 309.351 0.914 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@H+](C)CC(=O)N(C)C1CCCCC1 ZINC001603160073 1169260974 /nfs/dbraw/zinc/26/09/74/1169260974.db2.gz HCULLNSDUHIBPD-NSHDSACASA-N 0 2 313.398 0.299 20 0 DCADLN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+](C)CC(=O)N(C)C1CCCCC1 ZINC001603160073 1169260983 /nfs/dbraw/zinc/26/09/83/1169260983.db2.gz HCULLNSDUHIBPD-NSHDSACASA-N 0 2 313.398 0.299 20 0 DCADLN CC(C)CCO[C@@H]1CC[N@H+](CN2C[C@@H](C(=O)[O-])OC2=O)C1 ZINC001603795737 1169394234 /nfs/dbraw/zinc/39/42/34/1169394234.db2.gz UALOPSULFGZRIO-NEPJUHHUSA-N 0 2 300.355 0.986 20 0 DCADLN CC(C)CCO[C@@H]1CC[N@@H+](CN2C[C@@H](C(=O)[O-])OC2=O)C1 ZINC001603795737 1169394246 /nfs/dbraw/zinc/39/42/46/1169394246.db2.gz UALOPSULFGZRIO-NEPJUHHUSA-N 0 2 300.355 0.986 20 0 DCADLN CCCc1cc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001480929442 939410209 /nfs/dbraw/zinc/41/02/09/939410209.db2.gz YDWVCIHFWRGTDJ-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN CCCc1cc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)no1 ZINC001480929442 939410210 /nfs/dbraw/zinc/41/02/10/939410210.db2.gz YDWVCIHFWRGTDJ-UHFFFAOYSA-N 0 2 308.342 0.313 20 0 DCADLN Cn1ncc(CN2CCC[C@@H]2CNC(=O)C(F)C(F)(F)F)n1 ZINC001481039891 939537373 /nfs/dbraw/zinc/53/73/73/939537373.db2.gz PYVZXNTUPUJULM-ZJUUUORDSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncc(CN2CCC[C@@H]2CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001481039891 939537374 /nfs/dbraw/zinc/53/73/74/939537374.db2.gz PYVZXNTUPUJULM-ZJUUUORDSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1nnc(CN(CCNC(=O)C(F)C(F)(F)F)C2CC2)n1 ZINC001481169969 939593969 /nfs/dbraw/zinc/59/39/69/939593969.db2.gz YKDNHSUKNYYVQD-SECBINFHSA-N 0 2 324.282 0.191 20 0 DCADLN Cn1nnc(CN(CCNC(=O)[C@@H](F)C(F)(F)F)C2CC2)n1 ZINC001481169969 939593970 /nfs/dbraw/zinc/59/39/70/939593970.db2.gz YKDNHSUKNYYVQD-SECBINFHSA-N 0 2 324.282 0.191 20 0 DCADLN Cc1nonc1CN1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001421134547 939711699 /nfs/dbraw/zinc/71/16/99/939711699.db2.gz RLRBGPPNELXLJI-SECBINFHSA-N 0 2 310.251 0.826 20 0 DCADLN Cc1nonc1CN1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001421134547 939711702 /nfs/dbraw/zinc/71/17/02/939711702.db2.gz RLRBGPPNELXLJI-SECBINFHSA-N 0 2 310.251 0.826 20 0 DCADLN CC(C)(C)C(=O)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001268170148 939979770 /nfs/dbraw/zinc/97/97/70/939979770.db2.gz SOUFGLXXKHKXHE-VIFPVBQESA-N 0 2 309.370 0.206 20 0 DCADLN Cc1ccc(CC(=O)NCC2CN(Cc3n[nH]c(=O)[nH]3)C2)cn1 ZINC001481658057 940017925 /nfs/dbraw/zinc/01/79/25/940017925.db2.gz DOVAYDSRBPLZOK-UHFFFAOYSA-N 0 2 316.365 0.004 20 0 DCADLN COCCC(C)(C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001481694784 940048256 /nfs/dbraw/zinc/04/82/56/940048256.db2.gz MAECTCYVMWLEOJ-JTQLQIEISA-N 0 2 311.386 0.264 20 0 DCADLN Cc1[nH]nc(C(=O)NCC=CC[NH2+]Cc2ncnn2C)c1C ZINC001268518745 940212850 /nfs/dbraw/zinc/21/28/50/940212850.db2.gz LEZIWOPWLZZNOU-PLNGDYQASA-N 0 2 303.370 0.231 20 0 DCADLN CO[C@H](C)C(=O)N1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001271747752 941442241 /nfs/dbraw/zinc/44/22/41/941442241.db2.gz LGDHPYBLZCVQLC-SSDOTTSWSA-N 0 2 321.293 0.230 20 0 DCADLN CN1CC2(CN(C(=O)c3cc(F)c(O)cc3F)C2)OCC1=O ZINC001272263988 942020083 /nfs/dbraw/zinc/02/00/83/942020083.db2.gz STXRYMAJYANRFW-UHFFFAOYSA-N 0 2 312.272 0.354 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409549563 942045815 /nfs/dbraw/zinc/04/58/15/942045815.db2.gz XJIMRVLJCFWMIB-MNOVXSKESA-N 0 2 313.402 0.508 20 0 DCADLN CC(C)CO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001409549563 942045820 /nfs/dbraw/zinc/04/58/20/942045820.db2.gz XJIMRVLJCFWMIB-MNOVXSKESA-N 0 2 313.402 0.508 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)C(F)C(F)(F)F)c1ccc[nH]1 ZINC001412882871 942122589 /nfs/dbraw/zinc/12/25/89/942122589.db2.gz WRRIWKJFAMBBQQ-HTRCEHHLSA-N 0 2 311.235 0.122 20 0 DCADLN O=C(N[C@@H](CO)CNC(=O)[C@@H](F)C(F)(F)F)c1ccc[nH]1 ZINC001412882871 942122592 /nfs/dbraw/zinc/12/25/92/942122592.db2.gz WRRIWKJFAMBBQQ-HTRCEHHLSA-N 0 2 311.235 0.122 20 0 DCADLN COC1([C@H](C)NC(=O)C[C@H]2SC(=N)NC2=O)CCOCC1 ZINC001413129009 942515757 /nfs/dbraw/zinc/51/57/57/942515757.db2.gz CQLJPFSXRUDKFM-DTWKUNHWSA-N 0 2 315.395 0.243 20 0 DCADLN Cc1nn(CC(=O)NCc2nc(O)cc(=O)[nH]2)c(C)c1Cl ZINC001413323364 942890812 /nfs/dbraw/zinc/89/08/12/942890812.db2.gz AZNBHZFZGKNBBT-UHFFFAOYSA-N 0 2 311.729 0.671 20 0 DCADLN O=C(N[C@@H](CO)[C@H](O)c1ccccn1)c1cc(F)c(O)c(F)c1 ZINC001413415279 942961294 /nfs/dbraw/zinc/96/12/94/942961294.db2.gz JSYJZXWHDVUUFG-GXTWGEPZSA-N 0 2 324.283 0.890 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@@]1(C)CC[N@@H+](CCn2cncn2)C1 ZINC001484067046 943126088 /nfs/dbraw/zinc/12/60/88/943126088.db2.gz GSIKNYDMCKHXGB-HNNXBMFYSA-N 0 2 317.397 0.133 20 0 DCADLN C[C@@]1(NC(=O)CCn2cccc2)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001484062636 943129625 /nfs/dbraw/zinc/12/96/25/943129625.db2.gz FJICNNGIDDZKPW-OAHLLOKOSA-N 0 2 318.381 0.483 20 0 DCADLN C[C@@]1(NC(=O)CCn2cccc2)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001484062636 943129629 /nfs/dbraw/zinc/12/96/29/943129629.db2.gz FJICNNGIDDZKPW-OAHLLOKOSA-N 0 2 318.381 0.483 20 0 DCADLN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001413672609 943563037 /nfs/dbraw/zinc/56/30/37/943563037.db2.gz PDAVVOZKYSRYOJ-UTINFBMNSA-N 0 2 312.395 0.258 20 0 DCADLN C[C@H](CNC(=O)C[C@H](C)n1cccn1)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485371994 943904765 /nfs/dbraw/zinc/90/47/65/943904765.db2.gz XIDPXPYPYBJOMS-WDEREUQCSA-N 0 2 321.385 0.295 20 0 DCADLN C[C@H](CNC(=O)C[C@H](C)n1cccn1)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001485371994 943904766 /nfs/dbraw/zinc/90/47/66/943904766.db2.gz XIDPXPYPYBJOMS-WDEREUQCSA-N 0 2 321.385 0.295 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001601659228 971038723 /nfs/dbraw/zinc/03/87/23/971038723.db2.gz HHZRGDGDRQLXTE-IJLUTSLNSA-N 0 2 300.318 0.720 20 0 DCADLN O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001601659228 971038733 /nfs/dbraw/zinc/03/87/33/971038733.db2.gz HHZRGDGDRQLXTE-IJLUTSLNSA-N 0 2 300.318 0.720 20 0 DCADLN O=S1(=O)CC[C@@](O)(C[NH2+]Cc2ccc(O)c(F)c2F)C1 ZINC001462250575 1013058351 /nfs/dbraw/zinc/05/83/51/1013058351.db2.gz YEDSPEZPKAYVIO-GFCCVEGCSA-N 0 2 307.318 0.310 20 0 DCADLN O=S1(=O)CC[C@@](O)(C[NH2+]Cc2ccc([O-])c(F)c2F)C1 ZINC001462250575 1013058368 /nfs/dbraw/zinc/05/83/68/1013058368.db2.gz YEDSPEZPKAYVIO-GFCCVEGCSA-N 0 2 307.318 0.310 20 0 DCADLN COCCN(CCNC(=O)C1CC(C)C1)Cc1n[nH]c(=O)[nH]1 ZINC001486427982 944876880 /nfs/dbraw/zinc/87/68/80/944876880.db2.gz YLPXZRDHHPYSFD-UHFFFAOYSA-N 0 2 311.386 0.121 20 0 DCADLN Cc1ncc(S(=O)(=O)NNc2nncc(N)c2Cl)s1 ZINC001319479285 945599469 /nfs/dbraw/zinc/59/94/69/945599469.db2.gz QODSZUFZJFQIQM-UHFFFAOYSA-N 0 2 320.787 0.783 20 0 DCADLN O=C([O-])c1ccc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc1 ZINC001602320381 971179579 /nfs/dbraw/zinc/17/95/79/971179579.db2.gz RIEKTPPSGBWAKS-ZDUSSCGKSA-N 0 2 300.318 0.895 20 0 DCADLN O=C([O-])c1ccc(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)cc1 ZINC001602322507 971181060 /nfs/dbraw/zinc/18/10/60/971181060.db2.gz QDVCKJCPJQYLDI-UHFFFAOYSA-N 0 2 320.345 0.563 20 0 DCADLN O=C([O-])c1ccc(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)cc1 ZINC001602322507 971181063 /nfs/dbraw/zinc/18/10/63/971181063.db2.gz QDVCKJCPJQYLDI-UHFFFAOYSA-N 0 2 320.345 0.563 20 0 DCADLN CCOC(=O)C1=C(O)CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001252317948 945888771 /nfs/dbraw/zinc/88/87/71/945888771.db2.gz DOOHCGHWDLPSGE-ZETCQYMHSA-N 0 2 317.285 0.680 20 0 DCADLN Cc1nc(C[NH2+]CC[C@@H](C)NC(=O)CCc2cnn[nH]2)co1 ZINC001320553166 945922691 /nfs/dbraw/zinc/92/26/91/945922691.db2.gz QJZIVPCRZMXEEJ-SNVBAGLBSA-N 0 2 306.370 0.718 20 0 DCADLN Cc1nc(C[NH2+]CC[C@H](C)NC(=O)CCc2cnn[nH]2)co1 ZINC001320553165 945924162 /nfs/dbraw/zinc/92/41/62/945924162.db2.gz QJZIVPCRZMXEEJ-JTQLQIEISA-N 0 2 306.370 0.718 20 0 DCADLN O=C(C1CCC1)N1CC[C@H](NS(=O)(=O)CC(F)(F)F)C1 ZINC001253245838 946101086 /nfs/dbraw/zinc/10/10/86/946101086.db2.gz QRLSIAGSJIXPDL-VIFPVBQESA-N 0 2 314.329 0.869 20 0 DCADLN COc1cc(OC)cc(-c2nnc(-c3c[nH]c(=O)c(=O)[nH]3)o2)c1 ZINC001253903802 946201042 /nfs/dbraw/zinc/20/10/42/946201042.db2.gz VNAQVKFYEBKIIM-UHFFFAOYSA-N 0 2 316.273 0.797 20 0 DCADLN CC[C@H](C)c1nnc([C@H](C)NC(=O)CC[C@H]2NC(=O)NC2=O)[nH]1 ZINC001321895830 946504057 /nfs/dbraw/zinc/50/40/57/946504057.db2.gz RCWNHSXLQACJQR-XHNCKOQMSA-N 0 2 322.369 0.484 20 0 DCADLN O=C1NC(=O)[C@H](NS(=O)(=O)c2cc(F)ccc2F)S1 ZINC001258948498 946876294 /nfs/dbraw/zinc/87/62/94/946876294.db2.gz UTZQIVWJURPUMY-MRVPVSSYSA-N 0 2 308.287 0.552 20 0 DCADLN COC(=O)CS(=O)(=O)Nc1ccnc(OC(F)(F)F)c1 ZINC001259018229 946891184 /nfs/dbraw/zinc/89/11/84/946891184.db2.gz ABGSRXWGGVJMDR-UHFFFAOYSA-N 0 2 314.241 0.895 20 0 DCADLN COC(=O)CCc1ccc(S(=O)(=O)Nc2nnco2)cc1 ZINC001259316214 946944154 /nfs/dbraw/zinc/94/41/54/946944154.db2.gz KFBRMHMDSUEHER-UHFFFAOYSA-N 0 2 311.319 0.976 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccnn2CC(F)(F)F)cn1 ZINC001259821698 946989619 /nfs/dbraw/zinc/98/96/19/946989619.db2.gz MOBWOGDYLVMUBR-UHFFFAOYSA-N 0 2 309.273 0.980 20 0 DCADLN CCn1cc(S(=O)(=O)Nc2ccc3c(c2)CNC3=O)cn1 ZINC001259838160 946996195 /nfs/dbraw/zinc/99/61/95/946996195.db2.gz WTIGNRQEJYYPOY-UHFFFAOYSA-N 0 2 306.347 0.947 20 0 DCADLN COc1ccc2nc(NS(=O)(=O)c3ccn(C)n3)nnc2c1 ZINC001259937381 947028213 /nfs/dbraw/zinc/02/82/13/947028213.db2.gz MCAMEGKXMXWXFL-UHFFFAOYSA-N 0 2 320.334 0.568 20 0 DCADLN COC(=O)C(NS(=O)(=O)Cc1ccccc1Cl)=C(C)O ZINC001260697667 947140160 /nfs/dbraw/zinc/14/01/60/947140160.db2.gz GZONPKHJASCQSN-LLVKDONJSA-N 0 2 319.766 0.890 20 0 DCADLN CCCC(=O)NC[C@]1(O)CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001411140824 947385133 /nfs/dbraw/zinc/38/51/33/947385133.db2.gz JARLODWZCCDAJY-GXSJLCMTSA-N 0 2 314.279 0.767 20 0 DCADLN CCCC(=O)NC[C@]1(O)CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001411140824 947385145 /nfs/dbraw/zinc/38/51/45/947385145.db2.gz JARLODWZCCDAJY-GXSJLCMTSA-N 0 2 314.279 0.767 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001415181206 947510178 /nfs/dbraw/zinc/51/01/78/947510178.db2.gz BHNCSCBNQLFDMW-CBAPKCEASA-N 0 2 310.251 0.404 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001415181206 947510186 /nfs/dbraw/zinc/51/01/86/947510186.db2.gz BHNCSCBNQLFDMW-CBAPKCEASA-N 0 2 310.251 0.404 20 0 DCADLN C/C(=C/C(=O)N1CCC[C@H](C)[C@H]1C(=O)[O-])C[NH+]1CCOCC1 ZINC001602734907 971413951 /nfs/dbraw/zinc/41/39/51/971413951.db2.gz BHXFNRNZGGSUHW-QQYFDESBSA-N 0 2 310.394 0.977 20 0 DCADLN O=C(NCCNc1ncnc2nc[nH]c21)C(F)C(F)(F)F ZINC001094182495 947796635 /nfs/dbraw/zinc/79/66/35/947796635.db2.gz DVJDFHWPCKWKSE-ZCFIWIBFSA-N 0 2 306.223 0.733 20 0 DCADLN O=C(NCCNc1ncnc2nc[nH]c21)[C@@H](F)C(F)(F)F ZINC001094182495 947796643 /nfs/dbraw/zinc/79/66/43/947796643.db2.gz DVJDFHWPCKWKSE-ZCFIWIBFSA-N 0 2 306.223 0.733 20 0 DCADLN C[C@H]1Cc2cn[nH]c2[C@@H](C(=O)Nc2n[nH]cc2-c2nn[nH]n2)C1 ZINC001570920674 948604314 /nfs/dbraw/zinc/60/43/14/948604314.db2.gz YQEKFLMVNQVNID-XPUUQOCRSA-N 0 2 313.325 0.618 20 0 DCADLN CC(C)C(=O)N(C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001488423514 948830635 /nfs/dbraw/zinc/83/06/35/948830635.db2.gz IZORDNJQCJQEGH-RKDXNWHRSA-N 0 2 316.295 0.821 20 0 DCADLN CC(C)C(=O)N(C)C[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001488423514 948830647 /nfs/dbraw/zinc/83/06/47/948830647.db2.gz IZORDNJQCJQEGH-RKDXNWHRSA-N 0 2 316.295 0.821 20 0 DCADLN COc1cc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)ccn1 ZINC001364382780 949160369 /nfs/dbraw/zinc/16/03/69/949160369.db2.gz KGJCFAVXRKOWNW-VIFPVBQESA-N 0 2 308.363 0.305 20 0 DCADLN Cn1cc(CNC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cn1 ZINC001364510006 949457458 /nfs/dbraw/zinc/45/74/58/949457458.db2.gz JAYMBAOGLGRNQU-SNVBAGLBSA-N 0 2 305.342 0.333 20 0 DCADLN CS(=O)(=O)Cc1nc(CN[C@H]2CCc3cccnc32)n[nH]1 ZINC001364732881 949839488 /nfs/dbraw/zinc/83/94/88/949839488.db2.gz ZAHUZGCFVMSWDN-JTQLQIEISA-N 0 2 307.379 0.521 20 0 DCADLN C[C@@H](C1CC1)N(C)C(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001364753049 949876652 /nfs/dbraw/zinc/87/66/52/949876652.db2.gz LRXSZXJLUIZINK-QMMMGPOBSA-N 0 2 315.399 0.289 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001489839974 950144175 /nfs/dbraw/zinc/14/41/75/950144175.db2.gz ORJFQTSMPAUESW-GKROBHDKSA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NC[C@H]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C1)c1cnon1 ZINC001489839974 950144190 /nfs/dbraw/zinc/14/41/90/950144190.db2.gz ORJFQTSMPAUESW-GKROBHDKSA-N 0 2 324.234 0.595 20 0 DCADLN Cc1noc([C@@H](NC(=O)C[C@H]2SC(=N)NC2=O)C(C)C)n1 ZINC001365185827 950694340 /nfs/dbraw/zinc/69/43/40/950694340.db2.gz BLPJIEXCGGTTTR-APPZFPTMSA-N 0 2 311.367 0.748 20 0 DCADLN Nc1nc2nc(C[N@@H+]3CCOC[C@H]3CC3CC3)cc(=O)n2[n-]1 ZINC001365334040 950985817 /nfs/dbraw/zinc/98/58/17/950985817.db2.gz XDGHKUNSILYUEH-LLVKDONJSA-N 0 2 304.354 0.001 20 0 DCADLN Nc1nc2nc(C[N@H+]3CCOC[C@H]3CC3CC3)cc(=O)n2[n-]1 ZINC001365334040 950985829 /nfs/dbraw/zinc/98/58/29/950985829.db2.gz XDGHKUNSILYUEH-LLVKDONJSA-N 0 2 304.354 0.001 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)N[C@H](CCO)C(F)(F)F ZINC001365461636 951154466 /nfs/dbraw/zinc/15/44/66/951154466.db2.gz NCYZFJFJUFHTSW-RXMQYKEDSA-N 0 2 303.262 0.157 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NCCCc2ncnn2C)cn1 ZINC001331774532 951307903 /nfs/dbraw/zinc/30/79/03/951307903.db2.gz JJYRDRIACOLMAK-UHFFFAOYSA-N 0 2 314.353 0.301 20 0 DCADLN COC(=O)C(F)(F)CNS(=O)(=O)CC1CC(F)(F)C1 ZINC001365745603 951496854 /nfs/dbraw/zinc/49/68/54/951496854.db2.gz VPOJQNFQBOZWMF-UHFFFAOYSA-N 0 2 307.265 0.759 20 0 DCADLN C/C(=C\C(=O)N1C[C@@H](C)[C@H](CC(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001332191007 951514625 /nfs/dbraw/zinc/51/46/25/951514625.db2.gz XROVKBWPPDDZET-XCEACWERSA-N 0 2 310.394 0.834 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@@H](O)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001281676499 951594931 /nfs/dbraw/zinc/59/49/31/951594931.db2.gz DMPXHUZPCQSNEN-WISTUDIHSA-N 0 2 324.274 0.541 20 0 DCADLN O=C(/C=C\C1CC1)N1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001281676499 951594944 /nfs/dbraw/zinc/59/49/44/951594944.db2.gz DMPXHUZPCQSNEN-WISTUDIHSA-N 0 2 324.274 0.541 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@@H+]2CC[C@H](n3cc(Cl)cn3)C2)C1=O ZINC001595073618 951615762 /nfs/dbraw/zinc/61/57/62/951615762.db2.gz CIJRKXDLJTXYNH-WDEREUQCSA-N 0 2 312.757 0.469 20 0 DCADLN O=C([O-])CN1CC[C@@H]([N@H+]2CC[C@H](n3cc(Cl)cn3)C2)C1=O ZINC001595073618 951615767 /nfs/dbraw/zinc/61/57/67/951615767.db2.gz CIJRKXDLJTXYNH-WDEREUQCSA-N 0 2 312.757 0.469 20 0 DCADLN O=C([O-])C[NH+]1CCC(NC(=O)c2c(O)cc(F)cc2F)CC1 ZINC001595078518 951662013 /nfs/dbraw/zinc/66/20/13/951662013.db2.gz UTBQIPJVMWWFJM-UHFFFAOYSA-N 0 2 314.288 0.949 20 0 DCADLN O=C([O-])CNC(=O)C[NH+]1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC001595097672 951833905 /nfs/dbraw/zinc/83/39/05/951833905.db2.gz XTLGNORBCPMMDF-UHFFFAOYSA-N 0 2 322.336 0.921 20 0 DCADLN CO[N-]C(=O)CNC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001332912805 951846278 /nfs/dbraw/zinc/84/62/78/951846278.db2.gz KHNKQZQAPIDPEF-LLVKDONJSA-N 0 2 309.370 0.062 20 0 DCADLN O=C([O-])CS(=O)(=O)CCN1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC001595123781 951990821 /nfs/dbraw/zinc/99/08/21/951990821.db2.gz CJOBFXGJIQUYNZ-GFCCVEGCSA-N 0 2 314.363 0.034 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@@H+]1CC[C@@H](Oc2ccncc2)C1 ZINC001595123781 951990826 /nfs/dbraw/zinc/99/08/26/951990826.db2.gz CJOBFXGJIQUYNZ-GFCCVEGCSA-N 0 2 314.363 0.034 20 0 DCADLN O=C([O-])CS(=O)(=O)CC[N@H+]1CC[C@@H](Oc2ccncc2)C1 ZINC001595123781 951990832 /nfs/dbraw/zinc/99/08/32/951990832.db2.gz CJOBFXGJIQUYNZ-GFCCVEGCSA-N 0 2 314.363 0.034 20 0 DCADLN C/C(=C\C(=O)N[C@@]1(C(=O)[O-])CCSC1)C[NH+]1CCOCC1 ZINC001333331823 952011898 /nfs/dbraw/zinc/01/18/98/952011898.db2.gz JKRRBWDWIXSDJS-ZHZWZMEUSA-N 0 2 314.407 0.341 20 0 DCADLN C/C(=C\C(=O)N1CC([C@@H](F)C(=O)[O-])C1)C[NH+]1CCOCC1 ZINC001334204051 952259027 /nfs/dbraw/zinc/25/90/27/952259027.db2.gz VWRWBVDUOLXVGS-BCRSCGJKSA-N 0 2 300.330 0.146 20 0 DCADLN Cc1nc(C)c(C(=O)NC[C@H](C)N(C)C(=O)Cc2c[nH+]c[nH]2)[nH]1 ZINC001411709107 952401699 /nfs/dbraw/zinc/40/16/99/952401699.db2.gz UXKBVTPYHPRFEY-VIFPVBQESA-N 0 2 318.381 0.569 20 0 DCADLN COC(=O)c1ccc(NC(=O)CC2SC(=N)NC2=O)cc1O ZINC001412033135 952612089 /nfs/dbraw/zinc/61/20/89/952612089.db2.gz ZGZLCQYIYIQORV-SECBINFHSA-N 0 2 323.330 0.674 20 0 DCADLN CC[C@H](NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1)[C@H](C)O ZINC001412117862 952651979 /nfs/dbraw/zinc/65/19/79/952651979.db2.gz YHTSYAGMICAIPC-KWQFWETISA-N 0 2 306.322 0.155 20 0 DCADLN COC(=O)[C@](C)(CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC001412209636 952695926 /nfs/dbraw/zinc/69/59/26/952695926.db2.gz AKPGHDYLXVCKKW-HNNXBMFYSA-N 0 2 319.317 0.232 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1C[C@H]1C1CCOCC1 ZINC001412271237 952729039 /nfs/dbraw/zinc/72/90/39/952729039.db2.gz HRPMAUCGPMIUNT-CMPLNLGQSA-N 0 2 317.349 0.003 20 0 DCADLN NC(=O)[C@H]1CC[C@@H]1C(=O)Nc1nccc(Br)c1O ZINC001412400470 952778838 /nfs/dbraw/zinc/77/88/38/952778838.db2.gz HJWDRGFNBGFFOH-WDSKDSINSA-N 0 2 314.139 1.000 20 0 DCADLN COCC(=O)NC[C@H](C1CC1)N(C)C(=O)C(F)C(F)(F)F ZINC001412364034 952764713 /nfs/dbraw/zinc/76/47/13/952764713.db2.gz LSUVGNQTCJHOGU-SCZZXKLOSA-N 0 2 314.279 0.886 20 0 DCADLN COCC(=O)NC[C@H](C1CC1)N(C)C(=O)[C@H](F)C(F)(F)F ZINC001412364034 952764719 /nfs/dbraw/zinc/76/47/19/952764719.db2.gz LSUVGNQTCJHOGU-SCZZXKLOSA-N 0 2 314.279 0.886 20 0 DCADLN CCC(=CC(=O)NC1(CCO)CN(Cc2n[nH]c(=O)[nH]2)C1)CC ZINC001276044190 952865649 /nfs/dbraw/zinc/86/56/49/952865649.db2.gz OWTSSFDUSCLHMH-UHFFFAOYSA-N 0 2 323.397 0.310 20 0 DCADLN CCN(CCNC(=O)Cc1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001415719909 952901915 /nfs/dbraw/zinc/90/19/15/952901915.db2.gz JGPYMWBFZSBCGK-JTQLQIEISA-N 0 2 324.278 0.817 20 0 DCADLN O=C([C@H]1COCC[N@@H+]1C1CCCC1)N1CC([NH2+][C@H]2CCOC2)C1 ZINC001412704131 953007536 /nfs/dbraw/zinc/00/75/36/953007536.db2.gz VZMGDUPMUPQXAN-XJKSGUPXSA-N 0 2 323.437 0.219 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)c1nnc(CCO)n1C ZINC001341233318 953218996 /nfs/dbraw/zinc/21/89/96/953218996.db2.gz GKWGDEWOPMVZMW-UHFFFAOYSA-N 0 2 320.378 0.697 20 0 DCADLN O=C([O-])C1(CNC(=O)N2CCn3c[nH+]cc3C2)CCOCC1 ZINC001594742351 953369123 /nfs/dbraw/zinc/36/91/23/953369123.db2.gz JAVKTDCIMJCHIK-UHFFFAOYSA-N 0 2 308.338 0.290 20 0 DCADLN O=C(CCc1cncs1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001365997343 953554847 /nfs/dbraw/zinc/55/48/47/953554847.db2.gz NSMFUYRNWGQMQG-SECBINFHSA-N 0 2 322.394 0.290 20 0 DCADLN C[C@@H](CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccco1 ZINC001366011153 953575604 /nfs/dbraw/zinc/57/56/04/953575604.db2.gz WLAYFDOQBISCRD-WDEREUQCSA-N 0 2 319.365 0.988 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001589290784 953599565 /nfs/dbraw/zinc/59/95/65/953599565.db2.gz ZDTJEJIEGKLLLC-RQJABVFESA-N 0 2 310.394 0.809 20 0 DCADLN C=C/C(C)=C/CC(=O)N[C@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001282735097 953663526 /nfs/dbraw/zinc/66/35/26/953663526.db2.gz VDTOBFVRHBZPND-IGLBNKAOSA-N 0 2 305.382 0.969 20 0 DCADLN Cc1cnn(CC(=O)NCCCNC(=O)C(F)C(F)(F)F)c1 ZINC001283199175 954128952 /nfs/dbraw/zinc/12/89/52/954128952.db2.gz YONUJKZMAVMBFC-SNVBAGLBSA-N 0 2 324.278 0.714 20 0 DCADLN Cc1cnn(CC(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001283199175 954128961 /nfs/dbraw/zinc/12/89/61/954128961.db2.gz YONUJKZMAVMBFC-SNVBAGLBSA-N 0 2 324.278 0.714 20 0 DCADLN Cc1c[nH+]cn1CC(=O)N[C@H](C)CCC[NH2+]Cc1cnon1 ZINC001283204308 954135235 /nfs/dbraw/zinc/13/52/35/954135235.db2.gz JUUWNFWFYJNBST-LLVKDONJSA-N 0 2 306.370 0.649 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)CCSCC1 ZINC001594848778 954162048 /nfs/dbraw/zinc/16/20/48/954162048.db2.gz AYRMJKZIGPZYMG-LLVKDONJSA-N 0 2 324.406 0.481 20 0 DCADLN C[C@H](CCNC(=O)CCc1cnn[nH]1)[NH2+]Cc1nncs1 ZINC001366483197 954263793 /nfs/dbraw/zinc/26/37/93/954263793.db2.gz CMICGCQCIBEGQY-SECBINFHSA-N 0 2 309.399 0.273 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC001593744177 954371130 /nfs/dbraw/zinc/37/11/30/954371130.db2.gz GTCGKENKNPYSJH-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN CN(CCCC(=O)[O-])C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC001593744177 954371145 /nfs/dbraw/zinc/37/11/45/954371145.db2.gz GTCGKENKNPYSJH-UHFFFAOYSA-N 0 2 301.387 0.603 20 0 DCADLN C[C@@H]1OCC[C@@]12C[N@H+](Cc1cc(C(=O)[O-])nn1C)C[C@@H](C)O2 ZINC001589399083 954399848 /nfs/dbraw/zinc/39/98/48/954399848.db2.gz MJIAZQNOVZQVAB-JRPNMDOOSA-N 0 2 309.366 0.887 20 0 DCADLN C[C@@H]1OCC[C@@]12C[N@@H+](Cc1cc(C(=O)[O-])nn1C)C[C@@H](C)O2 ZINC001589399083 954399857 /nfs/dbraw/zinc/39/98/57/954399857.db2.gz MJIAZQNOVZQVAB-JRPNMDOOSA-N 0 2 309.366 0.887 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001283564531 954451605 /nfs/dbraw/zinc/45/16/05/954451605.db2.gz ZLEWZBAEZXDZLG-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccn(C)n1 ZINC001283564531 954451614 /nfs/dbraw/zinc/45/16/14/954451614.db2.gz ZLEWZBAEZXDZLG-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cncn1C ZINC001283562975 954451832 /nfs/dbraw/zinc/45/18/32/954451832.db2.gz MUFLWZLCCMTISR-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cncn1C ZINC001283562975 954451837 /nfs/dbraw/zinc/45/18/37/954451837.db2.gz MUFLWZLCCMTISR-VIFPVBQESA-N 0 2 324.278 0.899 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)NCC[N@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)O1 ZINC001366738101 954746915 /nfs/dbraw/zinc/74/69/15/954746915.db2.gz BSNMLALUJUETDL-VXGBXAGGSA-N 0 2 323.397 0.549 20 0 DCADLN CC[C@@H]1CC[C@H](C(=O)NCC[N@@H+](Cc2n[nH]c(=O)[n-]2)C2CC2)O1 ZINC001366738101 954746923 /nfs/dbraw/zinc/74/69/23/954746923.db2.gz BSNMLALUJUETDL-VXGBXAGGSA-N 0 2 323.397 0.549 20 0 DCADLN CNC(=O)N1CC[NH+](CCOc2ccccc2C(=O)[O-])CC1 ZINC001593795385 954805857 /nfs/dbraw/zinc/80/58/57/954805857.db2.gz TYTCEGCSABOXNW-UHFFFAOYSA-N 0 2 307.350 0.721 20 0 DCADLN COC(=O)[C@H](c1cccnc1)[N@@H+]1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC001593812508 954959302 /nfs/dbraw/zinc/95/93/02/954959302.db2.gz FYGOKLYVHPUSQV-TYNCELHUSA-N 0 2 306.318 0.329 20 0 DCADLN COC(=O)[C@H](c1cccnc1)[N@H+]1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC001593812508 954959313 /nfs/dbraw/zinc/95/93/13/954959313.db2.gz FYGOKLYVHPUSQV-TYNCELHUSA-N 0 2 306.318 0.329 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@H+](CCn2cc(Cl)cn2)CCO1 ZINC000711527985 955045106 /nfs/dbraw/zinc/04/51/06/955045106.db2.gz OBDURBXAYFXRSV-LBPRGKRZSA-N 0 2 303.746 0.339 20 0 DCADLN COC[C@]1(C(=O)[O-])C[N@@H+](CCn2cc(Cl)cn2)CCO1 ZINC000711527985 955045120 /nfs/dbraw/zinc/04/51/20/955045120.db2.gz OBDURBXAYFXRSV-LBPRGKRZSA-N 0 2 303.746 0.339 20 0 DCADLN COCCC(=O)NCCCN(C)C(=O)C(F)C(F)(F)F ZINC001351149878 955253231 /nfs/dbraw/zinc/25/32/31/955253231.db2.gz FBBKJEOTMQJDFB-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN COCCC(=O)NCCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001351149878 955253238 /nfs/dbraw/zinc/25/32/38/955253238.db2.gz FBBKJEOTMQJDFB-SECBINFHSA-N 0 2 302.268 0.888 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])Cc1cccc(C(=O)OC)n1 ZINC001593842108 955374248 /nfs/dbraw/zinc/37/42/48/955374248.db2.gz RHIJCDUDWKFWHO-UHFFFAOYSA-N 0 2 310.306 0.318 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])Cc1cccc(C(=O)OC)n1 ZINC001593842108 955374257 /nfs/dbraw/zinc/37/42/57/955374257.db2.gz RHIJCDUDWKFWHO-UHFFFAOYSA-N 0 2 310.306 0.318 20 0 DCADLN Cn1cc([C@H]2CN(C(=O)c3cccc(C(=O)[O-])c3)CC[NH2+]2)cn1 ZINC001594536243 955576337 /nfs/dbraw/zinc/57/63/37/955576337.db2.gz DJURCESBTWGSRM-CQSZACIVSA-N 0 2 314.345 0.905 20 0 DCADLN Cn1cc([C@@H]2CN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])C3)CC[NH2+]2)cn1 ZINC001594536899 955581844 /nfs/dbraw/zinc/58/18/44/955581844.db2.gz FXYSUHJNGLEGMA-MDZLAQPJSA-N 0 2 306.366 0.394 20 0 DCADLN C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@]1(C(=O)[O-])CC=CCC1 ZINC001589040018 955587625 /nfs/dbraw/zinc/58/76/25/955587625.db2.gz WVMSMYIAURAPKS-CZUORRHYSA-N 0 2 310.394 0.977 20 0 DCADLN Cn1cc([C@@H]2C[C@@H](C(=O)[O-])CN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001594541525 955655684 /nfs/dbraw/zinc/65/56/84/955655684.db2.gz ZWRQFINQKXPIAQ-GHMZBOCLSA-N 0 2 317.349 0.403 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@@H+]2[C@@H](C)CC[C@H]2C(=O)[O-])n1 ZINC001593885155 955741168 /nfs/dbraw/zinc/74/11/68/955741168.db2.gz MBEQJGGNBCDPRH-ONGXEEELSA-N 0 2 321.333 0.744 20 0 DCADLN COC(=O)c1cccc(NC(=O)C[N@H+]2[C@@H](C)CC[C@H]2C(=O)[O-])n1 ZINC001593885155 955741182 /nfs/dbraw/zinc/74/11/82/955741182.db2.gz MBEQJGGNBCDPRH-ONGXEEELSA-N 0 2 321.333 0.744 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)C(=O)Nc1cccc(CC(=O)[O-])c1 ZINC001594558805 955790235 /nfs/dbraw/zinc/79/02/35/955790235.db2.gz VXYFUYACQQHAII-UHFFFAOYSA-N 0 2 316.317 0.302 20 0 DCADLN COCC[NH+]1CCN(C(=O)c2ccc(OCC(=O)[O-])cc2)CC1 ZINC001594014652 957019901 /nfs/dbraw/zinc/01/99/01/957019901.db2.gz GVRBWQWCWUIFAZ-UHFFFAOYSA-N 0 2 322.361 0.554 20 0 DCADLN Cc1nccc(C(=O)NCCNC(=O)C(F)C(F)(F)F)n1 ZINC001292776942 957148678 /nfs/dbraw/zinc/14/86/78/957148678.db2.gz JAMPCZCTLPTCND-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN Cc1nccc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)n1 ZINC001292776942 957148700 /nfs/dbraw/zinc/14/87/00/957148700.db2.gz JAMPCZCTLPTCND-MRVPVSSYSA-N 0 2 308.235 0.531 20 0 DCADLN COC(=O)c1ccnc(N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001413894517 957403559 /nfs/dbraw/zinc/40/35/59/957403559.db2.gz OFXZTBLAAGDNFA-MRVPVSSYSA-N 0 2 304.310 0.471 20 0 DCADLN CCOCCC(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001295443691 957710376 /nfs/dbraw/zinc/71/03/76/957710376.db2.gz PRCYZBXIQKAGSL-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CCOCCC(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001295443691 957710382 /nfs/dbraw/zinc/71/03/82/957710382.db2.gz PRCYZBXIQKAGSL-CBAPKCEASA-N 0 2 302.268 0.934 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(Cl)o1 ZINC001367501857 957910533 /nfs/dbraw/zinc/91/05/33/957910533.db2.gz COTOVCAHPQEVPX-UHFFFAOYSA-N 0 2 313.745 0.961 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccc(Cl)o1 ZINC001367501857 957910548 /nfs/dbraw/zinc/91/05/48/957910548.db2.gz COTOVCAHPQEVPX-UHFFFAOYSA-N 0 2 313.745 0.961 20 0 DCADLN CC(C)n1ccc(C(=O)N(C)CC[N@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001367530372 957972287 /nfs/dbraw/zinc/97/22/87/957972287.db2.gz AKEXWPUVSGIZSO-UHFFFAOYSA-N 0 2 321.385 0.492 20 0 DCADLN CC(C)n1ccc(C(=O)N(C)CC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)n1 ZINC001367530372 957972292 /nfs/dbraw/zinc/97/22/92/957972292.db2.gz AKEXWPUVSGIZSO-UHFFFAOYSA-N 0 2 321.385 0.492 20 0 DCADLN Cn1c(C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)c[nH]c1=O ZINC001297651793 958305596 /nfs/dbraw/zinc/30/55/96/958305596.db2.gz DMCWEUTYDIWOPG-UHFFFAOYSA-N 0 2 300.278 0.869 20 0 DCADLN C[C@@H]1CO[C@@H](C)CN1C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001361794513 958339068 /nfs/dbraw/zinc/33/90/68/958339068.db2.gz BWLURLQEXUBQIM-ZJUUUORDSA-N 0 2 318.333 0.516 20 0 DCADLN CCc1noc([C@@H](C)[NH2+]C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001367731563 958459970 /nfs/dbraw/zinc/45/99/70/958459970.db2.gz SLOOHMPNBLMPRY-IONNQARKSA-N 0 2 322.369 0.838 20 0 DCADLN O=C(Cc1ccon1)NC/C=C\CNC(=O)C(F)C(F)(F)F ZINC001298322409 958545982 /nfs/dbraw/zinc/54/59/82/958545982.db2.gz YJLCSKOYHUEZRU-JWXWKVPASA-N 0 2 323.246 0.906 20 0 DCADLN O=C(Cc1ccon1)NC/C=C\CNC(=O)[C@@H](F)C(F)(F)F ZINC001298322409 958545990 /nfs/dbraw/zinc/54/59/90/958545990.db2.gz YJLCSKOYHUEZRU-JWXWKVPASA-N 0 2 323.246 0.906 20 0 DCADLN COCC[C@H](C)NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001361997365 958586217 /nfs/dbraw/zinc/58/62/17/958586217.db2.gz UXWGNWJMUCWNRX-VIFPVBQESA-N 0 2 306.322 0.421 20 0 DCADLN C/C(=C/C(=O)N1C[C@H](C(=O)[O-])CC[C@H]1C)C[NH+]1CCOCC1 ZINC001588481251 958593718 /nfs/dbraw/zinc/59/37/18/958593718.db2.gz VRVCRMYAWFQUGV-ISESHHHUSA-N 0 2 310.394 0.977 20 0 DCADLN C[C@@]1(CC(=O)Nc2ccc3nn[nH]c3c2)CCS(=O)(=O)N1 ZINC001362060127 958671243 /nfs/dbraw/zinc/67/12/43/958671243.db2.gz AAJYJXMDIIJAEN-LBPRGKRZSA-N 0 2 309.351 0.368 20 0 DCADLN COC(=O)Nc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)ccc1F ZINC001362063959 958677952 /nfs/dbraw/zinc/67/79/52/958677952.db2.gz OPOFXWHZSJCCLL-UHFFFAOYSA-N 0 2 309.257 0.758 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1(C(F)F)CC1 ZINC001367937114 958904852 /nfs/dbraw/zinc/90/48/52/958904852.db2.gz LUNXYQOFJZTLCZ-SSDOTTSWSA-N 0 2 301.297 0.246 20 0 DCADLN Cc1ccc(C)c(C2=NO[C@@H](C(=O)NCc3n[nH]c(=O)[nH]3)C2)c1 ZINC001362216795 958942748 /nfs/dbraw/zinc/94/27/48/958942748.db2.gz YTQPHYDWJYEFEZ-GFCCVEGCSA-N 0 2 315.333 0.937 20 0 DCADLN CC1(C)CNC(=O)c2c(C(=O)NCc3n[nH]c(=O)[nH]3)coc2C1 ZINC001362216532 958945802 /nfs/dbraw/zinc/94/58/02/958945802.db2.gz IGQJPEUMGIMTNC-UHFFFAOYSA-N 0 2 319.321 0.345 20 0 DCADLN O=C(CCCn1c(=O)[n-][nH]c1=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC001362239877 958982606 /nfs/dbraw/zinc/98/26/06/958982606.db2.gz RDHSELLOKLYUGA-LLVKDONJSA-N 0 2 320.353 0.530 20 0 DCADLN CCO[C@@H](C)C(=O)NCCCNC(=O)C(F)C(F)(F)F ZINC001491608179 959031731 /nfs/dbraw/zinc/03/17/31/959031731.db2.gz VEJXQPFJUYAKEH-YUMQZZPRSA-N 0 2 302.268 0.934 20 0 DCADLN CCO[C@@H](C)C(=O)NCCCNC(=O)[C@H](F)C(F)(F)F ZINC001491608179 959031744 /nfs/dbraw/zinc/03/17/44/959031744.db2.gz VEJXQPFJUYAKEH-YUMQZZPRSA-N 0 2 302.268 0.934 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NCCCNC(=O)C[N@@H+](C)C1CCC1 ZINC001491734504 959161861 /nfs/dbraw/zinc/16/18/61/959161861.db2.gz FVSZWPXMDOFYRQ-UHFFFAOYSA-N 0 2 321.425 0.367 20 0 DCADLN COC(=O)CCc1ccccc1C(=O)NCc1n[nH]c(=O)[nH]1 ZINC001362425426 959332935 /nfs/dbraw/zinc/33/29/35/959332935.db2.gz LKRKPIUPTWBSOO-UHFFFAOYSA-N 0 2 304.306 0.546 20 0 DCADLN C[C@H](NC(=O)c1nc[nH]c(=O)c1Br)c1nnc[nH]1 ZINC001362512007 959496425 /nfs/dbraw/zinc/49/64/25/959496425.db2.gz OTCLIJMLKISJLB-BYPYZUCNSA-N 0 2 313.115 0.554 20 0 DCADLN CC(C)(C(=O)[O-])C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC001588611253 959510514 /nfs/dbraw/zinc/51/05/14/959510514.db2.gz KTHOOYGVBXMRCA-LBPRGKRZSA-N 0 2 314.382 0.047 20 0 DCADLN Cc1nc(N2CCN(C(=O)[C@@]3(C(=O)[O-])CCCO3)CC2)cc[nH+]1 ZINC001594470433 959552719 /nfs/dbraw/zinc/55/27/19/959552719.db2.gz BUSUIZSZLYCISE-OAHLLOKOSA-N 0 2 320.349 0.067 20 0 DCADLN CCn1ncnc1CN(C)CCNC(=O)C(F)C(F)(F)F ZINC001377161400 959599655 /nfs/dbraw/zinc/59/96/55/959599655.db2.gz KUZCZBGOKTYDDG-SECBINFHSA-N 0 2 311.283 0.746 20 0 DCADLN CCn1ncnc1CN(C)CCNC(=O)[C@@H](F)C(F)(F)F ZINC001377161400 959599670 /nfs/dbraw/zinc/59/96/70/959599670.db2.gz KUZCZBGOKTYDDG-SECBINFHSA-N 0 2 311.283 0.746 20 0 DCADLN CN(C)c1cccc(C(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001377245513 959780054 /nfs/dbraw/zinc/78/00/54/959780054.db2.gz PDMQNCHBBUSIGY-UHFFFAOYSA-N 0 2 318.381 0.438 20 0 DCADLN CN(C)c1cccc(C(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)c1 ZINC001377245513 959780064 /nfs/dbraw/zinc/78/00/64/959780064.db2.gz PDMQNCHBBUSIGY-UHFFFAOYSA-N 0 2 318.381 0.438 20 0 DCADLN O=C(N[C@@]1(CO)CCCN(CCF)C1)C(F)C(F)(F)F ZINC001368385031 959783396 /nfs/dbraw/zinc/78/33/96/959783396.db2.gz VFRWOXSRWMJTNT-SCZZXKLOSA-N 0 2 304.259 0.799 20 0 DCADLN CCOCC1(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CC1 ZINC001368390653 959797200 /nfs/dbraw/zinc/79/72/00/959797200.db2.gz CMRYFITWUBVMQO-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN COc1ccsc1C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377255166 959802118 /nfs/dbraw/zinc/80/21/18/959802118.db2.gz MAJSVAOVQKTCRZ-UHFFFAOYSA-N 0 2 311.367 0.442 20 0 DCADLN COc1ccsc1C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001377255166 959802138 /nfs/dbraw/zinc/80/21/38/959802138.db2.gz MAJSVAOVQKTCRZ-UHFFFAOYSA-N 0 2 311.367 0.442 20 0 DCADLN CC(=O)N[C@](C)(C(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001362724087 959893924 /nfs/dbraw/zinc/89/39/24/959893924.db2.gz OSNGTJVSDWEPCL-ABAIWWIYSA-N 0 2 323.397 0.767 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CCCOC1 ZINC001377413306 960056976 /nfs/dbraw/zinc/05/69/76/960056976.db2.gz SJYHXLGEGMAZCM-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN C[C@@]1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CCCOC1 ZINC001377413306 960056983 /nfs/dbraw/zinc/05/69/83/960056983.db2.gz SJYHXLGEGMAZCM-XHDPSFHLSA-N 0 2 323.397 0.408 20 0 DCADLN CCc1noc(C[NH+]2CC[C@H](CNC(=O)C[N@H+](C)C(C)C)C2)n1 ZINC001377481182 960168317 /nfs/dbraw/zinc/16/83/17/960168317.db2.gz UQXRSVQTWJPEQS-CYBMUJFWSA-N 0 2 323.441 0.910 20 0 DCADLN Cc1ccoc1CC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001362988567 960264488 /nfs/dbraw/zinc/26/44/88/960264488.db2.gz SXUZSRUIDKSQLK-UHFFFAOYSA-N 0 2 312.351 0.110 20 0 DCADLN C[C@H]1SCC[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001363016568 960303561 /nfs/dbraw/zinc/30/35/61/960303561.db2.gz RPDCTQFFOIESOC-LDYMZIIASA-N 0 2 320.374 0.890 20 0 DCADLN CC(C)N(C[C@@H]1CCC(=O)N1)C(=O)C[C@@H]1SC(=N)NC1=O ZINC001363068614 960366923 /nfs/dbraw/zinc/36/69/23/960366923.db2.gz OGIJNUPMJMOPKH-IUCAKERBSA-N 0 2 312.395 0.058 20 0 DCADLN CCC[NH+](C)CC(=O)N[C@@H]1CCC[N@H+](Cc2cncn2C)C1 ZINC001377825229 960741793 /nfs/dbraw/zinc/74/17/93/960741793.db2.gz MZZHKNVFLVPZTG-CQSZACIVSA-N 0 2 307.442 0.843 20 0 DCADLN CC[C@H](CNC(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1)C(=O)[O-] ZINC001573800656 960821174 /nfs/dbraw/zinc/82/11/74/960821174.db2.gz UKVXGYUDEAMCEB-GRYCIOLGSA-N 0 2 301.387 0.506 20 0 DCADLN CN(C)c1cccnc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001571079446 960979237 /nfs/dbraw/zinc/97/92/37/960979237.db2.gz FEOGHXMFOLDFSX-JTQLQIEISA-N 0 2 303.322 0.296 20 0 DCADLN CN(C)c1cccnc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001571079446 960979248 /nfs/dbraw/zinc/97/92/48/960979248.db2.gz FEOGHXMFOLDFSX-JTQLQIEISA-N 0 2 303.322 0.296 20 0 DCADLN Cc1nnc(CN2CC(CNC(=O)C(F)C(F)(F)F)C2)[nH]1 ZINC001377972559 961094268 /nfs/dbraw/zinc/09/42/68/961094268.db2.gz SSQNLEIBKWGWNE-SECBINFHSA-N 0 2 309.267 0.562 20 0 DCADLN CCCS(=O)(=O)Nc1ccccc1C(=O)N(C)c1nn[nH]n1 ZINC001363487978 961260960 /nfs/dbraw/zinc/26/09/60/961260960.db2.gz GAGHBNHIEFIAKC-UHFFFAOYSA-N 0 2 324.366 0.628 20 0 DCADLN COC(=O)[C@@H](CC1OCCO1)NC(=O)C(F)C(F)(F)F ZINC001363537219 961390593 /nfs/dbraw/zinc/39/05/93/961390593.db2.gz ZPWJZISMTYMJRV-VDTYLAMSSA-N 0 2 303.208 0.308 20 0 DCADLN COC(=O)[C@@H](CC1OCCO1)NC(=O)[C@H](F)C(F)(F)F ZINC001363537219 961390614 /nfs/dbraw/zinc/39/06/14/961390614.db2.gz ZPWJZISMTYMJRV-VDTYLAMSSA-N 0 2 303.208 0.308 20 0 DCADLN COC(=O)C12CCC(CC1)N2C(=O)C[C@@H]1SC(=N)NC1=O ZINC001363602481 961531773 /nfs/dbraw/zinc/53/17/73/961531773.db2.gz ZYMWIXZDSXYLLV-DMWDQNTBSA-N 0 2 311.363 0.239 20 0 DCADLN O=C(NC1CN(C(=O)c2ccc(=O)[nH]n2)C1)C(F)C(F)(F)F ZINC001430224890 1013265835 /nfs/dbraw/zinc/26/58/35/1013265835.db2.gz YLWPBKSKUGJAFC-MRVPVSSYSA-N 0 2 322.218 0.023 20 0 DCADLN O=C(NC1CN(C(=O)c2ccc(=O)[nH]n2)C1)[C@@H](F)C(F)(F)F ZINC001430224890 1013265842 /nfs/dbraw/zinc/26/58/42/1013265842.db2.gz YLWPBKSKUGJAFC-MRVPVSSYSA-N 0 2 322.218 0.023 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[N@@H+]1CCC[C@@H](CS(N)(=O)=O)C1 ZINC001571133265 961585722 /nfs/dbraw/zinc/58/57/22/961585722.db2.gz KZZPTHUDYSZUHB-RKDXNWHRSA-N 0 2 317.367 0.434 20 0 DCADLN C[C@H](c1nc(C(=O)[O-])co1)[N@H+]1CCC[C@@H](CS(N)(=O)=O)C1 ZINC001571133265 961585741 /nfs/dbraw/zinc/58/57/41/961585741.db2.gz KZZPTHUDYSZUHB-RKDXNWHRSA-N 0 2 317.367 0.434 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[N@@H+]1CCC[C@H](CS(N)(=O)=O)C1 ZINC001571133264 961585840 /nfs/dbraw/zinc/58/58/40/961585840.db2.gz KZZPTHUDYSZUHB-IUCAKERBSA-N 0 2 317.367 0.434 20 0 DCADLN C[C@@H](c1nc(C(=O)[O-])co1)[N@H+]1CCC[C@H](CS(N)(=O)=O)C1 ZINC001571133264 961585853 /nfs/dbraw/zinc/58/58/53/961585853.db2.gz KZZPTHUDYSZUHB-IUCAKERBSA-N 0 2 317.367 0.434 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001571142908 961681909 /nfs/dbraw/zinc/68/19/09/961681909.db2.gz CWQNUJMBKHPSRT-GFCCVEGCSA-N 0 2 319.317 0.347 20 0 DCADLN CC1(C(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)CCCCC1 ZINC001363701177 961724045 /nfs/dbraw/zinc/72/40/45/961724045.db2.gz HDUFWROZQUQDCP-UHFFFAOYSA-N 0 2 314.411 0.936 20 0 DCADLN CC1(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC[NH+](Cc2cnns2)CC1 ZINC001571164531 961975950 /nfs/dbraw/zinc/97/59/50/961975950.db2.gz AMDDSCCGWDHXII-QWRGUYRKSA-N 0 2 324.406 0.730 20 0 DCADLN Cc1nnc([C@@H](C)[NH2+][C@H](C)CN(C)C(=O)Cn2c[nH+]cc2C)[nH]1 ZINC001379191744 962313653 /nfs/dbraw/zinc/31/36/53/962313653.db2.gz QKXUOOMZGMVFPH-ZYHUDNBSSA-N 0 2 319.413 0.816 20 0 DCADLN CSCC[C@H](NC(=O)C(C)(C)c1c[nH]cn1)c1nn[nH]n1 ZINC001364085077 962440379 /nfs/dbraw/zinc/44/03/79/962440379.db2.gz BPVMNHKTKBHMDD-QMMMGPOBSA-N 0 2 309.399 0.811 20 0 DCADLN C[C@H](C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001380006877 962486314 /nfs/dbraw/zinc/48/63/14/962486314.db2.gz BMNHVUCWCOCVTC-RQJHMYQMSA-N 0 2 307.276 0.399 20 0 DCADLN O=C(Cc1ccccc1F)NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001380029620 962531285 /nfs/dbraw/zinc/53/12/85/962531285.db2.gz IFLRBNUKCRFUBF-NSHDSACASA-N 0 2 319.340 0.583 20 0 DCADLN CC(C)[C@H](NS(=O)(=O)c1onc(C2CC2)c1Cl)C(N)=O ZINC001364144741 962555562 /nfs/dbraw/zinc/55/55/62/962555562.db2.gz JMZGZKSDQUHZMA-QMMMGPOBSA-N 0 2 321.786 0.994 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)N[C@H]([C@H]1CCOC1)C(F)(F)F ZINC001364181444 962624968 /nfs/dbraw/zinc/62/49/68/962624968.db2.gz FHJLPURNKJMGPC-CAHLUQPWSA-N 0 2 309.248 0.277 20 0 DCADLN O=C(/C=C/C[NH+]1CCOCC1)N[C@H](Cc1ccccc1)C(=O)[O-] ZINC001353897782 962669423 /nfs/dbraw/zinc/66/94/23/962669423.db2.gz YSJUWXHLOVMKIA-NFBGWVBBSA-N 0 2 318.373 0.687 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)N(C)C(=O)C(F)C(F)(F)F ZINC001380645726 963453653 /nfs/dbraw/zinc/45/36/53/963453653.db2.gz UCZSBJOPEMBBPO-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CNC(=O)c1ccnn1C)N(C)C(=O)[C@@H](F)C(F)(F)F ZINC001380645726 963453660 /nfs/dbraw/zinc/45/36/60/963453660.db2.gz UCZSBJOPEMBBPO-IONNQARKSA-N 0 2 324.278 0.897 20 0 DCADLN O=C([O-])[C@@]1(C(F)(F)F)CCN(C(=O)NCCn2cc[nH+]c2)C1 ZINC000315112421 963857794 /nfs/dbraw/zinc/85/77/94/963857794.db2.gz LSOKYGONZBDPIN-LLVKDONJSA-N 0 2 320.271 0.932 20 0 DCADLN CNC(=O)C[NH2+]C[C@@H]1CCCCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001381276349 963935845 /nfs/dbraw/zinc/93/58/45/963935845.db2.gz RASTXBJKPWDENK-KBPBESRZSA-N 0 2 321.425 0.551 20 0 DCADLN CCc1nnc(C[NH2+][C@H](C)[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001368849139 964021579 /nfs/dbraw/zinc/02/15/79/964021579.db2.gz WJZCESUGGDFSOL-HTQZYQBOSA-N 0 2 322.369 0.666 20 0 DCADLN CC(=O)N[C@H](CCC(C)C)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001573427283 964043979 /nfs/dbraw/zinc/04/39/79/964043979.db2.gz VQLHTMRZVKCJLC-CHWSQXEVSA-N 0 2 324.381 0.463 20 0 DCADLN CC(=O)N[C@H](CCC(C)C)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001573427283 964043995 /nfs/dbraw/zinc/04/39/95/964043995.db2.gz VQLHTMRZVKCJLC-CHWSQXEVSA-N 0 2 324.381 0.463 20 0 DCADLN Cc1n[nH]c(C(=O)N[C@H](C[NH2+]Cc2cnsn2)C2CC2)c1[O-] ZINC001375211058 964127555 /nfs/dbraw/zinc/12/75/55/964127555.db2.gz GUYPBOIMAGHGLY-SNVBAGLBSA-N 0 2 322.394 0.573 20 0 DCADLN CCn1ncnc1CN1CC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001375314686 964242064 /nfs/dbraw/zinc/24/20/64/964242064.db2.gz PGDCVSRCUJWPER-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN CCn1ncnc1CN1CC[C@H]1CNC(=O)[C@@H](F)C(F)(F)F ZINC001375314686 964242072 /nfs/dbraw/zinc/24/20/72/964242072.db2.gz PGDCVSRCUJWPER-WCBMZHEXSA-N 0 2 323.294 0.889 20 0 DCADLN O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[nH]1)C1(F)CCCCC1 ZINC001375359481 964305126 /nfs/dbraw/zinc/30/51/26/964305126.db2.gz WKKKFBBCGCVEPA-JTQLQIEISA-N 0 2 311.361 0.873 20 0 DCADLN Cc1n[nH]c(C(=O)NCC2([NH2+]Cc3nccc(C)n3)CC2)c1[O-] ZINC001375408729 964363025 /nfs/dbraw/zinc/36/30/25/964363025.db2.gz HSGVGBZZYPXRIG-UHFFFAOYSA-N 0 2 316.365 0.574 20 0 DCADLN Cc1noc(CN2CC[C@@H](NC(=O)C(F)C(F)(F)F)C2)n1 ZINC001376123776 965236771 /nfs/dbraw/zinc/23/67/71/965236771.db2.gz IQQMPGMFEDMGNY-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN Cc1noc(CN2CC[C@@H](NC(=O)[C@@H](F)C(F)(F)F)C2)n1 ZINC001376123776 965236783 /nfs/dbraw/zinc/23/67/83/965236783.db2.gz IQQMPGMFEDMGNY-VXNVDRBHSA-N 0 2 310.251 0.969 20 0 DCADLN CC(C)CNC(=O)C[N@@H+]1CC[C@H](NC(=O)C[NH+](C)C(C)C)C1 ZINC001376158821 965306238 /nfs/dbraw/zinc/30/62/38/965306238.db2.gz TUZNFAOPZXURQR-AWEZNQCLSA-N 0 2 312.458 0.289 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)C(F)C(F)(F)F)C2)cnn1 ZINC001374665644 965726037 /nfs/dbraw/zinc/72/60/37/965726037.db2.gz NKPKOCCLGCSUMJ-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN Cc1cc(C(=O)N2CC(NC(=O)[C@H](F)C(F)(F)F)C2)cnn1 ZINC001374665644 965726041 /nfs/dbraw/zinc/72/60/41/965726041.db2.gz NKPKOCCLGCSUMJ-VIFPVBQESA-N 0 2 320.246 0.626 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CCCOC2)C1)C(F)C(F)(F)F ZINC001374666696 965727781 /nfs/dbraw/zinc/72/77/81/965727781.db2.gz YFWMJNFYAGEPOM-CBAPKCEASA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CN(C(=O)[C@H]2CCCOC2)C1)[C@H](F)C(F)(F)F ZINC001374666696 965727786 /nfs/dbraw/zinc/72/77/86/965727786.db2.gz YFWMJNFYAGEPOM-CBAPKCEASA-N 0 2 312.263 0.640 20 0 DCADLN O=C(CCCn1cccc1)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001370060033 965734807 /nfs/dbraw/zinc/73/48/07/965734807.db2.gz ILJQEXDPMNSOPM-GFCCVEGCSA-N 0 2 318.381 0.483 20 0 DCADLN COCC1(C(=O)NC[C@@H]2CCCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001376583033 965855309 /nfs/dbraw/zinc/85/53/09/965855309.db2.gz ODDLBFFJVPPYQS-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(C(=O)NC[C@@H]2CCCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001376583033 965855317 /nfs/dbraw/zinc/85/53/17/965855317.db2.gz ODDLBFFJVPPYQS-NSHDSACASA-N 0 2 323.397 0.408 20 0 DCADLN CCO[C@@H](C(=O)N[C@@H](C)C[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001375037849 966271853 /nfs/dbraw/zinc/27/18/53/966271853.db2.gz LXPUBBVWVBLRME-CMPLNLGQSA-N 0 2 313.402 0.508 20 0 DCADLN CCO[C@@H](C(=O)N[C@@H](C)C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001375037849 966271859 /nfs/dbraw/zinc/27/18/59/966271859.db2.gz LXPUBBVWVBLRME-CMPLNLGQSA-N 0 2 313.402 0.508 20 0 DCADLN C[C@H](CNC(=O)c1ccccc1O)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381503283 966471222 /nfs/dbraw/zinc/47/12/22/966471222.db2.gz ZWBQXMXJLLHICI-SECBINFHSA-N 0 2 305.338 0.466 20 0 DCADLN C[C@H](CNC(=O)c1ccccc1O)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001381503283 966471230 /nfs/dbraw/zinc/47/12/30/966471230.db2.gz ZWBQXMXJLLHICI-SECBINFHSA-N 0 2 305.338 0.466 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cc1ncc[nH]1 ZINC001447980342 1013681981 /nfs/dbraw/zinc/68/19/81/1013681981.db2.gz RMJWXGJGPYGRFP-MUWHJKNJSA-N 0 2 310.251 0.474 20 0 DCADLN CCc1occc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448024878 1013717037 /nfs/dbraw/zinc/71/70/37/1013717037.db2.gz UHQXQFMJCZLAAD-VIFPVBQESA-N 0 2 307.354 0.916 20 0 DCADLN CCc1occc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001448024878 1013717044 /nfs/dbraw/zinc/71/70/44/1013717044.db2.gz UHQXQFMJCZLAAD-VIFPVBQESA-N 0 2 307.354 0.916 20 0 DCADLN CC(C)N(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccn(C)n1 ZINC001382502786 967448659 /nfs/dbraw/zinc/44/86/59/967448659.db2.gz ALHOOPCDKIYQMS-UHFFFAOYSA-N 0 2 321.385 0.226 20 0 DCADLN CC(C)N(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)c1ccn(C)n1 ZINC001382502786 967448662 /nfs/dbraw/zinc/44/86/62/967448662.db2.gz ALHOOPCDKIYQMS-UHFFFAOYSA-N 0 2 321.385 0.226 20 0 DCADLN C[N@H+](CCNC(=O)CC1(C(F)(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001371997144 967529084 /nfs/dbraw/zinc/52/90/84/967529084.db2.gz JRCHBPYKJIJCKC-UHFFFAOYSA-N 0 2 321.303 0.791 20 0 DCADLN C[N@@H+](CCNC(=O)CC1(C(F)(F)F)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001371997144 967529094 /nfs/dbraw/zinc/52/90/94/967529094.db2.gz JRCHBPYKJIJCKC-UHFFFAOYSA-N 0 2 321.303 0.791 20 0 DCADLN CC[N@H+](CCNC(=O)CC1(OC)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001372321165 967893126 /nfs/dbraw/zinc/89/31/26/967893126.db2.gz VMBDBDGWBNWRJJ-UHFFFAOYSA-N 0 2 311.386 0.408 20 0 DCADLN CC[N@@H+](CCNC(=O)CC1(OC)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001372321165 967893139 /nfs/dbraw/zinc/89/31/39/967893139.db2.gz VMBDBDGWBNWRJJ-UHFFFAOYSA-N 0 2 311.386 0.408 20 0 DCADLN CC[N@H+](CCNC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001372360920 967927467 /nfs/dbraw/zinc/92/74/67/967927467.db2.gz HTWVAMSCIZVUOA-WOPDTQHZSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@@H+](CCNC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)Cc1n[nH]c(=O)[n-]1 ZINC001372360920 967927469 /nfs/dbraw/zinc/92/74/69/967927469.db2.gz HTWVAMSCIZVUOA-WOPDTQHZSA-N 0 2 307.398 0.885 20 0 DCADLN CC[N@H+](CCNC(=O)c1ccc(OC)o1)Cc1n[nH]c(=O)[n-]1 ZINC001372438107 967992447 /nfs/dbraw/zinc/99/24/47/967992447.db2.gz IAWBSKUOKINWGL-UHFFFAOYSA-N 0 2 309.326 0.364 20 0 DCADLN CC[N@@H+](CCNC(=O)c1ccc(OC)o1)Cc1n[nH]c(=O)[n-]1 ZINC001372438107 967992453 /nfs/dbraw/zinc/99/24/53/967992453.db2.gz IAWBSKUOKINWGL-UHFFFAOYSA-N 0 2 309.326 0.364 20 0 DCADLN CO[C@H](C)CCC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372518228 968073546 /nfs/dbraw/zinc/07/35/46/968073546.db2.gz WMDYMYVZDFCSGG-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@H](C)CCC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372518228 968073553 /nfs/dbraw/zinc/07/35/53/968073553.db2.gz WMDYMYVZDFCSGG-GHMZBOCLSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C)CCC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372518234 968076186 /nfs/dbraw/zinc/07/61/86/968076186.db2.gz WMDYMYVZDFCSGG-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN CO[C@@H](C)CCC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001372518234 968076200 /nfs/dbraw/zinc/07/62/00/968076200.db2.gz WMDYMYVZDFCSGG-WDEREUQCSA-N 0 2 311.386 0.406 20 0 DCADLN Cn1ncnc1CN1CC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001372617057 968182172 /nfs/dbraw/zinc/18/21/72/968182172.db2.gz RRTFPVGXJRJVJA-WCBMZHEXSA-N 0 2 323.294 0.654 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)NC(=O)C(F)C(F)(F)F)nn1 ZINC001372878497 968490555 /nfs/dbraw/zinc/49/05/55/968490555.db2.gz YZAKPZYQNXYECT-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccc(C(=O)NC[C@@H](C)NC(=O)[C@@H](F)C(F)(F)F)nn1 ZINC001372878497 968490561 /nfs/dbraw/zinc/49/05/61/968490561.db2.gz YZAKPZYQNXYECT-VXNVDRBHSA-N 0 2 322.262 0.920 20 0 DCADLN CO[C@@H](C)C(=O)NC[C@@H]([NH2+]Cc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001373018299 968632531 /nfs/dbraw/zinc/63/25/31/968632531.db2.gz CEYBKDNOPHFRAW-CMPLNLGQSA-N 0 2 319.365 0.492 20 0 DCADLN CN(C)C(=O)CN1CCC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001373392568 969031543 /nfs/dbraw/zinc/03/15/43/969031543.db2.gz ZSQRRMXTSXGBHY-WCBMZHEXSA-N 0 2 313.295 0.556 20 0 DCADLN C[N@H+](CC(=O)N[C@H]1CCC[NH+](CC(=O)NC2CC2)C1)C1CCC1 ZINC001373395558 969036608 /nfs/dbraw/zinc/03/66/08/969036608.db2.gz KVBNZCJFVOXFHH-AWEZNQCLSA-N 0 2 322.453 0.330 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccnn1C ZINC001373488104 969147367 /nfs/dbraw/zinc/14/73/67/969147367.db2.gz IWUMUUADNZUMPE-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1ccnn1C ZINC001373488104 969147369 /nfs/dbraw/zinc/14/73/69/969147369.db2.gz IWUMUUADNZUMPE-QMMMGPOBSA-N 0 2 310.251 0.509 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)CNC(=O)C(F)C(F)(F)F ZINC001431029495 1013875418 /nfs/dbraw/zinc/87/54/18/1013875418.db2.gz CLEPLGJJXPQVBQ-VXNVDRBHSA-N 0 2 322.262 0.859 20 0 DCADLN C[C@H](CNC(=O)c1cccnn1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001431029495 1013875423 /nfs/dbraw/zinc/87/54/23/1013875423.db2.gz CLEPLGJJXPQVBQ-VXNVDRBHSA-N 0 2 322.262 0.859 20 0 DCADLN CCn1cc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)cn1 ZINC001431174252 1013932523 /nfs/dbraw/zinc/93/25/23/1013932523.db2.gz LSERISWCVZADDK-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN CCn1cc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)cn1 ZINC001431174252 1013932527 /nfs/dbraw/zinc/93/25/27/1013932527.db2.gz LSERISWCVZADDK-VIFPVBQESA-N 0 2 324.278 0.992 20 0 DCADLN C[C@H](O)C(=O)N1CC[NH+](CCOc2ccccc2C(=O)[O-])CC1 ZINC001609788362 970526028 /nfs/dbraw/zinc/52/60/28/970526028.db2.gz HZVQIZXQGGLUPV-LBPRGKRZSA-N 0 2 322.361 0.289 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C1(CC(=O)[O-])CCC1 ZINC001603375247 972919452 /nfs/dbraw/zinc/91/94/52/972919452.db2.gz NNMQHJGBPDEZGY-CHWSQXEVSA-N 0 2 310.394 0.953 20 0 DCADLN O=C([O-])CC1(NC(=O)/C=C\C[NH+]2CCOCC2)CCOCC1 ZINC001606077876 973236014 /nfs/dbraw/zinc/23/60/14/973236014.db2.gz MNBUHADOKPRJBC-UPHRSURJSA-N 0 2 312.366 0.015 20 0 DCADLN CS(=O)(=O)c1ccc(C[N@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)cc1 ZINC000401903683 973510375 /nfs/dbraw/zinc/51/03/75/973510375.db2.gz PVUYQTODULNWKJ-NEPJUHHUSA-N 0 2 313.375 0.358 20 0 DCADLN CS(=O)(=O)c1ccc(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)cc1 ZINC000401903683 973510385 /nfs/dbraw/zinc/51/03/85/973510385.db2.gz PVUYQTODULNWKJ-NEPJUHHUSA-N 0 2 313.375 0.358 20 0 DCADLN Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccccc1CC(=O)[O-] ZINC001605522214 973704672 /nfs/dbraw/zinc/70/46/72/973704672.db2.gz AOZBJKXESQGAHE-UHFFFAOYSA-N 0 2 316.317 0.302 20 0 DCADLN C[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CCC[C@H]1CC(=O)[O-] ZINC000405358301 973845534 /nfs/dbraw/zinc/84/55/34/973845534.db2.gz AGWZCCQUZJODCI-RWMBFGLXSA-N 0 2 313.398 0.650 20 0 DCADLN C[C@H]1CN(c2ccc(C(=O)[O-])c[nH+]2)C[C@H]1C(=O)N1CCOCC1 ZINC001549176515 1014163478 /nfs/dbraw/zinc/16/34/78/1014163478.db2.gz LJIHYYXDFBQPGO-WCQYABFASA-N 0 2 319.361 0.711 20 0 DCADLN Cc1c[nH+]c2cc(C(=O)N3C[C@@H]4COC[C@@]4(C(=O)[O-])C3)ccn12 ZINC001549306914 1014214216 /nfs/dbraw/zinc/21/42/16/1014214216.db2.gz WJGRMTBFSGRVMR-WBMJQRKESA-N 0 2 315.329 0.816 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@H+](C)[C@@H]1CCCc2c1cnn2C)C(=O)[O-] ZINC001591866524 976170886 /nfs/dbraw/zinc/17/08/86/976170886.db2.gz GODOLUZCDVDTLB-DOMZBBRYSA-N 0 2 322.409 0.955 20 0 DCADLN CC(C)[C@H](NC(=O)C[N@@H+](C)[C@@H]1CCCc2c1cnn2C)C(=O)[O-] ZINC001591866524 976170893 /nfs/dbraw/zinc/17/08/93/976170893.db2.gz GODOLUZCDVDTLB-DOMZBBRYSA-N 0 2 322.409 0.955 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1)C1CC1 ZINC001592178776 977086172 /nfs/dbraw/zinc/08/61/72/977086172.db2.gz SYKFIQVLRSMIMH-GYSYKLTISA-N 0 2 310.394 0.950 20 0 DCADLN C[C@H](C1CC1)N(C(=O)C[N@H+]1C[C@@H](C)O[C@H](C(=O)[O-])C1)C1CC1 ZINC001592178776 977086184 /nfs/dbraw/zinc/08/61/84/977086184.db2.gz SYKFIQVLRSMIMH-GYSYKLTISA-N 0 2 310.394 0.950 20 0 DCADLN O=C([O-])c1ccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)s1 ZINC000707507346 977395949 /nfs/dbraw/zinc/39/59/49/977395949.db2.gz VNGFEHXVXCOLEN-UHFFFAOYSA-N 0 2 313.360 0.888 20 0 DCADLN C[C@H](C(=O)NCCCNC(=O)C(F)C(F)(F)F)n1cccn1 ZINC001522846720 1014421339 /nfs/dbraw/zinc/42/13/39/1014421339.db2.gz LAVYFWDBBRTHLY-RKDXNWHRSA-N 0 2 324.278 0.967 20 0 DCADLN C[C@H](C(=O)NCCCNC(=O)[C@@H](F)C(F)(F)F)n1cccn1 ZINC001522846720 1014421340 /nfs/dbraw/zinc/42/13/40/1014421340.db2.gz LAVYFWDBBRTHLY-RKDXNWHRSA-N 0 2 324.278 0.967 20 0 DCADLN CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)c1ccnnc1 ZINC001528988621 1014472754 /nfs/dbraw/zinc/47/27/54/1014472754.db2.gz HJGBRUHPCHYPSB-UHFFFAOYSA-N 0 2 316.365 0.329 20 0 DCADLN C[C@@H](C[N@H+](Cc1nnnn1CC1CCOCC1)C1CC1)C(=O)[O-] ZINC001592610375 978562205 /nfs/dbraw/zinc/56/22/05/978562205.db2.gz XVYJFKINUNUINN-NSHDSACASA-N 0 2 323.397 0.785 20 0 DCADLN C[C@@H](C[N@@H+](Cc1nnnn1CC1CCOCC1)C1CC1)C(=O)[O-] ZINC001592610375 978562209 /nfs/dbraw/zinc/56/22/09/978562209.db2.gz XVYJFKINUNUINN-NSHDSACASA-N 0 2 323.397 0.785 20 0 DCADLN CC[N@H+](C)C(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001523035829 1014526248 /nfs/dbraw/zinc/52/62/48/1014526248.db2.gz TVPILAWZUYXYQF-CYBMUJFWSA-N 0 2 321.425 0.331 20 0 DCADLN C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1cccc(C(=O)[O-])n1 ZINC001592930144 979965690 /nfs/dbraw/zinc/96/56/90/979965690.db2.gz HONLGZYYBOBMJB-SECBINFHSA-N 0 2 317.305 0.120 20 0 DCADLN CC[C@H]1COCC[N@@H+]1CCNC(=O)c1cnc(C(=O)[O-])cn1 ZINC001595500940 981275510 /nfs/dbraw/zinc/27/55/10/981275510.db2.gz WGVNNVSXROQEKP-JTQLQIEISA-N 0 2 308.338 0.015 20 0 DCADLN CC[C@H]1COCC[N@H+]1CCNC(=O)c1cnc(C(=O)[O-])cn1 ZINC001595500940 981275513 /nfs/dbraw/zinc/27/55/13/981275513.db2.gz WGVNNVSXROQEKP-JTQLQIEISA-N 0 2 308.338 0.015 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CC[C@H](NC(=O)OC)C1)C(=O)[O-] ZINC001594893883 982312359 /nfs/dbraw/zinc/31/23/59/982312359.db2.gz LLMKJABOTWSBRW-JBLDHEPKSA-N 0 2 315.370 0.032 20 0 DCADLN CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CC[C@H](NC(=O)OC)C1)C(=O)[O-] ZINC001594893883 982312368 /nfs/dbraw/zinc/31/23/68/982312368.db2.gz LLMKJABOTWSBRW-JBLDHEPKSA-N 0 2 315.370 0.032 20 0 DCADLN CO[C@@H]1C[C@@H](c2nnc(C)[nH]2)N(C(=O)[C@H]2CCc3cn[nH]c32)C1 ZINC001550767963 1014854407 /nfs/dbraw/zinc/85/44/07/1014854407.db2.gz HWOYZVYCVQFEGF-WOPDTQHZSA-N 0 2 316.365 0.855 20 0 DCADLN CCCN(CCNC(=O)Cc1[nH]cc[nH+]1)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001595883133 982901018 /nfs/dbraw/zinc/90/10/18/982901018.db2.gz RIYDISQEISRNAE-QWRGUYRKSA-N 0 2 322.365 0.028 20 0 DCADLN CCCNC(=O)NC(=O)C[N@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001595926448 983051579 /nfs/dbraw/zinc/05/15/79/983051579.db2.gz PHKVMGKIUTYVDM-UHFFFAOYSA-N 0 2 308.338 0.447 20 0 DCADLN CCCNC(=O)NC(=O)C[N@@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001595926448 983051584 /nfs/dbraw/zinc/05/15/84/983051584.db2.gz PHKVMGKIUTYVDM-UHFFFAOYSA-N 0 2 308.338 0.447 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001596368553 983863593 /nfs/dbraw/zinc/86/35/93/983863593.db2.gz TXAXLXZUNPOOJV-GOEBONIOSA-N 0 2 320.389 0.945 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@H+]1CCC[C@H](O)[C@@H]1C(=O)[O-] ZINC001596368553 983863597 /nfs/dbraw/zinc/86/35/97/983863597.db2.gz TXAXLXZUNPOOJV-GOEBONIOSA-N 0 2 320.389 0.945 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001596368555 983863722 /nfs/dbraw/zinc/86/37/22/983863722.db2.gz TXAXLXZUNPOOJV-ZBFHGGJFSA-N 0 2 320.389 0.945 20 0 DCADLN CCN(Cc1ccccc1)C(=O)C[N@H+]1CCC[C@@H](O)[C@H]1C(=O)[O-] ZINC001596368555 983863734 /nfs/dbraw/zinc/86/37/34/983863734.db2.gz TXAXLXZUNPOOJV-ZBFHGGJFSA-N 0 2 320.389 0.945 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001596485108 984218583 /nfs/dbraw/zinc/21/85/83/984218583.db2.gz LWWUYCDVGQTGMG-SECBINFHSA-N 0 2 308.338 0.446 20 0 DCADLN CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1ccc(C(=O)[O-])cn1 ZINC001596485108 984218591 /nfs/dbraw/zinc/21/85/91/984218591.db2.gz LWWUYCDVGQTGMG-SECBINFHSA-N 0 2 308.338 0.446 20 0 DCADLN CCOC(=O)[C@]1(C)C[N@@H+]([C@@H](C(=O)[O-])C2(OC)CCC2)CCO1 ZINC001596552873 984420367 /nfs/dbraw/zinc/42/03/67/984420367.db2.gz SIVRBPBBTPEZHQ-FZMZJTMJSA-N 0 2 315.366 0.663 20 0 DCADLN CCOC(=O)[C@]1(C)C[N@H+]([C@@H](C(=O)[O-])C2(OC)CCC2)CCO1 ZINC001596552873 984420369 /nfs/dbraw/zinc/42/03/69/984420369.db2.gz SIVRBPBBTPEZHQ-FZMZJTMJSA-N 0 2 315.366 0.663 20 0 DCADLN CCOC(=O)C1(S(C)(=O)=O)CC[NH+](CCCC(=O)[O-])CC1 ZINC001596563570 984444330 /nfs/dbraw/zinc/44/43/30/984444330.db2.gz VHRBVBCCFBKMDB-UHFFFAOYSA-N 0 2 321.395 0.294 20 0 DCADLN C/C(=C\C(=O)N[C@@]1(CC(=O)[O-])CCOC1)C[NH+]1CCOCC1 ZINC001588938293 984620953 /nfs/dbraw/zinc/62/09/53/984620953.db2.gz AKXGQECGJARBRE-INDWDQIESA-N 0 2 312.366 0.015 20 0 DCADLN CN(C[C@@H](O)CNC(=O)C(F)C(F)(F)F)C(=O)C(C)(C)C ZINC001383072861 984656839 /nfs/dbraw/zinc/65/68/39/984656839.db2.gz QFQDDVXHGYEBLS-JGVFFNPUSA-N 0 2 316.295 0.868 20 0 DCADLN CN(C[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)C(=O)C(C)(C)C ZINC001383072861 984656843 /nfs/dbraw/zinc/65/68/43/984656843.db2.gz QFQDDVXHGYEBLS-JGVFFNPUSA-N 0 2 316.295 0.868 20 0 DCADLN C/C(=C/CNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)C(=O)[O-] ZINC001588969523 984780229 /nfs/dbraw/zinc/78/02/29/984780229.db2.gz TYYFBKYMXQKZHY-VEIWZVCTSA-N 0 2 311.382 0.524 20 0 DCADLN COC[C@H](C)CC(=O)N1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001450368156 1015092505 /nfs/dbraw/zinc/09/25/05/1015092505.db2.gz AHHRRKSAMACVFN-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN COC[C@H](C)CC(=O)N1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001450368156 1015092512 /nfs/dbraw/zinc/09/25/12/1015092512.db2.gz AHHRRKSAMACVFN-XCBNKYQSSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H](CN(C)C(=O)C(F)C(F)(F)F)NC(=O)c1cncn1C ZINC001383729392 985049261 /nfs/dbraw/zinc/04/92/61/985049261.db2.gz QZOVBEGBSRFVBS-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN C[C@@H](CN(C)C(=O)[C@H](F)C(F)(F)F)NC(=O)c1cncn1C ZINC001383729392 985049273 /nfs/dbraw/zinc/04/92/73/985049273.db2.gz QZOVBEGBSRFVBS-CBAPKCEASA-N 0 2 324.278 0.897 20 0 DCADLN CC(C)(F)C(=O)N[C@H](CO)CNC(=O)C(F)C(F)(F)F ZINC001383992133 985258442 /nfs/dbraw/zinc/25/84/42/985258442.db2.gz FNBRFOKQVMVVEE-WDSKDSINSA-N 0 2 306.231 0.228 20 0 DCADLN CC(C)(F)C(=O)N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F ZINC001383992133 985258450 /nfs/dbraw/zinc/25/84/50/985258450.db2.gz FNBRFOKQVMVVEE-WDSKDSINSA-N 0 2 306.231 0.228 20 0 DCADLN COc1cc(OC(=O)Cn2[nH]cc3c(=O)ncnc2-3)ccc1F ZINC001531228966 1015116716 /nfs/dbraw/zinc/11/67/16/1015116716.db2.gz IMKVREKKWQTFIL-UHFFFAOYSA-N 0 2 318.264 0.825 20 0 DCADLN CC[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001531242973 1015118769 /nfs/dbraw/zinc/11/87/69/1015118769.db2.gz ZHBVJWUJBHCSDT-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN CC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cn1cccn1 ZINC001531242973 1015118779 /nfs/dbraw/zinc/11/87/79/1015118779.db2.gz ZHBVJWUJBHCSDT-WPRPVWTQSA-N 0 2 324.278 0.795 20 0 DCADLN O=C(CC1CC1)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001384230608 985452456 /nfs/dbraw/zinc/45/24/56/985452456.db2.gz NDEQQQNTFYRJOZ-WEDXCCLWSA-N 0 2 312.263 0.375 20 0 DCADLN O=C(CC1CC1)N1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001384230608 985452460 /nfs/dbraw/zinc/45/24/60/985452460.db2.gz NDEQQQNTFYRJOZ-WEDXCCLWSA-N 0 2 312.263 0.375 20 0 DCADLN Cc1[nH]c(C(=O)C[N@@H+](C[C@H](C)O)[C@H](C)CO)c(C)c1C(=O)[O-] ZINC001599944574 985503654 /nfs/dbraw/zinc/50/36/54/985503654.db2.gz OJFWDSNOUQHDNE-BDAKNGLRSA-N 0 2 312.366 0.576 20 0 DCADLN Cc1[nH]c(C(=O)C[N@H+](C[C@H](C)O)[C@H](C)CO)c(C)c1C(=O)[O-] ZINC001599944574 985503663 /nfs/dbraw/zinc/50/36/63/985503663.db2.gz OJFWDSNOUQHDNE-BDAKNGLRSA-N 0 2 312.366 0.576 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@H+](C)[C@H]1CCN(C2CCOCC2)C1=O ZINC001596878919 985745258 /nfs/dbraw/zinc/74/52/58/985745258.db2.gz WTFBTJWSCYJPBC-STQMWFEESA-N 0 2 314.382 0.188 20 0 DCADLN CCOC[C@@H](C(=O)[O-])[N@@H+](C)[C@H]1CCN(C2CCOCC2)C1=O ZINC001596878919 985745270 /nfs/dbraw/zinc/74/52/70/985745270.db2.gz WTFBTJWSCYJPBC-STQMWFEESA-N 0 2 314.382 0.188 20 0 DCADLN CCOC[C@@H](C)CS(=O)(=O)N1CC[NH+](CCC(=O)[O-])CC1 ZINC001596889302 985803608 /nfs/dbraw/zinc/80/36/08/985803608.db2.gz KJNAFDVXCVZRRC-GFCCVEGCSA-N 0 2 322.427 0.081 20 0 DCADLN Cc1nc([C@@H]2CCO[C@@H]2CN(C)C(=O)c2cnns2)n[nH]1 ZINC001551670307 1015174650 /nfs/dbraw/zinc/17/46/50/1015174650.db2.gz VAKMMOBRTBWQNO-RKDXNWHRSA-N 0 2 308.367 0.609 20 0 DCADLN C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1ccccn1 ZINC001594524578 986021358 /nfs/dbraw/zinc/02/13/58/986021358.db2.gz ATARIZWJHKAHPL-LLVKDONJSA-N 0 2 313.379 0.251 20 0 DCADLN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1S(=O)(=O)c1ccccn1 ZINC001594524578 986021366 /nfs/dbraw/zinc/02/13/66/986021366.db2.gz ATARIZWJHKAHPL-LLVKDONJSA-N 0 2 313.379 0.251 20 0 DCADLN CCOCC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001385053350 986047145 /nfs/dbraw/zinc/04/71/45/986047145.db2.gz ONNHSFGMKQTCDE-SECBINFHSA-N 0 2 300.252 0.594 20 0 DCADLN CCOCC(=O)N1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001385053350 986047163 /nfs/dbraw/zinc/04/71/63/986047163.db2.gz ONNHSFGMKQTCDE-SECBINFHSA-N 0 2 300.252 0.594 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)NCc2cc(C(=O)[O-])co2)CCO1 ZINC001594528857 986106743 /nfs/dbraw/zinc/10/67/43/986106743.db2.gz YQDAYLVPEQHFLU-SNVBAGLBSA-N 0 2 311.338 0.498 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)NCc2cc(C(=O)[O-])co2)CCO1 ZINC001594528857 986106747 /nfs/dbraw/zinc/10/67/47/986106747.db2.gz YQDAYLVPEQHFLU-SNVBAGLBSA-N 0 2 311.338 0.498 20 0 DCADLN C[C@H](C(=O)NC1(CC(=O)[O-])CCCCC1)N1CC[NH2+]CC1=O ZINC001589390448 986505168 /nfs/dbraw/zinc/50/51/68/986505168.db2.gz CGRIRUHGUYHQPV-LLVKDONJSA-N 0 2 311.382 0.101 20 0 DCADLN COCC1(C(=O)NC[C@H](C)NC(=O)C(F)C(F)(F)F)CC1 ZINC001386370129 987112164 /nfs/dbraw/zinc/11/21/64/987112164.db2.gz HIHAKBZYTYPSFG-YUMQZZPRSA-N 0 2 314.279 0.934 20 0 DCADLN COCC1(C(=O)NC[C@H](C)NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001386370129 987112166 /nfs/dbraw/zinc/11/21/66/987112166.db2.gz HIHAKBZYTYPSFG-YUMQZZPRSA-N 0 2 314.279 0.934 20 0 DCADLN CCC(=O)N1CCCC[C@@H]1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001594701313 987141896 /nfs/dbraw/zinc/14/18/96/987141896.db2.gz JWDXHXJJMMKHSG-NWDGAFQWSA-N 0 2 322.365 0.313 20 0 DCADLN CCC(=O)N1CCCC[C@@H]1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001594701313 987141898 /nfs/dbraw/zinc/14/18/98/987141898.db2.gz JWDXHXJJMMKHSG-NWDGAFQWSA-N 0 2 322.365 0.313 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)NC(=O)C(F)C(F)(F)F ZINC001386439328 987149751 /nfs/dbraw/zinc/14/97/51/987149751.db2.gz FWUQAVAYVHGSBL-OFPUPOEVSA-N 0 2 312.263 0.400 20 0 DCADLN C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)NC(=O)[C@@H](F)C(F)(F)F ZINC001386439328 987149754 /nfs/dbraw/zinc/14/97/54/987149754.db2.gz FWUQAVAYVHGSBL-OFPUPOEVSA-N 0 2 312.263 0.400 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(C(=O)C(F)C(F)(F)F)C[C@H]21 ZINC001386489847 987175351 /nfs/dbraw/zinc/17/53/51/987175351.db2.gz FLWNOKDXGYFAMW-DFTQBPQZSA-N 0 2 312.263 0.495 20 0 DCADLN CO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]21 ZINC001386489847 987175352 /nfs/dbraw/zinc/17/53/52/987175352.db2.gz FLWNOKDXGYFAMW-DFTQBPQZSA-N 0 2 312.263 0.495 20 0 DCADLN CCS(=O)(=O)c1ccc(C[N@@H+]2CC[C@@](O)(C(=O)[O-])C2)cc1 ZINC001597233826 987192372 /nfs/dbraw/zinc/19/23/72/987192372.db2.gz AZLADHRTFZFZGD-AWEZNQCLSA-N 0 2 313.375 0.502 20 0 DCADLN CCS(=O)(=O)c1ccc(C[N@H+]2CC[C@@](O)(C(=O)[O-])C2)cc1 ZINC001597233826 987192377 /nfs/dbraw/zinc/19/23/77/987192377.db2.gz AZLADHRTFZFZGD-AWEZNQCLSA-N 0 2 313.375 0.502 20 0 DCADLN C[C@@H](C(=O)OC(C)(C)C)[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001589538852 987231652 /nfs/dbraw/zinc/23/16/52/987231652.db2.gz AFZFMSZCODGYHU-UWVGGRQHSA-N 0 2 310.354 0.953 20 0 DCADLN C[C@@H](C(=O)OC(C)(C)C)[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001589538852 987231658 /nfs/dbraw/zinc/23/16/58/987231658.db2.gz AFZFMSZCODGYHU-UWVGGRQHSA-N 0 2 310.354 0.953 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)CNC(=O)C(F)C(F)(F)F ZINC001532194350 1015303928 /nfs/dbraw/zinc/30/39/28/1015303928.db2.gz VKBRWGOAFIQBQU-CBAPKCEASA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1cncnc1)CNC(=O)[C@H](F)C(F)(F)F ZINC001532194350 1015303939 /nfs/dbraw/zinc/30/39/39/1015303939.db2.gz VKBRWGOAFIQBQU-CBAPKCEASA-N 0 2 322.262 0.859 20 0 DCADLN CO[C@@H](C(=O)N(C)CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001386931585 987511388 /nfs/dbraw/zinc/51/13/88/987511388.db2.gz ALDXDDIDEDFRNN-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN CO[C@@H](C(=O)N(C)CCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001386931585 987511391 /nfs/dbraw/zinc/51/13/91/987511391.db2.gz ALDXDDIDEDFRNN-BDAKNGLRSA-N 0 2 314.279 0.886 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)CNC(=O)C(F)C(F)(F)F ZINC001532261157 1015321864 /nfs/dbraw/zinc/32/18/64/1015321864.db2.gz NPWFMQIZKSRUEY-CBAPKCEASA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1cncn1C)CNC(=O)[C@H](F)C(F)(F)F ZINC001532261157 1015321877 /nfs/dbraw/zinc/32/18/77/1015321877.db2.gz NPWFMQIZKSRUEY-CBAPKCEASA-N 0 2 324.278 0.803 20 0 DCADLN CC[C@@H](CNC(=O)c1cn[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001388122339 988066304 /nfs/dbraw/zinc/06/63/04/988066304.db2.gz TYDZUPDASIBQQB-FSPLSTOPSA-N 0 2 311.239 0.330 20 0 DCADLN CC[C@@H](CNC(=O)Cc1cc[nH]n1)NC(=O)C(F)C(F)(F)F ZINC001388029470 988406107 /nfs/dbraw/zinc/40/61/07/988406107.db2.gz AMVBWZLUZSGKFK-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN CC[C@@H](CNC(=O)Cc1cc[nH]n1)NC(=O)[C@H](F)C(F)(F)F ZINC001388029470 988406120 /nfs/dbraw/zinc/40/61/20/988406120.db2.gz AMVBWZLUZSGKFK-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN COCC1(C(=O)N(C)C[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)CCC1 ZINC001388642300 988467438 /nfs/dbraw/zinc/46/74/38/988467438.db2.gz XMEFEDCNFQRAID-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN Cn1nnc(CN[C@H]2C[C@@H](CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001388682718 988507916 /nfs/dbraw/zinc/50/79/16/988507916.db2.gz ZBFPLWFVXWRHAG-OOZYFLPDSA-N 0 2 324.282 0.095 20 0 DCADLN C[C@@H]1CN(c2nnc([C@@H]3C[C@H](O)C[N@@H+]3C)n2C)C[C@H]1CC(=O)[O-] ZINC001599870604 989343670 /nfs/dbraw/zinc/34/36/70/989343670.db2.gz VNPMNXDDXUDKJJ-WYUUTHIRSA-N 0 2 323.397 0.100 20 0 DCADLN C[C@@H]1CN(c2nnc([C@@H]3C[C@H](O)C[N@H+]3C)n2C)C[C@H]1CC(=O)[O-] ZINC001599870604 989343682 /nfs/dbraw/zinc/34/36/82/989343682.db2.gz VNPMNXDDXUDKJJ-WYUUTHIRSA-N 0 2 323.397 0.100 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)NC(=O)C[N@@H+]2CC[C@@H](C)C2)c1[O-] ZINC001389519389 989356170 /nfs/dbraw/zinc/35/61/70/989356170.db2.gz GGIPMWQTQPHALT-ZJUUUORDSA-N 0 2 323.397 0.000 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)NC(=O)C[N@H+]2CC[C@@H](C)C2)c1[O-] ZINC001389519389 989356178 /nfs/dbraw/zinc/35/61/78/989356178.db2.gz GGIPMWQTQPHALT-ZJUUUORDSA-N 0 2 323.397 0.000 20 0 DCADLN CN(C(=O)C(F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001390073545 989795364 /nfs/dbraw/zinc/79/53/64/989795364.db2.gz JKSWQBHVOVHWJM-ZETCQYMHSA-N 0 2 308.235 0.593 20 0 DCADLN CN(C(=O)[C@H](F)C(F)(F)F)C1CN(C(=O)c2ncc[nH]2)C1 ZINC001390073545 989795366 /nfs/dbraw/zinc/79/53/66/989795366.db2.gz JKSWQBHVOVHWJM-ZETCQYMHSA-N 0 2 308.235 0.593 20 0 DCADLN CCn1c[nH+]c2c1CCN([C@@H]1CCCN(CCC(=O)[O-])C1=O)C2 ZINC001597921152 989850932 /nfs/dbraw/zinc/85/09/32/989850932.db2.gz YCTNTYVTXIGFMI-CQSZACIVSA-N 0 2 320.393 0.727 20 0 DCADLN Cc1nn(C)cc1C[NH2+][C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001390390252 990049036 /nfs/dbraw/zinc/04/90/36/990049036.db2.gz WFNMTAWWDPTQRC-NSHDSACASA-N 0 2 304.398 0.679 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1cncs1)Cc1n[nH]c(=O)[n-]1 ZINC001390912422 990472647 /nfs/dbraw/zinc/47/26/47/990472647.db2.gz GMMNNLAHMIZTLL-UHFFFAOYSA-N 0 2 310.383 0.148 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1cncs1)Cc1n[nH]c(=O)[n-]1 ZINC001390912422 990472648 /nfs/dbraw/zinc/47/26/48/990472648.db2.gz GMMNNLAHMIZTLL-UHFFFAOYSA-N 0 2 310.383 0.148 20 0 DCADLN CCc1n[nH]cc1C(=O)NCC[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001390939800 990483324 /nfs/dbraw/zinc/48/33/24/990483324.db2.gz HWPVJIFAZRNFRA-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN CCc1n[nH]cc1C(=O)NCC[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001390939800 990483326 /nfs/dbraw/zinc/48/33/26/990483326.db2.gz HWPVJIFAZRNFRA-UHFFFAOYSA-N 0 2 307.358 0.048 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1c[nH]c[nH+]1)CCn1cc(Cl)cn1 ZINC001390963152 990494407 /nfs/dbraw/zinc/49/44/07/990494407.db2.gz KJAGFFJOZBVJCR-UHFFFAOYSA-N 0 2 324.816 0.940 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1nc[nH]n1)C(F)C(F)(F)F ZINC001391110203 990596135 /nfs/dbraw/zinc/59/61/35/990596135.db2.gz INNLZNAOELTYSI-RNFRBKRXSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(NC[C@H]1CCCN1C(=O)c1nc[nH]n1)[C@@H](F)C(F)(F)F ZINC001391110203 990596138 /nfs/dbraw/zinc/59/61/38/990596138.db2.gz INNLZNAOELTYSI-RNFRBKRXSA-N 0 2 323.250 0.426 20 0 DCADLN COCC(C)(C)C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001391174734 990641405 /nfs/dbraw/zinc/64/14/05/990641405.db2.gz KKXNKDJQXNUKKU-UHFFFAOYSA-N 0 2 311.386 0.264 20 0 DCADLN COCC(C)(C)C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001391174734 990641409 /nfs/dbraw/zinc/64/14/09/990641409.db2.gz KKXNKDJQXNUKKU-UHFFFAOYSA-N 0 2 311.386 0.264 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)CNC(=O)C(F)C(F)(F)F ZINC001391276951 990713537 /nfs/dbraw/zinc/71/35/37/990713537.db2.gz NHCUVQZHGSIFKY-CBAPKCEASA-N 0 2 322.262 0.859 20 0 DCADLN C[C@@H](CNC(=O)c1ccncn1)CNC(=O)[C@H](F)C(F)(F)F ZINC001391276951 990713544 /nfs/dbraw/zinc/71/35/44/990713544.db2.gz NHCUVQZHGSIFKY-CBAPKCEASA-N 0 2 322.262 0.859 20 0 DCADLN CC(C)([NH2+]CC(=O)Nc1cnccn1)c1nocc1C(=O)[O-] ZINC001590866558 990759575 /nfs/dbraw/zinc/75/95/75/990759575.db2.gz HFQUHBGGEVWGEL-UHFFFAOYSA-N 0 2 305.294 0.626 20 0 DCADLN Cc1nccnc1CN1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001391418409 990821783 /nfs/dbraw/zinc/82/17/83/990821783.db2.gz DXGXEWNFLDHIIF-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN Cc1nccnc1CN1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001391418409 990821790 /nfs/dbraw/zinc/82/17/90/990821790.db2.gz DXGXEWNFLDHIIF-SNVBAGLBSA-N 0 2 306.263 0.986 20 0 DCADLN C[N@H+](CC(=O)NCc1ccccn1)Cc1ccc(C(=O)[O-])cn1 ZINC001598392586 991647247 /nfs/dbraw/zinc/64/72/47/991647247.db2.gz KBGCMYNGZYXDQN-UHFFFAOYSA-N 0 2 314.345 0.923 20 0 DCADLN C[N@@H+](CC(=O)NCc1ccccn1)Cc1ccc(C(=O)[O-])cn1 ZINC001598392586 991647250 /nfs/dbraw/zinc/64/72/50/991647250.db2.gz KBGCMYNGZYXDQN-UHFFFAOYSA-N 0 2 314.345 0.923 20 0 DCADLN CN(CC[N@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCC(F)(F)F ZINC001392275579 991687118 /nfs/dbraw/zinc/68/71/18/991687118.db2.gz YUNAZHNOFRMLCP-UHFFFAOYSA-N 0 2 309.292 0.743 20 0 DCADLN CN(CC[N@@H+](C)Cc1n[nH]c(=O)[n-]1)C(=O)CCC(F)(F)F ZINC001392275579 991687119 /nfs/dbraw/zinc/68/71/19/991687119.db2.gz YUNAZHNOFRMLCP-UHFFFAOYSA-N 0 2 309.292 0.743 20 0 DCADLN CS(=O)(=O)NC1CCN(c2cc(CC(=O)[O-])cc[nH+]2)CC1 ZINC001599758347 992085217 /nfs/dbraw/zinc/08/52/17/992085217.db2.gz MRLDXBOJZXVXRZ-UHFFFAOYSA-N 0 2 313.379 0.227 20 0 DCADLN CN(CC[NH+]1CCOCC1)C(=O)Cc1ccc(C(=O)[O-])nc1 ZINC001598489169 992257137 /nfs/dbraw/zinc/25/71/37/992257137.db2.gz XOGFVSBMFNMBPP-UHFFFAOYSA-N 0 2 307.350 0.113 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cscn1 ZINC001392698989 992284853 /nfs/dbraw/zinc/28/48/53/992284853.db2.gz CSVBDIIHRJKMMF-MRVPVSSYSA-N 0 2 310.383 0.146 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)Cc1cscn1 ZINC001392698989 992284864 /nfs/dbraw/zinc/28/48/64/992284864.db2.gz CSVBDIIHRJKMMF-MRVPVSSYSA-N 0 2 310.383 0.146 20 0 DCADLN C[N@@H+](Cc1ccc(C(N)=O)cc1)CN1C[C@H](C(=O)[O-])CC1=O ZINC001598518398 992647284 /nfs/dbraw/zinc/64/72/84/992647284.db2.gz YXSXQMALMHNAGT-GFCCVEGCSA-N 0 2 305.334 0.108 20 0 DCADLN C[N@H+](Cc1ccc(C(N)=O)cc1)CN1C[C@H](C(=O)[O-])CC1=O ZINC001598518398 992647287 /nfs/dbraw/zinc/64/72/87/992647287.db2.gz YXSXQMALMHNAGT-GFCCVEGCSA-N 0 2 305.334 0.108 20 0 DCADLN O=C(Cn1cccn1)NC[C@H]1CCN1C(=O)C(F)C(F)(F)F ZINC001393248957 992788978 /nfs/dbraw/zinc/78/89/78/992788978.db2.gz FYOMLIJNKOQPTP-PSASIEDQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(Cn1cccn1)NC[C@H]1CCN1C(=O)[C@@H](F)C(F)(F)F ZINC001393248957 992788988 /nfs/dbraw/zinc/78/89/88/992788988.db2.gz FYOMLIJNKOQPTP-PSASIEDQSA-N 0 2 322.262 0.501 20 0 DCADLN O=C(NC[C@H]1CCC2(CN(CCO)C2)O1)C(F)C(F)(F)F ZINC001393660499 993163294 /nfs/dbraw/zinc/16/32/94/993163294.db2.gz YRFIGOQMEMZIHE-BDAKNGLRSA-N 0 2 314.279 0.229 20 0 DCADLN C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)CC1(C(=O)[O-])CCC1 ZINC001598572444 993220646 /nfs/dbraw/zinc/22/06/46/993220646.db2.gz KGHHHYIYUPDXHM-PHIMTYICSA-N 0 2 302.396 0.350 20 0 DCADLN C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)CC1(C(=O)[O-])CCC1 ZINC001598572444 993220654 /nfs/dbraw/zinc/22/06/54/993220654.db2.gz KGHHHYIYUPDXHM-PHIMTYICSA-N 0 2 302.396 0.350 20 0 DCADLN C[N@@H+]1C[C@H](OC(=O)c2coc(C3CCOCC3)n2)C[C@H]1C(=O)[O-] ZINC001598576490 993284213 /nfs/dbraw/zinc/28/42/13/993284213.db2.gz SNOQOHFBHOZDQR-PWSUYJOCSA-N 0 2 324.333 0.883 20 0 DCADLN C[N@H+]1C[C@H](OC(=O)c2coc(C3CCOCC3)n2)C[C@H]1C(=O)[O-] ZINC001598576490 993284221 /nfs/dbraw/zinc/28/42/21/993284221.db2.gz SNOQOHFBHOZDQR-PWSUYJOCSA-N 0 2 324.333 0.883 20 0 DCADLN CCc1oncc1C(=O)NC[C@H](C)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394110809 993485122 /nfs/dbraw/zinc/48/51/22/993485122.db2.gz KKRVSAGJMIGXBC-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN CCc1oncc1C(=O)NC[C@H](C)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001394110809 993485126 /nfs/dbraw/zinc/48/51/26/993485126.db2.gz KKRVSAGJMIGXBC-QMMMGPOBSA-N 0 2 308.342 0.311 20 0 DCADLN COCC1(CC(=O)N[C@@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)CC1 ZINC001394229199 993556317 /nfs/dbraw/zinc/55/63/17/993556317.db2.gz DZJJVXQFIDDOSC-JTQLQIEISA-N 0 2 323.397 0.264 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001399670255 993656065 /nfs/dbraw/zinc/65/60/65/993656065.db2.gz LVZIWKBJMJAFFN-JTQLQIEISA-N 0 2 324.278 0.700 20 0 DCADLN CN(CCN(C)C(=O)[C@H](F)C(F)(F)F)C(=O)Cn1cccn1 ZINC001399670255 993656074 /nfs/dbraw/zinc/65/60/74/993656074.db2.gz LVZIWKBJMJAFFN-JTQLQIEISA-N 0 2 324.278 0.700 20 0 DCADLN CC[N@H+](CCNC(=O)CCc1ccncc1)Cc1n[nH]c(=O)[n-]1 ZINC001399728451 993709739 /nfs/dbraw/zinc/70/97/39/993709739.db2.gz YWKGGQOJZRHJRG-UHFFFAOYSA-N 0 2 318.381 0.476 20 0 DCADLN CC[N@@H+](CCNC(=O)CCc1ccncc1)Cc1n[nH]c(=O)[n-]1 ZINC001399728451 993709748 /nfs/dbraw/zinc/70/97/48/993709748.db2.gz YWKGGQOJZRHJRG-UHFFFAOYSA-N 0 2 318.381 0.476 20 0 DCADLN O=C(NC[C@@H](CO)NCc1nncs1)C(F)C(F)(F)F ZINC001394590287 993880315 /nfs/dbraw/zinc/88/03/15/993880315.db2.gz MLWFCCRSKLCKNL-CAHLUQPWSA-N 0 2 316.280 0.005 20 0 DCADLN O=C(NC[C@@H](CO)NCc1nncs1)[C@@H](F)C(F)(F)F ZINC001394590287 993880326 /nfs/dbraw/zinc/88/03/26/993880326.db2.gz MLWFCCRSKLCKNL-CAHLUQPWSA-N 0 2 316.280 0.005 20 0 DCADLN CCC[NH+](C)CC(=O)NC[C@@H]1CCC[N@@H+]1[C@H](C)c1nncn1C ZINC001399902938 993902216 /nfs/dbraw/zinc/90/22/16/993902216.db2.gz MYQDCBJACGHHQM-KGLIPLIRSA-N 0 2 322.457 0.799 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001399976623 994012093 /nfs/dbraw/zinc/01/20/93/994012093.db2.gz SKCFELGYOSSIHV-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1ccn(C)n1 ZINC001399976623 994012101 /nfs/dbraw/zinc/01/21/01/994012101.db2.gz SKCFELGYOSSIHV-SVRRBLITSA-N 0 2 310.251 0.555 20 0 DCADLN C[N@@H+]1CCO[C@@H](CS(=O)(=O)Oc2cccc(C(=O)[O-])c2)C1 ZINC001598623711 994050991 /nfs/dbraw/zinc/05/09/91/994050991.db2.gz OINXVIXSTPIAIX-GFCCVEGCSA-N 0 2 315.347 0.424 20 0 DCADLN C[N@H+]1CCO[C@@H](CS(=O)(=O)Oc2cccc(C(=O)[O-])c2)C1 ZINC001598623711 994050997 /nfs/dbraw/zinc/05/09/97/994050997.db2.gz OINXVIXSTPIAIX-GFCCVEGCSA-N 0 2 315.347 0.424 20 0 DCADLN C[N@@H+]1CCO[C@@H](C(=O)N[C@@](C)(CC(=O)[O-])c2ccccn2)C1 ZINC001598623963 994056369 /nfs/dbraw/zinc/05/63/69/994056369.db2.gz CPWUTHLEAFUTOG-ABAIWWIYSA-N 0 2 307.350 0.218 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N[C@@](C)(CC(=O)[O-])c2ccccn2)C1 ZINC001598623963 994056375 /nfs/dbraw/zinc/05/63/75/994056375.db2.gz CPWUTHLEAFUTOG-ABAIWWIYSA-N 0 2 307.350 0.218 20 0 DCADLN CC(C)N(CCN(C)Cc1ncnn1C)C(=O)Cc1c[nH+]c[nH]1 ZINC001394809671 994179443 /nfs/dbraw/zinc/17/94/43/994179443.db2.gz RTYMGCBRFINUSJ-UHFFFAOYSA-N 0 2 319.413 0.450 20 0 DCADLN O=C(NCC1CC(NC(=O)C(F)C(F)(F)F)C1)c1cnon1 ZINC001394906729 994257764 /nfs/dbraw/zinc/25/77/64/994257764.db2.gz ORJFQTSMPAUESW-QIECLKSESA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NCC1CC(NC(=O)[C@H](F)C(F)(F)F)C1)c1cnon1 ZINC001394906729 994257778 /nfs/dbraw/zinc/25/77/78/994257778.db2.gz ORJFQTSMPAUESW-QIECLKSESA-N 0 2 324.234 0.595 20 0 DCADLN O=C(NCC1(NC(=O)C(F)C(F)(F)F)CC1)c1cnco1 ZINC001400626281 994721267 /nfs/dbraw/zinc/72/12/67/994721267.db2.gz KMNKCTSWFDJMMO-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN O=C(NCC1(NC(=O)[C@H](F)C(F)(F)F)CC1)c1cnco1 ZINC001400626281 994721278 /nfs/dbraw/zinc/72/12/78/994721278.db2.gz KMNKCTSWFDJMMO-ZETCQYMHSA-N 0 2 309.219 0.954 20 0 DCADLN CO[C@H](C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001400709238 994825861 /nfs/dbraw/zinc/82/58/61/994825861.db2.gz AXRIGNMBJAIQBH-YPMHNXCESA-N 0 2 323.397 0.358 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001400746430 994876107 /nfs/dbraw/zinc/87/61/07/994876107.db2.gz IIBBYBKBENYQMT-IUCAKERBSA-N 0 2 314.279 0.981 20 0 DCADLN CC[C@H](OC)C(=O)N1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001400746430 994876118 /nfs/dbraw/zinc/87/61/18/994876118.db2.gz IIBBYBKBENYQMT-IUCAKERBSA-N 0 2 314.279 0.981 20 0 DCADLN COC(=O)[C@H](CCC(=O)[O-])N1CCN(c2cc(C)cc[nH+]2)CC1 ZINC001598700036 995207144 /nfs/dbraw/zinc/20/71/44/995207144.db2.gz RYKMMDTUEAHWOD-ZDUSSCGKSA-N 0 2 321.377 0.918 20 0 DCADLN COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc(C(=O)[O-])o1 ZINC001598700980 995222551 /nfs/dbraw/zinc/22/25/51/995222551.db2.gz ACQAWFBMDHLTNW-MRVPVSSYSA-N 0 2 307.262 0.146 20 0 DCADLN Cc1n[nH]c(NC(=O)CC2(O)CN(C(=O)OC(C)(C)C)C2)n1 ZINC001464802640 1015950277 /nfs/dbraw/zinc/95/02/77/1015950277.db2.gz GDWBBGHRARQSBK-UHFFFAOYSA-N 0 2 311.342 0.424 20 0 DCADLN CO[C@H](C)CC(=O)N1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001396723400 995561839 /nfs/dbraw/zinc/56/18/39/995561839.db2.gz QPYOLOFYWKNYIP-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN CO[C@H](C)CC(=O)N1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001396723400 995561846 /nfs/dbraw/zinc/56/18/46/995561846.db2.gz QPYOLOFYWKNYIP-SCZZXKLOSA-N 0 2 314.279 0.983 20 0 DCADLN C[C@@H](OC[C@@H]1CCCO1)C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001593607991 995791321 /nfs/dbraw/zinc/79/13/21/995791321.db2.gz ASHXVUXKQNSYKR-ADEWGFFLSA-N 0 2 311.338 0.106 20 0 DCADLN C[C@@H](OC[C@@H]1CCCO1)C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001593607991 995791325 /nfs/dbraw/zinc/79/13/25/995791325.db2.gz ASHXVUXKQNSYKR-ADEWGFFLSA-N 0 2 311.338 0.106 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397175541 995842119 /nfs/dbraw/zinc/84/21/19/995842119.db2.gz ZLDMCINDWCLQMA-ZXFLCMHBSA-N 0 2 300.252 0.687 20 0 DCADLN CCOCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001397175541 995842127 /nfs/dbraw/zinc/84/21/27/995842127.db2.gz ZLDMCINDWCLQMA-ZXFLCMHBSA-N 0 2 300.252 0.687 20 0 DCADLN C[C@@H](Oc1ccc(C[NH2+][C@@H](C)c2nnnn2C)cc1)C(=O)[O-] ZINC001593617254 995850243 /nfs/dbraw/zinc/85/02/43/995850243.db2.gz CZRLMJGFSWPYML-VHSXEESVSA-N 0 2 305.338 0.913 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001397252941 995887537 /nfs/dbraw/zinc/88/75/37/995887537.db2.gz FAIPLUCBEIIRHC-MAUMQABQSA-N 0 2 314.279 0.933 20 0 DCADLN COC[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001397252941 995887545 /nfs/dbraw/zinc/88/75/45/995887545.db2.gz FAIPLUCBEIIRHC-MAUMQABQSA-N 0 2 314.279 0.933 20 0 DCADLN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])N(C(=O)CCc2[nH+]ccn2C)C1 ZINC001598772605 995897979 /nfs/dbraw/zinc/89/79/79/995897979.db2.gz GJQBQSNRGYCDNK-MNOVXSKESA-N 0 2 323.349 0.218 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@@H+]1C[C@H](O)CC(F)(F)F ZINC001598772865 995899793 /nfs/dbraw/zinc/89/97/93/995899793.db2.gz VROMDJGVHTVNDF-YIZRAAEISA-N 0 2 313.272 0.638 20 0 DCADLN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1C[C@H](O)CC(F)(F)F ZINC001598772865 995899795 /nfs/dbraw/zinc/89/97/95/995899795.db2.gz VROMDJGVHTVNDF-YIZRAAEISA-N 0 2 313.272 0.638 20 0 DCADLN C[C@@H](Oc1ccccc1C[N@@H+]1CCN2C(=O)NC[C@H]2C1)C(=O)[O-] ZINC001593646193 995981149 /nfs/dbraw/zinc/98/11/49/995981149.db2.gz PIJFCLRHCFQEIH-YPMHNXCESA-N 0 2 319.361 0.748 20 0 DCADLN C[C@@H](Oc1ccccc1C[N@H+]1CCN2C(=O)NC[C@H]2C1)C(=O)[O-] ZINC001593646193 995981154 /nfs/dbraw/zinc/98/11/54/995981154.db2.gz PIJFCLRHCFQEIH-YPMHNXCESA-N 0 2 319.361 0.748 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cnsn1 ZINC001397458101 996011228 /nfs/dbraw/zinc/01/12/28/996011228.db2.gz IXACQYNCUVPBOB-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cnsn1 ZINC001397458101 996011227 /nfs/dbraw/zinc/01/12/27/996011227.db2.gz IXACQYNCUVPBOB-NJGYIYPDSA-N 0 2 314.264 0.673 20 0 DCADLN C[C@H](Oc1cccnc1)C(=O)N[C@@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001593656315 996024369 /nfs/dbraw/zinc/02/43/69/996024369.db2.gz XKYNAPBVXPLNIM-JQWIXIFHSA-N 0 2 304.306 0.315 20 0 DCADLN C[C@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)NC(=O)C1CC1 ZINC001397633423 996108078 /nfs/dbraw/zinc/10/80/78/996108078.db2.gz MKQSKIZUENENAX-SECBINFHSA-N 0 2 316.365 0.455 20 0 DCADLN Cc1nccc(C(=O)NCCN(C)C(=O)C(F)C(F)(F)F)n1 ZINC001397921854 996257334 /nfs/dbraw/zinc/25/73/34/996257334.db2.gz YUXKJEQNACKLGU-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN Cc1nccc(C(=O)NCCN(C)C(=O)[C@H](F)C(F)(F)F)n1 ZINC001397921854 996257336 /nfs/dbraw/zinc/25/73/36/996257336.db2.gz YUXKJEQNACKLGU-VIFPVBQESA-N 0 2 322.262 0.874 20 0 DCADLN C[C@H](c1nnnn1C1CCCCC1)[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001593736802 996330263 /nfs/dbraw/zinc/33/02/63/996330263.db2.gz ASHBBAYTUKJKGW-YGRLFVJLSA-N 0 2 309.370 0.761 20 0 DCADLN C[C@H](c1nnnn1C1CCCCC1)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001593736802 996330268 /nfs/dbraw/zinc/33/02/68/996330268.db2.gz ASHBBAYTUKJKGW-YGRLFVJLSA-N 0 2 309.370 0.761 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1ccncn1 ZINC001398101303 996365903 /nfs/dbraw/zinc/36/59/03/996365903.db2.gz UAOGBOWLRMKTGC-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1ccncn1 ZINC001398101303 996365906 /nfs/dbraw/zinc/36/59/06/996365906.db2.gz UAOGBOWLRMKTGC-SECBINFHSA-N 0 2 322.262 0.955 20 0 DCADLN COC[C@H](C)C(=O)N(C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001398185895 996404309 /nfs/dbraw/zinc/40/43/09/996404309.db2.gz OMDFMIKGBFGTPP-JTQLQIEISA-N 0 2 311.386 0.216 20 0 DCADLN COC(=O)[C@@H]1C[N@H+](Cc2cc(C(F)(F)F)n[nH]2)C[C@@H]1C(=O)[O-] ZINC001598830737 996433339 /nfs/dbraw/zinc/43/33/39/996433339.db2.gz YNAGLFKAZKOUGP-JGVFFNPUSA-N 0 2 321.255 0.734 20 0 DCADLN COC(=O)[C@@H]1C[N@@H+](Cc2cc(C(F)(F)F)n[nH]2)C[C@@H]1C(=O)[O-] ZINC001598830737 996433344 /nfs/dbraw/zinc/43/33/44/996433344.db2.gz YNAGLFKAZKOUGP-JGVFFNPUSA-N 0 2 321.255 0.734 20 0 DCADLN C[C@]1(C(F)F)C[N@H+](CCc2cn(CC(=O)[O-])nn2)CCO1 ZINC001593816363 996554512 /nfs/dbraw/zinc/55/45/12/996554512.db2.gz VAZYBBXWIJRPOH-GFCCVEGCSA-N 0 2 304.297 0.261 20 0 DCADLN C[C@]1(C(F)F)C[N@@H+](CCc2cn(CC(=O)[O-])nn2)CCO1 ZINC001593816363 996554518 /nfs/dbraw/zinc/55/45/18/996554518.db2.gz VAZYBBXWIJRPOH-GFCCVEGCSA-N 0 2 304.297 0.261 20 0 DCADLN CC(=O)CCN(C)C(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001466118006 1016052065 /nfs/dbraw/zinc/05/20/65/1016052065.db2.gz BPFMZZYCXWGXAG-UHFFFAOYSA-N 0 2 304.306 0.317 20 0 DCADLN CO[C@@H](C(=O)NC[C@H]1CCCCN1Cc1n[nH]c(=O)[nH]1)C1CC1 ZINC001398741250 996666976 /nfs/dbraw/zinc/66/69/76/996666976.db2.gz XZNDBWOEOKYOAA-DGCLKSJQSA-N 0 2 323.397 0.406 20 0 DCADLN Cn1ccc(C(=O)N2CC(CNC(=O)C(F)C(F)(F)F)C2)n1 ZINC001398757016 996674378 /nfs/dbraw/zinc/67/43/78/996674378.db2.gz TWNOVODRTWNOAX-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN Cn1ccc(C(=O)N2CC(CNC(=O)[C@H](F)C(F)(F)F)C2)n1 ZINC001398757016 996674381 /nfs/dbraw/zinc/67/43/81/996674381.db2.gz TWNOVODRTWNOAX-VIFPVBQESA-N 0 2 322.262 0.509 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C(F)C(F)(F)F)c1cccnc1 ZINC001510974663 1016097691 /nfs/dbraw/zinc/09/76/91/1016097691.db2.gz YBUHXTLRIVGGAS-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)[C@H](F)C(F)(F)F)c1cccnc1 ZINC001510974663 1016097705 /nfs/dbraw/zinc/09/77/05/1016097705.db2.gz YBUHXTLRIVGGAS-IUCAKERBSA-N 0 2 323.246 0.189 20 0 DCADLN CO[C@H]1CC(=O)N(C[N@@H+]2CCc3c(cccc3C(=O)[O-])C2)C1 ZINC001599095087 997264384 /nfs/dbraw/zinc/26/43/84/997264384.db2.gz FUNXBCYSRKDEKT-LBPRGKRZSA-N 0 2 304.346 0.948 20 0 DCADLN CO[C@H]1CC(=O)N(C[N@H+]2CCc3c(cccc3C(=O)[O-])C2)C1 ZINC001599095087 997264394 /nfs/dbraw/zinc/26/43/94/997264394.db2.gz FUNXBCYSRKDEKT-LBPRGKRZSA-N 0 2 304.346 0.948 20 0 DCADLN CO[C@@H]1CC(=O)N(C[N@@H+]2CCc3c(cccc3C(=O)[O-])C2)C1 ZINC001599095082 997264417 /nfs/dbraw/zinc/26/44/17/997264417.db2.gz FUNXBCYSRKDEKT-GFCCVEGCSA-N 0 2 304.346 0.948 20 0 DCADLN CO[C@@H]1CC(=O)N(C[N@H+]2CCc3c(cccc3C(=O)[O-])C2)C1 ZINC001599095082 997264427 /nfs/dbraw/zinc/26/44/27/997264427.db2.gz FUNXBCYSRKDEKT-GFCCVEGCSA-N 0 2 304.346 0.948 20 0 DCADLN COC[C@@H]1CCC[N@@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001599204106 997373296 /nfs/dbraw/zinc/37/32/96/997373296.db2.gz SALLYPCERFQSFS-JTQLQIEISA-N 0 2 313.379 0.820 20 0 DCADLN COC[C@@H]1CCC[N@H+]1CC(=O)Nc1nc(CC(=O)[O-])cs1 ZINC001599204106 997373310 /nfs/dbraw/zinc/37/33/10/997373310.db2.gz SALLYPCERFQSFS-JTQLQIEISA-N 0 2 313.379 0.820 20 0 DCADLN COCC[N@H+](Cc1[nH]cc(C(=O)[O-])c1C1CC1)[C@@H](C)C(N)=O ZINC001599238665 997994296 /nfs/dbraw/zinc/99/42/96/997994296.db2.gz PNACKDSHCIOFBP-VIFPVBQESA-N 0 2 309.366 0.913 20 0 DCADLN COCC[N@@H+](Cc1[nH]cc(C(=O)[O-])c1C1CC1)[C@@H](C)C(N)=O ZINC001599238665 997994304 /nfs/dbraw/zinc/99/43/04/997994304.db2.gz PNACKDSHCIOFBP-VIFPVBQESA-N 0 2 309.366 0.913 20 0 DCADLN Cn1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1C=O ZINC001467508979 1016176210 /nfs/dbraw/zinc/17/62/10/1016176210.db2.gz QINNOZUFTPYPIG-VIFPVBQESA-N 0 2 303.322 0.681 20 0 DCADLN CCOC(=O)[C@H]1CSCN1C(=O)C[C@@H]1SC(=N)NC1=O ZINC001467755007 1016196386 /nfs/dbraw/zinc/19/63/86/1016196386.db2.gz UZLYBRQCNUGEEA-RQJHMYQMSA-N 0 2 317.392 0.007 20 0 DCADLN C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC001594248695 998948058 /nfs/dbraw/zinc/94/80/58/998948058.db2.gz BWRBUGDXDZFDLG-NEPJUHHUSA-N 0 2 319.361 0.715 20 0 DCADLN C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NC1CC(C(=O)[O-])C1 ZINC001594249472 998954094 /nfs/dbraw/zinc/95/40/94/998954094.db2.gz IEWNVSFVLCMVED-IKWCTNDRSA-N 0 2 311.382 0.354 20 0 DCADLN C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cncc(C(=O)[O-])c1 ZINC001594251346 998967634 /nfs/dbraw/zinc/96/76/34/998967634.db2.gz UXJXEAOUBBOEDU-SMDDNHRTSA-N 0 2 319.361 0.715 20 0 DCADLN CC[C@H](C)NC(=O)C[N@H+](C)CCNC(=O)c1n[nH]c(C)c1C ZINC001418448126 1000228746 /nfs/dbraw/zinc/22/87/46/1000228746.db2.gz HZEXKXHIGUFBDO-JTQLQIEISA-N 0 2 309.414 0.603 20 0 DCADLN CCc1nc(CC(=O)NCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001418528865 1000316527 /nfs/dbraw/zinc/31/65/27/1000316527.db2.gz XCHHJEASJBIWNO-UHFFFAOYSA-N 0 2 324.410 0.320 20 0 DCADLN CCc1nc(CC(=O)NCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cs1 ZINC001418528865 1000316532 /nfs/dbraw/zinc/31/65/32/1000316532.db2.gz XCHHJEASJBIWNO-UHFFFAOYSA-N 0 2 324.410 0.320 20 0 DCADLN O=C(NCC1=NC(=O)CC(=O)N1)c1cc(Br)n[nH]1 ZINC001418627272 1000403470 /nfs/dbraw/zinc/40/34/70/1000403470.db2.gz VXXGYUAYNNTEFC-UHFFFAOYSA-N 0 2 314.099 0.303 20 0 DCADLN O=C(NCc1nc(O)cc(=O)[nH]1)c1cc(Br)n[nH]1 ZINC001418627272 1000403472 /nfs/dbraw/zinc/40/34/72/1000403472.db2.gz VXXGYUAYNNTEFC-UHFFFAOYSA-N 0 2 314.099 0.303 20 0 DCADLN CCOc1cccc(CC(=O)NCc2nc(O)cc(=O)[nH]2)n1 ZINC001418625950 1000404423 /nfs/dbraw/zinc/40/44/23/1000404423.db2.gz RXGBNODRKUHLHX-UHFFFAOYSA-N 0 2 304.306 0.540 20 0 DCADLN Cc1ocnc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418740893 1000485066 /nfs/dbraw/zinc/48/50/66/1000485066.db2.gz WIAKJUKIFPCDBJ-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN Cc1ocnc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418740893 1000485068 /nfs/dbraw/zinc/48/50/68/1000485068.db2.gz WIAKJUKIFPCDBJ-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN CCn1ccnc1C(=O)NCC[N@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418762308 1000501087 /nfs/dbraw/zinc/50/10/87/1000501087.db2.gz SVFLJZDKGWBFLW-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CCn1ccnc1C(=O)NCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001418762308 1000501091 /nfs/dbraw/zinc/50/10/91/1000501091.db2.gz SVFLJZDKGWBFLW-UHFFFAOYSA-N 0 2 319.369 0.121 20 0 DCADLN CN(C(=O)C1(CF)CCC1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001419126254 1000796372 /nfs/dbraw/zinc/79/63/72/1000796372.db2.gz LPAUJGSKODSZOS-JTQLQIEISA-N 0 2 311.361 0.683 20 0 DCADLN CCOC(=O)c1n[nH]nc1[C@@H]1CCCN1C(=O)c1cnc[nH]1 ZINC001419444796 1001055312 /nfs/dbraw/zinc/05/53/12/1001055312.db2.gz RRVPENXRHFCTHM-VIFPVBQESA-N 0 2 304.310 0.682 20 0 DCADLN CC(=O)Nc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1F ZINC001470582991 1016462488 /nfs/dbraw/zinc/46/24/88/1016462488.db2.gz KSHXDYSWCDLEGP-UHFFFAOYSA-N 0 2 320.280 0.915 20 0 DCADLN CC(=O)Nc1ccc(C(=O)NCC2=NC(=O)CC(=O)N2)cc1F ZINC001470582991 1016462501 /nfs/dbraw/zinc/46/25/01/1016462501.db2.gz KSHXDYSWCDLEGP-UHFFFAOYSA-N 0 2 320.280 0.915 20 0 DCADLN CO[C@@H](C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CCC1 ZINC001402187142 1001097375 /nfs/dbraw/zinc/09/73/75/1001097375.db2.gz KMMRMFQWYPJNJO-CMPLNLGQSA-N 0 2 309.370 0.016 20 0 DCADLN O=C(CC[N@H+]1CC[C@H](F)C1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001470629708 1016470088 /nfs/dbraw/zinc/47/00/88/1016470088.db2.gz PSEBSJDILNAYJZ-GXTWGEPZSA-N 0 2 318.356 0.839 20 0 DCADLN O=C(CC[N@@H+]1CC[C@H](F)C1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001470629708 1016470096 /nfs/dbraw/zinc/47/00/96/1016470096.db2.gz PSEBSJDILNAYJZ-GXTWGEPZSA-N 0 2 318.356 0.839 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402736924 1001485861 /nfs/dbraw/zinc/48/58/61/1001485861.db2.gz QTCDFUWKCBGBJT-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN O=C(C[C@@H]1CCCO1)NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001402736924 1001485863 /nfs/dbraw/zinc/48/58/63/1001485863.db2.gz QTCDFUWKCBGBJT-NEPJUHHUSA-N 0 2 323.397 0.550 20 0 DCADLN CCO[C@H](C)C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[nH]2)CC1(C)C ZINC001403366512 1001888375 /nfs/dbraw/zinc/88/83/75/1001888375.db2.gz WBHKSGRSTSZKHH-NXEZZACHSA-N 0 2 311.386 0.262 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)[nH]1 ZINC001420143882 1001937521 /nfs/dbraw/zinc/93/75/21/1001937521.db2.gz JUEYQWXJQZPKGF-AEJSXWLSSA-N 0 2 312.395 0.402 20 0 DCADLN CN(C)C(=O)C[N@H+](C)CCNC(=O)c1n[nH]c2c1CCCCC2 ZINC001420344816 1002255188 /nfs/dbraw/zinc/25/51/88/1002255188.db2.gz KHDYMUDLHPYLRT-UHFFFAOYSA-N 0 2 321.425 0.428 20 0 DCADLN Cc1cnc(CN[C@H](CO)CNC(=O)C(F)C(F)(F)F)nc1 ZINC001403669168 1002317302 /nfs/dbraw/zinc/31/73/02/1002317302.db2.gz JYQOUGXFCXSMFK-WCBMZHEXSA-N 0 2 324.278 0.252 20 0 DCADLN C[C@H](NC(=O)C1(C2CC2)CC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425218686 1002448329 /nfs/dbraw/zinc/44/83/29/1002448329.db2.gz HUHYLHWHCCWFPZ-VIFPVBQESA-N 0 2 305.382 0.637 20 0 DCADLN C[C@H](NC(=O)C[C@H]1CCCOC1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001425271816 1002500141 /nfs/dbraw/zinc/50/01/41/1002500141.db2.gz ZEZQVCCGRHDUOD-WDEREUQCSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCn1cccc1 ZINC001420640912 1002728108 /nfs/dbraw/zinc/72/81/08/1002728108.db2.gz NECITSBCGFARTO-LLVKDONJSA-N 0 2 306.370 0.339 20 0 DCADLN C[C@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)CCn1cccc1 ZINC001420640912 1002728113 /nfs/dbraw/zinc/72/81/13/1002728113.db2.gz NECITSBCGFARTO-LLVKDONJSA-N 0 2 306.370 0.339 20 0 DCADLN CC(C)C1(O)CCN(C(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC001420639515 1002731081 /nfs/dbraw/zinc/73/10/81/1002731081.db2.gz ZSEAWTOKHMQALX-UHFFFAOYSA-N 0 2 319.365 0.081 20 0 DCADLN CCCc1cc(C(=O)N2C[C@H](O)C[C@H]2c2nnc(CC)[nH]2)n[nH]1 ZINC001420656572 1002757073 /nfs/dbraw/zinc/75/70/73/1002757073.db2.gz QSHYICVDQAMONG-PWSUYJOCSA-N 0 2 318.381 0.991 20 0 DCADLN C[C@@H](C[N@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CC12CCOCC2 ZINC001420698597 1002816523 /nfs/dbraw/zinc/81/65/23/1002816523.db2.gz YLTLGUGXTTZDJV-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN C[C@@H](C[N@@H+](C)Cc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CC12CCOCC2 ZINC001420698597 1002816535 /nfs/dbraw/zinc/81/65/35/1002816535.db2.gz YLTLGUGXTTZDJV-QWRGUYRKSA-N 0 2 323.397 0.264 20 0 DCADLN Cc1ccncc1CC(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1 ZINC001420853635 1003034584 /nfs/dbraw/zinc/03/45/84/1003034584.db2.gz NCUHNFOMAAGEMS-GFCCVEGCSA-N 0 2 316.365 0.147 20 0 DCADLN C[C@H]([NH2+][C@H](CO)CNC(=O)C[N@H+](C)C1CCC1)c1ncccn1 ZINC001425751233 1003053221 /nfs/dbraw/zinc/05/32/21/1003053221.db2.gz IWAAHCWNQQJNTE-STQMWFEESA-N 0 2 321.425 0.089 20 0 DCADLN C[C@@H]1CC[C@@H](CC(=O)NCc2nnc(CS(C)(=O)=O)[nH]2)C1 ZINC001472082939 1016724736 /nfs/dbraw/zinc/72/47/36/1016724736.db2.gz YOJQKJXDCRWOFW-NXEZZACHSA-N 0 2 314.411 0.792 20 0 DCADLN CS(=O)(=O)c1ccnc(N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n1 ZINC001421124033 1003347615 /nfs/dbraw/zinc/34/76/15/1003347615.db2.gz PFESRBOISPXSDP-QMMMGPOBSA-N 0 2 324.366 0.088 20 0 DCADLN C[C@@H](NC(=O)[C@@H]1CCCN1C(=O)C1CC1)c1nn(C)cc1O ZINC001472237892 1016768144 /nfs/dbraw/zinc/76/81/44/1016768144.db2.gz YUZAJTWHIJZYDX-KOLCDFICSA-N 0 2 306.366 0.704 20 0 DCADLN C[C@@H](NC(=O)CC[C@H]1CC[C@@H](C(N)=O)O1)c1nn(C)cc1O ZINC001472236784 1016768762 /nfs/dbraw/zinc/76/87/62/1016768762.db2.gz OFEKOIFODCYFHT-KKZNHRDASA-N 0 2 310.354 0.116 20 0 DCADLN C[C@@H](NC(=O)c1ccc(C(N)=O)c(Cl)n1)c1nn(C)cc1O ZINC001472237967 1016769625 /nfs/dbraw/zinc/76/96/25/1016769625.db2.gz XGLBVYKUTDTFRL-ZCFIWIBFSA-N 0 2 323.740 0.764 20 0 DCADLN COCC1(CC(=O)N(C)[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001421299953 1003515131 /nfs/dbraw/zinc/51/51/31/1003515131.db2.gz JDHVEEBNGBWAEH-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN COCC1(CC(=O)N(C)[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001421299953 1003515135 /nfs/dbraw/zinc/51/51/35/1003515135.db2.gz JDHVEEBNGBWAEH-LLVKDONJSA-N 0 2 323.397 0.360 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cc(-c3cccnc3)[nH]n2)S1 ZINC001472393158 1016792941 /nfs/dbraw/zinc/79/29/41/1016792941.db2.gz CYWGCMOYTVSWQO-SECBINFHSA-N 0 2 316.346 0.967 20 0 DCADLN CC(C)(F)C(=O)N1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@@H](O)C1 ZINC001406387583 1003669481 /nfs/dbraw/zinc/66/94/81/1003669481.db2.gz STFKLANAOOSSBF-DSYKOEDSSA-N 0 2 318.242 0.323 20 0 DCADLN CC(C)(F)C(=O)N1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@@H](O)C1 ZINC001406387583 1003669483 /nfs/dbraw/zinc/66/94/83/1003669483.db2.gz STFKLANAOOSSBF-DSYKOEDSSA-N 0 2 318.242 0.323 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428099036 1003700463 /nfs/dbraw/zinc/70/04/63/1003700463.db2.gz DWMZKQYWMWNESI-DJLDLDEBSA-N 0 2 314.279 0.621 20 0 DCADLN CC(C)[C@@H](O)C(=O)N1CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001428099036 1003700468 /nfs/dbraw/zinc/70/04/68/1003700468.db2.gz DWMZKQYWMWNESI-DJLDLDEBSA-N 0 2 314.279 0.621 20 0 DCADLN CCOCC(=O)N1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001406490994 1003755461 /nfs/dbraw/zinc/75/54/61/1003755461.db2.gz ODFHEXYTOWWFGZ-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN CCOCC(=O)N1CC[C@H]1CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001406490994 1003755466 /nfs/dbraw/zinc/75/54/66/1003755466.db2.gz ODFHEXYTOWWFGZ-WCBMZHEXSA-N 0 2 314.279 0.983 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001428204793 1003764424 /nfs/dbraw/zinc/76/44/24/1003764424.db2.gz WSJIGYBFFPSSQR-NQMVMOMDSA-N 0 2 322.262 0.616 20 0 DCADLN O=C(Cc1cc[nH]n1)N[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001428204793 1003764429 /nfs/dbraw/zinc/76/44/29/1003764429.db2.gz WSJIGYBFFPSSQR-NQMVMOMDSA-N 0 2 322.262 0.616 20 0 DCADLN CC(=O)NC[C@]1(C)CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001427219674 1004262626 /nfs/dbraw/zinc/26/26/26/1004262626.db2.gz XBCSXOSDQUDPGQ-WCBMZHEXSA-N 0 2 300.252 0.640 20 0 DCADLN CC(=O)NC[C@]1(C)CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001427219674 1004262639 /nfs/dbraw/zinc/26/26/39/1004262639.db2.gz XBCSXOSDQUDPGQ-WCBMZHEXSA-N 0 2 300.252 0.640 20 0 DCADLN CC[C@@H](C)NC(=O)C[NH2+]C1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001406994706 1004293130 /nfs/dbraw/zinc/29/31/30/1004293130.db2.gz OVWWMHPFIWPHHH-LLVKDONJSA-N 0 2 321.425 0.414 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506005539 1016869582 /nfs/dbraw/zinc/86/95/82/1016869582.db2.gz CMYVUBXDBQCOPQ-ZDCRXTMVSA-N 0 2 309.370 0.014 20 0 DCADLN C[C@@H](CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001506005539 1016869603 /nfs/dbraw/zinc/86/96/03/1016869603.db2.gz CMYVUBXDBQCOPQ-ZDCRXTMVSA-N 0 2 309.370 0.014 20 0 DCADLN CCN(CCNC(=O)c1ncc[nH]1)C(=O)C(F)C(F)(F)F ZINC001429567767 1004675098 /nfs/dbraw/zinc/67/50/98/1004675098.db2.gz WJUSBOTUBAINML-SSDOTTSWSA-N 0 2 310.251 0.888 20 0 DCADLN CCN(CCNC(=O)c1ncc[nH]1)C(=O)[C@@H](F)C(F)(F)F ZINC001429567767 1004675101 /nfs/dbraw/zinc/67/51/01/1004675101.db2.gz WJUSBOTUBAINML-SSDOTTSWSA-N 0 2 310.251 0.888 20 0 DCADLN O=C(CC1CC1)NC[C@@H]1CCC2(CN(Cc3n[nH]c(=O)[nH]3)C2)O1 ZINC001407980535 1004970825 /nfs/dbraw/zinc/97/08/25/1004970825.db2.gz OYTQGBBUPOSUCK-NSHDSACASA-N 0 2 321.381 0.160 20 0 DCADLN CCCCC(=O)NC1(CO)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001408248241 1005125221 /nfs/dbraw/zinc/12/52/21/1005125221.db2.gz CFRWOKNEXSBTJA-UHFFFAOYSA-N 0 2 311.386 0.144 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)NCc1cn(C(C)C)nn1 ZINC001414292141 1005277601 /nfs/dbraw/zinc/27/76/01/1005277601.db2.gz HHDTYEBXOOCYQK-UHFFFAOYSA-N 0 2 323.444 0.701 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001414559348 1005360101 /nfs/dbraw/zinc/36/01/01/1005360101.db2.gz WVNLWDGNEPEWKR-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN Cn1cncc1C(=O)N1CC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001414559348 1005360102 /nfs/dbraw/zinc/36/01/02/1005360102.db2.gz WVNLWDGNEPEWKR-APPZFPTMSA-N 0 2 322.262 0.651 20 0 DCADLN C[C@@H](CNC(=O)Cc1cncn1C)NC(=O)C(F)C(F)(F)F ZINC001415220968 1005483891 /nfs/dbraw/zinc/48/38/91/1005483891.db2.gz ZQIONQPDJRJJDQ-OIBJUYFYSA-N 0 2 324.278 0.484 20 0 DCADLN O=C(CCc1cn[nH]c1)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001416002849 1005646692 /nfs/dbraw/zinc/64/66/92/1005646692.db2.gz SQUVRNJKIUUNKT-SNVBAGLBSA-N 0 2 322.262 0.570 20 0 DCADLN O=C(CCc1cn[nH]c1)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001416002849 1005646694 /nfs/dbraw/zinc/64/66/94/1005646694.db2.gz SQUVRNJKIUUNKT-SNVBAGLBSA-N 0 2 322.262 0.570 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)CNC(=O)C(F)C(F)(F)F ZINC001416463189 1005702179 /nfs/dbraw/zinc/70/21/79/1005702179.db2.gz DFVGULAIJDBQBY-IONNQARKSA-N 0 2 324.278 0.803 20 0 DCADLN C[C@@H](CNC(=O)c1ccn(C)n1)CNC(=O)[C@@H](F)C(F)(F)F ZINC001416463189 1005702181 /nfs/dbraw/zinc/70/21/81/1005702181.db2.gz DFVGULAIJDBQBY-IONNQARKSA-N 0 2 324.278 0.803 20 0 DCADLN Cc1ccnc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)n1 ZINC001416806854 1005739181 /nfs/dbraw/zinc/73/91/81/1005739181.db2.gz XSMLSBUYDKEVMS-SFYZADRCSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1ccnc(C(=O)N[C@H](C)CNC(=O)[C@H](F)C(F)(F)F)n1 ZINC001416806854 1005739182 /nfs/dbraw/zinc/73/91/82/1005739182.db2.gz XSMLSBUYDKEVMS-SFYZADRCSA-N 0 2 322.262 0.920 20 0 DCADLN Cc1[nH]nc(C(=O)NC[C@H](C)NC(=O)CCc2cnn[nH]2)c1C ZINC001416948267 1005764564 /nfs/dbraw/zinc/76/45/64/1005764564.db2.gz SHGARFQBLYXQLE-QMMMGPOBSA-N 0 2 319.369 0.012 20 0 DCADLN NS(=O)(=O)c1cc(C(=O)Nc2nncs2)c(Cl)o1 ZINC001417614767 1005851717 /nfs/dbraw/zinc/85/17/17/1005851717.db2.gz NNFAVSSPSWVSHF-UHFFFAOYSA-N 0 2 308.728 0.684 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1cc(=O)c(Cl)c[nH]1 ZINC001417725528 1005876564 /nfs/dbraw/zinc/87/65/64/1005876564.db2.gz YNVXDETWPJBOFA-UHFFFAOYSA-N 0 2 320.696 0.464 20 0 DCADLN CCC[C@H](OC)C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001417912504 1005923291 /nfs/dbraw/zinc/92/32/91/1005923291.db2.gz SFJQWUZFDDGYPO-QWRGUYRKSA-N 0 2 311.386 0.406 20 0 DCADLN O=C(N[C@@H]1CO[C@@H](C2CC2)C1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC001434826087 1006015824 /nfs/dbraw/zinc/01/58/24/1006015824.db2.gz SRULUEISADFYIJ-GRYCIOLGSA-N 0 2 321.381 0.967 20 0 DCADLN CC(C)OCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418154043 1006109557 /nfs/dbraw/zinc/10/95/57/1006109557.db2.gz URTJQYPKZILUFF-NSHDSACASA-N 0 2 311.386 0.406 20 0 DCADLN CC(C)OCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001418154043 1006109566 /nfs/dbraw/zinc/10/95/66/1006109566.db2.gz URTJQYPKZILUFF-NSHDSACASA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)CNC(=O)C(F)C(F)(F)F ZINC001451566056 1006275862 /nfs/dbraw/zinc/27/58/62/1006275862.db2.gz UHWUBTKDBQCINW-XCBNKYQSSA-N 0 2 324.278 0.721 20 0 DCADLN C[C@H](CNC(=O)Cc1cc[nH]n1)CNC(=O)[C@H](F)C(F)(F)F ZINC001451566056 1006275871 /nfs/dbraw/zinc/27/58/71/1006275871.db2.gz UHWUBTKDBQCINW-XCBNKYQSSA-N 0 2 324.278 0.721 20 0 DCADLN CCCC(=O)NC[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001451858104 1006457065 /nfs/dbraw/zinc/45/70/65/1006457065.db2.gz KYVKNWDLULHUOK-VXNVDRBHSA-N 0 2 302.268 0.622 20 0 DCADLN CCCC(=O)NC[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001451858104 1006457070 /nfs/dbraw/zinc/45/70/70/1006457070.db2.gz KYVKNWDLULHUOK-VXNVDRBHSA-N 0 2 302.268 0.622 20 0 DCADLN CCC[N@H+](C)CC(=O)N(C)CCN(C)C(=O)Cn1cc[nH+]c1 ZINC001452172793 1006639917 /nfs/dbraw/zinc/63/99/17/1006639917.db2.gz HSMWWMSUQBTTCF-UHFFFAOYSA-N 0 2 309.414 0.142 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)C(F)C(F)(F)F)c1ccoc1 ZINC001452272224 1006703182 /nfs/dbraw/zinc/70/31/82/1006703182.db2.gz RBTAMLVKZVIKTO-HTQZYQBOSA-N 0 2 312.219 0.387 20 0 DCADLN O=C(NC[C@@H](O)CNC(=O)[C@@H](F)C(F)(F)F)c1ccoc1 ZINC001452272224 1006703192 /nfs/dbraw/zinc/70/31/92/1006703192.db2.gz RBTAMLVKZVIKTO-HTQZYQBOSA-N 0 2 312.219 0.387 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)CNC(=O)C(F)C(F)(F)F)[nH]1 ZINC001452471468 1006858853 /nfs/dbraw/zinc/85/88/53/1006858853.db2.gz YNYVALIPRWZTMG-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN Cc1ncc(C(=O)N[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F)[nH]1 ZINC001452471468 1006858870 /nfs/dbraw/zinc/85/88/70/1006858870.db2.gz YNYVALIPRWZTMG-SVGQVSJJSA-N 0 2 310.251 0.853 20 0 DCADLN CCCCC(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC001452736268 1007023816 /nfs/dbraw/zinc/02/38/16/1007023816.db2.gz TZOYVIIXQJDBCO-WEDXCCLWSA-N 0 2 314.279 0.765 20 0 DCADLN CCCCC(=O)N[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]1O ZINC001452736268 1007023829 /nfs/dbraw/zinc/02/38/29/1007023829.db2.gz TZOYVIIXQJDBCO-WEDXCCLWSA-N 0 2 314.279 0.765 20 0 DCADLN Cc1n[nH]c(C)c1[C@H](C)C(=O)NCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437537403 1007109659 /nfs/dbraw/zinc/10/96/59/1007109659.db2.gz VAJBTCGAXHVHOQ-QMMMGPOBSA-N 0 2 321.385 0.202 20 0 DCADLN Cc1n[nH]c(C)c1[C@H](C)C(=O)NCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001437537403 1007109666 /nfs/dbraw/zinc/10/96/66/1007109666.db2.gz VAJBTCGAXHVHOQ-QMMMGPOBSA-N 0 2 321.385 0.202 20 0 DCADLN CCc1cc(C(=O)NCC[N@@H+](CC)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001437652200 1007215858 /nfs/dbraw/zinc/21/58/58/1007215858.db2.gz KHUAPZIYUZSCAK-UHFFFAOYSA-N 0 2 321.385 0.058 20 0 DCADLN CCc1cc(C(=O)NCC[N@H+](CC)Cc2n[nH]c(=O)[n-]2)nn1C ZINC001437652200 1007215867 /nfs/dbraw/zinc/21/58/67/1007215867.db2.gz KHUAPZIYUZSCAK-UHFFFAOYSA-N 0 2 321.385 0.058 20 0 DCADLN Cn1cnnc1CN1CC[C@](C)(NC(=O)C(F)C(F)(F)F)C1 ZINC001439845295 1007244178 /nfs/dbraw/zinc/24/41/78/1007244178.db2.gz MQMFKNKFYQHQHT-KOLCDFICSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1cnnc1CN1CC[C@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001439845295 1007244184 /nfs/dbraw/zinc/24/41/84/1007244184.db2.gz MQMFKNKFYQHQHT-KOLCDFICSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)C(C)(F)F ZINC001440239376 1007601576 /nfs/dbraw/zinc/60/15/76/1007601576.db2.gz KPHFTQCZDCBTFS-DTWKUNHWSA-N 0 2 317.340 0.834 20 0 DCADLN CSC[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001438170457 1007685543 /nfs/dbraw/zinc/68/55/43/1007685543.db2.gz SKWDQTYYNKQVEN-UTLUCORTSA-N 0 2 311.411 0.295 20 0 DCADLN C[C@H](CN(C)C(=O)[C@@H](C)n1cccc1)[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001441519475 1008387507 /nfs/dbraw/zinc/38/75/07/1008387507.db2.gz VOUCQZWEKWCXQF-VXGBXAGGSA-N 0 2 320.397 0.108 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CCCC1)[C@H](F)C(F)(F)F ZINC001433226171 1008618262 /nfs/dbraw/zinc/61/82/62/1008618262.db2.gz NBLNAYRRSJOIAN-BDAKNGLRSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(NC[C@H](O)CNC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001433226171 1008618258 /nfs/dbraw/zinc/61/82/58/1008618258.db2.gz NBLNAYRRSJOIAN-BDAKNGLRSA-N 0 2 314.279 0.670 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](O)CNC(=O)[C@@H](C)C(C)C ZINC001433327292 1008693401 /nfs/dbraw/zinc/69/34/01/1008693401.db2.gz SYTCEOPPASJPRZ-CMPLNLGQSA-N 0 2 310.398 0.146 20 0 DCADLN COC(=O)[C@@]1(NCc2nc(=O)c3sccc3[nH]2)CCOC1 ZINC001421649215 1008869812 /nfs/dbraw/zinc/86/98/12/1008869812.db2.gz FZXUSERPGUVLIG-CYBMUJFWSA-N 0 2 309.347 0.819 20 0 DCADLN C[C@@H](CNC(=O)C(F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001433601447 1008986632 /nfs/dbraw/zinc/98/66/32/1008986632.db2.gz QGAHKAKZPCZYDH-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)c1cccnn1 ZINC001433601447 1008986636 /nfs/dbraw/zinc/98/66/36/1008986636.db2.gz QGAHKAKZPCZYDH-XPUUQOCRSA-N 0 2 308.235 0.612 20 0 DCADLN Cn1nc(C(C)(C)C)cc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001454192736 1008991552 /nfs/dbraw/zinc/99/15/52/1008991552.db2.gz ZYKDCICQJCQFON-UHFFFAOYSA-N 0 2 305.338 0.849 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C2=CCOCC2)C1)C(F)C(F)(F)F ZINC001454261158 1009026364 /nfs/dbraw/zinc/02/63/64/1009026364.db2.gz CHDVXAIYGMPDPZ-UWVGGRQHSA-N 0 2 324.274 0.951 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C2=CCOCC2)C1)[C@H](F)C(F)(F)F ZINC001454261158 1009026383 /nfs/dbraw/zinc/02/63/83/1009026383.db2.gz CHDVXAIYGMPDPZ-UWVGGRQHSA-N 0 2 324.274 0.951 20 0 DCADLN Cc1n[nH]c(C(=O)NCC[N@@H+](C)CCc2ccnn2C)c1C ZINC001442376268 1009240659 /nfs/dbraw/zinc/24/06/59/1009240659.db2.gz JCDPQHYWZBKPQZ-UHFFFAOYSA-N 0 2 304.398 0.664 20 0 DCADLN COC(=O)c1cccc2[nH]c(NC(=O)CCc3nn[nH]n3)nc21 ZINC001546065653 1009349248 /nfs/dbraw/zinc/34/92/48/1009349248.db2.gz VLWFTMYDGQZLSH-UHFFFAOYSA-N 0 2 315.293 0.434 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1CC[C@@H](O)[C@@H](CO)C1 ZINC001434099813 1009561267 /nfs/dbraw/zinc/56/12/67/1009561267.db2.gz MWUXQEQDLPBXDO-DGCLKSJQSA-N 0 2 317.345 0.393 20 0 DCADLN CSc1ncc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)c(=O)[nH]1 ZINC001434114235 1009580296 /nfs/dbraw/zinc/58/02/96/1009580296.db2.gz ZXEZAJJHPOMKIP-SSDOTTSWSA-N 0 2 306.351 0.652 20 0 DCADLN Cc1nnc(CN(C)CCN(C)C(=O)C(F)C(F)(F)F)[nH]1 ZINC001422164080 1009589428 /nfs/dbraw/zinc/58/94/28/1009589428.db2.gz IDSJQNOOTOIXTQ-VIFPVBQESA-N 0 2 311.283 0.904 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cnsn1 ZINC001455280621 1009630573 /nfs/dbraw/zinc/63/05/73/1009630573.db2.gz JIQHDTPPMOXNSE-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN CN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cnsn1 ZINC001455280621 1009630580 /nfs/dbraw/zinc/63/05/80/1009630580.db2.gz JIQHDTPPMOXNSE-ZCFIWIBFSA-N 0 2 314.264 0.627 20 0 DCADLN CCO[C@@]1(C)C[C@H]1NC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001434193198 1009649470 /nfs/dbraw/zinc/64/94/70/1009649470.db2.gz NFKILCJLGOAQRA-ABAIWWIYSA-N 0 2 318.333 0.564 20 0 DCADLN Cn1ncnc1CN1CCC[C@H]1CNC(=O)C(F)C(F)(F)F ZINC001442780913 1009727155 /nfs/dbraw/zinc/72/71/55/1009727155.db2.gz HEQNZUQXJWHFBQ-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN Cn1ncnc1CN1CCC[C@H]1CNC(=O)[C@H](F)C(F)(F)F ZINC001442780913 1009727165 /nfs/dbraw/zinc/72/71/65/1009727165.db2.gz HEQNZUQXJWHFBQ-WPRPVWTQSA-N 0 2 323.294 0.796 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001442829807 1009785604 /nfs/dbraw/zinc/78/56/04/1009785604.db2.gz WRUGWKAZYLIOQR-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001442829807 1009785621 /nfs/dbraw/zinc/78/56/21/1009785621.db2.gz WRUGWKAZYLIOQR-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN C[C@H](C(=O)NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)C(F)(F)F ZINC001442829807 1009785634 /nfs/dbraw/zinc/78/56/34/1009785634.db2.gz WRUGWKAZYLIOQR-HTQZYQBOSA-N 0 2 321.303 0.789 20 0 DCADLN CCN(CCNC(=O)C(F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001455589944 1009840847 /nfs/dbraw/zinc/84/08/47/1009840847.db2.gz ILBWDGXMZVEQLB-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN CCN(CCNC(=O)[C@@H](F)C(F)(F)F)C(=O)c1cn(C)cn1 ZINC001455589944 1009840857 /nfs/dbraw/zinc/84/08/57/1009840857.db2.gz ILBWDGXMZVEQLB-SECBINFHSA-N 0 2 324.278 0.899 20 0 DCADLN COC[C@@](C)(O)CNS(=O)(=O)c1onc(C2CC2)c1Cl ZINC001434547495 1010077203 /nfs/dbraw/zinc/07/72/03/1010077203.db2.gz CJVRPDHKHZSASU-NSHDSACASA-N 0 2 324.786 0.881 20 0 DCADLN COc1cnc(C(C)(C)NC(=O)CCc2cnn[nH]2)[nH]c1=O ZINC001456151106 1010109672 /nfs/dbraw/zinc/10/96/72/1010109672.db2.gz GYAAXONTFUQCEV-UHFFFAOYSA-N 0 2 306.326 0.293 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)N(C)Cc1nncn1C ZINC001422507799 1010204685 /nfs/dbraw/zinc/20/46/85/1010204685.db2.gz LETHIAAFXUSBSW-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)N(C)Cc1nncn1C ZINC001422507799 1010204694 /nfs/dbraw/zinc/20/46/94/1010204694.db2.gz LETHIAAFXUSBSW-VXNVDRBHSA-N 0 2 311.283 0.652 20 0 DCADLN COc1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001422529727 1010251133 /nfs/dbraw/zinc/25/11/33/1010251133.db2.gz QZWKVTMLXVTLNW-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN COc1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2n[nH]c(=O)[n-]2)cn1 ZINC001422529727 1010251143 /nfs/dbraw/zinc/25/11/43/1010251143.db2.gz QZWKVTMLXVTLNW-VIFPVBQESA-N 0 2 320.353 0.164 20 0 DCADLN CO[C@@H](C(=O)N[C@@H](C)C1CN(Cc2n[nH]c(=O)[nH]2)C1)C(C)C ZINC001422727392 1010534449 /nfs/dbraw/zinc/53/44/49/1010534449.db2.gz BGINXPRMUIHFCJ-JOYOIKCWSA-N 0 2 311.386 0.118 20 0 DCADLN CC(F)(F)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001443679085 1010584147 /nfs/dbraw/zinc/58/41/47/1010584147.db2.gz GMPCIKGRGUQTRS-IUCAKERBSA-N 0 2 315.324 0.731 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CCCC1)C(F)C(F)(F)F ZINC001444060120 1010831730 /nfs/dbraw/zinc/83/17/30/1010831730.db2.gz NBMINTGDFVGFBH-IUCAKERBSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(N[C@H](CO)CNC(=O)C1CCCC1)[C@H](F)C(F)(F)F ZINC001444060120 1010831734 /nfs/dbraw/zinc/83/17/34/1010831734.db2.gz NBMINTGDFVGFBH-IUCAKERBSA-N 0 2 314.279 0.670 20 0 DCADLN O=C(CN1CC[C@@H]1CNC(=O)[C@H](F)C(F)(F)F)NC1CC1 ZINC001423105826 1010893260 /nfs/dbraw/zinc/89/32/60/1010893260.db2.gz ZEQQGSLHBZMFNU-SCZZXKLOSA-N 0 2 311.279 0.356 20 0 DCADLN O=C(CN1CC[C@@H]1CNC(=O)C(F)C(F)(F)F)NC1CC1 ZINC001423105826 1010893249 /nfs/dbraw/zinc/89/32/49/1010893249.db2.gz ZEQQGSLHBZMFNU-SCZZXKLOSA-N 0 2 311.279 0.356 20 0 DCADLN CC(C)(C)OC(=O)c1cc(C(=O)N2CCc3[nH]nnc3C2)[nH]n1 ZINC001444512602 1011045585 /nfs/dbraw/zinc/04/55/85/1011045585.db2.gz WNORHTKSRQFZMC-UHFFFAOYSA-N 0 2 318.337 0.682 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@]1(C)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423564773 1011269992 /nfs/dbraw/zinc/26/99/92/1011269992.db2.gz ZRXGZZVGEUVIOW-IINYFYTJSA-N 0 2 311.386 0.406 20 0 DCADLN CCO[C@@H](CC)C(=O)N[C@]1(C)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001423564773 1011270006 /nfs/dbraw/zinc/27/00/06/1011270006.db2.gz ZRXGZZVGEUVIOW-IINYFYTJSA-N 0 2 311.386 0.406 20 0 DCADLN C[C@H]([NH2+]C[C@@H](NC(=O)CCn1cc[nH+]c1)C(C)(C)C)C(N)=O ZINC001423609719 1011341934 /nfs/dbraw/zinc/34/19/34/1011341934.db2.gz RUJCNGPHGBOWGS-NWDGAFQWSA-N 0 2 309.414 0.268 20 0 DCADLN C[C@@H](NS(=O)(=O)N=S(C)(C)=O)C(=O)Nc1ccccc1 ZINC001423697489 1011433290 /nfs/dbraw/zinc/43/32/90/1011433290.db2.gz QVDDGBUPZSAMPP-SECBINFHSA-N 0 2 319.408 0.576 20 0 DCADLN C[C@H](NC(=O)CN1CSCCSC1)c1nn(C)cc1O ZINC001456782061 1011458651 /nfs/dbraw/zinc/45/86/51/1011458651.db2.gz GUCWZESPWMXKAY-VIFPVBQESA-N 0 2 316.452 1.000 20 0 DCADLN C[C@@H](NC(=O)c1ccc(S(C)(=O)=O)o1)c1nn(C)cc1O ZINC001456796742 1011467517 /nfs/dbraw/zinc/46/75/17/1011467517.db2.gz ROQGKEWPDSXZOD-SSDOTTSWSA-N 0 2 313.335 0.613 20 0 DCADLN Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNC(=O)c1ccn(C)c1C ZINC001431706196 1011564042 /nfs/dbraw/zinc/56/40/42/1011564042.db2.gz KXEBRDANDANSGH-SNVBAGLBSA-N 0 2 317.393 0.842 20 0 DCADLN Cc1cc(-c2nc(C(=O)NCc3n[nH]c(=O)[nH]3)co2)ccn1 ZINC001445414824 1011744526 /nfs/dbraw/zinc/74/45/26/1011744526.db2.gz OWICJDOBFFIVKD-UHFFFAOYSA-N 0 2 300.278 0.799 20 0 DCADLN Cn1c[nH+]c(CCNC(=O)N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)c1 ZINC001553494253 1012027938 /nfs/dbraw/zinc/02/79/38/1012027938.db2.gz YMKQWWXSWXQQNR-QWHCGFSZSA-N 0 2 306.366 0.715 20 0 DCADLN Cc1occc1C(=O)NCC(=O)NCCc1n[nH]c(=S)o1 ZINC001556283804 1012195831 /nfs/dbraw/zinc/19/58/31/1012195831.db2.gz FNAFOKHDQVHSHW-UHFFFAOYSA-N 0 2 310.335 0.348 20 0 DCADLN CC1=C(C)C(=O)N(CCC(=O)NCCc2n[nH]c(=S)o2)C1=O ZINC001556284088 1012196556 /nfs/dbraw/zinc/19/65/56/1012196556.db2.gz QWEFKJCTFKYXLX-UHFFFAOYSA-N 0 2 324.362 0.112 20 0 DCADLN Cc1cc(C(=O)N(C)[C@H](C)CNC(=O)CCc2cn[nH]n2)[nH]n1 ZINC001424300262 1012330848 /nfs/dbraw/zinc/33/08/48/1012330848.db2.gz AVWBNTGYHANYPG-SNVBAGLBSA-N 0 2 319.369 0.046 20 0 DCADLN Cc1cc(C(=O)NCC2(NC(=O)C(F)C(F)(F)F)CC2)[nH]n1 ZINC001458879479 1012431154 /nfs/dbraw/zinc/43/11/54/1012431154.db2.gz MIPCXCYESCJJFU-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN Cc1cc(C(=O)NCC2(NC(=O)[C@@H](F)C(F)(F)F)CC2)[nH]n1 ZINC001458879479 1012431175 /nfs/dbraw/zinc/43/11/75/1012431175.db2.gz MIPCXCYESCJJFU-MRVPVSSYSA-N 0 2 322.262 0.997 20 0 DCADLN O=C(NCC1CN(C(=O)c2cncnc2)C1)C(F)C(F)(F)F ZINC001432431099 1012479177 /nfs/dbraw/zinc/47/91/77/1012479177.db2.gz VJJNFDVZQIGAHS-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCC1CN(C(=O)c2cncnc2)C1)[C@H](F)C(F)(F)F ZINC001432431099 1012479185 /nfs/dbraw/zinc/47/91/85/1012479185.db2.gz VJJNFDVZQIGAHS-VIFPVBQESA-N 0 2 320.246 0.565 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCN(Cc2ccccc2)C1=O ZINC001545329319 1012561740 /nfs/dbraw/zinc/56/17/40/1012561740.db2.gz FEYDTISNQBIXKT-NSHDSACASA-N 0 2 315.333 0.175 20 0 DCADLN O=C(NCC1(NCc2nc(C3CCC3)no2)CC1)c1cnn[nH]1 ZINC001446198497 1012667982 /nfs/dbraw/zinc/66/79/82/1012667982.db2.gz JILCQFJRWSIPSN-UHFFFAOYSA-N 0 2 317.353 0.507 20 0 DCADLN COc1cc(F)cc(F)c1S(=O)(=O)Nc1nnn(C)n1 ZINC001424585223 1012679505 /nfs/dbraw/zinc/67/95/05/1012679505.db2.gz SMFVDVDLUTXEDZ-UHFFFAOYSA-N 0 2 305.266 0.298 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnon2)C1)C(F)C(F)(F)F ZINC001429837655 1012843560 /nfs/dbraw/zinc/84/35/60/1012843560.db2.gz CFNOZPMECYVWAO-CAHLUQPWSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)c2cnon2)C1)[C@@H](F)C(F)(F)F ZINC001429837655 1012843579 /nfs/dbraw/zinc/84/35/79/1012843579.db2.gz CFNOZPMECYVWAO-CAHLUQPWSA-N 0 2 310.207 0.301 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cocn2)C1)C(F)C(F)(F)F ZINC001429838942 1012844995 /nfs/dbraw/zinc/84/49/95/1012844995.db2.gz NDRUBXIXNHEQTL-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)c2cocn2)C1)[C@H](F)C(F)(F)F ZINC001429838942 1012845001 /nfs/dbraw/zinc/84/50/01/1012845001.db2.gz NDRUBXIXNHEQTL-SVRRBLITSA-N 0 2 309.219 0.906 20 0 DCADLN O=C(NC[C@@H](NC(=O)C(F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001429938185 1012971109 /nfs/dbraw/zinc/97/11/09/1012971109.db2.gz HIZBXLWTHKWPEY-BDAKNGLRSA-N 0 2 322.262 0.935 20 0 DCADLN O=C(NC[C@@H](NC(=O)[C@H](F)C(F)(F)F)C1CC1)c1cn[nH]c1 ZINC001429938185 1012971125 /nfs/dbraw/zinc/97/11/25/1012971125.db2.gz HIZBXLWTHKWPEY-BDAKNGLRSA-N 0 2 322.262 0.935 20 0 DCADLN Cc1cc(NC(=O)NCc2n[nH]c(=O)[nH]2)nn1CC(F)(F)F ZINC001476770921 1017221847 /nfs/dbraw/zinc/22/18/47/1017221847.db2.gz WLVMVZSOBXSHAE-UHFFFAOYSA-N 0 2 319.247 0.899 20 0 DCADLN C[C@H](NC(=O)C[C@@H]1CCCCO1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001506607491 1017402665 /nfs/dbraw/zinc/40/26/65/1017402665.db2.gz GKHNTTUAWWQODR-JQWIXIFHSA-N 0 2 323.397 0.406 20 0 DCADLN CC(C)(CC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001494552690 1017782235 /nfs/dbraw/zinc/78/22/35/1017782235.db2.gz LIRZVXUCEDFPQF-UHFFFAOYSA-N 0 2 307.398 0.885 20 0 DCADLN CCO[C@H](C(=O)N(C)[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC1 ZINC001494829016 1018085109 /nfs/dbraw/zinc/08/51/09/1018085109.db2.gz VCCBUXGRUYYVON-YPMHNXCESA-N 0 2 323.397 0.358 20 0 DCADLN COCC1(CC(=O)N[C@]2(C)CC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001500220114 1018324151 /nfs/dbraw/zinc/32/41/51/1018324151.db2.gz OYOUPDNFWJTUJM-CQSZACIVSA-N 0 2 323.397 0.408 20 0 DCADLN COCC1(CC(=O)N[C@]2(C)CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001500220114 1018324155 /nfs/dbraw/zinc/32/41/55/1018324155.db2.gz OYOUPDNFWJTUJM-CQSZACIVSA-N 0 2 323.397 0.408 20 0 DCADLN CN(CCN(C)C(=O)C(F)C(F)(F)F)C(=O)c1ncccn1 ZINC001501182113 1018937117 /nfs/dbraw/zinc/93/71/17/1018937117.db2.gz LURPVDSTZOHMRK-MRVPVSSYSA-N 0 2 322.262 0.907 20 0 DCADLN CN(CCN(C)C(=O)[C@@H](F)C(F)(F)F)C(=O)c1ncccn1 ZINC001501182113 1018937128 /nfs/dbraw/zinc/93/71/28/1018937128.db2.gz LURPVDSTZOHMRK-MRVPVSSYSA-N 0 2 322.262 0.907 20 0 DCADLN CC[N@H+](CCNC(=O)Cc1ccccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001493053462 1019046982 /nfs/dbraw/zinc/04/69/82/1019046982.db2.gz JWCGLYQHWZDHRA-UHFFFAOYSA-N 0 2 321.356 0.830 20 0 DCADLN CC[N@@H+](CCNC(=O)Cc1ccccc1F)Cc1n[nH]c(=O)[n-]1 ZINC001493053462 1019047001 /nfs/dbraw/zinc/04/70/01/1019047001.db2.gz JWCGLYQHWZDHRA-UHFFFAOYSA-N 0 2 321.356 0.830 20 0 DCADLN CN(CCNC(=O)C(F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001496124267 1019090859 /nfs/dbraw/zinc/09/08/59/1019090859.db2.gz YZEBGKCMLSOKTF-SFYZADRCSA-N 0 2 300.252 0.498 20 0 DCADLN CN(CCNC(=O)[C@H](F)C(F)(F)F)C(=O)[C@@H]1CCOC1 ZINC001496124267 1019090871 /nfs/dbraw/zinc/09/08/71/1019090871.db2.gz YZEBGKCMLSOKTF-SFYZADRCSA-N 0 2 300.252 0.498 20 0 DCADLN CCc1nc(C)c(C(=O)N[C@@H](C)C[N@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001498428472 1019110084 /nfs/dbraw/zinc/11/00/84/1019110084.db2.gz KDUPQGXUQFAANF-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN CCc1nc(C)c(C(=O)N[C@@H](C)C[N@@H+](C)Cc2n[nH]c(=O)[n-]2)o1 ZINC001498428472 1019110088 /nfs/dbraw/zinc/11/00/88/1019110088.db2.gz KDUPQGXUQFAANF-QMMMGPOBSA-N 0 2 322.369 0.619 20 0 DCADLN C[NH+](C)[C@@H](C(=O)NC[C@@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001493267276 1019269196 /nfs/dbraw/zinc/26/91/96/1019269196.db2.gz OQCLDRUQHBFFKY-XJKSGUPXSA-N 0 2 318.421 0.213 20 0 DCADLN C[C@H](CNC(=O)C(F)C(F)(F)F)NC(=O)C(C)(C)C(N)=O ZINC001502376574 1019852339 /nfs/dbraw/zinc/85/23/39/1019852339.db2.gz SQSNYMKVQJTOOL-RITPCOANSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@H](CNC(=O)[C@H](F)C(F)(F)F)NC(=O)C(C)(C)C(N)=O ZINC001502376574 1019852359 /nfs/dbraw/zinc/85/23/59/1019852359.db2.gz SQSNYMKVQJTOOL-RITPCOANSA-N 0 2 315.267 0.019 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)C(F)C(F)(F)F)C2)cn1 ZINC001493965918 1019857737 /nfs/dbraw/zinc/85/77/37/1019857737.db2.gz BNGFENXWSLCBMC-IWSPIJDZSA-N 0 2 322.262 0.698 20 0 DCADLN Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2)cn1 ZINC001493965918 1019857757 /nfs/dbraw/zinc/85/77/57/1019857757.db2.gz BNGFENXWSLCBMC-IWSPIJDZSA-N 0 2 322.262 0.698 20 0 DCADLN Cc1cn(C(C)(C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])c[nH+]1 ZINC001607901431 1170520262 /nfs/dbraw/zinc/52/02/62/1170520262.db2.gz NUFIZCLVNPHHNS-UWVGGRQHSA-N 0 2 310.354 0.021 20 0 DCADLN NS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])c(F)c2)C1 ZINC001608258523 1170635161 /nfs/dbraw/zinc/63/51/61/1170635161.db2.gz KRZKWZOFWXVYAX-JTQLQIEISA-N 0 2 316.354 0.777 20 0 DCADLN NS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C(=O)[O-])c(F)c2)C1 ZINC001608258523 1170635164 /nfs/dbraw/zinc/63/51/64/1170635164.db2.gz KRZKWZOFWXVYAX-JTQLQIEISA-N 0 2 316.354 0.777 20 0 DCADLN CCC[N@H+](CC(=O)[O-])CN1C[C@@](C)(C(=O)OCC)CC1=O ZINC001609977397 1171130177 /nfs/dbraw/zinc/13/01/77/1171130177.db2.gz SIWWQFCGZDGZFR-AWEZNQCLSA-N 0 2 300.355 0.542 20 0 DCADLN CC[C@@]1(CO)CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000330780133 529543838 /nfs/dbraw/zinc/54/38/38/529543838.db2.gz NIHBZRCUDCTFDG-GFCCVEGCSA-N 0 2 300.384 0.614 20 0 DCADLN COc1ccc(OC)c2c1CN(Cc1n[nH]c(=O)[nH]1)C[C@@H]2O ZINC000092617445 185329547 /nfs/dbraw/zinc/32/95/47/185329547.db2.gz ABRZSGMPXIZFKJ-VIFPVBQESA-N 0 2 306.322 0.577 20 0 DCADLN C[C@@H](C(=O)N1CC[C@H](CO)C1)n1nnc(-c2ccccc2)n1 ZINC000179701533 186207427 /nfs/dbraw/zinc/20/74/27/186207427.db2.gz PTLBNERSKRDPFR-RYUDHWBXSA-N 0 2 301.350 0.742 20 0 DCADLN CC(=O)C[C@H]1COCCN1C(=O)COc1c(C)nn(C)c1C ZINC000183851254 186224413 /nfs/dbraw/zinc/22/44/13/186224413.db2.gz XLWKIDMAKGBUHS-ZDUSSCGKSA-N 0 2 309.366 0.622 20 0 DCADLN Cc1noc([C@H]2CN(C(=O)Cc3cnn(C)c3C)CCN2C)n1 ZINC000331752952 234082509 /nfs/dbraw/zinc/08/25/09/234082509.db2.gz KVADKNXMMCUAAR-CYBMUJFWSA-N 0 2 318.381 0.478 20 0 DCADLN O=C(NCCNC(=O)C1CC1)C1=NN(c2ccccc2)CC1=O ZINC000072857286 191239404 /nfs/dbraw/zinc/23/94/04/191239404.db2.gz HDBQXJGUQUMZLG-UHFFFAOYSA-N 0 2 314.345 0.834 20 0 DCADLN CC(C)[C@@H](CNC(=O)N[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC000087491827 545708398 /nfs/dbraw/zinc/70/83/98/545708398.db2.gz USJUXIOZGOFYAS-NWDGAFQWSA-N 0 2 301.387 0.363 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[N@@H+]1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC000319079723 283364457 /nfs/dbraw/zinc/36/44/57/283364457.db2.gz PGEJRGJUBUNOGA-SMDDNHRTSA-N 0 2 313.398 0.156 20 0 DCADLN CCCNC(=O)CN(C)C(=O)C[N@H+]1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC000319079723 283364460 /nfs/dbraw/zinc/36/44/60/283364460.db2.gz PGEJRGJUBUNOGA-SMDDNHRTSA-N 0 2 313.398 0.156 20 0 DCADLN C[C@@H](CNC(=O)c1c[nH]c2c(cnn2C)c1=O)c1nncn1C ZINC000356869132 239018450 /nfs/dbraw/zinc/01/84/50/239018450.db2.gz MRIOTZIKWONKFF-QMMMGPOBSA-N 0 2 315.337 0.336 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCC(CCCO)CC1 ZINC000401079680 239252961 /nfs/dbraw/zinc/25/29/61/239252961.db2.gz NYHCTOWCSWFZBZ-UHFFFAOYSA-N 0 2 304.334 0.868 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2nnc(C(C)C)o2)cn1 ZINC000275488940 212366478 /nfs/dbraw/zinc/36/64/78/212366478.db2.gz KIHSZEBQLHVWLZ-UHFFFAOYSA-N 0 2 315.355 0.837 20 0 DCADLN O=C(C[N@@H+]1CCC[C@@]12CCNC2=O)NCc1ccc(F)cc1 ZINC000567245934 291344620 /nfs/dbraw/zinc/34/46/20/291344620.db2.gz AYINUHKYCGDHSU-INIZCTEOSA-N 0 2 305.353 0.796 20 0 DCADLN C[C@]1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCCCO1 ZINC000457493639 241011053 /nfs/dbraw/zinc/01/10/53/241011053.db2.gz QGTFZFZWLHDACK-SNVBAGLBSA-N 0 2 320.396 0.807 20 0 DCADLN C[N@H+](C[C@H](O)C[NH2+][C@H]1CC(=O)N(c2ccccc2)C1)C1CC1 ZINC000567680738 291369327 /nfs/dbraw/zinc/36/93/27/291369327.db2.gz DEEBDXARTCHAKX-XJKSGUPXSA-N 0 2 303.406 0.837 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)CCNC(C)=O)c1 ZINC000567964463 291394218 /nfs/dbraw/zinc/39/42/18/291394218.db2.gz OYZQQXJWNPYMME-OAQYLSRUSA-N 0 2 312.391 0.397 20 0 DCADLN C[C@@](O)(CNC(=O)CSc1n[nH]c(=O)[nH]1)c1cccs1 ZINC000275121999 212133251 /nfs/dbraw/zinc/13/32/51/212133251.db2.gz MIKXADFNESYNNJ-LLVKDONJSA-N 0 2 314.392 0.276 20 0 DCADLN O=C(C[N@@H+]1CC(=O)N[C@@H]2CCCC[C@@H]21)[N-]OCc1ccccc1 ZINC000093189439 193213583 /nfs/dbraw/zinc/21/35/83/193213583.db2.gz YJTHCTACTUCXLL-CABCVRRESA-N 0 2 317.389 0.977 20 0 DCADLN O=C(C[N@H+]1CC(=O)N[C@@H]2CCCC[C@@H]21)[N-]OCc1ccccc1 ZINC000093189439 193213584 /nfs/dbraw/zinc/21/35/84/193213584.db2.gz YJTHCTACTUCXLL-CABCVRRESA-N 0 2 317.389 0.977 20 0 DCADLN C[C@@H](C[C@@H](O)c1ccccc1)NC(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274991908 212061620 /nfs/dbraw/zinc/06/16/20/212061620.db2.gz RTFKMSPQSCZPFB-GXSJLCMTSA-N 0 2 322.390 0.819 20 0 DCADLN CN(Cc1ccc2c(c1)OCO2)C(=O)CSc1n[nH]c(=O)[nH]1 ZINC000274907136 212025856 /nfs/dbraw/zinc/02/58/56/212025856.db2.gz RWUIHLXWUJPZRN-UHFFFAOYSA-N 0 2 322.346 0.577 20 0 DCADLN COc1ccc(CN2CC[C@@H](NC(=O)NCCCO)C2=O)cc1 ZINC000265231590 204470451 /nfs/dbraw/zinc/47/04/51/204470451.db2.gz NFGZNFCVLDDUNS-CQSZACIVSA-N 0 2 321.377 0.478 20 0 DCADLN CCOC(=O)C(C)(C)N(C)S(=O)(=O)NCC(F)(F)F ZINC000339149236 253035596 /nfs/dbraw/zinc/03/55/96/253035596.db2.gz NYDMXANWYDPVSA-UHFFFAOYSA-N 0 2 306.306 0.657 20 0 DCADLN O=C(CCCCc1c[nH]nn1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000339292592 253053401 /nfs/dbraw/zinc/05/34/01/253053401.db2.gz YJCIFFHQEAHETJ-SNVBAGLBSA-N 0 2 319.369 0.747 20 0 DCADLN O=C(CCCCc1cn[nH]n1)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000339292592 253053402 /nfs/dbraw/zinc/05/34/02/253053402.db2.gz YJCIFFHQEAHETJ-SNVBAGLBSA-N 0 2 319.369 0.747 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2cccc(Cn3cncn3)c2)cn1 ZINC000340177064 253211547 /nfs/dbraw/zinc/21/15/47/253211547.db2.gz VOQSHQLXYIGVQO-UHFFFAOYSA-N 0 2 318.362 0.861 20 0 DCADLN CCNC(=O)c1ccc(NS(=O)(=O)c2ccnn2C)cc1 ZINC000340080501 253197363 /nfs/dbraw/zinc/19/73/63/253197363.db2.gz HGVMFJDGIIAUFR-UHFFFAOYSA-N 0 2 308.363 0.971 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc(C)c(C(N)=O)c1 ZINC000340982010 253332171 /nfs/dbraw/zinc/33/21/71/253332171.db2.gz PPDHYANGTBXUBW-UHFFFAOYSA-N 0 2 308.363 0.937 20 0 DCADLN Cc1cc(N2CCC(NC(=O)C[C@H]3CCCC(=O)N3)CC2)n[nH]1 ZINC000288650275 220320543 /nfs/dbraw/zinc/32/05/43/220320543.db2.gz DYTBMCOYAVSRED-CYBMUJFWSA-N 0 2 319.409 0.862 20 0 DCADLN O=c1nc2[nH]cc(-c3nc([C@H]4CCOC4)no3)cc-2c(=O)[nH]1 ZINC000341434607 253379342 /nfs/dbraw/zinc/37/93/42/253379342.db2.gz KGSOSRARSPNCFT-LURJTMIESA-N 0 2 301.262 0.990 20 0 DCADLN Cc1n[nH]c(NC(=O)CCc2nc(-c3ncccn3)no2)n1 ZINC000348059325 254217547 /nfs/dbraw/zinc/21/75/47/254217547.db2.gz KMDUGTFJAQRZSV-UHFFFAOYSA-N 0 2 300.282 0.524 20 0 DCADLN C[C@@]1(C(=O)Nc2nnc(NS(C)(=O)=O)s2)CCOC1 ZINC000348571678 254245560 /nfs/dbraw/zinc/24/55/60/254245560.db2.gz CJZMFRQTPLLOBO-SECBINFHSA-N 0 2 306.369 0.275 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2ccn([C@@H](C)COC)n2)c1O ZINC000348596570 254246788 /nfs/dbraw/zinc/24/67/88/254246788.db2.gz FAKXJAFGYACVAR-WPRPVWTQSA-N 0 2 310.310 0.078 20 0 DCADLN Cn1cnnc1CCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000348850351 254261543 /nfs/dbraw/zinc/26/15/43/254261543.db2.gz FDKDQYHRPNEEMN-UHFFFAOYSA-N 0 2 300.240 0.911 20 0 DCADLN C[C@H]1C[C@@H](C(=O)Nc2ccc(-c3nc(=O)o[nH]3)cc2)CC(=O)N1 ZINC000348875179 254262985 /nfs/dbraw/zinc/26/29/85/254262985.db2.gz JYZOWLSBRSANJB-WCBMZHEXSA-N 0 2 316.317 0.883 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N2[C@@H](CO)CC[C@H]2CO)s[nH]1 ZINC000349277835 254279632 /nfs/dbraw/zinc/27/96/32/254279632.db2.gz BCRPKUMLTLKWFK-DTORHVGOSA-N 0 2 314.411 0.607 20 0 DCADLN NS(=O)(=O)c1ccccc1NS(=O)(=O)c1ccoc1 ZINC000349989784 254307719 /nfs/dbraw/zinc/30/77/19/254307719.db2.gz JMCQLHVKOIMURR-UHFFFAOYSA-N 0 2 302.333 0.728 20 0 DCADLN CCc1nc(NS(=O)(=O)c2cccc(-n3cnnn3)c2)no1 ZINC000350662122 254323118 /nfs/dbraw/zinc/32/31/18/254323118.db2.gz IJFHQXWVGZHOLP-UHFFFAOYSA-N 0 2 321.322 0.409 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cccc(S(C)(=O)=O)c2)no1 ZINC000351505938 254341314 /nfs/dbraw/zinc/34/13/14/254341314.db2.gz FAFNRTDMZZWDCM-UHFFFAOYSA-N 0 2 317.348 0.582 20 0 DCADLN CCOC(=O)c1ccc(S(=O)(=O)Nc2noc(C)n2)o1 ZINC000351512240 254341475 /nfs/dbraw/zinc/34/14/75/254341475.db2.gz RZEAFOBHUPDTJH-UHFFFAOYSA-N 0 2 301.280 0.949 20 0 DCADLN Cc1ccc(-n2cnnn2)cc1NS(=O)(=O)c1ccnn1C ZINC000352101419 254398649 /nfs/dbraw/zinc/39/86/49/254398649.db2.gz ZWJYCKIBYBCGMZ-UHFFFAOYSA-N 0 2 319.350 0.505 20 0 DCADLN CC(C)c1nnc(CNC(=O)C[C@@H]2SC(=N)NC2=O)n1C ZINC001647142632 1172699383 /nfs/dbraw/zinc/69/93/83/1172699383.db2.gz ZMPXYFVRRCWYTN-ZETCQYMHSA-N 0 2 310.383 0.111 20 0 DCADLN COC(=O)[C@H](NS(=O)(=O)Cc1c(F)cccc1F)[C@H](C)O ZINC000275818293 130392342 /nfs/dbraw/zinc/39/23/42/130392342.db2.gz RTTGDYKVEOSABO-WRWORJQWSA-N 0 2 323.317 0.307 20 0 DCADLN O=C([O-])[C@H]1CCCN1C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000032076789 395709036 /nfs/dbraw/zinc/70/90/36/395709036.db2.gz CQOAVRZMXBLWNC-CYBMUJFWSA-N 0 2 318.377 0.279 20 0 DCADLN O=C(N[C@@H]1CCC(=O)NC1=O)c1cc(F)c(F)c(O)c1F ZINC000089754480 395714808 /nfs/dbraw/zinc/71/48/08/395714808.db2.gz VHUHTKOAIQNPPB-ZCFIWIBFSA-N 0 2 302.208 0.345 20 0 DCADLN CN(C(=O)OC(C)(C)C)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000092618587 395732408 /nfs/dbraw/zinc/73/24/08/395732408.db2.gz XLPTUXZZDJBYDV-UHFFFAOYSA-N 0 2 311.386 0.929 20 0 DCADLN CNC(=O)C1(C(=O)Nc2cccc(-c3nc(=O)o[nH]3)c2)CC1 ZINC000106023039 395782061 /nfs/dbraw/zinc/78/20/61/395782061.db2.gz ROVBYXYSIKHWFW-UHFFFAOYSA-N 0 2 302.290 0.495 20 0 DCADLN CCOC(=O)CNC(=O)C1=NN(c2ccc(OC)cc2)CC1=O ZINC000272418924 395890036 /nfs/dbraw/zinc/89/00/36/395890036.db2.gz JYEKCSRXCMNFFU-UHFFFAOYSA-N 0 2 319.317 0.879 20 0 DCADLN O=C(c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)N1CCOC2(CCC2)C1 ZINC000128420952 395918075 /nfs/dbraw/zinc/91/80/75/395918075.db2.gz VMWPTJCCSBXBLR-UHFFFAOYSA-N 0 2 316.317 0.007 20 0 DCADLN C[C@@H](CS(C)(=O)=O)NC(=O)c1cc(F)c(F)c(O)c1F ZINC000273387246 395918172 /nfs/dbraw/zinc/91/81/72/395918172.db2.gz DNRBCBYTHTXAIR-YFKPBYRVSA-N 0 2 311.281 0.972 20 0 DCADLN COCCn1cc(S(=O)(=O)Nc2nc(C)cc(C)n2)cn1 ZINC000273445247 395921659 /nfs/dbraw/zinc/92/16/59/395921659.db2.gz KDLWIHMWYFBLJX-UHFFFAOYSA-N 0 2 311.367 0.737 20 0 DCADLN CC[C@H](NC(=O)c1nc(=O)[nH][nH]1)c1ccc2c(c1)OCCO2 ZINC000132304186 395926452 /nfs/dbraw/zinc/92/64/52/395926452.db2.gz OKZUWYWUAZRZFA-VIFPVBQESA-N 0 2 304.306 0.750 20 0 DCADLN COC(=O)[C@H](NC(=O)c1cc(F)cc(Cl)c1O)[C@H](C)O ZINC000274564515 395959183 /nfs/dbraw/zinc/95/91/83/395959183.db2.gz KVKWZVIOHSKBHK-SSDLBLMSSA-N 0 2 305.689 0.837 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000262081377 396097120 /nfs/dbraw/zinc/09/71/20/396097120.db2.gz FHHQVUVTIYBFPH-UTUOFQBUSA-N 0 2 311.382 0.940 20 0 DCADLN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000262081377 396097122 /nfs/dbraw/zinc/09/71/22/396097122.db2.gz FHHQVUVTIYBFPH-UTUOFQBUSA-N 0 2 311.382 0.940 20 0 DCADLN COC(=O)[C@@H]1C[C@@H]1C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000171203535 396111024 /nfs/dbraw/zinc/11/10/24/396111024.db2.gz DJIJMTXZBLYMCH-JGVFFNPUSA-N 0 2 321.358 0.752 20 0 DCADLN COc1ccc(Cl)cc1C[N@H+](C)CC(=O)NCC(=O)[O-] ZINC000262258029 396115787 /nfs/dbraw/zinc/11/57/87/396115787.db2.gz RUGPDEWANGVVLL-UHFFFAOYSA-N 0 2 300.742 0.981 20 0 DCADLN COc1ccc(Cl)cc1C[N@@H+](C)CC(=O)NCC(=O)[O-] ZINC000262258029 396115790 /nfs/dbraw/zinc/11/57/90/396115790.db2.gz RUGPDEWANGVVLL-UHFFFAOYSA-N 0 2 300.742 0.981 20 0 DCADLN Cn1cc(N2CC[C@H](NC(=O)[C@@H](F)C(F)(F)F)C2=O)cn1 ZINC000277603282 396077234 /nfs/dbraw/zinc/07/72/34/396077234.db2.gz HEZJLZNMVIZRBP-JGVFFNPUSA-N 0 2 308.235 0.542 20 0 DCADLN Cn1cc(N2CC[C@H](NC(=O)C(F)C(F)(F)F)C2=O)cn1 ZINC000277603282 396077236 /nfs/dbraw/zinc/07/72/36/396077236.db2.gz HEZJLZNMVIZRBP-JGVFFNPUSA-N 0 2 308.235 0.542 20 0 DCADLN C[C@H]1OCC[C@@]1(O)CNC(=O)C1=NN(c2ccccc2)CC1=O ZINC000279479813 396178059 /nfs/dbraw/zinc/17/80/59/396178059.db2.gz MMDMFQPHCMIVOY-BDJLRTHQSA-N 0 2 317.345 0.848 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)c2cc(F)cc(C(=O)[O-])c2)CC1 ZINC000184841975 396308079 /nfs/dbraw/zinc/30/80/79/396308079.db2.gz RYGHDZVYVZXQMP-UHFFFAOYSA-N 0 2 316.354 0.850 20 0 DCADLN CCn1cnnc1NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000285845791 396357309 /nfs/dbraw/zinc/35/73/09/396357309.db2.gz PBNJNCYQRRFXPF-ZETCQYMHSA-N 0 2 307.276 0.940 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cc(C3CC3)n(CC)n2)c1O ZINC000285958684 396365441 /nfs/dbraw/zinc/36/54/41/396365441.db2.gz LOBCQGYPKMXSJE-NSHDSACASA-N 0 2 306.322 0.768 20 0 DCADLN CN(C)c1n[nH]c(NC(=O)C(C)(C)NC(=O)C(F)(F)F)n1 ZINC000285991237 396367737 /nfs/dbraw/zinc/36/77/37/396367737.db2.gz LXEFRMITZMBWFC-UHFFFAOYSA-N 0 2 308.264 0.266 20 0 DCADLN Cc1nc2n(n1)CCC[C@@H]2NS(=O)(=O)NCC(F)(F)F ZINC000192024896 396428483 /nfs/dbraw/zinc/42/84/83/396428483.db2.gz OBBCOTWUAHLTNK-ZETCQYMHSA-N 0 2 313.305 0.408 20 0 DCADLN Cc1cc(C(=O)N[C@@H](CCO)C(C)C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000268884569 396376040 /nfs/dbraw/zinc/37/60/40/396376040.db2.gz IFSVHYZQPGZDLT-VIFPVBQESA-N 0 2 320.349 0.881 20 0 DCADLN O=C([C@H](F)C(F)(F)F)N1CCC[C@](O)(Cn2ccnn2)C1 ZINC000290765635 396471819 /nfs/dbraw/zinc/47/18/19/396471819.db2.gz BTVBAXSEZODYIT-WCBMZHEXSA-N 0 2 310.251 0.532 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCC[C@](O)(Cn2ccnn2)C1 ZINC000290765635 396471820 /nfs/dbraw/zinc/47/18/20/396471820.db2.gz BTVBAXSEZODYIT-WCBMZHEXSA-N 0 2 310.251 0.532 20 0 DCADLN CCN(C[C@@H](C)OC)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000291291861 396483249 /nfs/dbraw/zinc/48/32/49/396483249.db2.gz PQJMSVMYQGNZAD-MRVPVSSYSA-N 0 2 306.322 0.933 20 0 DCADLN COCC[NH+](C)C[C@H](O)C[N@@H+]1CCC[C@@H](N2CCCC2=O)C1 ZINC000519176727 396518359 /nfs/dbraw/zinc/51/83/59/396518359.db2.gz HRULQJUJGURMGQ-CABCVRRESA-N 0 2 313.442 0.012 20 0 DCADLN O=C(Cn1cc(Cl)cn1)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000581076031 396524668 /nfs/dbraw/zinc/52/46/68/396524668.db2.gz YHSYUTOKGNHEIA-QMMMGPOBSA-N 0 2 310.745 0.766 20 0 DCADLN COC(=O)c1ccc(O)cc1NS(=O)(=O)C[C@@H](C)OC ZINC000294221825 396661632 /nfs/dbraw/zinc/66/16/32/396661632.db2.gz NQWGYHFNFWJTHE-MRVPVSSYSA-N 0 2 303.336 0.955 20 0 DCADLN COc1cccc(S(=O)(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC000589433590 396714589 /nfs/dbraw/zinc/71/45/89/396714589.db2.gz HVFOZVDVGMGWFC-UHFFFAOYSA-N 0 2 310.335 0.178 20 0 DCADLN C[C@H](O)CNc1cc(N2CCc3[nH]nc(C(=O)[O-])c3C2)nc[nH+]1 ZINC000563213615 396714848 /nfs/dbraw/zinc/71/48/48/396714848.db2.gz CZFYJUQOSOMLNE-QMMMGPOBSA-N 0 2 318.337 0.253 20 0 DCADLN C[C@H](O)CNc1cc(N2CCc3[nH]nc(C(=O)[O-])c3C2)[nH+]cn1 ZINC000563213615 396714852 /nfs/dbraw/zinc/71/48/52/396714852.db2.gz CZFYJUQOSOMLNE-QMMMGPOBSA-N 0 2 318.337 0.253 20 0 DCADLN COc1cnc(C(=O)N=c2nc(C(C)(C)OC)[nH]s2)nc1 ZINC000634149028 396796387 /nfs/dbraw/zinc/79/63/87/396796387.db2.gz UIUSPWGMORTIJA-UHFFFAOYSA-N 0 2 309.351 0.892 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000312040034 396763889 /nfs/dbraw/zinc/76/38/89/396763889.db2.gz QQRHSUYMTWIXIF-TZMCWYRMSA-N 0 2 306.362 0.724 20 0 DCADLN C[C@@H](C(=O)[O-])C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000312040034 396763895 /nfs/dbraw/zinc/76/38/95/396763895.db2.gz QQRHSUYMTWIXIF-TZMCWYRMSA-N 0 2 306.362 0.724 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)Cn2cccnc2=O)s[nH]1 ZINC000634154028 396798068 /nfs/dbraw/zinc/79/80/68/396798068.db2.gz WEMIAZVUGZYAAY-UHFFFAOYSA-N 0 2 309.351 0.037 20 0 DCADLN CC(C)OC[C@H]1CN(S(=O)(=O)NCC(F)(F)F)CCO1 ZINC000375581202 396775520 /nfs/dbraw/zinc/77/55/20/396775520.db2.gz AOZRWPJQEVMRBM-SECBINFHSA-N 0 2 320.333 0.509 20 0 DCADLN COC(C)(C)c1nc(=NC(=O)CN2CCCCC2=O)s[nH]1 ZINC000634152306 396798196 /nfs/dbraw/zinc/79/81/96/396798196.db2.gz CVDYNLLBMYKFDO-UHFFFAOYSA-N 0 2 312.395 0.793 20 0 DCADLN COc1ccc[nH+]c1N1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC000629767297 396827202 /nfs/dbraw/zinc/82/72/02/396827202.db2.gz JYLRZHVVQOZWEV-LLVKDONJSA-N 0 2 319.361 0.992 20 0 DCADLN O=C(c1ccc(-c2nc(=O)o[nH]2)cc1)N1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000329763620 396849343 /nfs/dbraw/zinc/84/93/43/396849343.db2.gz UTXQFGJSHUACFT-YNEHKIRRSA-N 0 2 315.329 0.873 20 0 DCADLN O=C(CN1CCCNC1=O)Nc1cccc(-c2nc(=O)o[nH]2)c1 ZINC000590961179 396859488 /nfs/dbraw/zinc/85/94/88/396859488.db2.gz LJEDMZSQRCJOQU-UHFFFAOYSA-N 0 2 317.305 0.384 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCO[C@]2(CCCOC2)C1 ZINC000375702677 396801425 /nfs/dbraw/zinc/80/14/25/396801425.db2.gz IIRJHVFYPSVTCR-SECBINFHSA-N 0 2 318.317 0.265 20 0 DCADLN COC(=O)CCCNC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000596675275 396816226 /nfs/dbraw/zinc/81/62/26/396816226.db2.gz ROADGPOBFXOSOH-UHFFFAOYSA-N 0 2 305.290 0.713 20 0 DCADLN Cc1cc(NS(=O)(=O)c2ccc3c(c2)nnn3C)n(C)n1 ZINC000634655471 396894976 /nfs/dbraw/zinc/89/49/76/396894976.db2.gz SMOUXSINYRDPJV-UHFFFAOYSA-N 0 2 306.351 0.811 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000376218968 396895431 /nfs/dbraw/zinc/89/54/31/396895431.db2.gz MAINVVQVJPPPNR-BDAKNGLRSA-N 0 2 314.367 0.009 20 0 DCADLN CCOC(=O)c1nc(NS(=O)(=O)c2cnnn2C)oc1C ZINC000600666755 396910050 /nfs/dbraw/zinc/91/00/50/396910050.db2.gz DLMICTNGFKSPQM-UHFFFAOYSA-N 0 2 315.311 0.089 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000630169777 396953025 /nfs/dbraw/zinc/95/30/25/396953025.db2.gz GQBSSHQWENGSPP-PWSUYJOCSA-N 0 2 324.381 0.320 20 0 DCADLN CC(C)C[C@H](NC(=O)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000630169777 396953031 /nfs/dbraw/zinc/95/30/31/396953031.db2.gz GQBSSHQWENGSPP-PWSUYJOCSA-N 0 2 324.381 0.320 20 0 DCADLN CC[N@@H+]1CCOC[C@H]1C(=O)NC[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177509 396954277 /nfs/dbraw/zinc/95/42/77/396954277.db2.gz KXXLYIYMVWXWOH-KGLIPLIRSA-N 0 2 324.352 0.831 20 0 DCADLN CC[N@H+]1CCOC[C@H]1C(=O)NC[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177509 396954283 /nfs/dbraw/zinc/95/42/83/396954283.db2.gz KXXLYIYMVWXWOH-KGLIPLIRSA-N 0 2 324.352 0.831 20 0 DCADLN COC(=O)COCC(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000597612379 397031785 /nfs/dbraw/zinc/03/17/85/397031785.db2.gz WZWMSISCACRROG-UHFFFAOYSA-N 0 2 320.305 0.614 20 0 DCADLN C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C[C@@H]1CCCOC1)C(=O)[O-] ZINC000630267195 396976245 /nfs/dbraw/zinc/97/62/45/396976245.db2.gz HKZNDTQYVRWDAW-MDZLAQPJSA-N 0 2 309.366 0.974 20 0 DCADLN C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C[C@@H]1CCCOC1)C(=O)[O-] ZINC000630267195 396976250 /nfs/dbraw/zinc/97/62/50/396976250.db2.gz HKZNDTQYVRWDAW-MDZLAQPJSA-N 0 2 309.366 0.974 20 0 DCADLN CCC[C@@H](O)[C@H](CO)Nc1cc(C(C)(C)C)[nH+]c(C(=O)[O-])n1 ZINC000572964372 397117962 /nfs/dbraw/zinc/11/79/62/397117962.db2.gz IUEABWWDFBULBH-VHSXEESVSA-N 0 2 311.382 0.828 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N[C@H]1COc2ccccc2[C@@H]1O ZINC000378132055 397197420 /nfs/dbraw/zinc/19/74/20/397197420.db2.gz AZOQDHLSHWHXIW-KWQFWETISA-N 0 2 322.346 0.213 20 0 DCADLN COC(=O)c1cc(N2N=C(C)[C@@H](NC(C)=O)C2=O)ccc1F ZINC000601950436 397272325 /nfs/dbraw/zinc/27/23/25/397272325.db2.gz OTSBKYOXWXYQLF-GFCCVEGCSA-N 0 2 307.281 0.840 20 0 DCADLN C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@]1(C)CO ZINC000620453303 397274125 /nfs/dbraw/zinc/27/41/25/397274125.db2.gz ZRBSFRZXVHPUNJ-GLEZIHRCSA-N 0 2 318.333 0.527 20 0 DCADLN O=C([O-])c1cccc(CNC(=O)NCC[NH+]2CCOCC2)c1 ZINC000385773744 397285475 /nfs/dbraw/zinc/28/54/75/397285475.db2.gz KCISLKGQPSYPBG-UHFFFAOYSA-N 0 2 307.350 0.516 20 0 DCADLN C[C@@H]1C[C@H](C)[C@@H](C(=O)Nc2nnc(NS(C)(=O)=O)s2)O1 ZINC000407962909 397338890 /nfs/dbraw/zinc/33/88/90/397338890.db2.gz BHAQENVXIMWHJQ-XVMARJQXSA-N 0 2 320.396 0.662 20 0 DCADLN CC(C)N(C(=O)[C@@H](C)[NH+]1CCN(C(=O)C(=O)[O-])CC1)C(C)C ZINC000577518910 397339971 /nfs/dbraw/zinc/33/99/71/397339971.db2.gz NZUUTIVWLMFXNO-GFCCVEGCSA-N 0 2 313.398 0.249 20 0 DCADLN O=C(NCCC1CC(O)C1)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000614019235 397362465 /nfs/dbraw/zinc/36/24/65/397362465.db2.gz DLZQMVHPUHVTEE-UHFFFAOYSA-N 0 2 303.318 0.921 20 0 DCADLN COC(=O)[C@H](CNS(=O)(=O)NCC(F)(F)F)CC1CC1 ZINC000578136192 397395338 /nfs/dbraw/zinc/39/53/38/397395338.db2.gz DNRXKVWEAGDDJL-QMMMGPOBSA-N 0 2 318.317 0.562 20 0 DCADLN C[S@](=O)C1(C(=O)[O-])CCN(c2cc(NC3CC3)[nH+]cn2)CC1 ZINC000578656067 397466955 /nfs/dbraw/zinc/46/69/55/397466955.db2.gz GYBFTWNWRXMRKW-QFIPXVFZSA-N 0 2 324.406 0.853 20 0 DCADLN C[S@](=O)C1(C(=O)[O-])CCN(c2cc(NC3CC3)nc[nH+]2)CC1 ZINC000578656067 397466960 /nfs/dbraw/zinc/46/69/60/397466960.db2.gz GYBFTWNWRXMRKW-QFIPXVFZSA-N 0 2 324.406 0.853 20 0 DCADLN CCn1cc[nH+]c1/C=C\C(=O)[N-]S(=O)(=O)CC(F)(F)F ZINC000492504865 397522181 /nfs/dbraw/zinc/52/21/81/397522181.db2.gz PYKMBWXXQKNFKZ-ARJAWSKDSA-N 0 2 311.285 0.925 20 0 DCADLN CCc1nnc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)s1 ZINC000047477894 158002838 /nfs/dbraw/zinc/00/28/38/158002838.db2.gz UVFWWKLEJVTVFA-UHFFFAOYSA-N 0 2 318.318 0.690 20 0 DCADLN COC(=O)COc1ccc(NS(=O)(=O)c2c[nH]cn2)cc1 ZINC000070417421 158047907 /nfs/dbraw/zinc/04/79/07/158047907.db2.gz QIQGXCAUYXSXQT-UHFFFAOYSA-N 0 2 311.319 0.762 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NCCNC(=O)C(C)(C)C)c2=O ZINC000119198041 158184252 /nfs/dbraw/zinc/18/42/52/158184252.db2.gz XUPKHEVIKHQOQP-UHFFFAOYSA-N 0 2 319.365 0.566 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)N[C@@H]1CCC[C@@]1(C)CO)c2=O ZINC000119350663 158185972 /nfs/dbraw/zinc/18/59/72/158185972.db2.gz HKZYUUPIFDDHSB-ABAIWWIYSA-N 0 2 304.350 0.955 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)c1cc(-c2ccc(F)cc2)on1 ZINC000121928426 158216545 /nfs/dbraw/zinc/21/65/45/158216545.db2.gz PCQPRFZVEKXGTA-UHFFFAOYSA-N 0 2 303.253 0.822 20 0 DCADLN COCCCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](C[NH+]2CCOCC2)C1 ZINC000129221265 158285876 /nfs/dbraw/zinc/28/58/76/158285876.db2.gz MSRKZYXMASVAOP-HUUCEWRRSA-N 0 2 313.442 0.182 20 0 DCADLN CCCN(CC(F)F)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000132152531 158307912 /nfs/dbraw/zinc/30/79/12/158307912.db2.gz SXEMTLYAMJNTNB-UHFFFAOYSA-N 0 2 312.276 0.729 20 0 DCADLN O=C(C1=NN(c2ccccc2)CC1=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328680941 159020402 /nfs/dbraw/zinc/02/04/02/159020402.db2.gz NWDFAQLFSWGJRA-BETUJISGSA-N 0 2 315.329 0.818 20 0 DCADLN CN(C)c1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000329091871 159060977 /nfs/dbraw/zinc/06/09/77/159060977.db2.gz XCDJIKPFJGUODZ-SNVBAGLBSA-N 0 2 316.365 0.991 20 0 DCADLN O=C(CCN1C(=O)CCC1=O)N1CCCC[C@@H]1c1n[nH]c(=O)[nH]1 ZINC000329174211 159070552 /nfs/dbraw/zinc/07/05/52/159070552.db2.gz LQFSWNQHAOFJHD-SECBINFHSA-N 0 2 321.337 0.103 20 0 DCADLN Cn1cccc(C(=O)N2CCCC[C@H]2c2n[nH]c(=O)[nH]2)c1=O ZINC000329197921 159072750 /nfs/dbraw/zinc/07/27/50/159072750.db2.gz VLXOWWNSWOVJBF-JTQLQIEISA-N 0 2 303.322 0.576 20 0 DCADLN COCC[C@@H](C)S(=O)(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000330442530 159174248 /nfs/dbraw/zinc/17/42/48/159174248.db2.gz VMVSIXVCHAVQII-ZJUUUORDSA-N 0 2 318.399 0.445 20 0 DCADLN Cc1cc(S(=O)(=O)NC(=O)CC2OCCCO2)c(C)o1 ZINC000408126528 160042931 /nfs/dbraw/zinc/04/29/31/160042931.db2.gz PSJOZULMMQARGZ-UHFFFAOYSA-N 0 2 303.336 0.854 20 0 DCADLN C[C@@H]1OCC[C@@]12CN(C(=O)CSc1n[nH]c(=O)[nH]1)CCO2 ZINC000408164078 160050227 /nfs/dbraw/zinc/05/02/27/160050227.db2.gz GJUHRSYPZUMLRW-QPUJVOFHSA-N 0 2 314.367 0.009 20 0 DCADLN CCc1ncsc1NC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000131890163 287002593 /nfs/dbraw/zinc/00/25/93/287002593.db2.gz YRLCSLJGCWDZRD-UHFFFAOYSA-N 0 2 317.330 0.883 20 0 DCADLN O=C(c1nc(=O)[nH][nH]1)N1CCN(Cc2ccccc2Cl)CC1 ZINC000151292220 287032751 /nfs/dbraw/zinc/03/27/51/287032751.db2.gz ZOAYRRIUKVNUCU-UHFFFAOYSA-N 0 2 321.768 0.709 20 0 DCADLN COC(=O)C(C)(C)CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000268104082 415157904 /nfs/dbraw/zinc/15/79/04/415157904.db2.gz GVLVJVOMPZEJPE-UHFFFAOYSA-N 0 2 320.305 0.365 20 0 DCADLN COC(=O)[C@@H](NC(=O)C1=NN(c2ccccc2)CC1=O)[C@H](C)O ZINC000275969251 415404590 /nfs/dbraw/zinc/40/45/90/415404590.db2.gz JSFFDWSJZQZELU-CABZTGNLSA-N 0 2 319.317 0.230 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)NCCc1ccc(F)c(F)c1 ZINC000276236775 415457336 /nfs/dbraw/zinc/45/73/36/415457336.db2.gz SRNGWOIGCNMVAT-UHFFFAOYSA-N 0 2 314.317 0.827 20 0 DCADLN NC(C(=O)Nc1ccn(CCc2ccncc2)n1)C(F)(F)F ZINC000353441866 415544726 /nfs/dbraw/zinc/54/47/26/415544726.db2.gz FLHNLOVCBSNCCS-NSHDSACASA-N 0 2 313.283 1.349 20 0 DCADLN CCOCc1nnc(NS(=O)(=O)c2ccc(OC)nc2)o1 ZINC000281886545 415576218 /nfs/dbraw/zinc/57/62/18/415576218.db2.gz GQYIWFRVNGQHKS-UHFFFAOYSA-N 0 2 314.323 0.811 20 0 DCADLN CC(C)c1noc(CCC(=O)NCCCc2n[nH]c(=O)[nH]2)n1 ZINC000343558523 415637621 /nfs/dbraw/zinc/63/76/21/415637621.db2.gz SLRPGSQGVFVVAM-UHFFFAOYSA-N 0 2 308.342 0.698 20 0 DCADLN CN1CC[N@H+](C)[C@H](CNC(=O)NC[C@H]2CCCC[NH+]2C2CC2)C1 ZINC000333419699 415644365 /nfs/dbraw/zinc/64/43/65/415644365.db2.gz IGZKNVJTIUSSBI-HZPDHXFCSA-N 0 2 323.485 0.548 20 0 DCADLN CN(C(=O)C1=NN(c2ccccc2)CC1=O)[C@@H]1COC[C@H]1O ZINC000287253809 415648137 /nfs/dbraw/zinc/64/81/37/415648137.db2.gz KHXKYJVGGKUCGM-DGCLKSJQSA-N 0 2 303.318 0.410 20 0 DCADLN CCCCNC(=O)CCS(=O)(=O)c1n[nH]c(COC)n1 ZINC000353900921 415687853 /nfs/dbraw/zinc/68/78/53/415687853.db2.gz YOXKJVQMMUBHQR-UHFFFAOYSA-N 0 2 304.372 0.031 20 0 DCADLN CCOC(=O)c1[nH]c(=O)n(-c2cccc3c2COC3=O)c1O ZINC000289851663 415724168 /nfs/dbraw/zinc/72/41/68/415724168.db2.gz FQJAFZQPNMUBLA-SNVBAGLBSA-N 0 2 304.258 0.345 20 0 DCADLN CS(=O)(=O)CC(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000354090371 415748143 /nfs/dbraw/zinc/74/81/43/415748143.db2.gz CELPDQAQHJEUCB-UHFFFAOYSA-N 0 2 324.362 0.045 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCSC[C@@H]1C1CC1 ZINC000333953380 415772309 /nfs/dbraw/zinc/77/23/09/415772309.db2.gz DGSMNXZWXAJINB-MRVPVSSYSA-N 0 2 300.409 0.956 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)N1CCSC[C@H]1C1CC1 ZINC000333953381 415772729 /nfs/dbraw/zinc/77/27/29/415772729.db2.gz DGSMNXZWXAJINB-QMMMGPOBSA-N 0 2 300.409 0.956 20 0 DCADLN CC(C)(C)[C@H]1OCCC[C@H]1CNC(=O)NCCS(N)(=O)=O ZINC000334034212 415788588 /nfs/dbraw/zinc/78/85/88/415788588.db2.gz NMTYBVRRYIAOHI-QWRGUYRKSA-N 0 2 321.443 0.415 20 0 DCADLN CC(C)CNC(=O)NC(=O)[C@@H](C)N1CCO[C@@H](C(N)=O)C1 ZINC000334024595 415788821 /nfs/dbraw/zinc/78/88/21/415788821.db2.gz ODOOIVICXDOTGR-NXEZZACHSA-N 0 2 300.359 0.093 20 0 DCADLN CC(C)NC(=O)NC(=O)[C@@H](C)[N@@H+]1CCC[C@H](OCCO)C1 ZINC000334034001 415789796 /nfs/dbraw/zinc/78/97/96/415789796.db2.gz AXQWEMMOMWYCBK-NEPJUHHUSA-N 0 2 301.387 0.082 20 0 DCADLN Cc1cc(CN2CCN(C(=O)c3c[nH]cc(C)c3=O)CC2)no1 ZINC000334033136 415789883 /nfs/dbraw/zinc/78/98/83/415789883.db2.gz SHLVTZLCDDECMN-UHFFFAOYSA-N 0 2 316.361 0.938 20 0 DCADLN CN(Cc1cccnc1)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000126751987 415878047 /nfs/dbraw/zinc/87/80/47/415878047.db2.gz OFXBNUNJMFKWHD-UHFFFAOYSA-N 0 2 311.301 0.279 20 0 DCADLN Cn1nncc1C(=O)NCc1nnc(COc2ccccc2)[nH]1 ZINC000337042213 415896182 /nfs/dbraw/zinc/89/61/82/415896182.db2.gz KQRFLJHZSBNMLZ-UHFFFAOYSA-N 0 2 313.321 0.442 20 0 DCADLN CCC[C@@]1(CO)CCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000356591647 415956971 /nfs/dbraw/zinc/95/69/71/415956971.db2.gz OIEGLAUPHJEDIW-GFCCVEGCSA-N 0 2 300.384 0.614 20 0 DCADLN C[C@H](NC(=O)c1ccc(-c2nc(=O)o[nH]2)cc1)C(=O)NCCF ZINC000337692683 415997198 /nfs/dbraw/zinc/99/71/98/415997198.db2.gz PWNZXAYVCUQZSF-QMMMGPOBSA-N 0 2 322.296 0.234 20 0 DCADLN Cc1noc([C@H](C)NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)n1 ZINC000338114223 416045977 /nfs/dbraw/zinc/04/59/77/416045977.db2.gz YKCROGFPZSTZFV-YFKPBYRVSA-N 0 2 316.277 0.618 20 0 DCADLN COCCN(CCOC)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000299068532 416050089 /nfs/dbraw/zinc/05/00/89/416050089.db2.gz QRMBZHABNWJHEH-UHFFFAOYSA-N 0 2 322.321 0.171 20 0 DCADLN C[N@H+](CCC(=O)N1CC[NH+](C)CC1)CC(=O)Nc1ccccc1 ZINC000338235256 416062951 /nfs/dbraw/zinc/06/29/51/416062951.db2.gz KJGSBEXRONQGDH-UHFFFAOYSA-N 0 2 318.421 0.721 20 0 DCADLN CNC(=O)N[C@H]1CCN(C(=O)c2cc(F)c(F)c(O)c2F)C1 ZINC000344969454 416017730 /nfs/dbraw/zinc/01/77/30/416017730.db2.gz PGDFFMFNSONPKK-LURJTMIESA-N 0 2 317.267 0.953 20 0 DCADLN CO[C@H](C)c1nsc(NCCNC(=O)c2cnccn2)n1 ZINC000337907214 416020247 /nfs/dbraw/zinc/02/02/47/416020247.db2.gz DLOCWWGDXRVGGU-MRVPVSSYSA-N 0 2 308.367 0.299 20 0 DCADLN COc1cc(C)c(NC(=O)C(=O)NCc2n[nH]c(=O)[nH]2)cc1F ZINC000357161672 416039650 /nfs/dbraw/zinc/03/96/50/416039650.db2.gz UASQDOVEJCMEGR-UHFFFAOYSA-N 0 2 323.284 0.221 20 0 DCADLN CCN(CC)S(=O)(=O)CCNc1nc(COC)ns1 ZINC000426899802 287324523 /nfs/dbraw/zinc/32/45/23/287324523.db2.gz YTKQDQLIYRJARI-UHFFFAOYSA-N 0 2 308.429 0.190 20 0 DCADLN CCN(CC)S(=O)(=O)CCNc1nc(C2CC2)ns1 ZINC000426899084 287324572 /nfs/dbraw/zinc/32/45/72/287324572.db2.gz QDYLUXAPDGUDOA-UHFFFAOYSA-N 0 2 304.441 0.921 20 0 DCADLN CCCS(=O)(=O)Nc1ccccc1C(=O)Nc1nn[nH]n1 ZINC000345496640 416105245 /nfs/dbraw/zinc/10/52/45/416105245.db2.gz JLYQTQUTMCNIJB-UHFFFAOYSA-N 0 2 310.339 0.604 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)NCCc1cn(C)c[nH+]1 ZINC000313202013 416106842 /nfs/dbraw/zinc/10/68/42/416106842.db2.gz YDUXICCTOJZXJR-UHFFFAOYSA-N 0 2 313.335 0.541 20 0 DCADLN COCC(C)(C)N(C)C(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000345515154 416107558 /nfs/dbraw/zinc/10/75/58/416107558.db2.gz KHQPFBLPMRJBBN-UHFFFAOYSA-N 0 2 306.322 0.933 20 0 DCADLN CNC(=O)c1cc(S(=O)(=O)Nc2ccc(C)cn2)cn1C ZINC000345530914 416110774 /nfs/dbraw/zinc/11/07/74/416110774.db2.gz NKQVRWGSNZDCLR-UHFFFAOYSA-N 0 2 308.363 0.889 20 0 DCADLN C[N@H+](CC(=O)NCc1cccs1)C1CC[NH+](CCO)CC1 ZINC000357649236 416111947 /nfs/dbraw/zinc/11/19/47/416111947.db2.gz OSGMIKOKGWKEDH-UHFFFAOYSA-N 0 2 311.451 0.753 20 0 DCADLN C[C@H](CC(=O)NCCCc1n[nH]c(=O)[nH]1)N1CCCCC1=O ZINC000338831338 416144699 /nfs/dbraw/zinc/14/46/99/416144699.db2.gz GHPXHZYVACPKPV-SNVBAGLBSA-N 0 2 309.370 0.350 20 0 DCADLN CN(C[C@H]1CCC[C@@H]1O)C(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000182305407 416217829 /nfs/dbraw/zinc/21/78/29/416217829.db2.gz WHLYZBNYPFUTNI-SKDRFNHKSA-N 0 2 304.350 0.907 20 0 DCADLN COCCOCCCCNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358338918 416224934 /nfs/dbraw/zinc/22/49/34/416224934.db2.gz NYLHATFYKWKKIL-UHFFFAOYSA-N 0 2 322.365 0.847 20 0 DCADLN CC(C)COC[C@@H](O)CNC(=O)c1c[nH]c2c(cnn2C)c1=O ZINC000358377326 416230843 /nfs/dbraw/zinc/23/08/43/416230843.db2.gz AKZNTTYGEOBZGR-JTQLQIEISA-N 0 2 322.365 0.437 20 0 DCADLN COC(=O)C1(CS(=O)(=O)NCC(F)(F)CO)CCCC1 ZINC000349578752 416256274 /nfs/dbraw/zinc/25/62/74/416256274.db2.gz JOBBEIZVAMVYCK-UHFFFAOYSA-N 0 2 315.338 0.267 20 0 DCADLN NC(=O)C1(NC(=O)C2=NN(c3ccc(Cl)cc3)CC2=O)CC1 ZINC000194314388 416322575 /nfs/dbraw/zinc/32/25/75/416322575.db2.gz PBVLWBDMJZFGME-UHFFFAOYSA-N 0 2 320.736 0.979 20 0 DCADLN CS(=O)(=O)[N-]c1ccccc1C(=O)NCCc1[nH]cc[nH+]1 ZINC000359693056 416353757 /nfs/dbraw/zinc/35/37/57/416353757.db2.gz MNCVTPNBMOULLI-UHFFFAOYSA-N 0 2 308.363 0.754 20 0 DCADLN Cc1nn(C)c(C)c1CCNS(=O)(=O)NCC(F)(F)F ZINC000195088494 416325247 /nfs/dbraw/zinc/32/52/47/416325247.db2.gz ZJMUFJXLIDFTNO-UHFFFAOYSA-N 0 2 314.333 0.566 20 0 DCADLN CC1(C)COCCN1CCNS(=O)(=O)NCC(F)(F)F ZINC000195219857 416325405 /nfs/dbraw/zinc/32/54/05/416325405.db2.gz BOMMXEQFPUTZHT-UHFFFAOYSA-N 0 2 319.349 0.084 20 0 DCADLN COc1cc2nc(N[C@H](C)CC(=O)[O-])[nH+]c(N)c2cc1OC ZINC000416428999 416369493 /nfs/dbraw/zinc/36/94/93/416369493.db2.gz WPFRVZHPJIBJMG-SSDOTTSWSA-N 0 2 306.322 0.926 20 0 DCADLN CC[C@@H](C)N(CC(=O)[O-])C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000533089202 416473889 /nfs/dbraw/zinc/47/38/89/416473889.db2.gz WWHUYWXXHQIOBJ-SNVBAGLBSA-N 0 2 303.322 0.988 20 0 DCADLN C[C@H]1CO[C@@H](CO)CN1C(=O)N=c1nc(C(C)(C)C)[nH]s1 ZINC000361603558 416459113 /nfs/dbraw/zinc/45/91/13/416459113.db2.gz NQDULTIJTUNRNO-DTWKUNHWSA-N 0 2 314.411 0.871 20 0 DCADLN C[C@@H](CNC(=O)CSc1n[nH]c(=O)[nH]1)C(=O)OC(C)(C)C ZINC000594392006 416562498 /nfs/dbraw/zinc/56/24/98/416562498.db2.gz RBGPEFGMARAPIX-ZETCQYMHSA-N 0 2 316.383 0.696 20 0 DCADLN N[C@@H](C(=O)Nc1c[nH]cc(Br)c1=O)C(F)(F)F ZINC000423757337 416560961 /nfs/dbraw/zinc/56/09/61/416560961.db2.gz CWOWEIGCNKMXGI-LURJTMIESA-N 0 2 314.061 0.966 20 0 DCADLN CC(=O)c1ccc(CNC(=O)CSc2n[nH]c(=O)[nH]2)nc1C ZINC000361902522 416520299 /nfs/dbraw/zinc/52/02/99/416520299.db2.gz QNTPMXMYVJQHIS-UHFFFAOYSA-N 0 2 321.362 0.825 20 0 DCADLN C[C@H](C(=O)NCCCc1n[nH]c(=O)[nH]1)n1nnnc1C(C)(C)C ZINC000540886501 416597295 /nfs/dbraw/zinc/59/72/95/416597295.db2.gz GWAXOZOBDUHRAE-MRVPVSSYSA-N 0 2 322.373 0.104 20 0 DCADLN O=C(CNS(=O)(=O)CC(F)(F)F)NCCc1ccccc1 ZINC000539779446 416581495 /nfs/dbraw/zinc/58/14/95/416581495.db2.gz VBTVNDJUNQMXOX-UHFFFAOYSA-N 0 2 324.324 0.827 20 0 DCADLN Cc1cc(N2CCS(=O)(=O)[C@H](C(=O)[O-])C2)nc(C2CC2)[nH+]1 ZINC000424463944 416649951 /nfs/dbraw/zinc/64/99/51/416649951.db2.gz DFCLOQPEFKGPRS-JTQLQIEISA-N 0 2 311.363 0.350 20 0 DCADLN COc1cccc(S(O)=CC(=O)NC(C)(C)CC(N)=O)c1 ZINC000424787910 416668952 /nfs/dbraw/zinc/66/89/52/416668952.db2.gz VIRTXCOXSHNUPF-OAQYLSRUSA-N 0 2 312.391 0.573 20 0 DCADLN COc1cccc(S(O)=CC(=O)N(C)CCOCCO)c1 ZINC000424818121 416669969 /nfs/dbraw/zinc/66/99/69/416669969.db2.gz GFNPMJFIQJJEAL-OAQYLSRUSA-N 0 2 315.391 0.270 20 0 DCADLN Cc1sc(NC[C@@](C)(O)C[NH+]2CCOCC2)nc1C(=O)[O-] ZINC000514792896 416676586 /nfs/dbraw/zinc/67/65/86/416676586.db2.gz QWTFQSKHHYNFGW-CYBMUJFWSA-N 0 2 315.395 0.645 20 0 DCADLN [NH3+][C@H]1C[C@H]2C[N@H+](Cc3cnc(-c4ccccc4)nc3)CCN2C1=O ZINC000515312976 416698001 /nfs/dbraw/zinc/69/80/01/416698001.db2.gz WUHIMUGOXSSWOE-HOTGVXAUSA-N 0 2 323.400 0.887 20 0 DCADLN CO[C@@H](CCNS(=O)(=O)NCC(F)(F)F)C(F)(F)F ZINC000443210230 416736646 /nfs/dbraw/zinc/73/66/46/416736646.db2.gz HSZBZWIDLBKZKD-YFKPBYRVSA-N 0 2 318.239 0.940 20 0 DCADLN Cn1cc(-c2[nH]ncc2C(=O)NC[C@H]2CCCS2(=O)=O)cn1 ZINC000559823762 416823421 /nfs/dbraw/zinc/82/34/21/416823421.db2.gz AEVBBTFVRGXHSD-SNVBAGLBSA-N 0 2 323.378 0.117 20 0 DCADLN Cc1[nH]ncc1CNS(=O)(=O)C[C@@H]1COc2ccccc2O1 ZINC000641296965 416770167 /nfs/dbraw/zinc/77/01/67/416770167.db2.gz FKJANWFWDICUTJ-LBPRGKRZSA-N 0 2 323.374 0.978 20 0 DCADLN Cn1nccc1[C@@H]1CCCN(C(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000558728790 416791575 /nfs/dbraw/zinc/79/15/75/416791575.db2.gz AMYGSNAVMHFQEF-SECBINFHSA-N 0 2 322.394 0.742 20 0 DCADLN Cc1onc(N)c1S(=O)(=O)Nc1ccc(CC(N)=O)cc1 ZINC000562450877 416868081 /nfs/dbraw/zinc/86/80/81/416868081.db2.gz XBSMPHFEBDBHTL-UHFFFAOYSA-N 0 2 310.335 0.394 20 0 DCADLN Nc1ccc(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)nc1 ZINC000519151055 416893431 /nfs/dbraw/zinc/89/34/31/416893431.db2.gz AMFAVETXKIJYFX-SECBINFHSA-N 0 2 302.338 0.436 20 0 DCADLN COC(=O)c1cc(S(=O)(=O)Nc2nncs2)cnc1C ZINC000427781058 416847295 /nfs/dbraw/zinc/84/72/95/416847295.db2.gz ARWOFOIQIAGQIP-UHFFFAOYSA-N 0 2 314.348 0.829 20 0 DCADLN COC(=O)c1nscc1S(=O)(=O)Nc1cc(C)nn1C ZINC000446725017 416942111 /nfs/dbraw/zinc/94/21/11/416942111.db2.gz HOCFSDYRUWIGBH-UHFFFAOYSA-N 0 2 316.364 0.772 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(c2cc(NC[C@H](C)O)[nH+]cn2)C1 ZINC000520818308 416976857 /nfs/dbraw/zinc/97/68/57/416976857.db2.gz FNSYLACVKLIHMZ-XHDPSFHLSA-N 0 2 324.381 0.587 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(c2cc(NC[C@H](C)O)nc[nH+]2)C1 ZINC000520818308 416976863 /nfs/dbraw/zinc/97/68/63/416976863.db2.gz FNSYLACVKLIHMZ-XHDPSFHLSA-N 0 2 324.381 0.587 20 0 DCADLN CO[C@@H](CNC(=O)N1CC[N@H+](C)C[C@@H]1C[NH3+])c1ccccc1 ZINC000521236458 416999996 /nfs/dbraw/zinc/99/99/96/416999996.db2.gz ZBFZHNVDVSYTSY-GJZGRUSLSA-N 0 2 306.410 0.658 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1[N@@H+]1CC[C@@H](O)C1)[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000616436706 417048023 /nfs/dbraw/zinc/04/80/23/417048023.db2.gz FCKZUUKGLSGFHI-DMEJVMROSA-N 0 2 318.421 0.619 20 0 DCADLN O=C(N[C@@H]1CCC[C@@H]1[N@H+]1CC[C@@H](O)C1)[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000616436706 417048028 /nfs/dbraw/zinc/04/80/28/417048028.db2.gz FCKZUUKGLSGFHI-DMEJVMROSA-N 0 2 318.421 0.619 20 0 DCADLN C[C@H](O)CNc1cc(NCCc2nc(C(=O)[O-])cs2)[nH+]cn1 ZINC000566682656 417052365 /nfs/dbraw/zinc/05/23/65/417052365.db2.gz ULDSTKPGUYCCCO-QMMMGPOBSA-N 0 2 323.378 1.079 20 0 DCADLN C[C@H](O)CNc1cc(NCCc2nc(C(=O)[O-])cs2)nc[nH+]1 ZINC000566682656 417052369 /nfs/dbraw/zinc/05/23/69/417052369.db2.gz ULDSTKPGUYCCCO-QMMMGPOBSA-N 0 2 323.378 1.079 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000430649998 417055064 /nfs/dbraw/zinc/05/50/64/417055064.db2.gz BQVCNRLITXCCIM-GHMZBOCLSA-N 0 2 308.334 0.348 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000430649998 417055067 /nfs/dbraw/zinc/05/50/67/417055067.db2.gz BQVCNRLITXCCIM-GHMZBOCLSA-N 0 2 308.334 0.348 20 0 DCADLN CO[C@@H]1COC[C@H]1NC(=O)c1cccc(-c2nc(=O)o[nH]2)c1 ZINC000616475410 417059999 /nfs/dbraw/zinc/05/99/99/417059999.db2.gz NIMDSHXRCXSPDO-GHMZBOCLSA-N 0 2 305.290 0.174 20 0 DCADLN C[N@@H+]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@H](C[NH3+])C1 ZINC000568230618 417110054 /nfs/dbraw/zinc/11/00/54/417110054.db2.gz YHOMWBKTWVWNET-CYBMUJFWSA-N 0 2 307.354 0.379 20 0 DCADLN Cn1cc(S(=O)(=O)Nc2ccc(F)c(NC(N)=O)c2)cn1 ZINC000431153544 417110185 /nfs/dbraw/zinc/11/01/85/417110185.db2.gz RHDLJQINIMXZEA-UHFFFAOYSA-N 0 2 313.314 0.851 20 0 DCADLN C[C@H](C[N@H+]1CCC[C@H](C)C1)NC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000414738967 417298576 /nfs/dbraw/zinc/29/85/76/417298576.db2.gz ILQPNENKLUBWRS-NWDGAFQWSA-N 0 2 319.409 0.839 20 0 DCADLN Cc1nc(NC(=O)c2cccc(-c3nc(=O)o[nH]3)c2)nn1C ZINC000622614817 417468031 /nfs/dbraw/zinc/46/80/31/417468031.db2.gz ZOQUCCDIFIAUBN-UHFFFAOYSA-N 0 2 300.278 0.719 20 0 DCADLN CNC(=O)c1ccc(NS(=O)(=O)C[C@H](C)OC)c(OC)c1 ZINC000530144208 417541539 /nfs/dbraw/zinc/54/15/39/417541539.db2.gz XWIHODNMIWSIEY-VIFPVBQESA-N 0 2 316.379 0.831 20 0 DCADLN CC[C@](C)(CNC(=O)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1)OC ZINC000452732095 417500424 /nfs/dbraw/zinc/50/04/24/417500424.db2.gz SZTBUFPZAOBDCB-CQSZACIVSA-N 0 2 306.322 0.981 20 0 DCADLN Cc1cc(C(=O)NCC23CC(C2)CO3)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000529877854 417518383 /nfs/dbraw/zinc/51/83/83/417518383.db2.gz WMDCMSGTKWIYHW-UHFFFAOYSA-N 0 2 316.317 0.653 20 0 DCADLN CS(=O)(=O)NC1CN(C(=O)c2cc(F)c(F)c(O)c2F)C1 ZINC000628798755 417697589 /nfs/dbraw/zinc/69/75/89/417697589.db2.gz QHZIQMWQHUUCRO-UHFFFAOYSA-N 0 2 324.280 0.183 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)N[C@H]2C(=O)NCC2(C)C)s[nH]1 ZINC000639639050 417707806 /nfs/dbraw/zinc/70/78/06/417707806.db2.gz PUQIXVMHSPMOCX-ZETCQYMHSA-N 0 2 311.411 0.904 20 0 DCADLN COc1cccnc1CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000646131076 417786863 /nfs/dbraw/zinc/78/68/63/417786863.db2.gz FFGJPFQLLSLBLM-SNVBAGLBSA-N 0 2 317.349 0.863 20 0 DCADLN CC(C)[C@@H]1CN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CCCO1 ZINC000651830103 417789640 /nfs/dbraw/zinc/78/96/40/417789640.db2.gz ZZHNVLFOBULJDV-LBPRGKRZSA-N 0 2 323.397 0.493 20 0 DCADLN CO[C@@H]([C@@H](C)NC(=O)C(=O)N=c1ncn(C(C)C)[nH]1)C1CC1 ZINC000651840152 417795016 /nfs/dbraw/zinc/79/50/16/417795016.db2.gz CJBWCUXGXUQDCR-KOLCDFICSA-N 0 2 309.370 0.149 20 0 DCADLN COC[C@@H]1CCCN(C(=O)C(=O)N=c2ncn(C(C)C)[nH]2)CC1 ZINC000651844550 417797574 /nfs/dbraw/zinc/79/75/74/417797574.db2.gz NUISMRKVQCTRTE-GFCCVEGCSA-N 0 2 323.397 0.495 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N2CCOC[C@H]2C2CCC2)[nH]1 ZINC000651869295 417804903 /nfs/dbraw/zinc/80/49/03/417804903.db2.gz PSMAFOTZBNNNRV-LBPRGKRZSA-N 0 2 321.381 0.247 20 0 DCADLN COCc1nnc(CNS(=O)(=O)c2ccccc2F)[nH]1 ZINC000657105044 417813480 /nfs/dbraw/zinc/81/34/80/417813480.db2.gz XTLRWYWPYHHWQN-UHFFFAOYSA-N 0 2 300.315 0.569 20 0 DCADLN CC[NH+]1CCN(C[C@@H](C)C[NH2+]Cc2nnn(C(C)(C)C)n2)CC1 ZINC000657347776 417851293 /nfs/dbraw/zinc/85/12/93/417851293.db2.gz XIEGMYYHXSKMLI-AWEZNQCLSA-N 0 2 323.489 0.791 20 0 DCADLN Cc1c(S(=O)(=O)Nc2ccnn2C)coc1C(=O)N(C)C ZINC000647265532 418004915 /nfs/dbraw/zinc/00/49/15/418004915.db2.gz SPTWEJDRYZOLFJ-UHFFFAOYSA-N 0 2 312.351 0.824 20 0 DCADLN Cn1cc(N2CC[C@H](Nc3ccc(C(=O)[O-])c[nH+]3)C2=O)cn1 ZINC000647450342 418010646 /nfs/dbraw/zinc/01/06/46/418010646.db2.gz LCXAIKPUSUDASB-NSHDSACASA-N 0 2 301.306 0.731 20 0 DCADLN C[C@H](C(=O)NC1CC1)[NH+]1CCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000647448240 418010923 /nfs/dbraw/zinc/01/09/23/418010923.db2.gz BMAOUBIAJAQCKH-LLVKDONJSA-N 0 2 318.377 0.569 20 0 DCADLN CS(=O)(=O)NC[C@H]1CCCN(c2ccc(C(=O)[O-])c[nH+]2)C1 ZINC000647445626 418011063 /nfs/dbraw/zinc/01/10/63/418011063.db2.gz KMODGYOGCKAFNV-SNVBAGLBSA-N 0 2 313.379 0.545 20 0 DCADLN Nc1c(NCC2(C(=O)[O-])CCOCC2)[nH+]cnc1-n1cccn1 ZINC000647458130 418011804 /nfs/dbraw/zinc/01/18/04/418011804.db2.gz TYCUUOXIOZJMSH-UHFFFAOYSA-N 0 2 318.337 0.538 20 0 DCADLN Cc1nc(=NC(=O)N2C[C@@H](C)O[C@@H](C(F)(F)F)C2)[nH]n1C ZINC000653241396 418046260 /nfs/dbraw/zinc/04/62/60/418046260.db2.gz OYSKLYYMZSLKAC-HTRCEHHLSA-N 0 2 307.276 0.729 20 0 DCADLN Cc1nc(=NC(=O)N(CCO)CCc2ccccc2)[nH]n1C ZINC000653243312 418046406 /nfs/dbraw/zinc/04/64/06/418046406.db2.gz LXOAUVVBHYRYND-UHFFFAOYSA-N 0 2 303.366 0.614 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)c2ccc(C(=O)[O-])cn2)[C@@H](C)CO1 ZINC000663127679 417993443 /nfs/dbraw/zinc/99/34/43/417993443.db2.gz YRUGRRHANSDIIX-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C(=O)[O-])cn2)[C@@H](C)CO1 ZINC000663127679 417993446 /nfs/dbraw/zinc/99/34/46/417993446.db2.gz YRUGRRHANSDIIX-WDEREUQCSA-N 0 2 307.350 0.619 20 0 DCADLN COCC[N@H+](C)C[C@H](O)C[NH+]1CCC[C@@H](OC2CCC2)C1 ZINC000653812151 418110030 /nfs/dbraw/zinc/11/00/30/418110030.db2.gz CPABYSZERUTYCX-GOEBONIOSA-N 0 2 300.443 0.959 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)N[C@H](C[C@H]1CCCO1)C(F)(F)F ZINC000654266492 418132213 /nfs/dbraw/zinc/13/22/13/418132213.db2.gz QMWQXHIYSYTBET-RNFRBKRXSA-N 0 2 323.275 0.810 20 0 DCADLN CC(C)Nc1nc(NCCO)[nH+]c(N2C[C@@H](C)[C@](C)(CO)C2)n1 ZINC000664595202 418138025 /nfs/dbraw/zinc/13/80/25/418138025.db2.gz FMWBBHRITFLOBZ-ABAIWWIYSA-N 0 2 324.429 0.551 20 0 DCADLN CC(C)(C)c1cc(NCCCn2cncn2)nc(C(=O)[O-])[nH+]1 ZINC000649384679 418249371 /nfs/dbraw/zinc/24/93/71/418249371.db2.gz DLGSFDQNOFEEPV-UHFFFAOYSA-N 0 2 304.354 0.988 20 0 DCADLN CN=c1[nH]nc(CC(=O)N2CC3(C[C@H]2C)CCOCC3)s1 ZINC000649126276 418200624 /nfs/dbraw/zinc/20/06/24/418200624.db2.gz CASJUOBZTGGNQN-SNVBAGLBSA-N 0 2 310.423 0.962 20 0 DCADLN C[C@H]1C(=O)N(C)CN1C(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000649159318 418204118 /nfs/dbraw/zinc/20/41/18/418204118.db2.gz LSXXKUVAXXCXDB-VIFPVBQESA-N 0 2 318.308 0.977 20 0 DCADLN O=C(CC1(Cn2cnnn2)CCCCC1)N=c1ccc(=O)[nH][nH]1 ZINC000649934220 418284389 /nfs/dbraw/zinc/28/43/89/418284389.db2.gz DPSKMKQMBICLCJ-UHFFFAOYSA-N 0 2 317.353 0.570 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)C1CCC1 ZINC000655936268 418304428 /nfs/dbraw/zinc/30/44/28/418304428.db2.gz CJXYWKVYYASUIB-UHFFFAOYSA-N 0 2 320.345 0.971 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)C1CCC1 ZINC000655936268 418304431 /nfs/dbraw/zinc/30/44/31/418304431.db2.gz CJXYWKVYYASUIB-UHFFFAOYSA-N 0 2 320.345 0.971 20 0 DCADLN CC(=O)N1CCN(C(=O)CCNc2cc[nH+]c(C(=O)[O-])c2)CC1 ZINC000650766877 418323698 /nfs/dbraw/zinc/32/36/98/418323698.db2.gz AGADBGLTZMZFFF-UHFFFAOYSA-N 0 2 320.349 0.273 20 0 DCADLN CS(=O)(=O)N1CCCC[C@@H]1CNc1cc[nH+]c(C(=O)[O-])c1 ZINC000650771446 418323850 /nfs/dbraw/zinc/32/38/50/418323850.db2.gz XGLVKCSAVYMPDH-LLVKDONJSA-N 0 2 313.379 0.428 20 0 DCADLN C[C@@H](NC(=O)c1ccc(C(=O)[O-])cn1)[C@H](C)[NH+]1CCOCC1 ZINC000649465233 418255931 /nfs/dbraw/zinc/25/59/31/418255931.db2.gz WKBCCNSBVRXDJV-MNOVXSKESA-N 0 2 307.350 0.619 20 0 DCADLN O=C(c1c(O)cc(F)cc1F)N1CCn2nnc(CO)c2C1 ZINC000655400360 418258671 /nfs/dbraw/zinc/25/86/71/418258671.db2.gz PEAUYXXMBSFYHI-UHFFFAOYSA-N 0 2 310.260 0.410 20 0 DCADLN Cn1nccc1[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(=O)[O-] ZINC000655547034 418274117 /nfs/dbraw/zinc/27/41/17/418274117.db2.gz GSFFNQMEAILXLM-BXKDBHETSA-N 0 2 303.322 0.121 20 0 DCADLN CCC(CC)[C@H](C(=O)NC[C@@H](C)C(=O)[O-])[NH+]1CCOCC1 ZINC000655562129 418276211 /nfs/dbraw/zinc/27/62/11/418276211.db2.gz SMKXFDVNGMSSJS-DGCLKSJQSA-N 0 2 300.399 0.960 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)[C@H]2CCOc3ccccc32)[nH]n1 ZINC000650817332 418326433 /nfs/dbraw/zinc/32/64/33/418326433.db2.gz ZHXMPSIAAYAYIY-NSHDSACASA-N 0 2 312.329 0.763 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)CCOCc2ccccc2)[nH]n1 ZINC000650816259 418326457 /nfs/dbraw/zinc/32/64/57/418326457.db2.gz POSYBOVLEZJQPE-UHFFFAOYSA-N 0 2 314.345 0.804 20 0 DCADLN CNC(=O)c1ccc(=NC(=O)C[C@H](C)c2c(C)noc2C)[nH]n1 ZINC000650818139 418326495 /nfs/dbraw/zinc/32/64/95/418326495.db2.gz YKHXXTSYEODOAD-QMMMGPOBSA-N 0 2 317.349 0.995 20 0 DCADLN Cn1cnnc1N1CC[NH+](Cc2ccc(C(=O)[O-])cc2F)CC1 ZINC000656192210 418335291 /nfs/dbraw/zinc/33/52/91/418335291.db2.gz ZDOMOBDLBHNPEV-UHFFFAOYSA-N 0 2 319.340 0.975 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)Nc1ccc2c(c1)CCNC2=O ZINC000656633195 418367885 /nfs/dbraw/zinc/36/78/85/418367885.db2.gz XQDTWDXLXRJNTO-UHFFFAOYSA-N 0 2 320.374 0.815 20 0 DCADLN CC(C)n1cnc(=NC(=O)C(=O)N[C@H]2CC3CCC2CC3)[nH]1 ZINC000651628618 418398467 /nfs/dbraw/zinc/39/84/67/418398467.db2.gz VRFSWRUCUVMSPN-MCIGGMRASA-N 0 2 305.382 0.914 20 0 DCADLN COc1cnc(NS(=O)(=O)C[C@H](OC)[C@@H]2CCOC2)nc1 ZINC000656737158 418374901 /nfs/dbraw/zinc/37/49/01/418374901.db2.gz GLHHFJASDCRMGR-KOLCDFICSA-N 0 2 317.367 0.278 20 0 DCADLN C[C@@H]1C[N@H+](Cc2ccccc2C(=O)[O-])CCN1S(C)(=O)=O ZINC000656764197 418375536 /nfs/dbraw/zinc/37/55/36/418375536.db2.gz VNEGTMZGSXYTHE-LLVKDONJSA-N 0 2 312.391 0.851 20 0 DCADLN C[C@@H]1C[N@@H+](Cc2ccccc2C(=O)[O-])CCN1S(C)(=O)=O ZINC000656764197 418375539 /nfs/dbraw/zinc/37/55/39/418375539.db2.gz VNEGTMZGSXYTHE-LLVKDONJSA-N 0 2 312.391 0.851 20 0 DCADLN Cc1nn(CC2CC2)c(C)c1CC(=O)N=c1cc(C(N)=O)[nH][nH]1 ZINC000651534609 418387689 /nfs/dbraw/zinc/38/76/89/418387689.db2.gz QXCDHXKJUIPZAC-UHFFFAOYSA-N 0 2 316.365 0.335 20 0 DCADLN CC(C)Cc1cc(=NC(=O)C(=O)N=c2ncn(C(C)C)[nH]2)[nH][nH]1 ZINC000651750770 418408563 /nfs/dbraw/zinc/40/85/63/418408563.db2.gz XKZNITWVULZLPE-UHFFFAOYSA-N 0 2 319.369 0.202 20 0 DCADLN O=c1[nH]nc(C2CCN(S(=O)(=O)c3ccncc3)CC2)[nH]1 ZINC000656999501 418399987 /nfs/dbraw/zinc/39/99/87/418399987.db2.gz ZZHIMMRNXLPXFH-UHFFFAOYSA-N 0 2 309.351 0.474 20 0 DCADLN O=C(c1cc(F)c(O)c(F)c1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC000373741227 304491802 /nfs/dbraw/zinc/49/18/02/304491802.db2.gz AJSNPBUCOOVLQM-GHMZBOCLSA-N 0 2 312.272 0.400 20 0 DCADLN COCc1nc(S(=O)(=O)Cc2noc3c2CCCC3)n[nH]1 ZINC001649721310 1173182495 /nfs/dbraw/zinc/18/24/95/1173182495.db2.gz OLRFIFMRLIEOEX-UHFFFAOYSA-N 0 2 312.351 0.792 20 0 DCADLN O=C(CCOCC(F)(F)C(F)(F)F)NCc1n[nH]c(=O)[nH]1 ZINC000354494441 261227237 /nfs/dbraw/zinc/22/72/37/261227237.db2.gz NBCIMPIBLWRAOQ-UHFFFAOYSA-N 0 2 318.202 0.731 20 0 DCADLN C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)n1ccc2ccccc2c1=O ZINC000354512755 261230113 /nfs/dbraw/zinc/23/01/13/261230113.db2.gz MYXZUCKVYVBLEG-VIFPVBQESA-N 0 2 313.317 0.703 20 0 DCADLN C[C@H](CC(N)=O)NC(=O)C1=NN(c2ccc(F)cc2)CC1=O ZINC000354743483 261266444 /nfs/dbraw/zinc/26/64/44/261266444.db2.gz VYTCYLBBJRLMSO-MRVPVSSYSA-N 0 2 306.297 0.711 20 0 DCADLN O=C(Nc1nncn1C1CC1)c1c[nH]c2nc(=O)[nH]c(=O)c-2c1 ZINC000356166104 261398533 /nfs/dbraw/zinc/39/85/33/261398533.db2.gz MHZJAYYBLGQNNR-UHFFFAOYSA-N 0 2 313.277 0.615 20 0 DCADLN C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)n1nnc(-c2ccccc2)n1 ZINC000359811500 261771225 /nfs/dbraw/zinc/77/12/25/261771225.db2.gz FLELGYZDOYNOPO-MRVPVSSYSA-N 0 2 314.309 0.041 20 0 DCADLN COc1nc(NCc2n[nH]c(=O)[nH]2)ncc1Br ZINC000392507729 262161400 /nfs/dbraw/zinc/16/14/00/262161400.db2.gz NKLOEFHDFSEPGJ-UHFFFAOYSA-N 0 2 301.104 0.684 20 0 DCADLN CCC[C@@H](O)[C@H](CO)NC(=O)C1=NN(c2ccccc2)CC1=O ZINC000412525694 262190757 /nfs/dbraw/zinc/19/07/57/262190757.db2.gz RLARHMLDLNKTLT-QWHCGFSZSA-N 0 2 319.361 0.830 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@@H+](C)[C@H](C)[C@H]1C ZINC000355449661 271007951 /nfs/dbraw/zinc/00/79/51/271007951.db2.gz ANFXLZRKSKFWIS-RKDXNWHRSA-N 0 2 316.379 0.999 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[N@H+](C)[C@H](C)[C@H]1C ZINC000355449661 271007954 /nfs/dbraw/zinc/00/79/54/271007954.db2.gz ANFXLZRKSKFWIS-RKDXNWHRSA-N 0 2 316.379 0.999 20 0 DCADLN Cn1ncc2c1[nH]cc(C(=O)NC1(CC(N)=O)CCC1)c2=O ZINC000358386666 271050287 /nfs/dbraw/zinc/05/02/87/271050287.db2.gz RGSLAZCXXFWIFM-UHFFFAOYSA-N 0 2 303.322 0.202 20 0 DCADLN CN(C)C(=O)c1ccc(C(=O)NCCCc2n[nH]c(=O)[nH]2)cc1 ZINC000359530930 271123669 /nfs/dbraw/zinc/12/36/69/271123669.db2.gz ZMIMYQPCGYGVFK-UHFFFAOYSA-N 0 2 317.349 0.575 20 0 DCADLN Cn1c(CNS(=O)(=O)NCC(F)(F)F)nnc1C1CC1 ZINC000443048579 271694588 /nfs/dbraw/zinc/69/45/88/271694588.db2.gz WPOSRFUGXDPMDF-UHFFFAOYSA-N 0 2 313.305 0.179 20 0 DCADLN CCn1cc[nH+]c1/C=C/C(=O)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000493005911 272163124 /nfs/dbraw/zinc/16/31/24/272163124.db2.gz NTKVFYLVLJNXQI-MVIFTORASA-N 0 2 313.379 0.541 20 0 DCADLN Cn1nccc1C=CC(=O)N1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000493262582 272178453 /nfs/dbraw/zinc/17/84/53/272178453.db2.gz SVHNEZGCYWZTLW-ORAHPGNNSA-N 0 2 302.338 0.663 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C\c1cncc(C)c1 ZINC000493333822 272181831 /nfs/dbraw/zinc/18/18/31/272181831.db2.gz IYVSAFBFHHSQMS-PLNGDYQASA-N 0 2 320.374 0.950 20 0 DCADLN CCn1nccc1/C=C\C(=O)NS(=O)(=O)c1c(C)cnn1C ZINC000493359114 272183759 /nfs/dbraw/zinc/18/37/59/272183759.db2.gz VEGLUOQSEPWIQP-WAYWQWQTSA-N 0 2 323.378 0.463 20 0 DCADLN Cc1nn(C)cc1S(=O)(=O)NC(=O)/C=C/C1CCOCC1 ZINC000493417524 272187030 /nfs/dbraw/zinc/18/70/30/272187030.db2.gz JMKFJNOJZLIUOJ-ONEGZZNKSA-N 0 2 313.379 0.516 20 0 DCADLN CC(=O)CSCC(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000495106240 272238505 /nfs/dbraw/zinc/23/85/05/272238505.db2.gz VLUXLVXYXBRXSA-UHFFFAOYSA-N 0 2 324.409 0.170 20 0 DCADLN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NOCCC1CC1 ZINC000495709165 272268065 /nfs/dbraw/zinc/26/80/65/272268065.db2.gz QJUPHSWMSVVBQW-UHFFFAOYSA-N 0 2 315.370 0.475 20 0 DCADLN CC(C)(C)c1nc(=NC(=O)[C@@H]2CN3CCN2CCC3)s[nH]1 ZINC000536538250 287856191 /nfs/dbraw/zinc/85/61/91/287856191.db2.gz ARPFTEJIQGCJAY-JTQLQIEISA-N 0 2 309.439 0.586 20 0 DCADLN CC(=O)[C@@H](NC(=O)CN1CCC[C@H](c2n[nH]c(=O)[nH]2)C1)C(C)C ZINC000344111883 278224434 /nfs/dbraw/zinc/22/44/34/278224434.db2.gz RGWPZQYQFSINHU-AAEUAGOBSA-N 0 2 323.397 0.420 20 0 DCADLN CN1CC[C@H](NC(=O)N=c2nc(-c3ccccc3)[nH]s2)C1=O ZINC000547448566 288047317 /nfs/dbraw/zinc/04/73/17/288047317.db2.gz ZLEPXJQIHHFSOA-JTQLQIEISA-N 0 2 317.374 0.979 20 0 DCADLN CC(=O)N1CCN(CCN=c2nc(C(C)(C)C)[nH]s2)CC1 ZINC000548285110 288128654 /nfs/dbraw/zinc/12/86/54/288128654.db2.gz RFGHWGREPIKENK-UHFFFAOYSA-N 0 2 311.455 0.834 20 0 DCADLN CC(=O)N1CCN(CCNc2nc(C(C)(C)C)ns2)CC1 ZINC000548285110 288128655 /nfs/dbraw/zinc/12/86/55/288128655.db2.gz RFGHWGREPIKENK-UHFFFAOYSA-N 0 2 311.455 0.834 20 0 DCADLN CCN(C(=O)N[C@@H](CCC(=O)[O-])C[NH+]1CCOCC1)C(C)C ZINC000548541239 288152189 /nfs/dbraw/zinc/15/21/89/288152189.db2.gz ATFDYNVXDAPGLL-ZDUSSCGKSA-N 0 2 315.414 0.992 20 0 DCADLN CNC(=O)C1(C(=O)Nc2cc(-c3n[nH]c(=O)[nH]3)ccc2C)CC1 ZINC000550123046 288210805 /nfs/dbraw/zinc/21/08/05/288210805.db2.gz RHADFKUSUDFWJR-UHFFFAOYSA-N 0 2 315.333 0.950 20 0 DCADLN CCc1nsc(NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)n1 ZINC000370206154 288614024 /nfs/dbraw/zinc/61/40/24/288614024.db2.gz JYCWOESBQYDBII-SDBXPKJASA-N 0 2 309.395 0.655 20 0 DCADLN CN(C)c1ncccc1C(=O)N1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000563193357 288646104 /nfs/dbraw/zinc/64/61/04/288646104.db2.gz JUMKEVXWDXHLQS-JTQLQIEISA-N 0 2 316.365 0.991 20 0 DCADLN C[C@H](CS(C)(=O)=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000112202679 298853458 /nfs/dbraw/zinc/85/34/58/298853458.db2.gz ZIWFZJREEGIBOF-MRVPVSSYSA-N 0 2 315.420 0.850 20 0 DCADLN C[C@H]1CN(S(=O)(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)C[C@@H]1C ZINC000267627832 300289956 /nfs/dbraw/zinc/28/99/56/300289956.db2.gz MYUZBGXUZBEYST-YUMQZZPRSA-N 0 2 324.362 0.713 20 0 DCADLN C[C@H]1C[C@@H](NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)CCO1 ZINC000271266822 300435966 /nfs/dbraw/zinc/43/59/66/300435966.db2.gz MEWUSVMIEXGXBK-CBAPKCEASA-N 0 2 304.306 0.733 20 0 DCADLN O=S(=O)(NCC(F)(F)F)N1CCOCC2(CCC2)C1 ZINC000367036557 304759748 /nfs/dbraw/zinc/75/97/48/304759748.db2.gz OTEDHWVWXYRAIU-UHFFFAOYSA-N 0 2 302.318 0.886 20 0 DCADLN CC[NH+]1CCN(S(=O)(=O)c2ccc(CC(=O)[O-])cc2)CC1 ZINC000035295946 337342414 /nfs/dbraw/zinc/34/24/14/337342414.db2.gz BWDNWCBYBCEZPO-UHFFFAOYSA-N 0 2 312.391 0.640 20 0 DCADLN CCCCOCC(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567366638 1160329970 /nfs/dbraw/zinc/32/99/70/1160329970.db2.gz LXKJJIDBZYAKIO-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CCCCOCC(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001567366638 1160329972 /nfs/dbraw/zinc/32/99/72/1160329972.db2.gz LXKJJIDBZYAKIO-LLVKDONJSA-N 0 2 311.386 0.408 20 0 DCADLN CN1C[C@@H](NC(=O)C2=NN(c3ccccc3)CC2=O)CC1=O ZINC000266552312 524012618 /nfs/dbraw/zinc/01/26/18/524012618.db2.gz MOOQSRCGOUGAAF-JTQLQIEISA-N 0 2 300.318 0.538 20 0 DCADLN C[C@H](O)C(=O)N1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000153144786 525691155 /nfs/dbraw/zinc/69/11/55/525691155.db2.gz SIRDSXOEWRCFDP-VIFPVBQESA-N 0 2 322.390 0.010 20 0 DCADLN C[C@@H](O)C[C@@H]1COCC[N@@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000157423531 525825334 /nfs/dbraw/zinc/82/53/34/525825334.db2.gz MVJLBMIZBCSACB-UKRRQHHQSA-N 0 2 308.378 0.706 20 0 DCADLN C[C@@H](O)C[C@@H]1COCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000157423531 525825347 /nfs/dbraw/zinc/82/53/47/525825347.db2.gz MVJLBMIZBCSACB-UKRRQHHQSA-N 0 2 308.378 0.706 20 0 DCADLN O=C(NN1CC(=O)NC1=O)c1cccnc1OCC(F)(F)F ZINC000266516911 526841750 /nfs/dbraw/zinc/84/17/50/526841750.db2.gz CFZMSZCORZXKBU-UHFFFAOYSA-N 0 2 318.211 0.219 20 0 DCADLN CC(C)(CO)ONC(=O)CNC(=O)N1CCc2ccccc2C1 ZINC000296760341 527209062 /nfs/dbraw/zinc/20/90/62/527209062.db2.gz BWIJJJOSFYEXGJ-UHFFFAOYSA-N 0 2 321.377 0.573 20 0 DCADLN CCNC(=O)C1CN(C(=O)N=c2nc(C(C)(C)C)[nH]s2)C1 ZINC000331754818 528046889 /nfs/dbraw/zinc/04/68/89/528046889.db2.gz HHEMAPPVQBNCBV-UHFFFAOYSA-N 0 2 311.411 0.857 20 0 DCADLN CCO[C@H]1C[C@@H]1C(=O)Nc1nnc(NS(C)(=O)=O)s1 ZINC000298916505 528113800 /nfs/dbraw/zinc/11/38/00/528113800.db2.gz GGXGHFNADAZIHQ-WDSKDSINSA-N 0 2 306.369 0.273 20 0 DCADLN Cc1cccc(NC(=O)c2c[nH]c3nc(=O)[nH]c(=O)c-3c2)c1O ZINC000174966933 545885797 /nfs/dbraw/zinc/88/57/97/545885797.db2.gz CJVNECWCASWMRU-UHFFFAOYSA-N 0 2 312.285 0.878 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@@H]1CCCC[C@@H]1C(=O)N1CCCC1 ZINC000333383840 546079704 /nfs/dbraw/zinc/07/97/04/546079704.db2.gz XWWZHOSCFRGPDX-MNOVXSKESA-N 0 2 321.381 0.555 20 0 DCADLN Cc1sc(C(=O)[O-])cc1S(=O)(=O)NCc1c[nH+]cn1C ZINC000388559273 546219241 /nfs/dbraw/zinc/21/92/41/546219241.db2.gz HEVHBLNAHHFWTL-UHFFFAOYSA-N 0 2 315.376 0.967 20 0 DCADLN O=C(Nc1cccc(-c2nc(=O)o[nH]2)c1)C1(CO)CCOCC1 ZINC000667992830 546679559 /nfs/dbraw/zinc/67/95/59/546679559.db2.gz FSIJVAFBFNRUAD-UHFFFAOYSA-N 0 2 319.317 0.758 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@@H](c3ccnc(N)n3)C2)c1O ZINC000671825297 547298191 /nfs/dbraw/zinc/29/81/91/547298191.db2.gz SHLQFPXQZITJER-SECBINFHSA-N 0 2 302.338 0.816 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)C1(S(=O)(=O)c2ccccc2)CC1 ZINC000674719001 547619485 /nfs/dbraw/zinc/61/94/85/547619485.db2.gz LYPVMOFMTFUZFB-UHFFFAOYSA-N 0 2 322.346 0.133 20 0 DCADLN Cc1cc(C(=O)N2CCCOC[C@H]2C)[nH]c2nc(=O)[nH]c(=O)c1-2 ZINC000676508511 547783211 /nfs/dbraw/zinc/78/32/11/547783211.db2.gz TZLVTPQYMSSFKS-SECBINFHSA-N 0 2 318.333 0.995 20 0 DCADLN Cc1[nH]nc(C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)c1O ZINC000676630018 547797642 /nfs/dbraw/zinc/79/76/42/547797642.db2.gz PFFGXKWHTVVTOM-NSHDSACASA-N 0 2 322.365 0.135 20 0 DCADLN NC(=O)C1(NC(=O)C2=NN(c3ccccc3)CC2=O)CCC1 ZINC000676671920 547802336 /nfs/dbraw/zinc/80/23/36/547802336.db2.gz WQQSSAPYJYGCMF-UHFFFAOYSA-N 0 2 300.318 0.716 20 0 DCADLN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)c2n[nH]c(C)c2O)C1 ZINC000676696387 547804869 /nfs/dbraw/zinc/80/48/69/547804869.db2.gz XUTPQJRTAGQJKX-MWLCHTKSSA-N 0 2 324.381 0.427 20 0 DCADLN Cc1[nH]nc(C(=O)N2CSC[C@@H]2C(=O)N(C)C(C)C)c1O ZINC000676706900 547806111 /nfs/dbraw/zinc/80/61/11/547806111.db2.gz LKHXKIDVHCOFFN-SECBINFHSA-N 0 2 312.395 0.806 20 0 DCADLN Cc1cccc2[nH]c(CNC(=O)CC[C@@H]3NC(=O)NC3=O)nc21 ZINC000677967319 547930540 /nfs/dbraw/zinc/93/05/40/547930540.db2.gz XXRXBVQMDYJOLV-JTQLQIEISA-N 0 2 315.333 0.476 20 0 DCADLN Cc1cccc2nc(CNC(=O)CC[C@@H]3NC(=O)NC3=O)[nH]c21 ZINC000677967319 547930543 /nfs/dbraw/zinc/93/05/43/547930543.db2.gz XXRXBVQMDYJOLV-JTQLQIEISA-N 0 2 315.333 0.476 20 0 DCADLN COCc1cccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)c1 ZINC000679202848 548033314 /nfs/dbraw/zinc/03/33/14/548033314.db2.gz OZBFGRDSEACCRQ-UHFFFAOYSA-N 0 2 310.335 0.456 20 0 DCADLN COc1ccc(S(=O)(=O)Nc2cc(C(N)=O)n[nH]2)cc1F ZINC000679202894 548033404 /nfs/dbraw/zinc/03/34/04/548033404.db2.gz QHEZUQKBCNOBFJ-UHFFFAOYSA-N 0 2 314.298 0.457 20 0 DCADLN CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)N=c1nc[nH]n1C ZINC000681032028 548194579 /nfs/dbraw/zinc/19/45/79/548194579.db2.gz VVWJSVQOSUFARM-UHFFFAOYSA-N 0 2 319.350 0.611 20 0 DCADLN NC(=O)C1(C(=O)NCc2nnc(COc3ccccc3)[nH]2)CC1 ZINC000681202551 548216310 /nfs/dbraw/zinc/21/63/10/548216310.db2.gz RWCALLYFXNLDCD-UHFFFAOYSA-N 0 2 315.333 0.265 20 0 DCADLN O=C(CSc1n[nH]c(=O)[nH]1)Nc1ccc2c(c1)NC(=O)CO2 ZINC000681550736 548261673 /nfs/dbraw/zinc/26/16/73/548261673.db2.gz UAWSPCKBXOWBGE-UHFFFAOYSA-N 0 2 321.318 0.572 20 0 DCADLN O=C(NCCCN1CCCS1(=O)=O)c1cccc2[nH]nnc21 ZINC000682157271 548353199 /nfs/dbraw/zinc/35/31/99/548353199.db2.gz YOAJZWDTMODQSQ-UHFFFAOYSA-N 0 2 323.378 0.113 20 0 DCADLN Cn1nncc1S(=O)(=O)Nc1cccnc1-n1cccn1 ZINC000682807038 548427060 /nfs/dbraw/zinc/42/70/60/548427060.db2.gz MPELZDPWNRLJRS-UHFFFAOYSA-N 0 2 305.323 0.197 20 0 DCADLN C[C@@H]1Oc2ccc(NS(=O)(=O)c3cnnn3C)cc2NC1=O ZINC000682832637 548429661 /nfs/dbraw/zinc/42/96/61/548429661.db2.gz BFKHYBXNPWBHEP-ZETCQYMHSA-N 0 2 323.334 0.335 20 0 DCADLN Cc1cc2n[nH]cc2cc1NC(=O)CC[C@H]1NC(=O)NC1=O ZINC000682954877 548440791 /nfs/dbraw/zinc/44/07/91/548440791.db2.gz AHGNHAHIOQNEPC-SECBINFHSA-N 0 2 301.306 0.798 20 0 DCADLN Cc1cc2[nH]ncc2cc1NC(=O)CC[C@H]1NC(=O)NC1=O ZINC000682954877 548440792 /nfs/dbraw/zinc/44/07/92/548440792.db2.gz AHGNHAHIOQNEPC-SECBINFHSA-N 0 2 301.306 0.798 20 0 DCADLN O=c1[nH]nc(CCCNc2ncnc3c2NC(=O)CS3)[nH]1 ZINC000683750276 548515969 /nfs/dbraw/zinc/51/59/69/548515969.db2.gz FQRKUWWNDOUWQU-UHFFFAOYSA-N 0 2 307.339 0.389 20 0 DCADLN Cn1cc(NS(=O)(=O)c2ccc(-c3nn[nH]n3)cc2F)cn1 ZINC000737612316 598843704 /nfs/dbraw/zinc/84/37/04/598843704.db2.gz YFRXDQULFHVKQL-UHFFFAOYSA-N 0 2 323.313 0.540 20 0 DCADLN COC(=O)Nc1ccc(CNC(=O)C[N@H+](C)CC(=O)[O-])cc1 ZINC000739779066 596903453 /nfs/dbraw/zinc/90/34/53/596903453.db2.gz FZQBLPXYSROKHD-UHFFFAOYSA-N 0 2 309.322 0.498 20 0 DCADLN COC(=O)Nc1ccc(CNC(=O)C[N@@H+](C)CC(=O)[O-])cc1 ZINC000739779066 596903455 /nfs/dbraw/zinc/90/34/55/596903455.db2.gz FZQBLPXYSROKHD-UHFFFAOYSA-N 0 2 309.322 0.498 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CCC[C@H](CC(=O)[O-])C2)CCO1 ZINC000818113535 597122401 /nfs/dbraw/zinc/12/24/01/597122401.db2.gz QAZYIMJYYKBUQU-CHWSQXEVSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CCC[C@H](CC(=O)[O-])C2)CCO1 ZINC000818113535 597122402 /nfs/dbraw/zinc/12/24/02/597122402.db2.gz QAZYIMJYYKBUQU-CHWSQXEVSA-N 0 2 313.398 0.603 20 0 DCADLN C[C@H](C(=O)[O-])[N@H+](C)CC(=O)N1CCN(Cc2ccco2)CC1 ZINC000817772222 597472711 /nfs/dbraw/zinc/47/27/11/597472711.db2.gz MBBZNXRZGHUDJU-GFCCVEGCSA-N 0 2 309.366 0.329 20 0 DCADLN C[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N1CCN(Cc2ccco2)CC1 ZINC000817772222 597472714 /nfs/dbraw/zinc/47/27/14/597472714.db2.gz MBBZNXRZGHUDJU-GFCCVEGCSA-N 0 2 309.366 0.329 20 0 DCADLN C[C@@H](O)CNc1[nH+]c2ccccc2n1CC(=O)NCC(=O)[O-] ZINC000820162024 597759903 /nfs/dbraw/zinc/75/99/03/597759903.db2.gz WTTPHZHGOSGPLZ-SECBINFHSA-N 0 2 306.322 0.030 20 0 DCADLN Cn1c(Cn2cccc(-c3nn[nH]n3)c2=O)nc2ccccc21 ZINC000737594245 598283586 /nfs/dbraw/zinc/28/35/86/598283586.db2.gz XHOJAAWUEQQMKR-UHFFFAOYSA-N 0 2 307.317 0.963 20 0 DCADLN O=C([O-])NCCC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000739938225 599710293 /nfs/dbraw/zinc/71/02/93/599710293.db2.gz NMVZQEKVXHCALB-CQSZACIVSA-N 0 2 321.377 0.661 20 0 DCADLN O=C([O-])NCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000739938225 599710295 /nfs/dbraw/zinc/71/02/95/599710295.db2.gz NMVZQEKVXHCALB-CQSZACIVSA-N 0 2 321.377 0.661 20 0 DCADLN CC(C)[C@@H](NC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000736369563 599808481 /nfs/dbraw/zinc/80/84/81/599808481.db2.gz SCRFDHRSIQTGIU-LLVKDONJSA-N 0 2 301.387 0.506 20 0 DCADLN COc1ccc(OCC[N@@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000315378447 599982648 /nfs/dbraw/zinc/98/26/48/599982648.db2.gz JZPIECCELNNBGX-ZDUSSCGKSA-N 0 2 308.334 0.349 20 0 DCADLN COc1ccc(OCC[N@H+]2CCNC(=O)[C@@H]2CC(=O)[O-])cc1 ZINC000315378447 599982651 /nfs/dbraw/zinc/98/26/51/599982651.db2.gz JZPIECCELNNBGX-ZDUSSCGKSA-N 0 2 308.334 0.349 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(C[N@H+](C)C(C)(C)C(=O)[O-])o1 ZINC000737486682 600138304 /nfs/dbraw/zinc/13/83/04/600138304.db2.gz GBAZEWHKGWXVSI-UHFFFAOYSA-N 0 2 304.368 0.825 20 0 DCADLN CN(C)S(=O)(=O)c1ccc(C[N@@H+](C)C(C)(C)C(=O)[O-])o1 ZINC000737486682 600138306 /nfs/dbraw/zinc/13/83/06/600138306.db2.gz GBAZEWHKGWXVSI-UHFFFAOYSA-N 0 2 304.368 0.825 20 0 DCADLN CC(C)c1nc([C@@H]2CCC[N@@H+]2CCC(=O)NCC(=O)[O-])no1 ZINC000736525943 600295285 /nfs/dbraw/zinc/29/52/85/600295285.db2.gz PKHDNONINHGHLQ-JTQLQIEISA-N 0 2 310.354 0.921 20 0 DCADLN CC(C)c1nc([C@@H]2CCC[N@H+]2CCC(=O)NCC(=O)[O-])no1 ZINC000736525943 600295286 /nfs/dbraw/zinc/29/52/86/600295286.db2.gz PKHDNONINHGHLQ-JTQLQIEISA-N 0 2 310.354 0.921 20 0 DCADLN C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCc2c([nH+]cn2C)C1 ZINC000736205671 600337962 /nfs/dbraw/zinc/33/79/62/600337962.db2.gz RGMRHVKZPPJJMV-LLVKDONJSA-N 0 2 320.393 0.490 20 0 DCADLN C[N@@H+](Cc1cc[nH]n1)C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737451169 600441971 /nfs/dbraw/zinc/44/19/71/600441971.db2.gz KRGFNMVKBXRESF-ZDUSSCGKSA-N 0 2 305.334 0.980 20 0 DCADLN C[N@H+](Cc1cc[nH]n1)C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000737451169 600441974 /nfs/dbraw/zinc/44/19/74/600441974.db2.gz KRGFNMVKBXRESF-ZDUSSCGKSA-N 0 2 305.334 0.980 20 0 DCADLN COc1ccc(CN(C)C(=O)C[N@@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc1 ZINC000737996049 600506619 /nfs/dbraw/zinc/50/66/19/600506619.db2.gz XFHZGUDPOSDDGG-OCCSQVGLSA-N 0 2 322.361 0.173 20 0 DCADLN COc1ccc(CN(C)C(=O)C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc1 ZINC000737996049 600506622 /nfs/dbraw/zinc/50/66/22/600506622.db2.gz XFHZGUDPOSDDGG-OCCSQVGLSA-N 0 2 322.361 0.173 20 0 DCADLN O=C([O-])CN(CC[NH+]1CCOCC1)Cn1cc(Cl)cn1 ZINC000739789624 600670985 /nfs/dbraw/zinc/67/09/85/600670985.db2.gz FDQQPIAPVJPHML-UHFFFAOYSA-N 0 2 302.762 0.213 20 0 DCADLN Nc1nc(N)nc(C[N@H+](CCC(=O)[O-])Cc2ccccc2)n1 ZINC000833091214 600992121 /nfs/dbraw/zinc/99/21/21/600992121.db2.gz KUPVHBQYRWQGCY-UHFFFAOYSA-N 0 2 302.338 0.513 20 0 DCADLN Nc1nc(N)nc(C[N@@H+](CCC(=O)[O-])Cc2ccccc2)n1 ZINC000833091214 600992122 /nfs/dbraw/zinc/99/21/22/600992122.db2.gz KUPVHBQYRWQGCY-UHFFFAOYSA-N 0 2 302.338 0.513 20 0 DCADLN CN(CC(F)(F)F)C(=O)C[N@@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000830706104 601022228 /nfs/dbraw/zinc/02/22/28/601022228.db2.gz CCOASBXTQBJGQU-MRVPVSSYSA-N 0 2 314.329 0.899 20 0 DCADLN CN(CC(F)(F)F)C(=O)C[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000830706104 601022230 /nfs/dbraw/zinc/02/22/30/601022230.db2.gz CCOASBXTQBJGQU-MRVPVSSYSA-N 0 2 314.329 0.899 20 0 DCADLN O=C([O-])CC[N@H+](Cc1cc(=O)n2[nH]ccc2n1)C1CCOCC1 ZINC000833233412 601050453 /nfs/dbraw/zinc/05/04/53/601050453.db2.gz YIHIRLQMXAHZKW-UHFFFAOYSA-N 0 2 320.349 0.478 20 0 DCADLN O=C([O-])CC[N@@H+](Cc1cc(=O)n2[nH]ccc2n1)C1CCOCC1 ZINC000833233412 601050457 /nfs/dbraw/zinc/05/04/57/601050457.db2.gz YIHIRLQMXAHZKW-UHFFFAOYSA-N 0 2 320.349 0.478 20 0 DCADLN COc1ccccc1CCNC(=O)C[N@@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000832613814 601437187 /nfs/dbraw/zinc/43/71/87/601437187.db2.gz QWDFQENQVZWXEP-INIZCTEOSA-N 0 2 324.352 0.853 20 0 DCADLN COc1ccccc1CCNC(=O)C[N@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC000832613814 601437188 /nfs/dbraw/zinc/43/71/88/601437188.db2.gz QWDFQENQVZWXEP-INIZCTEOSA-N 0 2 324.352 0.853 20 0 DCADLN Cc1cc(=O)n2nc(C[N@@H+]3CC[C@@](F)(C(=O)[O-])C3)sc2n1 ZINC000832857901 601438793 /nfs/dbraw/zinc/43/87/93/601438793.db2.gz CZYYVAUGDQTCTK-LBPRGKRZSA-N 0 2 312.326 0.458 20 0 DCADLN Cc1cc(=O)n2nc(C[N@H+]3CC[C@@](F)(C(=O)[O-])C3)sc2n1 ZINC000832857901 601438794 /nfs/dbraw/zinc/43/87/94/601438794.db2.gz CZYYVAUGDQTCTK-LBPRGKRZSA-N 0 2 312.326 0.458 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@H+](CC(=O)N2CCO[C@@H]3CCCC[C@H]32)C1 ZINC000833107980 601446550 /nfs/dbraw/zinc/44/65/50/601446550.db2.gz ZBZKNPTXWSFDEW-LALPHHSUSA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])[C@@]1(F)CC[N@@H+](CC(=O)N2CCO[C@@H]3CCCC[C@H]32)C1 ZINC000833107980 601446551 /nfs/dbraw/zinc/44/65/51/601446551.db2.gz ZBZKNPTXWSFDEW-LALPHHSUSA-N 0 2 314.357 0.655 20 0 DCADLN O=C([O-])C[N@H+](CC(=O)NCCOc1ccc(F)cc1)C1CC1 ZINC000833247080 601463958 /nfs/dbraw/zinc/46/39/58/601463958.db2.gz AXDMRDMCOYGOJY-UHFFFAOYSA-N 0 2 310.325 0.870 20 0 DCADLN O=C([O-])C[N@@H+](CC(=O)NCCOc1ccc(F)cc1)C1CC1 ZINC000833247080 601463960 /nfs/dbraw/zinc/46/39/60/601463960.db2.gz AXDMRDMCOYGOJY-UHFFFAOYSA-N 0 2 310.325 0.870 20 0 DCADLN O=c1[nH]nc(CNc2nc3ccccc3cc2-c2nn[nH]n2)[nH]1 ZINC000826501300 607522102 /nfs/dbraw/zinc/52/21/02/607522102.db2.gz JNIGBRRQHVYWJU-UHFFFAOYSA-N 0 2 309.293 0.851 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@H+]1CCCC(=O)c1ccccc1 ZINC000833206890 601845013 /nfs/dbraw/zinc/84/50/13/601845013.db2.gz CFFDSLHIPDYZDM-ZDUSSCGKSA-N 0 2 304.346 0.925 20 0 DCADLN O=C([O-])C[C@H]1C(=O)NCC[N@@H+]1CCCC(=O)c1ccccc1 ZINC000833206890 601845015 /nfs/dbraw/zinc/84/50/15/601845015.db2.gz CFFDSLHIPDYZDM-ZDUSSCGKSA-N 0 2 304.346 0.925 20 0 DCADLN C[C@@H](C[N@H+](Cn1c2cnccc2n(C)c1=O)C1CC1)C(=O)[O-] ZINC000827454519 602190655 /nfs/dbraw/zinc/19/06/55/602190655.db2.gz BWPIYIBXLAUUEN-JTQLQIEISA-N 0 2 304.350 0.878 20 0 DCADLN C[C@@H](C[N@@H+](Cn1c2cnccc2n(C)c1=O)C1CC1)C(=O)[O-] ZINC000827454519 602190659 /nfs/dbraw/zinc/19/06/59/602190659.db2.gz BWPIYIBXLAUUEN-JTQLQIEISA-N 0 2 304.350 0.878 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CCCN(C(=O)[O-])C2)CCO1 ZINC000736806657 603297225 /nfs/dbraw/zinc/29/72/25/603297225.db2.gz DGXODCWTIVQOLH-NEPJUHHUSA-N 0 2 314.386 0.149 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N[C@H]2CCCN(C(=O)[O-])C2)CCO1 ZINC000736806657 603297228 /nfs/dbraw/zinc/29/72/28/603297228.db2.gz DGXODCWTIVQOLH-NEPJUHHUSA-N 0 2 314.386 0.149 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc3cn[nH]c32)[C@@H](CNC(=O)[O-])C1 ZINC000828514157 603504686 /nfs/dbraw/zinc/50/46/86/603504686.db2.gz VBMKOAFCSRGPSP-NSHDSACASA-N 0 2 317.349 0.587 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc3cn[nH]c32)[C@@H](CNC(=O)[O-])C1 ZINC000828514157 603504689 /nfs/dbraw/zinc/50/46/89/603504689.db2.gz VBMKOAFCSRGPSP-NSHDSACASA-N 0 2 317.349 0.587 20 0 DCADLN C[N@@H+]1CCN(C(=O)c2cccc3c[nH]nc32)[C@@H](CNC(=O)[O-])C1 ZINC000828514157 603504690 /nfs/dbraw/zinc/50/46/90/603504690.db2.gz VBMKOAFCSRGPSP-NSHDSACASA-N 0 2 317.349 0.587 20 0 DCADLN C[N@H+]1CCN(C(=O)c2cccc3c[nH]nc32)[C@@H](CNC(=O)[O-])C1 ZINC000828514157 603504692 /nfs/dbraw/zinc/50/46/92/603504692.db2.gz VBMKOAFCSRGPSP-NSHDSACASA-N 0 2 317.349 0.587 20 0 DCADLN COc1ccccc1CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)[O-] ZINC000829619453 603504765 /nfs/dbraw/zinc/50/47/65/603504765.db2.gz QKUXMDHUTLYVCF-CYBMUJFWSA-N 0 2 321.377 0.648 20 0 DCADLN COc1ccccc1CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)[O-] ZINC000829619453 603504767 /nfs/dbraw/zinc/50/47/67/603504767.db2.gz QKUXMDHUTLYVCF-CYBMUJFWSA-N 0 2 321.377 0.648 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)[C@H]2CC[C@@H](NC(=O)[O-])C2)CCO1 ZINC000825733407 603545481 /nfs/dbraw/zinc/54/54/81/603545481.db2.gz BBYOBTNYHMHSDI-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)[C@H]2CC[C@@H](NC(=O)[O-])C2)CCO1 ZINC000825733407 603545486 /nfs/dbraw/zinc/54/54/86/603545486.db2.gz BBYOBTNYHMHSDI-NWDGAFQWSA-N 0 2 313.398 0.650 20 0 DCADLN CC(=O)CCN1CCN(c2nccnc2-c2nn[nH]n2)C[C@H]1C ZINC000823967903 607639964 /nfs/dbraw/zinc/63/99/64/607639964.db2.gz SMAXAZDEUOZGQU-SNVBAGLBSA-N 0 2 316.369 0.146 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)N2CC[C@@H](N(C)C(=O)[O-])C2)CCO1 ZINC000826058421 603641321 /nfs/dbraw/zinc/64/13/21/603641321.db2.gz NVKCVIOHVVJDIF-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)N2CC[C@@H](N(C)C(=O)[O-])C2)CCO1 ZINC000826058421 603641327 /nfs/dbraw/zinc/64/13/27/603641327.db2.gz NVKCVIOHVVJDIF-VXGBXAGGSA-N 0 2 314.386 0.101 20 0 DCADLN Cc1cccn2cc(CCNC(=O)COCCNC(=O)[O-])[nH+]c12 ZINC000830590230 603971033 /nfs/dbraw/zinc/97/10/33/603971033.db2.gz BJRSITYFWJEFPY-UHFFFAOYSA-N 0 2 320.349 0.586 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@@H+]3CCC[C@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073683 604034622 /nfs/dbraw/zinc/03/46/22/604034622.db2.gz VMHYGADNXWIKFF-NWDGAFQWSA-N 0 2 321.381 0.566 20 0 DCADLN Cc1cc(N2CC[C@@H]([N@H+]3CCC[C@H](NC(=O)[O-])C3)C2=O)n(C)n1 ZINC000830073683 604034630 /nfs/dbraw/zinc/03/46/30/604034630.db2.gz VMHYGADNXWIKFF-NWDGAFQWSA-N 0 2 321.381 0.566 20 0 DCADLN O=C([O-])N[C@H](C(=O)N1CC[NH+](CCO)CC1)c1ccccc1 ZINC000832789957 604160493 /nfs/dbraw/zinc/16/04/93/604160493.db2.gz QLIKNSHGMFGVSB-ZDUSSCGKSA-N 0 2 307.350 0.132 20 0 DCADLN CN(C(=O)[O-])[C@H]1CCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000827896485 604220047 /nfs/dbraw/zinc/22/00/47/604220047.db2.gz SSGVFHXEZSNYCI-RYUDHWBXSA-N 0 2 321.381 0.626 20 0 DCADLN CN(C(=O)[O-])[C@H]1CCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000827896485 604220049 /nfs/dbraw/zinc/22/00/49/604220049.db2.gz SSGVFHXEZSNYCI-RYUDHWBXSA-N 0 2 321.381 0.626 20 0 DCADLN O=C([O-])N1CCO[C@@H](CC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC000832060726 604280536 /nfs/dbraw/zinc/28/05/36/604280536.db2.gz QBXGXQZRRSONHP-LBPRGKRZSA-N 0 2 322.365 0.885 20 0 DCADLN O=C([O-])N1CCO[C@@H](CC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC000832060726 604280537 /nfs/dbraw/zinc/28/05/37/604280537.db2.gz QBXGXQZRRSONHP-LBPRGKRZSA-N 0 2 322.365 0.885 20 0 DCADLN O=C([O-])N1CCC[C@H](C(=O)N[C@@H]2CCC[N@H+](CCF)C2)C1 ZINC000831593093 604317808 /nfs/dbraw/zinc/31/78/08/604317808.db2.gz VAQPABCENXSSGF-NWDGAFQWSA-N 0 2 301.362 0.927 20 0 DCADLN O=C([O-])N1CCC[C@H](C(=O)N[C@@H]2CCC[N@@H+](CCF)C2)C1 ZINC000831593093 604317811 /nfs/dbraw/zinc/31/78/11/604317811.db2.gz VAQPABCENXSSGF-NWDGAFQWSA-N 0 2 301.362 0.927 20 0 DCADLN C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1cncc(C(=O)[O-])c1 ZINC000827461080 604322551 /nfs/dbraw/zinc/32/25/51/604322551.db2.gz LWEAEDODPFJTLI-NSHDSACASA-N 0 2 321.381 0.537 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@@H]2CSCCS2)[C@H](CNC(=O)[O-])C1 ZINC000828493957 604394832 /nfs/dbraw/zinc/39/48/32/604394832.db2.gz FVMSQOWTOZRMJT-ZJUUUORDSA-N 0 2 319.452 0.245 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@@H]2CSCCS2)[C@H](CNC(=O)[O-])C1 ZINC000828493957 604394836 /nfs/dbraw/zinc/39/48/36/604394836.db2.gz FVMSQOWTOZRMJT-ZJUUUORDSA-N 0 2 319.452 0.245 20 0 DCADLN C[N@@H+]1CCN(C(=O)[C@H]2CC2(Cl)Cl)[C@H](CNC(=O)[O-])C1 ZINC000828494555 604406061 /nfs/dbraw/zinc/40/60/61/604406061.db2.gz KWITVYFWKWAJRF-HTQZYQBOSA-N 0 2 310.181 0.590 20 0 DCADLN C[N@H+]1CCN(C(=O)[C@H]2CC2(Cl)Cl)[C@H](CNC(=O)[O-])C1 ZINC000828494555 604406062 /nfs/dbraw/zinc/40/60/62/604406062.db2.gz KWITVYFWKWAJRF-HTQZYQBOSA-N 0 2 310.181 0.590 20 0 DCADLN CN(C(=O)C[N@H+](CC(N)=O)C(C)(C)C)c1ccccc1C(=O)[O-] ZINC000830478241 604423885 /nfs/dbraw/zinc/42/38/85/604423885.db2.gz NVMQFHBEJOYIME-UHFFFAOYSA-N 0 2 321.377 0.933 20 0 DCADLN CN(C(=O)C[N@@H+](CC(N)=O)C(C)(C)C)c1ccccc1C(=O)[O-] ZINC000830478241 604423886 /nfs/dbraw/zinc/42/38/86/604423886.db2.gz NVMQFHBEJOYIME-UHFFFAOYSA-N 0 2 321.377 0.933 20 0 DCADLN C[C@H](NC(=O)[O-])[C@H]1CN(c2[nH+]cnc3c2cnn3C)CCO1 ZINC000825371238 604451644 /nfs/dbraw/zinc/45/16/44/604451644.db2.gz DTYVFTVGOVYALV-WCBMZHEXSA-N 0 2 306.326 0.225 20 0 DCADLN CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC[C@@H]1CC(=O)[O-] ZINC000828077911 604519658 /nfs/dbraw/zinc/51/96/58/604519658.db2.gz CTNXSFXYCXADHF-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)COCC[N@H+]1CCNC(=O)N1CCC[C@@H]1CC(=O)[O-] ZINC000828077911 604519660 /nfs/dbraw/zinc/51/96/60/604519660.db2.gz CTNXSFXYCXADHF-GFCCVEGCSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)C[N@H+](CCNC(=O)N2CCC[C@H]2CC(=O)[O-])CCO1 ZINC000828074335 604529609 /nfs/dbraw/zinc/52/96/09/604529609.db2.gz GYTSWSZRJZVYTC-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CC1(C)C[N@@H+](CCNC(=O)N2CCC[C@H]2CC(=O)[O-])CCO1 ZINC000828074335 604529612 /nfs/dbraw/zinc/52/96/12/604529612.db2.gz GYTSWSZRJZVYTC-LBPRGKRZSA-N 0 2 313.398 0.746 20 0 DCADLN CNC(=O)c1ccc(C[N@@H+]2CCO[C@H]([C@H](C)NC(=O)[O-])C2)cc1 ZINC000828562509 604632415 /nfs/dbraw/zinc/63/24/15/604632415.db2.gz XHDYVVGELXVMCT-FZMZJTMJSA-N 0 2 321.377 0.903 20 0 DCADLN CNC(=O)c1ccc(C[N@H+]2CCO[C@H]([C@H](C)NC(=O)[O-])C2)cc1 ZINC000828562509 604632417 /nfs/dbraw/zinc/63/24/17/604632417.db2.gz XHDYVVGELXVMCT-FZMZJTMJSA-N 0 2 321.377 0.903 20 0 DCADLN O=C([O-])N1CCO[C@@H](C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)C1 ZINC000832050676 604831125 /nfs/dbraw/zinc/83/11/25/604831125.db2.gz QGQSOPHKRSAEOR-VXGBXAGGSA-N 0 2 308.338 0.425 20 0 DCADLN O=C([O-])N1CCO[C@H](C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)C1 ZINC000832050473 604831146 /nfs/dbraw/zinc/83/11/46/604831146.db2.gz QGQSOPHKRSAEOR-NEPJUHHUSA-N 0 2 308.338 0.425 20 0 DCADLN O=C([O-])N1CCO[C@@H](CC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)C1 ZINC000832060554 604831262 /nfs/dbraw/zinc/83/12/62/604831262.db2.gz NFZLOAJLKHGMNX-STQMWFEESA-N 0 2 322.365 0.816 20 0 DCADLN C[C@@H](OC[C@@H]1CCCO1)C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC000833534943 604900464 /nfs/dbraw/zinc/90/04/64/604900464.db2.gz ASHXVUXKQNSYKR-USWWRNFRSA-N 0 2 311.338 0.106 20 0 DCADLN C[C@@H](OC[C@@H]1CCCO1)C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC000833534943 604900469 /nfs/dbraw/zinc/90/04/69/604900469.db2.gz ASHXVUXKQNSYKR-USWWRNFRSA-N 0 2 311.338 0.106 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC000833767713 604901484 /nfs/dbraw/zinc/90/14/84/604901484.db2.gz NTJSSFYDGBPMEF-WCQYABFASA-N 0 2 319.292 0.664 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC000833767713 604901488 /nfs/dbraw/zinc/90/14/88/604901488.db2.gz NTJSSFYDGBPMEF-WCQYABFASA-N 0 2 319.292 0.664 20 0 DCADLN O=C([O-])N(CC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)CC1CC1 ZINC000831821467 605291541 /nfs/dbraw/zinc/29/15/41/605291541.db2.gz VASYJQWGKONCBJ-CYBMUJFWSA-N 0 2 311.382 0.310 20 0 DCADLN O=C([O-])N[C@@H]1CC[C@@H](C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000832254766 605291564 /nfs/dbraw/zinc/29/15/64/605291564.db2.gz MZOCERWWAUMPBO-JHJVBQTASA-N 0 2 311.382 0.356 20 0 DCADLN O=C([O-])N[C@@H](CC(F)F)C(=O)NCCNc1cccc[nH+]1 ZINC000834132270 605309199 /nfs/dbraw/zinc/30/91/99/605309199.db2.gz YESYITCHKQTPQD-QMMMGPOBSA-N 0 2 302.281 0.901 20 0 DCADLN CC(C)(C)OC(=O)CCC[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000833432783 605369162 /nfs/dbraw/zinc/36/91/62/605369162.db2.gz AVCIKWXWQPYCOM-SNVBAGLBSA-N 0 2 300.355 0.383 20 0 DCADLN CC(C)(C)OC(=O)CCC[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000833432783 605369171 /nfs/dbraw/zinc/36/91/71/605369171.db2.gz AVCIKWXWQPYCOM-SNVBAGLBSA-N 0 2 300.355 0.383 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000825815329 605524617 /nfs/dbraw/zinc/52/46/17/605524617.db2.gz BVWUCHLUVQZBLD-IJLUTSLNSA-N 0 2 314.386 0.147 20 0 DCADLN C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000825815329 605524620 /nfs/dbraw/zinc/52/46/20/605524620.db2.gz BVWUCHLUVQZBLD-IJLUTSLNSA-N 0 2 314.386 0.147 20 0 DCADLN Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)CN(C)C(=O)[O-])C2)o1 ZINC000830623966 605530538 /nfs/dbraw/zinc/53/05/38/605530538.db2.gz DPRULPLMJYCGPM-NSHDSACASA-N 0 2 310.354 0.626 20 0 DCADLN Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)CN(C)C(=O)[O-])C2)o1 ZINC000830623966 605530540 /nfs/dbraw/zinc/53/05/40/605530540.db2.gz DPRULPLMJYCGPM-NSHDSACASA-N 0 2 310.354 0.626 20 0 DCADLN O=C(C[C@H]1CSCCN1C(=O)[O-])NCCCn1cc[nH+]c1 ZINC000831409291 605593738 /nfs/dbraw/zinc/59/37/38/605593738.db2.gz SJINTDOAVYSYKX-NSHDSACASA-N 0 2 312.395 0.875 20 0 DCADLN O=C([O-])N1CCC[C@@H](Nc2cnn(CC[NH+]3CCOCC3)c2)C1 ZINC000834101818 605658415 /nfs/dbraw/zinc/65/84/15/605658415.db2.gz AWQOPMWENRJGKX-CYBMUJFWSA-N 0 2 323.397 0.770 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000736416775 605685400 /nfs/dbraw/zinc/68/54/00/605685400.db2.gz QXFLFLBYTDZALS-RYUDHWBXSA-N 0 2 301.387 0.506 20 0 DCADLN CC(C)C[C@H](NC(=O)[O-])C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000736416775 605685402 /nfs/dbraw/zinc/68/54/02/605685402.db2.gz QXFLFLBYTDZALS-RYUDHWBXSA-N 0 2 301.387 0.506 20 0 DCADLN Cc1ocnc1CNC(=O)NCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000833936677 605706280 /nfs/dbraw/zinc/70/62/80/605706280.db2.gz OQOPPGWVQRWTKS-UHFFFAOYSA-N 0 2 311.342 0.078 20 0 DCADLN O=C([O-])N1CC(CNC(=O)NCCCNc2cccc[nH+]2)C1 ZINC000834038923 605735425 /nfs/dbraw/zinc/73/54/25/605735425.db2.gz OGEJENQPUMWIOU-UHFFFAOYSA-N 0 2 307.354 0.793 20 0 DCADLN CCCNC(=O)NC(=O)C[N@@H+]1CCN(C(=O)[O-])C[C@@H]1CCC ZINC000833855866 605936129 /nfs/dbraw/zinc/93/61/29/605936129.db2.gz PNVHNZATWOFBRJ-NSHDSACASA-N 0 2 314.386 0.687 20 0 DCADLN CCCNC(=O)NC(=O)C[N@H+]1CCN(C(=O)[O-])C[C@@H]1CCC ZINC000833855866 605936131 /nfs/dbraw/zinc/93/61/31/605936131.db2.gz PNVHNZATWOFBRJ-NSHDSACASA-N 0 2 314.386 0.687 20 0 DCADLN O=C([O-])NC1CC[NH+](CC(=O)Nc2ccnc(CO)c2)CC1 ZINC000834189547 605972614 /nfs/dbraw/zinc/97/26/14/605972614.db2.gz MZQPUWWOJIRARG-UHFFFAOYSA-N 0 2 308.338 0.244 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@@H+]2CCC[C@@H](n3ccnc3)C2)C1 ZINC000834157697 605995875 /nfs/dbraw/zinc/99/58/75/605995875.db2.gz LKZUWHLITOTSAZ-VXGBXAGGSA-N 0 2 307.354 0.346 20 0 DCADLN O=C([O-])N[C@@H]1CC(=O)N(C[N@H+]2CCC[C@@H](n3ccnc3)C2)C1 ZINC000834157697 605995876 /nfs/dbraw/zinc/99/58/76/605995876.db2.gz LKZUWHLITOTSAZ-VXGBXAGGSA-N 0 2 307.354 0.346 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCN(C(=O)c2cnc(NC(=O)[O-])cn2)C1 ZINC000833958045 606006562 /nfs/dbraw/zinc/00/65/62/606006562.db2.gz UUJRUDIJVCUPEI-SECBINFHSA-N 0 2 316.321 0.930 20 0 DCADLN O=C([O-])N[C@@H](CC(F)F)C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000834133177 606070571 /nfs/dbraw/zinc/07/05/71/606070571.db2.gz OVTAOPJTVZVUKB-ZJUUUORDSA-N 0 2 321.324 0.211 20 0 DCADLN CC[C@H](C)C(=O)Cn1cc(C(=O)OC)cc(-c2nn[nH]n2)c1=O ZINC000825049723 608022848 /nfs/dbraw/zinc/02/28/48/608022848.db2.gz DLDVXTZFKLESNP-QMMMGPOBSA-N 0 2 319.321 0.430 20 0 DCADLN Cc1nc(NS(=O)(=O)c2cc(-c3nn[nH]n3)ccc2C)no1 ZINC000826327509 609338201 /nfs/dbraw/zinc/33/82/01/609338201.db2.gz KFTKOLNSJDZWQI-UHFFFAOYSA-N 0 2 321.322 0.667 20 0 DCADLN CON(C)C(=O)c1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000067043254 661345380 /nfs/dbraw/zinc/34/53/80/661345380.db2.gz MRHJRWUCDXOTMF-UHFFFAOYSA-N 0 2 310.335 0.844 20 0 DCADLN CN(C(=O)c1cnon1)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC000938646272 665406002 /nfs/dbraw/zinc/40/60/02/665406002.db2.gz BRAYJSNSVGYGRM-HTRCEHHLSA-N 0 2 324.234 0.643 20 0 DCADLN CN(C(=O)c1cnon1)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000938646272 665406003 /nfs/dbraw/zinc/40/60/03/665406003.db2.gz BRAYJSNSVGYGRM-HTRCEHHLSA-N 0 2 324.234 0.643 20 0 DCADLN CCc1cc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001027764457 660704945 /nfs/dbraw/zinc/70/49/45/660704945.db2.gz ZMVCMTIHGJFHFH-JTQLQIEISA-N 0 2 319.369 0.190 20 0 DCADLN CCc1cc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001027764457 660704949 /nfs/dbraw/zinc/70/49/49/660704949.db2.gz ZMVCMTIHGJFHFH-JTQLQIEISA-N 0 2 319.369 0.190 20 0 DCADLN Cc1ccncc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027805537 660757858 /nfs/dbraw/zinc/75/78/58/660757858.db2.gz VBKNDCQYVJWFRV-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN Cc1ccncc1C(=O)NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1 ZINC001027805537 660757859 /nfs/dbraw/zinc/75/78/59/660757859.db2.gz VBKNDCQYVJWFRV-LLVKDONJSA-N 0 2 316.365 0.608 20 0 DCADLN O=C(NC[C@H]1CCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC1(F)F ZINC001027869991 660861639 /nfs/dbraw/zinc/86/16/39/660861639.db2.gz QMJVSVIRXUTFJE-HTQZYQBOSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NC[C@H]1CCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@H]1CC1(F)F ZINC001027869991 660861640 /nfs/dbraw/zinc/86/16/40/660861640.db2.gz QMJVSVIRXUTFJE-HTQZYQBOSA-N 0 2 301.297 0.246 20 0 DCADLN O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CC1(F)F ZINC001027869991 660861641 /nfs/dbraw/zinc/86/16/41/660861641.db2.gz QMJVSVIRXUTFJE-HTQZYQBOSA-N 0 2 301.297 0.246 20 0 DCADLN Cc1ccc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)nn1 ZINC001027881392 660873619 /nfs/dbraw/zinc/87/36/19/660873619.db2.gz ZVPCYVRUNOQODA-SNVBAGLBSA-N 0 2 317.353 0.003 20 0 DCADLN Cc1ncc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)s1 ZINC000980814314 661018091 /nfs/dbraw/zinc/01/80/91/661018091.db2.gz KBRGRNKZMBFDAZ-UHFFFAOYSA-N 0 2 322.394 0.623 20 0 DCADLN CCn1cc(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000980862336 661032111 /nfs/dbraw/zinc/03/21/11/661032111.db2.gz JLZFARGAFQKMRF-UHFFFAOYSA-N 0 2 319.369 0.075 20 0 DCADLN C=CCNC(=O)NNC(=O)c1csc(N2CCOCC2)n1 ZINC000054029674 661100836 /nfs/dbraw/zinc/10/08/36/661100836.db2.gz RVOKHOYQMVVTRY-UHFFFAOYSA-N 0 2 311.367 0.110 20 0 DCADLN CNC(=O)[C@@H](C)N1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC000981210826 661104208 /nfs/dbraw/zinc/10/42/08/661104208.db2.gz VRANMLUOHDBGDP-RKDXNWHRSA-N 0 2 313.295 0.556 20 0 DCADLN C[C@]1(C(=O)N2CCCN(Cc3n[nH]c(=O)[nH]3)CC2)C[C@H]2C[C@H]2C1 ZINC000981679236 661195727 /nfs/dbraw/zinc/19/57/27/661195727.db2.gz NOXXESLVNQFNSO-ATCWAGBWSA-N 0 2 319.409 0.981 20 0 DCADLN C[C@@H](O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC000981932780 661247471 /nfs/dbraw/zinc/24/74/71/661247471.db2.gz CBBNZUHPYMPYRH-CHWSQXEVSA-N 0 2 306.410 0.745 20 0 DCADLN C[C@@H]1CC[N@@H+](CC(=O)N2CCC[NH+](Cc3ccnn3C)CC2)C1 ZINC000981955675 661254106 /nfs/dbraw/zinc/25/41/06/661254106.db2.gz SSCULWNVRIZGLW-OAHLLOKOSA-N 0 2 319.453 0.796 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1ncccn1 ZINC000998270490 665427215 /nfs/dbraw/zinc/42/72/15/665427215.db2.gz HXRACYJLQYAYHQ-ZETCQYMHSA-N 0 2 306.219 0.318 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1ncccn1 ZINC000998270490 665427216 /nfs/dbraw/zinc/42/72/16/665427216.db2.gz HXRACYJLQYAYHQ-ZETCQYMHSA-N 0 2 306.219 0.318 20 0 DCADLN CC[S@@](C)(=O)=NS(=O)(=O)NC[C@](C)(O)c1ccccc1 ZINC000866843523 657474875 /nfs/dbraw/zinc/47/48/75/657474875.db2.gz KVIYOTZDCZDTMP-HXPMCKFVSA-N 0 2 320.436 0.846 20 0 DCADLN C[C@@H](NC(=O)c1ccc(F)cc1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969213046 657580676 /nfs/dbraw/zinc/58/06/76/657580676.db2.gz MZRRZVYRIYIULR-SECBINFHSA-N 0 2 319.340 0.900 20 0 DCADLN Cc1nocc1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000998750221 665519614 /nfs/dbraw/zinc/51/96/14/665519614.db2.gz VFWAVRQFQVXXPM-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1nocc1C(=O)NC1CN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC000998750221 665519616 /nfs/dbraw/zinc/51/96/16/665519616.db2.gz VFWAVRQFQVXXPM-MRVPVSSYSA-N 0 2 309.219 0.824 20 0 DCADLN Cc1cncc(C(=O)N[C@H](C)C2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC000969441700 657882418 /nfs/dbraw/zinc/88/24/18/657882418.db2.gz MDPJLPHTXLNZNY-SNVBAGLBSA-N 0 2 316.365 0.464 20 0 DCADLN CCn1cc(C(=O)NC2CN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000998786725 665528153 /nfs/dbraw/zinc/52/81/53/665528153.db2.gz HKCJNMUGGGYDRR-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN CCn1cc(C(=O)NC2CN(C(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC000998786725 665528155 /nfs/dbraw/zinc/52/81/55/665528155.db2.gz HKCJNMUGGGYDRR-QMMMGPOBSA-N 0 2 323.250 0.139 20 0 DCADLN C[C@H](NC(=O)c1cncc(F)c1)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000969486410 657925646 /nfs/dbraw/zinc/92/56/46/657925646.db2.gz HEEHKTDMURWTQH-QMMMGPOBSA-N 0 2 320.328 0.295 20 0 DCADLN CC1(C)C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CCOCC1 ZINC000972741332 658374652 /nfs/dbraw/zinc/37/46/52/658374652.db2.gz IRSOYGABNIYYDJ-NSHDSACASA-N 0 2 323.397 0.264 20 0 DCADLN CC1(C)C[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1CCOCC1 ZINC000972741332 658374660 /nfs/dbraw/zinc/37/46/60/658374660.db2.gz IRSOYGABNIYYDJ-NSHDSACASA-N 0 2 323.397 0.264 20 0 DCADLN Cc1nc(CN2CC([C@H](C)NC(=O)c3cnn[nH]3)C2)cs1 ZINC000970035353 658382986 /nfs/dbraw/zinc/38/29/86/658382986.db2.gz NJXIJLPIWXYIRV-QMMMGPOBSA-N 0 2 306.395 0.820 20 0 DCADLN C/C=C(/C)C(=O)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[nH]1)CCO2 ZINC000972326855 658570634 /nfs/dbraw/zinc/57/06/34/658570634.db2.gz JJRCRNFRQFRSQB-JQVZVZTNSA-N 0 2 321.381 0.280 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOC1 ZINC001024356498 658587654 /nfs/dbraw/zinc/58/76/54/658587654.db2.gz LAVZSFWXSUSDBN-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCOC1 ZINC001024356498 658587656 /nfs/dbraw/zinc/58/76/56/658587656.db2.gz LAVZSFWXSUSDBN-NEPJUHHUSA-N 0 2 323.397 0.408 20 0 DCADLN O=C(NC[C@H]1CCCC[N@@H+]1Cc1n[nH]c(=O)[n-]1)C1CC=CC1 ZINC001024370305 658592570 /nfs/dbraw/zinc/59/25/70/658592570.db2.gz ANVRYSQFACIOHB-GFCCVEGCSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(NC[C@H]1CCCC[N@H+]1Cc1n[nH]c(=O)[n-]1)C1CC=CC1 ZINC001024370305 658592577 /nfs/dbraw/zinc/59/25/77/658592577.db2.gz ANVRYSQFACIOHB-GFCCVEGCSA-N 0 2 305.382 0.947 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000939559077 665602440 /nfs/dbraw/zinc/60/24/40/665602440.db2.gz WXHBETDLBVUDSC-SFYZADRCSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1)c1cn[nH]c1 ZINC000939559077 665602443 /nfs/dbraw/zinc/60/24/43/665602443.db2.gz WXHBETDLBVUDSC-SFYZADRCSA-N 0 2 308.235 0.641 20 0 DCADLN Cc1[nH]ncc1CNC(=O)c1cc(S(N)(=O)=O)ccc1F ZINC000194625720 659511469 /nfs/dbraw/zinc/51/14/69/659511469.db2.gz PRCOAOXKGIGDLS-UHFFFAOYSA-N 0 2 312.326 0.435 20 0 DCADLN CCC[NH+]1CCC[C@@H]1C(=O)N[C@@H]1C[N@@H+](CC(N)=O)CC1(C)C ZINC000974662043 659606841 /nfs/dbraw/zinc/60/68/41/659606841.db2.gz ZQGGUJOCOOIJPR-CHWSQXEVSA-N 0 2 310.442 0.173 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1cc[nH]n1 ZINC000977405267 659681076 /nfs/dbraw/zinc/68/10/76/659681076.db2.gz SVUZNPNCHRNZPU-IONNQARKSA-N 0 2 322.262 0.983 20 0 DCADLN CN(C[C@@H]1CCN1C(=O)C(F)C(F)(F)F)C(=O)c1ccn[nH]1 ZINC000977405267 659681079 /nfs/dbraw/zinc/68/10/79/659681079.db2.gz SVUZNPNCHRNZPU-IONNQARKSA-N 0 2 322.262 0.983 20 0 DCADLN COc1ccc(C(=O)NC[C@@H]2CCCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001028222084 661309658 /nfs/dbraw/zinc/30/96/58/661309658.db2.gz TZDDKXJQZHWWSE-VIFPVBQESA-N 0 2 321.337 0.506 20 0 DCADLN COc1ccc(C(=O)NC[C@H]2CCCN2Cc2n[nH]c(=O)[nH]2)o1 ZINC001028222083 661309754 /nfs/dbraw/zinc/30/97/54/661309754.db2.gz TZDDKXJQZHWWSE-SECBINFHSA-N 0 2 321.337 0.506 20 0 DCADLN CN(C(=O)Cn1cc[nH+]c1)[C@H]1CCN(C(=O)[C@H]2CCC[N@@H+]2C)C1 ZINC000936905583 661409092 /nfs/dbraw/zinc/40/90/92/661409092.db2.gz MEKXLOKOYQPKSQ-UONOGXRCSA-N 0 2 319.409 0.037 20 0 DCADLN Cc1noc(C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[nH]2)c1C ZINC001038393025 661460602 /nfs/dbraw/zinc/46/06/02/661460602.db2.gz ZOXGKGILKAYPJK-SECBINFHSA-N 0 2 306.326 0.119 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)[C@H]1CCC1(F)F ZINC001038399934 661715656 /nfs/dbraw/zinc/71/56/56/661715656.db2.gz VYVHDRQZJDMOQG-HTQZYQBOSA-N 0 2 301.297 0.246 20 0 DCADLN CN(CCC(=O)OC(C)(C)C)C(=O)C[C@@H]1SC(=N)NC1=O ZINC000758847037 668197789 /nfs/dbraw/zinc/19/77/89/668197789.db2.gz CDKASALTDNJDSM-QMMMGPOBSA-N 0 2 315.395 0.733 20 0 DCADLN Cc1cncc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001006987049 661998983 /nfs/dbraw/zinc/99/89/83/661998983.db2.gz BMNGOMMCAZNJGP-GFCCVEGCSA-N 0 2 316.365 0.608 20 0 DCADLN CC[C@@](C)(CC(=O)OC)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000748599816 662016368 /nfs/dbraw/zinc/01/63/68/662016368.db2.gz WYWRJMBTPUHGIJ-KRTXAFLBSA-N 0 2 301.368 0.391 20 0 DCADLN O=C([C@H]1CC[C@H](F)C1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029443214 662194364 /nfs/dbraw/zinc/19/43/64/662194364.db2.gz GYCVQFMTDHYYJD-FIQHERPVSA-N 0 2 323.372 0.824 20 0 DCADLN O=C([C@@H]1CC[C@H](F)C1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001029443216 662194395 /nfs/dbraw/zinc/19/43/95/662194395.db2.gz GYCVQFMTDHYYJD-KXNHARMFSA-N 0 2 323.372 0.824 20 0 DCADLN CC[C@@H](C[NH+]1CCOCC1)N=c1nn[n-]n1Cc1ccccc1 ZINC000895801180 662218063 /nfs/dbraw/zinc/21/80/63/662218063.db2.gz MCHRWHCYYVVMDW-HNNXBMFYSA-N 0 2 316.409 0.666 20 0 DCADLN CCn1ccc(C[NH2+]C[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC000984962017 662342782 /nfs/dbraw/zinc/34/27/82/662342782.db2.gz ZENAYDXBKHSGPM-MQMHXKEQSA-N 0 2 316.409 0.853 20 0 DCADLN CCO[C@H]1CC[N@H+](Cc2cnc(N3CC[NH+](C)CC3)nc2)C1 ZINC000897069664 662388554 /nfs/dbraw/zinc/38/85/54/662388554.db2.gz YAFQALPUBYWHGM-HNNXBMFYSA-N 0 2 305.426 0.839 20 0 DCADLN CC[C@H](C(=O)N1CC[C@H](C[N@@H+](C)Cc2ncnn2C)C1)[NH+](C)C ZINC001029766595 662467441 /nfs/dbraw/zinc/46/74/41/662467441.db2.gz DRFKLBVUINLPNJ-ZIAGYGMSSA-N 0 2 322.457 0.436 20 0 DCADLN CC[C@H](C(=O)NCCOCC(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000909636634 662488983 /nfs/dbraw/zinc/48/89/83/662488983.db2.gz JATAAIIAULUZFI-VXGBXAGGSA-N 0 2 302.371 0.093 20 0 DCADLN CC[C@H](C(=O)NCCOCC(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000909636634 662488984 /nfs/dbraw/zinc/48/89/84/662488984.db2.gz JATAAIIAULUZFI-VXGBXAGGSA-N 0 2 302.371 0.093 20 0 DCADLN CC[C@@H](C(=O)NCCOCC(=O)[O-])[N@@H+]1CCO[C@H](CC)C1 ZINC000909636631 662489018 /nfs/dbraw/zinc/48/90/18/662489018.db2.gz JATAAIIAULUZFI-NEPJUHHUSA-N 0 2 302.371 0.093 20 0 DCADLN CC[C@@H](C(=O)NCCOCC(=O)[O-])[N@H+]1CCO[C@H](CC)C1 ZINC000909636631 662489019 /nfs/dbraw/zinc/48/90/19/662489019.db2.gz JATAAIIAULUZFI-NEPJUHHUSA-N 0 2 302.371 0.093 20 0 DCADLN CC[C@@H](C(=O)N1CCC([N@@H+](C)Cc2nncn2C)CC1)[NH+](C)C ZINC000985655905 662497278 /nfs/dbraw/zinc/49/72/78/662497278.db2.gz JTHWQLQLXKXSHW-AWEZNQCLSA-N 0 2 322.457 0.578 20 0 DCADLN Cc1conc1C[NH2+][C@@H]1CCN(C(=O)CCc2nc[nH]n2)[C@H]1C ZINC000986129086 662559365 /nfs/dbraw/zinc/55/93/65/662559365.db2.gz XBTYTJYZGURGTH-NWDGAFQWSA-N 0 2 318.381 0.813 20 0 DCADLN O=C(NNC(=O)c1cc(-c2cccs2)on1)C(=O)NC1CC1 ZINC000054454747 662767561 /nfs/dbraw/zinc/76/75/61/662767561.db2.gz MYOUJHLYQGSOQS-UHFFFAOYSA-N 0 2 320.330 0.443 20 0 DCADLN CCn1ccnc1C[NH2+][C@@H]1C[C@@H](C)N(C(=O)Cc2ccn[nH]2)C1 ZINC000988437434 662774638 /nfs/dbraw/zinc/77/46/38/662774638.db2.gz LXGVQRFMIXEZIG-TZMCWYRMSA-N 0 2 316.409 0.948 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000937915384 662842930 /nfs/dbraw/zinc/84/29/30/662842930.db2.gz WGQIXAVOYLVPSP-NKWVEPMBSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(N[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1)c1ncc[nH]1 ZINC000937915384 662842931 /nfs/dbraw/zinc/84/29/31/662842931.db2.gz WGQIXAVOYLVPSP-NKWVEPMBSA-N 0 2 308.235 0.641 20 0 DCADLN CO[C@@]1(C(=O)N2CCC(c3n[nH]c(=O)[nH]3)CC2)CCSC1 ZINC000897621154 662916413 /nfs/dbraw/zinc/91/64/13/662916413.db2.gz UFLNMDNEIDSYPK-ZDUSSCGKSA-N 0 2 312.395 0.738 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)C1CC(F)(F)C1 ZINC001007059449 662929371 /nfs/dbraw/zinc/92/93/71/662929371.db2.gz SQCWEOQVNVZHIL-VIFPVBQESA-N 0 2 315.324 0.636 20 0 DCADLN O=C(C[C@@H]1CCCOC1)N1CCCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000989682284 662971365 /nfs/dbraw/zinc/97/13/65/662971365.db2.gz JSNFIGVWFDQSIW-LBPRGKRZSA-N 0 2 323.397 0.361 20 0 DCADLN O=C(NCCN1CCNC1=O)c1cc(F)cc(Cl)c1O ZINC000899471953 663146642 /nfs/dbraw/zinc/14/66/42/663146642.db2.gz GEHCCOZHRVNLRA-UHFFFAOYSA-N 0 2 301.705 0.940 20 0 DCADLN O=C([O-])[C@H]1COCCN1c1cc(N2CCSCC2)[nH+]cn1 ZINC000900946513 663294293 /nfs/dbraw/zinc/29/42/93/663294293.db2.gz WGDDCSXHTUCASP-SNVBAGLBSA-N 0 2 310.379 0.320 20 0 DCADLN O=C([O-])[C@H]1COCCN1c1cc(N2CCSCC2)nc[nH+]1 ZINC000900946513 663294294 /nfs/dbraw/zinc/29/42/94/663294294.db2.gz WGDDCSXHTUCASP-SNVBAGLBSA-N 0 2 310.379 0.320 20 0 DCADLN O=C([O-])[C@@H]1COCCN1c1cc(N2CCSCC2)[nH+]cn1 ZINC000900946511 663294404 /nfs/dbraw/zinc/29/44/04/663294404.db2.gz WGDDCSXHTUCASP-JTQLQIEISA-N 0 2 310.379 0.320 20 0 DCADLN O=C([O-])[C@@H]1COCCN1c1cc(N2CCSCC2)nc[nH+]1 ZINC000900946511 663294405 /nfs/dbraw/zinc/29/44/05/663294405.db2.gz WGDDCSXHTUCASP-JTQLQIEISA-N 0 2 310.379 0.320 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CCC[C@H]3C(=O)[O-])[nH+]cn2)C1 ZINC000900941793 663296082 /nfs/dbraw/zinc/29/60/82/663296082.db2.gz VMXADHNHOKYFAC-WOPDTQHZSA-N 0 2 306.366 0.737 20 0 DCADLN C[C@@H](O)[C@H]1CCN(c2cc(N3CCC[C@H]3C(=O)[O-])nc[nH+]2)C1 ZINC000900941793 663296083 /nfs/dbraw/zinc/29/60/83/663296083.db2.gz VMXADHNHOKYFAC-WOPDTQHZSA-N 0 2 306.366 0.737 20 0 DCADLN COCC1(S(=O)(=O)Nc2cc(C(=O)OC)n(C)n2)CC1 ZINC000901686641 663362371 /nfs/dbraw/zinc/36/23/71/663362371.db2.gz HENZWNNUFGXGTJ-UHFFFAOYSA-N 0 2 303.340 0.127 20 0 DCADLN Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H](Cc1ccncc1)C(=O)[O-] ZINC000902035401 663379672 /nfs/dbraw/zinc/37/96/72/663379672.db2.gz OKUKFZFPXMRJJH-JHJVBQTASA-N 0 2 314.345 0.731 20 0 DCADLN CCn1ncc(C[NH2+]Cc2c(C)nn(CCC(=O)[O-])c2C)n1 ZINC000902458378 663415893 /nfs/dbraw/zinc/41/58/93/663415893.db2.gz JNCYJJCQRWVWBN-UHFFFAOYSA-N 0 2 306.370 0.876 20 0 DCADLN COc1ccccc1N1CC[C@@H](ON=C(N)CN(C)C)C1=O ZINC000902613045 663422229 /nfs/dbraw/zinc/42/22/29/663422229.db2.gz PMVLEXXVCKNDGM-CYBMUJFWSA-N 0 2 306.366 0.861 20 0 DCADLN C[C@@H]1CO[C@@H](C(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000972771 665968805 /nfs/dbraw/zinc/96/88/05/665968805.db2.gz ZPGIIRMKQSXBOH-CMPLNLGQSA-N 0 2 321.381 0.184 20 0 DCADLN C[C@@H]1CO[C@@H](C(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001000972771 665968807 /nfs/dbraw/zinc/96/88/07/665968807.db2.gz ZPGIIRMKQSXBOH-CMPLNLGQSA-N 0 2 321.381 0.184 20 0 DCADLN CN(CC1C[NH+](C)C1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000907040443 663662989 /nfs/dbraw/zinc/66/29/89/663662989.db2.gz NPVIWOZGXQXOFH-UHFFFAOYSA-N 0 2 314.363 0.273 20 0 DCADLN C[C@@H]1Cn2nc(C(=O)[O-])cc2CN1C(=O)CCc1c[nH+]cn1C ZINC000908438178 663755516 /nfs/dbraw/zinc/75/55/16/663755516.db2.gz HLWPPPYYTHZWBB-SNVBAGLBSA-N 0 2 317.349 0.678 20 0 DCADLN CO[C@H]1CCN(C(=O)NCCCn2cc[nH+]c2)[C@H](C(=O)[O-])C1 ZINC000908738508 663767629 /nfs/dbraw/zinc/76/76/29/663767629.db2.gz AOAAOWOTWAPSRX-RYUDHWBXSA-N 0 2 310.354 0.547 20 0 DCADLN COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC000909713239 663796319 /nfs/dbraw/zinc/79/63/19/663796319.db2.gz UDUUTBCPMNQVQQ-XHBSWPGZSA-N 0 2 321.377 0.863 20 0 DCADLN COC1(C[C@@H](NC(=O)CCc2c[nH+]cn2C)C(=O)[O-])CCC1 ZINC000909893047 663817417 /nfs/dbraw/zinc/81/74/17/663817417.db2.gz FRKWIZQALUGGGP-GFCCVEGCSA-N 0 2 309.366 0.881 20 0 DCADLN C/C(=C\C(=O)Nc1ccc(C(=O)[O-])nc1)C[NH+]1CCOCC1 ZINC000910337364 663872338 /nfs/dbraw/zinc/87/23/38/663872338.db2.gz BTGBBPXONAGZNC-DHZHZOJOSA-N 0 2 305.334 0.997 20 0 DCADLN CC(C)(CO)C(=O)N1CCN(c2ccc(C(=O)[O-])c[nH+]2)CC1 ZINC000911173033 664001033 /nfs/dbraw/zinc/00/10/33/664001033.db2.gz XYSMZVCTAFIWSJ-UHFFFAOYSA-N 0 2 307.350 0.447 20 0 DCADLN O=C([O-])c1ccc(N2CCN(C(=O)CC3(O)CCC3)CC2)[nH+]c1 ZINC000911171476 664001157 /nfs/dbraw/zinc/00/11/57/664001157.db2.gz HJDMEFJVXBXEDV-UHFFFAOYSA-N 0 2 319.361 0.734 20 0 DCADLN Cc1nc(N2CCN(C(=O)C34CC(C(=O)[O-])(C3)C4)CC2)cc[nH+]1 ZINC000911458232 664060953 /nfs/dbraw/zinc/06/09/53/664060953.db2.gz KQVVTOMWUREYFG-UHFFFAOYSA-N 0 2 316.361 0.689 20 0 DCADLN O=C([O-])C1(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)CC1 ZINC000911538061 664080838 /nfs/dbraw/zinc/08/08/38/664080838.db2.gz MKDFPYQWHPEUOT-UHFFFAOYSA-N 0 2 304.346 0.901 20 0 DCADLN CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccnc(C(=O)[O-])c1 ZINC000911565687 664083062 /nfs/dbraw/zinc/08/30/62/664083062.db2.gz LDPSZVLITAXRLV-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccnc(C(=O)[O-])c1 ZINC000911565687 664083063 /nfs/dbraw/zinc/08/30/63/664083063.db2.gz LDPSZVLITAXRLV-UHFFFAOYSA-N 0 2 321.377 0.963 20 0 DCADLN C[C@@H]1C(=O)N([N-]C(=O)c2ccc[nH+]c2N2CCCC2)C(=O)N1C ZINC000912823756 664233299 /nfs/dbraw/zinc/23/32/99/664233299.db2.gz IRRYHUGKVGOTPE-SNVBAGLBSA-N 0 2 317.349 0.609 20 0 DCADLN CN1CCO[C@H](C(=O)Nc2nccc(Br)c2O)C1 ZINC000913026669 664256013 /nfs/dbraw/zinc/25/60/13/664256013.db2.gz YYNUIEYBOAGCBY-QMMMGPOBSA-N 0 2 316.155 0.819 20 0 DCADLN C[N@H+]1CCO[C@@H](C(=O)N2CCC3(C2)CC[NH+](CCF)CC3)C1 ZINC001040652819 664535442 /nfs/dbraw/zinc/53/54/42/664535442.db2.gz PSMPTMNVIXONNE-CQSZACIVSA-N 0 2 313.417 0.601 20 0 DCADLN CC[C@H]1OCCC[C@@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001030422382 664539452 /nfs/dbraw/zinc/53/94/52/664539452.db2.gz CSAKQOQOHKVJTA-WDEREUQCSA-N 0 2 309.370 0.016 20 0 DCADLN CC1(C)CC[C@@H](CC(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)OC1 ZINC001030695792 664638521 /nfs/dbraw/zinc/63/85/21/664638521.db2.gz GOZQPUYYUZAMGW-NSHDSACASA-N 0 2 323.397 0.406 20 0 DCADLN O=C(NC1CN(Cc2n[nH]c(=O)[nH]2)C1)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001030720275 664647487 /nfs/dbraw/zinc/64/74/87/664647487.db2.gz RKTCCAAZOPLUMH-JGPRNRPPSA-N 0 2 305.382 0.637 20 0 DCADLN CC1(C)CC[N@@H+](CC(=O)N[C@@]23CCC[C@@H]2[NH+](CC(N)=O)CC3)C1 ZINC000992171262 664674261 /nfs/dbraw/zinc/67/42/61/664674261.db2.gz WTBLBAVDEXDDOM-SUMWQHHRSA-N 0 2 322.453 0.317 20 0 DCADLN Cc1c(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)ccn1C(C)C ZINC001030825386 664679212 /nfs/dbraw/zinc/67/92/12/664679212.db2.gz HATMMHSYYNPVKR-UHFFFAOYSA-N 0 2 318.381 0.815 20 0 DCADLN CCOc1cccc(C(=O)NC2CN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001031015310 664756668 /nfs/dbraw/zinc/75/66/68/664756668.db2.gz SJMSBSAMMHQMSU-UHFFFAOYSA-N 0 2 317.349 0.523 20 0 DCADLN O=S(=O)(C[C@@]12CC[N@H+](C1)CCC2)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000914397892 664776598 /nfs/dbraw/zinc/77/65/98/664776598.db2.gz PEQCQOZIPVJGMM-HIFRSBDPSA-N 0 2 324.450 0.603 20 0 DCADLN COc1cccc(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)c1 ZINC000730517397 664784261 /nfs/dbraw/zinc/78/42/61/664784261.db2.gz WFCYKYDXBXGQRZ-UHFFFAOYSA-N 0 2 313.317 0.396 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2ccccn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992943992 664785713 /nfs/dbraw/zinc/78/57/13/664785713.db2.gz AMJYNGCBLUYQCT-WDEREUQCSA-N 0 2 316.365 0.688 20 0 DCADLN C[C@H]1[C@H](NC(=O)c2cccnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000992971306 664787504 /nfs/dbraw/zinc/78/75/04/664787504.db2.gz XXIYWCDAVGVLLU-CMPLNLGQSA-N 0 2 316.365 0.688 20 0 DCADLN Cc1cccc2c1CCN(C(=O)Cn1[nH]cc3c(=O)ncnc1-3)C2 ZINC000730546906 664792111 /nfs/dbraw/zinc/79/21/11/664792111.db2.gz JYBZRSCBBLDUKP-UHFFFAOYSA-N 0 2 323.356 0.965 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)NCCCc1nccs1 ZINC000730589583 664795654 /nfs/dbraw/zinc/79/56/54/664795654.db2.gz UZZQPVAXQRNVGS-UHFFFAOYSA-N 0 2 318.362 0.277 20 0 DCADLN C[C@@H]1[C@@H](NC(=O)c2cncnc2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000993257616 664917453 /nfs/dbraw/zinc/91/74/53/664917453.db2.gz FLZDYWQIYZRUDW-KOLCDFICSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1nocc1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000993735516 664997120 /nfs/dbraw/zinc/99/71/20/664997120.db2.gz WULUTXYQWBUGAU-KOLCDFICSA-N 0 2 320.353 0.590 20 0 DCADLN Cc1c[nH]c(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)[C@@H]2C)n1 ZINC000993964677 665020491 /nfs/dbraw/zinc/02/04/91/665020491.db2.gz PYSGUXVFNDNUGE-NXEZZACHSA-N 0 2 319.369 0.325 20 0 DCADLN Cc1ncn(C)c1C(=O)NC1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000994009497 665025549 /nfs/dbraw/zinc/02/55/49/665025549.db2.gz QONKRVJTKCCEKW-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN Cc1ncn(C)c1C(=O)NC1CN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC000994009497 665025551 /nfs/dbraw/zinc/02/55/51/665025551.db2.gz QONKRVJTKCCEKW-VIFPVBQESA-N 0 2 322.262 0.570 20 0 DCADLN C[C@@H]1[C@H](NC(=O)c2cccnn2)CCCN1Cc1n[nH]c(=O)[nH]1 ZINC000994055839 665033228 /nfs/dbraw/zinc/03/32/28/665033228.db2.gz HDEYOONQGOESDT-NXEZZACHSA-N 0 2 317.353 0.083 20 0 DCADLN Cc1nc[nH]c1C(=O)N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)[C@@H]1C ZINC000994553082 665155529 /nfs/dbraw/zinc/15/55/29/665155529.db2.gz YMLUPEAXQREQFV-ZJUUUORDSA-N 0 2 319.369 0.325 20 0 DCADLN CC(=O)NCc1cn(C2CN(C(=O)C(F)C(F)(F)F)C2)nn1 ZINC000994720702 665302808 /nfs/dbraw/zinc/30/28/08/665302808.db2.gz RROUJCOPTLWTRE-VIFPVBQESA-N 0 2 323.250 0.198 20 0 DCADLN CC(=O)NCc1cn(C2CN(C(=O)[C@H](F)C(F)(F)F)C2)nn1 ZINC000994720702 665302809 /nfs/dbraw/zinc/30/28/09/665302809.db2.gz RROUJCOPTLWTRE-VIFPVBQESA-N 0 2 323.250 0.198 20 0 DCADLN O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1)c1cncc(Cl)c1 ZINC001015838912 665324180 /nfs/dbraw/zinc/32/41/80/665324180.db2.gz BZITVYKRGOTURA-JTQLQIEISA-N 0 2 322.756 0.563 20 0 DCADLN O=C(CC1CC1)N1CC(O)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001043375582 666114344 /nfs/dbraw/zinc/11/43/44/666114344.db2.gz MLXSAIDAEZXFRB-VIFPVBQESA-N 0 2 312.263 0.376 20 0 DCADLN O=C(CC1CC1)N1CC(O)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001043375582 666114346 /nfs/dbraw/zinc/11/43/46/666114346.db2.gz MLXSAIDAEZXFRB-VIFPVBQESA-N 0 2 312.263 0.376 20 0 DCADLN Cc1nscc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001002644724 666201500 /nfs/dbraw/zinc/20/15/00/666201500.db2.gz RZSYFVAOULBPJS-UHFFFAOYSA-N 0 2 322.394 0.670 20 0 DCADLN CN(C(=O)Cc1cccs1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032868814 666365604 /nfs/dbraw/zinc/36/56/04/666365604.db2.gz FFZLOVWXWAAHOJ-SNVBAGLBSA-N 0 2 321.406 0.847 20 0 DCADLN CN(C(=O)Cc1cccs1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001032868814 666365606 /nfs/dbraw/zinc/36/56/06/666365606.db2.gz FFZLOVWXWAAHOJ-SNVBAGLBSA-N 0 2 321.406 0.847 20 0 DCADLN Cc1cnoc1C(=O)NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001003896109 666398260 /nfs/dbraw/zinc/39/82/60/666398260.db2.gz VKFUJKQLFRRQNE-UHFFFAOYSA-N 0 2 306.326 0.201 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1C[C@]12CCOC2 ZINC001003926177 666407498 /nfs/dbraw/zinc/40/74/98/666407498.db2.gz HTQUFZUNIYTJNN-NHYWBVRUSA-N 0 2 321.381 0.018 20 0 DCADLN CN(C(=O)c1cncc(F)c1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033000809 666421302 /nfs/dbraw/zinc/42/13/02/666421302.db2.gz DUDIKOFLVIYKDK-NSHDSACASA-N 0 2 320.328 0.391 20 0 DCADLN CN(C(=O)Cc1cccnc1)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033003763 666423468 /nfs/dbraw/zinc/42/34/68/666423468.db2.gz CSYYGXARUJJVMA-GFCCVEGCSA-N 0 2 316.365 0.181 20 0 DCADLN CN(C(=O)Cc1cccnc1)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033003763 666423469 /nfs/dbraw/zinc/42/34/69/666423469.db2.gz CSYYGXARUJJVMA-GFCCVEGCSA-N 0 2 316.365 0.181 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1)C1CC1 ZINC000944433076 666428120 /nfs/dbraw/zinc/42/81/20/666428120.db2.gz LDUDUKMRKRUMAH-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1)C1CC1 ZINC000944433076 666428121 /nfs/dbraw/zinc/42/81/21/666428121.db2.gz LDUDUKMRKRUMAH-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1)c1ccc(=O)[nH]c1 ZINC001004090488 666439998 /nfs/dbraw/zinc/43/99/98/666439998.db2.gz KRJIKGRCCBLGJU-UHFFFAOYSA-N 0 2 318.337 0.005 20 0 DCADLN CN(C(=O)[C@H]1C[C@@H]1C(F)F)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033047281 666463575 /nfs/dbraw/zinc/46/35/75/666463575.db2.gz GVKGPYUIHDDYNS-VGMNWLOBSA-N 0 2 315.324 0.444 20 0 DCADLN CN(C(=O)[C@H]1C[C@@H]1C(F)F)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033047281 666463577 /nfs/dbraw/zinc/46/35/77/666463577.db2.gz GVKGPYUIHDDYNS-VGMNWLOBSA-N 0 2 315.324 0.444 20 0 DCADLN CN(C(=O)c1ccns1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033123405 666543184 /nfs/dbraw/zinc/54/31/84/666543184.db2.gz AFKORMZKUPSUEB-QMMMGPOBSA-N 0 2 308.367 0.313 20 0 DCADLN CO[C@H](C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1[nH]c[nH+]c1C)C2 ZINC001004809218 666608003 /nfs/dbraw/zinc/60/80/03/666608003.db2.gz HPYYSGMIPFHRGS-OXHZDVMGSA-N 0 2 320.393 0.544 20 0 DCADLN Cc1cccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2n[nH]c(=O)[nH]2)n1 ZINC001032285012 666686216 /nfs/dbraw/zinc/68/62/16/666686216.db2.gz DPWYYPAVUXETBC-QWRGUYRKSA-N 0 2 314.349 0.313 20 0 DCADLN CN(C(=O)[C@@H]1CC12CCC2)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033458420 666719018 /nfs/dbraw/zinc/71/90/18/666719018.db2.gz CHAZNIFBUOCVPP-MNOVXSKESA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)[C@@H]1CC12CCC2)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033458420 666719019 /nfs/dbraw/zinc/71/90/19/666719019.db2.gz CHAZNIFBUOCVPP-MNOVXSKESA-N 0 2 305.382 0.733 20 0 DCADLN CN(C(=O)Cc1ccon1)C1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001005194826 666747844 /nfs/dbraw/zinc/74/78/44/666747844.db2.gz CIGGQWTXTRHBNF-UHFFFAOYSA-N 0 2 320.353 0.164 20 0 DCADLN CCN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033783891 666826073 /nfs/dbraw/zinc/82/60/73/666826073.db2.gz QYDUQQJLEPPZAI-CIQGVGRVSA-N 0 2 319.409 0.979 20 0 DCADLN CCN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033783891 666826075 /nfs/dbraw/zinc/82/60/75/666826075.db2.gz QYDUQQJLEPPZAI-CIQGVGRVSA-N 0 2 319.409 0.979 20 0 DCADLN O=C(NC1CN(C(=O)C(F)C(F)(F)F)C1)c1csnn1 ZINC000996596064 666827500 /nfs/dbraw/zinc/82/75/00/666827500.db2.gz FXFKACKLZMZXGO-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN O=C(NC1CN(C(=O)[C@H](F)C(F)(F)F)C1)c1csnn1 ZINC000996596064 666827502 /nfs/dbraw/zinc/82/75/02/666827502.db2.gz FXFKACKLZMZXGO-LURJTMIESA-N 0 2 312.248 0.379 20 0 DCADLN CCN(C(=O)c1cc[nH]c1C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033798141 666829349 /nfs/dbraw/zinc/82/93/49/666829349.db2.gz IMMXIIGRKJUBEY-NSHDSACASA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)c1cc[nH]c1C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033798141 666829350 /nfs/dbraw/zinc/82/93/50/666829350.db2.gz IMMXIIGRKJUBEY-NSHDSACASA-N 0 2 318.381 0.883 20 0 DCADLN CCN(C(=O)c1ccsn1)[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001033871773 666853339 /nfs/dbraw/zinc/85/33/39/666853339.db2.gz JQKIRISUXUOUTG-VIFPVBQESA-N 0 2 322.394 0.703 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N(CC)[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033959157 666878059 /nfs/dbraw/zinc/87/80/59/666878059.db2.gz CCFWQIOAIQENPJ-IJLUTSLNSA-N 0 2 307.398 0.979 20 0 DCADLN CC[C@@H]1C[C@H]1C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001033959157 666878063 /nfs/dbraw/zinc/87/80/63/666878063.db2.gz CCFWQIOAIQENPJ-IJLUTSLNSA-N 0 2 307.398 0.979 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)Nc1ccc(C2CC2)nn1 ZINC000734774922 666911843 /nfs/dbraw/zinc/91/18/43/666911843.db2.gz JDTBRBRAJSBQOE-UHFFFAOYSA-N 0 2 311.305 0.377 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2ncc3c(F)cccn32)C1=O ZINC000861446731 666928341 /nfs/dbraw/zinc/92/83/41/666928341.db2.gz LXDLPXRMXJOASV-CQSZACIVSA-N 0 2 319.296 0.839 20 0 DCADLN O=C(N[C@@H]1CCCCN(Cc2n[nH]c(=O)[nH]2)C1)c1ccns1 ZINC001034229923 666958877 /nfs/dbraw/zinc/95/88/77/666958877.db2.gz XXRCKJQCNLIZDC-SECBINFHSA-N 0 2 322.394 0.751 20 0 DCADLN CC[C@@H](NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1)C(N)=O ZINC000861789653 666979739 /nfs/dbraw/zinc/97/97/39/666979739.db2.gz UKCBUTLPOSUUBL-SSDOTTSWSA-N 0 2 321.255 0.452 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)C(F)C(F)(F)F ZINC000862845284 667087083 /nfs/dbraw/zinc/08/70/83/667087083.db2.gz WAMZCYDPQGNVKI-PRJMDXOYSA-N 0 2 320.308 0.863 20 0 DCADLN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC000862845284 667087087 /nfs/dbraw/zinc/08/70/87/667087087.db2.gz WAMZCYDPQGNVKI-PRJMDXOYSA-N 0 2 320.308 0.863 20 0 DCADLN O=C(NC[C@H]1C[C@@H](O)C1)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000863191646 667117121 /nfs/dbraw/zinc/11/71/21/667117121.db2.gz XGESCGCKKAFPBD-OCAPTIKFSA-N 0 2 320.267 0.959 20 0 DCADLN Cn1nncc1CN1C[C@@H]2C[C@H]1CN2C(=O)C(F)C(F)(F)F ZINC001032415513 667218526 /nfs/dbraw/zinc/21/85/26/667218526.db2.gz AJNKORVNPYIPOT-NRPADANISA-N 0 2 321.278 0.501 20 0 DCADLN Cn1nncc1CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)C(F)(F)F ZINC001032415513 667218529 /nfs/dbraw/zinc/21/85/29/667218529.db2.gz AJNKORVNPYIPOT-NRPADANISA-N 0 2 321.278 0.501 20 0 DCADLN C[C@H](C(N)=O)[N@H+]1CCC2(C1)CCN(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001035230623 667276941 /nfs/dbraw/zinc/27/69/41/667276941.db2.gz ACYUOSHJYSVQHB-GFCCVEGCSA-N 0 2 319.409 0.141 20 0 DCADLN O=C(Cc1ccccc1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[nH]1 ZINC001032481442 667304972 /nfs/dbraw/zinc/30/49/72/667304972.db2.gz KJEJTTREDCLYMD-STQMWFEESA-N 0 2 313.361 0.538 20 0 DCADLN C[C@@H]1C[C@@H]1C(=O)N1CCC2(C[NH+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035641443 667396576 /nfs/dbraw/zinc/39/65/76/667396576.db2.gz MBMGWFMLYFFABM-MNOVXSKESA-N 0 2 305.382 0.591 20 0 DCADLN CC(C)C[C@@]1(C(=O)NCCc2n[nH]c(=S)o2)CCNC1=O ZINC000867484605 667407015 /nfs/dbraw/zinc/40/70/15/667407015.db2.gz XIVUHQLCUPYJQV-CYBMUJFWSA-N 0 2 312.395 0.569 20 0 DCADLN C[C@H](NC(=O)c1cn[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC001006386806 667684393 /nfs/dbraw/zinc/68/43/93/667684393.db2.gz BPGDOZFHODCJTH-YLWLKBPMSA-N 0 2 323.250 0.282 20 0 DCADLN COCCCN(CCOC)C(=O)C[C@H]1SC(=N)NC1=O ZINC000742567276 667793692 /nfs/dbraw/zinc/79/36/92/667793692.db2.gz XVOGDFIBGRYDQB-SECBINFHSA-N 0 2 303.384 0.054 20 0 DCADLN CS[C@@H]1CC[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000742746380 667798661 /nfs/dbraw/zinc/79/86/61/667798661.db2.gz SGZJHGNVQHCUFN-RKDXNWHRSA-N 0 2 307.379 0.472 20 0 DCADLN CCN(CC(=O)NC)C(=O)C1=NN(c2ccccc2)CC1=O ZINC000743306146 667805949 /nfs/dbraw/zinc/80/59/49/667805949.db2.gz FJDDLCBATIQYRR-UHFFFAOYSA-N 0 2 302.334 0.786 20 0 DCADLN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)c1c(O)cc(F)cc1F ZINC000871566025 667836940 /nfs/dbraw/zinc/83/69/40/667836940.db2.gz DSYWXZUFVLKVRK-JMCQJSRRSA-N 0 2 315.272 0.978 20 0 DCADLN CCS(=O)(CC)=NS(=O)(=O)Nc1cc(C)cn(C)c1=O ZINC000872357451 667892127 /nfs/dbraw/zinc/89/21/27/667892127.db2.gz BAHWORXMKFHZCJ-UHFFFAOYSA-N 0 2 321.424 0.858 20 0 DCADLN CO[C@H](CS(=O)(=O)Nc1cc2n(n1)CCN(C)C2)C1CC1 ZINC000872409869 667894069 /nfs/dbraw/zinc/89/40/69/667894069.db2.gz BQDVKVQPMCGPJU-GFCCVEGCSA-N 0 2 314.411 0.495 20 0 DCADLN CN1CCn2nc(NS(=O)(=O)C[C@@H]3CCCO3)cc2C1 ZINC000872411637 667894551 /nfs/dbraw/zinc/89/45/51/667894551.db2.gz MXNIJVYQTYLSPL-NSHDSACASA-N 0 2 300.384 0.249 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N[C@H]3C[C@@H](C(=O)[O-])C3)[nH+]cn2)C1 ZINC000873065672 667969285 /nfs/dbraw/zinc/96/92/85/667969285.db2.gz JHOIWAISEGTCHS-FIQHERPVSA-N 0 2 306.366 0.959 20 0 DCADLN C[C@H](O)[C@H]1CCN(c2cc(N[C@H]3C[C@@H](C(=O)[O-])C3)nc[nH+]2)C1 ZINC000873065672 667969290 /nfs/dbraw/zinc/96/92/90/667969290.db2.gz JHOIWAISEGTCHS-FIQHERPVSA-N 0 2 306.366 0.959 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2cccc3c2OCC(=O)N3)S1 ZINC000752985094 668024349 /nfs/dbraw/zinc/02/43/49/668024349.db2.gz GKBKVGRAALOWSX-QMMMGPOBSA-N 0 2 320.330 0.512 20 0 DCADLN CO[C@H]1C[C@@H](COC(=O)Cc2c(C)nc3cc[nH]n3c2=O)C1 ZINC000873358053 668076118 /nfs/dbraw/zinc/07/61/18/668076118.db2.gz SSPVMYYTNRLUCF-PHIMTYICSA-N 0 2 305.334 0.842 20 0 DCADLN O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000874396719 668179616 /nfs/dbraw/zinc/17/96/16/668179616.db2.gz KAIOTOPREBFZOV-UTUOFQBUSA-N 0 2 304.350 0.823 20 0 DCADLN Cc1nn(C)cc1[C@@H]1CCCN1C(=O)C[C@H]1SC(=N)NC1=O ZINC000759466424 668220896 /nfs/dbraw/zinc/22/08/96/668220896.db2.gz YFNBOLGHMRXVJO-WDEREUQCSA-N 0 2 321.406 0.948 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCS(=O)CC1 ZINC000760261665 668265413 /nfs/dbraw/zinc/26/54/13/668265413.db2.gz XMAGCNYJJRNWKT-UHFFFAOYSA-N 0 2 300.302 0.034 20 0 DCADLN C[S@@](=O)CCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760315380 668267771 /nfs/dbraw/zinc/26/77/71/668267771.db2.gz PSCMZZKOUHKDLJ-LJQANCHMSA-N 0 2 302.318 0.328 20 0 DCADLN CC(C)(NC(=O)C(F)(F)F)C(=O)NC[C@H](O)c1ccco1 ZINC000760447009 668272925 /nfs/dbraw/zinc/27/29/25/668272925.db2.gz STTJKZILQSLCLU-ZETCQYMHSA-N 0 2 308.256 0.886 20 0 DCADLN CCOC(=O)CC[C@H](C)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000762257719 668353608 /nfs/dbraw/zinc/35/36/08/668353608.db2.gz OLDLHYCAXNHULQ-JGVFFNPUSA-N 0 2 301.368 0.391 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)NC[C@H](CCO)c2ccccc2)S1 ZINC000762647515 668370307 /nfs/dbraw/zinc/37/03/07/668370307.db2.gz CAOGRJVPPDBLPR-RYUDHWBXSA-N 0 2 321.402 0.825 20 0 DCADLN NC(=O)c1csc(C(=O)NN2C(=O)c3ccccc3C2=O)c1 ZINC000763231073 668401849 /nfs/dbraw/zinc/40/18/49/668401849.db2.gz XLNACCCNRJSRHE-UHFFFAOYSA-N 0 2 315.310 0.788 20 0 DCADLN C[C@@H](c1ccsc1)[NH+]1CCN(C(=O)c2cc(=O)[nH][n-]2)CC1 ZINC000765867332 668512058 /nfs/dbraw/zinc/51/20/58/668512058.db2.gz XOTVHFYBMBQADI-JTQLQIEISA-N 0 2 306.391 0.829 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@@H]1CCCC(F)(F)C1 ZINC000768383771 668606682 /nfs/dbraw/zinc/60/66/82/668606682.db2.gz CLXTZFCBBPAYPU-MRVPVSSYSA-N 0 2 311.292 0.765 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)N[C@H]1CC12CCCCC2 ZINC000770834923 668718978 /nfs/dbraw/zinc/71/89/78/668718978.db2.gz YZXKMBBOGBWHBZ-NSHDSACASA-N 0 2 301.350 0.910 20 0 DCADLN Cc1ccccc1[C@H](CO)NC(=O)C[C@@H]1SC(=N)NC1=O ZINC000771212304 668734354 /nfs/dbraw/zinc/73/43/54/668734354.db2.gz OEXNYPIYOQJAGN-QWRGUYRKSA-N 0 2 307.375 0.701 20 0 DCADLN CC(C)OC[C@@H]1CN(C(=O)C[C@H]2SC(=N)NC2=O)CCO1 ZINC000771674813 668748086 /nfs/dbraw/zinc/74/80/86/668748086.db2.gz DNFTUZUIDDZKNP-VHSXEESVSA-N 0 2 315.395 0.195 20 0 DCADLN Cc1ncc(CCNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)s1 ZINC000772213009 668765247 /nfs/dbraw/zinc/76/52/47/668765247.db2.gz CTAZBICVGKJULR-UHFFFAOYSA-N 0 2 318.362 0.195 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1cccc(-n2cncn2)c1 ZINC000773095756 668792954 /nfs/dbraw/zinc/79/29/54/668792954.db2.gz GRFUDWZXGADSEY-UHFFFAOYSA-N 0 2 316.346 0.912 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)[C@@H]1Cc2ccccc2C(=O)O1 ZINC000773105155 668793051 /nfs/dbraw/zinc/79/30/51/668793051.db2.gz IWJQZTKKUYSKDM-JTQLQIEISA-N 0 2 319.342 0.799 20 0 DCADLN Cc1ccccc1[C@H](CC(=O)OCc1n[nH]c(=O)[nH]1)NC(N)=O ZINC000774913960 668851061 /nfs/dbraw/zinc/85/10/61/668851061.db2.gz NUFSTOJYFPERBQ-JTQLQIEISA-N 0 2 319.321 0.662 20 0 DCADLN CCOC(=O)c1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)nc1C ZINC000774920447 668851472 /nfs/dbraw/zinc/85/14/72/668851472.db2.gz YURKPLVCMDXBCX-UHFFFAOYSA-N 0 2 306.278 0.747 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)c1csc(-c2ncccn2)n1 ZINC000774932991 668851984 /nfs/dbraw/zinc/85/19/84/668851984.db2.gz QCTARJLMCLDEKQ-UHFFFAOYSA-N 0 2 304.291 0.781 20 0 DCADLN O=C(NC1CC1)c1ccc(C(=O)OCc2n[nH]c(=O)[nH]2)cc1 ZINC000774992103 668853591 /nfs/dbraw/zinc/85/35/91/668853591.db2.gz MTYINJAUKFGJRE-UHFFFAOYSA-N 0 2 302.290 0.760 20 0 DCADLN CCOC(=O)CN(CC(N)=O)C(=O)c1cccc(Cl)c1O ZINC000775674456 668866306 /nfs/dbraw/zinc/86/63/06/668866306.db2.gz CCOLHZLZGUZRHN-UHFFFAOYSA-N 0 2 314.725 0.536 20 0 DCADLN Cc1ccnc2nc(C(=O)NCCc3n[nH]c(=S)o3)nn21 ZINC000776535614 668877385 /nfs/dbraw/zinc/87/73/85/668877385.db2.gz HJIMVSUVBDQLGW-UHFFFAOYSA-N 0 2 305.323 0.077 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCC[C@H]2C2CCOCC2)S1 ZINC000777493016 668887797 /nfs/dbraw/zinc/88/77/97/668887797.db2.gz CELHNQSPCWKSJQ-QWRGUYRKSA-N 0 2 311.407 0.960 20 0 DCADLN CCn1cc(-c2[nH]ncc2C(=O)NCC[S@](=O)CC)cn1 ZINC000778774963 668903747 /nfs/dbraw/zinc/90/37/47/668903747.db2.gz DPKQENYVUCBLAI-OAQYLSRUSA-N 0 2 309.395 0.792 20 0 DCADLN CCC[C@@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)C(=O)[O-] ZINC000780341120 668980256 /nfs/dbraw/zinc/98/02/56/668980256.db2.gz GXGLSWCKKIENDK-LLVKDONJSA-N 0 2 301.387 0.650 20 0 DCADLN CC(C)(C)[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780509624 668991065 /nfs/dbraw/zinc/99/10/65/668991065.db2.gz APEVBKVIOCPSTA-LLVKDONJSA-N 0 2 315.414 0.896 20 0 DCADLN CC(C)(C)[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC000780509624 668991070 /nfs/dbraw/zinc/99/10/70/668991070.db2.gz APEVBKVIOCPSTA-LLVKDONJSA-N 0 2 315.414 0.896 20 0 DCADLN C[C@]1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCO[C@H]1C1CC1 ZINC000784742327 669219621 /nfs/dbraw/zinc/21/96/21/669219621.db2.gz AGGUHMBEXJOCAN-WFASDCNBSA-N 0 2 317.349 0.145 20 0 DCADLN O=C(NCc1cn(CCOC[C@H]2CCCO2)nn1)C(F)(F)F ZINC000881305918 669321347 /nfs/dbraw/zinc/32/13/47/669321347.db2.gz RGILZULMYGDTGX-SNVBAGLBSA-N 0 2 322.287 0.652 20 0 DCADLN Cc1ccccc1C[C@@H](CO)NC(=O)C[C@H]1SC(=N)NC1=O ZINC000787353408 669370763 /nfs/dbraw/zinc/37/07/63/669370763.db2.gz KRAIFSJYFQQIBE-NWDGAFQWSA-N 0 2 321.402 0.571 20 0 DCADLN COc1cc(CC(=O)OCc2n[nH]c(=O)[nH]2)cc(OC)c1O ZINC000789260818 669479833 /nfs/dbraw/zinc/47/98/33/669479833.db2.gz VOXNWFDAPMWIIB-UHFFFAOYSA-N 0 2 309.278 0.519 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H]1CCCN(C(C)C)C1=O ZINC000883413739 669681306 /nfs/dbraw/zinc/68/13/06/669681306.db2.gz ZPXZFSBCHYDCLB-NSHDSACASA-N 0 2 300.355 0.336 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H]1CCCN(C(C)C)C1=O ZINC000883413739 669681310 /nfs/dbraw/zinc/68/13/10/669681310.db2.gz ZPXZFSBCHYDCLB-NSHDSACASA-N 0 2 300.355 0.336 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@H](C)C(=O)N1CCCCC1 ZINC000883413169 669681441 /nfs/dbraw/zinc/68/14/41/669681441.db2.gz CWLTZIPZQBVMCI-LLVKDONJSA-N 0 2 300.355 0.337 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)N1CCCCC1 ZINC000883413169 669681444 /nfs/dbraw/zinc/68/14/44/669681444.db2.gz CWLTZIPZQBVMCI-LLVKDONJSA-N 0 2 300.355 0.337 20 0 DCADLN COC(=O)CC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)N1CCCCCC1 ZINC000883414280 669681636 /nfs/dbraw/zinc/68/16/36/669681636.db2.gz RBHYYJVFOBFRKG-LBPRGKRZSA-N 0 2 314.382 0.727 20 0 DCADLN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)N1CCCCCC1 ZINC000883414280 669681640 /nfs/dbraw/zinc/68/16/40/669681640.db2.gz RBHYYJVFOBFRKG-LBPRGKRZSA-N 0 2 314.382 0.727 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)NCc2nc3cccnc3[nH]2)S1 ZINC000793933123 669756996 /nfs/dbraw/zinc/75/69/96/669756996.db2.gz RNYQYFPCGDMREB-SSDOTTSWSA-N 0 2 304.335 0.131 20 0 DCADLN CCc1cc(CC(=O)N2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)n(C)n1 ZINC000935844146 669783079 /nfs/dbraw/zinc/78/30/79/669783079.db2.gz FFRGMYSONOLOFW-JTQLQIEISA-N 0 2 318.381 0.755 20 0 DCADLN O=C(NCc1n[nH]c(=O)[nH]1)[C@H](O)c1cccc(C(F)(F)F)c1 ZINC000796902671 669947710 /nfs/dbraw/zinc/94/77/10/669947710.db2.gz FROWRUDQHVJSCE-SECBINFHSA-N 0 2 316.239 0.879 20 0 DCADLN O=C(c1conc1C1CC1)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000950438590 670026131 /nfs/dbraw/zinc/02/61/31/670026131.db2.gz AJBQSYFGIIPGSD-UHFFFAOYSA-N 0 2 318.337 0.334 20 0 DCADLN COCCO[C@@H]1CCCN(C(=O)C[C@H]2SC(=N)NC2=O)C1 ZINC000801313479 670123942 /nfs/dbraw/zinc/12/39/42/670123942.db2.gz HOQZQDOHMKUYPX-NXEZZACHSA-N 0 2 315.395 0.197 20 0 DCADLN O=C(c1c(F)cncc1F)N1CCN(Cc2n[nH]c(=O)[nH]2)CC1 ZINC000948561784 670130411 /nfs/dbraw/zinc/13/04/11/670130411.db2.gz LILPDCDQPZMIFM-UHFFFAOYSA-N 0 2 324.291 0.142 20 0 DCADLN Cn1nccc1[C@H]1CCCN(C(=O)C[C@@H]2SC(=N)NC2=O)C1 ZINC000801518405 670133974 /nfs/dbraw/zinc/13/39/74/670133974.db2.gz HBMOJSOIVUQELJ-ONGXEEELSA-N 0 2 321.406 0.683 20 0 DCADLN CCCn1cc(C(=O)N2CCN(Cc3n[nH]c(=O)[nH]3)CC2)cn1 ZINC000951003418 670173341 /nfs/dbraw/zinc/17/33/41/670173341.db2.gz YTGUIQOZMBRZEV-UHFFFAOYSA-N 0 2 319.369 0.075 20 0 DCADLN O=C(N[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1)c1cn[nH]n1 ZINC000951047465 670183038 /nfs/dbraw/zinc/18/30/38/670183038.db2.gz GWVGEGLJYRPNTC-XPUUQOCRSA-N 0 2 323.250 0.426 20 0 DCADLN O=C(Cn1[nH]cc2c(=O)ncnc1-2)OCC1CCC(=O)CC1 ZINC000803342673 670244234 /nfs/dbraw/zinc/24/42/34/670244234.db2.gz ISDMWNOCCGANBF-UHFFFAOYSA-N 0 2 304.306 0.374 20 0 DCADLN Cc1ncc(C(=O)NC[C@@H]2CCN2C(=O)C(F)C(F)(F)F)[nH]1 ZINC000949139460 670270201 /nfs/dbraw/zinc/27/02/01/670270201.db2.gz QUAXEPOEGUYSCU-CBAPKCEASA-N 0 2 322.262 0.949 20 0 DCADLN Cn1nccc1C1=CCN(C(=O)C[C@H]2SC(=N)NC2=O)CC1 ZINC000804199741 670288204 /nfs/dbraw/zinc/28/82/04/670288204.db2.gz GAKPPGZATDNGPM-LLVKDONJSA-N 0 2 319.390 0.592 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOc3cc(O)ccc3C2)S1 ZINC000804571183 670300547 /nfs/dbraw/zinc/30/05/47/670300547.db2.gz FDDAPPOLTQTQMV-NSHDSACASA-N 0 2 321.358 0.670 20 0 DCADLN O=C(OCc1n[nH]c(=O)[nH]1)[C@@H]1C[C@H](c2ccccc2)OC1=O ZINC000808588610 670416813 /nfs/dbraw/zinc/41/68/13/670416813.db2.gz ZLINPGFHAURGOO-VHSXEESVSA-N 0 2 303.274 0.858 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)C(F)C(F)(F)F)c1ncc[nH]1 ZINC000949825568 670440914 /nfs/dbraw/zinc/44/09/14/670440914.db2.gz VQDKHMARZWFDTF-NKWVEPMBSA-N 0 2 308.235 0.641 20 0 DCADLN O=C(NC[C@@H]1CCN1C(=O)[C@@H](F)C(F)(F)F)c1ncc[nH]1 ZINC000949825568 670440915 /nfs/dbraw/zinc/44/09/15/670440915.db2.gz VQDKHMARZWFDTF-NKWVEPMBSA-N 0 2 308.235 0.641 20 0 DCADLN CC[C@@](C)(OC)c1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1 ZINC000810333207 670479228 /nfs/dbraw/zinc/47/92/28/670479228.db2.gz RRZFMYNZPCLSFV-CYBMUJFWSA-N 0 2 304.310 0.774 20 0 DCADLN CO[C@](C)(c1noc(Cn2[nH]cc3c(=O)ncnc2-3)n1)C1CC1 ZINC000810335431 670479288 /nfs/dbraw/zinc/47/92/88/670479288.db2.gz NZASNFMMUUTSJM-AWEZNQCLSA-N 0 2 316.321 0.774 20 0 DCADLN COc1cc(CCC(=O)OCc2n[nH]c(=O)[nH]2)cc(OC)c1O ZINC000853952778 670507937 /nfs/dbraw/zinc/50/79/37/670507937.db2.gz JPDIMZXWJZDYCH-UHFFFAOYSA-N 0 2 323.305 0.909 20 0 DCADLN CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)OCc1cnnn1C ZINC000811203953 670516790 /nfs/dbraw/zinc/51/67/90/670516790.db2.gz KDZVDMHXHUEUCY-QMMMGPOBSA-N 0 2 308.260 0.561 20 0 DCADLN CC(C)(C)O[C@H]1C[C@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C1 ZINC000847200469 670525197 /nfs/dbraw/zinc/52/51/97/670525197.db2.gz CXRTWUNKKHDZFI-MGCOHNPYSA-N 0 2 319.365 0.534 20 0 DCADLN CCCn1ncc(C(=O)Nn2cnn(CC)c2=O)c1C1CC1 ZINC000854628979 670601627 /nfs/dbraw/zinc/60/16/27/670601627.db2.gz MIPPZIBEWSPSRW-UHFFFAOYSA-N 0 2 304.354 0.933 20 0 DCADLN Cc1cnc(CCNC(=O)C[C@@H]2SC(=N)NC2=O)c(C)c1 ZINC000848294568 670663584 /nfs/dbraw/zinc/66/35/84/670663584.db2.gz PGLOAUPLCQGEAK-NSHDSACASA-N 0 2 306.391 0.914 20 0 DCADLN COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000848447117 670684973 /nfs/dbraw/zinc/68/49/73/670684973.db2.gz JGSJZEGPXCVCPU-NHYWBVRUSA-N 0 2 307.350 0.538 20 0 DCADLN N=C1NC(=O)C(CC(=O)Nc2ccc3c(c2)CCNC3=O)S1 ZINC000855248385 670690858 /nfs/dbraw/zinc/69/08/58/670690858.db2.gz FQKKFYRWOQFJAM-JTQLQIEISA-N 0 2 318.358 0.467 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N[C@H]2COc3cc(F)ccc3C2)S1 ZINC000855808955 670757477 /nfs/dbraw/zinc/75/74/77/670757477.db2.gz RWYWGCZCKDOREF-MWLCHTKSSA-N 0 2 323.349 0.802 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC000815836550 670766394 /nfs/dbraw/zinc/76/63/94/670766394.db2.gz HZUWOPDZEAPYIB-NKWVEPMBSA-N 0 2 306.281 0.556 20 0 DCADLN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)[C@@H](F)C(F)(F)F ZINC000815836550 670766396 /nfs/dbraw/zinc/76/63/96/670766396.db2.gz HZUWOPDZEAPYIB-NKWVEPMBSA-N 0 2 306.281 0.556 20 0 DCADLN CCSC1(CNC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CC1 ZINC000856067218 670783171 /nfs/dbraw/zinc/78/31/71/670783171.db2.gz VMHKEBBGBUAZAA-UHFFFAOYSA-N 0 2 307.379 0.473 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CCOC(C3CC3)(C3CC3)C2)S1 ZINC000856079736 670784222 /nfs/dbraw/zinc/78/42/22/670784222.db2.gz NFWZLQKQKWJDOP-NSHDSACASA-N 0 2 323.418 0.960 20 0 DCADLN N=C1NC(=O)[C@@H](CC(=O)N2CCC(c3ccon3)CC2)S1 ZINC000856174668 670792622 /nfs/dbraw/zinc/79/26/22/670792622.db2.gz SJZPTUVFXBLFCY-SNVBAGLBSA-N 0 2 308.363 0.937 20 0 DCADLN Cc1cc(C(=O)NCc2n[nH]c(=O)[nH]2)c(C)n1-c1ccn(C)n1 ZINC000857144742 670888006 /nfs/dbraw/zinc/88/80/06/670888006.db2.gz RKSYTQBHRSKWLI-UHFFFAOYSA-N 0 2 315.337 0.581 20 0 DCADLN Cc1sc(C(=O)[O-])cc1S(=O)(=O)NCCn1cc[nH+]c1 ZINC000109419734 670925604 /nfs/dbraw/zinc/92/56/04/670925604.db2.gz XJKIVVFWIIFVHY-UHFFFAOYSA-N 0 2 315.376 0.930 20 0 DCADLN N=C1NC(=O)C(CC(=O)N2C[C@@H](CO)c3ccccc32)S1 ZINC000817791169 670937142 /nfs/dbraw/zinc/93/71/42/670937142.db2.gz VGJRETTZXDIXER-GZMMTYOYSA-N 0 2 305.359 0.666 20 0 DCADLN CNC(=O)[C@H](C)NC(=O)c1ccc(CNC(=O)C(F)(F)F)o1 ZINC000858674062 671029491 /nfs/dbraw/zinc/02/94/91/671029491.db2.gz SDEBFWHSGUQHMG-LURJTMIESA-N 0 2 321.255 0.322 20 0 DCADLN COc1cccc(S([O-])=CC(=O)N2CC[N@H+](C)[C@@H](C)C2)c1 ZINC000819319873 671111970 /nfs/dbraw/zinc/11/19/70/671111970.db2.gz VMNQJVLGYGYXNI-LAJNKCICSA-N 0 2 310.419 0.965 20 0 DCADLN COc1cccc(S([O-])=CC(=O)N2CC[N@@H+](C)[C@@H](C)C2)c1 ZINC000819319873 671111971 /nfs/dbraw/zinc/11/19/71/671111971.db2.gz VMNQJVLGYGYXNI-LAJNKCICSA-N 0 2 310.419 0.965 20 0 DCADLN Cc1nn(CC(F)F)cc1C(=O)NN1C(=O)[C@@H](C)N(C)C1=O ZINC000826831843 671502322 /nfs/dbraw/zinc/50/23/22/671502322.db2.gz LJNREXGVUJKBII-SSDOTTSWSA-N 0 2 315.280 0.384 20 0 DCADLN CCCCCc1cc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)[nH]n1 ZINC000826833403 671502354 /nfs/dbraw/zinc/50/23/54/671502354.db2.gz CMXVIIDFPUSVLC-LBPRGKRZSA-N 0 2 319.369 0.869 20 0 DCADLN CC[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)N(C)C(=O)OC(C)(C)C ZINC000829546866 671579237 /nfs/dbraw/zinc/57/92/37/671579237.db2.gz UENYQQRSOMUPNX-MRVPVSSYSA-N 0 2 313.358 0.772 20 0 DCADLN Cc1cnc2nc(C(=O)NCCc3n[nH]c(=S)o3)nn2c1 ZINC000832362713 671716265 /nfs/dbraw/zinc/71/62/65/671716265.db2.gz DDKZLRSNHFORQT-UHFFFAOYSA-N 0 2 305.323 0.077 20 0 DCADLN CC[C@]1(C)NC(=O)N(NC(=O)c2ncnc3[nH]ccc32)C1=O ZINC000834789691 671780706 /nfs/dbraw/zinc/78/07/06/671780706.db2.gz JXHCBOAETAEACY-ZDUSSCGKSA-N 0 2 302.294 0.275 20 0 DCADLN CN(CCN1CCCS1(=O)=O)[NH2+]C1CCC(C(=O)[O-])CC1 ZINC000843044144 672056039 /nfs/dbraw/zinc/05/60/39/672056039.db2.gz UZXJTDZCXCEDNH-UHFFFAOYSA-N 0 2 319.427 0.102 20 0 DCADLN Cn1cc[nH+]c1[C@H]1CCCN(C(=O)C(=O)NCCCC(=O)[O-])C1 ZINC000843259294 672075741 /nfs/dbraw/zinc/07/57/41/672075741.db2.gz JXWCXVLKNAFGGE-NSHDSACASA-N 0 2 322.365 0.107 20 0 DCADLN CC[C@H](NS(=O)(=O)N=[S@@](C)(=O)CC)[C@@H](O)C(F)F ZINC000867331167 672116396 /nfs/dbraw/zinc/11/63/96/672116396.db2.gz QBYUXKLIOVTUEL-KITDARMDSA-N 0 2 308.372 0.343 20 0 DCADLN N=C1NC(=O)[C@H](CC(=O)N2CC[C@@H](c3cccnc3)C2)S1 ZINC000843968429 672131899 /nfs/dbraw/zinc/13/18/99/672131899.db2.gz SVJMCOZYNZPFLF-MNOVXSKESA-N 0 2 304.375 0.954 20 0 DCADLN C[C@H](CC(=O)[N-]S(=O)(=O)C[C@H]1CCOC1)n1cc[nH+]c1 ZINC000845504828 672254162 /nfs/dbraw/zinc/25/41/62/672254162.db2.gz XQXBGQOUKPBECG-MNOVXSKESA-N 0 2 301.368 0.317 20 0 DCADLN CS(C)(=O)=NS(=O)(=O)N[C@@H]1CCCC(F)(F)[C@H]1O ZINC000867407128 672301774 /nfs/dbraw/zinc/30/17/74/672301774.db2.gz NOEIDUBRDIFQAF-RQJHMYQMSA-N 0 2 306.356 0.097 20 0 DCADLN CN(C(=O)Cc1cc[nH]n1)C1CN(C(=O)C(F)C(F)(F)F)C1 ZINC000953948175 685910538 /nfs/dbraw/zinc/91/05/38/685910538.db2.gz TXTJHWMLQGZVGI-JTQLQIEISA-N 0 2 322.262 0.522 20 0 DCADLN CCN(C(=O)[C@@H]1C[C@H]1C(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC000957059023 686949052 /nfs/dbraw/zinc/94/90/52/686949052.db2.gz MNWQVFUKPFJOBO-NWDGAFQWSA-N 0 2 307.398 0.835 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1cc(CO)ccc1F)c1cnn[nH]1 ZINC001038450923 694085444 /nfs/dbraw/zinc/08/54/44/694085444.db2.gz MZUMWQYLABFKJG-GFCCVEGCSA-N 0 2 319.340 0.440 20 0 DCADLN CC(C)C(=O)N(C)[C@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000958629524 687810677 /nfs/dbraw/zinc/81/06/77/687810677.db2.gz OPTZDODZSNVJNZ-XHNCKOQMSA-N 0 2 314.279 0.573 20 0 DCADLN CC(C)C(=O)N(C)[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC000958629524 687810689 /nfs/dbraw/zinc/81/06/89/687810689.db2.gz OPTZDODZSNVJNZ-XHNCKOQMSA-N 0 2 314.279 0.573 20 0 DCADLN O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[nH]1)c1cc(C2CC2)no1 ZINC001038759714 694183731 /nfs/dbraw/zinc/18/37/31/694183731.db2.gz ADKLIAYTNGQKLT-SECBINFHSA-N 0 2 318.337 0.380 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)cs1 ZINC000961567408 688655727 /nfs/dbraw/zinc/65/57/27/688655727.db2.gz MXMZNEJBVIDXMG-VROVMSAKSA-N 0 2 320.378 0.135 20 0 DCADLN Cc1nc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)cs1 ZINC000961567408 688655731 /nfs/dbraw/zinc/65/57/31/688655731.db2.gz MXMZNEJBVIDXMG-VROVMSAKSA-N 0 2 320.378 0.135 20 0 DCADLN CC(C)C(=O)N[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@@H]1O ZINC000961620154 688677575 /nfs/dbraw/zinc/67/75/75/688677575.db2.gz CNMPVIBXRWKGKJ-GJMOJQLCSA-N 0 2 300.252 0.231 20 0 DCADLN CC(C)C(=O)N[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]1O ZINC000961620154 688677580 /nfs/dbraw/zinc/67/75/80/688677580.db2.gz CNMPVIBXRWKGKJ-GJMOJQLCSA-N 0 2 300.252 0.231 20 0 DCADLN COc1ccc(C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[nH]2)cc1 ZINC001038952280 694252503 /nfs/dbraw/zinc/25/25/03/694252503.db2.gz AVTFBKHETYSVRA-NSHDSACASA-N 0 2 317.349 0.523 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)C(F)C(F)(F)F)C1CC1 ZINC000964051848 689132895 /nfs/dbraw/zinc/13/28/95/689132895.db2.gz WLRXRPBUIYVYPD-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN O=C(NC[C@H]1COCCN1C(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC000964051848 689132898 /nfs/dbraw/zinc/13/28/98/689132898.db2.gz WLRXRPBUIYVYPD-DTWKUNHWSA-N 0 2 312.263 0.640 20 0 DCADLN C[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C[C@H]1NC(=O)c1cncs1 ZINC000965415503 689437975 /nfs/dbraw/zinc/43/79/75/689437975.db2.gz BLNQAFKJAVEWIA-RKDXNWHRSA-N 0 2 322.394 0.607 20 0 DCADLN Cc1ocnc1C(=O)N[C@H]1CN(Cc2n[nH]c(=O)[nH]2)CC[C@@H]1C ZINC000965450478 689445612 /nfs/dbraw/zinc/44/56/12/689445612.db2.gz PVDDGINDZBHDKI-WPRPVWTQSA-N 0 2 320.353 0.447 20 0 DCADLN C[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccco1 ZINC000968454305 690278091 /nfs/dbraw/zinc/27/80/91/690278091.db2.gz KUNVCZYSDUCGPV-PWSUYJOCSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)Cc1ccco1 ZINC000968454305 690278095 /nfs/dbraw/zinc/27/80/95/690278095.db2.gz KUNVCZYSDUCGPV-PWSUYJOCSA-N 0 2 319.365 0.673 20 0 DCADLN C[C@H]1C[C@H]1C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[nH]1 ZINC001039504706 694374765 /nfs/dbraw/zinc/37/47/65/694374765.db2.gz JBADHUVHEPFCEY-NNYUYHANSA-N 0 2 305.382 0.732 20 0 DCADLN CCn1nccc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007202033 690641080 /nfs/dbraw/zinc/64/10/80/690641080.db2.gz YBMABWAMYPPGHT-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)cnn1 ZINC001007209561 690642491 /nfs/dbraw/zinc/64/24/91/690642491.db2.gz KWVREXNCXMOUDE-NSHDSACASA-N 0 2 317.353 0.003 20 0 DCADLN Cc1cc(C(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001007216616 690643345 /nfs/dbraw/zinc/64/33/45/690643345.db2.gz USOSROCIYCHHQM-NSHDSACASA-N 0 2 305.338 0.806 20 0 DCADLN CCn1ccnc1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001007232149 690646216 /nfs/dbraw/zinc/64/62/16/690646216.db2.gz HADHCVLOTAZGJI-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN Cc1cnc(C[N@@H+]2CCC[C@H](NC(=O)c3cnn[n-]3)C2)s1 ZINC001007763397 690744246 /nfs/dbraw/zinc/74/42/46/690744246.db2.gz XRGMKKLQEFCXQF-JTQLQIEISA-N 0 2 306.395 0.964 20 0 DCADLN Cc1cnc(C[N@H+]2CCC[C@H](NC(=O)c3cnn[n-]3)C2)s1 ZINC001007763397 690744247 /nfs/dbraw/zinc/74/42/47/690744247.db2.gz XRGMKKLQEFCXQF-JTQLQIEISA-N 0 2 306.395 0.964 20 0 DCADLN CC[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001008008994 690786275 /nfs/dbraw/zinc/78/62/75/690786275.db2.gz KILSMWHADDFYFL-UTUOFQBUSA-N 0 2 323.397 0.406 20 0 DCADLN O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[nH]2)C1)[C@@H]1CC12CCC2 ZINC001008700953 690912497 /nfs/dbraw/zinc/91/24/97/690912497.db2.gz YGFLTMRLGGSUCP-QWRGUYRKSA-N 0 2 305.382 0.781 20 0 DCADLN CCn1ccc(C(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[nH]3)C2)n1 ZINC001008752191 690920365 /nfs/dbraw/zinc/92/03/65/690920365.db2.gz FWNDOBRLTKLSJL-SNVBAGLBSA-N 0 2 319.369 0.121 20 0 DCADLN CCc1nc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)co1 ZINC001010701277 691353720 /nfs/dbraw/zinc/35/37/20/691353720.db2.gz UHRIMNRWNFJUFW-MRVPVSSYSA-N 0 2 306.326 0.065 20 0 DCADLN CC(C)c1ocnc1CN1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001015068947 692180731 /nfs/dbraw/zinc/18/07/31/692180731.db2.gz YDHWPXIRIKUOEI-SNVBAGLBSA-N 0 2 304.354 0.920 20 0 DCADLN COc1csc(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c1 ZINC001015497165 692320553 /nfs/dbraw/zinc/32/05/53/692320553.db2.gz BKIDNGCYPOFANT-QMMMGPOBSA-N 0 2 323.378 0.585 20 0 DCADLN CC(C)c1[nH]ccc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001015593014 692355433 /nfs/dbraw/zinc/35/54/33/692355433.db2.gz RZUNAQNRZAYAIY-SNVBAGLBSA-N 0 2 318.381 0.966 20 0 DCADLN CCc1noc(C)c1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001016240327 692611150 /nfs/dbraw/zinc/61/11/50/692611150.db2.gz ZQJIEXCYRALWOC-VIFPVBQESA-N 0 2 320.353 0.373 20 0 DCADLN Cc1ccc(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[nH]3)C2)c(C)n1 ZINC001016324531 692650733 /nfs/dbraw/zinc/65/07/33/692650733.db2.gz NVWBGTUFTWMPPD-LLVKDONJSA-N 0 2 316.365 0.526 20 0 DCADLN C[N@H+]1CCO[C@H](C(=O)N2CC[C@H]([NH2+]Cc3ccccn3)C2)C1 ZINC001018663537 693186300 /nfs/dbraw/zinc/18/63/00/693186300.db2.gz FXRRYCTWFAGFGQ-GJZGRUSLSA-N 0 2 304.394 0.103 20 0 DCADLN O=C(c1cccnc1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075493256 694954275 /nfs/dbraw/zinc/95/42/75/694954275.db2.gz ATTUHIZMPIPJFH-NWDGAFQWSA-N 0 2 314.349 0.252 20 0 DCADLN O=C(c1cccnc1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075493256 694954276 /nfs/dbraw/zinc/95/42/76/694954276.db2.gz ATTUHIZMPIPJFH-NWDGAFQWSA-N 0 2 314.349 0.252 20 0 DCADLN O=C(Cc1ccoc1)N1CC[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075723438 694971050 /nfs/dbraw/zinc/97/10/50/694971050.db2.gz OYTUZGAZMMOCEJ-NWDGAFQWSA-N 0 2 317.349 0.379 20 0 DCADLN O=C(Cc1ccoc1)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075723438 694971051 /nfs/dbraw/zinc/97/10/51/694971051.db2.gz OYTUZGAZMMOCEJ-NWDGAFQWSA-N 0 2 317.349 0.379 20 0 DCADLN C[C@@H](CCNC(=O)C(F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001076856832 695077529 /nfs/dbraw/zinc/07/75/29/695077529.db2.gz FDSCXXFDEDSYTC-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN C[C@@H](CCNC(=O)[C@H](F)C(F)(F)F)NC(=O)Cc1cc[nH]n1 ZINC001076856832 695077532 /nfs/dbraw/zinc/07/75/32/695077532.db2.gz FDSCXXFDEDSYTC-XVKPBYJWSA-N 0 2 324.278 0.864 20 0 DCADLN COC(=O)Cc1nc(NC(=O)c2ccc(CN(C)C)o2)n[nH]1 ZINC001444616528 1159294354 /nfs/dbraw/zinc/29/43/54/1159294354.db2.gz XXOPUJHOWZBNKX-UHFFFAOYSA-N 0 2 307.310 0.427 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1c[nH]nc1[C@@H]1CCCO1 ZINC001567852925 1160502457 /nfs/dbraw/zinc/50/24/57/1160502457.db2.gz NSOVRSNYRSVUSA-QMMMGPOBSA-N 0 2 309.351 0.905 20 0 DCADLN C/C(=C/C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001567904374 1160526374 /nfs/dbraw/zinc/52/63/74/1160526374.db2.gz HQHPHLFUKCWTCY-UMAGTOLTSA-N 0 2 305.382 0.899 20 0 DCADLN C/C(=C/C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001567904374 1160526377 /nfs/dbraw/zinc/52/63/77/1160526377.db2.gz HQHPHLFUKCWTCY-UMAGTOLTSA-N 0 2 305.382 0.899 20 0 DCADLN C/C(=C\C(=O)N(C)[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001567904373 1160526550 /nfs/dbraw/zinc/52/65/50/1160526550.db2.gz HQHPHLFUKCWTCY-PMDBQALLSA-N 0 2 305.382 0.899 20 0 DCADLN C/C(=C\C(=O)N(C)[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001567904373 1160526557 /nfs/dbraw/zinc/52/65/57/1160526557.db2.gz HQHPHLFUKCWTCY-PMDBQALLSA-N 0 2 305.382 0.899 20 0 DCADLN CC(C)=C(C)CC(=O)NC[C@@]1(O)CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001569756391 1161228475 /nfs/dbraw/zinc/22/84/75/1161228475.db2.gz SCEVZAWTOYCODN-HNNXBMFYSA-N 0 2 323.397 0.310 20 0 DCADLN CC(C)=C(C)CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001569756391 1161228478 /nfs/dbraw/zinc/22/84/78/1161228478.db2.gz SCEVZAWTOYCODN-HNNXBMFYSA-N 0 2 323.397 0.310 20 0 DCADLN CC(C)C[C@@H](C(=O)NCc1nc(C(=O)[O-])n[nH]1)n1cc[nH+]c1 ZINC001573492996 1163449444 /nfs/dbraw/zinc/44/94/44/1163449444.db2.gz VPRZYDMGXIEPBR-VIFPVBQESA-N 0 2 306.326 0.603 20 0 DCADLN C[C@@H](C(=O)[O-])[C@H](C)NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001573569446 1163505070 /nfs/dbraw/zinc/50/50/70/1163505070.db2.gz YCNHYUBQPGPXJL-XQHKEYJVSA-N 0 2 314.382 0.092 20 0 DCADLN C[C@@H](c1nc(-c2nc[nH]n2)no1)[N@@H+]1CCCN(C(=O)[O-])CC1 ZINC001573590846 1163512996 /nfs/dbraw/zinc/51/29/96/1163512996.db2.gz XUSZFBGWURINEK-QMMMGPOBSA-N 0 2 307.314 0.601 20 0 DCADLN C[C@@H](c1nc(-c2nc[nH]n2)no1)[N@H+]1CCCN(C(=O)[O-])CC1 ZINC001573590846 1163513002 /nfs/dbraw/zinc/51/30/02/1163513002.db2.gz XUSZFBGWURINEK-QMMMGPOBSA-N 0 2 307.314 0.601 20 0 DCADLN Nc1ccnc(C[NH+]2CCC(n3cc(C(=O)[O-])cn3)CC2)n1 ZINC001574291625 1163683518 /nfs/dbraw/zinc/68/35/18/1163683518.db2.gz LLTWTDCSOGMNBC-UHFFFAOYSA-N 0 2 302.338 0.791 20 0 DCADLN O=C([O-])c1c[nH]nc1[C@H]1CC[N@H+](CC(=O)Nc2cnccn2)C1 ZINC001574299697 1163689450 /nfs/dbraw/zinc/68/94/50/1163689450.db2.gz QAKDOBYQPMOJHY-VIFPVBQESA-N 0 2 316.321 0.326 20 0 DCADLN O=C([O-])c1c[nH]nc1[C@H]1CC[N@@H+](CC(=O)Nc2cnccn2)C1 ZINC001574299697 1163689452 /nfs/dbraw/zinc/68/94/52/1163689452.db2.gz QAKDOBYQPMOJHY-VIFPVBQESA-N 0 2 316.321 0.326 20 0 DCADLN O=C([O-])c1n[nH]nc1[C@@H]1CCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001574422727 1163719074 /nfs/dbraw/zinc/71/90/74/1163719074.db2.gz ARVPZOQVSXPZQM-VIFPVBQESA-N 0 2 304.310 0.522 20 0 DCADLN O=C([O-])c1n[nH]nc1[C@@H]1CCCN1C(=O)CCCn1cc[nH+]c1 ZINC001574423476 1163720824 /nfs/dbraw/zinc/72/08/24/1163720824.db2.gz RSRHTDQJCHEZRU-JTQLQIEISA-N 0 2 318.337 0.843 20 0 DCADLN O=C(NCCNC(=O)C(F)C(F)(F)F)c1cccc(=O)[nH]1 ZINC001574997630 1163840746 /nfs/dbraw/zinc/84/07/46/1163840746.db2.gz WVNPEHWARAHCRB-QMMMGPOBSA-N 0 2 309.219 0.534 20 0 DCADLN O=C(NCCNC(=O)[C@H](F)C(F)(F)F)c1cccc(=O)[nH]1 ZINC001574997630 1163840750 /nfs/dbraw/zinc/84/07/50/1163840750.db2.gz WVNPEHWARAHCRB-QMMMGPOBSA-N 0 2 309.219 0.534 20 0 DCADLN O=C(C[C@@H]1COC(=O)C1)NCCNC(=O)C(F)C(F)(F)F ZINC001575028184 1163855041 /nfs/dbraw/zinc/85/50/41/1163855041.db2.gz HCBPQYGMTAQRSI-IMTBSYHQSA-N 0 2 314.235 0.072 20 0 DCADLN CCC(CC)(NC(=O)c1cc(-c2nn[nH]n2)c[nH]1)c1nn[nH]n1 ZINC001576717662 1164440263 /nfs/dbraw/zinc/44/02/63/1164440263.db2.gz BXMBSIKCPFGDSD-UHFFFAOYSA-N 0 2 316.329 0.153 20 0 DCADLN CC[C@H]1CN(C(=O)c2[nH]c(=O)c(-c3nn[nH]n3)cc2C)C[C@H]1O ZINC001577170060 1164618771 /nfs/dbraw/zinc/61/87/71/1164618771.db2.gz UJVGBYPNAOCIDB-WCBMZHEXSA-N 0 2 318.337 0.119 20 0 DCADLN O=C(Cc1ncc[nH]1)NCc1ccccc1OCc1cn[nH]n1 ZINC001577965382 1164867060 /nfs/dbraw/zinc/86/70/60/1164867060.db2.gz BWWLLLWFMKZUJS-UHFFFAOYSA-N 0 2 312.333 0.966 20 0 DCADLN O=C(Cc1ncc[nH]1)NCc1ccccc1OCc1c[nH]nn1 ZINC001577965382 1164867067 /nfs/dbraw/zinc/86/70/67/1164867067.db2.gz BWWLLLWFMKZUJS-UHFFFAOYSA-N 0 2 312.333 0.966 20 0 DCADLN CN(C)C(=O)OCCn1c2c(cc(-c3nn[nH]n3)c1=O)CCC2 ZINC001578961142 1165169951 /nfs/dbraw/zinc/16/99/51/1165169951.db2.gz VMPFLBDZXOMWRD-UHFFFAOYSA-N 0 2 318.337 0.215 20 0 DCADLN CN(Cc1nnc[nH]1)C(=O)c1cc(F)c(-c2nn[nH]n2)c(F)c1 ZINC001579266794 1165256007 /nfs/dbraw/zinc/25/60/07/1165256007.db2.gz YDFICGHDXGEIAW-UHFFFAOYSA-N 0 2 320.263 0.535 20 0 DCADLN COc1ccc(Cn2c3c(cc(-c4nn[nH]n4)c2=O)CCC3)cn1 ZINC001580032955 1165480092 /nfs/dbraw/zinc/48/00/92/1165480092.db2.gz RDZAUVDQQMYHSG-UHFFFAOYSA-N 0 2 324.344 0.969 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1CC[C@@H](C)[C@H]1CO ZINC001580597555 1165649411 /nfs/dbraw/zinc/64/94/11/1165649411.db2.gz JFVABSMHSYFTKP-GMSGAONNSA-N 0 2 318.337 0.119 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N(C)CC1(O)CCC1 ZINC001580596384 1165649877 /nfs/dbraw/zinc/64/98/77/1165649877.db2.gz UAUDIWOGMPWCIO-UHFFFAOYSA-N 0 2 318.337 0.263 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N1C[C@H](C)OC[C@H]1C ZINC001580597955 1165650178 /nfs/dbraw/zinc/65/01/78/1165650178.db2.gz PIXROHPDMHRYBX-BDAKNGLRSA-N 0 2 318.337 0.525 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@]1(C)CCCOC1 ZINC001580601701 1165651359 /nfs/dbraw/zinc/65/13/59/1165651359.db2.gz MDXAFRUFQGJAOI-AWEZNQCLSA-N 0 2 318.337 0.575 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)NC[C@](C)(O)C1CC1 ZINC001580602163 1165652791 /nfs/dbraw/zinc/65/27/91/1165652791.db2.gz DWUGWXSMXMLMNO-AWEZNQCLSA-N 0 2 318.337 0.167 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCC[C@@H]1CO ZINC001580606094 1165654841 /nfs/dbraw/zinc/65/48/41/1165654841.db2.gz FCKUUUVXCJCYPP-PSASIEDQSA-N 0 2 318.337 0.167 20 0 DCADLN Cn1c(=O)[nH]c(NCc2cccc(F)c2)c(-c2nn[nH]n2)c1=O ZINC001581747284 1165865572 /nfs/dbraw/zinc/86/55/72/1165865572.db2.gz GWBUNRNQMCMTIS-UHFFFAOYSA-N 0 2 317.284 0.417 20 0 DCADLN CCCOCC(=O)NC[C@@](C)(NC(=O)c1ncccn1)C1CC1 ZINC001582655864 1166062981 /nfs/dbraw/zinc/06/29/81/1166062981.db2.gz JMLSTTGDCCVMSG-MRXNPFEDSA-N 0 2 320.393 0.918 20 0 DCADLN C[C@](CNC(=O)c1cc2occc2[nH]1)(NC(=O)C(N)=O)C1CC1 ZINC001582656799 1166063602 /nfs/dbraw/zinc/06/36/02/1166063602.db2.gz URPVPNWCZIWJDY-OAHLLOKOSA-N 0 2 318.333 0.261 20 0 DCADLN O=c1cc(-c2nn[nH]n2)ncn1C[C@H]1CCC[C@@]2(CCOC2)O1 ZINC001582807198 1166089810 /nfs/dbraw/zinc/08/98/10/1166089810.db2.gz RJLNGCNNWUGAJS-YGRLFVJLSA-N 0 2 318.337 0.152 20 0 DCADLN CC(=O)NCCCCCn1nc(C)c(C)c(-c2nn[nH]n2)c1=O ZINC001588861545 1166611742 /nfs/dbraw/zinc/61/17/42/1166611742.db2.gz JOBWAVNSXOGKEL-UHFFFAOYSA-N 0 2 319.369 0.347 20 0 DCADLN CC(C)C[C@@H]([NH3+])c1noc([C@@H](C)n2cnc(-c3nn[n-]n3)n2)n1 ZINC001589563039 1166666690 /nfs/dbraw/zinc/66/66/90/1166666690.db2.gz RTSZNEYLAKKPDI-HTQZYQBOSA-N 0 2 318.345 0.496 20 0 DCADLN CC1(C)C[C@H](Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)C(C)(C)O1 ZINC001590079817 1166769685 /nfs/dbraw/zinc/76/96/85/1166769685.db2.gz KGWNPOZOPCVMRW-MRVPVSSYSA-N 0 2 320.353 0.723 20 0 DCADLN C[C@H]1CCC[C@H](Nc2c(-c3nn[nH]n3)c(=O)n(C)c(=O)n2C)C1 ZINC001590256396 1166829470 /nfs/dbraw/zinc/82/94/70/1166829470.db2.gz ZTXDMKWJICDDQX-IUCAKERBSA-N 0 2 319.369 0.255 20 0 DCADLN CC[C@H](O)CCNC(=O)c1[nH]c(=O)c(-c2nn[nH]n2)cc1C ZINC001590476391 1166919116 /nfs/dbraw/zinc/91/91/16/1166919116.db2.gz VRDZJNATDJSOQQ-QMMMGPOBSA-N 0 2 306.326 0.167 20 0 DCADLN CCC[C@@H](NC(=O)c1ccc(-c2nn[nH]n2)o1)c1nn[nH]n1 ZINC001590561313 1166944706 /nfs/dbraw/zinc/94/47/06/1166944706.db2.gz QAFQFVBRCSGIMN-ZCFIWIBFSA-N 0 2 303.286 0.244 20 0 DCADLN CCOC[C@@H]1CC[N@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001590801903 1167025326 /nfs/dbraw/zinc/02/53/26/1167025326.db2.gz VHFYEWRSPMJSMF-GFCCVEGCSA-N 0 2 318.381 0.653 20 0 DCADLN CCOC[C@@H]1CC[N@@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001590801903 1167025330 /nfs/dbraw/zinc/02/53/30/1167025330.db2.gz VHFYEWRSPMJSMF-GFCCVEGCSA-N 0 2 318.381 0.653 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H]2CCO[C@@H](C)C2)c1=O ZINC001590949499 1167056198 /nfs/dbraw/zinc/05/61/98/1167056198.db2.gz HBGVBQDMICVYQE-VHSXEESVSA-N 0 2 320.353 0.025 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(C[C@@H](C)C(C)(C)C)c1=O ZINC001590949897 1167056980 /nfs/dbraw/zinc/05/69/80/1167056980.db2.gz NAIAAXRJJKBGQN-SECBINFHSA-N 0 2 306.370 0.892 20 0 DCADLN COC[C@@H]1CCC[N@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001591392997 1167262301 /nfs/dbraw/zinc/26/23/01/1167262301.db2.gz RGLJEPCXLZVEGA-GFCCVEGCSA-N 0 2 318.381 0.653 20 0 DCADLN COC[C@@H]1CCC[N@@H+](Cn2ccc(C)c(-c3nnn[n-]3)c2=O)C1 ZINC001591392997 1167262307 /nfs/dbraw/zinc/26/23/07/1167262307.db2.gz RGLJEPCXLZVEGA-GFCCVEGCSA-N 0 2 318.381 0.653 20 0 DCADLN Cc1[nH]c(=O)c(-c2nn[nH]n2)c(C)c1CCC(=O)NC1CC1 ZINC001591787056 1167382191 /nfs/dbraw/zinc/38/21/91/1167382191.db2.gz HGAJCFMNAQJKCS-UHFFFAOYSA-N 0 2 302.338 0.795 20 0 DCADLN Cc1cc(-c2nn[nH]n2)c(=O)n(CC[C@@H]2COC(C)(C)O2)c1 ZINC001591836922 1167389737 /nfs/dbraw/zinc/38/97/37/1167389737.db2.gz OFKLUHBWYAYMLM-SNVBAGLBSA-N 0 2 305.338 0.878 20 0 DCADLN Cc1ccc(-c2nn[nH]n2)c(N[C@@H](C)C2N=NC(=O)N2C)n1 ZINC001592082882 1167451252 /nfs/dbraw/zinc/45/12/52/1167451252.db2.gz ADHWJCMLKVDYEA-ZETCQYMHSA-N 0 2 301.314 0.577 20 0 DCADLN Cc1nc(NC(=O)c2cc(F)c(-c3nn[nH]n3)c(F)c2)n[nH]1 ZINC001592378930 1167510194 /nfs/dbraw/zinc/51/01/94/1167510194.db2.gz OUIYUTYFHGNKKI-UHFFFAOYSA-N 0 2 306.236 0.824 20 0 DCADLN Cc1nnc(NC(=O)c2cc(F)c(-c3nn[nH]n3)c(F)c2)[nH]1 ZINC001592378930 1167510197 /nfs/dbraw/zinc/51/01/97/1167510197.db2.gz OUIYUTYFHGNKKI-UHFFFAOYSA-N 0 2 306.236 0.824 20 0 DCADLN Cc1nn(C[C@@H](O)c2ccccc2)c(=O)c(-c2nn[nH]n2)c1C ZINC001592436553 1167522198 /nfs/dbraw/zinc/52/21/98/1167522198.db2.gz UIUQNXRRIVVKOO-GFCCVEGCSA-N 0 2 312.333 0.774 20 0 DCADLN Cc1noc(C)c1NS(=O)(=O)c1cncc(-c2nn[nH]n2)c1 ZINC001592459354 1167529203 /nfs/dbraw/zinc/52/92/03/1167529203.db2.gz HFUMCHAAAYCEJA-UHFFFAOYSA-N 0 2 321.322 0.667 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC001592495015 1167539313 /nfs/dbraw/zinc/53/93/13/1167539313.db2.gz HFBSUDBHIWWXHY-ZCFIWIBFSA-N 0 2 317.313 0.157 20 0 DCADLN Cc1oc(-c2nn[nH]n2)cc1C(=O)N1CC(N2CCCC2=O)C1 ZINC001592496736 1167539430 /nfs/dbraw/zinc/53/94/30/1167539430.db2.gz JZUTWNMCPOGHCK-UHFFFAOYSA-N 0 2 316.321 0.215 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc(-c2nn[nH]n2)s1 ZINC001593106654 1167708893 /nfs/dbraw/zinc/70/88/93/1167708893.db2.gz BJAXYVJXHDJNOK-UHFFFAOYSA-N 0 2 323.363 0.572 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001593489188 1167871227 /nfs/dbraw/zinc/87/12/27/1167871227.db2.gz CZEWLMIYNPDDHE-NRPADANISA-N 0 2 304.310 0.087 20 0 DCADLN c1cnc(-c2nn[nH]n2)c(NCc2n[nH]c([C@H]3CCCO3)n2)c1 ZINC001593585477 1167951672 /nfs/dbraw/zinc/95/16/72/1167951672.db2.gz UCMBNNPZSSXDSH-SECBINFHSA-N 0 2 313.325 0.843 20 0 DCADLN Cc1n[nH]cc1CNC(=O)c1ccc(-n2[nH]c(=O)[nH]c2=O)cc1 ZINC001595410597 1167970832 /nfs/dbraw/zinc/97/08/32/1167970832.db2.gz SMVFZFYNSHNXJB-UHFFFAOYSA-N 0 2 314.305 0.228 20 0 DCADLN CCn1cc(Cl)c(C(=O)N[C@H](Cn2cc[nH+]c2)C(=O)[O-])n1 ZINC001597894125 1168013448 /nfs/dbraw/zinc/01/34/48/1168013448.db2.gz SQMYEVVAZPUEPF-SECBINFHSA-N 0 2 311.729 0.636 20 0 DCADLN Cc1nc2c(nccc2C(=O)N[C@@H](Cn2cc[nH+]c2)C(=O)[O-])[nH]1 ZINC001600721051 1168262668 /nfs/dbraw/zinc/26/26/68/1168262668.db2.gz QPILLTULHSDLJG-JTQLQIEISA-N 0 2 314.305 0.346 20 0 DCADLN Cc1nc(NCCOCCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])cc[nH+]1 ZINC001600753146 1168277003 /nfs/dbraw/zinc/27/70/03/1168277003.db2.gz SVQROPMIDRZWBK-NWDGAFQWSA-N 0 2 322.365 0.393 20 0 DCADLN Cc1nonc1C[N@@H+](C)CCCN(C)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001600819047 1168474658 /nfs/dbraw/zinc/47/46/58/1168474658.db2.gz YZFUEKLLXSSWEE-WDEREUQCSA-N 0 2 310.354 0.379 20 0 DCADLN Cc1nonc1C[N@H+](C)CCCN(C)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001600819047 1168474664 /nfs/dbraw/zinc/47/46/64/1168474664.db2.gz YZFUEKLLXSSWEE-WDEREUQCSA-N 0 2 310.354 0.379 20 0 DCADLN Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CC[NH2+]C[C@](C)(F)C1 ZINC001600834509 1168479665 /nfs/dbraw/zinc/47/96/65/1168479665.db2.gz SSLYTYAUTDXANS-LBPRGKRZSA-N 0 2 320.342 0.608 20 0 DCADLN Cn1cc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)cc1C(=O)[O-] ZINC001600951201 1168527579 /nfs/dbraw/zinc/52/75/79/1168527579.db2.gz FMSWGPLVOBOLKW-NEPJUHHUSA-N 0 2 312.391 0.875 20 0 DCADLN Cn1cc(C[N@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)cc1C(=O)[O-] ZINC001600951201 1168527585 /nfs/dbraw/zinc/52/75/85/1168527585.db2.gz FMSWGPLVOBOLKW-NEPJUHHUSA-N 0 2 312.391 0.875 20 0 DCADLN Cn1ccc(C[N@H+]2CC=C(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC001600976556 1168540922 /nfs/dbraw/zinc/54/09/22/1168540922.db2.gz RSKMYOYUKCJVQR-KGLIPLIRSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1ccc(C[N@@H+]2CC=C(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC001600976556 1168540935 /nfs/dbraw/zinc/54/09/35/1168540935.db2.gz RSKMYOYUKCJVQR-KGLIPLIRSA-N 0 2 318.377 0.389 20 0 DCADLN Cn1c[nH+]cc1CC(=O)NCc1nc2cc(C(=O)[O-])ccc2[nH]1 ZINC001600993199 1168549842 /nfs/dbraw/zinc/54/98/42/1168549842.db2.gz LEGHVFDCWRXRPM-UHFFFAOYSA-N 0 2 313.317 0.854 20 0 DCADLN Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1cccc(OCC(=O)[O-])c1 ZINC001600993779 1168551335 /nfs/dbraw/zinc/55/13/35/1168551335.db2.gz VZRACHRKJYRRQM-GFCCVEGCSA-N 0 2 319.317 0.347 20 0 DCADLN Cn1ncc(Br)c1C[NH+]1CCC(O)(C(=O)[O-])CC1 ZINC001601019369 1168566760 /nfs/dbraw/zinc/56/67/60/1168566760.db2.gz KDEVSECMGVDGBE-UHFFFAOYSA-N 0 2 318.171 0.594 20 0 DCADLN Nc1ccnc(C[NH+]2CCC(O)(C3(C(=O)[O-])CCC3)CC2)n1 ZINC001601217977 1168661674 /nfs/dbraw/zinc/66/16/74/1168661674.db2.gz FZYOAECRELOCJN-UHFFFAOYSA-N 0 2 306.366 0.641 20 0 DCADLN Nc1nc(Br)ccc1C[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001601219410 1168663301 /nfs/dbraw/zinc/66/33/01/1168663301.db2.gz FVVGXJRVOKRQQO-NSHDSACASA-N 0 2 316.155 0.448 20 0 DCADLN Nc1nc(Br)ccc1C[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001601219410 1168663318 /nfs/dbraw/zinc/66/33/18/1168663318.db2.gz FVVGXJRVOKRQQO-NSHDSACASA-N 0 2 316.155 0.448 20 0 DCADLN O=C([O-])c1ccc(/C=C\C(=O)NC[C@H]2CN3CC[N@@H+]2CCC3)o1 ZINC001601236761 1168673157 /nfs/dbraw/zinc/67/31/57/1168673157.db2.gz HBDKZKICWDOMTH-FHMRSRPSSA-N 0 2 319.361 0.497 20 0 DCADLN O=C([O-])c1ccc(/C=C\C(=O)NC[C@H]2CN3CC[N@H+]2CCC3)o1 ZINC001601236761 1168673161 /nfs/dbraw/zinc/67/31/61/1168673161.db2.gz HBDKZKICWDOMTH-FHMRSRPSSA-N 0 2 319.361 0.497 20 0 DCADLN O=C([O-])[C@H](Cc1ccccc1O)NC(=O)CCc1[nH]cc[nH+]1 ZINC001601294896 1168693213 /nfs/dbraw/zinc/69/32/13/1168693213.db2.gz OHJYWMJPRNUXAI-NSHDSACASA-N 0 2 303.318 0.860 20 0 DCADLN O=C(Cc1cc(C2CC2)no1)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-] ZINC001601364119 1168720073 /nfs/dbraw/zinc/72/00/73/1168720073.db2.gz DDGOHMAZIUBDES-GFCCVEGCSA-N 0 2 304.306 0.630 20 0 DCADLN O=C(Cc1cc(C2CC2)no1)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-] ZINC001601364119 1168720084 /nfs/dbraw/zinc/72/00/84/1168720084.db2.gz DDGOHMAZIUBDES-GFCCVEGCSA-N 0 2 304.306 0.630 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)Cc1cnn2c1CCCC2 ZINC001601371714 1168722957 /nfs/dbraw/zinc/72/29/57/1168722957.db2.gz AWKHTJCHMCEPBE-LBPRGKRZSA-N 0 2 317.349 0.297 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)Cc1cnn2c1CCCC2 ZINC001601371714 1168722963 /nfs/dbraw/zinc/72/29/63/1168722963.db2.gz AWKHTJCHMCEPBE-LBPRGKRZSA-N 0 2 317.349 0.297 20 0 DCADLN O=C([O-])[C@H](Cn1cc[nH+]c1)NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001601426196 1168742425 /nfs/dbraw/zinc/74/24/25/1168742425.db2.gz UQKBINSALQYLKM-JTQLQIEISA-N 0 2 317.305 0.139 20 0 DCADLN O=C([O-])[C@@H](CNC(=O)[C@H]1CCc2c[nH+]cn2C1)[C@H]1CCOC1 ZINC001601451119 1168752373 /nfs/dbraw/zinc/75/23/73/1168752373.db2.gz CMLQNRSZMXHUFE-GVXVVHGQSA-N 0 2 307.350 0.299 20 0 DCADLN O=C([O-])[C@@H]1C[C@@H]1C(=O)NC/C=C/C[NH2+]Cc1nnc(C2CC2)o1 ZINC001601780735 1168887122 /nfs/dbraw/zinc/88/71/22/1168887122.db2.gz MQFHGZMBTUASFU-ATOIVCMTSA-N 0 2 320.349 0.430 20 0 DCADLN O=C([O-])[C@H]1Cc2ccc(O)cc2CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001601864195 1168920316 /nfs/dbraw/zinc/92/03/16/1168920316.db2.gz FUEKXWZIGLWMLO-CYBMUJFWSA-N 0 2 301.302 0.696 20 0 DCADLN O=C([O-])CCCc1nc(C[N@@H+]2CC[C@@H](C3OCCO3)C2)no1 ZINC001602000545 1168957545 /nfs/dbraw/zinc/95/75/45/1168957545.db2.gz LOYOTTOLFAHOEL-SNVBAGLBSA-N 0 2 311.338 0.672 20 0 DCADLN O=C([O-])CCCc1nc(C[N@H+]2CC[C@@H](C3OCCO3)C2)no1 ZINC001602000545 1168957549 /nfs/dbraw/zinc/95/75/49/1168957549.db2.gz LOYOTTOLFAHOEL-SNVBAGLBSA-N 0 2 311.338 0.672 20 0 DCADLN O=C([O-])c1ccc(C[N@@H+]2CCO[C@@H](Cn3ccnn3)C2)s1 ZINC001602340405 1169063596 /nfs/dbraw/zinc/06/35/96/1169063596.db2.gz ACZMGEINTCHSIP-SNVBAGLBSA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])c1ccc(C[N@H+]2CCO[C@@H](Cn3ccnn3)C2)s1 ZINC001602340405 1169063607 /nfs/dbraw/zinc/06/36/07/1169063607.db2.gz ACZMGEINTCHSIP-SNVBAGLBSA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@H+](Cc3cn(C4CC4)cn3)C2)nn1 ZINC001602498477 1169095387 /nfs/dbraw/zinc/09/53/87/1169095387.db2.gz BIJULMFXWCXLIU-GFCCVEGCSA-N 0 2 302.338 0.955 20 0 DCADLN O=C([O-])c1cn([C@@H]2CC[N@@H+](Cc3cn(C4CC4)cn3)C2)nn1 ZINC001602498477 1169095395 /nfs/dbraw/zinc/09/53/95/1169095395.db2.gz BIJULMFXWCXLIU-GFCCVEGCSA-N 0 2 302.338 0.955 20 0 DCADLN O=C([O-])c1sccc1C[N@@H+]1CCO[C@H](Cn2ccnn2)C1 ZINC001602595620 1169129282 /nfs/dbraw/zinc/12/92/82/1169129282.db2.gz ROUKHJHXBFDGGA-NSHDSACASA-N 0 2 308.363 0.939 20 0 DCADLN O=C([O-])c1sccc1C[N@H+]1CCO[C@H](Cn2ccnn2)C1 ZINC001602595620 1169129290 /nfs/dbraw/zinc/12/92/90/1169129290.db2.gz ROUKHJHXBFDGGA-NSHDSACASA-N 0 2 308.363 0.939 20 0 DCADLN C[C@@H](C(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1)n1cc[nH+]c1 ZINC001603141424 1169255816 /nfs/dbraw/zinc/25/58/16/1169255816.db2.gz PWLZOVKCDSUSLG-UWVGGRQHSA-N 0 2 304.310 0.207 20 0 DCADLN C[C@@H](C(=O)[O-])[N@H+](CC(=O)NCC(=O)NC(C)(C)C)C1CCC1 ZINC001603197441 1169271956 /nfs/dbraw/zinc/27/19/56/1169271956.db2.gz KLUOOHBIMXBUEC-JTQLQIEISA-N 0 2 313.398 0.345 20 0 DCADLN C[C@@H](C(=O)[O-])[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)C1CCC1 ZINC001603197441 1169271972 /nfs/dbraw/zinc/27/19/72/1169271972.db2.gz KLUOOHBIMXBUEC-JTQLQIEISA-N 0 2 313.398 0.345 20 0 DCADLN CC(C)[C@H](O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2 ZINC001603232894 1169279679 /nfs/dbraw/zinc/27/96/79/1169279679.db2.gz PYNCRJWBBQMSCF-GFCCVEGCSA-N 0 2 303.366 0.924 20 0 DCADLN CC(C)COC(=O)Cn1cc2c(c(-c3nn[nH]n3)c1=O)CCC2 ZINC001603298407 1169287964 /nfs/dbraw/zinc/28/79/64/1169287964.db2.gz OHAWQOYQDOTXDZ-UHFFFAOYSA-N 0 2 317.349 0.716 20 0 DCADLN CC(C)O[C@H]1C[C@@H](Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[nH]n2)C1 ZINC001603357022 1169302013 /nfs/dbraw/zinc/30/20/13/1169302013.db2.gz NAOPLTZROWGKJV-OCAPTIKFSA-N 0 2 321.341 0.034 20 0 DCADLN CC(C)(CS(=O)(=O)N1CC[NH+](C2CCC2)CC1)C(=O)[O-] ZINC001603653847 1169343559 /nfs/dbraw/zinc/34/35/59/1169343559.db2.gz JEZFTZCDKZLNJX-UHFFFAOYSA-N 0 2 304.412 0.597 20 0 DCADLN C[C@@H](O)CCn1cc2c(c(-c3nn[nH]n3)c1=O)CCCC2=O ZINC001603695801 1169358542 /nfs/dbraw/zinc/35/85/42/1169358542.db2.gz LZVJSIOFSCTXER-MRVPVSSYSA-N 0 2 303.322 0.318 20 0 DCADLN CCC[C@@H]1CN(Cn2ccc(C)c(-c3nn[nH]n3)c2=O)CCO1 ZINC001604152100 1169507592 /nfs/dbraw/zinc/50/75/92/1169507592.db2.gz HYXTZNLRYCVEBN-GFCCVEGCSA-N 0 2 318.381 0.795 20 0 DCADLN C[C@H](CNC(=O)c1cccn1CC[NH+]1CCOCC1)C(=O)[O-] ZINC001604184467 1169518180 /nfs/dbraw/zinc/51/81/80/1169518180.db2.gz WAEYVEVNVAYAER-GFCCVEGCSA-N 0 2 309.366 0.271 20 0 DCADLN C[C@@]1(C2CC[NH+](Cc3cn(CC(=O)[O-])nn3)CC2)COC(=O)N1 ZINC001604568316 1169619277 /nfs/dbraw/zinc/61/92/77/1169619277.db2.gz BCABJUPRRAMCNL-AWEZNQCLSA-N 0 2 323.353 0.073 20 0 DCADLN C[C@@H]([NH2+]CC(=O)N1CCO[C@@H](C)C1)c1ncc(C(=O)[O-])s1 ZINC001604768535 1169675357 /nfs/dbraw/zinc/67/53/57/1169675357.db2.gz DPSFYXFTUNAVNI-DTWKUNHWSA-N 0 2 313.379 0.739 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=O)Cc2ccc(C(=O)[O-])cc2)CCO1 ZINC001604797590 1169688330 /nfs/dbraw/zinc/68/83/30/1169688330.db2.gz XKTZFCQYUACSEK-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=O)Cc2ccc(C(=O)[O-])cc2)CCO1 ZINC001604797590 1169688332 /nfs/dbraw/zinc/68/83/32/1169688332.db2.gz XKTZFCQYUACSEK-GFCCVEGCSA-N 0 2 306.362 0.764 20 0 DCADLN C[C@@H]1C[N@H+](CCNC(=S)NCCCC(=O)[O-])[C@H](C)CO1 ZINC001604795992 1169688847 /nfs/dbraw/zinc/68/88/47/1169688847.db2.gz JDUKNALBXGTLKE-GHMZBOCLSA-N 0 2 303.428 0.425 20 0 DCADLN C[C@@H]1C[N@@H+](CCNC(=S)NCCCC(=O)[O-])[C@H](C)CO1 ZINC001604795992 1169688848 /nfs/dbraw/zinc/68/88/48/1169688848.db2.gz JDUKNALBXGTLKE-GHMZBOCLSA-N 0 2 303.428 0.425 20 0 DCADLN CN1CC[C@@H]1CNC(=O)c1cc(F)c(-c2nn[nH]n2)c(F)c1 ZINC001604856508 1169715396 /nfs/dbraw/zinc/71/53/96/1169715396.db2.gz ZEZCGSUGNKBEDC-MRVPVSSYSA-N 0 2 308.292 0.579 20 0 DCADLN CC[C@H]1C[N@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)C[C@H]1O ZINC001605171781 1169857169 /nfs/dbraw/zinc/85/71/69/1169857169.db2.gz ATMVEMYJMPBWMZ-WCBMZHEXSA-N 0 2 313.379 0.411 20 0 DCADLN CC[C@H]1C[N@@H+](CC(=O)Nc2nc(CC(=O)[O-])cs2)C[C@H]1O ZINC001605171781 1169857181 /nfs/dbraw/zinc/85/71/81/1169857181.db2.gz ATMVEMYJMPBWMZ-WCBMZHEXSA-N 0 2 313.379 0.411 20 0 DCADLN CCC[N@H+](Cc1cnc(C(=O)[O-])cn1)[C@@H]1CC(=O)N(C)C1=O ZINC001605320929 1169893213 /nfs/dbraw/zinc/89/32/13/1169893213.db2.gz WAUBXYZXSJTMHC-LLVKDONJSA-N 0 2 306.322 0.144 20 0 DCADLN CCC[N@@H+](Cc1cnc(C(=O)[O-])cn1)[C@@H]1CC(=O)N(C)C1=O ZINC001605320929 1169893218 /nfs/dbraw/zinc/89/32/18/1169893218.db2.gz WAUBXYZXSJTMHC-LLVKDONJSA-N 0 2 306.322 0.144 20 0 DCADLN CC[N@H+](CC(=O)[O-])CC(=O)NCc1ccc(NC(=O)OC)cc1 ZINC001605415691 1169916046 /nfs/dbraw/zinc/91/60/46/1169916046.db2.gz KGYVOSWKXDMWHG-UHFFFAOYSA-N 0 2 323.349 0.888 20 0 DCADLN CC[N@@H+](CC(=O)[O-])CC(=O)NCc1ccc(NC(=O)OC)cc1 ZINC001605415691 1169916055 /nfs/dbraw/zinc/91/60/55/1169916055.db2.gz KGYVOSWKXDMWHG-UHFFFAOYSA-N 0 2 323.349 0.888 20 0 DCADLN CCN1C(=O)[C@H]2CN(c3ccc(C)c(C(=O)[O-])[nH+]3)CCN2C1=O ZINC001605465022 1169930619 /nfs/dbraw/zinc/93/06/19/1169930619.db2.gz SVACQWCWNJWUIH-SNVBAGLBSA-N 0 2 318.333 0.561 20 0 DCADLN CCN1C[C@H](C[N@H+](C)[C@H](COC2CCC2)C(=O)[O-])OC1=O ZINC001605469162 1169930902 /nfs/dbraw/zinc/93/09/02/1169930902.db2.gz FFYABODTOKUNQC-NWDGAFQWSA-N 0 2 300.355 0.781 20 0 DCADLN CCN1C[C@H](C[N@@H+](C)[C@H](COC2CCC2)C(=O)[O-])OC1=O ZINC001605469162 1169930906 /nfs/dbraw/zinc/93/09/06/1169930906.db2.gz FFYABODTOKUNQC-NWDGAFQWSA-N 0 2 300.355 0.781 20 0 DCADLN CCNC(=O)NC(=O)C[NH2+][C@@H](C(=O)[O-])c1cc(C)cc(C)c1 ZINC001605494441 1169938805 /nfs/dbraw/zinc/93/88/05/1169938805.db2.gz DYBAPPDULAGRQC-CYBMUJFWSA-N 0 2 307.350 0.864 20 0 DCADLN Cc1cc(Cn2c(=O)[nH]cc(-c3nn[nH]n3)c2=O)c(C)s1 ZINC001605671962 1169983799 /nfs/dbraw/zinc/98/37/99/1169983799.db2.gz YOHNALQQWUDYRH-UHFFFAOYSA-N 0 2 304.335 0.856 20 0 DCADLN CCc1cc(N(CCN2CCOCC2)CC(=O)[O-])nc(CC)[nH+]1 ZINC001605799881 1170004661 /nfs/dbraw/zinc/00/46/61/1170004661.db2.gz SXTHHOUYNRVXJP-UHFFFAOYSA-N 0 2 322.409 0.825 20 0 DCADLN Cc1ccc(O)c(NC(=O)[C@@H](C)n2cnc(-c3nn[nH]n3)n2)n1 ZINC001605875856 1170020089 /nfs/dbraw/zinc/02/00/89/1170020089.db2.gz RDUROVSDZKDNOZ-SSDOTTSWSA-N 0 2 315.297 0.067 20 0 DCADLN Cn1c(=O)[nH]c(NCC2CCCCC2)c(-c2nn[nH]n2)c1=O ZINC001606205751 1170112395 /nfs/dbraw/zinc/11/23/95/1170112395.db2.gz JMJMLUHLNLRDSJ-UHFFFAOYSA-N 0 2 305.342 0.658 20 0 DCADLN Cn1cc(-c2nn[nH]n2)c(=O)n(CC2CCC3(CC3)CC2)c1=O ZINC001606221288 1170120185 /nfs/dbraw/zinc/12/01/85/1170120185.db2.gz AIKZIRDAPKVNIX-UHFFFAOYSA-N 0 2 316.365 0.698 20 0 DCADLN CNC(=O)C[NH2+][C@@H](C(=O)[O-])c1cccc(Br)c1 ZINC001606273313 1170144042 /nfs/dbraw/zinc/14/40/42/1170144042.db2.gz MDTQAHHTINEHPM-SNVBAGLBSA-N 0 2 301.140 0.910 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@@H+]1CC[C@H]2OCCC[C@H]2C1 ZINC001606538421 1170231019 /nfs/dbraw/zinc/23/10/19/1170231019.db2.gz TXPORCVIZJNHMD-GXFFZTMASA-N 0 2 323.349 0.826 20 0 DCADLN COC(=O)c1cc(C(=O)[O-])nn1C[N@H+]1CC[C@H]2OCCC[C@H]2C1 ZINC001606538421 1170231023 /nfs/dbraw/zinc/23/10/23/1170231023.db2.gz TXPORCVIZJNHMD-GXFFZTMASA-N 0 2 323.349 0.826 20 0 DCADLN O=C(Nc1nc(-c2cccc(-c3nn[nH]n3)c2)n[nH]1)[C@@H]1C[C@@H]1F ZINC001606950542 1170336747 /nfs/dbraw/zinc/33/67/47/1170336747.db2.gz HTUWOSMMHNJNQP-BDAKNGLRSA-N 0 2 314.284 0.948 20 0 DCADLN O=c1c(-c2nn[nH]n2)c2c(cn1Cc1cnsn1)CCCC2 ZINC001607089521 1170386391 /nfs/dbraw/zinc/38/63/91/1170386391.db2.gz YBINHEHTZXEOJH-UHFFFAOYSA-N 0 2 315.362 0.807 20 0 DCADLN O=c1[nH]cc(-c2nn[nH]n2)c(=O)n1Cc1cccnc1Cl ZINC001607088571 1170387490 /nfs/dbraw/zinc/38/74/90/1170387490.db2.gz MRDVBZFFAAUDFU-UHFFFAOYSA-N 0 2 305.685 0.226 20 0 DCADLN COc1cc(F)cc(OC)c1C[NH2+]CC(=O)NCC(=O)[O-] ZINC001607170517 1170411768 /nfs/dbraw/zinc/41/17/68/1170411768.db2.gz YDWGOWTUKWSGHN-UHFFFAOYSA-N 0 2 300.286 0.133 20 0 DCADLN Cc1c(-c2cc(C[N@@H+]3C[C@H](O)C[C@@H]3C(=O)[O-])on2)cnn1C ZINC001607500657 1170468724 /nfs/dbraw/zinc/46/87/24/1170468724.db2.gz XSDZDQQEOCTYOO-NOZJJQNGSA-N 0 2 306.322 0.403 20 0 DCADLN Cc1c(-c2cc(C[N@H+]3C[C@H](O)C[C@@H]3C(=O)[O-])on2)cnn1C ZINC001607500657 1170468727 /nfs/dbraw/zinc/46/87/27/1170468727.db2.gz XSDZDQQEOCTYOO-NOZJJQNGSA-N 0 2 306.322 0.403 20 0 DCADLN Cc1ncc(C[NH+]2CC(CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)cn1 ZINC001607978566 1170537677 /nfs/dbraw/zinc/53/76/77/1170537677.db2.gz TZNOWGOMPKNHKD-OLZOCXBDSA-N 0 2 304.350 0.054 20 0 DCADLN Cc1nn(C)cc1CCC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001608003395 1170545719 /nfs/dbraw/zinc/54/57/19/1170545719.db2.gz XYEFSBOWAKPHHR-GFCCVEGCSA-N 0 2 305.338 0.127 20 0 DCADLN Cn1ncc2c1C[C@@H](C(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)[O-])CC2 ZINC001608160273 1170602069 /nfs/dbraw/zinc/60/20/69/1170602069.db2.gz HHQPGQUCTIKLOR-JOYOIKCWSA-N 0 2 317.349 0.060 20 0 DCADLN Cn1ncc2c1C[C@@H](C(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)[O-])CC2 ZINC001608160273 1170602070 /nfs/dbraw/zinc/60/20/70/1170602070.db2.gz HHQPGQUCTIKLOR-JOYOIKCWSA-N 0 2 317.349 0.060 20 0 DCADLN [NH3+][C@@H](C(=O)N1CCO[C@@H](CC(=O)[O-])C1)c1c(F)cccc1F ZINC001608247433 1170630058 /nfs/dbraw/zinc/63/00/58/1170630058.db2.gz GQKWZPLWTFLDDY-ISVAXAHUSA-N 0 2 314.288 0.667 20 0 DCADLN O=C(/C=C/c1cc(C(=O)[O-])co1)NCC1([NH+]2CCOCC2)CC1 ZINC001608286494 1170642974 /nfs/dbraw/zinc/64/29/74/1170642974.db2.gz VQHMKSNJEAGDCZ-OWOJBTEDSA-N 0 2 320.345 0.972 20 0 DCADLN O=C([O-])[C@@H](Cn1cc[nH+]c1)NC(=O)C[C@@H]1Cc2ccccc2O1 ZINC001608299043 1170645125 /nfs/dbraw/zinc/64/51/25/1170645125.db2.gz AKMAMWPEVDZWFP-QWHCGFSZSA-N 0 2 315.329 0.846 20 0 DCADLN O=C([O-])[C@H](CNC(=O)Cn1cc[nH+]c1)Oc1ccc(F)cc1 ZINC001608381197 1170665557 /nfs/dbraw/zinc/66/55/57/1170665557.db2.gz ZGDGATPVGOJSSP-LBPRGKRZSA-N 0 2 307.281 0.671 20 0 DCADLN O=C([O-])[C@@H](Cc1cncs1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001608402949 1170670118 /nfs/dbraw/zinc/67/01/18/1170670118.db2.gz XRADTTQDYYEWGS-MWLCHTKSSA-N 0 2 320.374 0.714 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)[C@@H]1CCc2ncncc2C1 ZINC001608485300 1170687642 /nfs/dbraw/zinc/68/76/42/1170687642.db2.gz AHVMEGIKWAISNO-RNCFNFMXSA-N 0 2 315.333 0.117 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)[C@@H]1CCc2ncncc2C1 ZINC001608485300 1170687648 /nfs/dbraw/zinc/68/76/48/1170687648.db2.gz AHVMEGIKWAISNO-RNCFNFMXSA-N 0 2 315.333 0.117 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccnc2[nH]cnc21 ZINC001608485976 1170688735 /nfs/dbraw/zinc/68/87/35/1170688735.db2.gz KYZSPAGORNZYBS-VIFPVBQESA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccnc2[nH]cnc21 ZINC001608485976 1170688741 /nfs/dbraw/zinc/68/87/41/1170688741.db2.gz KYZSPAGORNZYBS-VIFPVBQESA-N 0 2 300.278 0.107 20 0 DCADLN O=C([O-])C1=NO[C@]2(CCN(C(=O)[C@H]3CCc4[nH+]ccn4C3)C2)C1 ZINC001608591700 1170709961 /nfs/dbraw/zinc/70/99/61/1170709961.db2.gz SELUQSGCFLKULV-ZUZCIYMTSA-N 0 2 318.333 0.278 20 0 DCADLN O=C([O-])CCCNC(=S)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC001608714855 1170742266 /nfs/dbraw/zinc/74/22/66/1170742266.db2.gz CLNYQYHWZRAURU-LLVKDONJSA-N 0 2 301.412 0.132 20 0 DCADLN O=C([O-])CCCc1nc(C[NH2+][C@@H](CO)[C@@H]2CCCOC2)no1 ZINC001608719384 1170742881 /nfs/dbraw/zinc/74/28/81/1170742881.db2.gz PUERSSRWCUDPFW-MNOVXSKESA-N 0 2 313.354 0.354 20 0 DCADLN O=C([O-])C[NH+]1CCN(C(=O)[C@@H]2CCC(=O)c3ccccc32)CC1 ZINC001608748808 1170748680 /nfs/dbraw/zinc/74/86/80/1170748680.db2.gz UHRUSWZNOZGDIX-CQSZACIVSA-N 0 2 316.357 0.976 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@@H+]2CCS[C@H]3COCC[C@H]32)C(=O)O1 ZINC001608809025 1170758472 /nfs/dbraw/zinc/75/84/72/1170758472.db2.gz VCNOWTALAIFVFU-UTLUCORTSA-N 0 2 302.352 0.056 20 0 DCADLN O=C([O-])[C@@H]1CN(C[N@H+]2CCS[C@H]3COCC[C@H]32)C(=O)O1 ZINC001608809025 1170758475 /nfs/dbraw/zinc/75/84/75/1170758475.db2.gz VCNOWTALAIFVFU-UTLUCORTSA-N 0 2 302.352 0.056 20 0 DCADLN O=C([O-])c1cc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)ccn1 ZINC001608842623 1170764785 /nfs/dbraw/zinc/76/47/85/1170764785.db2.gz SVLPQVCNEYIPHG-LLVKDONJSA-N 0 2 300.318 0.855 20 0 DCADLN O=C([O-])c1cc(N(CC[NH+]2CCOCC2)CC2CC2)ncn1 ZINC001608852532 1170765279 /nfs/dbraw/zinc/76/52/79/1170765279.db2.gz VSHVLNJXSQHKAU-UHFFFAOYSA-N 0 2 306.366 0.723 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCOC[C@H]2C[C@H]2CCOC2)cn1 ZINC001608971259 1170778562 /nfs/dbraw/zinc/77/85/62/1170778562.db2.gz CFIUQZJAGJVLQC-DGCLKSJQSA-N 0 2 307.350 0.802 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCOC[C@H]2C[C@H]2CCOC2)cn1 ZINC001608971259 1170778567 /nfs/dbraw/zinc/77/85/67/1170778567.db2.gz CFIUQZJAGJVLQC-DGCLKSJQSA-N 0 2 307.350 0.802 20 0 DCADLN O=C([O-])c1cnc(C[N@@H+]2CCOC[C@@H]2C[C@H]2CCOC2)cn1 ZINC001608971261 1170778588 /nfs/dbraw/zinc/77/85/88/1170778588.db2.gz CFIUQZJAGJVLQC-YPMHNXCESA-N 0 2 307.350 0.802 20 0 DCADLN O=C([O-])c1cnc(C[N@H+]2CCOC[C@@H]2C[C@H]2CCOC2)cn1 ZINC001608971261 1170778592 /nfs/dbraw/zinc/77/85/92/1170778592.db2.gz CFIUQZJAGJVLQC-YPMHNXCESA-N 0 2 307.350 0.802 20 0 DCADLN O=C([O-])C1(O)CN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC001609028472 1170787264 /nfs/dbraw/zinc/78/72/64/1170787264.db2.gz QGWOBUFBAOZAMJ-UHFFFAOYSA-N 0 2 301.302 0.203 20 0 DCADLN C[C@H]1CCCC[C@@H]1NC(=O)Cn1cnc(-c2nn[nH]n2)cc1=O ZINC001609165528 1170834952 /nfs/dbraw/zinc/83/49/52/1170834952.db2.gz POOQVPWOICXCEQ-UWVGGRQHSA-N 0 2 317.353 0.118 20 0 DCADLN CCn1cc(-c2nn[nH]n2)c(=O)n(CCC[C@@H]2CCOC2)c1=O ZINC001609257775 1170900832 /nfs/dbraw/zinc/90/08/32/1170900832.db2.gz IMMZJFCWMOJPSX-SNVBAGLBSA-N 0 2 320.353 0.027 20 0 DCADLN Cn1c(=O)c(-c2nn[nH]n2)c(NC[C@@H]2CC=CCC2)n(C)c1=O ZINC001609476807 1170992214 /nfs/dbraw/zinc/99/22/14/1170992214.db2.gz ZTZDVKRKHQOJKS-SECBINFHSA-N 0 2 317.353 0.032 20 0 DCADLN C[C@@H]([NH2+]Cc1cc(=O)n(C)c(=O)n1C)c1ncc(C(=O)[O-])s1 ZINC001609779527 1171084099 /nfs/dbraw/zinc/08/40/99/1171084099.db2.gz LKNUZYQFSALUEG-SSDOTTSWSA-N 0 2 324.362 0.090 20 0 DCADLN CC1(C(=O)[O-])C[NH+](C[C@@H](O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC001609811599 1171091871 /nfs/dbraw/zinc/09/18/71/1171091871.db2.gz BHPIPQGANWNACK-GFCCVEGCSA-N 0 2 313.375 0.530 20 0 DCADLN C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2cc(C(=O)[O-])co2)C1 ZINC001609824973 1171095893 /nfs/dbraw/zinc/09/58/93/1171095893.db2.gz HNZLCTHSFAGNJR-NSHDSACASA-N 0 2 306.315 0.300 20 0 DCADLN C[C@H]1C[N@H+](CCNC(=O)C23CCC(C(=O)[O-])(CC2)C3)CCO1 ZINC001609874746 1171111196 /nfs/dbraw/zinc/11/11/96/1171111196.db2.gz RFTJRODQERRYCC-JQRITLKVSA-N 0 2 310.394 0.858 20 0 DCADLN C[C@H]1C[N@@H+](CCNC(=O)C23CCC(C(=O)[O-])(CC2)C3)CCO1 ZINC001609874746 1171111200 /nfs/dbraw/zinc/11/12/00/1171111200.db2.gz RFTJRODQERRYCC-JQRITLKVSA-N 0 2 310.394 0.858 20 0 DCADLN CCC[N@@H+](CC(=O)[O-])CN1C[C@@](C)(C(=O)OCC)CC1=O ZINC001609977397 1171130175 /nfs/dbraw/zinc/13/01/75/1171130175.db2.gz SIWWQFCGZDGZFR-AWEZNQCLSA-N 0 2 300.355 0.542 20 0 DCADLN CCN(CC)C(=O)CCC(=O)N[C@H](Cn1cc[nH+]c1)C(=O)[O-] ZINC001609995004 1171133709 /nfs/dbraw/zinc/13/37/09/1171133709.db2.gz UPHJJMYPYVQKGQ-LLVKDONJSA-N 0 2 310.354 0.101 20 0 DCADLN CC[N@@H+]1CCN(S(=O)(=O)CC2(C(=O)[O-])CCCC2)C[C@H]1C ZINC001610007489 1171136831 /nfs/dbraw/zinc/13/68/31/1171136831.db2.gz AOVCAFUJLBFROE-GFCCVEGCSA-N 0 2 318.439 0.987 20 0 DCADLN CC[N@H+]1CCN(S(=O)(=O)CC2(C(=O)[O-])CCCC2)C[C@H]1C ZINC001610007489 1171136833 /nfs/dbraw/zinc/13/68/33/1171136833.db2.gz AOVCAFUJLBFROE-GFCCVEGCSA-N 0 2 318.439 0.987 20 0 DCADLN Cn1ccnc1C[N@H+](C)CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC001610116324 1171162488 /nfs/dbraw/zinc/16/24/88/1171162488.db2.gz MVFICASXANFGIG-JYRVWZFOSA-N 0 2 324.406 0.743 20 0 DCADLN Cn1ccnc1C[N@@H+](C)CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC001610116324 1171162493 /nfs/dbraw/zinc/16/24/93/1171162493.db2.gz MVFICASXANFGIG-JYRVWZFOSA-N 0 2 324.406 0.743 20 0 DCADLN COC(=O)[C@@H]([NH2+]CCc1cn(CC(=O)[O-])nn1)C1CCCCC1 ZINC001610154834 1171181400 /nfs/dbraw/zinc/18/14/00/1171181400.db2.gz AMXFAKNVSXIJCY-AWEZNQCLSA-N 0 2 324.381 0.617 20 0 DCADLN Cc1cc(NC(=O)C[NH2+]C(C)(C)c2nocc2C(=O)[O-])n(C)n1 ZINC001610472180 1171241314 /nfs/dbraw/zinc/24/13/14/1171241314.db2.gz QXEFTSXSJWIMMT-UHFFFAOYSA-N 0 2 321.337 0.878 20 0 DCADLN Cc1noc([C@H]2CCC[N@@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C2)n1 ZINC001610577499 1171259010 /nfs/dbraw/zinc/25/90/10/1171259010.db2.gz MOXKEBFILVFRHP-AAEUAGOBSA-N 0 2 324.381 0.783 20 0 DCADLN Cc1noc([C@H]2CCC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C2)n1 ZINC001610577499 1171259014 /nfs/dbraw/zinc/25/90/14/1171259014.db2.gz MOXKEBFILVFRHP-AAEUAGOBSA-N 0 2 324.381 0.783 20 0 DCADLN Cn1cc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1C(=O)[O-] ZINC001610605905 1171264775 /nfs/dbraw/zinc/26/47/75/1171264775.db2.gz LPPHVICZKGSUOU-TXEJJXNPSA-N 0 2 322.365 0.708 20 0 DCADLN Cn1cc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1C(=O)[O-] ZINC001610605905 1171264782 /nfs/dbraw/zinc/26/47/82/1171264782.db2.gz LPPHVICZKGSUOU-TXEJJXNPSA-N 0 2 322.365 0.708 20 0 DCADLN Cn1cc[nH+]c1[C@@H]1CCCN(S(=O)(=O)CCCC(=O)[O-])C1 ZINC001610610182 1171267076 /nfs/dbraw/zinc/26/70/76/1171267076.db2.gz RIMBDBZWEIEWCE-LLVKDONJSA-N 0 2 315.395 0.794 20 0 DCADLN Cn1c[nH+]cc1CC(=O)N1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001610611795 1171268124 /nfs/dbraw/zinc/26/81/24/1171268124.db2.gz ZSLTUPKYJDGIOK-UHFFFAOYSA-N 0 2 318.337 0.116 20 0 DCADLN O=C([O-])[C@H](O)C1CC[NH+](CC(=O)Nc2ccccc2F)CC1 ZINC001610662869 1171284333 /nfs/dbraw/zinc/28/43/33/1171284333.db2.gz RXPYJORIBUXDTQ-CQSZACIVSA-N 0 2 310.325 0.922 20 0 DCADLN O=C([O-])[C@@H](CO)NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC001610675544 1171287999 /nfs/dbraw/zinc/28/79/99/1171287999.db2.gz OYQPVJRTLLHOCX-CYBMUJFWSA-N 0 2 303.318 0.036 20 0 DCADLN Cc1c[nH]c(NC(=O)c2ccc(-n3[nH]c(=O)[nH]c3=O)cc2)n1 ZINC001622326967 1171422561 /nfs/dbraw/zinc/42/25/61/1171422561.db2.gz UJYCNSKKAFQPEI-UHFFFAOYSA-N 0 2 300.278 0.550 20 0 DCADLN O=C(NCCc1n[nH]c(=S)o1)c1ccc2n[nH]c(=O)n2c1 ZINC001625689310 1171477537 /nfs/dbraw/zinc/47/75/37/1171477537.db2.gz JOLMMERXMIOFAW-UHFFFAOYSA-N 0 2 306.307 0.079 20 0 DCADLN O=C(Nc1nc(-c2ccccn2)n[nH]1)c1nc2ncccn2n1 ZINC001630811249 1171582818 /nfs/dbraw/zinc/58/28/18/1171582818.db2.gz KAODVVPESBXRBY-UHFFFAOYSA-N 0 2 307.277 0.557 20 0 DCADLN Cn1cc(C(=O)N[C@H](Cc2ccccc2)c2n[nH]c(=O)[nH]2)cn1 ZINC001631925091 1171605662 /nfs/dbraw/zinc/60/56/62/1171605662.db2.gz PZKZVZGPSAFJQX-GFCCVEGCSA-N 0 2 312.333 0.958 20 0 DCADLN Cc1cc(C=O)c(O)c(C(=O)N2CCOC[C@H]2c2nn[nH]n2)c1 ZINC001635090733 1171692957 /nfs/dbraw/zinc/69/29/57/1171692957.db2.gz NICFUGFKEQLXSU-NSHDSACASA-N 0 2 317.305 0.240 20 0 DCADLN CS(=O)(=O)Cc1nnc(CNC(=O)NC/C=C/C2CC2)[nH]1 ZINC001635713489 1171713229 /nfs/dbraw/zinc/71/32/29/1171713229.db2.gz MGDAFWORABZREY-NSCUHMNNSA-N 0 2 313.383 0.115 20 0 DCADLN CCC(CC)(NC(=O)/C=C(/C)CN1CCOCC1)c1nn[nH]n1 ZINC001640154290 1171867948 /nfs/dbraw/zinc/86/79/48/1171867948.db2.gz BSQVFUARCKZXKN-BENRWUELSA-N 0 2 322.413 0.610 20 0 DCADLN CC[C@@]1(C)NC(=O)N(NC(=O)c2ccc3c(n2)CCCN3)C1=O ZINC001641058251 1171903751 /nfs/dbraw/zinc/90/37/51/1171903751.db2.gz CUYOAWGDDZZENM-OAHLLOKOSA-N 0 2 317.349 0.805 20 0 DCADLN COC[C@H](NC(=O)Cc1n[nH]c2ccccc12)c1nn[nH]n1 ZINC001645320500 1172035847 /nfs/dbraw/zinc/03/58/47/1172035847.db2.gz UKNLLJLETAFBCV-NSHDSACASA-N 0 2 301.310 0.122 20 0 DCADLN CCCCCCC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001645434021 1172042067 /nfs/dbraw/zinc/04/20/67/1172042067.db2.gz VIOFSXKMOLKVHN-UHFFFAOYSA-N 0 2 302.400 0.936 20 0 DCADLN C[C@@H]1C[C@@H](NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)C[C@H](C)O1 ZINC001645644750 1172085198 /nfs/dbraw/zinc/08/51/98/1172085198.db2.gz DNSUDXZTPAGJFL-ILWJIGKKSA-N 0 2 305.338 0.143 20 0 DCADLN C[C@@H](NC(=O)c1ccc2nnn(C)c2c1)c1nn(C)cc1O ZINC001646319488 1172336713 /nfs/dbraw/zinc/33/67/13/1172336713.db2.gz RXKQTJSUVXZWJN-MRVPVSSYSA-N 0 2 300.322 0.898 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001646495659 1172404940 /nfs/dbraw/zinc/40/49/40/1172404940.db2.gz CHFNUTYUUUBGFE-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cncc(C(=O)[O-])c2)C1 ZINC001646495659 1172404944 /nfs/dbraw/zinc/40/49/44/1172404944.db2.gz CHFNUTYUUUBGFE-GFCCVEGCSA-N 0 2 313.379 0.293 20 0 DCADLN COCCN(CCF)c1nnc(-c2n[nH]c(Cl)n2)n1C ZINC001646663374 1172457676 /nfs/dbraw/zinc/45/76/76/1172457676.db2.gz AUKLAQLCOBCFMP-UHFFFAOYSA-N 0 2 303.729 0.676 20 0 DCADLN CC[C@@H](CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC001646719546 1172489419 /nfs/dbraw/zinc/48/94/19/1172489419.db2.gz ALKDXVGFGMSYSJ-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN CC[C@@H](CNC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(=O)[O-] ZINC001646719546 1172489422 /nfs/dbraw/zinc/48/94/22/1172489422.db2.gz ALKDXVGFGMSYSJ-NSHDSACASA-N 0 2 301.387 0.507 20 0 DCADLN C[NH+]1CCN(C2CCN(C(=O)CC3OCCCO3)CC2)CC1 ZINC001647351068 1172832543 /nfs/dbraw/zinc/83/25/43/1172832543.db2.gz XRWSOWXFTUWRGP-UHFFFAOYSA-N 0 2 311.426 0.378 20 0 DCADLN O=C([O-])[C@@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C1CCOCC1 ZINC001647421789 1172878131 /nfs/dbraw/zinc/87/81/31/1172878131.db2.gz LPZSYHVEGARRIY-AAEUAGOBSA-N 0 2 307.350 0.442 20 0 DCADLN CCC1(NC(=O)Cn2[nH]cc3c(=O)ncnc2-3)CCOCC1 ZINC001649736764 1173191755 /nfs/dbraw/zinc/19/17/55/1173191755.db2.gz RCBKZBYWEBOUBF-UHFFFAOYSA-N 0 2 305.338 0.147 20 0 DCADLN CCn1cc([C@H]2C[S@](=O)CCN2Cc2n[nH]c(=O)[nH]2)cn1 ZINC001649769852 1173211426 /nfs/dbraw/zinc/21/14/26/1173211426.db2.gz DBSOVNCVMGJSPX-LADRHHBVSA-N 0 2 310.383 0.032 20 0 DCADLN Cn1ccc(S(=O)(=O)Nc2ncn(CC(F)(F)F)n2)n1 ZINC001649774924 1173215716 /nfs/dbraw/zinc/21/57/16/1173215716.db2.gz FQLUDQFEJVAOJS-UHFFFAOYSA-N 0 2 310.261 0.375 20 0 DCADLN O=C(C(F)C(F)(F)F)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001649853478 1173261716 /nfs/dbraw/zinc/26/17/16/1173261716.db2.gz KMSPVPNJZFEFDI-APPZFPTMSA-N 0 2 319.276 0.303 20 0 DCADLN O=C([C@@H](F)C(F)(F)F)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001649853478 1173261721 /nfs/dbraw/zinc/26/17/21/1173261721.db2.gz KMSPVPNJZFEFDI-APPZFPTMSA-N 0 2 319.276 0.303 20 0 DCADLN COCc1nnc(CNC(=O)N[C@H](C)Cc2ccccn2)[nH]1 ZINC001649892778 1173287319 /nfs/dbraw/zinc/28/73/19/1173287319.db2.gz SGXGZTUSPDJRBH-SNVBAGLBSA-N 0 2 304.354 0.777 20 0 DCADLN O=C(NCC1CN(C(=O)c2cncc(Cl)n2)C1)C(F)(F)F ZINC001649974834 1173352641 /nfs/dbraw/zinc/35/26/41/1173352641.db2.gz SEURXUITFLFWRK-UHFFFAOYSA-N 0 2 322.674 0.881 20 0 DCADLN C[N@@H+]1CCC[C@H]1c1cc(C(=O)N2CC[C@@H](c3nnc[nH]3)C2)n[nH]1 ZINC001650021804 1173383708 /nfs/dbraw/zinc/38/37/08/1173383708.db2.gz BOWQWVBUNZHPGY-MFKMUULPSA-N 0 2 315.381 0.924 20 0 DCADLN O=C(Cn1ncnn1)Nc1nc(-c2c(F)cccc2F)n[nH]1 ZINC001654338854 1173803164 /nfs/dbraw/zinc/80/31/64/1173803164.db2.gz YRGSQWCTFMDXNI-UHFFFAOYSA-N 0 2 306.236 0.375 20 0 DCADLN Cn1cnc2cncc(C(=O)NCCCc3n[nH]c(=O)[nH]3)c21 ZINC001654366416 1173805092 /nfs/dbraw/zinc/80/50/92/1173805092.db2.gz WMMOPDZSXSXCED-UHFFFAOYSA-N 0 2 301.310 0.155 20 0 DCADLN O=S(=O)(NCCc1nnc(-c2ccccc2)[nH]1)c1ncc[nH]1 ZINC001661429310 1174124824 /nfs/dbraw/zinc/12/48/24/1174124824.db2.gz CXOLFVUGTWLWSX-UHFFFAOYSA-N 0 2 318.362 0.716 20 0 DCADLN CC(C)CCCNC(=O)NCc1nnc(CS(C)(=O)=O)[nH]1 ZINC001675606907 1175379639 /nfs/dbraw/zinc/37/96/39/1175379639.db2.gz WMESNOMFPNCDNU-UHFFFAOYSA-N 0 2 317.415 0.585 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NCCNC(=O)C(F)C(F)(F)F ZINC001686638183 1176180821 /nfs/dbraw/zinc/18/08/21/1176180821.db2.gz MQTUXSNYZFYWHD-WCBMZHEXSA-N 0 2 314.279 0.936 20 0 DCADLN O=C(C[C@@H]1CCCOC1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001686638183 1176180826 /nfs/dbraw/zinc/18/08/26/1176180826.db2.gz MQTUXSNYZFYWHD-WCBMZHEXSA-N 0 2 314.279 0.936 20 0 DCADLN Cc1c(CC(=O)NCCNC(=O)C(F)C(F)(F)F)cnn1C ZINC001686683307 1176191233 /nfs/dbraw/zinc/19/12/33/1176191233.db2.gz LZKKVJAEXBKVGQ-JTQLQIEISA-N 0 2 324.278 0.404 20 0 DCADLN Cc1c(CC(=O)NCCNC(=O)[C@H](F)C(F)(F)F)cnn1C ZINC001686683307 1176191235 /nfs/dbraw/zinc/19/12/35/1176191235.db2.gz LZKKVJAEXBKVGQ-JTQLQIEISA-N 0 2 324.278 0.404 20 0 DCADLN C[C@H](CNC(=O)C(C)(C)C(N)=O)NC(=O)C(F)C(F)(F)F ZINC001689418295 1176885477 /nfs/dbraw/zinc/88/54/77/1176885477.db2.gz WWQJZYUPZARTHX-RITPCOANSA-N 0 2 315.267 0.019 20 0 DCADLN C[C@H](CNC(=O)C(C)(C)C(N)=O)NC(=O)[C@H](F)C(F)(F)F ZINC001689418295 1176885482 /nfs/dbraw/zinc/88/54/82/1176885482.db2.gz WWQJZYUPZARTHX-RITPCOANSA-N 0 2 315.267 0.019 20 0 DCADLN CC(C)COCCC(=O)NCC1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001692286151 1177474830 /nfs/dbraw/zinc/47/48/30/1177474830.db2.gz GPSXTSGMRUCITQ-UHFFFAOYSA-N 0 2 311.386 0.121 20 0 DCADLN CCOCCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C)c1[O-] ZINC001692360230 1177496472 /nfs/dbraw/zinc/49/64/72/1177496472.db2.gz SBUYNAOCIICJPJ-RYUDHWBXSA-N 0 2 308.382 0.749 20 0 DCADLN CCOCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C)c1[O-] ZINC001692360230 1177496477 /nfs/dbraw/zinc/49/64/77/1177496477.db2.gz SBUYNAOCIICJPJ-RYUDHWBXSA-N 0 2 308.382 0.749 20 0 DCADLN O=S1(=O)CC[C@H](CNc2nc(Cl)nc3[nH]cnc32)C1 ZINC000228599545 1177519176 /nfs/dbraw/zinc/51/91/76/1177519176.db2.gz BPWHUBOBXSEHOQ-ZCFIWIBFSA-N 0 2 301.759 0.853 20 0 DCADLN Cn1cncc1CCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001720130110 1178656520 /nfs/dbraw/zinc/65/65/20/1178656520.db2.gz LUXCPERGXSJKOQ-JTQLQIEISA-N 0 2 324.278 0.486 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCC1=CC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001718018139 1183111383 /nfs/dbraw/zinc/11/13/83/1183111383.db2.gz GIJBBBRHAMHIGM-LBPRGKRZSA-N 0 2 321.381 0.185 20 0 DCADLN O=C(C[C@@H]1CCOC1)NCC1=CC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001718018139 1183111386 /nfs/dbraw/zinc/11/13/86/1183111386.db2.gz GIJBBBRHAMHIGM-LBPRGKRZSA-N 0 2 321.381 0.185 20 0 DCADLN CC1(CC(=O)NCC2=CC[N@H+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001718024268 1183115058 /nfs/dbraw/zinc/11/50/58/1183115058.db2.gz UNMHRTQNZJILJN-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN CC1(CC(=O)NCC2=CC[N@@H+](Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001718024268 1183115065 /nfs/dbraw/zinc/11/50/65/1183115065.db2.gz UNMHRTQNZJILJN-UHFFFAOYSA-N 0 2 305.382 0.949 20 0 DCADLN C[C@H](NC(=O)C(=O)C(C)(C)C)C1CN(Cc2n[nH]c(=O)[nH]2)C1 ZINC001725247104 1184736326 /nfs/dbraw/zinc/73/63/26/1184736326.db2.gz DDJDZZGYERLCFZ-QMMMGPOBSA-N 0 2 309.370 0.062 20 0 DCADLN Cc1ccnn1CC(=O)NCCCN(C)Cc1ncccn1 ZINC001731269967 1185231493 /nfs/dbraw/zinc/23/14/93/1185231493.db2.gz MFZLJILNPLDDCN-UHFFFAOYSA-N 0 2 302.382 0.620 20 0 DCADLN CN(CCCNC(=O)CCC1CCOCC1)Cc1cnn(C)n1 ZINC001731270374 1185232288 /nfs/dbraw/zinc/23/22/88/1185232288.db2.gz SQEMOPZPVBIPRB-UHFFFAOYSA-N 0 2 323.441 0.960 20 0 DCADLN CC(C)(C)OCC(=O)NCCNC(=O)C(F)C(F)(F)F ZINC001736722029 1187064245 /nfs/dbraw/zinc/06/42/45/1187064245.db2.gz WWLSGYINXRAQMW-QMMMGPOBSA-N 0 2 302.268 0.934 20 0 DCADLN CC(C)(C)OCC(=O)NCCNC(=O)[C@H](F)C(F)(F)F ZINC001736722029 1187064248 /nfs/dbraw/zinc/06/42/48/1187064248.db2.gz WWLSGYINXRAQMW-QMMMGPOBSA-N 0 2 302.268 0.934 20 0 DCADLN CC[N@@H+]1CCCC[C@H]1C(=O)NCCCNC(=O)Cn1cc[nH+]c1 ZINC001742916952 1188075931 /nfs/dbraw/zinc/07/59/31/1188075931.db2.gz ZQCGWZDGQKAKCL-AWEZNQCLSA-N 0 2 321.425 0.380 20 0 DCADLN CN(CCCNC(=O)C(F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001743501258 1188139669 /nfs/dbraw/zinc/13/96/69/1188139669.db2.gz YDUXMQQDYYHORN-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN CN(CCCNC(=O)[C@H](F)C(F)(F)F)C(=O)c1cn[nH]c1 ZINC001743501258 1188139673 /nfs/dbraw/zinc/13/96/73/1188139673.db2.gz YDUXMQQDYYHORN-QMMMGPOBSA-N 0 2 310.251 0.888 20 0 DCADLN Cc1n[nH]c(C(=O)NCCOCC[N@@H+](C)Cc2ccon2)c1[O-] ZINC001755540767 1189142443 /nfs/dbraw/zinc/14/24/43/1189142443.db2.gz MATKBJPIUYSOSM-UHFFFAOYSA-N 0 2 323.353 0.290 20 0 DCADLN Cc1n[nH]c(C(=O)NCCOCC[N@H+](C)Cc2ccon2)c1[O-] ZINC001755540767 1189142448 /nfs/dbraw/zinc/14/24/48/1189142448.db2.gz MATKBJPIUYSOSM-UHFFFAOYSA-N 0 2 323.353 0.290 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)C(F)C(F)(F)F)nn1C ZINC001758027600 1189687102 /nfs/dbraw/zinc/68/71/02/1189687102.db2.gz JRJOPMADGWCXHI-SECBINFHSA-N 0 2 324.278 0.729 20 0 DCADLN CCc1cc(C(=O)NCCNC(=O)[C@@H](F)C(F)(F)F)nn1C ZINC001758027600 1189687106 /nfs/dbraw/zinc/68/71/06/1189687106.db2.gz JRJOPMADGWCXHI-SECBINFHSA-N 0 2 324.278 0.729 20 0 DCADLN Cc1ncoc1C(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001758419590 1189879066 /nfs/dbraw/zinc/87/90/66/1189879066.db2.gz GODMPESEEBWVBE-UHFFFAOYSA-N 0 2 319.365 0.836 20 0 DCADLN COCc1nnc(CNC(=O)Cc2ccc(O)c(OC)c2)[nH]1 ZINC001771801057 1190504617 /nfs/dbraw/zinc/50/46/17/1190504617.db2.gz FFMXPERUDBWNND-UHFFFAOYSA-N 0 2 306.322 0.524 20 0 DCADLN O=C(CC1(C(=O)[O-])CCOCC1)N[C@@H]1CCn2c[nH+]cc2C1 ZINC001771921948 1190558321 /nfs/dbraw/zinc/55/83/21/1190558321.db2.gz CVOFPSMJVZZFFT-LLVKDONJSA-N 0 2 307.350 0.586 20 0 DCADLN O=C([O-])c1cccc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)n1 ZINC000382625554 1190699124 /nfs/dbraw/zinc/69/91/24/1190699124.db2.gz HULBNVQWNNKQBH-GFCCVEGCSA-N 0 2 319.361 0.717 20 0 DCADLN O=C(C[C@@H]1COC(=O)C1)NCCNC(=O)[C@@H](F)C(F)(F)F ZINC001575028184 1163855063 /nfs/dbraw/zinc/85/50/63/1163855063.db2.gz HCBPQYGMTAQRSI-IMTBSYHQSA-N 0 2 314.235 0.072 20 0 DCADLN